ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'CylinderFan.step', /* time_stamp */ '2024-04-21T22:40:01+08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Translation Framework v12.20.1.177', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#900,#901, #902,#903,#904,#905,#906,#907,#908,#909,#910,#911,#912,#913,#914,#915,#916, #917,#918,#919,#920,#921,#922,#923,#924,#925,#926,#927,#928,#929,#930,#931, #932,#933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945,#946, #947,#948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958,#959,#960,#961, #962,#963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973,#974,#975,#976, #977,#978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988,#989,#990,#991, #992,#993,#994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004,#1005, #1006,#1007,#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017, #1018,#1019,#1020,#1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028,#1029, #1030,#1031,#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039,#1040,#1041, #1042,#1043,#1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052,#1053, #1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062,#1063,#1064,#1065, #1066,#1067,#1068,#1069,#1070,#1071,#1072,#1073,#1074,#1075,#1076,#1077, #1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088,#1089, #1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098,#1099,#1100,#1101, #1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111,#1112,#1113, #1114,#1115,#1116,#1117,#1118,#1119,#1120,#1121,#1122,#1123,#1124,#1125, #1126,#1127,#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137, #1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149, #1150,#1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161, #1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173, #1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182,#1183,#1184,#1185, #1186,#1187,#1188,#1189,#1190,#1191,#1192,#1193,#1194,#1195,#1196,#1197, #1198,#1199,#1200,#1201,#1202,#1203,#1204,#1205,#1206,#1207,#1208,#1209, #1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219,#1220,#1221, #1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229,#1230,#1231,#1232,#1233, #1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241,#1242,#1243,#1244,#1245, #1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254,#1255,#1256,#1257, #1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267,#1268,#1269, #1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279,#1280,#1281, #1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293, #1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305, #1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314,#1315,#1316,#1317, #1318,#1319,#1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329, #1330,#1331,#1332,#1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340,#1341, #1342,#1343,#1344,#1345,#1346,#1347,#1348,#1349,#1350,#1351,#1352,#1353, #1354,#1355,#1356,#1357,#1358,#1359,#1360,#1361,#1362,#1363,#1364,#1365, #1366,#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374,#1375,#1376,#1377, #1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388,#1389, #1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399,#1400,#1401, #1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411,#1412,#1413, #1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,#1425, #1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436,#1437, #1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449, #1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461, #1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473, #1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485, #1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497, #1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506,#1507,#1508,#1509, #1510,#1511,#1512,#1513,#1514,#1515,#1516,#1517,#1518,#1519,#1520,#1521, #1522,#1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533, #1534,#1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545, #1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557, #1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568,#1569, #1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580,#1581, #1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593, #1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602,#1603,#1604,#1605, #1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617, #1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629, #1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641, #1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653, #1654,#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664,#1665, #1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677, #1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689, #1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701, #1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713, #1714,#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725, #1726,#1727,#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736,#1737, #1738,#1739,#1740,#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749, #1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761, #1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773, #1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785, #1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797, #1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809, #1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821, #1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833, #1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844,#1845, #1846,#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857, #1858,#1859,#1860,#1861,#1862,#1863,#1864,#1865,#1866,#1867,#1868,#1869, #1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881, #1882,#1883,#1884,#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893, #1894,#1895,#1896,#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905, #1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917, #1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928,#1929, #1930,#1931,#1932,#1933,#1934,#1935,#1936,#1937,#1938,#1939,#1940,#1941, #1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952,#1953, #1954,#1955,#1956,#1957,#1958,#1959,#1960,#1961,#1962,#1963,#1964,#1965, #1966,#1967,#1968,#1969,#1970,#1971,#1972,#1973,#1974,#1975,#1976,#1977, #1978,#1979,#1980,#1981,#1982,#1983,#1984,#1985,#1986,#1987,#1988,#1989, #1990,#1991,#1992,#1993,#1994,#1995,#1996,#1997,#1998,#1999,#2000,#2001, #2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012,#2013, #2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024,#2025, #2026,#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036,#2037, #2038,#2039,#2040,#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049, #2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060,#2061, #2062,#2063,#2064,#2065,#2066,#2067,#2068,#2069,#2070,#2071,#2072,#2073, #2074,#2075,#2076,#2077,#2078,#2079,#2080,#2081,#2082,#2083,#2084,#2085, #2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093,#2094,#2095,#2096,#2097, #2098,#2099,#2100,#2101,#2102,#2103,#2104,#2105,#2106,#2107,#2108,#2109, #2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117,#2118,#2119,#2120,#2121, #2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129,#2130,#2131,#2132,#2133, #2134,#2135,#2136,#2137,#2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145, #2146,#2147,#2148,#2149,#2150,#2151,#2152,#2153,#2154,#2155,#2156,#2157, #2158,#2159,#2160,#2161,#2162,#2163,#2164,#2165,#2166,#2167,#2168,#2169, #2170,#2171,#2172,#2173,#2174,#2175,#2176,#2177,#2178,#2179,#2180,#2181, #2182,#2183,#2184,#2185,#2186,#2187,#2188,#2189,#2190,#2191,#2192,#2193, #2194,#2195,#2196,#2197,#2198,#2199,#2200,#2201,#2202,#2203,#2204,#2205, #2206,#2207,#2208,#2209,#2210,#2211,#2212,#2213,#2214,#2215,#2216,#2217, #2218,#2219,#2220,#2221,#2222,#2223,#2224,#2225,#2226,#2227,#2228,#2229, #2230,#2231,#2232,#2233,#2234,#2235,#2236,#2237,#2238,#2239,#2240,#2241, #2242,#2243,#2244,#2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253, #2254,#2255,#2256,#2257,#2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265, #2266,#2267,#2268,#2269,#2270,#2271,#2272,#2273,#2274,#2275,#2276,#2277, #2278,#2279,#2280,#2281,#2282,#2283,#2284,#2285,#2286,#2287,#2288,#2289, #2290,#2291,#2292,#2293,#2294,#2295,#2296,#2297,#2298,#2299,#2300,#2301, #2302,#2303,#2304,#2305,#2306,#2307,#2308,#2309,#2310,#2311,#2312,#2313, #2314,#2315,#2316,#2317,#2318,#2319,#2320,#2321,#2322,#2323,#2324,#2325, #2326,#2327,#2328,#2329,#2330,#2331,#2332,#2333,#2334,#2335,#2336,#2337, #2338,#2339,#2340,#2341,#2342,#2343,#2344,#2345,#2346,#2347,#2348),#546115); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#546701),#546369,#2348, (#581)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#546704),#546371,#2348, (#583)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#546701),#546370,#2348, (#582)); #14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#546704),#546372,#2348, (#584)); #15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#546703),#546368,#2348, (#580)); #16=MAPPED_ITEM('',#17,#360609); #17=REPRESENTATION_MAP(#360609,#546367); #18=( BOUNDED_CURVE() B_SPLINE_CURVE(3,(#538160,#538161,#538162,#538163),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0306276689583522,1.),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) REPRESENTATION_ITEM('') ); #19=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539019,#539020,#539021),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #20=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539028,#539029,#539030),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #21=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539038,#539039,#539040),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #22=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539047,#539048,#539049),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #23=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539057,#539058,#539059),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666385,0.558350975401022), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #24=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539066,#539067,#539068),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.28042132444832), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568536,1.15288606854478)) REPRESENTATION_ITEM('') ); #25=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539071,#539072,#539073),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171351,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #26=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539078,#539079,#539080),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.19317020594321), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000703)) REPRESENTATION_ITEM('') ); #27=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539088,#539089,#539090),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #28=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539097,#539098,#539099),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #29=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539107,#539108,#539109),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #30=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539116,#539117,#539118),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #31=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539126,#539127,#539128),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.2097473586927)) REPRESENTATION_ITEM('') ); #32=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539135,#539136,#539137),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #33=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539145,#539146,#539147),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #34=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539154,#539155,#539156),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #35=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539201,#539202,#539203),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666572,0.558350975401195), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854489,1.19005359568546,1.20974735869279)) REPRESENTATION_ITEM('') ); #36=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539210,#539211,#539212),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869279,1.19005359568546,1.15288606854489)) REPRESENTATION_ITEM('') ); #37=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539220,#539221,#539222),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #38=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539229,#539230,#539231),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444816), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #39=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539239,#539240,#539241),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #40=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539248,#539249,#539250),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #41=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539258,#539259,#539260),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #42=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539267,#539268,#539269),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869271,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #43=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539272,#539273,#539274),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171344,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #44=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539286,#539287,#539288),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771366,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #45=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539293,#539294,#539295),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #46=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539299,#539300,#539301),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869281,1.19005359568548,1.15288606854491)) REPRESENTATION_ITEM('') ); #47=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539305,#539306,#539307),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #48=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539311,#539312,#539313),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #49=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539322,#539323,#539324),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #50=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#539326,#539327,#539328),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.19317020594322), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000702)) REPRESENTATION_ITEM('') ); #51=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#538131,#538132,#538133,#538134),(#538135,#538136, #538137,#538138),(#538139,#538140,#538141,#538142),(#538143,#538144,#538145, #538146),(#538147,#538148,#538149,#538150),(#538151,#538152,#538153,#538154), (#538155,#538156,#538157,#538158)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.635528307263547,0.00408186307996069, 1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333298,0.333333333333298,1.), (1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #52=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#538233,#538234,#538235,#538236),(#538237,#538238, #538239,#538240),(#538241,#538242,#538243,#538244),(#538245,#538246,#538247, #538248),(#538249,#538250,#538251,#538252),(#538253,#538254,#538255,#538256), (#538257,#538258,#538259,#538260)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.119730781394121,0.,1.),(0., 1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333333,0.333333333333333,1.), (1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #53=TOROIDAL_SURFACE('',#358127,4.10833333333333,0.141666666666667); #54=TOROIDAL_SURFACE('',#358132,4.10833333333333,0.141666666666667); #55=TOROIDAL_SURFACE('',#358135,4.25,0.425000000000001); #56=TOROIDAL_SURFACE('',#358138,4.10833333333333,0.141666666666667); #57=TOROIDAL_SURFACE('',#358143,4.10833333333333,0.141666666666667); #58=TOROIDAL_SURFACE('',#358158,4.27,0.415); #59=TOROIDAL_SURFACE('',#358162,4.12833333333333,0.141666666666667); #60=TOROIDAL_SURFACE('',#358167,4.12833333333333,0.141666666666667); #61=TOROIDAL_SURFACE('',#358172,4.10833333333333,0.141666666666667); #62=TOROIDAL_SURFACE('',#358177,4.10833333333333,0.141666666666667); #63=TOROIDAL_SURFACE('',#358180,4.25,0.425000000000001); #64=TOROIDAL_SURFACE('',#358183,4.10833333333333,0.141666666666667); #65=TOROIDAL_SURFACE('',#358188,4.10833333333333,0.141666666666667); #66=TOROIDAL_SURFACE('',#358193,4.12833333333333,0.141666666666667); #67=TOROIDAL_SURFACE('',#358198,4.12833333333333,0.141666666666666); #68=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#532999,#533000,#533001,#533002, #533003,#533004),(#533005,#533006,#533007,#533008,#533009,#533010)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00002104133256),(1.63499979223499, 2.20469221263347,2.77438463303195),.UNSPECIFIED.); #69=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#533371,#533372,#533373,#533374, #533375,#533376),(#533377,#533378,#533379,#533380,#533381,#533382)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00001458904557),(3.50880067414764, 4.07849309454612,4.6481855149446),.UNSPECIFIED.); #70=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#533635,#533636,#533637,#533638), (#533639,#533640,#533641,#533642)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.15895045879223,3.32903316875533),.UNSPECIFIED.); #71=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#533668,#533669,#533670,#533671, #533672,#533673),(#533674,#533675,#533676,#533677,#533678,#533679)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(4.71238898038469,5.48910824118092, 6.26582750197716),.UNSPECIFIED.); #72=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#533775,#533776,#533777,#533778, #533779,#533780),(#533781,#533782,#533783,#533784,#533785,#533786)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(3.15895045879222,3.93566971958846, 4.71238898038469),.UNSPECIFIED.); #73=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#533849,#533850,#533851,#533852), (#533853,#533854,#533855,#533856)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(6.09574479201405,6.26582750197715),.UNSPECIFIED.); #74=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534141,#534142,#534143,#534144), (#534145,#534146,#534147,#534148),(#534149,#534150,#534151,#534152)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.0060004424615139,0.,1.),(3.04460905449018, 3.14159265358979),.UNSPECIFIED.); #75=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534164,#534165,#534166,#534167), (#534168,#534169,#534170,#534171)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.14159265358979,3.48142956304391),.UNSPECIFIED.); #76=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534186,#534187,#534188,#534189), (#534190,#534191,#534192,#534193)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413567,3.14159265358979),.UNSPECIFIED.); #77=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534210,#534211,#534212,#534213), (#534214,#534215,#534216,#534217)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(0.,0.339836909454123),.UNSPECIFIED.); #78=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534232,#534233,#534234,#534235), (#534236,#534237,#534238,#534239)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413568,3.14159265358979),.UNSPECIFIED.); #79=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#534263,#534264,#534265,#534266), (#534267,#534268,#534269,#534270),(#534271,#534272,#534273,#534274)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.00600044243415675,0.,1.),(3.14159265358979, 3.23857625268942),.UNSPECIFIED.); #80=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#537565,#537566,#537567,#537568, #537569,#537570,#537571,#537572,#537573,#537574,#537575,#537576,#537577, #537578,#537579,#537580,#537581,#537582,#537583,#537584,#537585,#537586, #537587,#537588),(#537589,#537590,#537591,#537592,#537593,#537594,#537595, #537596,#537597,#537598,#537599,#537600,#537601,#537602,#537603,#537604, #537605,#537606,#537607,#537608,#537609,#537610,#537611,#537612),(#537613, #537614,#537615,#537616,#537617,#537618,#537619,#537620,#537621,#537622, #537623,#537624,#537625,#537626,#537627,#537628,#537629,#537630,#537631, #537632,#537633,#537634,#537635,#537636),(#537637,#537638,#537639,#537640, #537641,#537642,#537643,#537644,#537645,#537646,#537647,#537648,#537649, #537650,#537651,#537652,#537653,#537654,#537655,#537656,#537657,#537658, #537659,#537660)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.00693386621067668,0.0483242175311726),(14.6895753849103, 15.6457284434202,16.6018815019301,17.55803456044,18.5141876189499,19.4703406774598, 20.4264937359697,21.3826467944796,22.3387998529895,23.2949529114994,24.2511059700093, 25.2072590285192,26.1634120870291,27.119565145539,28.0757182040489,29.0318712625588, 29.9880243210687,30.9441773795786,31.9003304380885,32.8564834965984,33.8126365551083, 34.7687896136182),.UNSPECIFIED.); #81=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#537851,#537852,#537853,#537854, #537855,#537856,#537857,#537858,#537859,#537860,#537861,#537862,#537863, #537864,#537865,#537866,#537867,#537868,#537869,#537870,#537871,#537872, #537873,#537874),(#537875,#537876,#537877,#537878,#537879,#537880,#537881, #537882,#537883,#537884,#537885,#537886,#537887,#537888,#537889,#537890, #537891,#537892,#537893,#537894,#537895,#537896,#537897,#537898),(#537899, #537900,#537901,#537902,#537903,#537904,#537905,#537906,#537907,#537908, #537909,#537910,#537911,#537912,#537913,#537914,#537915,#537916,#537917, #537918,#537919,#537920,#537921,#537922),(#537923,#537924,#537925,#537926, #537927,#537928,#537929,#537930,#537931,#537932,#537933,#537934,#537935, #537936,#537937,#537938,#537939,#537940,#537941,#537942,#537943,#537944, #537945,#537946)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-5.02564215243405E-14,0.0413905540313562),(11.8510081451052, 12.8062366196733,13.7614650942413,14.7166935688094,15.6719220433775,16.6271505179455, 17.5823789925136,18.5376074670817,19.4928359416498,20.4480644162178,21.4032928907859, 22.358521365354,23.313749839922,24.2689783144901,25.2242067890582,26.1794352636263, 27.1346637381943,28.0898922127624,29.0451206873305,30.0003491618985,30.9555776364666, 31.9108061110347),.UNSPECIFIED.); #82=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#538890,#538891),(#538892,#538893), (#538894,#538895),(#538896,#538897)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801589),(0.,0.015),.UNSPECIFIED.); #83=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#538909,#538910),(#538911,#538912), (#538913,#538914),(#538915,#538916)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158918,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #84=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#538966,#538967),(#538968,#538969), (#538970,#538971),(#538972,#538973)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801585),(0.,0.015),.UNSPECIFIED.); #85=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#538985,#538986),(#538987,#538988), (#538989,#538990),(#538991,#538992)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158922,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #86=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#539925,#539926,#539927,#539928, #539929,#539930,#539931,#539932,#539933,#539934,#539935,#539936,#539937, #539938,#539939,#539940,#539941,#539942,#539943,#539944,#539945,#539946, #539947,#539948,#539949,#539950,#539951,#539952,#539953,#539954,#539955, #539956,#539957,#539958,#539959,#539960,#539961,#539962,#539963,#539964, #539965,#539966,#539967,#539968,#539969,#539970,#539971),(#539972,#539973, #539974,#539975,#539976,#539977,#539978,#539979,#539980,#539981,#539982, #539983,#539984,#539985,#539986,#539987,#539988,#539989,#539990,#539991, #539992,#539993,#539994,#539995,#539996,#539997,#539998,#539999,#540000, #540001,#540002,#540003,#540004,#540005,#540006,#540007,#540008,#540009, #540010,#540011,#540012,#540013,#540014,#540015,#540016,#540017,#540018), (#540019,#540020,#540021,#540022,#540023,#540024,#540025,#540026,#540027, #540028,#540029,#540030,#540031,#540032,#540033,#540034,#540035,#540036, #540037,#540038,#540039,#540040,#540041,#540042,#540043,#540044,#540045, #540046,#540047,#540048,#540049,#540050,#540051,#540052,#540053,#540054, #540055,#540056,#540057,#540058,#540059,#540060,#540061,#540062,#540063, #540064,#540065),(#540066,#540067,#540068,#540069,#540070,#540071,#540072, #540073,#540074,#540075,#540076,#540077,#540078,#540079,#540080,#540081, #540082,#540083,#540084,#540085,#540086,#540087,#540088,#540089,#540090, #540091,#540092,#540093,#540094,#540095,#540096,#540097,#540098,#540099, #540100,#540101,#540102,#540103,#540104,#540105,#540106,#540107,#540108, #540109,#540110,#540111,#540112)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(8.29514371672427,9.29443768305219, 10.2937316493801,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,16.2894954473476,17.2887894136756,18.2880833800035,19.2873773463314, 20.2866713126593,21.2859652789873,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 35.2760808075782,36.2753747739061,37.274668740234,38.273962706562,39.2732566728899, 40.2725506392178,41.2718446055457,42.2711385718736,43.2704325382016,44.2697265045295, 45.2690204708574,46.2683144371853,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #87=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#540333,#540334,#540335,#540336, #540337,#540338,#540339,#540340,#540341,#540342,#540343,#540344,#540345, #540346,#540347,#540348,#540349,#540350,#540351,#540352,#540353,#540354, #540355,#540356,#540357,#540358,#540359,#540360,#540361,#540362,#540363, #540364,#540365,#540366,#540367,#540368,#540369,#540370,#540371,#540372, #540373,#540374,#540375,#540376,#540377,#540378,#540379),(#540380,#540381, #540382,#540383,#540384,#540385,#540386,#540387,#540388,#540389,#540390, #540391,#540392,#540393,#540394,#540395,#540396,#540397,#540398,#540399, #540400,#540401,#540402,#540403,#540404,#540405,#540406,#540407,#540408, #540409,#540410,#540411,#540412,#540413,#540414,#540415,#540416,#540417, #540418,#540419,#540420,#540421,#540422,#540423,#540424,#540425,#540426), (#540427,#540428,#540429,#540430,#540431,#540432,#540433,#540434,#540435, #540436,#540437,#540438,#540439,#540440,#540441,#540442,#540443,#540444, #540445,#540446,#540447,#540448,#540449,#540450,#540451,#540452,#540453, #540454,#540455,#540456,#540457,#540458,#540459,#540460,#540461,#540462, #540463,#540464,#540465,#540466,#540467,#540468,#540469,#540470,#540471, #540472,#540473),(#540474,#540475,#540476,#540477,#540478,#540479,#540480, #540481,#540482,#540483,#540484,#540485,#540486,#540487,#540488,#540489, #540490,#540491,#540492,#540493,#540494,#540495,#540496,#540497,#540498, #540499,#540500,#540501,#540502,#540503,#540504,#540505,#540506,#540507, #540508,#540509,#540510,#540511,#540512,#540513,#540514,#540515,#540516, #540517,#540518,#540519,#540520)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(11.6149723878395,12.6142663541674, 13.6135603204953,14.6128542868233,15.6121482531512,16.6114422194791,17.610736185807, 18.610030152135,19.6093241184629,20.6086180847908,21.6079120511187,22.6072060174466, 23.6064999837746,24.6057939501025,25.6050879164304,26.6043818827583,27.6036758490863, 28.6029698154142,29.6022637817421,30.60155774807,31.600851714398,32.6001456807259, 33.5994396470538,34.5987336133817,35.5980275797097,36.5973215460376,37.5966155123655, 38.5959094786934,39.5952034450213,40.5944974113493,41.5937913776772,42.5930853440051, 43.592379310333,44.591673276661,45.5909672429889,46.5902612093168,47.5895551756447, 48.5888491419727,49.5881431083006,50.5874370746285,51.5867310409564,52.5860250072843, 53.5853189736123,54.5846129399402,55.5839069062681),.UNSPECIFIED.); #88=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#541506,#541507),(#541508,#541509), (#541510,#541511),(#541512,#541513),(#541514,#541515),(#541516,#541517)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #89=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#541520,#541521),(#541522,#541523), (#541524,#541525),(#541526,#541527),(#541528,#541529),(#541530,#541531)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #90=ELLIPSE('',#356253,0.197989898732233,0.14); #91=ELLIPSE('',#356254,0.197989898732233,0.14); #92=ELLIPSE('',#356256,0.197989898732233,0.14); #93=ELLIPSE('',#356257,0.197989898732233,0.14); #94=ELLIPSE('',#356954,1.81019335983756,1.28); #95=ELLIPSE('',#356955,0.28356572915838,0.200511249999998); #96=ELLIPSE('',#356956,0.28356572915838,0.200511249999998); #97=ELLIPSE('',#357006,1.81019335983756,1.28); #98=ELLIPSE('',#357007,0.28356572915838,0.200511249999998); #99=ELLIPSE('',#357008,0.28356572915838,0.200511249999998); #100=ELLIPSE('',#357428,0.223606797749979,0.2); #101=ELLIPSE('',#357488,0.223606797749979,0.2); #102=ELLIPSE('',#357496,0.223606797749979,0.2); #103=ELLIPSE('',#357501,0.223606797749979,0.2); #104=ELLIPSE('',#357540,0.249858826427815,0.176676870506421); #105=ELLIPSE('',#357543,0.249858826427815,0.176676870506421); #106=ELLIPSE('',#357556,0.249858826427815,0.176676870506421); #107=ELLIPSE('',#357559,0.249858826427815,0.176676870506421); #108=ELLIPSE('',#357608,0.0972259329022809,0.070502406497278); #109=ELLIPSE('',#357609,0.266890079046204,0.214958102704807); #110=ELLIPSE('',#357618,0.0972259328296396,0.070502406471255); #111=ELLIPSE('',#357619,0.266890079316344,0.214958103138367); #112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#528520,#528521,#528522,#528523), .UNSPECIFIED.,.F.,.F.,(4,4),(1.06702260507997E-6,0.000101001795100423), .UNSPECIFIED.); #113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#528531,#528532,#528533,#528534), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753205,0.00235131422638895), .UNSPECIFIED.); #114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#529435,#529436,#529437,#529438), .UNSPECIFIED.,.F.,.F.,(4,4),(1.0670226050798E-6,0.000101001795100423), .UNSPECIFIED.); #115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#529442,#529443,#529444,#529445), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753207,0.00235131422638896), .UNSPECIFIED.); #116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532341,#532342,#532343,#532344), .UNSPECIFIED.,.F.,.F.,(4,4),(0.090843957923958,0.0965117342259624), .UNSPECIFIED.); #117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532346,#532347,#532348,#532349,#532350, #532351,#532352,#532353,#532354,#532355),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0302734920705029,-0.0226725819531593,-0.0150716718358157,-0.00753583591790784, 0.),.UNSPECIFIED.); #118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532365,#532366,#532367,#532368), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0906587598536056,0.0963237485294807), .UNSPECIFIED.); #119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532375,#532376,#532377,#532378,#532379, #532380,#532381,#532382,#532383,#532384),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.030215436934997,-0.0226426832108964,-0.0150699294867959,-0.00753496474339794, 0.),.UNSPECIFIED.); #120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532441,#532442,#532443,#532444), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0906826250597903,-0.0850148487577868), .UNSPECIFIED.); #121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532448,#532449,#532450,#532451,#532452, #532453,#532454,#532455,#532456,#532457),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0611456666808443,-0.0536098307629364,-0.0460739948450286,-0.038473084727685, -0.0308721746103414),.UNSPECIFIED.); #122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532540,#532541,#532542,#532543,#532544, #532545),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0160943905565546,0.0227024018845947, 0.0302969331719855),.UNSPECIFIED.); #123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532575,#532576,#532577,#532578,#532579, #532580),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0306405833778503,0.0382351146652412, 0.0448431259932813),.UNSPECIFIED.); #124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532584,#532585,#532586,#532587), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0905657966754296,-0.0849008079995554), .UNSPECIFIED.); #125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#532589,#532590,#532591,#532592,#532593, #532594,#532595,#532596,#532597,#532598),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0607654366014233,-0.0532304718580254,-0.0456955071146274,-0.0381227533905268, -0.0305499996664263),.UNSPECIFIED.); #126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533011,#533012,#533013,#533014,#533015, #533016,#533017,#533018,#533019,#533020,#533021,#533022,#533023,#533024, #533025,#533026,#533027,#533028,#533029,#533030,#533031,#533032,#533033, #533034,#533035),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0138825997223093, -0.0123371925914751,-0.0107247969879002,-0.0082940681047829,-0.00742092718611614, -0.00508736075199776,-0.00392161367855842,-0.00115617391972303,0.), .UNSPECIFIED.); #127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533036,#533037,#533038,#533039,#533040, #533041,#533042,#533043,#533044,#533045,#533046,#533047,#533048), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.63499979223499,2.01665435360103,2.20553751721391, 2.65216277841743,2.77438463303195),.UNSPECIFIED.); #128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533383,#533384,#533385,#533386,#533387, #533388,#533389,#533390,#533391,#533392,#533393,#533394,#533395,#533396, #533397,#533398,#533399,#533400,#533401,#533402,#533403,#533404,#533405, #533406,#533407),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0117998952326354, -0.00944933409284985,-0.0084666124559527,-0.00648313385745766,-0.0054922752567736, -0.00342621077794332,-0.00268406077943597,-0.00131356104766598,0.), .UNSPECIFIED.); #129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533408,#533409,#533410,#533411,#533412, #533413,#533414,#533415,#533416,#533417,#533418,#533419,#533420), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(3.50880067414764,3.9554259351556,4.07764778996566, 4.45930235126854,4.6481855149446),.UNSPECIFIED.); #130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533647,#533648,#533649,#533650), .UNSPECIFIED.,.F.,.F.,(4,4),(3.15895045879223,3.32903316875533), .UNSPECIFIED.); #131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533655,#533656,#533657,#533658,#533659, #533660,#533661,#533662,#533663,#533664,#533665,#533666,#533667), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199087496326509,-0.0131444985426879, -0.0100503004315679,-0.00271275973446163,0.),.UNSPECIFIED.); #132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533683,#533684,#533685,#533686,#533687, #533688,#533689,#533690,#533691,#533692,#533693,#533694,#533695,#533696, #533697,#533698,#533699,#533700,#533701,#533702,#533703,#533704,#533705, #533706,#533707,#533708,#533709,#533710),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,4),(4.71238898038469,4.92398971651661,5.02942829103752,5.23598706616021, 5.37804069794945,5.49050236486356,5.73703556164237,5.89394203512973,6.11218381448645, 6.26582750197716),.UNSPECIFIED.); #133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533711,#533712,#533713,#533714,#533715, #533716,#533717,#533718,#533719,#533720,#533721,#533722,#533723,#533724, #533725,#533726,#533727,#533728,#533729),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(4.71238898038469,4.98361481070178,5.29836895048691,5.49058957321017, 5.81247748983411,6.10854993206319,6.26582750197716),.UNSPECIFIED.); #134=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533791,#533792,#533793,#533794,#533795, #533796,#533797,#533798,#533799,#533800,#533801,#533802,#533803,#533804, #533805,#533806,#533807,#533808,#533809,#533810,#533811,#533812,#533813, #533814,#533815,#533816,#533817,#533818),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,4),(3.15895045879222,3.37732121541738,3.53396063825457,3.78053851708835, 3.93427559590577,4.14780232683088,4.28624532386015,4.49175026437121,4.60475455826007, 4.71238898038469),.UNSPECIFIED.); #135=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533821,#533822,#533823,#533824,#533825, #533826,#533827,#533828,#533829,#533830,#533831,#533832,#533833,#533834, #533835,#533836,#533837,#533838,#533839),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(3.15895045879222,3.45205321740473,3.77240088007167,3.93418838755921, 4.2574278870067,4.53212365918579,4.71238898038469),.UNSPECIFIED.); #136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533860,#533861,#533862,#533863), .UNSPECIFIED.,.F.,.F.,(4,4),(6.09574479201405,6.26582750197715), .UNSPECIFIED.); #137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#533865,#533866,#533867,#533868,#533869, #533870,#533871,#533872,#533873,#533874,#533875,#533876,#533877), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199213431103657,-0.0125791609788651, -0.00986468526123734,-0.00309615537692809,0.),.UNSPECIFIED.); #138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534172,#534173,#534174,#534175,#534176, #534177,#534178),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979,3.3347765620758, 3.48142956304391),.UNSPECIFIED.); #139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534179,#534180,#534181,#534182,#534183, #534184,#534185),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979,3.41217448946248, 3.48142956304391),.UNSPECIFIED.); #140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534194,#534195,#534196,#534197,#534198, #534199,#534200),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567,2.99493965262168, 3.14159265358979),.UNSPECIFIED.); #141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534201,#534202,#534203,#534204,#534205, #534206,#534207),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567,3.07233758006169, 3.14159265358979),.UNSPECIFIED.); #142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534218,#534219,#534220,#534221,#534222, #534223,#534224),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.193183908486014,0.339836909454123), .UNSPECIFIED.); #143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534225,#534226,#534227,#534228,#534229, #534230,#534231),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.270581835895645,0.339836909454123), .UNSPECIFIED.); #144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534241,#534242,#534243,#534244,#534245, #534246,#534247),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568,2.99493965262169, 3.14159265358979),.UNSPECIFIED.); #145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#534250,#534251,#534252,#534253,#534254, #534255,#534256),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568,3.07233758005598, 3.14159265358979),.UNSPECIFIED.); #146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537301,#537302,#537303,#537304,#537305, #537306,#537307,#537308,#537309,#537310,#537311,#537312,#537313), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(16.423325737203,17.1941125422253,18.1493410167933, 19.1045694913614,20.0597844208577),.UNSPECIFIED.); #147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537315,#537316,#537317,#537318,#537319, #537320,#537321,#537322),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.10260377238209, -1.02907377668168,-0.94053880202567,-0.909050430329933),.UNSPECIFIED.); #148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537324,#537325,#537326,#537327,#537328, #537329),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.193953105406528,-0.134570725646036, 0.),.UNSPECIFIED.); #149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537330,#537331,#537332,#537333,#537334, #537335,#537336,#537337,#537338,#537339,#537340,#537341,#537342,#537343, #537344,#537345,#537346,#537347,#537348),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(-18.326034068565,-18.1669081116881,-17.2107550531783,-16.2546019946683, -15.2984489361584,-14.3422958776485,-13.5847584973979),.UNSPECIFIED.); #150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537353,#537354,#537355,#537356,#537357, #537358,#537359,#537360,#537361,#537362,#537363,#537364,#537365,#537366, #537367,#537368,#537369,#537370,#537371,#537372,#537373,#537374,#537375, #537376,#537377),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537378,#537379,#537380,#537381,#537382, #537383,#537384,#537385,#537386,#537387,#537388,#537389,#537390,#537391, #537392,#537393,#537394,#537395,#537396,#537397,#537398,#537399,#537400, #537401,#537402),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-13.5847584973979, -13.3861428191386,-12.4299897606287,-11.4738367021188,-10.5176836436089, -9.56153058509902,-8.60537752658913,-7.64922446807922,-7.30157319021831), .UNSPECIFIED.); #152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537408,#537409,#537410,#537411,#537412, #537413,#537414),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.01838788303871,-0.956153058509904, 0.),.UNSPECIFIED.); #153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537417,#537418,#537419,#537420,#537421, #537422,#537423,#537424,#537425,#537426,#537427,#537428,#537429), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.73375035229266,1.91045694913614,2.86568542370421, 3.82091389827228,3.85695512284377),.UNSPECIFIED.); #154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537435,#537436,#537437,#537438,#537439, #537440,#537441,#537442,#537443,#537444,#537445,#537446,#537447,#537448, #537449,#537450),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.955228474568069, 1.91045694913614,2.86568542370422,3.82091389827229,3.85695512284377), .UNSPECIFIED.); #155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537453,#537454,#537455,#537456,#537457, #537458,#537459,#537460,#537461,#537462,#537463,#537464,#537465,#537466, #537467,#537468,#537469,#537470,#537471,#537472,#537473,#537474), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.7337503522927,1.9123061170198, 2.86845917552971,3.82461223403961,4.78076529254951,5.73691835105941,6.69307140956931, 7.30157319021831),.UNSPECIFIED.); #156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537479,#537480,#537481,#537482,#537483, #537484,#537485,#537486,#537487,#537488,#537489,#537490,#537491,#537492, #537493,#537494,#537495,#537496,#537497,#537498,#537499,#537500), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284036, 5.73137084740843,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537501,#537502,#537503,#537504,#537505, #537506,#537507,#537508,#537509,#537510,#537511,#537512,#537513,#537514, #537515,#537516,#537517,#537518,#537519,#537520,#537521,#537522,#537523, #537524,#537525),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(7.30157319021831, 7.64922446807922,8.60537752658913,9.56153058509902,10.5176836436089,11.4738367021188, 12.4299897606287,13.3861428191386,13.5847584973979),.UNSPECIFIED.); #158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537531,#537532,#537533,#537534), .UNSPECIFIED.,.F.,.F.,(4,4),(19.8679438045775,20.0792142287079), .UNSPECIFIED.); #159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537536,#537537,#537538,#537539,#537540, #537541,#537542,#537543),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.120788760900945, 0.244123460963905,0.263395160677697),.UNSPECIFIED.); #160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537545,#537546,#537547,#537548,#537549, #537550,#537551,#537552,#537553,#537554),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(0.714330004616733,0.731506752596738,0.844214192429642,0.923681920895519, 0.9896813946077),.UNSPECIFIED.); #161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537555,#537556,#537557,#537558,#537559, #537560,#537561,#537562,#537563,#537564),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (16.423325737203,17.1941125422261,18.1493410167952,18.3454638764152), .UNSPECIFIED.); #162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537661,#537662,#537663,#537664,#537665, #537666,#537667,#537668,#537669,#537670,#537671,#537672,#537673,#537674, #537675,#537676,#537677,#537678,#537679,#537680,#537681,#537682,#537683, #537684,#537685,#537686,#537687,#537688,#537689,#537690,#537691,#537692, #537693,#537694,#537695,#537696,#537697,#537698,#537699,#537700,#537701, #537702,#537703,#537704,#537705,#537706,#537707,#537708,#537709,#537710, #537711,#537712,#537713,#537714,#537715,#537716,#537717,#537718,#537719, #537720,#537721,#537722,#537723,#537724,#537725,#537726,#537727,#537728, #537729,#537730,#537731,#537732,#537733,#537734,#537735,#537736,#537737, #537738,#537739,#537740,#537741,#537742,#537743,#537744,#537745), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.103091360581963,0.12353428073543,0.134578001848704,0.14059948516615, 0.17373852796752,0.207594760688,0.241310213203669,0.264918696932889,0.271119592425879, 0.276176589219814,0.309418357784853,0.342202086312084,0.374412140473536, 0.388826053493338,0.401016625201178,0.405824115633092,0.430178083037429, 0.462555486949897,0.49525536630823,0.518632621851283,0.527880014447086, 0.534463170923819,0.566692278308528,0.595587561394558,0.606234487632263, 0.611965500619303,0.643645569778901,0.674638288858555,0.682273410652648), .UNSPECIFIED.); #163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537746,#537747,#537748,#537749,#537750, #537751,#537752,#537753,#537754,#537755,#537756,#537757,#537758,#537759, #537760,#537761,#537762,#537763,#537764,#537765,#537766,#537767), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-7.30157319021831,-6.69307140956931, -5.73691835105941,-4.78076529254951,-3.82461223403961,-2.86845917552971, -1.91230611701981,-1.01838788303871),.UNSPECIFIED.); #164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537768,#537769,#537770,#537771,#537772, #537773,#537774,#537775,#537776,#537777,#537778,#537779,#537780,#537781, #537782,#537783,#537784,#537785,#537786,#537787,#537788,#537789,#537790, #537791,#537792,#537793,#537794,#537795,#537796,#537797,#537798,#537799, #537800,#537801,#537802,#537803,#537804,#537805,#537806,#537807,#537808, #537809,#537810,#537811,#537812,#537813,#537814,#537815,#537816,#537817, #537818,#537819,#537820,#537821,#537822,#537823,#537824,#537825,#537826, #537827,#537828),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.25659118800266,0.287146966510574,0.318269765381052,0.349908841183501, 0.361363942597864,0.393813696515609,0.426105706284872,0.458634332276858, 0.491162958268844,0.52406489710764,0.556735476898334,0.567432371275957, 0.599832374215096,0.619113594819169,0.651615475491493,0.684698671585198, 0.71819591801006,0.745408846044681,0.779281708961714,0.813264997171785, 0.840363122633563),.UNSPECIFIED.); #165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537829,#537830,#537831,#537832,#537833, #537834,#537835,#537836,#537837,#537838,#537839,#537840,#537841,#537842, #537843,#537844,#537845,#537846,#537847,#537848,#537849,#537850), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.5847584973979,14.3422958776485, 15.2984489361584,16.2546019946683,17.2107550531783,18.1669081116881,19.1230611701981, 19.8679438045775),.UNSPECIFIED.); #166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#537947,#537948,#537949,#537950,#537951, #537952,#537953,#537954,#537955,#537956,#537957,#537958,#537959,#537960, #537961,#537962,#537963,#537964,#537965,#537966,#537967,#537968,#537969, #537970,#537971,#537972,#537973,#537974,#537975,#537976,#537977,#537978, #537979,#537980,#537981,#537982,#537983,#537984,#537985,#537986,#537987, #537988,#537989,#537990,#537991,#537992,#537993,#537994,#537995,#537996, #537997,#537998,#537999,#538000,#538001,#538002,#538003,#538004,#538005, #538006,#538007),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.197553796660536,0.231535945415472,0.265751623228186,0.274655636771517, 0.308444752383093,0.342116173053136,0.375964136135479,0.409812099217823, 0.442702617064738,0.475226147789479,0.506859845705881,0.524468042390475, 0.542136072343072,0.574087491380715,0.606479798146206,0.638840605688813, 0.667738609061765,0.69962878623807,0.731351614450269,0.762367513279535, 0.770800592559279),.UNSPECIFIED.); #167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538008,#538009,#538010,#538011,#538012, #538013,#538014,#538015,#538016,#538017,#538018,#538019,#538020,#538021, #538022,#538023,#538024,#538025,#538026,#538027,#538028,#538029), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284035, 5.73137084740842,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538030,#538031,#538032,#538033,#538034, #538035,#538036,#538037,#538038,#538039,#538040,#538041,#538042,#538043, #538044,#538045,#538046,#538047,#538048,#538049,#538050,#538051,#538052, #538053,#538054,#538055,#538056,#538057,#538058,#538059,#538060,#538061, #538062,#538063,#538064,#538065,#538066,#538067,#538068,#538069,#538070, #538071,#538072,#538073,#538074,#538075,#538076,#538077,#538078,#538079, #538080,#538081,#538082,#538083,#538084,#538085,#538086,#538087,#538088, #538089,#538090,#538091,#538092,#538093,#538094,#538095,#538096,#538097, #538098,#538099,#538100,#538101,#538102,#538103,#538104,#538105), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,4),(0.,0.0301373732564671,0.0610668880588267,0.0928256598317153,0.120088450493417, 0.124631792255546,0.156651233112959,0.189091471221816,0.221324586112613, 0.243725435865792,0.249416875078833,0.25414220410304,0.287132364135632, 0.31092798512533,0.344298822218878,0.373498304675732,0.380318516389182, 0.384567245666018,0.417236098396679,0.450566102436749,0.484227127072338, 0.507644015772681,0.513688798017609,0.519341590959976,0.553244536676608, 0.577894681873714),.UNSPECIFIED.); #169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538106,#538107,#538108,#538109,#538110, #538111,#538112,#538113,#538114,#538115,#538116,#538117,#538118,#538119, #538120,#538121,#538122,#538123,#538124,#538125,#538126,#538127,#538128, #538129,#538130),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538165,#538166,#538167,#538168,#538169, #538170,#538171,#538172,#538173,#538174,#538175,#538176,#538177,#538178, #538179,#538180,#538181,#538182,#538183,#538184),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.300084456988397,-0.267654491435324,-0.229623692312708, -0.193115170787391,-0.172761008811399,-0.156442444751442,-0.13877680642052, -0.112883262176551,-0.0668185444856736,0.),.UNSPECIFIED.); #171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538186,#538187,#538188,#538189,#538190, #538191,#538192,#538193,#538194,#538195,#538196,#538197,#538198,#538199, #538200,#538201,#538202,#538203,#538204,#538205,#538206,#538207), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.34266485231954,-0.28805159589279, -0.24412528512265,-0.206154433670557,-0.185979872193928,-0.171345643523288, -0.15671223186611,-0.136541366957192,-0.098578600694933,-0.0546613582875133, 0.),.UNSPECIFIED.); #172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538209,#538210,#538211,#538212,#538213, #538214,#538215,#538216,#538217,#538218,#538219,#538220,#538221,#538222, #538223,#538224,#538225,#538226,#538227,#538228),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.389463990025639,-0.302780077273856,-0.242988462880462, -0.209377779983388,-0.186449164765296,-0.165270641337249,-0.1388569459936, -0.0914849656312801,-0.0421337063287963,0.),.UNSPECIFIED.); #173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538229,#538230,#538231,#538232), .UNSPECIFIED.,.F.,.F.,(4,4),(0.112447310412115,1.),.UNSPECIFIED.); #174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538262,#538263,#538264,#538265,#538266, #538267,#538268,#538269,#538270,#538271,#538272,#538273,#538274,#538275, #538276,#538277,#538278,#538279,#538280,#538281),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.300853426910385,-0.268618046728455,-0.230438459858207, -0.19372960793947,-0.173232577998753,-0.156788252288365,-0.138980999658642, -0.112887663251765,-0.0665435669691608,0.),.UNSPECIFIED.); #175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538283,#538284,#538285,#538286,#538287, #538288,#538289,#538290,#538291,#538292,#538293,#538294,#538295,#538296, #538297,#538298,#538299,#538300,#538301,#538302,#538303,#538304), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.343759576221542,-0.289445588928786, -0.245261084129695,-0.206997795303271,-0.186654698709415,-0.171893494133276, -0.157133109613568,-0.1367937208601,-0.0985385260853773,-0.0543630260458251, 0.),.UNSPECIFIED.); #176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538305,#538306,#538307,#538308,#538309, #538310,#538311,#538312,#538313,#538314,#538315,#538316,#538317,#538318, #538319,#538320,#538321,#538322,#538323,#538324),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.390217878420923,-0.303945003727842,-0.243828406555043, -0.209979561432347,-0.186881576414474,-0.16555315677337,-0.138970648841165, -0.0913683899369769,-0.0418548743430371,0.),.UNSPECIFIED.); #177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538357,#538358,#538359,#538360,#538361, #538362,#538363,#538364,#538365,#538366),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-4.09299088010945,-3.99717586531169,-2.99788189898377,-2.08103247056473), .UNSPECIFIED.); #178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538369,#538370,#538371,#538372,#538373, #538374,#538375),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.999293966327921,1.12963424404508), .UNSPECIFIED.); #179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538380,#538381,#538382,#538383,#538384, #538385,#538386,#538387,#538388,#538389,#538390,#538391,#538392,#538393, #538394,#538395,#538396,#538397,#538398,#538399,#538400,#538401), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-10.376176187289,-9.99293966327924, -8.99364569695131,-7.99435173062339,-6.99505776429547,-5.99576379796754, -4.99646983163962,-4.09299088010945),.UNSPECIFIED.); #180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538402,#538403,#538404,#538405,#538406, #538407,#538408,#538409,#538410,#538411,#538412,#538413,#538414,#538415, #538416,#538417,#538418,#538419,#538420,#538421,#538422,#538423), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.12963424404508,1.99858793265585, 2.99788189898377,3.99717586531169,4.99646983163961,5.99576379796753,6.99505776429546, 7.41281955122468),.UNSPECIFIED.); #181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538428,#538429,#538430,#538431,#538432, #538433,#538434,#538435,#538436,#538437,#538438,#538439,#538440,#538441, #538442,#538443,#538444,#538445,#538446,#538447,#538448,#538449), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-16.6593614944686,-15.9887034612468, -14.9894094949189,-13.9901155285909,-12.990821562263,-11.9915275959351, -10.9922336296072,-10.376176187289),.UNSPECIFIED.); #182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538450,#538451,#538452,#538453,#538454, #538455,#538456,#538457,#538458,#538459,#538460,#538461,#538462,#538463, #538464,#538465,#538466,#538467,#538468,#538469,#538470,#538471), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(7.41281955122468,7.99435173062338, 8.9936456969513,9.99293966327923,10.9922336296072,11.9915275959351,12.990821562263, 13.6960048584043),.UNSPECIFIED.); #183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538476,#538477,#538478,#538479,#538480, #538481,#538482,#538483,#538484,#538485,#538486,#538487,#538488,#538489, #538490,#538491,#538492,#538493,#538494,#538495,#538496,#538497), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-22.9425468016482,-21.9844672592143, -20.9851732928864,-19.9858793265585,-18.9865853602305,-17.9872913939026, -16.9879974275747,-16.6593614944686),.UNSPECIFIED.); #184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538498,#538499,#538500,#538501,#538502, #538503,#538504,#538505,#538506,#538507,#538508,#538509,#538510,#538511, #538512,#538513,#538514,#538515,#538516,#538517,#538518,#538519), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.6960048584043,13.9901155285909, 14.9894094949188,15.9887034612468,16.9879974275747,17.9872913939026,18.9865853602305, 19.9791901655838),.UNSPECIFIED.); #185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538524,#538525,#538526,#538527,#538528, #538529,#538530,#538531,#538532,#538533,#538534,#538535,#538536,#538537, #538538,#538539,#538540,#538541,#538542,#538543,#538544,#538545,#538546, #538547,#538548),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-29.2257321088278, -28.9795250235098,-27.9802310571819,-26.9809370908539,-25.981643124526, -24.9823491581981,-23.9830551918702,-22.9837612255422,-22.9425468016482), .UNSPECIFIED.); #186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538549,#538550,#538551,#538552,#538553, #538554,#538555,#538556,#538557,#538558,#538559,#538560,#538561,#538562, #538563,#538564,#538565,#538566,#538567,#538568,#538569,#538570,#538571, #538572,#538573),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(19.9791901655838, 19.9858793265585,20.9851732928864,21.9844672592143,22.9837612255422,23.9830551918702, 24.9823491581981,25.981643124526,26.2623754727634),.UNSPECIFIED.); #187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538578,#538579,#538580,#538581,#538582, #538583,#538584,#538585,#538586,#538587,#538588,#538589,#538590,#538591, #538592,#538593,#538594,#538595,#538596,#538597,#538598,#538599), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-35.5089174160074,-34.9752888214773, -33.9759948551494,-32.9767008888215,-31.9774069224935,-30.9781129561656, -29.9788189898377,-29.2257321088278),.UNSPECIFIED.); #188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538600,#538601,#538602,#538603,#538604, #538605,#538606,#538607,#538608,#538609,#538610,#538611,#538612,#538613, #538614,#538615,#538616,#538617,#538618,#538619,#538620,#538621), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(26.2623754727634,26.9809370908539, 27.9802310571818,28.9795250235098,29.9788189898377,30.9781129561656,31.9774069224935, 32.545560779943),.UNSPECIFIED.); #189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538626,#538627,#538628,#538629,#538630, #538631,#538632,#538633,#538634,#538635,#538636,#538637,#538638,#538639, #538640,#538641,#538642,#538643,#538644,#538645,#538646,#538647), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-41.792102723187,-40.9710526194448, -39.9717586531169,-38.972464686789,-37.9731707204611,-36.9738767541332, -35.9745827878052,-35.5089174160074),.UNSPECIFIED.); #190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538648,#538649,#538650,#538651,#538652, #538653,#538654,#538655,#538656,#538657,#538658,#538659,#538660,#538661, #538662,#538663,#538664,#538665,#538666,#538667,#538668,#538669), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(32.545560779943,32.9767008888215, 33.9759948551494,34.9752888214773,35.9745827878052,36.9738767541332,37.9731707204611, 38.8287460871226),.UNSPECIFIED.); #191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538675,#538676,#538677,#538678), .UNSPECIFIED.,.F.,.F.,(4,4),(53.4070751110265,53.5028744357034), .UNSPECIFIED.); #192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538684,#538685,#538686,#538687,#538688, #538689,#538690,#538691,#538692,#538693),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(47.1238898038469,47.5895551756447,48.5888491419727,49.5881431083006, 50.5874370746285,51.5867310409564,52.5860250072843,53.4070751110265), .UNSPECIFIED.); #193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538696,#538697,#538698,#538699,#538700, #538701,#538702,#538703,#538704),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4), (47.1238898038469,47.2676084035133,48.2669023698412,49.2661963361691,50.265490302497, 51.264784268825,52.2640782351529),.UNSPECIFIED.); #194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538709,#538710,#538711,#538712,#538713, #538714,#538715,#538716,#538717,#538718),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966673,41.5937913776772,42.5930853440051,43.592379310333, 44.591673276661,45.5909672429889,46.5902612093168,47.1238898038469), .UNSPECIFIED.); #195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538719,#538720,#538721,#538722,#538723, #538724,#538725,#538726,#538727,#538728),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966673,41.2718446055457,42.2711385718736,43.2704325382016, 44.2697265045295,45.2690204708574,46.2683144371853,47.1238898038469), .UNSPECIFIED.); #196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538733,#538734,#538735,#538736,#538737, #538738,#538739,#538740,#538741,#538742,#538743),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(34.5575191894877,34.5987336133817,35.5980275797097, 36.5973215460376,37.5966155123655,38.5959094786934,39.5952034450213,40.5944974113493, 40.8407044966673),.UNSPECIFIED.); #197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538744,#538745,#538746,#538747,#538748, #538749,#538750,#538751,#538752,#538753),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(34.5575191894877,35.2760808075782,36.2753747739061,37.274668740234, 38.273962706562,39.2732566728899,40.2725506392178,40.8407044966673), .UNSPECIFIED.); #198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538758,#538759,#538760,#538761,#538762, #538763,#538764,#538765,#538766,#538767),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(28.2743338823081,28.6029698154142,29.6022637817421,30.60155774807, 31.600851714398,32.6001456807259,33.5994396470538,34.5575191894877), .UNSPECIFIED.); #199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538768,#538769,#538770,#538771,#538772, #538773,#538774,#538775,#538776,#538777,#538778),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(28.2743338823081,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 34.5575191894877),.UNSPECIFIED.); #200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538783,#538784,#538785,#538786,#538787, #538788,#538789,#538790,#538791,#538792),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.6072060174466,23.6064999837746,24.6057939501025, 25.6050879164304,26.6043818827583,27.6036758490863,28.2743338823081), .UNSPECIFIED.); #201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538793,#538794,#538795,#538796,#538797, #538798,#538799,#538800,#538801,#538802),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2743338823081), .UNSPECIFIED.); #202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538807,#538808,#538809,#538810,#538811, #538812,#538813,#538814,#538815,#538816),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.6114422194791,17.610736185807,18.610030152135, 19.6093241184629,20.6086180847908,21.6079120511187,21.9911485751285), .UNSPECIFIED.); #203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538817,#538818,#538819,#538820,#538821, #538822,#538823,#538824,#538825,#538826),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.2894954473476,17.2887894136756,18.2880833800035, 19.2873773463314,20.2866713126593,21.2859652789873,21.9911485751285), .UNSPECIFIED.); #204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538899,#538900,#538901,#538902), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538904,#538905,#538906,#538907), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538919,#538920,#538921,#538922), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538926,#538927,#538928,#538929), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538975,#538976,#538977,#538978), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538980,#538981,#538982,#538983), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#538995,#538996,#538997,#538998), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#539002,#539003,#539004,#539005), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#539825,#539826,#539827,#539828,#539829, #539830,#539831,#539832,#539833,#539834),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (0.,0.000192671007650155,0.00590663818660522,0.0116206054170613), .UNSPECIFIED.); #213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#539871,#539872,#539873,#539874,#539875, #539876,#539877,#539878,#539879,#539880),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (0.,0.000192671007662196,0.00590663818697436,0.0116206054177875), .UNSPECIFIED.); #214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540114,#540115,#540116,#540117,#540118, #540119,#540120,#540121,#540122,#540123,#540124,#540125,#540126,#540127, #540128,#540129,#540130,#540131,#540132,#540133,#540134,#540135,#540136, #540137,#540138,#540139,#540140,#540141,#540142,#540143,#540144,#540145, #540146,#540147,#540148,#540149,#540150,#540151,#540152,#540153,#540154, #540155,#540156,#540157,#540158,#540159,#540160,#540161,#540162,#540163, #540164,#540165,#540166,#540167,#540168,#540169,#540170,#540171,#540172, #540173,#540174,#540175,#540176,#540177,#540178,#540179,#540180,#540181, #540182,#540183,#540184,#540185,#540186,#540187,#540188,#540189,#540190, #540191,#540192,#540193,#540194,#540195,#540196,#540197,#540198,#540199, #540200,#540201,#540202,#540203,#540204),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0285093330704206, 0.0614893831048949,0.0950750047347584,0.12865077711916,0.133607597639049, 0.166609849397579,0.199721859059318,0.23245881851531,0.248861614177143, 0.262790371463612,0.267668044157908,0.299912097392439,0.331796640601207, 0.363681183809974,0.383336417214561,0.39023019547543,0.394841117114028, 0.426027205279981,0.457950907618488,0.489737274614171,0.503703144947493, 0.510958182705072,0.51768804680144,0.549072301708232,0.581345455852015, 0.596265037378251,0.602159584265498,0.632654695668001,0.662301900872703, 0.678164918283363),.UNSPECIFIED.); #215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540205,#540206,#540207,#540208,#540209, #540210,#540211,#540212,#540213,#540214,#540215,#540216,#540217), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(38.8287460871226,38.972464686789,39.9717586531169, 40.9710526194448,41.8879020478639),.UNSPECIFIED.); #216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540219,#540220,#540221,#540222,#540223, #540224,#540225,#540226,#540227,#540228,#540229,#540230,#540231,#540232, #540233,#540234,#540235,#540236,#540237,#540238,#540239,#540240,#540241, #540242,#540243,#540244,#540245,#540246,#540247,#540248,#540249,#540250, #540251,#540252,#540253,#540254,#540255,#540256,#540257,#540258,#540259, #540260,#540261,#540262,#540263,#540264,#540265,#540266,#540267,#540268, #540269,#540270,#540271,#540272,#540273,#540274,#540275,#540276,#540277, #540278,#540279,#540280,#540281,#540282,#540283,#540284,#540285,#540286, #540287,#540288,#540289,#540290,#540291,#540292,#540293,#540294,#540295, #540296,#540297,#540298,#540299,#540300,#540301,#540302,#540303,#540304, #540305,#540306,#540307,#540308,#540309,#540310,#540311,#540312), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,4),(-0.678164918283374,-0.653019605872027,-0.623142040707636, -0.605244325234526,-0.598127537375138,-0.593289367946179,-0.562852118254754, -0.534592927615145,-0.522229531710317,-0.514794459358921,-0.509349180826916, -0.477783623631429,-0.445843812587846,-0.414246465123744,-0.394879497127279, -0.389944880814911,-0.357478284966471,-0.336778593137886,-0.305250982916031, -0.273129577641955,-0.264192482139836,-0.260014559496387,-0.227512739559339, -0.194619583036035,-0.161531774438317,-0.141340302633528,-0.133538604036132, -0.12864171610718,-0.0949838091748236,-0.0613860704948392,-0.0285745405382953, 0.),.UNSPECIFIED.); #217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540313,#540314,#540315,#540316,#540317, #540318,#540319,#540320,#540321),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4), (10.376176187289,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,15.7079632679489),.UNSPECIFIED.); #218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540325,#540326,#540327,#540328,#540329, #540330,#540331,#540332),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(11.6149723878395, 12.6142663541674,13.6135603204953,14.6128542868233,15.6121482531512,15.7079632679489), .UNSPECIFIED.); #219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540522,#540523,#540524,#540525,#540526, #540527,#540528,#540529,#540530,#540531,#540532,#540533,#540534,#540535, #540536,#540537,#540538,#540539,#540540,#540541,#540542,#540543,#540544, #540545,#540546,#540547,#540548,#540549,#540550,#540551,#540552,#540553, #540554,#540555,#540556,#540557,#540558,#540559,#540560,#540561,#540562, #540563,#540564,#540565,#540566,#540567,#540568,#540569,#540570,#540571, #540572,#540573,#540574,#540575,#540576,#540577,#540578,#540579,#540580, #540581,#540582,#540583,#540584,#540585,#540586,#540587,#540588,#540589, #540590,#540591,#540592,#540593,#540594,#540595,#540596,#540597,#540598, #540599,#540600,#540601,#540602,#540603,#540604,#540605,#540606,#540607, #540608,#540609),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.025098827128634,0.0546812766780232,0.0850098133584217, 0.116981533109208,0.12254580657625,0.153533770230209,0.185048406798586, 0.216676050621239,0.232189490714173,0.238726187549451,0.24499111810923, 0.277196033452011,0.309061571811656,0.340783571364715,0.361751698333449, 0.366953417649479,0.37186672981132,0.403110689050352,0.435139726370491, 0.46776949712979,0.494477254251835,0.499492956769647,0.532622234096667, 0.565893225712488,0.580697252153649,0.586400060015005,0.62004730522801, 0.653133072678216,0.676273602864456),.UNSPECIFIED.); #220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540610,#540611,#540612,#540613,#540614, #540615,#540616,#540617,#540618,#540619,#540620,#540621,#540622,#540623, #540624,#540625,#540626,#540627,#540628,#540629,#540630,#540631,#540632, #540633,#540634,#540635,#540636,#540637,#540638,#540639,#540640,#540641, #540642,#540643,#540644,#540645,#540646,#540647,#540648,#540649,#540650, #540651,#540652,#540653,#540654,#540655,#540656,#540657,#540658,#540659, #540660,#540661,#540662,#540663,#540664,#540665,#540666,#540667,#540668, #540669,#540670,#540671,#540672,#540673,#540674,#540675,#540676,#540677, #540678,#540679,#540680,#540681,#540682,#540683,#540684,#540685,#540686, #540687,#540688,#540689,#540690,#540691,#540692,#540693,#540694,#540695, #540696,#540697,#540698,#540699,#540700,#540701,#540702,#540703,#540704, #540705,#540706),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.676273602864444,-0.647854836969252, -0.614569928831212,-0.595781536526981,-0.588261735721003,-0.581338516588787, -0.547915658510629,-0.518670657692241,-0.505875969834196,-0.497564081987036, -0.492497003294197,-0.459706691860455,-0.427052622346171,-0.395326402629319, -0.37498371496287,-0.369250427288735,-0.364284881756537,-0.332710863028501, -0.313955651963522,-0.282044806805112,-0.249865480036214,-0.243750075241434, -0.23880947729889,-0.207346137562904,-0.175721031330502,-0.144391818177301, -0.126342840905138,-0.121007380335594,-0.116401388569252,-0.0855654787951348, -0.0551238878059207,-0.0256441261431375,0.),.UNSPECIFIED.); #221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#540707,#540708,#540709,#540710,#540711, #540712,#540713,#540714,#540715,#540716),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-43.9689345184286,-42.9696405521007,-41.9703465857728,-41.792102723187), .UNSPECIFIED.); #222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#541168,#541169,#541170,#541171,#541172, #541173,#541174,#541175,#541176,#541177),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-0.0116206054177213,-0.00590663818694071,-0.000192671007661098,0.), .UNSPECIFIED.); #223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#541195,#541196,#541197,#541198,#541199, #541200,#541201,#541202,#541203,#541204),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-0.0116206054171199,-0.00590663818663498,-0.000192671007651125,0.), .UNSPECIFIED.); #224=CONICAL_SURFACE('',#356105,0.96,0.785398163397447); #225=CONICAL_SURFACE('',#356238,0.22,0.785398163397449); #226=CONICAL_SURFACE('',#356241,0.22,0.785398163397448); #227=CONICAL_SURFACE('',#356242,0.22,0.785398163397448); #228=CONICAL_SURFACE('',#356245,0.22,0.785398163397449); #229=CONICAL_SURFACE('',#356246,0.81,0.785398163397447); #230=CONICAL_SURFACE('',#356248,0.81,0.785398163397448); #231=CONICAL_SURFACE('',#356249,0.81,0.785398163397447); #232=CONICAL_SURFACE('',#356251,0.81,0.785398163397447); #233=CONICAL_SURFACE('',#356296,0.15,0.785398163397447); #234=CONICAL_SURFACE('',#356297,0.25,0.785398163397447); #235=CONICAL_SURFACE('',#356300,0.96,0.785398163397448); #236=CONICAL_SURFACE('',#357173,1.08,0.785398163397448); #237=CONICAL_SURFACE('',#357175,1.08,0.785398163397448); #238=CONICAL_SURFACE('',#357177,1.08,0.785398163397448); #239=CONICAL_SURFACE('',#357179,1.08,0.785398163397448); #240=CONICAL_SURFACE('',#358749,2.49999999999999,0.463647609000805); #241=CONICAL_SURFACE('',#358760,2.49999999999999,0.463647609000805); #242=CONICAL_SURFACE('',#358762,2.49999999999999,0.463647609000805); #243=CONICAL_SURFACE('',#358764,2.49999999999999,0.463647609000805); #244=CONICAL_SURFACE('',#358766,2.49999999999999,0.463647609000805); #245=CONICAL_SURFACE('',#358768,2.49999999999999,0.463647609000805); #246=CONICAL_SURFACE('',#358770,2.49999999999999,0.463647609000805); #247=CONICAL_SURFACE('',#358772,2.49999999999999,0.463647609000805); #248=CONICAL_SURFACE('',#358794,2.49999999999999,0.463647609000805); #249=CONICAL_SURFACE('',#358797,2.49999999999999,0.463647609000805); #250=CONICAL_SURFACE('',#358800,2.49999999999999,0.463647609000805); #251=CONICAL_SURFACE('',#358803,2.49999999999999,0.463647609000805); #252=CONICAL_SURFACE('',#358806,2.49999999999999,0.463647609000805); #253=CONICAL_SURFACE('',#358809,2.49999999999999,0.463647609000805); #254=CONICAL_SURFACE('',#358812,2.49999999999999,0.463647609000805); #255=CONICAL_SURFACE('',#358817,2.49999999999999,0.463647609000805); #256=SPHERICAL_SURFACE('',#355961,0.016); #257=SPHERICAL_SURFACE('',#355965,0.016); #258=SPHERICAL_SURFACE('',#355969,0.016); #259=SPHERICAL_SURFACE('',#355973,0.016); #260=SPHERICAL_SURFACE('',#355977,0.016); #261=SPHERICAL_SURFACE('',#355982,0.016); #262=SPHERICAL_SURFACE('',#355986,0.016); #263=SPHERICAL_SURFACE('',#355992,0.016); #264=SPHERICAL_SURFACE('',#356011,0.016); #265=SPHERICAL_SURFACE('',#356015,0.016); #266=SPHERICAL_SURFACE('',#356019,0.016); #267=SPHERICAL_SURFACE('',#356023,0.016); #268=SPHERICAL_SURFACE('',#356027,0.016); #269=SPHERICAL_SURFACE('',#356032,0.016); #270=SPHERICAL_SURFACE('',#356036,0.0159999999999999); #271=SPHERICAL_SURFACE('',#356042,0.016); #272=SPHERICAL_SURFACE('',#357276,0.15); #273=SPHERICAL_SURFACE('',#357280,0.15); #274=SPHERICAL_SURFACE('',#357416,0.15); #275=SPHERICAL_SURFACE('',#357420,0.15); #276=SPHERICAL_SURFACE('',#357794,0.04); #277=SPHERICAL_SURFACE('',#357798,0.04); #278=SPHERICAL_SURFACE('',#357802,0.04); #279=SPHERICAL_SURFACE('',#357806,0.04); #280=SPHERICAL_SURFACE('',#357810,0.04); #281=SPHERICAL_SURFACE('',#357815,0.04); #282=SPHERICAL_SURFACE('',#357819,0.04); #283=SPHERICAL_SURFACE('',#357825,0.04); #284=SPHERICAL_SURFACE('',#357844,0.04); #285=SPHERICAL_SURFACE('',#357848,0.04); #286=SPHERICAL_SURFACE('',#357852,0.04); #287=SPHERICAL_SURFACE('',#357856,0.04); #288=SPHERICAL_SURFACE('',#357860,0.04); #289=SPHERICAL_SURFACE('',#357865,0.04); #290=SPHERICAL_SURFACE('',#357869,0.04); #291=SPHERICAL_SURFACE('',#357875,0.04); #292=SPHERICAL_SURFACE('',#357903,0.032); #293=SPHERICAL_SURFACE('',#357907,0.032); #294=SPHERICAL_SURFACE('',#357911,0.032); #295=SPHERICAL_SURFACE('',#357915,0.0319999999999999); #296=SPHERICAL_SURFACE('',#357919,0.032); #297=SPHERICAL_SURFACE('',#357924,0.032); #298=SPHERICAL_SURFACE('',#357928,0.0319999999999999); #299=SPHERICAL_SURFACE('',#357934,0.032); #300=SPHERICAL_SURFACE('',#357953,0.032); #301=SPHERICAL_SURFACE('',#357957,0.0319999999999999); #302=SPHERICAL_SURFACE('',#357961,0.032); #303=SPHERICAL_SURFACE('',#357965,0.032); #304=SPHERICAL_SURFACE('',#357969,0.032); #305=SPHERICAL_SURFACE('',#357974,0.032); #306=SPHERICAL_SURFACE('',#357978,0.0319999999999999); #307=SPHERICAL_SURFACE('',#357984,0.032); #308=SPHERICAL_SURFACE('',#358012,0.04); #309=SPHERICAL_SURFACE('',#358016,0.04); #310=SPHERICAL_SURFACE('',#358020,0.04); #311=SPHERICAL_SURFACE('',#358024,0.04); #312=SPHERICAL_SURFACE('',#358028,0.04); #313=SPHERICAL_SURFACE('',#358033,0.04); #314=SPHERICAL_SURFACE('',#358037,0.0399999999999998); #315=SPHERICAL_SURFACE('',#358043,0.04); #316=SPHERICAL_SURFACE('',#358062,0.04); #317=SPHERICAL_SURFACE('',#358066,0.04); #318=SPHERICAL_SURFACE('',#358070,0.04); #319=SPHERICAL_SURFACE('',#358074,0.04); #320=SPHERICAL_SURFACE('',#358078,0.04); #321=SPHERICAL_SURFACE('',#358083,0.04); #322=SPHERICAL_SURFACE('',#358087,0.0400000000000002); #323=SPHERICAL_SURFACE('',#358093,0.04); #324=SPHERICAL_SURFACE('',#359815,0.04); #325=SPHERICAL_SURFACE('',#359819,0.04); #326=SPHERICAL_SURFACE('',#359823,0.04); #327=SPHERICAL_SURFACE('',#359827,0.04); #328=SPHERICAL_SURFACE('',#359831,0.04); #329=SPHERICAL_SURFACE('',#359836,0.04); #330=SPHERICAL_SURFACE('',#359840,0.04); #331=SPHERICAL_SURFACE('',#359846,0.04); #332=SPHERICAL_SURFACE('',#359865,0.04); #333=SPHERICAL_SURFACE('',#359869,0.04); #334=SPHERICAL_SURFACE('',#359873,0.04); #335=SPHERICAL_SURFACE('',#359877,0.04); #336=SPHERICAL_SURFACE('',#359881,0.04); #337=SPHERICAL_SURFACE('',#359886,0.04); #338=SPHERICAL_SURFACE('',#359890,0.04); #339=SPHERICAL_SURFACE('',#359896,0.04); #340=SPHERICAL_SURFACE('',#360295,0.04); #341=SPHERICAL_SURFACE('',#360299,0.04); #342=SPHERICAL_SURFACE('',#360303,0.04); #343=SPHERICAL_SURFACE('',#360307,0.04); #344=SPHERICAL_SURFACE('',#360311,0.04); #345=SPHERICAL_SURFACE('',#360316,0.04); #346=SPHERICAL_SURFACE('',#360320,0.0399999999999998); #347=SPHERICAL_SURFACE('',#360326,0.04); #348=SPHERICAL_SURFACE('',#360345,0.04); #349=SPHERICAL_SURFACE('',#360349,0.04); #350=SPHERICAL_SURFACE('',#360353,0.04); #351=SPHERICAL_SURFACE('',#360357,0.04); #352=SPHERICAL_SURFACE('',#360361,0.04); #353=SPHERICAL_SURFACE('',#360366,0.04); #354=SPHERICAL_SURFACE('',#360370,0.0400000000000002); #355=SPHERICAL_SURFACE('',#360376,0.04); #356=ITEM_DEFINED_TRANSFORMATION($,$,#355853,#355958); #357=ITEM_DEFINED_TRANSFORMATION($,$,#355960,#356079); #358=ITEM_DEFINED_TRANSFORMATION($,$,#356083,#357679); #359=ITEM_DEFINED_TRANSFORMATION($,$,#356389,#357680); #360=ITEM_DEFINED_TRANSFORMATION($,$,#356418,#357681); #361=ITEM_DEFINED_TRANSFORMATION($,$,#357650,#357682); #362=ITEM_DEFINED_TRANSFORMATION($,$,#356447,#357683); #363=ITEM_DEFINED_TRANSFORMATION($,$,#356476,#357684); #364=ITEM_DEFINED_TRANSFORMATION($,$,#356505,#357685); #365=ITEM_DEFINED_TRANSFORMATION($,$,#356534,#357686); #366=ITEM_DEFINED_TRANSFORMATION($,$,#356563,#357687); #367=ITEM_DEFINED_TRANSFORMATION($,$,#356592,#357688); #368=ITEM_DEFINED_TRANSFORMATION($,$,#356621,#357689); #369=ITEM_DEFINED_TRANSFORMATION($,$,#356650,#357690); #370=ITEM_DEFINED_TRANSFORMATION($,$,#356679,#357691); #371=ITEM_DEFINED_TRANSFORMATION($,$,#356708,#357692); #372=ITEM_DEFINED_TRANSFORMATION($,$,#356737,#357693); #373=ITEM_DEFINED_TRANSFORMATION($,$,#356766,#357694); #374=ITEM_DEFINED_TRANSFORMATION($,$,#356795,#357695); #375=ITEM_DEFINED_TRANSFORMATION($,$,#356858,#357696); #376=ITEM_DEFINED_TRANSFORMATION($,$,#357650,#357697); #377=ITEM_DEFINED_TRANSFORMATION($,$,#356082,#357698); #378=ITEM_DEFINED_TRANSFORMATION($,$,#356081,#357699); #379=ITEM_DEFINED_TRANSFORMATION($,$,#357701,#357791); #380=ITEM_DEFINED_TRANSFORMATION($,$,#357793,#357900); #381=ITEM_DEFINED_TRANSFORMATION($,$,#357902,#358009); #382=ITEM_DEFINED_TRANSFORMATION($,$,#358011,#358118); #383=ITEM_DEFINED_TRANSFORMATION($,$,#358120,#358301); #384=ITEM_DEFINED_TRANSFORMATION($,$,#358303,#358468); #385=ITEM_DEFINED_TRANSFORMATION($,$,#358470,#358635); #386=ITEM_DEFINED_TRANSFORMATION($,$,#358637,#358672); #387=ITEM_DEFINED_TRANSFORMATION($,$,#358674,#359309); #388=ITEM_DEFINED_TRANSFORMATION($,$,#359311,#359812); #389=ITEM_DEFINED_TRANSFORMATION($,$,#359814,#359921); #390=ITEM_DEFINED_TRANSFORMATION($,$,#359923,#359964); #391=ITEM_DEFINED_TRANSFORMATION($,$,#359966,#360161); #392=ITEM_DEFINED_TRANSFORMATION($,$,#360163,#360292); #393=ITEM_DEFINED_TRANSFORMATION($,$,#360294,#360401); #394=ITEM_DEFINED_TRANSFORMATION($,$,#360403,#360502); #395=ITEM_DEFINED_TRANSFORMATION($,$,#360504,#360533); #396=ITEM_DEFINED_TRANSFORMATION($,$,#355852,#360534); #397=ITEM_DEFINED_TRANSFORMATION($,$,#355959,#360535); #398=ITEM_DEFINED_TRANSFORMATION($,$,#355959,#360536); #399=ITEM_DEFINED_TRANSFORMATION($,$,#355959,#360537); #400=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360538); #401=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360539); #402=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360540); #403=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360541); #404=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360542); #405=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360543); #406=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360544); #407=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360545); #408=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360546); #409=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360547); #410=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360548); #411=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360549); #412=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360550); #413=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360551); #414=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360552); #415=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360553); #416=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360554); #417=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360555); #418=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360556); #419=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360557); #420=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360558); #421=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360559); #422=ITEM_DEFINED_TRANSFORMATION($,$,#356080,#360560); #423=ITEM_DEFINED_TRANSFORMATION($,$,#357700,#360561); #424=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360562); #425=ITEM_DEFINED_TRANSFORMATION($,$,#357792,#360563); #426=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360564); #427=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360565); #428=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360566); #429=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360567); #430=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360568); #431=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360569); #432=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360570); #433=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360571); #434=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360572); #435=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360573); #436=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360574); #437=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360575); #438=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360576); #439=ITEM_DEFINED_TRANSFORMATION($,$,#357901,#360577); #440=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360578); #441=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360579); #442=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360580); #443=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360581); #444=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360582); #445=ITEM_DEFINED_TRANSFORMATION($,$,#358010,#360583); #446=ITEM_DEFINED_TRANSFORMATION($,$,#358119,#360584); #447=ITEM_DEFINED_TRANSFORMATION($,$,#358119,#360585); #448=ITEM_DEFINED_TRANSFORMATION($,$,#358302,#360586); #449=ITEM_DEFINED_TRANSFORMATION($,$,#358469,#360587); #450=ITEM_DEFINED_TRANSFORMATION($,$,#358469,#360588); #451=ITEM_DEFINED_TRANSFORMATION($,$,#358469,#360589); #452=ITEM_DEFINED_TRANSFORMATION($,$,#358469,#360590); #453=ITEM_DEFINED_TRANSFORMATION($,$,#358636,#360591); #454=ITEM_DEFINED_TRANSFORMATION($,$,#358673,#360592); #455=ITEM_DEFINED_TRANSFORMATION($,$,#359310,#360593); #456=ITEM_DEFINED_TRANSFORMATION($,$,#359813,#360594); #457=ITEM_DEFINED_TRANSFORMATION($,$,#359813,#360595); #458=ITEM_DEFINED_TRANSFORMATION($,$,#359922,#360596); #459=ITEM_DEFINED_TRANSFORMATION($,$,#359922,#360597); #460=ITEM_DEFINED_TRANSFORMATION($,$,#359965,#360598); #461=ITEM_DEFINED_TRANSFORMATION($,$,#360162,#360599); #462=ITEM_DEFINED_TRANSFORMATION($,$,#360293,#360600); #463=ITEM_DEFINED_TRANSFORMATION($,$,#360402,#360601); #464=ITEM_DEFINED_TRANSFORMATION($,$,#360503,#360602); #465=ITEM_DEFINED_TRANSFORMATION($,$,#335172,#360603); #466=ITEM_DEFINED_TRANSFORMATION($,$,#338904,#360604); #467=ITEM_DEFINED_TRANSFORMATION($,$,#347256,#360605); #468=ITEM_DEFINED_TRANSFORMATION($,$,#353787,#360606); #469=ITEM_DEFINED_TRANSFORMATION($,$,#354819,#360607); #470=ITEM_DEFINED_TRANSFORMATION($,$,#355851,#360608); #471=( REPRESENTATION_RELATIONSHIP($,$,#546375,#546374) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#356) SHAPE_REPRESENTATION_RELATIONSHIP() ); #472=( REPRESENTATION_RELATIONSHIP($,$,#546377,#546376) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#357) SHAPE_REPRESENTATION_RELATIONSHIP() ); #473=( REPRESENTATION_RELATIONSHIP($,$,#546381,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#358) SHAPE_REPRESENTATION_RELATIONSHIP() ); #474=( REPRESENTATION_RELATIONSHIP($,$,#546382,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#359) SHAPE_REPRESENTATION_RELATIONSHIP() ); #475=( REPRESENTATION_RELATIONSHIP($,$,#546383,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#360) SHAPE_REPRESENTATION_RELATIONSHIP() ); #476=( REPRESENTATION_RELATIONSHIP($,$,#546398,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#361) SHAPE_REPRESENTATION_RELATIONSHIP() ); #477=( REPRESENTATION_RELATIONSHIP($,$,#546384,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#362) SHAPE_REPRESENTATION_RELATIONSHIP() ); #478=( REPRESENTATION_RELATIONSHIP($,$,#546385,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#363) SHAPE_REPRESENTATION_RELATIONSHIP() ); #479=( REPRESENTATION_RELATIONSHIP($,$,#546386,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#364) SHAPE_REPRESENTATION_RELATIONSHIP() ); #480=( REPRESENTATION_RELATIONSHIP($,$,#546387,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#365) SHAPE_REPRESENTATION_RELATIONSHIP() ); #481=( REPRESENTATION_RELATIONSHIP($,$,#546388,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#366) SHAPE_REPRESENTATION_RELATIONSHIP() ); #482=( REPRESENTATION_RELATIONSHIP($,$,#546389,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#367) SHAPE_REPRESENTATION_RELATIONSHIP() ); #483=( REPRESENTATION_RELATIONSHIP($,$,#546390,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#368) SHAPE_REPRESENTATION_RELATIONSHIP() ); #484=( REPRESENTATION_RELATIONSHIP($,$,#546391,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#369) SHAPE_REPRESENTATION_RELATIONSHIP() ); #485=( REPRESENTATION_RELATIONSHIP($,$,#546392,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#370) SHAPE_REPRESENTATION_RELATIONSHIP() ); #486=( REPRESENTATION_RELATIONSHIP($,$,#546393,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#371) SHAPE_REPRESENTATION_RELATIONSHIP() ); #487=( REPRESENTATION_RELATIONSHIP($,$,#546394,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#372) SHAPE_REPRESENTATION_RELATIONSHIP() ); #488=( REPRESENTATION_RELATIONSHIP($,$,#546395,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#373) SHAPE_REPRESENTATION_RELATIONSHIP() ); #489=( REPRESENTATION_RELATIONSHIP($,$,#546396,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#374) SHAPE_REPRESENTATION_RELATIONSHIP() ); #490=( REPRESENTATION_RELATIONSHIP($,$,#546397,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#375) SHAPE_REPRESENTATION_RELATIONSHIP() ); #491=( REPRESENTATION_RELATIONSHIP($,$,#546398,#546380) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#376) SHAPE_REPRESENTATION_RELATIONSHIP() ); #492=( REPRESENTATION_RELATIONSHIP($,$,#546380,#546379) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#377) SHAPE_REPRESENTATION_RELATIONSHIP() ); #493=( REPRESENTATION_RELATIONSHIP($,$,#546379,#546378) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#378) SHAPE_REPRESENTATION_RELATIONSHIP() ); #494=( REPRESENTATION_RELATIONSHIP($,$,#546400,#546399) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#379) SHAPE_REPRESENTATION_RELATIONSHIP() ); #495=( REPRESENTATION_RELATIONSHIP($,$,#546402,#546401) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#380) SHAPE_REPRESENTATION_RELATIONSHIP() ); #496=( REPRESENTATION_RELATIONSHIP($,$,#546404,#546403) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#381) SHAPE_REPRESENTATION_RELATIONSHIP() ); #497=( REPRESENTATION_RELATIONSHIP($,$,#546406,#546405) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#382) SHAPE_REPRESENTATION_RELATIONSHIP() ); #498=( REPRESENTATION_RELATIONSHIP($,$,#546408,#546407) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#383) SHAPE_REPRESENTATION_RELATIONSHIP() ); #499=( REPRESENTATION_RELATIONSHIP($,$,#546410,#546409) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#384) SHAPE_REPRESENTATION_RELATIONSHIP() ); #500=( REPRESENTATION_RELATIONSHIP($,$,#546412,#546411) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#385) SHAPE_REPRESENTATION_RELATIONSHIP() ); #501=( REPRESENTATION_RELATIONSHIP($,$,#546414,#546413) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#386) SHAPE_REPRESENTATION_RELATIONSHIP() ); #502=( REPRESENTATION_RELATIONSHIP($,$,#546416,#546415) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#387) SHAPE_REPRESENTATION_RELATIONSHIP() ); #503=( REPRESENTATION_RELATIONSHIP($,$,#546418,#546417) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#388) SHAPE_REPRESENTATION_RELATIONSHIP() ); #504=( REPRESENTATION_RELATIONSHIP($,$,#546420,#546419) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#389) SHAPE_REPRESENTATION_RELATIONSHIP() ); #505=( REPRESENTATION_RELATIONSHIP($,$,#546422,#546421) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#390) SHAPE_REPRESENTATION_RELATIONSHIP() ); #506=( REPRESENTATION_RELATIONSHIP($,$,#546424,#546423) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#391) SHAPE_REPRESENTATION_RELATIONSHIP() ); #507=( REPRESENTATION_RELATIONSHIP($,$,#546426,#546425) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#392) SHAPE_REPRESENTATION_RELATIONSHIP() ); #508=( REPRESENTATION_RELATIONSHIP($,$,#546428,#546427) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#393) SHAPE_REPRESENTATION_RELATIONSHIP() ); #509=( REPRESENTATION_RELATIONSHIP($,$,#546430,#546429) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#394) SHAPE_REPRESENTATION_RELATIONSHIP() ); #510=( REPRESENTATION_RELATIONSHIP($,$,#546432,#546431) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#395) SHAPE_REPRESENTATION_RELATIONSHIP() ); #511=( REPRESENTATION_RELATIONSHIP($,$,#546374,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#396) SHAPE_REPRESENTATION_RELATIONSHIP() ); #512=( REPRESENTATION_RELATIONSHIP($,$,#546376,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#397) SHAPE_REPRESENTATION_RELATIONSHIP() ); #513=( REPRESENTATION_RELATIONSHIP($,$,#546376,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#398) SHAPE_REPRESENTATION_RELATIONSHIP() ); #514=( REPRESENTATION_RELATIONSHIP($,$,#546376,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#399) SHAPE_REPRESENTATION_RELATIONSHIP() ); #515=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#400) SHAPE_REPRESENTATION_RELATIONSHIP() ); #516=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#401) SHAPE_REPRESENTATION_RELATIONSHIP() ); #517=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#402) SHAPE_REPRESENTATION_RELATIONSHIP() ); #518=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#403) SHAPE_REPRESENTATION_RELATIONSHIP() ); #519=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#404) SHAPE_REPRESENTATION_RELATIONSHIP() ); #520=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#405) SHAPE_REPRESENTATION_RELATIONSHIP() ); #521=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#406) SHAPE_REPRESENTATION_RELATIONSHIP() ); #522=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#407) SHAPE_REPRESENTATION_RELATIONSHIP() ); #523=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#408) SHAPE_REPRESENTATION_RELATIONSHIP() ); #524=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#409) SHAPE_REPRESENTATION_RELATIONSHIP() ); #525=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#410) SHAPE_REPRESENTATION_RELATIONSHIP() ); #526=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#411) SHAPE_REPRESENTATION_RELATIONSHIP() ); #527=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#412) SHAPE_REPRESENTATION_RELATIONSHIP() ); #528=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#413) SHAPE_REPRESENTATION_RELATIONSHIP() ); #529=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#414) SHAPE_REPRESENTATION_RELATIONSHIP() ); #530=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#415) SHAPE_REPRESENTATION_RELATIONSHIP() ); #531=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#416) SHAPE_REPRESENTATION_RELATIONSHIP() ); #532=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#417) SHAPE_REPRESENTATION_RELATIONSHIP() ); #533=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#418) SHAPE_REPRESENTATION_RELATIONSHIP() ); #534=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#419) SHAPE_REPRESENTATION_RELATIONSHIP() ); #535=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#420) SHAPE_REPRESENTATION_RELATIONSHIP() ); #536=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#421) SHAPE_REPRESENTATION_RELATIONSHIP() ); #537=( REPRESENTATION_RELATIONSHIP($,$,#546378,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#422) SHAPE_REPRESENTATION_RELATIONSHIP() ); #538=( REPRESENTATION_RELATIONSHIP($,$,#546399,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#423) SHAPE_REPRESENTATION_RELATIONSHIP() ); #539=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#424) SHAPE_REPRESENTATION_RELATIONSHIP() ); #540=( REPRESENTATION_RELATIONSHIP($,$,#546401,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#425) SHAPE_REPRESENTATION_RELATIONSHIP() ); #541=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#426) SHAPE_REPRESENTATION_RELATIONSHIP() ); #542=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#427) SHAPE_REPRESENTATION_RELATIONSHIP() ); #543=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#428) SHAPE_REPRESENTATION_RELATIONSHIP() ); #544=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#429) SHAPE_REPRESENTATION_RELATIONSHIP() ); #545=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#430) SHAPE_REPRESENTATION_RELATIONSHIP() ); #546=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#431) SHAPE_REPRESENTATION_RELATIONSHIP() ); #547=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#432) SHAPE_REPRESENTATION_RELATIONSHIP() ); #548=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#433) SHAPE_REPRESENTATION_RELATIONSHIP() ); #549=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#434) SHAPE_REPRESENTATION_RELATIONSHIP() ); #550=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#435) SHAPE_REPRESENTATION_RELATIONSHIP() ); #551=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#436) SHAPE_REPRESENTATION_RELATIONSHIP() ); #552=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#437) SHAPE_REPRESENTATION_RELATIONSHIP() ); #553=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#438) SHAPE_REPRESENTATION_RELATIONSHIP() ); #554=( REPRESENTATION_RELATIONSHIP($,$,#546403,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#439) SHAPE_REPRESENTATION_RELATIONSHIP() ); #555=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#440) SHAPE_REPRESENTATION_RELATIONSHIP() ); #556=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#441) SHAPE_REPRESENTATION_RELATIONSHIP() ); #557=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#442) SHAPE_REPRESENTATION_RELATIONSHIP() ); #558=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#443) SHAPE_REPRESENTATION_RELATIONSHIP() ); #559=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#444) SHAPE_REPRESENTATION_RELATIONSHIP() ); #560=( REPRESENTATION_RELATIONSHIP($,$,#546405,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#445) SHAPE_REPRESENTATION_RELATIONSHIP() ); #561=( REPRESENTATION_RELATIONSHIP($,$,#546407,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#446) SHAPE_REPRESENTATION_RELATIONSHIP() ); #562=( REPRESENTATION_RELATIONSHIP($,$,#546407,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#447) SHAPE_REPRESENTATION_RELATIONSHIP() ); #563=( REPRESENTATION_RELATIONSHIP($,$,#546409,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#448) SHAPE_REPRESENTATION_RELATIONSHIP() ); #564=( REPRESENTATION_RELATIONSHIP($,$,#546411,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#449) SHAPE_REPRESENTATION_RELATIONSHIP() ); #565=( REPRESENTATION_RELATIONSHIP($,$,#546411,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#450) SHAPE_REPRESENTATION_RELATIONSHIP() ); #566=( REPRESENTATION_RELATIONSHIP($,$,#546411,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#451) SHAPE_REPRESENTATION_RELATIONSHIP() ); #567=( REPRESENTATION_RELATIONSHIP($,$,#546411,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#452) SHAPE_REPRESENTATION_RELATIONSHIP() ); #568=( REPRESENTATION_RELATIONSHIP($,$,#546413,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#453) SHAPE_REPRESENTATION_RELATIONSHIP() ); #569=( REPRESENTATION_RELATIONSHIP($,$,#546415,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#454) SHAPE_REPRESENTATION_RELATIONSHIP() ); #570=( REPRESENTATION_RELATIONSHIP($,$,#546417,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#455) SHAPE_REPRESENTATION_RELATIONSHIP() ); #571=( REPRESENTATION_RELATIONSHIP($,$,#546419,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#456) SHAPE_REPRESENTATION_RELATIONSHIP() ); #572=( REPRESENTATION_RELATIONSHIP($,$,#546419,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#457) SHAPE_REPRESENTATION_RELATIONSHIP() ); #573=( REPRESENTATION_RELATIONSHIP($,$,#546421,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#458) SHAPE_REPRESENTATION_RELATIONSHIP() ); #574=( REPRESENTATION_RELATIONSHIP($,$,#546421,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#459) SHAPE_REPRESENTATION_RELATIONSHIP() ); #575=( REPRESENTATION_RELATIONSHIP($,$,#546423,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#460) SHAPE_REPRESENTATION_RELATIONSHIP() ); #576=( REPRESENTATION_RELATIONSHIP($,$,#546425,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#461) SHAPE_REPRESENTATION_RELATIONSHIP() ); #577=( REPRESENTATION_RELATIONSHIP($,$,#546427,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#462) SHAPE_REPRESENTATION_RELATIONSHIP() ); #578=( REPRESENTATION_RELATIONSHIP($,$,#546429,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#463) SHAPE_REPRESENTATION_RELATIONSHIP() ); #579=( REPRESENTATION_RELATIONSHIP($,$,#546431,#546373) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#464) SHAPE_REPRESENTATION_RELATIONSHIP() ); #580=( REPRESENTATION_RELATIONSHIP($,$,#546368,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#465) SHAPE_REPRESENTATION_RELATIONSHIP() ); #581=( REPRESENTATION_RELATIONSHIP($,$,#546369,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#466) SHAPE_REPRESENTATION_RELATIONSHIP() ); #582=( REPRESENTATION_RELATIONSHIP($,$,#546370,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#467) SHAPE_REPRESENTATION_RELATIONSHIP() ); #583=( REPRESENTATION_RELATIONSHIP($,$,#546371,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#468) SHAPE_REPRESENTATION_RELATIONSHIP() ); #584=( REPRESENTATION_RELATIONSHIP($,$,#546372,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#469) SHAPE_REPRESENTATION_RELATIONSHIP() ); #585=( REPRESENTATION_RELATIONSHIP($,$,#546373,#546367) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#470) SHAPE_REPRESENTATION_RELATIONSHIP() ); #586=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#471,#546195); #587=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#472,#546198); #588=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#473,#546220); #589=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#474,#546221); #590=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#475,#546222); #591=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#476,#546223); #592=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#477,#546224); #593=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#478,#546225); #594=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#479,#546226); #595=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#480,#546227); #596=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#481,#546228); #597=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#482,#546229); #598=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#483,#546230); #599=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#484,#546231); #600=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#485,#546232); #601=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#486,#546233); #602=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#487,#546234); #603=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#488,#546235); #604=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#489,#546236); #605=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#490,#546237); #606=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#491,#546238); #607=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#492,#546239); #608=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#493,#546240); #609=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#494,#546243); #610=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#495,#546246); #611=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#496,#546249); #612=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#497,#546252); #613=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#498,#546255); #614=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#499,#546258); #615=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#500,#546261); #616=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#501,#546264); #617=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#502,#546267); #618=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#503,#546270); #619=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#504,#546273); #620=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#505,#546276); #621=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#506,#546279); #622=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#507,#546282); #623=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#508,#546285); #624=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#509,#546288); #625=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#510,#546291); #626=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#511,#546292); #627=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#512,#546293); #628=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#513,#546294); #629=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#514,#546295); #630=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#515,#546296); #631=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#516,#546297); #632=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#517,#546298); #633=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#518,#546299); #634=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#519,#546300); #635=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#520,#546301); #636=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#521,#546302); #637=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#522,#546303); #638=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#523,#546304); #639=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#524,#546305); #640=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#525,#546306); #641=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#526,#546307); #642=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#527,#546308); #643=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#528,#546309); #644=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#529,#546310); #645=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#530,#546311); #646=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#531,#546312); #647=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#532,#546313); #648=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#533,#546314); #649=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#534,#546315); #650=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#535,#546316); #651=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#536,#546317); #652=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#537,#546318); #653=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#538,#546319); #654=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#539,#546320); #655=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#540,#546321); #656=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#541,#546322); #657=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#542,#546323); #658=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#543,#546324); #659=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#544,#546325); #660=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#545,#546326); #661=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#546,#546327); #662=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#547,#546328); #663=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#548,#546329); #664=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#549,#546330); #665=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#550,#546331); #666=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#551,#546332); #667=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#552,#546333); #668=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#553,#546334); #669=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#554,#546335); #670=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#555,#546336); #671=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#556,#546337); #672=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#557,#546338); #673=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#558,#546339); #674=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#559,#546340); #675=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#560,#546341); #676=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#561,#546342); #677=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#562,#546343); #678=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#563,#546344); #679=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#564,#546345); #680=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#565,#546346); #681=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#566,#546347); #682=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#567,#546348); #683=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#568,#546349); #684=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#569,#546350); #685=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#570,#546351); #686=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#571,#546352); #687=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#572,#546353); #688=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#573,#546354); #689=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#574,#546355); #690=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#575,#546356); #691=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#576,#546357); #692=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#577,#546358); #693=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#578,#546359); #694=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#579,#546360); #695=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#580,#546361); #696=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#581,#546362); #697=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#582,#546363); #698=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#583,#546364); #699=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#584,#546365); #700=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#585,#546366); #701=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model:1','Model:1','Model:1',#546441, #546442,'Model:1'); #702=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#546443,#546444,'Model (7):1'); #703=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Housing:1', '629722000214_Housing:1','629722000214_Housing:1',#546447,#546448, '629722000214_Housing:1'); #704=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top:1', '629722000214_Pin1_Top:1','629722000214_Pin1_Top:1',#546447,#546449, '629722000214_Pin1_Top:1'); #705=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom:1', '629722000214_Pin4_Bottom:1','629722000214_Pin4_Bottom:1',#546447,#546450, '629722000214_Pin4_Bottom:1'); #706=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:1', '629722000214_Pin4_Top:1','629722000214_Pin4_Top:1',#546447,#546465, '629722000214_Pin4_Top:1'); #707=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom:1', '629722000214_Pin1_Bottom:1','629722000214_Pin1_Bottom:1',#546447,#546451, '629722000214_Pin1_Bottom:1'); #708=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom:1', '629722000214_Pin3_Bottom:1','629722000214_Pin3_Bottom:1',#546447,#546452, '629722000214_Pin3_Bottom:1'); #709=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top:1', '629722000214_Pin3_Top:1','629722000214_Pin3_Top:1',#546447,#546453, '629722000214_Pin3_Top:1'); #710=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top:1', '629722000214_Pin2_Top:1','629722000214_Pin2_Top:1',#546447,#546454, '629722000214_Pin2_Top:1'); #711=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom:1', '629722000214_Pin2_Bottom:1','629722000214_Pin2_Bottom:1',#546447,#546455, '629722000214_Pin2_Bottom:1'); #712=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top_mir:1', '629722000214_Pin1_Top_mir:1','629722000214_Pin1_Top_mir:1',#546447,#546456, '629722000214_Pin1_Top_mir:1'); #713=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom_mir:1', '629722000214_Pin1_Bottom_mir:1','629722000214_Pin1_Bottom_mir:1',#546447, #546457,'629722000214_Pin1_Bottom_mir:1'); #714=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top_mir:1', '629722000214_Pin2_Top_mir:1','629722000214_Pin2_Top_mir:1',#546447,#546458, '629722000214_Pin2_Top_mir:1'); #715=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom_mir:1', '629722000214_Pin2_Bottom_mir:1','629722000214_Pin2_Bottom_mir:1',#546447, #546459,'629722000214_Pin2_Bottom_mir:1'); #716=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top_mir:1', '629722000214_Pin3_Top_mir:1','629722000214_Pin3_Top_mir:1',#546447,#546460, '629722000214_Pin3_Top_mir:1'); #717=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom_mir:1', '629722000214_Pin3_Bottom_mir:1','629722000214_Pin3_Bottom_mir:1',#546447, #546461,'629722000214_Pin3_Bottom_mir:1'); #718=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom_mir:1', '629722000214_Pin4_Bottom_mir:1','629722000214_Pin4_Bottom_mir:1',#546447, #546462,'629722000214_Pin4_Bottom_mir:1'); #719=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding2:1', '629722000214_Shielding2:1','629722000214_Shielding2:1',#546447,#546463, '629722000214_Shielding2:1'); #720=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding:1', '629722000214_Shielding:1','629722000214_Shielding:1',#546447,#546464, '629722000214_Shielding:1'); #721=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:2', '629722000214_Pin4_Top:2','629722000214_Pin4_Top:2',#546447,#546465, '629722000214_Pin4_Top:2'); #722=NEXT_ASSEMBLY_USAGE_OCCURRENCE('34707316.f3d :1','34707316.f3d :1', '34707316.f3d :1',#546446,#546447,'34707316.f3d :1'); #723=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (19):1','Model (19):1', 'Model (19):1',#546445,#546446,'Model (19):1'); #724=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#546466,#546467,'Model (20):1'); #725=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#546468,#546469,'Model (10):1'); #726=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (1):1','Model (1):1', 'Model (1):1',#546470,#546471,'Model (1):1'); #727=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (2):1','Model (2):1', 'Model (2):1',#546472,#546473,'Model (2):1'); #728=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (3):1','Model (3):1', 'Model (3):1',#546474,#546475,'Model (3):1'); #729=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (4):1','Model (4):1', 'Model (4):1',#546476,#546477,'Model (4):1'); #730=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (5):1','Model (5):1', 'Model (5):1',#546478,#546479,'Model (5):1'); #731=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (6):1','Model (6):1', 'Model (6):1',#546480,#546481,'Model (6):1'); #732=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (8):1','Model (8):1', 'Model (8):1',#546482,#546483,'Model (8):1'); #733=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (9):1','Model (9):1', 'Model (9):1',#546484,#546485,'Model (9):1'); #734=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (11):1','Model (11):1', 'Model (11):1',#546486,#546487,'Model (11):1'); #735=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (12):1','Model (12):1', 'Model (12):1',#546488,#546489,'Model (12):1'); #736=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (13):1','Model (13):1', 'Model (13):1',#546490,#546491,'Model (13):1'); #737=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (14):1','Model (14):1', 'Model (14):1',#546492,#546493,'Model (14):1'); #738=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (15):1','Model (15):1', 'Model (15):1',#546494,#546495,'Model (15):1'); #739=NEXT_ASSEMBLY_USAGE_OCCURRENCE('42903.f3d :1','42903.f3d :1', '42903.f3d :1',#546496,#546497,'42903.f3d :1'); #740=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (16):1','Model (16):1', 'Model (16):1',#546498,#546499,'Model (16):1'); #741=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2510-2.54-4P:JP3', '2510-2.54-4P:JP3','2510-2.54-4P:JP3',#546440,#546441, '2510-2.54-4P:JP3'); #742=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED1','LED0603:LED1', 'LED0603:LED1',#546440,#546443,'LED0603:LED1'); #743=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED2','LED0603:LED2', 'LED0603:LED2',#546440,#546443,'LED0603:LED2'); #744=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED3','LED0603:LED3', 'LED0603:LED3',#546440,#546443,'LED0603:LED3'); #745=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R10','R0603:R10','R0603:R10', #546440,#546468,'R0603:R10'); #746=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R2','R0603:R2','R0603:R2',#546440, #546468,'R0603:R2'); #747=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R3','R0603:R3','R0603:R3',#546440, #546468,'R0603:R3'); #748=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R4','R0603:R4','R0603:R4',#546440, #546468,'R0603:R4'); #749=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R5','R0603:R5','R0603:R5',#546440, #546468,'R0603:R5'); #750=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R6','R0603:R6','R0603:R6',#546440, #546468,'R0603:R6'); #751=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R7','R0603:R7','R0603:R7',#546440, #546468,'R0603:R7'); #752=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R8','R0603:R8','R0603:R8',#546440, #546468,'R0603:R8'); #753=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R11','R0603:R11','R0603:R11', #546440,#546468,'R0603:R11'); #754=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R12','R0603:R12','R0603:R12', #546440,#546468,'R0603:R12'); #755=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R13','R0603:R13','R0603:R13', #546440,#546468,'R0603:R13'); #756=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R14','R0603:R14','R0603:R14', #546440,#546468,'R0603:R14'); #757=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R15','R0603:R15','R0603:R15', #546440,#546468,'R0603:R15'); #758=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R16','R0603:R16','R0603:R16', #546440,#546468,'R0603:R16'); #759=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R17','R0603:R17','R0603:R17', #546440,#546468,'R0603:R17'); #760=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R18','R0603:R18','R0603:R18', #546440,#546468,'R0603:R18'); #761=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R19','R0603:R19','R0603:R19', #546440,#546468,'R0603:R19'); #762=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R20','R0603:R20','R0603:R20', #546440,#546468,'R0603:R20'); #763=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R21','R0603:R21','R0603:R21', #546440,#546468,'R0603:R21'); #764=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R22','R0603:R22','R0603:R22', #546440,#546468,'R0603:R22'); #765=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R24','R0603:R24','R0603:R24', #546440,#546468,'R0603:R24'); #766=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R26','R0603:R26','R0603:R26', #546440,#546468,'R0603:R26'); #767=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TYPE-C-16P-FH:J1', 'TYPE-C-16P-FH:J1','TYPE-C-16P-FH:J1',#546440,#546445, 'TYPE-C-16P-FH:J1'); #768=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP1','XH-2.50-2P:JP1', 'XH-2.50-2P:JP1',#546440,#546466,'XH-2.50-2P:JP1'); #769=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R23','R0603:R23','R0603:R23', #546440,#546468,'R0603:R23'); #770=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R25','R0603:R25','R0603:R25', #546440,#546468,'R0603:R25'); #771=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C9','C0603:C9','C0603:C9',#546440, #546470,'C0603:C9'); #772=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C10','C0603:C10','C0603:C10', #546440,#546470,'C0603:C10'); #773=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C11','C0603:C11','C0603:C11', #546440,#546470,'C0603:C11'); #774=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C12','C0603:C12','C0603:C12', #546440,#546470,'C0603:C12'); #775=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C13','C0603:C13','C0603:C13', #546440,#546470,'C0603:C13'); #776=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C14','C0603:C14','C0603:C14', #546440,#546470,'C0603:C14'); #777=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C15','C0603:C15','C0603:C15', #546440,#546470,'C0603:C15'); #778=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C16','C0603:C16','C0603:C16', #546440,#546470,'C0603:C16'); #779=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C17','C0603:C17','C0603:C17', #546440,#546470,'C0603:C17'); #780=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C18','C0603:C18','C0603:C18', #546440,#546470,'C0603:C18'); #781=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C19','C0603:C19','C0603:C19', #546440,#546470,'C0603:C19'); #782=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C20','C0603:C20','C0603:C20', #546440,#546470,'C0603:C20'); #783=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C21','C0603:C21','C0603:C21', #546440,#546470,'C0603:C21'); #784=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C22','C0603:C22','C0603:C22', #546440,#546470,'C0603:C22'); #785=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C3','C1210:C3','C1210:C3',#546440, #546472,'C1210:C3'); #786=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C4','C1210:C4','C1210:C4',#546440, #546472,'C1210:C4'); #787=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C5','C1210:C5','C1210:C5',#546440, #546472,'C1210:C5'); #788=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C6','C1210:C6','C1210:C6',#546440, #546472,'C1210:C6'); #789=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C7','C1210:C7','C1210:C7',#546440, #546472,'C1210:C7'); #790=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C8','C1210:C8','C1210:C8',#546440, #546472,'C1210:C8'); #791=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C1', 'CAP-PTH(D8.0-P3.50):C1','CAP-PTH(D8.0-P3.50):C1',#546440,#546474, 'CAP-PTH(D8.0-P3.50):C1'); #792=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C2', 'CAP-PTH(D8.0-P3.50):C2','CAP-PTH(D8.0-P3.50):C2',#546440,#546474, 'CAP-PTH(D8.0-P3.50):C2'); #793=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(3X3):Q1','DFN-8(3X3):Q1', 'DFN-8(3X3):Q1',#546440,#546476,'DFN-8(3X3):Q1'); #794=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q2','DFN-8(5X6):Q2', 'DFN-8(5X6):Q2',#546440,#546478,'DFN-8(5X6):Q2'); #795=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q3','DFN-8(5X6):Q3', 'DFN-8(5X6):Q3',#546440,#546478,'DFN-8(5X6):Q3'); #796=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q4','DFN-8(5X6):Q4', 'DFN-8(5X6):Q4',#546440,#546478,'DFN-8(5X6):Q4'); #797=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q5','DFN-8(5X6):Q5', 'DFN-8(5X6):Q5',#546440,#546478,'DFN-8(5X6):Q5'); #798=NEXT_ASSEMBLY_USAGE_OCCURRENCE('L12XX:L1','L12XX:L1','L12XX:L1',#546440, #546480,'L12XX:L1'); #799=NEXT_ASSEMBLY_USAGE_OCCURRENCE('POT-R1S1-RV097NS:VR1', 'POT-R1S1-RV097NS:VR1','POT-R1S1-RV097NS:VR1',#546440,#546482, 'POT-R1S1-RV097NS:VR1'); #800=NEXT_ASSEMBLY_USAGE_OCCURRENCE('QFN-48(7X7):U1','QFN-48(7X7):U1', 'QFN-48(7X7):U1',#546440,#546484,'QFN-48(7X7):U1'); #801=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R1','R1206:R1','R1206:R1',#546440, #546486,'R1206:R1'); #802=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R9','R1206:R9','R1206:R9',#546440, #546486,'R1206:R9'); #803=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D1','SOD-123FL:D1', 'SOD-123FL:D1',#546440,#546488,'SOD-123FL:D1'); #804=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D2','SOD-123FL:D2', 'SOD-123FL:D2',#546440,#546488,'SOD-123FL:D2'); #805=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOP-8(L5.0-W3.9-P1.27):U3', 'SOP-8(L5.0-W3.9-P1.27):U3','SOP-8(L5.0-W3.9-P1.27):U3',#546440,#546490, 'SOP-8(L5.0-W3.9-P1.27):U3'); #806=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT23-5:U2','SOT23-5:U2', 'SOT23-5:U2',#546440,#546492,'SOT23-5:U2'); #807=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1206:C23','C1206:C23','C1206:C23', #546440,#546494,'C1206:C23'); #808=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PZ-2.54-2X2P:JP2', 'PZ-2.54-2X2P:JP2','PZ-2.54-2X2P:JP2',#546440,#546496, 'PZ-2.54-2X2P:JP2'); #809=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LL-34(SOD-80):D3', 'LL-34(SOD-80):D3','LL-34(SOD-80):D3',#546440,#546498, 'LL-34(SOD-80):D3'); #810=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#546434, #546435,'Board:1'); #811=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-copper:1','1-copper:1', '1-copper:1',#546434,#546436,'1-copper:1'); #812=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-copper:1','16-copper:1', '16-copper:1',#546434,#546437,'16-copper:1'); #813=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#546434,#546438,'1-soldermask:1'); #814=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#546434,#546439,'16-soldermask:1'); #815=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Packages:1','Packages:1', 'Packages:1',#546434,#546440,'Packages:1'); #816=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546368,#858); #817=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546369,#859); #818=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546370,#860); #819=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546371,#861); #820=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546372,#862); #821=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546375,#863); #822=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546377,#864); #823=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546381,#865); #824=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546382,#866); #825=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546383,#867); #826=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546384,#868); #827=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546385,#869); #828=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546386,#870); #829=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546387,#871); #830=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546388,#872); #831=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546389,#873); #832=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546390,#874); #833=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546391,#875); #834=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546392,#876); #835=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546393,#877); #836=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546394,#878); #837=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546395,#879); #838=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546396,#880); #839=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546397,#881); #840=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546398,#882); #841=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546400,#883); #842=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546402,#884); #843=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546404,#885); #844=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546406,#886); #845=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546408,#887); #846=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546410,#888); #847=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546412,#889); #848=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546414,#890); #849=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546416,#891); #850=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546418,#892); #851=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546420,#893); #852=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546422,#894); #853=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546424,#895); #854=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546426,#896); #855=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546428,#897); #856=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546430,#898); #857=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#546432,#899); #858=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2349,#2350,#2351,#2352,#2353, #2354,#2355,#2356,#2357,#2358,#2359,#2360,#2361,#2362,#2363,#2364,#2365, #2366,#2367,#2368,#2369,#2370,#2371,#2372,#2373,#2374,#2375,#2376,#2377, #2378,#2379,#2380,#2381,#2382,#2383,#2384,#2385,#2386,#2387,#2388,#2389, #2390,#2391,#2392,#2393,#2394,#2395,#2396,#2397,#2398,#2399,#2400,#2401, #2402,#2403,#2404,#2405,#2406,#2407,#2408,#2409,#2410,#2411,#2412,#2413, #2414,#2415,#2416,#2417,#2418,#2419,#2420,#2421,#2422,#2423,#2424,#2425, #2426,#2427,#2428,#2429,#2430,#2431,#2432,#2433,#2434,#2435,#2436,#2437, #2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445,#2446,#2447,#2448,#2449, #2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457,#2458,#2459,#2460,#2461, #2462,#2463,#2464,#2465,#2466,#2467,#2468,#2469,#2470,#2471,#2472,#2473, #2474,#2475,#2476,#2477,#2478,#2479,#2480,#2481,#2482,#2483,#2484,#2485, #2486,#2487,#2488,#2489,#2490,#2491,#2492,#2493,#2494,#2495,#2496,#2497, #2498,#2499,#2500,#2501,#2502,#2503,#2504,#2505,#2506,#2507,#2508,#2509, #2510,#2511,#2512,#2513,#2514,#2515,#2516,#2517,#2518,#2519,#2520,#2521, #2522,#2523,#2524,#2525,#2526,#2527,#2528,#2529,#2530,#2531,#2532,#2533, #2534,#2535,#2536,#2537,#2538,#2539,#2540,#2541,#2542,#2543,#2544,#2545, #2546,#2547,#2548,#2549,#2550,#2551,#2552,#2553,#2554,#2555,#2556,#2557, #2558,#2559,#2560,#2561,#2562,#2563,#2564,#2565,#2566,#2567,#2568,#2569, #2570,#2571,#2572,#2573,#2574,#2575,#2576,#2577,#2578,#2579,#2580,#2581, #2582,#2583,#2584,#2585,#2586,#2587,#2588,#2589,#2590,#2591,#2592,#2593, #2594,#2595,#2596,#2597,#2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605, #2606,#2607,#2608,#2609,#2610,#2611,#2612,#2613,#2614,#2615,#2616,#2617, #2618,#2619,#2620,#2621,#2622,#2623,#2624,#2625,#2626,#2627,#2628,#2629, #2630,#2631,#2632,#2633,#2634,#2635,#2636,#2637,#2638,#2639,#2640,#2641, #2642,#2643,#2644,#2645,#2646,#2647,#2648,#2649,#2650,#2651,#2652,#2653, #2654,#2655,#2656,#2657,#2658,#2659,#2660,#2661,#2662,#2663,#2664,#2665, #2666,#2667,#2668,#2669,#2670,#2671,#2672,#2673,#2674,#2675,#2676,#2677, #2678,#2679),#546050); #859=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2680,#2681,#2682,#2683,#2684, #2685,#2686,#2687,#2688,#2689,#2690,#2691,#2692,#2693,#2694,#2695,#2696, #2697,#2698,#2699,#2700,#2701,#2702,#2703,#2704,#2705,#2706,#2707,#2708, #2709,#2710,#2711,#2712,#2713,#2714,#2715,#2716,#2717,#2718,#2719,#2720, #2721,#2722,#2723,#2724,#2725,#2726,#2727,#2728,#2729,#2730,#2731,#2732, #2733,#2734,#2735,#2736,#2737,#2738,#2739,#2740,#2741,#2742,#2743,#2744, #2745,#2746,#2747,#2748,#2749,#2750,#2751,#2752,#2753,#2754,#2755,#2756, #2757,#2758,#2759,#2760,#2761,#2762,#2763,#2764,#2765,#2766,#2767,#2768, #2769,#2770,#2771,#2772,#2773,#2774,#2775,#2776,#2777,#2778,#2779,#2780, #2781,#2782,#2783,#2784,#2785,#2786,#2787,#2788,#2789,#2790,#2791,#2792, #2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800,#2801,#2802,#2803,#2804, #2805,#2806,#2807,#2808,#2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816, #2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824,#2825,#2826,#2827,#2828, #2829,#2830,#2831,#2832,#2833,#2834,#2835,#2836,#2837,#2838,#2839,#2840, #2841,#2842,#2843,#2844,#2845,#2846,#2847,#2848,#2849,#2850,#2851,#2852, #2853,#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862,#2863,#2864, #2865,#2866,#2867,#2868,#2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876, #2877,#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888, #2889,#2890,#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900, #2901,#2902,#2903,#2904,#2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912, #2913,#2914,#2915,#2916,#2917,#2918,#2919,#2920,#2921,#2922,#2923,#2924, #2925,#2926,#2927,#2928,#2929,#2930,#2931,#2932,#2933,#2934,#2935,#2936, #2937,#2938,#2939,#2940,#2941,#2942,#2943,#2944,#2945,#2946,#2947,#2948, #2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956,#2957,#2958,#2959,#2960, #2961,#2962,#2963,#2964,#2965,#2966),#546051); #860=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2967,#2968,#2969,#2970,#2971, #2972,#2973,#2974,#2975,#2976,#2977,#2978,#2979,#2980,#2981,#2982,#2983, #2984,#2985,#2986,#2987,#2988,#2989,#2990,#2991,#2992,#2993,#2994,#2995, #2996,#2997,#2998,#2999,#3000,#3001,#3002,#3003,#3004,#3005,#3006,#3007, #3008,#3009,#3010,#3011,#3012,#3013,#3014,#3015,#3016,#3017,#3018,#3019, #3020,#3021,#3022,#3023,#3024,#3025,#3026,#3027,#3028,#3029,#3030,#3031, #3032,#3033,#3034,#3035,#3036,#3037,#3038,#3039,#3040,#3041,#3042,#3043, #3044,#3045,#3046,#3047,#3048,#3049,#3050,#3051,#3052,#3053,#3054,#3055, #3056,#3057,#3058,#3059,#3060,#3061,#3062,#3063,#3064,#3065,#3066,#3067, #3068,#3069,#3070,#3071,#3072,#3073,#3074,#3075,#3076,#3077,#3078,#3079, #3080,#3081,#3082,#3083,#3084,#3085,#3086,#3087,#3088,#3089,#3090,#3091, #3092,#3093,#3094,#3095,#3096,#3097,#3098,#3099,#3100,#3101,#3102,#3103, #3104,#3105,#3106,#3107,#3108,#3109,#3110,#3111,#3112,#3113,#3114,#3115, #3116,#3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125,#3126,#3127, #3128,#3129,#3130,#3131,#3132,#3133,#3134,#3135,#3136,#3137,#3138,#3139, #3140,#3141,#3142,#3143,#3144,#3145,#3146,#3147,#3148,#3149,#3150,#3151, #3152,#3153,#3154,#3155,#3156,#3157,#3158,#3159,#3160,#3161,#3162,#3163, #3164,#3165,#3166),#546052); #861=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3167),#546053); #862=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3168),#546054); #863=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3169),#546057); #864=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3170,#3171,#3172,#3173,#3174), #546059); #865=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3175),#546063); #866=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3176),#546064); #867=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3177),#546065); #868=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3178),#546066); #869=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3179),#546067); #870=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3180),#546068); #871=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3181),#546069); #872=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3182),#546070); #873=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3183),#546071); #874=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3184),#546072); #875=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3185),#546073); #876=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3186),#546074); #877=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3187),#546075); #878=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3188),#546076); #879=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3189),#546077); #880=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3190),#546078); #881=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3191),#546079); #882=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3192),#546080); #883=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3193),#546082); #884=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3194,#3195,#3196),#546084); #885=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3197,#3198,#3199),#546086); #886=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3200,#3201,#3202),#546088); #887=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3203,#3204,#3205,#3206,#3207, #3208,#3209,#3210,#3211,#3212,#3213,#3214,#3215),#546090); #888=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3216,#3217,#3218,#3219,#3220, #3221,#3222,#3223,#3224,#3225,#3226,#3227,#3228,#3229,#3230,#3231,#3232, #3233),#546092); #889=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3234,#3235,#3236,#3237,#3238, #3239,#3240,#3241,#3242,#3243,#3244,#3245,#3246,#3247,#3248,#3249,#3250, #3251),#546094); #890=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3252,#3253,#3254,#3255,#3256), #546096); #891=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3257,#3258,#3259),#546098); #892=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3260,#3261,#3262,#3263,#3264, #3265,#3266,#3267,#3268,#3269,#3270,#3271,#3272,#3273,#3274,#3275,#3276, #3277,#3278,#3279,#3280,#3281,#3282,#3283,#3284,#3285,#3286,#3287,#3288, #3289,#3290,#3291,#3292,#3293,#3294,#3295,#3296,#3297,#3298,#3299,#3300, #3301,#3302,#3303,#3304,#3305,#3306,#3307,#3308,#3309),#546100); #893=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3310,#3311,#3312),#546102); #894=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3313,#3314,#3315,#3316,#3317, #3318),#546104); #895=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3319,#3320,#3321,#3322,#3323, #3324,#3325,#3326,#3327),#546106); #896=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3328,#3329,#3330,#3331,#3332, #3333),#546108); #897=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3334,#3335,#3336),#546110); #898=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3337),#546112); #899=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3338,#3339,#3340,#3341,#3342), #546114); #900=STYLED_ITEM('',(#546703),#2349); #901=STYLED_ITEM('',(#546701),#2350); #902=STYLED_ITEM('',(#546701),#2351); #903=STYLED_ITEM('',(#546701),#2352); #904=STYLED_ITEM('',(#546701),#2353); #905=STYLED_ITEM('',(#546701),#2354); #906=STYLED_ITEM('',(#546701),#2355); #907=STYLED_ITEM('',(#546701),#2356); #908=STYLED_ITEM('',(#546701),#2357); #909=STYLED_ITEM('',(#546701),#2358); #910=STYLED_ITEM('',(#546701),#2359); #911=STYLED_ITEM('',(#546701),#2360); #912=STYLED_ITEM('',(#546701),#2361); #913=STYLED_ITEM('',(#546701),#2362); #914=STYLED_ITEM('',(#546701),#2363); #915=STYLED_ITEM('',(#546701),#2364); #916=STYLED_ITEM('',(#546701),#2365); #917=STYLED_ITEM('',(#546701),#2366); #918=STYLED_ITEM('',(#546701),#2367); #919=STYLED_ITEM('',(#546701),#2368); #920=STYLED_ITEM('',(#546701),#2369); #921=STYLED_ITEM('',(#546701),#2370); #922=STYLED_ITEM('',(#546701),#2371); #923=STYLED_ITEM('',(#546701),#2372); #924=STYLED_ITEM('',(#546701),#2373); #925=STYLED_ITEM('',(#546701),#2374); #926=STYLED_ITEM('',(#546701),#2375); #927=STYLED_ITEM('',(#546701),#2376); #928=STYLED_ITEM('',(#546701),#2377); #929=STYLED_ITEM('',(#546701),#2378); #930=STYLED_ITEM('',(#546701),#2379); #931=STYLED_ITEM('',(#546701),#2380); #932=STYLED_ITEM('',(#546701),#2381); #933=STYLED_ITEM('',(#546701),#2382); #934=STYLED_ITEM('',(#546701),#2383); #935=STYLED_ITEM('',(#546701),#2384); #936=STYLED_ITEM('',(#546701),#2385); #937=STYLED_ITEM('',(#546701),#2386); #938=STYLED_ITEM('',(#546701),#2387); #939=STYLED_ITEM('',(#546701),#2388); #940=STYLED_ITEM('',(#546701),#2389); #941=STYLED_ITEM('',(#546701),#2390); #942=STYLED_ITEM('',(#546701),#2391); #943=STYLED_ITEM('',(#546701),#2392); #944=STYLED_ITEM('',(#546701),#2393); #945=STYLED_ITEM('',(#546701),#2394); #946=STYLED_ITEM('',(#546701),#2395); #947=STYLED_ITEM('',(#546701),#2396); #948=STYLED_ITEM('',(#546701),#2397); #949=STYLED_ITEM('',(#546701),#2398); #950=STYLED_ITEM('',(#546701),#2399); #951=STYLED_ITEM('',(#546701),#2400); #952=STYLED_ITEM('',(#546701),#2401); #953=STYLED_ITEM('',(#546701),#2402); #954=STYLED_ITEM('',(#546701),#2403); #955=STYLED_ITEM('',(#546701),#2404); #956=STYLED_ITEM('',(#546701),#2405); #957=STYLED_ITEM('',(#546701),#2406); #958=STYLED_ITEM('',(#546701),#2407); #959=STYLED_ITEM('',(#546701),#2408); #960=STYLED_ITEM('',(#546701),#2409); #961=STYLED_ITEM('',(#546701),#2410); #962=STYLED_ITEM('',(#546701),#2411); #963=STYLED_ITEM('',(#546701),#2412); #964=STYLED_ITEM('',(#546701),#2413); #965=STYLED_ITEM('',(#546701),#2414); #966=STYLED_ITEM('',(#546701),#2415); #967=STYLED_ITEM('',(#546701),#2416); #968=STYLED_ITEM('',(#546701),#2417); #969=STYLED_ITEM('',(#546701),#2418); #970=STYLED_ITEM('',(#546701),#2419); #971=STYLED_ITEM('',(#546701),#2420); #972=STYLED_ITEM('',(#546701),#2421); #973=STYLED_ITEM('',(#546701),#2422); #974=STYLED_ITEM('',(#546701),#2423); #975=STYLED_ITEM('',(#546701),#2424); #976=STYLED_ITEM('',(#546701),#2425); #977=STYLED_ITEM('',(#546701),#2426); #978=STYLED_ITEM('',(#546701),#2427); #979=STYLED_ITEM('',(#546701),#2428); #980=STYLED_ITEM('',(#546701),#2429); #981=STYLED_ITEM('',(#546701),#2430); #982=STYLED_ITEM('',(#546701),#2431); #983=STYLED_ITEM('',(#546701),#2432); #984=STYLED_ITEM('',(#546701),#2433); #985=STYLED_ITEM('',(#546701),#2434); #986=STYLED_ITEM('',(#546701),#2435); #987=STYLED_ITEM('',(#546701),#2436); #988=STYLED_ITEM('',(#546701),#2437); #989=STYLED_ITEM('',(#546701),#2438); #990=STYLED_ITEM('',(#546701),#2439); #991=STYLED_ITEM('',(#546701),#2440); #992=STYLED_ITEM('',(#546701),#2441); #993=STYLED_ITEM('',(#546701),#2442); #994=STYLED_ITEM('',(#546701),#2443); #995=STYLED_ITEM('',(#546701),#2444); #996=STYLED_ITEM('',(#546701),#2445); #997=STYLED_ITEM('',(#546701),#2446); #998=STYLED_ITEM('',(#546701),#2447); #999=STYLED_ITEM('',(#546701),#2448); #1000=STYLED_ITEM('',(#546701),#2449); #1001=STYLED_ITEM('',(#546701),#2450); #1002=STYLED_ITEM('',(#546701),#2451); #1003=STYLED_ITEM('',(#546701),#2452); #1004=STYLED_ITEM('',(#546701),#2453); #1005=STYLED_ITEM('',(#546701),#2454); #1006=STYLED_ITEM('',(#546701),#2455); #1007=STYLED_ITEM('',(#546701),#2456); #1008=STYLED_ITEM('',(#546701),#2457); #1009=STYLED_ITEM('',(#546701),#2458); #1010=STYLED_ITEM('',(#546701),#2459); #1011=STYLED_ITEM('',(#546701),#2460); #1012=STYLED_ITEM('',(#546701),#2461); #1013=STYLED_ITEM('',(#546701),#2462); #1014=STYLED_ITEM('',(#546701),#2463); #1015=STYLED_ITEM('',(#546701),#2464); #1016=STYLED_ITEM('',(#546701),#2465); #1017=STYLED_ITEM('',(#546701),#2466); #1018=STYLED_ITEM('',(#546701),#2467); #1019=STYLED_ITEM('',(#546701),#2468); #1020=STYLED_ITEM('',(#546701),#2469); #1021=STYLED_ITEM('',(#546701),#2470); #1022=STYLED_ITEM('',(#546701),#2471); #1023=STYLED_ITEM('',(#546701),#2472); #1024=STYLED_ITEM('',(#546701),#2473); #1025=STYLED_ITEM('',(#546701),#2474); #1026=STYLED_ITEM('',(#546701),#2475); #1027=STYLED_ITEM('',(#546701),#2476); #1028=STYLED_ITEM('',(#546701),#2477); #1029=STYLED_ITEM('',(#546701),#2478); #1030=STYLED_ITEM('',(#546701),#2479); #1031=STYLED_ITEM('',(#546701),#2480); #1032=STYLED_ITEM('',(#546701),#2481); #1033=STYLED_ITEM('',(#546701),#2482); #1034=STYLED_ITEM('',(#546701),#2483); #1035=STYLED_ITEM('',(#546701),#2484); #1036=STYLED_ITEM('',(#546701),#2485); #1037=STYLED_ITEM('',(#546701),#2486); #1038=STYLED_ITEM('',(#546701),#2487); #1039=STYLED_ITEM('',(#546701),#2488); #1040=STYLED_ITEM('',(#546701),#2489); #1041=STYLED_ITEM('',(#546701),#2490); #1042=STYLED_ITEM('',(#546701),#2491); #1043=STYLED_ITEM('',(#546701),#2492); #1044=STYLED_ITEM('',(#546701),#2493); #1045=STYLED_ITEM('',(#546701),#2494); #1046=STYLED_ITEM('',(#546701),#2495); #1047=STYLED_ITEM('',(#546701),#2496); #1048=STYLED_ITEM('',(#546701),#2497); #1049=STYLED_ITEM('',(#546701),#2498); #1050=STYLED_ITEM('',(#546701),#2499); #1051=STYLED_ITEM('',(#546701),#2500); #1052=STYLED_ITEM('',(#546701),#2501); #1053=STYLED_ITEM('',(#546701),#2502); #1054=STYLED_ITEM('',(#546701),#2503); #1055=STYLED_ITEM('',(#546701),#2504); #1056=STYLED_ITEM('',(#546701),#2505); #1057=STYLED_ITEM('',(#546701),#2506); #1058=STYLED_ITEM('',(#546701),#2507); #1059=STYLED_ITEM('',(#546701),#2508); #1060=STYLED_ITEM('',(#546701),#2509); #1061=STYLED_ITEM('',(#546701),#2510); #1062=STYLED_ITEM('',(#546701),#2511); #1063=STYLED_ITEM('',(#546701),#2512); #1064=STYLED_ITEM('',(#546701),#2513); #1065=STYLED_ITEM('',(#546701),#2514); #1066=STYLED_ITEM('',(#546701),#2515); #1067=STYLED_ITEM('',(#546701),#2516); #1068=STYLED_ITEM('',(#546701),#2517); #1069=STYLED_ITEM('',(#546701),#2518); #1070=STYLED_ITEM('',(#546701),#2519); #1071=STYLED_ITEM('',(#546701),#2520); #1072=STYLED_ITEM('',(#546701),#2521); #1073=STYLED_ITEM('',(#546701),#2522); #1074=STYLED_ITEM('',(#546701),#2523); #1075=STYLED_ITEM('',(#546701),#2524); #1076=STYLED_ITEM('',(#546701),#2525); #1077=STYLED_ITEM('',(#546701),#2526); #1078=STYLED_ITEM('',(#546701),#2527); #1079=STYLED_ITEM('',(#546701),#2528); #1080=STYLED_ITEM('',(#546701),#2529); #1081=STYLED_ITEM('',(#546701),#2530); #1082=STYLED_ITEM('',(#546701),#2531); #1083=STYLED_ITEM('',(#546701),#2532); #1084=STYLED_ITEM('',(#546701),#2533); #1085=STYLED_ITEM('',(#546701),#2534); #1086=STYLED_ITEM('',(#546701),#2535); #1087=STYLED_ITEM('',(#546701),#2536); #1088=STYLED_ITEM('',(#546701),#2537); #1089=STYLED_ITEM('',(#546701),#2538); #1090=STYLED_ITEM('',(#546701),#2539); #1091=STYLED_ITEM('',(#546701),#2540); #1092=STYLED_ITEM('',(#546701),#2541); #1093=STYLED_ITEM('',(#546701),#2542); #1094=STYLED_ITEM('',(#546701),#2543); #1095=STYLED_ITEM('',(#546701),#2544); #1096=STYLED_ITEM('',(#546701),#2545); #1097=STYLED_ITEM('',(#546701),#2546); #1098=STYLED_ITEM('',(#546701),#2547); #1099=STYLED_ITEM('',(#546701),#2548); #1100=STYLED_ITEM('',(#546701),#2549); #1101=STYLED_ITEM('',(#546701),#2550); #1102=STYLED_ITEM('',(#546701),#2551); #1103=STYLED_ITEM('',(#546701),#2552); #1104=STYLED_ITEM('',(#546701),#2553); #1105=STYLED_ITEM('',(#546701),#2554); #1106=STYLED_ITEM('',(#546701),#2555); #1107=STYLED_ITEM('',(#546701),#2556); #1108=STYLED_ITEM('',(#546701),#2557); #1109=STYLED_ITEM('',(#546701),#2558); #1110=STYLED_ITEM('',(#546701),#2559); #1111=STYLED_ITEM('',(#546701),#2560); #1112=STYLED_ITEM('',(#546701),#2561); #1113=STYLED_ITEM('',(#546701),#2562); #1114=STYLED_ITEM('',(#546701),#2563); #1115=STYLED_ITEM('',(#546701),#2564); #1116=STYLED_ITEM('',(#546701),#2565); #1117=STYLED_ITEM('',(#546701),#2566); #1118=STYLED_ITEM('',(#546701),#2567); #1119=STYLED_ITEM('',(#546701),#2568); #1120=STYLED_ITEM('',(#546701),#2569); #1121=STYLED_ITEM('',(#546701),#2570); #1122=STYLED_ITEM('',(#546701),#2571); #1123=STYLED_ITEM('',(#546701),#2572); #1124=STYLED_ITEM('',(#546701),#2573); #1125=STYLED_ITEM('',(#546701),#2574); #1126=STYLED_ITEM('',(#546701),#2575); #1127=STYLED_ITEM('',(#546701),#2576); #1128=STYLED_ITEM('',(#546701),#2577); #1129=STYLED_ITEM('',(#546701),#2578); #1130=STYLED_ITEM('',(#546701),#2579); #1131=STYLED_ITEM('',(#546701),#2580); #1132=STYLED_ITEM('',(#546701),#2581); #1133=STYLED_ITEM('',(#546701),#2582); #1134=STYLED_ITEM('',(#546701),#2583); #1135=STYLED_ITEM('',(#546701),#2584); #1136=STYLED_ITEM('',(#546701),#2585); #1137=STYLED_ITEM('',(#546701),#2586); #1138=STYLED_ITEM('',(#546701),#2587); #1139=STYLED_ITEM('',(#546701),#2588); #1140=STYLED_ITEM('',(#546701),#2589); #1141=STYLED_ITEM('',(#546701),#2590); #1142=STYLED_ITEM('',(#546701),#2591); #1143=STYLED_ITEM('',(#546701),#2592); #1144=STYLED_ITEM('',(#546701),#2593); #1145=STYLED_ITEM('',(#546701),#2594); #1146=STYLED_ITEM('',(#546701),#2595); #1147=STYLED_ITEM('',(#546701),#2596); #1148=STYLED_ITEM('',(#546701),#2597); #1149=STYLED_ITEM('',(#546701),#2598); #1150=STYLED_ITEM('',(#546701),#2599); #1151=STYLED_ITEM('',(#546701),#2600); #1152=STYLED_ITEM('',(#546701),#2601); #1153=STYLED_ITEM('',(#546701),#2602); #1154=STYLED_ITEM('',(#546701),#2603); #1155=STYLED_ITEM('',(#546701),#2604); #1156=STYLED_ITEM('',(#546701),#2605); #1157=STYLED_ITEM('',(#546701),#2606); #1158=STYLED_ITEM('',(#546701),#2607); #1159=STYLED_ITEM('',(#546701),#2608); #1160=STYLED_ITEM('',(#546701),#2609); #1161=STYLED_ITEM('',(#546701),#2610); #1162=STYLED_ITEM('',(#546701),#2611); #1163=STYLED_ITEM('',(#546701),#2612); #1164=STYLED_ITEM('',(#546701),#2613); #1165=STYLED_ITEM('',(#546701),#2614); #1166=STYLED_ITEM('',(#546701),#2615); #1167=STYLED_ITEM('',(#546701),#2616); #1168=STYLED_ITEM('',(#546701),#2617); #1169=STYLED_ITEM('',(#546701),#2618); #1170=STYLED_ITEM('',(#546701),#2619); #1171=STYLED_ITEM('',(#546701),#2620); #1172=STYLED_ITEM('',(#546701),#2621); #1173=STYLED_ITEM('',(#546701),#2622); #1174=STYLED_ITEM('',(#546701),#2623); #1175=STYLED_ITEM('',(#546701),#2624); #1176=STYLED_ITEM('',(#546701),#2625); #1177=STYLED_ITEM('',(#546701),#2626); #1178=STYLED_ITEM('',(#546701),#2627); #1179=STYLED_ITEM('',(#546701),#2628); #1180=STYLED_ITEM('',(#546701),#2629); #1181=STYLED_ITEM('',(#546701),#2630); #1182=STYLED_ITEM('',(#546701),#2631); #1183=STYLED_ITEM('',(#546701),#2632); #1184=STYLED_ITEM('',(#546701),#2633); #1185=STYLED_ITEM('',(#546701),#2634); #1186=STYLED_ITEM('',(#546701),#2635); #1187=STYLED_ITEM('',(#546701),#2636); #1188=STYLED_ITEM('',(#546701),#2637); #1189=STYLED_ITEM('',(#546701),#2638); #1190=STYLED_ITEM('',(#546701),#2639); #1191=STYLED_ITEM('',(#546701),#2640); #1192=STYLED_ITEM('',(#546701),#2641); #1193=STYLED_ITEM('',(#546701),#2642); #1194=STYLED_ITEM('',(#546701),#2643); #1195=STYLED_ITEM('',(#546701),#2644); #1196=STYLED_ITEM('',(#546701),#2645); #1197=STYLED_ITEM('',(#546701),#2646); #1198=STYLED_ITEM('',(#546701),#2647); #1199=STYLED_ITEM('',(#546701),#2648); #1200=STYLED_ITEM('',(#546701),#2649); #1201=STYLED_ITEM('',(#546701),#2650); #1202=STYLED_ITEM('',(#546701),#2651); #1203=STYLED_ITEM('',(#546701),#2652); #1204=STYLED_ITEM('',(#546701),#2653); #1205=STYLED_ITEM('',(#546701),#2654); #1206=STYLED_ITEM('',(#546701),#2655); #1207=STYLED_ITEM('',(#546701),#2656); #1208=STYLED_ITEM('',(#546701),#2657); #1209=STYLED_ITEM('',(#546701),#2658); #1210=STYLED_ITEM('',(#546701),#2659); #1211=STYLED_ITEM('',(#546701),#2660); #1212=STYLED_ITEM('',(#546701),#2661); #1213=STYLED_ITEM('',(#546701),#2662); #1214=STYLED_ITEM('',(#546701),#2663); #1215=STYLED_ITEM('',(#546701),#2664); #1216=STYLED_ITEM('',(#546701),#2665); #1217=STYLED_ITEM('',(#546701),#2666); #1218=STYLED_ITEM('',(#546701),#2667); #1219=STYLED_ITEM('',(#546701),#2668); #1220=STYLED_ITEM('',(#546701),#2669); #1221=STYLED_ITEM('',(#546701),#2670); #1222=STYLED_ITEM('',(#546701),#2671); #1223=STYLED_ITEM('',(#546701),#2672); #1224=STYLED_ITEM('',(#546701),#2673); #1225=STYLED_ITEM('',(#546701),#2674); #1226=STYLED_ITEM('',(#546701),#2675); #1227=STYLED_ITEM('',(#546701),#2676); #1228=STYLED_ITEM('',(#546701),#2677); #1229=STYLED_ITEM('',(#546701),#2678); #1230=STYLED_ITEM('',(#546701),#2679); #1231=STYLED_ITEM('',(#546701),#2680); #1232=STYLED_ITEM('',(#546701),#2681); #1233=STYLED_ITEM('',(#546701),#2682); #1234=STYLED_ITEM('',(#546701),#2683); #1235=STYLED_ITEM('',(#546701),#2684); #1236=STYLED_ITEM('',(#546701),#2685); #1237=STYLED_ITEM('',(#546701),#2686); #1238=STYLED_ITEM('',(#546701),#2687); #1239=STYLED_ITEM('',(#546701),#2688); #1240=STYLED_ITEM('',(#546701),#2689); #1241=STYLED_ITEM('',(#546701),#2690); #1242=STYLED_ITEM('',(#546701),#2691); #1243=STYLED_ITEM('',(#546701),#2692); #1244=STYLED_ITEM('',(#546701),#2693); #1245=STYLED_ITEM('',(#546701),#2694); #1246=STYLED_ITEM('',(#546701),#2695); #1247=STYLED_ITEM('',(#546701),#2696); #1248=STYLED_ITEM('',(#546701),#2697); #1249=STYLED_ITEM('',(#546701),#2698); #1250=STYLED_ITEM('',(#546701),#2699); #1251=STYLED_ITEM('',(#546701),#2700); #1252=STYLED_ITEM('',(#546701),#2701); #1253=STYLED_ITEM('',(#546701),#2702); #1254=STYLED_ITEM('',(#546701),#2703); #1255=STYLED_ITEM('',(#546701),#2704); #1256=STYLED_ITEM('',(#546701),#2705); #1257=STYLED_ITEM('',(#546701),#2706); #1258=STYLED_ITEM('',(#546701),#2707); #1259=STYLED_ITEM('',(#546701),#2708); #1260=STYLED_ITEM('',(#546701),#2709); #1261=STYLED_ITEM('',(#546701),#2710); #1262=STYLED_ITEM('',(#546701),#2711); #1263=STYLED_ITEM('',(#546701),#2712); #1264=STYLED_ITEM('',(#546701),#2713); #1265=STYLED_ITEM('',(#546701),#2714); #1266=STYLED_ITEM('',(#546701),#2715); #1267=STYLED_ITEM('',(#546701),#2716); #1268=STYLED_ITEM('',(#546701),#2717); #1269=STYLED_ITEM('',(#546701),#2718); #1270=STYLED_ITEM('',(#546701),#2719); #1271=STYLED_ITEM('',(#546701),#2720); #1272=STYLED_ITEM('',(#546701),#2721); #1273=STYLED_ITEM('',(#546701),#2722); #1274=STYLED_ITEM('',(#546701),#2723); #1275=STYLED_ITEM('',(#546701),#2724); #1276=STYLED_ITEM('',(#546701),#2725); #1277=STYLED_ITEM('',(#546701),#2726); #1278=STYLED_ITEM('',(#546701),#2727); #1279=STYLED_ITEM('',(#546701),#2728); #1280=STYLED_ITEM('',(#546701),#2729); #1281=STYLED_ITEM('',(#546701),#2730); #1282=STYLED_ITEM('',(#546701),#2731); #1283=STYLED_ITEM('',(#546701),#2732); #1284=STYLED_ITEM('',(#546701),#2733); #1285=STYLED_ITEM('',(#546701),#2734); #1286=STYLED_ITEM('',(#546701),#2735); #1287=STYLED_ITEM('',(#546701),#2736); #1288=STYLED_ITEM('',(#546701),#2737); #1289=STYLED_ITEM('',(#546701),#2738); #1290=STYLED_ITEM('',(#546701),#2739); #1291=STYLED_ITEM('',(#546701),#2740); #1292=STYLED_ITEM('',(#546701),#2741); #1293=STYLED_ITEM('',(#546701),#2742); #1294=STYLED_ITEM('',(#546701),#2743); #1295=STYLED_ITEM('',(#546701),#2744); #1296=STYLED_ITEM('',(#546701),#2745); #1297=STYLED_ITEM('',(#546701),#2746); #1298=STYLED_ITEM('',(#546701),#2747); #1299=STYLED_ITEM('',(#546701),#2748); #1300=STYLED_ITEM('',(#546701),#2749); #1301=STYLED_ITEM('',(#546701),#2750); #1302=STYLED_ITEM('',(#546701),#2751); #1303=STYLED_ITEM('',(#546701),#2752); #1304=STYLED_ITEM('',(#546701),#2753); #1305=STYLED_ITEM('',(#546701),#2754); #1306=STYLED_ITEM('',(#546701),#2755); #1307=STYLED_ITEM('',(#546701),#2756); #1308=STYLED_ITEM('',(#546701),#2757); #1309=STYLED_ITEM('',(#546701),#2758); #1310=STYLED_ITEM('',(#546701),#2759); #1311=STYLED_ITEM('',(#546701),#2760); #1312=STYLED_ITEM('',(#546701),#2761); #1313=STYLED_ITEM('',(#546701),#2762); #1314=STYLED_ITEM('',(#546701),#2763); #1315=STYLED_ITEM('',(#546701),#2764); #1316=STYLED_ITEM('',(#546701),#2765); #1317=STYLED_ITEM('',(#546701),#2766); #1318=STYLED_ITEM('',(#546701),#2767); #1319=STYLED_ITEM('',(#546701),#2768); #1320=STYLED_ITEM('',(#546701),#2769); #1321=STYLED_ITEM('',(#546701),#2770); #1322=STYLED_ITEM('',(#546701),#2771); #1323=STYLED_ITEM('',(#546701),#2772); #1324=STYLED_ITEM('',(#546701),#2773); #1325=STYLED_ITEM('',(#546701),#2774); #1326=STYLED_ITEM('',(#546701),#2775); #1327=STYLED_ITEM('',(#546701),#2776); #1328=STYLED_ITEM('',(#546701),#2777); #1329=STYLED_ITEM('',(#546701),#2778); #1330=STYLED_ITEM('',(#546701),#2779); #1331=STYLED_ITEM('',(#546701),#2780); #1332=STYLED_ITEM('',(#546701),#2781); #1333=STYLED_ITEM('',(#546701),#2782); #1334=STYLED_ITEM('',(#546701),#2783); #1335=STYLED_ITEM('',(#546701),#2784); #1336=STYLED_ITEM('',(#546701),#2785); #1337=STYLED_ITEM('',(#546701),#2786); #1338=STYLED_ITEM('',(#546701),#2787); #1339=STYLED_ITEM('',(#546701),#2788); #1340=STYLED_ITEM('',(#546701),#2789); #1341=STYLED_ITEM('',(#546701),#2790); #1342=STYLED_ITEM('',(#546701),#2791); #1343=STYLED_ITEM('',(#546701),#2792); #1344=STYLED_ITEM('',(#546701),#2793); #1345=STYLED_ITEM('',(#546701),#2794); #1346=STYLED_ITEM('',(#546701),#2795); #1347=STYLED_ITEM('',(#546701),#2796); #1348=STYLED_ITEM('',(#546701),#2797); #1349=STYLED_ITEM('',(#546701),#2798); #1350=STYLED_ITEM('',(#546701),#2799); #1351=STYLED_ITEM('',(#546701),#2800); #1352=STYLED_ITEM('',(#546701),#2801); #1353=STYLED_ITEM('',(#546701),#2802); #1354=STYLED_ITEM('',(#546701),#2803); #1355=STYLED_ITEM('',(#546701),#2804); #1356=STYLED_ITEM('',(#546701),#2805); #1357=STYLED_ITEM('',(#546701),#2806); #1358=STYLED_ITEM('',(#546701),#2807); #1359=STYLED_ITEM('',(#546701),#2808); #1360=STYLED_ITEM('',(#546701),#2809); #1361=STYLED_ITEM('',(#546701),#2810); #1362=STYLED_ITEM('',(#546701),#2811); #1363=STYLED_ITEM('',(#546701),#2812); #1364=STYLED_ITEM('',(#546701),#2813); #1365=STYLED_ITEM('',(#546701),#2814); #1366=STYLED_ITEM('',(#546701),#2815); #1367=STYLED_ITEM('',(#546701),#2816); #1368=STYLED_ITEM('',(#546701),#2817); #1369=STYLED_ITEM('',(#546701),#2818); #1370=STYLED_ITEM('',(#546701),#2819); #1371=STYLED_ITEM('',(#546701),#2820); #1372=STYLED_ITEM('',(#546701),#2821); #1373=STYLED_ITEM('',(#546701),#2822); #1374=STYLED_ITEM('',(#546701),#2823); #1375=STYLED_ITEM('',(#546701),#2824); #1376=STYLED_ITEM('',(#546701),#2825); #1377=STYLED_ITEM('',(#546701),#2826); #1378=STYLED_ITEM('',(#546701),#2827); #1379=STYLED_ITEM('',(#546701),#2828); #1380=STYLED_ITEM('',(#546701),#2829); #1381=STYLED_ITEM('',(#546701),#2830); #1382=STYLED_ITEM('',(#546701),#2831); #1383=STYLED_ITEM('',(#546701),#2832); #1384=STYLED_ITEM('',(#546701),#2833); #1385=STYLED_ITEM('',(#546701),#2834); #1386=STYLED_ITEM('',(#546701),#2835); #1387=STYLED_ITEM('',(#546701),#2836); #1388=STYLED_ITEM('',(#546701),#2837); #1389=STYLED_ITEM('',(#546701),#2838); #1390=STYLED_ITEM('',(#546701),#2839); #1391=STYLED_ITEM('',(#546701),#2840); #1392=STYLED_ITEM('',(#546701),#2841); #1393=STYLED_ITEM('',(#546701),#2842); #1394=STYLED_ITEM('',(#546701),#2843); #1395=STYLED_ITEM('',(#546701),#2844); #1396=STYLED_ITEM('',(#546701),#2845); #1397=STYLED_ITEM('',(#546701),#2846); #1398=STYLED_ITEM('',(#546701),#2847); #1399=STYLED_ITEM('',(#546701),#2848); #1400=STYLED_ITEM('',(#546701),#2849); #1401=STYLED_ITEM('',(#546701),#2850); #1402=STYLED_ITEM('',(#546701),#2851); #1403=STYLED_ITEM('',(#546701),#2852); #1404=STYLED_ITEM('',(#546701),#2853); #1405=STYLED_ITEM('',(#546701),#2854); #1406=STYLED_ITEM('',(#546701),#2855); #1407=STYLED_ITEM('',(#546701),#2856); #1408=STYLED_ITEM('',(#546701),#2857); #1409=STYLED_ITEM('',(#546701),#2858); #1410=STYLED_ITEM('',(#546701),#2859); #1411=STYLED_ITEM('',(#546701),#2860); #1412=STYLED_ITEM('',(#546701),#2861); #1413=STYLED_ITEM('',(#546701),#2862); #1414=STYLED_ITEM('',(#546701),#2863); #1415=STYLED_ITEM('',(#546701),#2864); #1416=STYLED_ITEM('',(#546701),#2865); #1417=STYLED_ITEM('',(#546701),#2866); #1418=STYLED_ITEM('',(#546701),#2867); #1419=STYLED_ITEM('',(#546701),#2868); #1420=STYLED_ITEM('',(#546701),#2869); #1421=STYLED_ITEM('',(#546701),#2870); #1422=STYLED_ITEM('',(#546701),#2871); #1423=STYLED_ITEM('',(#546701),#2872); #1424=STYLED_ITEM('',(#546701),#2873); #1425=STYLED_ITEM('',(#546701),#2874); #1426=STYLED_ITEM('',(#546701),#2875); #1427=STYLED_ITEM('',(#546701),#2876); #1428=STYLED_ITEM('',(#546701),#2877); #1429=STYLED_ITEM('',(#546701),#2878); #1430=STYLED_ITEM('',(#546701),#2879); #1431=STYLED_ITEM('',(#546701),#2880); #1432=STYLED_ITEM('',(#546701),#2881); #1433=STYLED_ITEM('',(#546701),#2882); #1434=STYLED_ITEM('',(#546701),#2883); #1435=STYLED_ITEM('',(#546701),#2884); #1436=STYLED_ITEM('',(#546701),#2885); #1437=STYLED_ITEM('',(#546701),#2886); #1438=STYLED_ITEM('',(#546701),#2887); #1439=STYLED_ITEM('',(#546701),#2888); #1440=STYLED_ITEM('',(#546701),#2889); #1441=STYLED_ITEM('',(#546701),#2890); #1442=STYLED_ITEM('',(#546701),#2891); #1443=STYLED_ITEM('',(#546701),#2892); #1444=STYLED_ITEM('',(#546701),#2893); #1445=STYLED_ITEM('',(#546701),#2894); #1446=STYLED_ITEM('',(#546701),#2895); #1447=STYLED_ITEM('',(#546701),#2896); #1448=STYLED_ITEM('',(#546701),#2897); #1449=STYLED_ITEM('',(#546701),#2898); #1450=STYLED_ITEM('',(#546701),#2899); #1451=STYLED_ITEM('',(#546701),#2900); #1452=STYLED_ITEM('',(#546701),#2901); #1453=STYLED_ITEM('',(#546701),#2902); #1454=STYLED_ITEM('',(#546701),#2903); #1455=STYLED_ITEM('',(#546701),#2904); #1456=STYLED_ITEM('',(#546701),#2905); #1457=STYLED_ITEM('',(#546701),#2906); #1458=STYLED_ITEM('',(#546701),#2907); #1459=STYLED_ITEM('',(#546701),#2908); #1460=STYLED_ITEM('',(#546701),#2909); #1461=STYLED_ITEM('',(#546701),#2910); #1462=STYLED_ITEM('',(#546701),#2911); #1463=STYLED_ITEM('',(#546701),#2912); #1464=STYLED_ITEM('',(#546701),#2913); #1465=STYLED_ITEM('',(#546701),#2914); #1466=STYLED_ITEM('',(#546701),#2915); #1467=STYLED_ITEM('',(#546701),#2916); #1468=STYLED_ITEM('',(#546701),#2917); #1469=STYLED_ITEM('',(#546701),#2918); #1470=STYLED_ITEM('',(#546701),#2919); #1471=STYLED_ITEM('',(#546701),#2920); #1472=STYLED_ITEM('',(#546701),#2921); #1473=STYLED_ITEM('',(#546701),#2922); #1474=STYLED_ITEM('',(#546701),#2923); #1475=STYLED_ITEM('',(#546701),#2924); #1476=STYLED_ITEM('',(#546701),#2925); #1477=STYLED_ITEM('',(#546701),#2926); #1478=STYLED_ITEM('',(#546701),#2927); #1479=STYLED_ITEM('',(#546701),#2928); #1480=STYLED_ITEM('',(#546701),#2929); #1481=STYLED_ITEM('',(#546701),#2930); #1482=STYLED_ITEM('',(#546701),#2931); #1483=STYLED_ITEM('',(#546701),#2932); #1484=STYLED_ITEM('',(#546701),#2933); #1485=STYLED_ITEM('',(#546701),#2934); #1486=STYLED_ITEM('',(#546701),#2935); #1487=STYLED_ITEM('',(#546701),#2936); #1488=STYLED_ITEM('',(#546701),#2937); #1489=STYLED_ITEM('',(#546701),#2938); #1490=STYLED_ITEM('',(#546701),#2939); #1491=STYLED_ITEM('',(#546701),#2940); #1492=STYLED_ITEM('',(#546701),#2941); #1493=STYLED_ITEM('',(#546701),#2942); #1494=STYLED_ITEM('',(#546701),#2943); #1495=STYLED_ITEM('',(#546701),#2944); #1496=STYLED_ITEM('',(#546701),#2945); #1497=STYLED_ITEM('',(#546701),#2946); #1498=STYLED_ITEM('',(#546701),#2947); #1499=STYLED_ITEM('',(#546701),#2948); #1500=STYLED_ITEM('',(#546701),#2949); #1501=STYLED_ITEM('',(#546701),#2950); #1502=STYLED_ITEM('',(#546701),#2951); #1503=STYLED_ITEM('',(#546701),#2952); #1504=STYLED_ITEM('',(#546701),#2953); #1505=STYLED_ITEM('',(#546701),#2954); #1506=STYLED_ITEM('',(#546701),#2955); #1507=STYLED_ITEM('',(#546701),#2956); #1508=STYLED_ITEM('',(#546701),#2957); #1509=STYLED_ITEM('',(#546701),#2958); #1510=STYLED_ITEM('',(#546701),#2959); #1511=STYLED_ITEM('',(#546701),#2960); #1512=STYLED_ITEM('',(#546701),#2961); #1513=STYLED_ITEM('',(#546701),#2962); #1514=STYLED_ITEM('',(#546701),#2963); #1515=STYLED_ITEM('',(#546701),#2964); #1516=STYLED_ITEM('',(#546701),#2965); #1517=STYLED_ITEM('',(#546701),#2966); #1518=STYLED_ITEM('',(#546701),#2967); #1519=STYLED_ITEM('',(#546701),#2968); #1520=STYLED_ITEM('',(#546701),#2969); #1521=STYLED_ITEM('',(#546701),#2970); #1522=STYLED_ITEM('',(#546701),#2971); #1523=STYLED_ITEM('',(#546701),#2972); #1524=STYLED_ITEM('',(#546701),#2973); #1525=STYLED_ITEM('',(#546701),#2974); #1526=STYLED_ITEM('',(#546701),#2975); #1527=STYLED_ITEM('',(#546701),#2976); #1528=STYLED_ITEM('',(#546701),#2977); #1529=STYLED_ITEM('',(#546701),#2978); #1530=STYLED_ITEM('',(#546701),#2979); #1531=STYLED_ITEM('',(#546701),#2980); #1532=STYLED_ITEM('',(#546701),#2981); #1533=STYLED_ITEM('',(#546701),#2982); #1534=STYLED_ITEM('',(#546701),#2983); #1535=STYLED_ITEM('',(#546701),#2984); #1536=STYLED_ITEM('',(#546701),#2985); #1537=STYLED_ITEM('',(#546701),#2986); #1538=STYLED_ITEM('',(#546701),#2987); #1539=STYLED_ITEM('',(#546701),#2988); #1540=STYLED_ITEM('',(#546701),#2989); #1541=STYLED_ITEM('',(#546701),#2990); #1542=STYLED_ITEM('',(#546701),#2991); #1543=STYLED_ITEM('',(#546701),#2992); #1544=STYLED_ITEM('',(#546701),#2993); #1545=STYLED_ITEM('',(#546701),#2994); #1546=STYLED_ITEM('',(#546701),#2995); #1547=STYLED_ITEM('',(#546701),#2996); #1548=STYLED_ITEM('',(#546701),#2997); #1549=STYLED_ITEM('',(#546701),#2998); #1550=STYLED_ITEM('',(#546701),#2999); #1551=STYLED_ITEM('',(#546701),#3000); #1552=STYLED_ITEM('',(#546701),#3001); #1553=STYLED_ITEM('',(#546701),#3002); #1554=STYLED_ITEM('',(#546701),#3003); #1555=STYLED_ITEM('',(#546701),#3004); #1556=STYLED_ITEM('',(#546701),#3005); #1557=STYLED_ITEM('',(#546701),#3006); #1558=STYLED_ITEM('',(#546701),#3007); #1559=STYLED_ITEM('',(#546701),#3008); #1560=STYLED_ITEM('',(#546701),#3009); #1561=STYLED_ITEM('',(#546701),#3010); #1562=STYLED_ITEM('',(#546701),#3011); #1563=STYLED_ITEM('',(#546701),#3012); #1564=STYLED_ITEM('',(#546701),#3013); #1565=STYLED_ITEM('',(#546701),#3014); #1566=STYLED_ITEM('',(#546701),#3015); #1567=STYLED_ITEM('',(#546701),#3016); #1568=STYLED_ITEM('',(#546701),#3017); #1569=STYLED_ITEM('',(#546701),#3018); #1570=STYLED_ITEM('',(#546701),#3019); #1571=STYLED_ITEM('',(#546701),#3020); #1572=STYLED_ITEM('',(#546701),#3021); #1573=STYLED_ITEM('',(#546701),#3022); #1574=STYLED_ITEM('',(#546701),#3023); #1575=STYLED_ITEM('',(#546701),#3024); #1576=STYLED_ITEM('',(#546701),#3025); #1577=STYLED_ITEM('',(#546701),#3026); #1578=STYLED_ITEM('',(#546701),#3027); #1579=STYLED_ITEM('',(#546701),#3028); #1580=STYLED_ITEM('',(#546701),#3029); #1581=STYLED_ITEM('',(#546701),#3030); #1582=STYLED_ITEM('',(#546701),#3031); #1583=STYLED_ITEM('',(#546701),#3032); #1584=STYLED_ITEM('',(#546701),#3033); #1585=STYLED_ITEM('',(#546701),#3034); #1586=STYLED_ITEM('',(#546701),#3035); #1587=STYLED_ITEM('',(#546701),#3036); #1588=STYLED_ITEM('',(#546701),#3037); #1589=STYLED_ITEM('',(#546701),#3038); #1590=STYLED_ITEM('',(#546701),#3039); #1591=STYLED_ITEM('',(#546701),#3040); #1592=STYLED_ITEM('',(#546701),#3041); #1593=STYLED_ITEM('',(#546701),#3042); #1594=STYLED_ITEM('',(#546701),#3043); #1595=STYLED_ITEM('',(#546701),#3044); #1596=STYLED_ITEM('',(#546701),#3045); #1597=STYLED_ITEM('',(#546701),#3046); #1598=STYLED_ITEM('',(#546701),#3047); #1599=STYLED_ITEM('',(#546701),#3048); #1600=STYLED_ITEM('',(#546701),#3049); #1601=STYLED_ITEM('',(#546701),#3050); #1602=STYLED_ITEM('',(#546701),#3051); #1603=STYLED_ITEM('',(#546701),#3052); #1604=STYLED_ITEM('',(#546701),#3053); #1605=STYLED_ITEM('',(#546701),#3054); #1606=STYLED_ITEM('',(#546701),#3055); #1607=STYLED_ITEM('',(#546701),#3056); #1608=STYLED_ITEM('',(#546701),#3057); #1609=STYLED_ITEM('',(#546701),#3058); #1610=STYLED_ITEM('',(#546701),#3059); #1611=STYLED_ITEM('',(#546701),#3060); #1612=STYLED_ITEM('',(#546701),#3061); #1613=STYLED_ITEM('',(#546701),#3062); #1614=STYLED_ITEM('',(#546701),#3063); #1615=STYLED_ITEM('',(#546701),#3064); #1616=STYLED_ITEM('',(#546701),#3065); #1617=STYLED_ITEM('',(#546701),#3066); #1618=STYLED_ITEM('',(#546701),#3067); #1619=STYLED_ITEM('',(#546701),#3068); #1620=STYLED_ITEM('',(#546701),#3069); #1621=STYLED_ITEM('',(#546701),#3070); #1622=STYLED_ITEM('',(#546701),#3071); #1623=STYLED_ITEM('',(#546701),#3072); #1624=STYLED_ITEM('',(#546701),#3073); #1625=STYLED_ITEM('',(#546701),#3074); #1626=STYLED_ITEM('',(#546701),#3075); #1627=STYLED_ITEM('',(#546701),#3076); #1628=STYLED_ITEM('',(#546701),#3077); #1629=STYLED_ITEM('',(#546701),#3078); #1630=STYLED_ITEM('',(#546701),#3079); #1631=STYLED_ITEM('',(#546701),#3080); #1632=STYLED_ITEM('',(#546701),#3081); #1633=STYLED_ITEM('',(#546701),#3082); #1634=STYLED_ITEM('',(#546701),#3083); #1635=STYLED_ITEM('',(#546701),#3084); #1636=STYLED_ITEM('',(#546701),#3085); #1637=STYLED_ITEM('',(#546701),#3086); #1638=STYLED_ITEM('',(#546701),#3087); #1639=STYLED_ITEM('',(#546701),#3088); #1640=STYLED_ITEM('',(#546701),#3089); #1641=STYLED_ITEM('',(#546701),#3090); #1642=STYLED_ITEM('',(#546701),#3091); #1643=STYLED_ITEM('',(#546701),#3092); #1644=STYLED_ITEM('',(#546701),#3093); #1645=STYLED_ITEM('',(#546701),#3094); #1646=STYLED_ITEM('',(#546701),#3095); #1647=STYLED_ITEM('',(#546701),#3096); #1648=STYLED_ITEM('',(#546701),#3097); #1649=STYLED_ITEM('',(#546701),#3098); #1650=STYLED_ITEM('',(#546701),#3099); #1651=STYLED_ITEM('',(#546701),#3100); #1652=STYLED_ITEM('',(#546701),#3101); #1653=STYLED_ITEM('',(#546701),#3102); #1654=STYLED_ITEM('',(#546701),#3103); #1655=STYLED_ITEM('',(#546701),#3104); #1656=STYLED_ITEM('',(#546701),#3105); #1657=STYLED_ITEM('',(#546701),#3106); #1658=STYLED_ITEM('',(#546701),#3107); #1659=STYLED_ITEM('',(#546701),#3108); #1660=STYLED_ITEM('',(#546701),#3109); #1661=STYLED_ITEM('',(#546701),#3110); #1662=STYLED_ITEM('',(#546701),#3111); #1663=STYLED_ITEM('',(#546701),#3112); #1664=STYLED_ITEM('',(#546701),#3113); #1665=STYLED_ITEM('',(#546701),#3114); #1666=STYLED_ITEM('',(#546701),#3115); #1667=STYLED_ITEM('',(#546701),#3116); #1668=STYLED_ITEM('',(#546701),#3117); #1669=STYLED_ITEM('',(#546701),#3118); #1670=STYLED_ITEM('',(#546701),#3119); #1671=STYLED_ITEM('',(#546701),#3120); #1672=STYLED_ITEM('',(#546701),#3121); #1673=STYLED_ITEM('',(#546701),#3122); #1674=STYLED_ITEM('',(#546701),#3123); #1675=STYLED_ITEM('',(#546701),#3124); #1676=STYLED_ITEM('',(#546701),#3125); #1677=STYLED_ITEM('',(#546701),#3126); #1678=STYLED_ITEM('',(#546701),#3127); #1679=STYLED_ITEM('',(#546701),#3128); #1680=STYLED_ITEM('',(#546701),#3129); #1681=STYLED_ITEM('',(#546701),#3130); #1682=STYLED_ITEM('',(#546701),#3131); #1683=STYLED_ITEM('',(#546701),#3132); #1684=STYLED_ITEM('',(#546701),#3133); #1685=STYLED_ITEM('',(#546701),#3134); #1686=STYLED_ITEM('',(#546701),#3135); #1687=STYLED_ITEM('',(#546701),#3136); #1688=STYLED_ITEM('',(#546701),#3137); #1689=STYLED_ITEM('',(#546701),#3138); #1690=STYLED_ITEM('',(#546701),#3139); #1691=STYLED_ITEM('',(#546701),#3140); #1692=STYLED_ITEM('',(#546701),#3141); #1693=STYLED_ITEM('',(#546701),#3142); #1694=STYLED_ITEM('',(#546701),#3143); #1695=STYLED_ITEM('',(#546701),#3144); #1696=STYLED_ITEM('',(#546701),#3145); #1697=STYLED_ITEM('',(#546701),#3146); #1698=STYLED_ITEM('',(#546701),#3147); #1699=STYLED_ITEM('',(#546701),#3148); #1700=STYLED_ITEM('',(#546701),#3149); #1701=STYLED_ITEM('',(#546701),#3150); #1702=STYLED_ITEM('',(#546701),#3151); #1703=STYLED_ITEM('',(#546701),#3152); #1704=STYLED_ITEM('',(#546701),#3153); #1705=STYLED_ITEM('',(#546701),#3154); #1706=STYLED_ITEM('',(#546701),#3155); #1707=STYLED_ITEM('',(#546701),#3156); #1708=STYLED_ITEM('',(#546701),#3157); #1709=STYLED_ITEM('',(#546701),#3158); #1710=STYLED_ITEM('',(#546701),#3159); #1711=STYLED_ITEM('',(#546701),#3160); #1712=STYLED_ITEM('',(#546701),#3161); #1713=STYLED_ITEM('',(#546701),#3162); #1714=STYLED_ITEM('',(#546701),#3163); #1715=STYLED_ITEM('',(#546701),#3164); #1716=STYLED_ITEM('',(#546701),#3165); #1717=STYLED_ITEM('',(#546701),#3166); #1718=STYLED_ITEM('',(#546704),#3167); #1719=STYLED_ITEM('',(#546704),#3168); #1720=STYLED_ITEM('',(#546706),#330596); #1721=STYLED_ITEM('',(#546706),#330597); #1722=STYLED_ITEM('',(#546706),#330599); #1723=STYLED_ITEM('',(#546706),#330600); #1724=STYLED_ITEM('',(#546706),#330601); #1725=STYLED_ITEM('',(#546706),#330602); #1726=STYLED_ITEM('',(#546706),#330603); #1727=STYLED_ITEM('',(#546706),#330604); #1728=STYLED_ITEM('',(#546706),#330605); #1729=STYLED_ITEM('',(#546706),#330606); #1730=STYLED_ITEM('',(#546706),#330607); #1731=STYLED_ITEM('',(#546706),#330608); #1732=STYLED_ITEM('',(#546706),#330609); #1733=STYLED_ITEM('',(#546706),#330610); #1734=STYLED_ITEM('',(#546706),#330611); #1735=STYLED_ITEM('',(#546706),#330612); #1736=STYLED_ITEM('',(#546706),#330613); #1737=STYLED_ITEM('',(#546706),#330614); #1738=STYLED_ITEM('',(#546706),#330615); #1739=STYLED_ITEM('',(#546706),#330616); #1740=STYLED_ITEM('',(#546706),#330617); #1741=STYLED_ITEM('',(#546706),#330618); #1742=STYLED_ITEM('',(#546706),#330619); #1743=STYLED_ITEM('',(#546707),#330620); #1744=STYLED_ITEM('',(#546707),#330621); #1745=STYLED_ITEM('',(#546707),#330622); #1746=STYLED_ITEM('',(#546707),#330623); #1747=STYLED_ITEM('',(#546707),#330624); #1748=STYLED_ITEM('',(#546707),#330625); #1749=STYLED_ITEM('',(#546707),#330626); #1750=STYLED_ITEM('',(#546707),#330627); #1751=STYLED_ITEM('',(#546707),#330628); #1752=STYLED_ITEM('',(#546707),#330629); #1753=STYLED_ITEM('',(#546707),#330630); #1754=STYLED_ITEM('',(#546707),#330631); #1755=STYLED_ITEM('',(#546707),#330632); #1756=STYLED_ITEM('',(#546707),#330633); #1757=STYLED_ITEM('',(#546707),#330634); #1758=STYLED_ITEM('',(#546707),#330635); #1759=STYLED_ITEM('',(#546707),#330636); #1760=STYLED_ITEM('',(#546707),#330637); #1761=STYLED_ITEM('',(#546706),#330638); #1762=STYLED_ITEM('',(#546706),#330639); #1763=STYLED_ITEM('',(#546706),#330640); #1764=STYLED_ITEM('',(#546706),#330641); #1765=STYLED_ITEM('',(#546706),#330642); #1766=STYLED_ITEM('',(#546706),#330643); #1767=STYLED_ITEM('',(#546707),#330644); #1768=STYLED_ITEM('',(#546707),#330645); #1769=STYLED_ITEM('',(#546707),#330646); #1770=STYLED_ITEM('',(#546707),#330647); #1771=STYLED_ITEM('',(#546707),#330648); #1772=STYLED_ITEM('',(#546707),#330649); #1773=STYLED_ITEM('',(#546707),#330650); #1774=STYLED_ITEM('',(#546707),#330651); #1775=STYLED_ITEM('',(#546707),#330652); #1776=STYLED_ITEM('',(#546707),#330653); #1777=STYLED_ITEM('',(#546707),#330654); #1778=STYLED_ITEM('',(#546707),#330655); #1779=STYLED_ITEM('',(#546707),#330656); #1780=STYLED_ITEM('',(#546707),#330657); #1781=STYLED_ITEM('',(#546707),#330658); #1782=STYLED_ITEM('',(#546707),#330659); #1783=STYLED_ITEM('',(#546707),#330660); #1784=STYLED_ITEM('',(#546707),#330661); #1785=STYLED_ITEM('',(#546707),#330662); #1786=STYLED_ITEM('',(#546707),#330663); #1787=STYLED_ITEM('',(#546707),#330664); #1788=STYLED_ITEM('',(#546707),#330665); #1789=STYLED_ITEM('',(#546707),#330666); #1790=STYLED_ITEM('',(#546707),#330667); #1791=STYLED_ITEM('',(#546707),#330668); #1792=STYLED_ITEM('',(#546707),#330669); #1793=STYLED_ITEM('',(#546707),#330670); #1794=STYLED_ITEM('',(#546707),#330671); #1795=STYLED_ITEM('',(#546707),#330672); #1796=STYLED_ITEM('',(#546707),#330673); #1797=STYLED_ITEM('',(#546707),#330674); #1798=STYLED_ITEM('',(#546707),#330675); #1799=STYLED_ITEM('',(#546707),#330676); #1800=STYLED_ITEM('',(#546707),#330677); #1801=STYLED_ITEM('',(#546707),#330678); #1802=STYLED_ITEM('',(#546707),#330679); #1803=STYLED_ITEM('',(#546707),#330680); #1804=STYLED_ITEM('',(#546707),#330681); #1805=STYLED_ITEM('',(#546707),#330682); #1806=STYLED_ITEM('',(#546707),#330683); #1807=STYLED_ITEM('',(#546707),#330684); #1808=STYLED_ITEM('',(#546707),#330685); #1809=STYLED_ITEM('',(#546707),#330686); #1810=STYLED_ITEM('',(#546707),#330687); #1811=STYLED_ITEM('',(#546707),#330688); #1812=STYLED_ITEM('',(#546707),#330689); #1813=STYLED_ITEM('',(#546707),#330690); #1814=STYLED_ITEM('',(#546707),#330691); #1815=STYLED_ITEM('',(#546707),#330692); #1816=STYLED_ITEM('',(#546707),#330693); #1817=STYLED_ITEM('',(#546707),#330694); #1818=STYLED_ITEM('',(#546707),#330695); #1819=STYLED_ITEM('',(#546707),#330696); #1820=STYLED_ITEM('',(#546707),#330697); #1821=STYLED_ITEM('',(#546706),#330698); #1822=STYLED_ITEM('',(#546705),#3169); #1823=STYLED_ITEM('',(#546708),#3170); #1824=STYLED_ITEM('',(#546708),#3171); #1825=STYLED_ITEM('',(#546709),#3172); #1826=STYLED_ITEM('',(#546710),#3173); #1827=STYLED_ITEM('',(#546711),#3174); #1828=STYLED_ITEM('',(#546712),#3175); #1829=STYLED_ITEM('',(#546713),#3176); #1830=STYLED_ITEM('',(#546713),#3177); #1831=STYLED_ITEM('',(#546713),#3178); #1832=STYLED_ITEM('',(#546713),#3179); #1833=STYLED_ITEM('',(#546713),#3180); #1834=STYLED_ITEM('',(#546713),#3181); #1835=STYLED_ITEM('',(#546713),#3182); #1836=STYLED_ITEM('',(#546713),#3183); #1837=STYLED_ITEM('',(#546713),#3184); #1838=STYLED_ITEM('',(#546713),#3185); #1839=STYLED_ITEM('',(#546713),#3186); #1840=STYLED_ITEM('',(#546713),#3187); #1841=STYLED_ITEM('',(#546713),#3188); #1842=STYLED_ITEM('',(#546713),#3189); #1843=STYLED_ITEM('',(#546714),#3190); #1844=STYLED_ITEM('',(#546715),#3191); #1845=STYLED_ITEM('',(#546713),#3192); #1846=STYLED_ITEM('',(#546705),#331777); #1847=STYLED_ITEM('',(#546705),#331778); #1848=STYLED_ITEM('',(#546705),#331779); #1849=STYLED_ITEM('',(#546705),#331780); #1850=STYLED_ITEM('',(#546705),#331781); #1851=STYLED_ITEM('',(#546705),#331782); #1852=STYLED_ITEM('',(#546705),#331783); #1853=STYLED_ITEM('',(#546705),#331784); #1854=STYLED_ITEM('',(#546705),#331785); #1855=STYLED_ITEM('',(#546705),#331786); #1856=STYLED_ITEM('',(#546705),#331787); #1857=STYLED_ITEM('',(#546705),#331788); #1858=STYLED_ITEM('',(#546705),#331789); #1859=STYLED_ITEM('',(#546705),#331790); #1860=STYLED_ITEM('',(#546705),#331791); #1861=STYLED_ITEM('',(#546705),#331792); #1862=STYLED_ITEM('',(#546705),#331793); #1863=STYLED_ITEM('',(#546705),#331794); #1864=STYLED_ITEM('',(#546705),#331795); #1865=STYLED_ITEM('',(#546705),#331796); #1866=STYLED_ITEM('',(#546705),#331797); #1867=STYLED_ITEM('',(#546705),#331798); #1868=STYLED_ITEM('',(#546705),#331799); #1869=STYLED_ITEM('',(#546705),#331800); #1870=STYLED_ITEM('',(#546705),#331801); #1871=STYLED_ITEM('',(#546705),#331802); #1872=STYLED_ITEM('',(#546705),#331803); #1873=STYLED_ITEM('',(#546705),#331804); #1874=STYLED_ITEM('',(#546705),#331805); #1875=STYLED_ITEM('',(#546705),#331806); #1876=STYLED_ITEM('',(#546705),#331807); #1877=STYLED_ITEM('',(#546705),#331808); #1878=STYLED_ITEM('',(#546705),#331809); #1879=STYLED_ITEM('',(#546705),#331810); #1880=STYLED_ITEM('',(#546705),#331811); #1881=STYLED_ITEM('',(#546705),#331812); #1882=STYLED_ITEM('',(#546717),#331813); #1883=STYLED_ITEM('',(#546717),#331814); #1884=STYLED_ITEM('',(#546717),#331815); #1885=STYLED_ITEM('',(#546717),#331816); #1886=STYLED_ITEM('',(#546717),#331817); #1887=STYLED_ITEM('',(#546717),#331818); #1888=STYLED_ITEM('',(#546717),#331819); #1889=STYLED_ITEM('',(#546717),#331820); #1890=STYLED_ITEM('',(#546717),#331821); #1891=STYLED_ITEM('',(#546717),#331822); #1892=STYLED_ITEM('',(#546717),#331823); #1893=STYLED_ITEM('',(#546717),#331824); #1894=STYLED_ITEM('',(#546717),#331825); #1895=STYLED_ITEM('',(#546717),#331826); #1896=STYLED_ITEM('',(#546717),#331827); #1897=STYLED_ITEM('',(#546717),#331828); #1898=STYLED_ITEM('',(#546717),#331829); #1899=STYLED_ITEM('',(#546717),#331830); #1900=STYLED_ITEM('',(#546717),#331831); #1901=STYLED_ITEM('',(#546717),#331832); #1902=STYLED_ITEM('',(#546717),#331833); #1903=STYLED_ITEM('',(#546717),#331834); #1904=STYLED_ITEM('',(#546717),#331835); #1905=STYLED_ITEM('',(#546717),#331836); #1906=STYLED_ITEM('',(#546717),#331837); #1907=STYLED_ITEM('',(#546717),#331838); #1908=STYLED_ITEM('',(#546717),#331839); #1909=STYLED_ITEM('',(#546717),#331840); #1910=STYLED_ITEM('',(#546717),#331841); #1911=STYLED_ITEM('',(#546717),#331842); #1912=STYLED_ITEM('',(#546717),#331843); #1913=STYLED_ITEM('',(#546717),#331844); #1914=STYLED_ITEM('',(#546717),#331845); #1915=STYLED_ITEM('',(#546717),#331846); #1916=STYLED_ITEM('',(#546717),#331847); #1917=STYLED_ITEM('',(#546717),#331848); #1918=STYLED_ITEM('',(#546705),#331849); #1919=STYLED_ITEM('',(#546705),#331850); #1920=STYLED_ITEM('',(#546705),#331851); #1921=STYLED_ITEM('',(#546705),#331852); #1922=STYLED_ITEM('',(#546705),#331853); #1923=STYLED_ITEM('',(#546705),#331854); #1924=STYLED_ITEM('',(#546705),#331855); #1925=STYLED_ITEM('',(#546705),#331856); #1926=STYLED_ITEM('',(#546705),#331857); #1927=STYLED_ITEM('',(#546716),#3193); #1928=STYLED_ITEM('',(#546708),#3194); #1929=STYLED_ITEM('',(#546708),#3195); #1930=STYLED_ITEM('',(#546718),#3196); #1931=STYLED_ITEM('',(#546708),#3197); #1932=STYLED_ITEM('',(#546708),#3198); #1933=STYLED_ITEM('',(#546719),#3199); #1934=STYLED_ITEM('',(#546708),#3200); #1935=STYLED_ITEM('',(#546708),#3201); #1936=STYLED_ITEM('',(#546719),#3202); #1937=STYLED_ITEM('',(#546721),#332032); #1938=STYLED_ITEM('',(#546721),#332033); #1939=STYLED_ITEM('',(#546720),#3203); #1940=STYLED_ITEM('',(#546722),#3204); #1941=STYLED_ITEM('',(#546723),#3205); #1942=STYLED_ITEM('',(#546723),#3206); #1943=STYLED_ITEM('',(#546724),#3207); #1944=STYLED_ITEM('',(#546724),#3208); #1945=STYLED_ITEM('',(#546724),#3209); #1946=STYLED_ITEM('',(#546724),#3210); #1947=STYLED_ITEM('',(#546724),#3211); #1948=STYLED_ITEM('',(#546724),#3212); #1949=STYLED_ITEM('',(#546724),#3213); #1950=STYLED_ITEM('',(#546724),#3214); #1951=STYLED_ITEM('',(#546724),#3215); #1952=STYLED_ITEM('',(#546725),#3216); #1953=STYLED_ITEM('',(#546723),#3217); #1954=STYLED_ITEM('',(#546723),#3218); #1955=STYLED_ITEM('',(#546723),#3219); #1956=STYLED_ITEM('',(#546723),#3220); #1957=STYLED_ITEM('',(#546723),#3221); #1958=STYLED_ITEM('',(#546723),#3222); #1959=STYLED_ITEM('',(#546723),#3223); #1960=STYLED_ITEM('',(#546723),#3224); #1961=STYLED_ITEM('',(#546723),#3225); #1962=STYLED_ITEM('',(#546723),#3226); #1963=STYLED_ITEM('',(#546723),#3227); #1964=STYLED_ITEM('',(#546723),#3228); #1965=STYLED_ITEM('',(#546723),#3229); #1966=STYLED_ITEM('',(#546723),#3230); #1967=STYLED_ITEM('',(#546723),#3231); #1968=STYLED_ITEM('',(#546723),#3232); #1969=STYLED_ITEM('',(#546726),#3233); #1970=STYLED_ITEM('',(#546725),#3234); #1971=STYLED_ITEM('',(#546723),#3235); #1972=STYLED_ITEM('',(#546723),#3236); #1973=STYLED_ITEM('',(#546723),#3237); #1974=STYLED_ITEM('',(#546723),#3238); #1975=STYLED_ITEM('',(#546723),#3239); #1976=STYLED_ITEM('',(#546723),#3240); #1977=STYLED_ITEM('',(#546723),#3241); #1978=STYLED_ITEM('',(#546723),#3242); #1979=STYLED_ITEM('',(#546723),#3243); #1980=STYLED_ITEM('',(#546723),#3244); #1981=STYLED_ITEM('',(#546723),#3245); #1982=STYLED_ITEM('',(#546723),#3246); #1983=STYLED_ITEM('',(#546723),#3247); #1984=STYLED_ITEM('',(#546723),#3248); #1985=STYLED_ITEM('',(#546723),#3249); #1986=STYLED_ITEM('',(#546723),#3250); #1987=STYLED_ITEM('',(#546726),#3251); #1988=STYLED_ITEM('',(#546725),#3252); #1989=STYLED_ITEM('',(#546708),#3253); #1990=STYLED_ITEM('',(#546708),#3254); #1991=STYLED_ITEM('',(#546708),#3255); #1992=STYLED_ITEM('',(#546708),#3256); #1993=STYLED_ITEM('',(#546727),#3257); #1994=STYLED_ITEM('',(#546727),#3258); #1995=STYLED_ITEM('',(#546727),#332438); #1996=STYLED_ITEM('',(#546727),#332439); #1997=STYLED_ITEM('',(#546727),#332440); #1998=STYLED_ITEM('',(#546727),#332441); #1999=STYLED_ITEM('',(#546727),#332442); #2000=STYLED_ITEM('',(#546727),#332443); #2001=STYLED_ITEM('',(#546727),#332444); #2002=STYLED_ITEM('',(#546727),#332445); #2003=STYLED_ITEM('',(#546727),#332446); #2004=STYLED_ITEM('',(#546727),#332447); #2005=STYLED_ITEM('',(#546727),#332448); #2006=STYLED_ITEM('',(#546727),#332449); #2007=STYLED_ITEM('',(#546727),#332450); #2008=STYLED_ITEM('',(#546727),#332451); #2009=STYLED_ITEM('',(#546727),#332458); #2010=STYLED_ITEM('',(#546727),#332459); #2011=STYLED_ITEM('',(#546727),#332460); #2012=STYLED_ITEM('',(#546727),#332461); #2013=STYLED_ITEM('',(#546727),#332462); #2014=STYLED_ITEM('',(#546727),#332463); #2015=STYLED_ITEM('',(#546727),#332464); #2016=STYLED_ITEM('',(#546727),#332465); #2017=STYLED_ITEM('',(#546727),#332466); #2018=STYLED_ITEM('',(#546727),#332467); #2019=STYLED_ITEM('',(#546727),#332468); #2020=STYLED_ITEM('',(#546727),#332469); #2021=STYLED_ITEM('',(#546727),#332470); #2022=STYLED_ITEM('',(#546727),#332471); #2023=STYLED_ITEM('',(#546727),#332472); #2024=STYLED_ITEM('',(#546727),#332473); #2025=STYLED_ITEM('',(#546727),#332474); #2026=STYLED_ITEM('',(#546727),#332475); #2027=STYLED_ITEM('',(#546727),#332476); #2028=STYLED_ITEM('',(#546727),#332477); #2029=STYLED_ITEM('',(#546727),#332478); #2030=STYLED_ITEM('',(#546727),#332479); #2031=STYLED_ITEM('',(#546727),#332480); #2032=STYLED_ITEM('',(#546727),#332481); #2033=STYLED_ITEM('',(#546727),#332482); #2034=STYLED_ITEM('',(#546727),#332483); #2035=STYLED_ITEM('',(#546727),#332484); #2036=STYLED_ITEM('',(#546727),#332485); #2037=STYLED_ITEM('',(#546727),#332486); #2038=STYLED_ITEM('',(#546727),#332487); #2039=STYLED_ITEM('',(#546727),#332488); #2040=STYLED_ITEM('',(#546727),#332489); #2041=STYLED_ITEM('',(#546727),#332490); #2042=STYLED_ITEM('',(#546727),#332491); #2043=STYLED_ITEM('',(#546727),#332492); #2044=STYLED_ITEM('',(#546727),#332493); #2045=STYLED_ITEM('',(#546727),#332494); #2046=STYLED_ITEM('',(#546727),#332495); #2047=STYLED_ITEM('',(#546727),#332496); #2048=STYLED_ITEM('',(#546727),#332497); #2049=STYLED_ITEM('',(#546727),#332498); #2050=STYLED_ITEM('',(#546727),#332499); #2051=STYLED_ITEM('',(#546727),#332500); #2052=STYLED_ITEM('',(#546727),#332501); #2053=STYLED_ITEM('',(#546727),#332502); #2054=STYLED_ITEM('',(#546727),#332503); #2055=STYLED_ITEM('',(#546727),#332504); #2056=STYLED_ITEM('',(#546727),#332505); #2057=STYLED_ITEM('',(#546727),#332506); #2058=STYLED_ITEM('',(#546727),#332507); #2059=STYLED_ITEM('',(#546727),#332508); #2060=STYLED_ITEM('',(#546727),#332509); #2061=STYLED_ITEM('',(#546727),#332510); #2062=STYLED_ITEM('',(#546727),#332511); #2063=STYLED_ITEM('',(#546727),#332512); #2064=STYLED_ITEM('',(#546727),#332513); #2065=STYLED_ITEM('',(#546727),#332514); #2066=STYLED_ITEM('',(#546727),#332515); #2067=STYLED_ITEM('',(#546727),#332516); #2068=STYLED_ITEM('',(#546727),#332517); #2069=STYLED_ITEM('',(#546727),#332518); #2070=STYLED_ITEM('',(#546727),#332519); #2071=STYLED_ITEM('',(#546727),#332520); #2072=STYLED_ITEM('',(#546727),#332521); #2073=STYLED_ITEM('',(#546727),#332522); #2074=STYLED_ITEM('',(#546727),#332523); #2075=STYLED_ITEM('',(#546727),#332524); #2076=STYLED_ITEM('',(#546727),#332525); #2077=STYLED_ITEM('',(#546727),#332526); #2078=STYLED_ITEM('',(#546727),#332527); #2079=STYLED_ITEM('',(#546727),#332528); #2080=STYLED_ITEM('',(#546727),#332529); #2081=STYLED_ITEM('',(#546727),#332530); #2082=STYLED_ITEM('',(#546727),#332531); #2083=STYLED_ITEM('',(#546727),#332532); #2084=STYLED_ITEM('',(#546727),#332533); #2085=STYLED_ITEM('',(#546728),#332611); #2086=STYLED_ITEM('',(#546728),#332612); #2087=STYLED_ITEM('',(#546728),#332613); #2088=STYLED_ITEM('',(#546728),#332614); #2089=STYLED_ITEM('',(#546728),#332615); #2090=STYLED_ITEM('',(#546727),#332616); #2091=STYLED_ITEM('',(#546727),#332617); #2092=STYLED_ITEM('',(#546727),#332618); #2093=STYLED_ITEM('',(#546727),#332619); #2094=STYLED_ITEM('',(#546727),#332620); #2095=STYLED_ITEM('',(#546727),#332621); #2096=STYLED_ITEM('',(#546727),#332622); #2097=STYLED_ITEM('',(#546727),#332623); #2098=STYLED_ITEM('',(#546727),#332624); #2099=STYLED_ITEM('',(#546727),#332625); #2100=STYLED_ITEM('',(#546727),#332626); #2101=STYLED_ITEM('',(#546727),#332627); #2102=STYLED_ITEM('',(#546728),#332628); #2103=STYLED_ITEM('',(#546728),#332629); #2104=STYLED_ITEM('',(#546727),#332630); #2105=STYLED_ITEM('',(#546728),#332631); #2106=STYLED_ITEM('',(#546727),#332633); #2107=STYLED_ITEM('',(#546727),#332634); #2108=STYLED_ITEM('',(#546727),#332635); #2109=STYLED_ITEM('',(#546727),#332636); #2110=STYLED_ITEM('',(#546727),#332637); #2111=STYLED_ITEM('',(#546727),#332638); #2112=STYLED_ITEM('',(#546727),#332639); #2113=STYLED_ITEM('',(#546727),#332640); #2114=STYLED_ITEM('',(#546727),#332641); #2115=STYLED_ITEM('',(#546727),#332642); #2116=STYLED_ITEM('',(#546727),#332643); #2117=STYLED_ITEM('',(#546727),#332644); #2118=STYLED_ITEM('',(#546727),#332645); #2119=STYLED_ITEM('',(#546727),#332646); #2120=STYLED_ITEM('',(#546727),#332647); #2121=STYLED_ITEM('',(#546727),#332648); #2122=STYLED_ITEM('',(#546727),#332649); #2123=STYLED_ITEM('',(#546728),#332650); #2124=STYLED_ITEM('',(#546728),#332651); #2125=STYLED_ITEM('',(#546728),#332652); #2126=STYLED_ITEM('',(#546728),#332653); #2127=STYLED_ITEM('',(#546728),#332654); #2128=STYLED_ITEM('',(#546728),#332655); #2129=STYLED_ITEM('',(#546728),#332656); #2130=STYLED_ITEM('',(#546727),#332657); #2131=STYLED_ITEM('',(#546727),#332658); #2132=STYLED_ITEM('',(#546727),#332659); #2133=STYLED_ITEM('',(#546727),#332660); #2134=STYLED_ITEM('',(#546727),#332661); #2135=STYLED_ITEM('',(#546727),#332662); #2136=STYLED_ITEM('',(#546727),#332663); #2137=STYLED_ITEM('',(#546727),#332664); #2138=STYLED_ITEM('',(#546727),#332665); #2139=STYLED_ITEM('',(#546727),#332666); #2140=STYLED_ITEM('',(#546727),#332667); #2141=STYLED_ITEM('',(#546727),#332668); #2142=STYLED_ITEM('',(#546727),#332669); #2143=STYLED_ITEM('',(#546728),#332670); #2144=STYLED_ITEM('',(#546728),#332671); #2145=STYLED_ITEM('',(#546727),#332672); #2146=STYLED_ITEM('',(#546728),#332673); #2147=STYLED_ITEM('',(#546727),#332674); #2148=STYLED_ITEM('',(#546727),#332675); #2149=STYLED_ITEM('',(#546727),#332676); #2150=STYLED_ITEM('',(#546727),#332677); #2151=STYLED_ITEM('',(#546727),#332678); #2152=STYLED_ITEM('',(#546728),#332679); #2153=STYLED_ITEM('',(#546728),#332680); #2154=STYLED_ITEM('',(#546728),#332681); #2155=STYLED_ITEM('',(#546727),#332682); #2156=STYLED_ITEM('',(#546727),#332683); #2157=STYLED_ITEM('',(#546727),#332684); #2158=STYLED_ITEM('',(#546727),#332685); #2159=STYLED_ITEM('',(#546727),#332686); #2160=STYLED_ITEM('',(#546727),#332687); #2161=STYLED_ITEM('',(#546728),#332688); #2162=STYLED_ITEM('',(#546728),#332689); #2163=STYLED_ITEM('',(#546728),#332696); #2164=STYLED_ITEM('',(#546728),#332698); #2165=STYLED_ITEM('',(#546728),#332735); #2166=STYLED_ITEM('',(#546705),#3259); #2167=STYLED_ITEM('',(#546725),#3260); #2168=STYLED_ITEM('',(#546723),#3261); #2169=STYLED_ITEM('',(#546723),#3262); #2170=STYLED_ITEM('',(#546723),#3263); #2171=STYLED_ITEM('',(#546723),#3264); #2172=STYLED_ITEM('',(#546723),#3265); #2173=STYLED_ITEM('',(#546723),#3266); #2174=STYLED_ITEM('',(#546723),#3267); #2175=STYLED_ITEM('',(#546723),#3268); #2176=STYLED_ITEM('',(#546723),#3269); #2177=STYLED_ITEM('',(#546723),#3270); #2178=STYLED_ITEM('',(#546723),#3271); #2179=STYLED_ITEM('',(#546723),#3272); #2180=STYLED_ITEM('',(#546723),#3273); #2181=STYLED_ITEM('',(#546723),#3274); #2182=STYLED_ITEM('',(#546723),#3275); #2183=STYLED_ITEM('',(#546723),#3276); #2184=STYLED_ITEM('',(#546723),#3277); #2185=STYLED_ITEM('',(#546723),#3278); #2186=STYLED_ITEM('',(#546723),#3279); #2187=STYLED_ITEM('',(#546723),#3280); #2188=STYLED_ITEM('',(#546723),#3281); #2189=STYLED_ITEM('',(#546723),#3282); #2190=STYLED_ITEM('',(#546723),#3283); #2191=STYLED_ITEM('',(#546723),#3284); #2192=STYLED_ITEM('',(#546723),#3285); #2193=STYLED_ITEM('',(#546723),#3286); #2194=STYLED_ITEM('',(#546723),#3287); #2195=STYLED_ITEM('',(#546723),#3288); #2196=STYLED_ITEM('',(#546723),#3289); #2197=STYLED_ITEM('',(#546723),#3290); #2198=STYLED_ITEM('',(#546723),#3291); #2199=STYLED_ITEM('',(#546723),#3292); #2200=STYLED_ITEM('',(#546723),#3293); #2201=STYLED_ITEM('',(#546723),#3294); #2202=STYLED_ITEM('',(#546723),#3295); #2203=STYLED_ITEM('',(#546723),#3296); #2204=STYLED_ITEM('',(#546723),#3297); #2205=STYLED_ITEM('',(#546723),#3298); #2206=STYLED_ITEM('',(#546723),#3299); #2207=STYLED_ITEM('',(#546723),#3300); #2208=STYLED_ITEM('',(#546723),#3301); #2209=STYLED_ITEM('',(#546723),#3302); #2210=STYLED_ITEM('',(#546723),#3303); #2211=STYLED_ITEM('',(#546723),#3304); #2212=STYLED_ITEM('',(#546723),#3305); #2213=STYLED_ITEM('',(#546723),#3306); #2214=STYLED_ITEM('',(#546723),#3307); #2215=STYLED_ITEM('',(#546723),#3308); #2216=STYLED_ITEM('',(#546726),#3309); #2217=STYLED_ITEM('',(#546708),#3310); #2218=STYLED_ITEM('',(#546708),#3311); #2219=STYLED_ITEM('',(#546718),#3312); #2220=STYLED_ITEM('',(#546725),#3313); #2221=STYLED_ITEM('',(#546708),#3314); #2222=STYLED_ITEM('',(#546708),#3315); #2223=STYLED_ITEM('',(#546708),#3316); #2224=STYLED_ITEM('',(#546708),#3317); #2225=STYLED_ITEM('',(#546721),#3318); #2226=STYLED_ITEM('',(#546725),#3319); #2227=STYLED_ITEM('',(#546723),#3320); #2228=STYLED_ITEM('',(#546723),#3321); #2229=STYLED_ITEM('',(#546723),#3322); #2230=STYLED_ITEM('',(#546723),#3323); #2231=STYLED_ITEM('',(#546723),#3324); #2232=STYLED_ITEM('',(#546723),#3325); #2233=STYLED_ITEM('',(#546723),#3326); #2234=STYLED_ITEM('',(#546723),#3327); #2235=STYLED_ITEM('',(#546725),#3328); #2236=STYLED_ITEM('',(#546708),#3329); #2237=STYLED_ITEM('',(#546708),#3330); #2238=STYLED_ITEM('',(#546708),#3331); #2239=STYLED_ITEM('',(#546708),#3332); #2240=STYLED_ITEM('',(#546708),#3333); #2241=STYLED_ITEM('',(#546708),#3334); #2242=STYLED_ITEM('',(#546708),#3335); #2243=STYLED_ITEM('',(#546719),#3336); #2244=STYLED_ITEM('',(#546729),#333600); #2245=STYLED_ITEM('',(#546729),#333601); #2246=STYLED_ITEM('',(#546729),#333602); #2247=STYLED_ITEM('',(#546729),#333603); #2248=STYLED_ITEM('',(#546729),#333604); #2249=STYLED_ITEM('',(#546729),#333605); #2250=STYLED_ITEM('',(#546729),#333606); #2251=STYLED_ITEM('',(#546729),#333607); #2252=STYLED_ITEM('',(#546729),#333608); #2253=STYLED_ITEM('',(#546729),#333609); #2254=STYLED_ITEM('',(#546729),#333610); #2255=STYLED_ITEM('',(#546729),#333611); #2256=STYLED_ITEM('',(#546729),#333612); #2257=STYLED_ITEM('',(#546729),#333613); #2258=STYLED_ITEM('',(#546729),#333614); #2259=STYLED_ITEM('',(#546729),#333615); #2260=STYLED_ITEM('',(#546729),#333616); #2261=STYLED_ITEM('',(#546729),#333617); #2262=STYLED_ITEM('',(#546730),#333618); #2263=STYLED_ITEM('',(#546730),#333619); #2264=STYLED_ITEM('',(#546730),#333620); #2265=STYLED_ITEM('',(#546730),#333621); #2266=STYLED_ITEM('',(#546730),#333622); #2267=STYLED_ITEM('',(#546730),#333623); #2268=STYLED_ITEM('',(#546729),#333624); #2269=STYLED_ITEM('',(#546729),#333625); #2270=STYLED_ITEM('',(#546729),#333626); #2271=STYLED_ITEM('',(#546729),#333627); #2272=STYLED_ITEM('',(#546729),#333628); #2273=STYLED_ITEM('',(#546729),#333629); #2274=STYLED_ITEM('',(#546729),#333630); #2275=STYLED_ITEM('',(#546729),#333631); #2276=STYLED_ITEM('',(#546729),#333632); #2277=STYLED_ITEM('',(#546729),#333633); #2278=STYLED_ITEM('',(#546729),#333634); #2279=STYLED_ITEM('',(#546729),#333635); #2280=STYLED_ITEM('',(#546729),#333636); #2281=STYLED_ITEM('',(#546729),#333637); #2282=STYLED_ITEM('',(#546729),#333638); #2283=STYLED_ITEM('',(#546729),#333639); #2284=STYLED_ITEM('',(#546729),#333640); #2285=STYLED_ITEM('',(#546729),#333641); #2286=STYLED_ITEM('',(#546730),#333642); #2287=STYLED_ITEM('',(#546730),#333643); #2288=STYLED_ITEM('',(#546730),#333644); #2289=STYLED_ITEM('',(#546730),#333645); #2290=STYLED_ITEM('',(#546730),#333646); #2291=STYLED_ITEM('',(#546730),#333647); #2292=STYLED_ITEM('',(#546729),#333648); #2293=STYLED_ITEM('',(#546729),#333649); #2294=STYLED_ITEM('',(#546729),#333650); #2295=STYLED_ITEM('',(#546729),#333651); #2296=STYLED_ITEM('',(#546729),#333652); #2297=STYLED_ITEM('',(#546729),#333653); #2298=STYLED_ITEM('',(#546729),#333654); #2299=STYLED_ITEM('',(#546729),#333655); #2300=STYLED_ITEM('',(#546729),#333656); #2301=STYLED_ITEM('',(#546729),#333657); #2302=STYLED_ITEM('',(#546729),#333658); #2303=STYLED_ITEM('',(#546729),#333659); #2304=STYLED_ITEM('',(#546729),#333660); #2305=STYLED_ITEM('',(#546729),#333661); #2306=STYLED_ITEM('',(#546729),#333662); #2307=STYLED_ITEM('',(#546729),#333663); #2308=STYLED_ITEM('',(#546729),#333664); #2309=STYLED_ITEM('',(#546729),#333665); #2310=STYLED_ITEM('',(#546730),#333666); #2311=STYLED_ITEM('',(#546730),#333667); #2312=STYLED_ITEM('',(#546730),#333668); #2313=STYLED_ITEM('',(#546730),#333669); #2314=STYLED_ITEM('',(#546730),#333670); #2315=STYLED_ITEM('',(#546730),#333671); #2316=STYLED_ITEM('',(#546729),#333672); #2317=STYLED_ITEM('',(#546729),#333673); #2318=STYLED_ITEM('',(#546729),#333674); #2319=STYLED_ITEM('',(#546729),#333675); #2320=STYLED_ITEM('',(#546729),#333676); #2321=STYLED_ITEM('',(#546729),#333677); #2322=STYLED_ITEM('',(#546729),#333678); #2323=STYLED_ITEM('',(#546729),#333679); #2324=STYLED_ITEM('',(#546729),#333680); #2325=STYLED_ITEM('',(#546729),#333681); #2326=STYLED_ITEM('',(#546729),#333682); #2327=STYLED_ITEM('',(#546729),#333683); #2328=STYLED_ITEM('',(#546729),#333684); #2329=STYLED_ITEM('',(#546729),#333685); #2330=STYLED_ITEM('',(#546729),#333686); #2331=STYLED_ITEM('',(#546729),#333687); #2332=STYLED_ITEM('',(#546729),#333688); #2333=STYLED_ITEM('',(#546729),#333689); #2334=STYLED_ITEM('',(#546730),#333690); #2335=STYLED_ITEM('',(#546730),#333691); #2336=STYLED_ITEM('',(#546730),#333692); #2337=STYLED_ITEM('',(#546730),#333693); #2338=STYLED_ITEM('',(#546730),#333694); #2339=STYLED_ITEM('',(#546730),#333695); #2340=STYLED_ITEM('',(#546730),#333696); #2341=STYLED_ITEM('',(#546730),#333697); #2342=STYLED_ITEM('',(#546725),#3337); #2343=STYLED_ITEM('',(#546731),#3338); #2344=STYLED_ITEM('',(#546732),#3339); #2345=STYLED_ITEM('',(#546708),#3340); #2346=STYLED_ITEM('',(#546708),#3341); #2347=STYLED_ITEM('',(#546733),#3342); #2348=STYLED_ITEM('',(#546734),#16); #2349=MANIFOLD_SOLID_BREP('Board',#333714); #2350=MANIFOLD_SOLID_BREP('CopperHoles',#333715); #2351=MANIFOLD_SOLID_BREP('CopperHoles',#333716); #2352=MANIFOLD_SOLID_BREP('CopperHoles',#333717); #2353=MANIFOLD_SOLID_BREP('CopperHoles',#333718); #2354=MANIFOLD_SOLID_BREP('CopperHoles',#333719); #2355=MANIFOLD_SOLID_BREP('CopperHoles',#333720); #2356=MANIFOLD_SOLID_BREP('CopperHoles',#333721); #2357=MANIFOLD_SOLID_BREP('CopperHoles',#333722); #2358=MANIFOLD_SOLID_BREP('CopperHoles',#333723); #2359=MANIFOLD_SOLID_BREP('CopperHoles',#333724); #2360=MANIFOLD_SOLID_BREP('CopperHoles',#333725); #2361=MANIFOLD_SOLID_BREP('CopperHoles',#333726); #2362=MANIFOLD_SOLID_BREP('CopperHoles',#333727); #2363=MANIFOLD_SOLID_BREP('CopperHoles',#333728); #2364=MANIFOLD_SOLID_BREP('CopperHoles',#333729); #2365=MANIFOLD_SOLID_BREP('CopperHoles',#333730); #2366=MANIFOLD_SOLID_BREP('CopperHoles',#333731); #2367=MANIFOLD_SOLID_BREP('CopperHoles',#333732); #2368=MANIFOLD_SOLID_BREP('CopperHoles',#333733); #2369=MANIFOLD_SOLID_BREP('CopperHoles',#333734); #2370=MANIFOLD_SOLID_BREP('CopperHoles',#333735); #2371=MANIFOLD_SOLID_BREP('CopperHoles',#333736); #2372=MANIFOLD_SOLID_BREP('CopperHoles',#333737); #2373=MANIFOLD_SOLID_BREP('CopperHoles',#333738); #2374=MANIFOLD_SOLID_BREP('CopperHoles',#333739); #2375=MANIFOLD_SOLID_BREP('CopperHoles',#333740); #2376=MANIFOLD_SOLID_BREP('CopperHoles',#333741); #2377=MANIFOLD_SOLID_BREP('CopperHoles',#333742); #2378=MANIFOLD_SOLID_BREP('CopperHoles',#333743); #2379=MANIFOLD_SOLID_BREP('CopperHoles',#333744); #2380=MANIFOLD_SOLID_BREP('CopperHoles',#333745); #2381=MANIFOLD_SOLID_BREP('CopperHoles',#333746); #2382=MANIFOLD_SOLID_BREP('CopperHoles',#333747); #2383=MANIFOLD_SOLID_BREP('CopperHoles',#333748); #2384=MANIFOLD_SOLID_BREP('CopperHoles',#333749); #2385=MANIFOLD_SOLID_BREP('CopperHoles',#333750); #2386=MANIFOLD_SOLID_BREP('CopperHoles',#333751); #2387=MANIFOLD_SOLID_BREP('CopperHoles',#333752); #2388=MANIFOLD_SOLID_BREP('CopperHoles',#333753); #2389=MANIFOLD_SOLID_BREP('CopperHoles',#333754); #2390=MANIFOLD_SOLID_BREP('CopperHoles',#333755); #2391=MANIFOLD_SOLID_BREP('CopperHoles',#333756); #2392=MANIFOLD_SOLID_BREP('CopperHoles',#333757); #2393=MANIFOLD_SOLID_BREP('CopperHoles',#333758); #2394=MANIFOLD_SOLID_BREP('CopperHoles',#333759); #2395=MANIFOLD_SOLID_BREP('CopperHoles',#333760); #2396=MANIFOLD_SOLID_BREP('CopperHoles',#333761); #2397=MANIFOLD_SOLID_BREP('CopperHoles',#333762); #2398=MANIFOLD_SOLID_BREP('CopperHoles',#333763); #2399=MANIFOLD_SOLID_BREP('CopperHoles',#333764); #2400=MANIFOLD_SOLID_BREP('CopperHoles',#333765); #2401=MANIFOLD_SOLID_BREP('CopperHoles',#333766); #2402=MANIFOLD_SOLID_BREP('CopperHoles',#333767); #2403=MANIFOLD_SOLID_BREP('CopperHoles',#333768); #2404=MANIFOLD_SOLID_BREP('CopperHoles',#333769); #2405=MANIFOLD_SOLID_BREP('CopperHoles',#333770); #2406=MANIFOLD_SOLID_BREP('CopperHoles',#333771); #2407=MANIFOLD_SOLID_BREP('CopperHoles',#333772); #2408=MANIFOLD_SOLID_BREP('CopperHoles',#333773); #2409=MANIFOLD_SOLID_BREP('CopperHoles',#333774); #2410=MANIFOLD_SOLID_BREP('CopperHoles',#333775); #2411=MANIFOLD_SOLID_BREP('CopperHoles',#333776); #2412=MANIFOLD_SOLID_BREP('CopperHoles',#333777); #2413=MANIFOLD_SOLID_BREP('CopperHoles',#333778); #2414=MANIFOLD_SOLID_BREP('CopperHoles',#333779); #2415=MANIFOLD_SOLID_BREP('CopperHoles',#333780); #2416=MANIFOLD_SOLID_BREP('CopperHoles',#333781); #2417=MANIFOLD_SOLID_BREP('CopperHoles',#333782); #2418=MANIFOLD_SOLID_BREP('CopperHoles',#333783); #2419=MANIFOLD_SOLID_BREP('CopperHoles',#333784); #2420=MANIFOLD_SOLID_BREP('CopperHoles',#333785); #2421=MANIFOLD_SOLID_BREP('CopperHoles',#333786); #2422=MANIFOLD_SOLID_BREP('CopperHoles',#333787); #2423=MANIFOLD_SOLID_BREP('CopperHoles',#333788); #2424=MANIFOLD_SOLID_BREP('CopperHoles',#333789); #2425=MANIFOLD_SOLID_BREP('CopperHoles',#333790); #2426=MANIFOLD_SOLID_BREP('CopperHoles',#333791); #2427=MANIFOLD_SOLID_BREP('CopperHoles',#333792); #2428=MANIFOLD_SOLID_BREP('CopperHoles',#333793); #2429=MANIFOLD_SOLID_BREP('CopperHoles',#333794); #2430=MANIFOLD_SOLID_BREP('CopperHoles',#333795); #2431=MANIFOLD_SOLID_BREP('CopperHoles',#333796); #2432=MANIFOLD_SOLID_BREP('CopperHoles',#333797); #2433=MANIFOLD_SOLID_BREP('CopperHoles',#333798); #2434=MANIFOLD_SOLID_BREP('CopperHoles',#333799); #2435=MANIFOLD_SOLID_BREP('CopperHoles',#333800); #2436=MANIFOLD_SOLID_BREP('CopperHoles',#333801); #2437=MANIFOLD_SOLID_BREP('CopperHoles',#333802); #2438=MANIFOLD_SOLID_BREP('CopperHoles',#333803); #2439=MANIFOLD_SOLID_BREP('CopperHoles',#333804); #2440=MANIFOLD_SOLID_BREP('CopperHoles',#333805); #2441=MANIFOLD_SOLID_BREP('CopperHoles',#333806); #2442=MANIFOLD_SOLID_BREP('CopperHoles',#333807); #2443=MANIFOLD_SOLID_BREP('CopperHoles',#333808); #2444=MANIFOLD_SOLID_BREP('CopperHoles',#333809); #2445=MANIFOLD_SOLID_BREP('CopperHoles',#333810); #2446=MANIFOLD_SOLID_BREP('CopperHoles',#333811); #2447=MANIFOLD_SOLID_BREP('CopperHoles',#333812); #2448=MANIFOLD_SOLID_BREP('CopperHoles',#333813); #2449=MANIFOLD_SOLID_BREP('CopperHoles',#333814); #2450=MANIFOLD_SOLID_BREP('CopperHoles',#333815); #2451=MANIFOLD_SOLID_BREP('CopperHoles',#333816); #2452=MANIFOLD_SOLID_BREP('CopperHoles',#333817); #2453=MANIFOLD_SOLID_BREP('CopperHoles',#333818); #2454=MANIFOLD_SOLID_BREP('CopperHoles',#333819); #2455=MANIFOLD_SOLID_BREP('CopperHoles',#333820); #2456=MANIFOLD_SOLID_BREP('CopperHoles',#333821); #2457=MANIFOLD_SOLID_BREP('CopperHoles',#333822); #2458=MANIFOLD_SOLID_BREP('CopperHoles',#333823); #2459=MANIFOLD_SOLID_BREP('CopperHoles',#333824); #2460=MANIFOLD_SOLID_BREP('CopperHoles',#333825); #2461=MANIFOLD_SOLID_BREP('CopperHoles',#333826); #2462=MANIFOLD_SOLID_BREP('CopperHoles',#333827); #2463=MANIFOLD_SOLID_BREP('CopperHoles',#333828); #2464=MANIFOLD_SOLID_BREP('CopperHoles',#333829); #2465=MANIFOLD_SOLID_BREP('CopperHoles',#333830); #2466=MANIFOLD_SOLID_BREP('CopperHoles',#333831); #2467=MANIFOLD_SOLID_BREP('CopperHoles',#333832); #2468=MANIFOLD_SOLID_BREP('CopperHoles',#333833); #2469=MANIFOLD_SOLID_BREP('CopperHoles',#333834); #2470=MANIFOLD_SOLID_BREP('CopperHoles',#333835); #2471=MANIFOLD_SOLID_BREP('CopperHoles',#333836); #2472=MANIFOLD_SOLID_BREP('CopperHoles',#333837); #2473=MANIFOLD_SOLID_BREP('CopperHoles',#333838); #2474=MANIFOLD_SOLID_BREP('CopperHoles',#333839); #2475=MANIFOLD_SOLID_BREP('CopperHoles',#333840); #2476=MANIFOLD_SOLID_BREP('CopperHoles',#333841); #2477=MANIFOLD_SOLID_BREP('CopperHoles',#333842); #2478=MANIFOLD_SOLID_BREP('CopperHoles',#333843); #2479=MANIFOLD_SOLID_BREP('CopperHoles',#333844); #2480=MANIFOLD_SOLID_BREP('CopperHoles',#333845); #2481=MANIFOLD_SOLID_BREP('CopperHoles',#333846); #2482=MANIFOLD_SOLID_BREP('CopperHoles',#333847); #2483=MANIFOLD_SOLID_BREP('CopperHoles',#333848); #2484=MANIFOLD_SOLID_BREP('CopperHoles',#333849); #2485=MANIFOLD_SOLID_BREP('CopperHoles',#333850); #2486=MANIFOLD_SOLID_BREP('CopperHoles',#333851); #2487=MANIFOLD_SOLID_BREP('CopperHoles',#333852); #2488=MANIFOLD_SOLID_BREP('CopperHoles',#333853); #2489=MANIFOLD_SOLID_BREP('CopperHoles',#333854); #2490=MANIFOLD_SOLID_BREP('CopperHoles',#333855); #2491=MANIFOLD_SOLID_BREP('CopperHoles',#333856); #2492=MANIFOLD_SOLID_BREP('CopperHoles',#333857); #2493=MANIFOLD_SOLID_BREP('CopperHoles',#333858); #2494=MANIFOLD_SOLID_BREP('CopperHoles',#333859); #2495=MANIFOLD_SOLID_BREP('CopperHoles',#333860); #2496=MANIFOLD_SOLID_BREP('CopperHoles',#333861); #2497=MANIFOLD_SOLID_BREP('CopperHoles',#333862); #2498=MANIFOLD_SOLID_BREP('CopperHoles',#333863); #2499=MANIFOLD_SOLID_BREP('CopperHoles',#333864); #2500=MANIFOLD_SOLID_BREP('CopperHoles',#333865); #2501=MANIFOLD_SOLID_BREP('CopperHoles',#333866); #2502=MANIFOLD_SOLID_BREP('CopperHoles',#333867); #2503=MANIFOLD_SOLID_BREP('CopperHoles',#333868); #2504=MANIFOLD_SOLID_BREP('CopperHoles',#333869); #2505=MANIFOLD_SOLID_BREP('CopperHoles',#333870); #2506=MANIFOLD_SOLID_BREP('CopperHoles',#333871); #2507=MANIFOLD_SOLID_BREP('CopperHoles',#333872); #2508=MANIFOLD_SOLID_BREP('CopperHoles',#333873); #2509=MANIFOLD_SOLID_BREP('CopperHoles',#333874); #2510=MANIFOLD_SOLID_BREP('CopperHoles',#333875); #2511=MANIFOLD_SOLID_BREP('CopperHoles',#333876); #2512=MANIFOLD_SOLID_BREP('CopperHoles',#333877); #2513=MANIFOLD_SOLID_BREP('CopperHoles',#333878); #2514=MANIFOLD_SOLID_BREP('CopperHoles',#333879); #2515=MANIFOLD_SOLID_BREP('CopperHoles',#333880); #2516=MANIFOLD_SOLID_BREP('CopperHoles',#333881); #2517=MANIFOLD_SOLID_BREP('CopperHoles',#333882); #2518=MANIFOLD_SOLID_BREP('CopperHoles',#333883); #2519=MANIFOLD_SOLID_BREP('CopperHoles',#333884); #2520=MANIFOLD_SOLID_BREP('CopperHoles',#333885); #2521=MANIFOLD_SOLID_BREP('CopperHoles',#333886); #2522=MANIFOLD_SOLID_BREP('CopperHoles',#333887); #2523=MANIFOLD_SOLID_BREP('CopperHoles',#333888); #2524=MANIFOLD_SOLID_BREP('CopperHoles',#333889); #2525=MANIFOLD_SOLID_BREP('CopperHoles',#333890); #2526=MANIFOLD_SOLID_BREP('CopperHoles',#333891); #2527=MANIFOLD_SOLID_BREP('CopperHoles',#333892); #2528=MANIFOLD_SOLID_BREP('CopperHoles',#333893); #2529=MANIFOLD_SOLID_BREP('CopperHoles',#333894); #2530=MANIFOLD_SOLID_BREP('CopperHoles',#333895); #2531=MANIFOLD_SOLID_BREP('CopperHoles',#333896); #2532=MANIFOLD_SOLID_BREP('CopperHoles',#333897); #2533=MANIFOLD_SOLID_BREP('CopperHoles',#333898); #2534=MANIFOLD_SOLID_BREP('CopperHoles',#333899); #2535=MANIFOLD_SOLID_BREP('CopperHoles',#333900); #2536=MANIFOLD_SOLID_BREP('CopperHoles',#333901); #2537=MANIFOLD_SOLID_BREP('CopperHoles',#333902); #2538=MANIFOLD_SOLID_BREP('CopperHoles',#333903); #2539=MANIFOLD_SOLID_BREP('CopperHoles',#333904); #2540=MANIFOLD_SOLID_BREP('CopperHoles',#333905); #2541=MANIFOLD_SOLID_BREP('CopperHoles',#333906); #2542=MANIFOLD_SOLID_BREP('CopperHoles',#333907); #2543=MANIFOLD_SOLID_BREP('CopperHoles',#333908); #2544=MANIFOLD_SOLID_BREP('CopperHoles',#333909); #2545=MANIFOLD_SOLID_BREP('CopperHoles',#333910); #2546=MANIFOLD_SOLID_BREP('CopperHoles',#333911); #2547=MANIFOLD_SOLID_BREP('CopperHoles',#333912); #2548=MANIFOLD_SOLID_BREP('CopperHoles',#333913); #2549=MANIFOLD_SOLID_BREP('CopperHoles',#333914); #2550=MANIFOLD_SOLID_BREP('CopperHoles',#333915); #2551=MANIFOLD_SOLID_BREP('CopperHoles',#333916); #2552=MANIFOLD_SOLID_BREP('CopperHoles',#333917); #2553=MANIFOLD_SOLID_BREP('CopperHoles',#333918); #2554=MANIFOLD_SOLID_BREP('CopperHoles',#333919); #2555=MANIFOLD_SOLID_BREP('CopperHoles',#333920); #2556=MANIFOLD_SOLID_BREP('CopperHoles',#333921); #2557=MANIFOLD_SOLID_BREP('CopperHoles',#333922); #2558=MANIFOLD_SOLID_BREP('CopperHoles',#333923); #2559=MANIFOLD_SOLID_BREP('CopperHoles',#333924); #2560=MANIFOLD_SOLID_BREP('CopperHoles',#333925); #2561=MANIFOLD_SOLID_BREP('CopperHoles',#333926); #2562=MANIFOLD_SOLID_BREP('CopperHoles',#333927); #2563=MANIFOLD_SOLID_BREP('CopperHoles',#333928); #2564=MANIFOLD_SOLID_BREP('CopperHoles',#333929); #2565=MANIFOLD_SOLID_BREP('CopperHoles',#333930); #2566=MANIFOLD_SOLID_BREP('CopperHoles',#333931); #2567=MANIFOLD_SOLID_BREP('CopperHoles',#333932); #2568=MANIFOLD_SOLID_BREP('CopperHoles',#333933); #2569=MANIFOLD_SOLID_BREP('CopperHoles',#333934); #2570=MANIFOLD_SOLID_BREP('CopperHoles',#333935); #2571=MANIFOLD_SOLID_BREP('CopperHoles',#333936); #2572=MANIFOLD_SOLID_BREP('CopperHoles',#333937); #2573=MANIFOLD_SOLID_BREP('CopperHoles',#333938); #2574=MANIFOLD_SOLID_BREP('CopperHoles',#333939); #2575=MANIFOLD_SOLID_BREP('CopperHoles',#333940); #2576=MANIFOLD_SOLID_BREP('CopperHoles',#333941); #2577=MANIFOLD_SOLID_BREP('CopperHoles',#333942); #2578=MANIFOLD_SOLID_BREP('CopperHoles',#333943); #2579=MANIFOLD_SOLID_BREP('CopperHoles',#333944); #2580=MANIFOLD_SOLID_BREP('CopperHoles',#333945); #2581=MANIFOLD_SOLID_BREP('CopperHoles',#333946); #2582=MANIFOLD_SOLID_BREP('CopperHoles',#333947); #2583=MANIFOLD_SOLID_BREP('CopperHoles',#333948); #2584=MANIFOLD_SOLID_BREP('CopperHoles',#333949); #2585=MANIFOLD_SOLID_BREP('CopperHoles',#333950); #2586=MANIFOLD_SOLID_BREP('CopperHoles',#333951); #2587=MANIFOLD_SOLID_BREP('CopperHoles',#333952); #2588=MANIFOLD_SOLID_BREP('CopperHoles',#333953); #2589=MANIFOLD_SOLID_BREP('CopperHoles',#333954); #2590=MANIFOLD_SOLID_BREP('CopperHoles',#333955); #2591=MANIFOLD_SOLID_BREP('CopperHoles',#333956); #2592=MANIFOLD_SOLID_BREP('CopperHoles',#333957); #2593=MANIFOLD_SOLID_BREP('CopperHoles',#333958); #2594=MANIFOLD_SOLID_BREP('CopperHoles',#333959); #2595=MANIFOLD_SOLID_BREP('CopperHoles',#333960); #2596=MANIFOLD_SOLID_BREP('CopperHoles',#333961); #2597=MANIFOLD_SOLID_BREP('CopperHoles',#333962); #2598=MANIFOLD_SOLID_BREP('CopperHoles',#333963); #2599=MANIFOLD_SOLID_BREP('CopperHoles',#333964); #2600=MANIFOLD_SOLID_BREP('CopperHoles',#333965); #2601=MANIFOLD_SOLID_BREP('CopperHoles',#333966); #2602=MANIFOLD_SOLID_BREP('CopperHoles',#333967); #2603=MANIFOLD_SOLID_BREP('CopperHoles',#333968); #2604=MANIFOLD_SOLID_BREP('CopperHoles',#333969); #2605=MANIFOLD_SOLID_BREP('CopperHoles',#333970); #2606=MANIFOLD_SOLID_BREP('CopperHoles',#333971); #2607=MANIFOLD_SOLID_BREP('CopperHoles',#333972); #2608=MANIFOLD_SOLID_BREP('CopperHoles',#333973); #2609=MANIFOLD_SOLID_BREP('CopperHoles',#333974); #2610=MANIFOLD_SOLID_BREP('CopperHoles',#333975); #2611=MANIFOLD_SOLID_BREP('CopperHoles',#333976); #2612=MANIFOLD_SOLID_BREP('CopperHoles',#333977); #2613=MANIFOLD_SOLID_BREP('CopperHoles',#333978); #2614=MANIFOLD_SOLID_BREP('CopperHoles',#333979); #2615=MANIFOLD_SOLID_BREP('CopperHoles',#333980); #2616=MANIFOLD_SOLID_BREP('CopperHoles',#333981); #2617=MANIFOLD_SOLID_BREP('CopperHoles',#333982); #2618=MANIFOLD_SOLID_BREP('CopperHoles',#333983); #2619=MANIFOLD_SOLID_BREP('CopperHoles',#333984); #2620=MANIFOLD_SOLID_BREP('CopperHoles',#333985); #2621=MANIFOLD_SOLID_BREP('CopperHoles',#333986); #2622=MANIFOLD_SOLID_BREP('CopperHoles',#333987); #2623=MANIFOLD_SOLID_BREP('CopperHoles',#333988); #2624=MANIFOLD_SOLID_BREP('CopperHoles',#333989); #2625=MANIFOLD_SOLID_BREP('CopperHoles',#333990); #2626=MANIFOLD_SOLID_BREP('CopperHoles',#333991); #2627=MANIFOLD_SOLID_BREP('CopperHoles',#333992); #2628=MANIFOLD_SOLID_BREP('CopperHoles',#333993); #2629=MANIFOLD_SOLID_BREP('CopperHoles',#333994); #2630=MANIFOLD_SOLID_BREP('CopperHoles',#333995); #2631=MANIFOLD_SOLID_BREP('CopperHoles',#333996); #2632=MANIFOLD_SOLID_BREP('CopperHoles',#333997); #2633=MANIFOLD_SOLID_BREP('CopperHoles',#333998); #2634=MANIFOLD_SOLID_BREP('CopperHoles',#333999); #2635=MANIFOLD_SOLID_BREP('CopperHoles',#334000); #2636=MANIFOLD_SOLID_BREP('CopperHoles',#334001); #2637=MANIFOLD_SOLID_BREP('CopperHoles',#334002); #2638=MANIFOLD_SOLID_BREP('CopperHoles',#334003); #2639=MANIFOLD_SOLID_BREP('CopperHoles',#334004); #2640=MANIFOLD_SOLID_BREP('CopperHoles',#334005); #2641=MANIFOLD_SOLID_BREP('CopperHoles',#334006); #2642=MANIFOLD_SOLID_BREP('CopperHoles',#334007); #2643=MANIFOLD_SOLID_BREP('CopperHoles',#334008); #2644=MANIFOLD_SOLID_BREP('CopperHoles',#334009); #2645=MANIFOLD_SOLID_BREP('CopperHoles',#334010); #2646=MANIFOLD_SOLID_BREP('CopperHoles',#334011); #2647=MANIFOLD_SOLID_BREP('CopperHoles',#334012); #2648=MANIFOLD_SOLID_BREP('CopperHoles',#334013); #2649=MANIFOLD_SOLID_BREP('CopperHoles',#334014); #2650=MANIFOLD_SOLID_BREP('CopperHoles',#334015); #2651=MANIFOLD_SOLID_BREP('CopperHoles',#334016); #2652=MANIFOLD_SOLID_BREP('CopperHoles',#334017); #2653=MANIFOLD_SOLID_BREP('CopperHoles',#334018); #2654=MANIFOLD_SOLID_BREP('CopperHoles',#334019); #2655=MANIFOLD_SOLID_BREP('CopperHoles',#334020); #2656=MANIFOLD_SOLID_BREP('CopperHoles',#334021); #2657=MANIFOLD_SOLID_BREP('CopperHoles',#334022); #2658=MANIFOLD_SOLID_BREP('CopperHoles',#334023); #2659=MANIFOLD_SOLID_BREP('CopperHoles',#334024); #2660=MANIFOLD_SOLID_BREP('CopperHoles',#334025); #2661=MANIFOLD_SOLID_BREP('CopperHoles',#334026); #2662=MANIFOLD_SOLID_BREP('CopperHoles',#334027); #2663=MANIFOLD_SOLID_BREP('CopperHoles',#334028); #2664=MANIFOLD_SOLID_BREP('CopperHoles',#334029); #2665=MANIFOLD_SOLID_BREP('CopperHoles',#334030); #2666=MANIFOLD_SOLID_BREP('CopperHoles',#334031); #2667=MANIFOLD_SOLID_BREP('CopperHoles',#334032); #2668=MANIFOLD_SOLID_BREP('CopperHoles',#334033); #2669=MANIFOLD_SOLID_BREP('CopperHoles',#334034); #2670=MANIFOLD_SOLID_BREP('CopperHoles',#334035); #2671=MANIFOLD_SOLID_BREP('CopperHoles',#334036); #2672=MANIFOLD_SOLID_BREP('CopperHoles',#334037); #2673=MANIFOLD_SOLID_BREP('CopperHoles',#334038); #2674=MANIFOLD_SOLID_BREP('CopperHoles',#334039); #2675=MANIFOLD_SOLID_BREP('CopperHoles',#334040); #2676=MANIFOLD_SOLID_BREP('CopperHoles',#334041); #2677=MANIFOLD_SOLID_BREP('CopperHoles',#334042); #2678=MANIFOLD_SOLID_BREP('CopperHoles',#334043); #2679=MANIFOLD_SOLID_BREP('CopperHoles',#334044); #2680=MANIFOLD_SOLID_BREP('Body1',#334045); #2681=MANIFOLD_SOLID_BREP('Body1',#334046); #2682=MANIFOLD_SOLID_BREP('Body1',#334047); #2683=MANIFOLD_SOLID_BREP('Body1',#334048); #2684=MANIFOLD_SOLID_BREP('Body1',#334049); #2685=MANIFOLD_SOLID_BREP('Body1',#334050); #2686=MANIFOLD_SOLID_BREP('Body1',#334051); #2687=MANIFOLD_SOLID_BREP('Body1',#334052); #2688=MANIFOLD_SOLID_BREP('Body1',#334053); #2689=MANIFOLD_SOLID_BREP('Body1',#334054); #2690=MANIFOLD_SOLID_BREP('Body1',#334055); #2691=MANIFOLD_SOLID_BREP('Body1',#334056); #2692=MANIFOLD_SOLID_BREP('Body1',#334057); #2693=MANIFOLD_SOLID_BREP('Body1',#334058); #2694=MANIFOLD_SOLID_BREP('Body1',#334059); #2695=MANIFOLD_SOLID_BREP('Body1',#334060); #2696=MANIFOLD_SOLID_BREP('Body1',#334061); #2697=MANIFOLD_SOLID_BREP('Body1',#334062); #2698=MANIFOLD_SOLID_BREP('Body1',#334063); #2699=MANIFOLD_SOLID_BREP('Body1',#334064); #2700=MANIFOLD_SOLID_BREP('Body1',#334065); #2701=MANIFOLD_SOLID_BREP('Body1',#334066); #2702=MANIFOLD_SOLID_BREP('Body1',#334067); #2703=MANIFOLD_SOLID_BREP('Body1',#334068); #2704=MANIFOLD_SOLID_BREP('Body1',#334069); #2705=MANIFOLD_SOLID_BREP('Body1',#334070); #2706=MANIFOLD_SOLID_BREP('Body1',#334071); #2707=MANIFOLD_SOLID_BREP('Body1',#334072); #2708=MANIFOLD_SOLID_BREP('Body1',#334073); #2709=MANIFOLD_SOLID_BREP('Body1',#334074); #2710=MANIFOLD_SOLID_BREP('Body1',#334075); #2711=MANIFOLD_SOLID_BREP('Body1',#334076); #2712=MANIFOLD_SOLID_BREP('Body1',#334077); #2713=MANIFOLD_SOLID_BREP('Body1',#334078); #2714=MANIFOLD_SOLID_BREP('Body1',#334079); #2715=MANIFOLD_SOLID_BREP('Body1',#334080); #2716=MANIFOLD_SOLID_BREP('Body1',#334081); #2717=MANIFOLD_SOLID_BREP('Body1',#334082); #2718=MANIFOLD_SOLID_BREP('Body1',#334083); #2719=MANIFOLD_SOLID_BREP('Body1',#334084); #2720=MANIFOLD_SOLID_BREP('Body1',#334085); #2721=MANIFOLD_SOLID_BREP('Body1',#334086); #2722=MANIFOLD_SOLID_BREP('Body1',#334087); #2723=MANIFOLD_SOLID_BREP('Body1',#334088); #2724=MANIFOLD_SOLID_BREP('Body1',#334089); #2725=MANIFOLD_SOLID_BREP('Body1',#334090); #2726=MANIFOLD_SOLID_BREP('Body1',#334091); #2727=MANIFOLD_SOLID_BREP('Body1',#334092); #2728=MANIFOLD_SOLID_BREP('Body1',#334093); #2729=MANIFOLD_SOLID_BREP('Body1',#334094); #2730=MANIFOLD_SOLID_BREP('Body1',#334095); #2731=MANIFOLD_SOLID_BREP('Body1',#334096); #2732=MANIFOLD_SOLID_BREP('Body1',#334097); #2733=MANIFOLD_SOLID_BREP('Body1',#334098); #2734=MANIFOLD_SOLID_BREP('Body1',#334099); #2735=MANIFOLD_SOLID_BREP('Body1',#334100); #2736=MANIFOLD_SOLID_BREP('Body1',#334101); #2737=MANIFOLD_SOLID_BREP('Body1',#334102); #2738=MANIFOLD_SOLID_BREP('Body1',#334103); #2739=MANIFOLD_SOLID_BREP('Body1',#334104); #2740=MANIFOLD_SOLID_BREP('Body1',#334105); #2741=MANIFOLD_SOLID_BREP('Body1',#334106); #2742=MANIFOLD_SOLID_BREP('Body1',#334107); #2743=MANIFOLD_SOLID_BREP('Body1',#334108); #2744=MANIFOLD_SOLID_BREP('Body1',#334109); #2745=MANIFOLD_SOLID_BREP('Body1',#334110); #2746=MANIFOLD_SOLID_BREP('Body1',#334111); #2747=MANIFOLD_SOLID_BREP('Body5',#334112); #2748=MANIFOLD_SOLID_BREP('Body5',#334113); #2749=MANIFOLD_SOLID_BREP('Body5',#334114); #2750=MANIFOLD_SOLID_BREP('Body5',#334115); #2751=MANIFOLD_SOLID_BREP('Body5',#334116); #2752=MANIFOLD_SOLID_BREP('Body5',#334117); #2753=MANIFOLD_SOLID_BREP('Body5',#334118); #2754=MANIFOLD_SOLID_BREP('Body5',#334119); #2755=MANIFOLD_SOLID_BREP('Body5',#334120); #2756=MANIFOLD_SOLID_BREP('Body5',#334121); #2757=MANIFOLD_SOLID_BREP('Body5',#334122); #2758=MANIFOLD_SOLID_BREP('Body5',#334123); #2759=MANIFOLD_SOLID_BREP('Body5',#334124); #2760=MANIFOLD_SOLID_BREP('Body5',#334125); #2761=MANIFOLD_SOLID_BREP('Body5',#334126); #2762=MANIFOLD_SOLID_BREP('Body5',#334127); #2763=MANIFOLD_SOLID_BREP('Body5',#334128); #2764=MANIFOLD_SOLID_BREP('Body5',#334129); #2765=MANIFOLD_SOLID_BREP('Body5',#334130); #2766=MANIFOLD_SOLID_BREP('Body5',#334131); #2767=MANIFOLD_SOLID_BREP('Body5',#334132); #2768=MANIFOLD_SOLID_BREP('Body5',#334133); #2769=MANIFOLD_SOLID_BREP('Body5',#334134); #2770=MANIFOLD_SOLID_BREP('Body5',#334135); #2771=MANIFOLD_SOLID_BREP('Body5',#334136); #2772=MANIFOLD_SOLID_BREP('Body5',#334137); #2773=MANIFOLD_SOLID_BREP('Body5',#334138); #2774=MANIFOLD_SOLID_BREP('Body5',#334139); #2775=MANIFOLD_SOLID_BREP('Body5',#334140); #2776=MANIFOLD_SOLID_BREP('Body5',#334141); #2777=MANIFOLD_SOLID_BREP('Body5',#334142); #2778=MANIFOLD_SOLID_BREP('Body5',#334143); #2779=MANIFOLD_SOLID_BREP('Body5',#334144); #2780=MANIFOLD_SOLID_BREP('Body5',#334145); #2781=MANIFOLD_SOLID_BREP('Body5',#334146); #2782=MANIFOLD_SOLID_BREP('Body5',#334147); #2783=MANIFOLD_SOLID_BREP('Body5',#334148); #2784=MANIFOLD_SOLID_BREP('Body5',#334149); #2785=MANIFOLD_SOLID_BREP('Body5',#334150); #2786=MANIFOLD_SOLID_BREP('Body5',#334151); #2787=MANIFOLD_SOLID_BREP('Body5',#334152); #2788=MANIFOLD_SOLID_BREP('Body5',#334153); #2789=MANIFOLD_SOLID_BREP('Body5',#334154); #2790=MANIFOLD_SOLID_BREP('Body5',#334155); #2791=MANIFOLD_SOLID_BREP('Body5',#334156); #2792=MANIFOLD_SOLID_BREP('Body5',#334157); #2793=MANIFOLD_SOLID_BREP('Body5',#334158); #2794=MANIFOLD_SOLID_BREP('Body5',#334159); #2795=MANIFOLD_SOLID_BREP('Body5',#334160); #2796=MANIFOLD_SOLID_BREP('Body5',#334161); #2797=MANIFOLD_SOLID_BREP('Body5',#334162); #2798=MANIFOLD_SOLID_BREP('Body5',#334163); #2799=MANIFOLD_SOLID_BREP('Body5',#334164); #2800=MANIFOLD_SOLID_BREP('Body5',#334165); #2801=MANIFOLD_SOLID_BREP('Body5',#334166); #2802=MANIFOLD_SOLID_BREP('Body5',#334167); #2803=MANIFOLD_SOLID_BREP('Body5',#334168); #2804=MANIFOLD_SOLID_BREP('Body5',#334169); #2805=MANIFOLD_SOLID_BREP('Body5',#334170); #2806=MANIFOLD_SOLID_BREP('Body5',#334171); #2807=MANIFOLD_SOLID_BREP('Body5',#334172); #2808=MANIFOLD_SOLID_BREP('Body5',#334173); #2809=MANIFOLD_SOLID_BREP('Body5',#334174); #2810=MANIFOLD_SOLID_BREP('Body2',#334175); #2811=MANIFOLD_SOLID_BREP('Body2',#334176); #2812=MANIFOLD_SOLID_BREP('Body2',#334177); #2813=MANIFOLD_SOLID_BREP('Body2',#334178); #2814=MANIFOLD_SOLID_BREP('Body2',#334179); #2815=MANIFOLD_SOLID_BREP('Body2',#334180); #2816=MANIFOLD_SOLID_BREP('Body2',#334181); #2817=MANIFOLD_SOLID_BREP('Body2',#334182); #2818=MANIFOLD_SOLID_BREP('Body2',#334183); #2819=MANIFOLD_SOLID_BREP('Body2',#334184); #2820=MANIFOLD_SOLID_BREP('Body2',#334185); #2821=MANIFOLD_SOLID_BREP('Body2',#334186); #2822=MANIFOLD_SOLID_BREP('Body2',#334187); #2823=MANIFOLD_SOLID_BREP('Body2',#334188); #2824=MANIFOLD_SOLID_BREP('Body2',#334189); #2825=MANIFOLD_SOLID_BREP('Body2',#334190); #2826=MANIFOLD_SOLID_BREP('Body2',#334191); #2827=MANIFOLD_SOLID_BREP('Body2',#334192); #2828=MANIFOLD_SOLID_BREP('Body2',#334193); #2829=MANIFOLD_SOLID_BREP('Body2',#334194); #2830=MANIFOLD_SOLID_BREP('Body2',#334195); #2831=MANIFOLD_SOLID_BREP('Body2',#334196); #2832=MANIFOLD_SOLID_BREP('Body2',#334197); #2833=MANIFOLD_SOLID_BREP('Body2',#334198); #2834=MANIFOLD_SOLID_BREP('Body2',#334199); #2835=MANIFOLD_SOLID_BREP('Body3',#334200); #2836=MANIFOLD_SOLID_BREP('Body3',#334201); #2837=MANIFOLD_SOLID_BREP('Body3',#334202); #2838=MANIFOLD_SOLID_BREP('Body3',#334203); #2839=MANIFOLD_SOLID_BREP('Body3',#334204); #2840=MANIFOLD_SOLID_BREP('Body3',#334205); #2841=MANIFOLD_SOLID_BREP('Body3',#334206); #2842=MANIFOLD_SOLID_BREP('Body3',#334207); #2843=MANIFOLD_SOLID_BREP('Body3',#334208); #2844=MANIFOLD_SOLID_BREP('Body3',#334209); #2845=MANIFOLD_SOLID_BREP('Body3',#334210); #2846=MANIFOLD_SOLID_BREP('Body3',#334211); #2847=MANIFOLD_SOLID_BREP('Body3',#334212); #2848=MANIFOLD_SOLID_BREP('Body3',#334213); #2849=MANIFOLD_SOLID_BREP('Body3',#334214); #2850=MANIFOLD_SOLID_BREP('Body3',#334215); #2851=MANIFOLD_SOLID_BREP('Body3',#334216); #2852=MANIFOLD_SOLID_BREP('Body3',#334217); #2853=MANIFOLD_SOLID_BREP('Body3',#334218); #2854=MANIFOLD_SOLID_BREP('Body3',#334219); #2855=MANIFOLD_SOLID_BREP('Body3',#334220); #2856=MANIFOLD_SOLID_BREP('Body3',#334221); #2857=MANIFOLD_SOLID_BREP('Body3',#334222); #2858=MANIFOLD_SOLID_BREP('Body3',#334223); #2859=MANIFOLD_SOLID_BREP('Body3',#334224); #2860=MANIFOLD_SOLID_BREP('Body3',#334225); #2861=MANIFOLD_SOLID_BREP('Body3',#334226); #2862=MANIFOLD_SOLID_BREP('Body3',#334227); #2863=MANIFOLD_SOLID_BREP('Body3',#334228); #2864=MANIFOLD_SOLID_BREP('Body3',#334229); #2865=MANIFOLD_SOLID_BREP('Body3',#334230); #2866=MANIFOLD_SOLID_BREP('Body3',#334231); #2867=MANIFOLD_SOLID_BREP('Body3',#334232); #2868=MANIFOLD_SOLID_BREP('Body3',#334233); #2869=MANIFOLD_SOLID_BREP('Body3',#334234); #2870=MANIFOLD_SOLID_BREP('Body3',#334235); #2871=MANIFOLD_SOLID_BREP('Body3',#334236); #2872=MANIFOLD_SOLID_BREP('Body3',#334237); #2873=MANIFOLD_SOLID_BREP('Body3',#334238); #2874=MANIFOLD_SOLID_BREP('Body3',#334239); #2875=MANIFOLD_SOLID_BREP('Body3',#334240); #2876=MANIFOLD_SOLID_BREP('Body3',#334241); #2877=MANIFOLD_SOLID_BREP('Body3',#334242); #2878=MANIFOLD_SOLID_BREP('Body3',#334243); #2879=MANIFOLD_SOLID_BREP('Body3',#334244); #2880=MANIFOLD_SOLID_BREP('Body3',#334245); #2881=MANIFOLD_SOLID_BREP('Body3',#334246); #2882=MANIFOLD_SOLID_BREP('Body3',#334247); #2883=MANIFOLD_SOLID_BREP('Body3',#334248); #2884=MANIFOLD_SOLID_BREP('Body3',#334249); #2885=MANIFOLD_SOLID_BREP('Body3',#334250); #2886=MANIFOLD_SOLID_BREP('Body3',#334251); #2887=MANIFOLD_SOLID_BREP('Body3',#334252); #2888=MANIFOLD_SOLID_BREP('Body3',#334253); #2889=MANIFOLD_SOLID_BREP('Body3',#334254); #2890=MANIFOLD_SOLID_BREP('Body3',#334255); #2891=MANIFOLD_SOLID_BREP('Body3',#334256); #2892=MANIFOLD_SOLID_BREP('Body3',#334257); #2893=MANIFOLD_SOLID_BREP('Body3',#334258); #2894=MANIFOLD_SOLID_BREP('Body3',#334259); #2895=MANIFOLD_SOLID_BREP('Body3',#334260); #2896=MANIFOLD_SOLID_BREP('Body3',#334261); #2897=MANIFOLD_SOLID_BREP('Body3',#334262); #2898=MANIFOLD_SOLID_BREP('Body3',#334263); #2899=MANIFOLD_SOLID_BREP('Body3',#334264); #2900=MANIFOLD_SOLID_BREP('Body3',#334265); #2901=MANIFOLD_SOLID_BREP('Body3',#334266); #2902=MANIFOLD_SOLID_BREP('Body3',#334267); #2903=MANIFOLD_SOLID_BREP('Body3',#334268); #2904=MANIFOLD_SOLID_BREP('Body3',#334269); #2905=MANIFOLD_SOLID_BREP('Body3',#334270); #2906=MANIFOLD_SOLID_BREP('Body3',#334271); #2907=MANIFOLD_SOLID_BREP('Body3',#334272); #2908=MANIFOLD_SOLID_BREP('Body3',#334273); #2909=MANIFOLD_SOLID_BREP('Body3',#334274); #2910=MANIFOLD_SOLID_BREP('Body3',#334275); #2911=MANIFOLD_SOLID_BREP('Body3',#334276); #2912=MANIFOLD_SOLID_BREP('Body3',#334277); #2913=MANIFOLD_SOLID_BREP('Body3',#334278); #2914=MANIFOLD_SOLID_BREP('Body3',#334279); #2915=MANIFOLD_SOLID_BREP('Body3',#334280); #2916=MANIFOLD_SOLID_BREP('Body3',#334281); #2917=MANIFOLD_SOLID_BREP('Body3',#334282); #2918=MANIFOLD_SOLID_BREP('Body3',#334283); #2919=MANIFOLD_SOLID_BREP('Body3',#334284); #2920=MANIFOLD_SOLID_BREP('Body3',#334285); #2921=MANIFOLD_SOLID_BREP('Body3',#334286); #2922=MANIFOLD_SOLID_BREP('Body3',#334287); #2923=MANIFOLD_SOLID_BREP('Body3',#334288); #2924=MANIFOLD_SOLID_BREP('Body3',#334289); #2925=MANIFOLD_SOLID_BREP('Body3',#334290); #2926=MANIFOLD_SOLID_BREP('Body3',#334291); #2927=MANIFOLD_SOLID_BREP('Body3',#334292); #2928=MANIFOLD_SOLID_BREP('Body3',#334293); #2929=MANIFOLD_SOLID_BREP('Body3',#334294); #2930=MANIFOLD_SOLID_BREP('Body3',#334295); #2931=MANIFOLD_SOLID_BREP('Body3',#334296); #2932=MANIFOLD_SOLID_BREP('Body3',#334297); #2933=MANIFOLD_SOLID_BREP('Body3',#334298); #2934=MANIFOLD_SOLID_BREP('Body3',#334299); #2935=MANIFOLD_SOLID_BREP('Body3',#334300); #2936=MANIFOLD_SOLID_BREP('Body3',#334301); #2937=MANIFOLD_SOLID_BREP('Body3',#334302); #2938=MANIFOLD_SOLID_BREP('Body3',#334303); #2939=MANIFOLD_SOLID_BREP('Body3',#334304); #2940=MANIFOLD_SOLID_BREP('Body3',#334305); #2941=MANIFOLD_SOLID_BREP('Body3',#334306); #2942=MANIFOLD_SOLID_BREP('Body3',#334307); #2943=MANIFOLD_SOLID_BREP('Body3',#334308); #2944=MANIFOLD_SOLID_BREP('Body3',#334309); #2945=MANIFOLD_SOLID_BREP('Body3',#334310); #2946=MANIFOLD_SOLID_BREP('Body3',#334311); #2947=MANIFOLD_SOLID_BREP('Body3',#334312); #2948=MANIFOLD_SOLID_BREP('Body3',#334313); #2949=MANIFOLD_SOLID_BREP('Body3',#334314); #2950=MANIFOLD_SOLID_BREP('Body3',#334315); #2951=MANIFOLD_SOLID_BREP('Body3',#334316); #2952=MANIFOLD_SOLID_BREP('Body3',#334317); #2953=MANIFOLD_SOLID_BREP('Body3',#334318); #2954=MANIFOLD_SOLID_BREP('Body3',#334319); #2955=MANIFOLD_SOLID_BREP('Body3',#334320); #2956=MANIFOLD_SOLID_BREP('Body3',#334321); #2957=MANIFOLD_SOLID_BREP('Body3',#334322); #2958=MANIFOLD_SOLID_BREP('Body3',#334323); #2959=MANIFOLD_SOLID_BREP('Body3',#334324); #2960=MANIFOLD_SOLID_BREP('Body3',#334325); #2961=MANIFOLD_SOLID_BREP('Body3',#334326); #2962=MANIFOLD_SOLID_BREP('Body3',#334327); #2963=MANIFOLD_SOLID_BREP('Body3',#334328); #2964=MANIFOLD_SOLID_BREP('Body3',#334329); #2965=MANIFOLD_SOLID_BREP('Body3',#334330); #2966=MANIFOLD_SOLID_BREP('Body3',#334331); #2967=MANIFOLD_SOLID_BREP('Body1',#334332); #2968=MANIFOLD_SOLID_BREP('Body1',#334333); #2969=MANIFOLD_SOLID_BREP('Body1',#334334); #2970=MANIFOLD_SOLID_BREP('Body1',#334335); #2971=MANIFOLD_SOLID_BREP('Body1',#334336); #2972=MANIFOLD_SOLID_BREP('Body1',#334337); #2973=MANIFOLD_SOLID_BREP('Body1',#334338); #2974=MANIFOLD_SOLID_BREP('Body1',#334339); #2975=MANIFOLD_SOLID_BREP('Body1',#334340); #2976=MANIFOLD_SOLID_BREP('Body1',#334341); #2977=MANIFOLD_SOLID_BREP('Body1',#334342); #2978=MANIFOLD_SOLID_BREP('Body1',#334343); #2979=MANIFOLD_SOLID_BREP('Body1',#334344); #2980=MANIFOLD_SOLID_BREP('Body1',#334345); #2981=MANIFOLD_SOLID_BREP('Body1',#334346); #2982=MANIFOLD_SOLID_BREP('Body1',#334347); #2983=MANIFOLD_SOLID_BREP('Body1',#334348); #2984=MANIFOLD_SOLID_BREP('Body1',#334349); #2985=MANIFOLD_SOLID_BREP('Body1',#334350); #2986=MANIFOLD_SOLID_BREP('Body1',#334351); #2987=MANIFOLD_SOLID_BREP('Body1',#334352); #2988=MANIFOLD_SOLID_BREP('Body1',#334353); #2989=MANIFOLD_SOLID_BREP('Body1',#334354); #2990=MANIFOLD_SOLID_BREP('Body1',#334355); #2991=MANIFOLD_SOLID_BREP('Body1',#334356); #2992=MANIFOLD_SOLID_BREP('Body1',#334357); #2993=MANIFOLD_SOLID_BREP('Body1',#334358); #2994=MANIFOLD_SOLID_BREP('Body1',#334359); #2995=MANIFOLD_SOLID_BREP('Body1',#334360); #2996=MANIFOLD_SOLID_BREP('Body1',#334361); #2997=MANIFOLD_SOLID_BREP('Body1',#334362); #2998=MANIFOLD_SOLID_BREP('Body1',#334363); #2999=MANIFOLD_SOLID_BREP('Body1',#334364); #3000=MANIFOLD_SOLID_BREP('Body1',#334365); #3001=MANIFOLD_SOLID_BREP('Body1',#334366); #3002=MANIFOLD_SOLID_BREP('Body1',#334367); #3003=MANIFOLD_SOLID_BREP('Body1',#334368); #3004=MANIFOLD_SOLID_BREP('Body1',#334369); #3005=MANIFOLD_SOLID_BREP('Body1',#334370); #3006=MANIFOLD_SOLID_BREP('Body1',#334371); #3007=MANIFOLD_SOLID_BREP('Body1',#334372); #3008=MANIFOLD_SOLID_BREP('Body1',#334373); #3009=MANIFOLD_SOLID_BREP('Body1',#334374); #3010=MANIFOLD_SOLID_BREP('Body1',#334375); #3011=MANIFOLD_SOLID_BREP('Body1',#334376); #3012=MANIFOLD_SOLID_BREP('Body1',#334377); #3013=MANIFOLD_SOLID_BREP('Body5',#334378); #3014=MANIFOLD_SOLID_BREP('Body5',#334379); #3015=MANIFOLD_SOLID_BREP('Body5',#334380); #3016=MANIFOLD_SOLID_BREP('Body5',#334381); #3017=MANIFOLD_SOLID_BREP('Body5',#334382); #3018=MANIFOLD_SOLID_BREP('Body5',#334383); #3019=MANIFOLD_SOLID_BREP('Body5',#334384); #3020=MANIFOLD_SOLID_BREP('Body5',#334385); #3021=MANIFOLD_SOLID_BREP('Body5',#334386); #3022=MANIFOLD_SOLID_BREP('Body5',#334387); #3023=MANIFOLD_SOLID_BREP('Body5',#334388); #3024=MANIFOLD_SOLID_BREP('Body5',#334389); #3025=MANIFOLD_SOLID_BREP('Body5',#334390); #3026=MANIFOLD_SOLID_BREP('Body5',#334391); #3027=MANIFOLD_SOLID_BREP('Body5',#334392); #3028=MANIFOLD_SOLID_BREP('Body5',#334393); #3029=MANIFOLD_SOLID_BREP('Body5',#334394); #3030=MANIFOLD_SOLID_BREP('Body5',#334395); #3031=MANIFOLD_SOLID_BREP('Body5',#334396); #3032=MANIFOLD_SOLID_BREP('Body5',#334397); #3033=MANIFOLD_SOLID_BREP('Body5',#334398); #3034=MANIFOLD_SOLID_BREP('Body5',#334399); #3035=MANIFOLD_SOLID_BREP('Body5',#334400); #3036=MANIFOLD_SOLID_BREP('Body5',#334401); #3037=MANIFOLD_SOLID_BREP('Body5',#334402); #3038=MANIFOLD_SOLID_BREP('Body5',#334403); #3039=MANIFOLD_SOLID_BREP('Body5',#334404); #3040=MANIFOLD_SOLID_BREP('Body5',#334405); #3041=MANIFOLD_SOLID_BREP('Body5',#334406); #3042=MANIFOLD_SOLID_BREP('Body5',#334407); #3043=MANIFOLD_SOLID_BREP('Body5',#334408); #3044=MANIFOLD_SOLID_BREP('Body5',#334409); #3045=MANIFOLD_SOLID_BREP('Body5',#334410); #3046=MANIFOLD_SOLID_BREP('Body5',#334411); #3047=MANIFOLD_SOLID_BREP('Body2',#334412); #3048=MANIFOLD_SOLID_BREP('Body2',#334413); #3049=MANIFOLD_SOLID_BREP('Body2',#334414); #3050=MANIFOLD_SOLID_BREP('Body2',#334415); #3051=MANIFOLD_SOLID_BREP('Body2',#334416); #3052=MANIFOLD_SOLID_BREP('Body2',#334417); #3053=MANIFOLD_SOLID_BREP('Body2',#334418); #3054=MANIFOLD_SOLID_BREP('Body2',#334419); #3055=MANIFOLD_SOLID_BREP('Body2',#334420); #3056=MANIFOLD_SOLID_BREP('Body2',#334421); #3057=MANIFOLD_SOLID_BREP('Body2',#334422); #3058=MANIFOLD_SOLID_BREP('Body2',#334423); #3059=MANIFOLD_SOLID_BREP('Body2',#334424); #3060=MANIFOLD_SOLID_BREP('Body2',#334425); #3061=MANIFOLD_SOLID_BREP('Body2',#334426); #3062=MANIFOLD_SOLID_BREP('Body2',#334427); #3063=MANIFOLD_SOLID_BREP('Body2',#334428); #3064=MANIFOLD_SOLID_BREP('Body2',#334429); #3065=MANIFOLD_SOLID_BREP('Body2',#334430); #3066=MANIFOLD_SOLID_BREP('Body2',#334431); #3067=MANIFOLD_SOLID_BREP('Body2',#334432); #3068=MANIFOLD_SOLID_BREP('Body2',#334433); #3069=MANIFOLD_SOLID_BREP('Body2',#334434); #3070=MANIFOLD_SOLID_BREP('Body2',#334435); #3071=MANIFOLD_SOLID_BREP('Body2',#334436); #3072=MANIFOLD_SOLID_BREP('Body3',#334437); #3073=MANIFOLD_SOLID_BREP('Body3',#334438); #3074=MANIFOLD_SOLID_BREP('Body3',#334439); #3075=MANIFOLD_SOLID_BREP('Body3',#334440); #3076=MANIFOLD_SOLID_BREP('Body3',#334441); #3077=MANIFOLD_SOLID_BREP('Body3',#334442); #3078=MANIFOLD_SOLID_BREP('Body3',#334443); #3079=MANIFOLD_SOLID_BREP('Body3',#334444); #3080=MANIFOLD_SOLID_BREP('Body3',#334445); #3081=MANIFOLD_SOLID_BREP('Body3',#334446); #3082=MANIFOLD_SOLID_BREP('Body3',#334447); #3083=MANIFOLD_SOLID_BREP('Body3',#334448); #3084=MANIFOLD_SOLID_BREP('Body3',#334449); #3085=MANIFOLD_SOLID_BREP('Body3',#334450); #3086=MANIFOLD_SOLID_BREP('Body3',#334451); #3087=MANIFOLD_SOLID_BREP('Body3',#334452); #3088=MANIFOLD_SOLID_BREP('Body3',#334453); #3089=MANIFOLD_SOLID_BREP('Body3',#334454); #3090=MANIFOLD_SOLID_BREP('Body3',#334455); #3091=MANIFOLD_SOLID_BREP('Body3',#334456); #3092=MANIFOLD_SOLID_BREP('Body3',#334457); #3093=MANIFOLD_SOLID_BREP('Body3',#334458); #3094=MANIFOLD_SOLID_BREP('Body3',#334459); #3095=MANIFOLD_SOLID_BREP('Body3',#334460); #3096=MANIFOLD_SOLID_BREP('Body3',#334461); #3097=MANIFOLD_SOLID_BREP('Body3',#334462); #3098=MANIFOLD_SOLID_BREP('Body3',#334463); #3099=MANIFOLD_SOLID_BREP('Body3',#334464); #3100=MANIFOLD_SOLID_BREP('Body3',#334465); #3101=MANIFOLD_SOLID_BREP('Body3',#334466); #3102=MANIFOLD_SOLID_BREP('Body3',#334467); #3103=MANIFOLD_SOLID_BREP('Body3',#334468); #3104=MANIFOLD_SOLID_BREP('Body3',#334469); #3105=MANIFOLD_SOLID_BREP('Body3',#334470); #3106=MANIFOLD_SOLID_BREP('Body3',#334471); #3107=MANIFOLD_SOLID_BREP('Body3',#334472); #3108=MANIFOLD_SOLID_BREP('Body3',#334473); #3109=MANIFOLD_SOLID_BREP('Body3',#334474); #3110=MANIFOLD_SOLID_BREP('Body3',#334475); #3111=MANIFOLD_SOLID_BREP('Body3',#334476); #3112=MANIFOLD_SOLID_BREP('Body3',#334477); #3113=MANIFOLD_SOLID_BREP('Body3',#334478); #3114=MANIFOLD_SOLID_BREP('Body3',#334479); #3115=MANIFOLD_SOLID_BREP('Body3',#334480); #3116=MANIFOLD_SOLID_BREP('Body3',#334481); #3117=MANIFOLD_SOLID_BREP('Body3',#334482); #3118=MANIFOLD_SOLID_BREP('Body3',#334483); #3119=MANIFOLD_SOLID_BREP('Body3',#334484); #3120=MANIFOLD_SOLID_BREP('Body3',#334485); #3121=MANIFOLD_SOLID_BREP('Body3',#334486); #3122=MANIFOLD_SOLID_BREP('Body3',#334487); #3123=MANIFOLD_SOLID_BREP('Body3',#334488); #3124=MANIFOLD_SOLID_BREP('Body3',#334489); #3125=MANIFOLD_SOLID_BREP('Body3',#334490); #3126=MANIFOLD_SOLID_BREP('Body3',#334491); #3127=MANIFOLD_SOLID_BREP('Body3',#334492); #3128=MANIFOLD_SOLID_BREP('Body3',#334493); #3129=MANIFOLD_SOLID_BREP('Body3',#334494); #3130=MANIFOLD_SOLID_BREP('Body3',#334495); #3131=MANIFOLD_SOLID_BREP('Body3',#334496); #3132=MANIFOLD_SOLID_BREP('Body3',#334497); #3133=MANIFOLD_SOLID_BREP('Body3',#334498); #3134=MANIFOLD_SOLID_BREP('Body3',#334499); #3135=MANIFOLD_SOLID_BREP('Body3',#334500); #3136=MANIFOLD_SOLID_BREP('Body3',#334501); #3137=MANIFOLD_SOLID_BREP('Body3',#334502); #3138=MANIFOLD_SOLID_BREP('Body3',#334503); #3139=MANIFOLD_SOLID_BREP('Body3',#334504); #3140=MANIFOLD_SOLID_BREP('Body3',#334505); #3141=MANIFOLD_SOLID_BREP('Body3',#334506); #3142=MANIFOLD_SOLID_BREP('Body3',#334507); #3143=MANIFOLD_SOLID_BREP('Body3',#334508); #3144=MANIFOLD_SOLID_BREP('Body3',#334509); #3145=MANIFOLD_SOLID_BREP('Body3',#334510); #3146=MANIFOLD_SOLID_BREP('Body3',#334511); #3147=MANIFOLD_SOLID_BREP('Body3',#334512); #3148=MANIFOLD_SOLID_BREP('Body3',#334513); #3149=MANIFOLD_SOLID_BREP('Body3',#334514); #3150=MANIFOLD_SOLID_BREP('Body3',#334515); #3151=MANIFOLD_SOLID_BREP('Body3',#334516); #3152=MANIFOLD_SOLID_BREP('Body3',#334517); #3153=MANIFOLD_SOLID_BREP('Body3',#334518); #3154=MANIFOLD_SOLID_BREP('Body3',#334519); #3155=MANIFOLD_SOLID_BREP('Body3',#334520); #3156=MANIFOLD_SOLID_BREP('Body3',#334521); #3157=MANIFOLD_SOLID_BREP('Body3',#334522); #3158=MANIFOLD_SOLID_BREP('Body3',#334523); #3159=MANIFOLD_SOLID_BREP('Body3',#334524); #3160=MANIFOLD_SOLID_BREP('Body3',#334525); #3161=MANIFOLD_SOLID_BREP('Body3',#334526); #3162=MANIFOLD_SOLID_BREP('Body3',#334527); #3163=MANIFOLD_SOLID_BREP('Body3',#334528); #3164=MANIFOLD_SOLID_BREP('Body3',#334529); #3165=MANIFOLD_SOLID_BREP('Body3',#334530); #3166=MANIFOLD_SOLID_BREP('Body3',#334531); #3167=MANIFOLD_SOLID_BREP('Body1',#334532); #3168=MANIFOLD_SOLID_BREP('Body1',#334533); #3169=MANIFOLD_SOLID_BREP('Body1',#334534); #3170=MANIFOLD_SOLID_BREP('Body1',#334535); #3171=MANIFOLD_SOLID_BREP('Body2',#334536); #3172=MANIFOLD_SOLID_BREP('Body3',#334537); #3173=MANIFOLD_SOLID_BREP('Body4',#334538); #3174=MANIFOLD_SOLID_BREP('Body5',#334539); #3175=MANIFOLD_SOLID_BREP('Body1',#334540); #3176=MANIFOLD_SOLID_BREP('Body1',#334541); #3177=MANIFOLD_SOLID_BREP('Body1',#334542); #3178=MANIFOLD_SOLID_BREP('Body1',#334543); #3179=MANIFOLD_SOLID_BREP('Body1',#334544); #3180=MANIFOLD_SOLID_BREP('Body1',#334545); #3181=MANIFOLD_SOLID_BREP('Body1',#334546); #3182=MANIFOLD_SOLID_BREP('Body1',#334547); #3183=MANIFOLD_SOLID_BREP('Body1',#334548); #3184=MANIFOLD_SOLID_BREP('Body1',#334549); #3185=MANIFOLD_SOLID_BREP('Body1',#334550); #3186=MANIFOLD_SOLID_BREP('Body1',#334551); #3187=MANIFOLD_SOLID_BREP('Body1',#334552); #3188=MANIFOLD_SOLID_BREP('Body1',#334553); #3189=MANIFOLD_SOLID_BREP('Body1',#334554); #3190=MANIFOLD_SOLID_BREP('Body1',#334555); #3191=MANIFOLD_SOLID_BREP('Body1',#334556); #3192=MANIFOLD_SOLID_BREP('Body1',#334557); #3193=MANIFOLD_SOLID_BREP('Body1',#334558); #3194=MANIFOLD_SOLID_BREP('Body1',#334559); #3195=MANIFOLD_SOLID_BREP('Body2',#334560); #3196=MANIFOLD_SOLID_BREP('Body3',#334561); #3197=MANIFOLD_SOLID_BREP('Body1',#334562); #3198=MANIFOLD_SOLID_BREP('Body2',#334563); #3199=MANIFOLD_SOLID_BREP('Body3',#334564); #3200=MANIFOLD_SOLID_BREP('Body1',#334565); #3201=MANIFOLD_SOLID_BREP('Body2',#334566); #3202=MANIFOLD_SOLID_BREP('Body3',#334567); #3203=MANIFOLD_SOLID_BREP('Body1',#334568); #3204=MANIFOLD_SOLID_BREP('Body2',#334569); #3205=MANIFOLD_SOLID_BREP('Body3',#334570); #3206=MANIFOLD_SOLID_BREP('Body4',#334571); #3207=MANIFOLD_SOLID_BREP('Body5',#334572); #3208=MANIFOLD_SOLID_BREP('Body6',#334573); #3209=MANIFOLD_SOLID_BREP('Body7',#334574); #3210=MANIFOLD_SOLID_BREP('Body8',#334575); #3211=MANIFOLD_SOLID_BREP('Body9',#334576); #3212=MANIFOLD_SOLID_BREP('Body10',#334577); #3213=MANIFOLD_SOLID_BREP('Body11',#334578); #3214=MANIFOLD_SOLID_BREP('Body12',#334579); #3215=MANIFOLD_SOLID_BREP('Body13',#334580); #3216=MANIFOLD_SOLID_BREP('Body1',#334581); #3217=MANIFOLD_SOLID_BREP('Body2',#334582); #3218=MANIFOLD_SOLID_BREP('Body3',#334583); #3219=MANIFOLD_SOLID_BREP('Body4',#334584); #3220=MANIFOLD_SOLID_BREP('Body5',#334585); #3221=MANIFOLD_SOLID_BREP('Body6',#334586); #3222=MANIFOLD_SOLID_BREP('Body7',#334587); #3223=MANIFOLD_SOLID_BREP('Body8',#334588); #3224=MANIFOLD_SOLID_BREP('Body9',#334589); #3225=MANIFOLD_SOLID_BREP('Body10',#334590); #3226=MANIFOLD_SOLID_BREP('Body11',#334591); #3227=MANIFOLD_SOLID_BREP('Body12',#334592); #3228=MANIFOLD_SOLID_BREP('Body13',#334593); #3229=MANIFOLD_SOLID_BREP('Body14',#334594); #3230=MANIFOLD_SOLID_BREP('Body15',#334595); #3231=MANIFOLD_SOLID_BREP('Body16',#334596); #3232=MANIFOLD_SOLID_BREP('Body17',#334597); #3233=MANIFOLD_SOLID_BREP('Body18',#334598); #3234=MANIFOLD_SOLID_BREP('Body1',#334599); #3235=MANIFOLD_SOLID_BREP('Body2',#334600); #3236=MANIFOLD_SOLID_BREP('Body3',#334601); #3237=MANIFOLD_SOLID_BREP('Body4',#334602); #3238=MANIFOLD_SOLID_BREP('Body5',#334603); #3239=MANIFOLD_SOLID_BREP('Body6',#334604); #3240=MANIFOLD_SOLID_BREP('Body7',#334605); #3241=MANIFOLD_SOLID_BREP('Body8',#334606); #3242=MANIFOLD_SOLID_BREP('Body9',#334607); #3243=MANIFOLD_SOLID_BREP('Body10',#334608); #3244=MANIFOLD_SOLID_BREP('Body11',#334609); #3245=MANIFOLD_SOLID_BREP('Body12',#334610); #3246=MANIFOLD_SOLID_BREP('Body13',#334611); #3247=MANIFOLD_SOLID_BREP('Body14',#334612); #3248=MANIFOLD_SOLID_BREP('Body15',#334613); #3249=MANIFOLD_SOLID_BREP('Body16',#334614); #3250=MANIFOLD_SOLID_BREP('Body17',#334615); #3251=MANIFOLD_SOLID_BREP('Body18',#334616); #3252=MANIFOLD_SOLID_BREP('Body1',#334617); #3253=MANIFOLD_SOLID_BREP('Body2',#334618); #3254=MANIFOLD_SOLID_BREP('Body3',#334619); #3255=MANIFOLD_SOLID_BREP('Body4',#334620); #3256=MANIFOLD_SOLID_BREP('Body5',#334621); #3257=MANIFOLD_SOLID_BREP('Body1',#334622); #3258=MANIFOLD_SOLID_BREP('Body2',#334623); #3259=MANIFOLD_SOLID_BREP('Body3',#334624); #3260=MANIFOLD_SOLID_BREP('Body1',#334625); #3261=MANIFOLD_SOLID_BREP('Body2',#334626); #3262=MANIFOLD_SOLID_BREP('Body3',#334627); #3263=MANIFOLD_SOLID_BREP('Body4',#334628); #3264=MANIFOLD_SOLID_BREP('Body5',#334629); #3265=MANIFOLD_SOLID_BREP('Body6',#334630); #3266=MANIFOLD_SOLID_BREP('Body7',#334631); #3267=MANIFOLD_SOLID_BREP('Body8',#334632); #3268=MANIFOLD_SOLID_BREP('Body9',#334633); #3269=MANIFOLD_SOLID_BREP('Body10',#334634); #3270=MANIFOLD_SOLID_BREP('Body11',#334635); #3271=MANIFOLD_SOLID_BREP('Body12',#334636); #3272=MANIFOLD_SOLID_BREP('Body13',#334637); #3273=MANIFOLD_SOLID_BREP('Body14',#334638); #3274=MANIFOLD_SOLID_BREP('Body15',#334639); #3275=MANIFOLD_SOLID_BREP('Body16',#334640); #3276=MANIFOLD_SOLID_BREP('Body17',#334641); #3277=MANIFOLD_SOLID_BREP('Body18',#334642); #3278=MANIFOLD_SOLID_BREP('Body19',#334643); #3279=MANIFOLD_SOLID_BREP('Body20',#334644); #3280=MANIFOLD_SOLID_BREP('Body21',#334645); #3281=MANIFOLD_SOLID_BREP('Body22',#334646); #3282=MANIFOLD_SOLID_BREP('Body23',#334647); #3283=MANIFOLD_SOLID_BREP('Body24',#334648); #3284=MANIFOLD_SOLID_BREP('Body25',#334649); #3285=MANIFOLD_SOLID_BREP('Body26',#334650); #3286=MANIFOLD_SOLID_BREP('Body27',#334651); #3287=MANIFOLD_SOLID_BREP('Body28',#334652); #3288=MANIFOLD_SOLID_BREP('Body29',#334653); #3289=MANIFOLD_SOLID_BREP('Body30',#334654); #3290=MANIFOLD_SOLID_BREP('Body31',#334655); #3291=MANIFOLD_SOLID_BREP('Body32',#334656); #3292=MANIFOLD_SOLID_BREP('Body33',#334657); #3293=MANIFOLD_SOLID_BREP('Body34',#334658); #3294=MANIFOLD_SOLID_BREP('Body35',#334659); #3295=MANIFOLD_SOLID_BREP('Body36',#334660); #3296=MANIFOLD_SOLID_BREP('Body37',#334661); #3297=MANIFOLD_SOLID_BREP('Body38',#334662); #3298=MANIFOLD_SOLID_BREP('Body39',#334663); #3299=MANIFOLD_SOLID_BREP('Body40',#334664); #3300=MANIFOLD_SOLID_BREP('Body41',#334665); #3301=MANIFOLD_SOLID_BREP('Body42',#334666); #3302=MANIFOLD_SOLID_BREP('Body43',#334667); #3303=MANIFOLD_SOLID_BREP('Body44',#334668); #3304=MANIFOLD_SOLID_BREP('Body45',#334669); #3305=MANIFOLD_SOLID_BREP('Body46',#334670); #3306=MANIFOLD_SOLID_BREP('Body47',#334671); #3307=MANIFOLD_SOLID_BREP('Body48',#334672); #3308=MANIFOLD_SOLID_BREP('Body49',#334673); #3309=MANIFOLD_SOLID_BREP('Body50',#334674); #3310=MANIFOLD_SOLID_BREP('Body1',#334675); #3311=MANIFOLD_SOLID_BREP('Body2',#334676); #3312=MANIFOLD_SOLID_BREP('Body3',#334677); #3313=MANIFOLD_SOLID_BREP('Body1',#334678); #3314=MANIFOLD_SOLID_BREP('Body2',#334679); #3315=MANIFOLD_SOLID_BREP('Body3',#334680); #3316=MANIFOLD_SOLID_BREP('Body4',#334681); #3317=MANIFOLD_SOLID_BREP('Body5',#334682); #3318=MANIFOLD_SOLID_BREP('Body6',#334683); #3319=MANIFOLD_SOLID_BREP('Body1',#334684); #3320=MANIFOLD_SOLID_BREP('Body2',#334685); #3321=MANIFOLD_SOLID_BREP('Body3',#334686); #3322=MANIFOLD_SOLID_BREP('Body4',#334687); #3323=MANIFOLD_SOLID_BREP('Body5',#334688); #3324=MANIFOLD_SOLID_BREP('Body6',#334689); #3325=MANIFOLD_SOLID_BREP('Body7',#334690); #3326=MANIFOLD_SOLID_BREP('Body8',#334691); #3327=MANIFOLD_SOLID_BREP('Body9',#334692); #3328=MANIFOLD_SOLID_BREP('Body1',#334693); #3329=MANIFOLD_SOLID_BREP('Body2',#334694); #3330=MANIFOLD_SOLID_BREP('Body3',#334695); #3331=MANIFOLD_SOLID_BREP('Body4',#334696); #3332=MANIFOLD_SOLID_BREP('Body5',#334697); #3333=MANIFOLD_SOLID_BREP('Body6',#334698); #3334=MANIFOLD_SOLID_BREP('Body1',#334699); #3335=MANIFOLD_SOLID_BREP('Body2',#334700); #3336=MANIFOLD_SOLID_BREP('Body3',#334701); #3337=MANIFOLD_SOLID_BREP('Body1',#334702); #3338=MANIFOLD_SOLID_BREP('Body1',#334703); #3339=MANIFOLD_SOLID_BREP('Body2',#334704); #3340=MANIFOLD_SOLID_BREP('Body3',#334705); #3341=MANIFOLD_SOLID_BREP('Body4',#334706); #3342=MANIFOLD_SOLID_BREP('Body5',#334707); #3343=FACE_BOUND('',#39222,.T.); #3344=FACE_BOUND('',#39223,.T.); #3345=FACE_BOUND('',#39224,.T.); #3346=FACE_BOUND('',#39225,.T.); #3347=FACE_BOUND('',#39226,.T.); #3348=FACE_BOUND('',#39227,.T.); #3349=FACE_BOUND('',#39228,.T.); #3350=FACE_BOUND('',#39229,.T.); #3351=FACE_BOUND('',#39230,.T.); #3352=FACE_BOUND('',#39231,.T.); #3353=FACE_BOUND('',#39232,.T.); #3354=FACE_BOUND('',#39233,.T.); #3355=FACE_BOUND('',#39234,.T.); #3356=FACE_BOUND('',#39235,.T.); #3357=FACE_BOUND('',#39236,.T.); #3358=FACE_BOUND('',#39237,.T.); #3359=FACE_BOUND('',#39238,.T.); #3360=FACE_BOUND('',#39239,.T.); #3361=FACE_BOUND('',#39240,.T.); #3362=FACE_BOUND('',#39241,.T.); #3363=FACE_BOUND('',#39242,.T.); #3364=FACE_BOUND('',#39243,.T.); #3365=FACE_BOUND('',#39244,.T.); #3366=FACE_BOUND('',#39245,.T.); #3367=FACE_BOUND('',#39246,.T.); #3368=FACE_BOUND('',#39247,.T.); #3369=FACE_BOUND('',#39248,.T.); #3370=FACE_BOUND('',#39249,.T.); #3371=FACE_BOUND('',#39250,.T.); #3372=FACE_BOUND('',#39251,.T.); #3373=FACE_BOUND('',#39252,.T.); #3374=FACE_BOUND('',#39253,.T.); #3375=FACE_BOUND('',#39254,.T.); #3376=FACE_BOUND('',#39255,.T.); #3377=FACE_BOUND('',#39256,.T.); #3378=FACE_BOUND('',#39257,.T.); #3379=FACE_BOUND('',#39258,.T.); #3380=FACE_BOUND('',#39259,.T.); #3381=FACE_BOUND('',#39260,.T.); #3382=FACE_BOUND('',#39261,.T.); #3383=FACE_BOUND('',#39262,.T.); #3384=FACE_BOUND('',#39263,.T.); #3385=FACE_BOUND('',#39264,.T.); #3386=FACE_BOUND('',#39265,.T.); #3387=FACE_BOUND('',#39266,.T.); #3388=FACE_BOUND('',#39267,.T.); #3389=FACE_BOUND('',#39268,.T.); #3390=FACE_BOUND('',#39269,.T.); #3391=FACE_BOUND('',#39270,.T.); #3392=FACE_BOUND('',#39271,.T.); #3393=FACE_BOUND('',#39272,.T.); #3394=FACE_BOUND('',#39273,.T.); #3395=FACE_BOUND('',#39274,.T.); #3396=FACE_BOUND('',#39275,.T.); #3397=FACE_BOUND('',#39276,.T.); #3398=FACE_BOUND('',#39277,.T.); #3399=FACE_BOUND('',#39278,.T.); #3400=FACE_BOUND('',#39279,.T.); #3401=FACE_BOUND('',#39280,.T.); #3402=FACE_BOUND('',#39281,.T.); #3403=FACE_BOUND('',#39282,.T.); #3404=FACE_BOUND('',#39283,.T.); #3405=FACE_BOUND('',#39284,.T.); #3406=FACE_BOUND('',#39285,.T.); #3407=FACE_BOUND('',#39286,.T.); #3408=FACE_BOUND('',#39287,.T.); #3409=FACE_BOUND('',#39288,.T.); #3410=FACE_BOUND('',#39289,.T.); #3411=FACE_BOUND('',#39290,.T.); #3412=FACE_BOUND('',#39291,.T.); #3413=FACE_BOUND('',#39292,.T.); #3414=FACE_BOUND('',#39293,.T.); #3415=FACE_BOUND('',#39294,.T.); #3416=FACE_BOUND('',#39295,.T.); #3417=FACE_BOUND('',#39296,.T.); #3418=FACE_BOUND('',#39297,.T.); #3419=FACE_BOUND('',#39298,.T.); #3420=FACE_BOUND('',#39299,.T.); #3421=FACE_BOUND('',#39300,.T.); #3422=FACE_BOUND('',#39301,.T.); #3423=FACE_BOUND('',#39302,.T.); #3424=FACE_BOUND('',#39303,.T.); #3425=FACE_BOUND('',#39304,.T.); #3426=FACE_BOUND('',#39305,.T.); #3427=FACE_BOUND('',#39306,.T.); #3428=FACE_BOUND('',#39307,.T.); #3429=FACE_BOUND('',#39308,.T.); #3430=FACE_BOUND('',#39309,.T.); #3431=FACE_BOUND('',#39310,.T.); #3432=FACE_BOUND('',#39311,.T.); #3433=FACE_BOUND('',#39312,.T.); #3434=FACE_BOUND('',#39313,.T.); #3435=FACE_BOUND('',#39314,.T.); #3436=FACE_BOUND('',#39315,.T.); #3437=FACE_BOUND('',#39316,.T.); #3438=FACE_BOUND('',#39317,.T.); #3439=FACE_BOUND('',#39318,.T.); #3440=FACE_BOUND('',#39319,.T.); #3441=FACE_BOUND('',#39320,.T.); #3442=FACE_BOUND('',#39321,.T.); #3443=FACE_BOUND('',#39322,.T.); #3444=FACE_BOUND('',#39323,.T.); #3445=FACE_BOUND('',#39324,.T.); #3446=FACE_BOUND('',#39325,.T.); #3447=FACE_BOUND('',#39326,.T.); #3448=FACE_BOUND('',#39327,.T.); #3449=FACE_BOUND('',#39328,.T.); #3450=FACE_BOUND('',#39329,.T.); #3451=FACE_BOUND('',#39330,.T.); #3452=FACE_BOUND('',#39331,.T.); #3453=FACE_BOUND('',#39332,.T.); #3454=FACE_BOUND('',#39333,.T.); #3455=FACE_BOUND('',#39334,.T.); #3456=FACE_BOUND('',#39335,.T.); #3457=FACE_BOUND('',#39336,.T.); #3458=FACE_BOUND('',#39337,.T.); #3459=FACE_BOUND('',#39338,.T.); #3460=FACE_BOUND('',#39339,.T.); #3461=FACE_BOUND('',#39340,.T.); #3462=FACE_BOUND('',#39341,.T.); #3463=FACE_BOUND('',#39342,.T.); #3464=FACE_BOUND('',#39343,.T.); #3465=FACE_BOUND('',#39344,.T.); #3466=FACE_BOUND('',#39345,.T.); #3467=FACE_BOUND('',#39346,.T.); #3468=FACE_BOUND('',#39347,.T.); #3469=FACE_BOUND('',#39348,.T.); #3470=FACE_BOUND('',#39349,.T.); #3471=FACE_BOUND('',#39350,.T.); #3472=FACE_BOUND('',#39351,.T.); #3473=FACE_BOUND('',#39352,.T.); #3474=FACE_BOUND('',#39353,.T.); #3475=FACE_BOUND('',#39354,.T.); #3476=FACE_BOUND('',#39355,.T.); #3477=FACE_BOUND('',#39356,.T.); #3478=FACE_BOUND('',#39357,.T.); #3479=FACE_BOUND('',#39358,.T.); #3480=FACE_BOUND('',#39359,.T.); #3481=FACE_BOUND('',#39360,.T.); #3482=FACE_BOUND('',#39361,.T.); #3483=FACE_BOUND('',#39362,.T.); #3484=FACE_BOUND('',#39363,.T.); #3485=FACE_BOUND('',#39364,.T.); #3486=FACE_BOUND('',#39365,.T.); #3487=FACE_BOUND('',#39366,.T.); #3488=FACE_BOUND('',#39367,.T.); #3489=FACE_BOUND('',#39368,.T.); #3490=FACE_BOUND('',#39369,.T.); #3491=FACE_BOUND('',#39370,.T.); #3492=FACE_BOUND('',#39371,.T.); #3493=FACE_BOUND('',#39372,.T.); #3494=FACE_BOUND('',#39373,.T.); #3495=FACE_BOUND('',#39374,.T.); #3496=FACE_BOUND('',#39375,.T.); #3497=FACE_BOUND('',#39376,.T.); #3498=FACE_BOUND('',#39377,.T.); #3499=FACE_BOUND('',#39378,.T.); #3500=FACE_BOUND('',#39379,.T.); #3501=FACE_BOUND('',#39380,.T.); #3502=FACE_BOUND('',#39381,.T.); #3503=FACE_BOUND('',#39382,.T.); #3504=FACE_BOUND('',#39383,.T.); #3505=FACE_BOUND('',#39384,.T.); #3506=FACE_BOUND('',#39385,.T.); #3507=FACE_BOUND('',#39386,.T.); #3508=FACE_BOUND('',#39387,.T.); #3509=FACE_BOUND('',#39388,.T.); #3510=FACE_BOUND('',#39389,.T.); #3511=FACE_BOUND('',#39390,.T.); #3512=FACE_BOUND('',#39391,.T.); #3513=FACE_BOUND('',#39392,.T.); #3514=FACE_BOUND('',#39393,.T.); #3515=FACE_BOUND('',#39394,.T.); #3516=FACE_BOUND('',#39395,.T.); #3517=FACE_BOUND('',#39396,.T.); #3518=FACE_BOUND('',#39397,.T.); #3519=FACE_BOUND('',#39398,.T.); #3520=FACE_BOUND('',#39399,.T.); #3521=FACE_BOUND('',#39400,.T.); #3522=FACE_BOUND('',#39401,.T.); #3523=FACE_BOUND('',#39402,.T.); #3524=FACE_BOUND('',#39403,.T.); #3525=FACE_BOUND('',#39404,.T.); #3526=FACE_BOUND('',#39405,.T.); #3527=FACE_BOUND('',#39406,.T.); #3528=FACE_BOUND('',#39407,.T.); #3529=FACE_BOUND('',#39408,.T.); #3530=FACE_BOUND('',#39409,.T.); #3531=FACE_BOUND('',#39410,.T.); #3532=FACE_BOUND('',#39411,.T.); #3533=FACE_BOUND('',#39412,.T.); #3534=FACE_BOUND('',#39413,.T.); #3535=FACE_BOUND('',#39414,.T.); #3536=FACE_BOUND('',#39415,.T.); #3537=FACE_BOUND('',#39416,.T.); #3538=FACE_BOUND('',#39417,.T.); #3539=FACE_BOUND('',#39418,.T.); #3540=FACE_BOUND('',#39419,.T.); #3541=FACE_BOUND('',#39420,.T.); #3542=FACE_BOUND('',#39421,.T.); #3543=FACE_BOUND('',#39422,.T.); #3544=FACE_BOUND('',#39423,.T.); #3545=FACE_BOUND('',#39424,.T.); #3546=FACE_BOUND('',#39425,.T.); #3547=FACE_BOUND('',#39426,.T.); #3548=FACE_BOUND('',#39427,.T.); #3549=FACE_BOUND('',#39428,.T.); #3550=FACE_BOUND('',#39429,.T.); #3551=FACE_BOUND('',#39430,.T.); #3552=FACE_BOUND('',#39431,.T.); #3553=FACE_BOUND('',#39432,.T.); #3554=FACE_BOUND('',#39433,.T.); #3555=FACE_BOUND('',#39434,.T.); #3556=FACE_BOUND('',#39435,.T.); #3557=FACE_BOUND('',#39436,.T.); #3558=FACE_BOUND('',#39437,.T.); #3559=FACE_BOUND('',#39438,.T.); #3560=FACE_BOUND('',#39439,.T.); #3561=FACE_BOUND('',#39440,.T.); #3562=FACE_BOUND('',#39441,.T.); #3563=FACE_BOUND('',#39442,.T.); #3564=FACE_BOUND('',#39443,.T.); #3565=FACE_BOUND('',#39444,.T.); #3566=FACE_BOUND('',#39445,.T.); #3567=FACE_BOUND('',#39446,.T.); #3568=FACE_BOUND('',#39447,.T.); #3569=FACE_BOUND('',#39448,.T.); #3570=FACE_BOUND('',#39449,.T.); #3571=FACE_BOUND('',#39450,.T.); #3572=FACE_BOUND('',#39451,.T.); #3573=FACE_BOUND('',#39452,.T.); #3574=FACE_BOUND('',#39453,.T.); #3575=FACE_BOUND('',#39454,.T.); #3576=FACE_BOUND('',#39455,.T.); #3577=FACE_BOUND('',#39456,.T.); #3578=FACE_BOUND('',#39457,.T.); #3579=FACE_BOUND('',#39458,.T.); #3580=FACE_BOUND('',#39459,.T.); #3581=FACE_BOUND('',#39460,.T.); #3582=FACE_BOUND('',#39461,.T.); #3583=FACE_BOUND('',#39462,.T.); #3584=FACE_BOUND('',#39463,.T.); #3585=FACE_BOUND('',#39464,.T.); #3586=FACE_BOUND('',#39465,.T.); #3587=FACE_BOUND('',#39466,.T.); #3588=FACE_BOUND('',#39467,.T.); #3589=FACE_BOUND('',#39468,.T.); #3590=FACE_BOUND('',#39469,.T.); #3591=FACE_BOUND('',#39470,.T.); #3592=FACE_BOUND('',#39471,.T.); #3593=FACE_BOUND('',#39472,.T.); #3594=FACE_BOUND('',#39473,.T.); #3595=FACE_BOUND('',#39474,.T.); #3596=FACE_BOUND('',#39475,.T.); #3597=FACE_BOUND('',#39476,.T.); #3598=FACE_BOUND('',#39477,.T.); #3599=FACE_BOUND('',#39478,.T.); #3600=FACE_BOUND('',#39479,.T.); #3601=FACE_BOUND('',#39480,.T.); #3602=FACE_BOUND('',#39481,.T.); #3603=FACE_BOUND('',#39482,.T.); #3604=FACE_BOUND('',#39483,.T.); #3605=FACE_BOUND('',#39484,.T.); #3606=FACE_BOUND('',#39485,.T.); #3607=FACE_BOUND('',#39486,.T.); #3608=FACE_BOUND('',#39487,.T.); #3609=FACE_BOUND('',#39488,.T.); #3610=FACE_BOUND('',#39489,.T.); #3611=FACE_BOUND('',#39490,.T.); #3612=FACE_BOUND('',#39491,.T.); #3613=FACE_BOUND('',#39492,.T.); #3614=FACE_BOUND('',#39493,.T.); #3615=FACE_BOUND('',#39494,.T.); #3616=FACE_BOUND('',#39495,.T.); #3617=FACE_BOUND('',#39496,.T.); #3618=FACE_BOUND('',#39497,.T.); #3619=FACE_BOUND('',#39498,.T.); #3620=FACE_BOUND('',#39499,.T.); #3621=FACE_BOUND('',#39500,.T.); #3622=FACE_BOUND('',#39501,.T.); #3623=FACE_BOUND('',#39502,.T.); #3624=FACE_BOUND('',#39503,.T.); #3625=FACE_BOUND('',#39504,.T.); #3626=FACE_BOUND('',#39505,.T.); #3627=FACE_BOUND('',#39506,.T.); #3628=FACE_BOUND('',#39507,.T.); #3629=FACE_BOUND('',#39508,.T.); #3630=FACE_BOUND('',#39509,.T.); #3631=FACE_BOUND('',#39510,.T.); #3632=FACE_BOUND('',#39511,.T.); #3633=FACE_BOUND('',#39512,.T.); #3634=FACE_BOUND('',#39513,.T.); #3635=FACE_BOUND('',#39514,.T.); #3636=FACE_BOUND('',#39515,.T.); #3637=FACE_BOUND('',#39516,.T.); #3638=FACE_BOUND('',#39517,.T.); #3639=FACE_BOUND('',#39518,.T.); #3640=FACE_BOUND('',#39519,.T.); #3641=FACE_BOUND('',#39520,.T.); #3642=FACE_BOUND('',#39521,.T.); #3643=FACE_BOUND('',#39522,.T.); #3644=FACE_BOUND('',#39523,.T.); #3645=FACE_BOUND('',#39524,.T.); #3646=FACE_BOUND('',#39525,.T.); #3647=FACE_BOUND('',#39526,.T.); #3648=FACE_BOUND('',#39527,.T.); #3649=FACE_BOUND('',#39528,.T.); #3650=FACE_BOUND('',#39529,.T.); #3651=FACE_BOUND('',#39530,.T.); #3652=FACE_BOUND('',#39531,.T.); #3653=FACE_BOUND('',#39532,.T.); #3654=FACE_BOUND('',#39533,.T.); #3655=FACE_BOUND('',#39534,.T.); #3656=FACE_BOUND('',#39535,.T.); #3657=FACE_BOUND('',#39536,.T.); #3658=FACE_BOUND('',#39537,.T.); #3659=FACE_BOUND('',#39538,.T.); #3660=FACE_BOUND('',#39539,.T.); #3661=FACE_BOUND('',#39540,.T.); #3662=FACE_BOUND('',#39541,.T.); #3663=FACE_BOUND('',#39542,.T.); #3664=FACE_BOUND('',#39543,.T.); #3665=FACE_BOUND('',#39544,.T.); #3666=FACE_BOUND('',#39545,.T.); #3667=FACE_BOUND('',#39546,.T.); #3668=FACE_BOUND('',#39547,.T.); #3669=FACE_BOUND('',#39548,.T.); #3670=FACE_BOUND('',#39549,.T.); #3671=FACE_BOUND('',#39550,.T.); #3672=FACE_BOUND('',#39551,.T.); #3673=FACE_BOUND('',#39552,.T.); #3674=FACE_BOUND('',#39553,.T.); #3675=FACE_BOUND('',#39555,.T.); #3676=FACE_BOUND('',#39556,.T.); #3677=FACE_BOUND('',#39557,.T.); #3678=FACE_BOUND('',#39558,.T.); #3679=FACE_BOUND('',#39559,.T.); #3680=FACE_BOUND('',#39560,.T.); #3681=FACE_BOUND('',#39561,.T.); #3682=FACE_BOUND('',#39562,.T.); #3683=FACE_BOUND('',#39563,.T.); #3684=FACE_BOUND('',#39564,.T.); #3685=FACE_BOUND('',#39565,.T.); #3686=FACE_BOUND('',#39566,.T.); #3687=FACE_BOUND('',#39567,.T.); #3688=FACE_BOUND('',#39568,.T.); #3689=FACE_BOUND('',#39569,.T.); #3690=FACE_BOUND('',#39570,.T.); #3691=FACE_BOUND('',#39571,.T.); #3692=FACE_BOUND('',#39572,.T.); #3693=FACE_BOUND('',#39573,.T.); #3694=FACE_BOUND('',#39574,.T.); #3695=FACE_BOUND('',#39575,.T.); #3696=FACE_BOUND('',#39576,.T.); #3697=FACE_BOUND('',#39577,.T.); #3698=FACE_BOUND('',#39578,.T.); #3699=FACE_BOUND('',#39579,.T.); #3700=FACE_BOUND('',#39580,.T.); #3701=FACE_BOUND('',#39581,.T.); #3702=FACE_BOUND('',#39582,.T.); #3703=FACE_BOUND('',#39583,.T.); #3704=FACE_BOUND('',#39584,.T.); #3705=FACE_BOUND('',#39585,.T.); #3706=FACE_BOUND('',#39586,.T.); #3707=FACE_BOUND('',#39587,.T.); #3708=FACE_BOUND('',#39588,.T.); #3709=FACE_BOUND('',#39589,.T.); #3710=FACE_BOUND('',#39590,.T.); #3711=FACE_BOUND('',#39591,.T.); #3712=FACE_BOUND('',#39592,.T.); #3713=FACE_BOUND('',#39593,.T.); #3714=FACE_BOUND('',#39594,.T.); #3715=FACE_BOUND('',#39595,.T.); #3716=FACE_BOUND('',#39596,.T.); #3717=FACE_BOUND('',#39597,.T.); #3718=FACE_BOUND('',#39598,.T.); #3719=FACE_BOUND('',#39599,.T.); #3720=FACE_BOUND('',#39600,.T.); #3721=FACE_BOUND('',#39601,.T.); #3722=FACE_BOUND('',#39602,.T.); #3723=FACE_BOUND('',#39603,.T.); #3724=FACE_BOUND('',#39604,.T.); #3725=FACE_BOUND('',#39605,.T.); #3726=FACE_BOUND('',#39606,.T.); #3727=FACE_BOUND('',#39607,.T.); #3728=FACE_BOUND('',#39608,.T.); #3729=FACE_BOUND('',#39609,.T.); #3730=FACE_BOUND('',#39610,.T.); #3731=FACE_BOUND('',#39611,.T.); #3732=FACE_BOUND('',#39612,.T.); #3733=FACE_BOUND('',#39613,.T.); #3734=FACE_BOUND('',#39614,.T.); #3735=FACE_BOUND('',#39615,.T.); #3736=FACE_BOUND('',#39616,.T.); #3737=FACE_BOUND('',#39617,.T.); #3738=FACE_BOUND('',#39618,.T.); #3739=FACE_BOUND('',#39619,.T.); #3740=FACE_BOUND('',#39620,.T.); #3741=FACE_BOUND('',#39621,.T.); #3742=FACE_BOUND('',#39622,.T.); #3743=FACE_BOUND('',#39623,.T.); #3744=FACE_BOUND('',#39624,.T.); #3745=FACE_BOUND('',#39625,.T.); #3746=FACE_BOUND('',#39626,.T.); #3747=FACE_BOUND('',#39627,.T.); #3748=FACE_BOUND('',#39628,.T.); #3749=FACE_BOUND('',#39629,.T.); #3750=FACE_BOUND('',#39630,.T.); #3751=FACE_BOUND('',#39631,.T.); #3752=FACE_BOUND('',#39632,.T.); #3753=FACE_BOUND('',#39633,.T.); #3754=FACE_BOUND('',#39634,.T.); #3755=FACE_BOUND('',#39635,.T.); #3756=FACE_BOUND('',#39636,.T.); #3757=FACE_BOUND('',#39637,.T.); #3758=FACE_BOUND('',#39638,.T.); #3759=FACE_BOUND('',#39639,.T.); #3760=FACE_BOUND('',#39640,.T.); #3761=FACE_BOUND('',#39641,.T.); #3762=FACE_BOUND('',#39642,.T.); #3763=FACE_BOUND('',#39643,.T.); #3764=FACE_BOUND('',#39644,.T.); #3765=FACE_BOUND('',#39645,.T.); #3766=FACE_BOUND('',#39646,.T.); #3767=FACE_BOUND('',#39647,.T.); #3768=FACE_BOUND('',#39648,.T.); #3769=FACE_BOUND('',#39649,.T.); #3770=FACE_BOUND('',#39650,.T.); #3771=FACE_BOUND('',#39651,.T.); #3772=FACE_BOUND('',#39652,.T.); #3773=FACE_BOUND('',#39653,.T.); #3774=FACE_BOUND('',#39654,.T.); #3775=FACE_BOUND('',#39655,.T.); #3776=FACE_BOUND('',#39656,.T.); #3777=FACE_BOUND('',#39657,.T.); #3778=FACE_BOUND('',#39658,.T.); #3779=FACE_BOUND('',#39659,.T.); #3780=FACE_BOUND('',#39660,.T.); #3781=FACE_BOUND('',#39661,.T.); #3782=FACE_BOUND('',#39662,.T.); #3783=FACE_BOUND('',#39663,.T.); #3784=FACE_BOUND('',#39664,.T.); #3785=FACE_BOUND('',#39665,.T.); #3786=FACE_BOUND('',#39666,.T.); #3787=FACE_BOUND('',#39667,.T.); #3788=FACE_BOUND('',#39668,.T.); #3789=FACE_BOUND('',#39669,.T.); #3790=FACE_BOUND('',#39670,.T.); #3791=FACE_BOUND('',#39671,.T.); #3792=FACE_BOUND('',#39672,.T.); #3793=FACE_BOUND('',#39673,.T.); #3794=FACE_BOUND('',#39674,.T.); #3795=FACE_BOUND('',#39675,.T.); #3796=FACE_BOUND('',#39676,.T.); #3797=FACE_BOUND('',#39677,.T.); #3798=FACE_BOUND('',#39678,.T.); #3799=FACE_BOUND('',#39679,.T.); #3800=FACE_BOUND('',#39680,.T.); #3801=FACE_BOUND('',#39681,.T.); #3802=FACE_BOUND('',#39682,.T.); #3803=FACE_BOUND('',#39683,.T.); #3804=FACE_BOUND('',#39684,.T.); #3805=FACE_BOUND('',#39685,.T.); #3806=FACE_BOUND('',#39686,.T.); #3807=FACE_BOUND('',#39687,.T.); #3808=FACE_BOUND('',#39688,.T.); #3809=FACE_BOUND('',#39689,.T.); #3810=FACE_BOUND('',#39690,.T.); #3811=FACE_BOUND('',#39691,.T.); #3812=FACE_BOUND('',#39692,.T.); #3813=FACE_BOUND('',#39693,.T.); #3814=FACE_BOUND('',#39694,.T.); #3815=FACE_BOUND('',#39695,.T.); #3816=FACE_BOUND('',#39696,.T.); #3817=FACE_BOUND('',#39697,.T.); #3818=FACE_BOUND('',#39698,.T.); #3819=FACE_BOUND('',#39699,.T.); #3820=FACE_BOUND('',#39700,.T.); #3821=FACE_BOUND('',#39701,.T.); #3822=FACE_BOUND('',#39702,.T.); #3823=FACE_BOUND('',#39703,.T.); #3824=FACE_BOUND('',#39704,.T.); #3825=FACE_BOUND('',#39705,.T.); #3826=FACE_BOUND('',#39706,.T.); #3827=FACE_BOUND('',#39707,.T.); #3828=FACE_BOUND('',#39708,.T.); #3829=FACE_BOUND('',#39709,.T.); #3830=FACE_BOUND('',#39710,.T.); #3831=FACE_BOUND('',#39711,.T.); #3832=FACE_BOUND('',#39712,.T.); #3833=FACE_BOUND('',#39713,.T.); #3834=FACE_BOUND('',#39714,.T.); #3835=FACE_BOUND('',#39715,.T.); #3836=FACE_BOUND('',#39716,.T.); #3837=FACE_BOUND('',#39717,.T.); #3838=FACE_BOUND('',#39718,.T.); #3839=FACE_BOUND('',#39719,.T.); #3840=FACE_BOUND('',#39720,.T.); #3841=FACE_BOUND('',#39721,.T.); #3842=FACE_BOUND('',#39722,.T.); #3843=FACE_BOUND('',#39723,.T.); #3844=FACE_BOUND('',#39724,.T.); #3845=FACE_BOUND('',#39725,.T.); #3846=FACE_BOUND('',#39726,.T.); #3847=FACE_BOUND('',#39727,.T.); #3848=FACE_BOUND('',#39728,.T.); #3849=FACE_BOUND('',#39729,.T.); #3850=FACE_BOUND('',#39730,.T.); #3851=FACE_BOUND('',#39731,.T.); #3852=FACE_BOUND('',#39732,.T.); #3853=FACE_BOUND('',#39733,.T.); #3854=FACE_BOUND('',#39734,.T.); #3855=FACE_BOUND('',#39735,.T.); #3856=FACE_BOUND('',#39736,.T.); #3857=FACE_BOUND('',#39737,.T.); #3858=FACE_BOUND('',#39738,.T.); #3859=FACE_BOUND('',#39739,.T.); #3860=FACE_BOUND('',#39740,.T.); #3861=FACE_BOUND('',#39741,.T.); #3862=FACE_BOUND('',#39742,.T.); #3863=FACE_BOUND('',#39743,.T.); #3864=FACE_BOUND('',#39744,.T.); #3865=FACE_BOUND('',#39745,.T.); #3866=FACE_BOUND('',#39746,.T.); #3867=FACE_BOUND('',#39747,.T.); #3868=FACE_BOUND('',#39748,.T.); #3869=FACE_BOUND('',#39749,.T.); #3870=FACE_BOUND('',#39750,.T.); #3871=FACE_BOUND('',#39751,.T.); #3872=FACE_BOUND('',#39752,.T.); #3873=FACE_BOUND('',#39753,.T.); #3874=FACE_BOUND('',#39754,.T.); #3875=FACE_BOUND('',#39755,.T.); #3876=FACE_BOUND('',#39756,.T.); #3877=FACE_BOUND('',#39757,.T.); #3878=FACE_BOUND('',#39758,.T.); #3879=FACE_BOUND('',#39759,.T.); #3880=FACE_BOUND('',#39760,.T.); #3881=FACE_BOUND('',#39761,.T.); #3882=FACE_BOUND('',#39762,.T.); #3883=FACE_BOUND('',#39763,.T.); #3884=FACE_BOUND('',#39764,.T.); #3885=FACE_BOUND('',#39765,.T.); #3886=FACE_BOUND('',#39766,.T.); #3887=FACE_BOUND('',#39767,.T.); #3888=FACE_BOUND('',#39768,.T.); #3889=FACE_BOUND('',#39769,.T.); #3890=FACE_BOUND('',#39770,.T.); #3891=FACE_BOUND('',#39771,.T.); #3892=FACE_BOUND('',#39772,.T.); #3893=FACE_BOUND('',#39773,.T.); #3894=FACE_BOUND('',#39774,.T.); #3895=FACE_BOUND('',#39775,.T.); #3896=FACE_BOUND('',#39776,.T.); #3897=FACE_BOUND('',#39777,.T.); #3898=FACE_BOUND('',#39778,.T.); #3899=FACE_BOUND('',#39779,.T.); #3900=FACE_BOUND('',#39780,.T.); #3901=FACE_BOUND('',#39781,.T.); #3902=FACE_BOUND('',#39782,.T.); #3903=FACE_BOUND('',#39783,.T.); #3904=FACE_BOUND('',#39784,.T.); #3905=FACE_BOUND('',#39785,.T.); #3906=FACE_BOUND('',#39786,.T.); #3907=FACE_BOUND('',#39787,.T.); #3908=FACE_BOUND('',#39788,.T.); #3909=FACE_BOUND('',#39789,.T.); #3910=FACE_BOUND('',#39790,.T.); #3911=FACE_BOUND('',#39791,.T.); #3912=FACE_BOUND('',#39792,.T.); #3913=FACE_BOUND('',#39793,.T.); #3914=FACE_BOUND('',#39794,.T.); #3915=FACE_BOUND('',#39795,.T.); #3916=FACE_BOUND('',#39796,.T.); #3917=FACE_BOUND('',#39797,.T.); #3918=FACE_BOUND('',#39798,.T.); #3919=FACE_BOUND('',#39799,.T.); #3920=FACE_BOUND('',#39800,.T.); #3921=FACE_BOUND('',#39801,.T.); #3922=FACE_BOUND('',#39802,.T.); #3923=FACE_BOUND('',#39803,.T.); #3924=FACE_BOUND('',#39804,.T.); #3925=FACE_BOUND('',#39805,.T.); #3926=FACE_BOUND('',#39806,.T.); #3927=FACE_BOUND('',#39807,.T.); #3928=FACE_BOUND('',#39808,.T.); #3929=FACE_BOUND('',#39809,.T.); #3930=FACE_BOUND('',#39810,.T.); #3931=FACE_BOUND('',#39811,.T.); #3932=FACE_BOUND('',#39812,.T.); #3933=FACE_BOUND('',#39813,.T.); #3934=FACE_BOUND('',#39814,.T.); #3935=FACE_BOUND('',#39815,.T.); #3936=FACE_BOUND('',#39816,.T.); #3937=FACE_BOUND('',#39817,.T.); #3938=FACE_BOUND('',#39818,.T.); #3939=FACE_BOUND('',#39819,.T.); #3940=FACE_BOUND('',#39820,.T.); #3941=FACE_BOUND('',#39821,.T.); #3942=FACE_BOUND('',#39822,.T.); #3943=FACE_BOUND('',#39823,.T.); #3944=FACE_BOUND('',#39824,.T.); #3945=FACE_BOUND('',#39825,.T.); #3946=FACE_BOUND('',#39826,.T.); #3947=FACE_BOUND('',#39827,.T.); #3948=FACE_BOUND('',#39828,.T.); #3949=FACE_BOUND('',#39829,.T.); #3950=FACE_BOUND('',#39830,.T.); #3951=FACE_BOUND('',#39831,.T.); #3952=FACE_BOUND('',#39832,.T.); #3953=FACE_BOUND('',#39833,.T.); #3954=FACE_BOUND('',#39834,.T.); #3955=FACE_BOUND('',#39835,.T.); #3956=FACE_BOUND('',#39836,.T.); #3957=FACE_BOUND('',#39837,.T.); #3958=FACE_BOUND('',#39838,.T.); #3959=FACE_BOUND('',#39839,.T.); #3960=FACE_BOUND('',#39840,.T.); #3961=FACE_BOUND('',#39841,.T.); #3962=FACE_BOUND('',#39842,.T.); #3963=FACE_BOUND('',#39843,.T.); #3964=FACE_BOUND('',#39844,.T.); #3965=FACE_BOUND('',#39845,.T.); #3966=FACE_BOUND('',#39846,.T.); #3967=FACE_BOUND('',#39847,.T.); #3968=FACE_BOUND('',#39848,.T.); #3969=FACE_BOUND('',#39849,.T.); #3970=FACE_BOUND('',#39850,.T.); #3971=FACE_BOUND('',#39851,.T.); #3972=FACE_BOUND('',#39852,.T.); #3973=FACE_BOUND('',#39853,.T.); #3974=FACE_BOUND('',#39854,.T.); #3975=FACE_BOUND('',#39855,.T.); #3976=FACE_BOUND('',#39856,.T.); #3977=FACE_BOUND('',#39857,.T.); #3978=FACE_BOUND('',#39858,.T.); #3979=FACE_BOUND('',#39859,.T.); #3980=FACE_BOUND('',#39860,.T.); #3981=FACE_BOUND('',#39861,.T.); #3982=FACE_BOUND('',#39862,.T.); #3983=FACE_BOUND('',#39863,.T.); #3984=FACE_BOUND('',#39864,.T.); #3985=FACE_BOUND('',#39865,.T.); #3986=FACE_BOUND('',#39866,.T.); #3987=FACE_BOUND('',#39867,.T.); #3988=FACE_BOUND('',#39868,.T.); #3989=FACE_BOUND('',#39869,.T.); #3990=FACE_BOUND('',#39870,.T.); #3991=FACE_BOUND('',#39871,.T.); #3992=FACE_BOUND('',#39872,.T.); #3993=FACE_BOUND('',#39873,.T.); #3994=FACE_BOUND('',#39874,.T.); #3995=FACE_BOUND('',#39875,.T.); #3996=FACE_BOUND('',#39876,.T.); #3997=FACE_BOUND('',#39877,.T.); #3998=FACE_BOUND('',#39878,.T.); #3999=FACE_BOUND('',#39879,.T.); #4000=FACE_BOUND('',#39880,.T.); #4001=FACE_BOUND('',#39881,.T.); #4002=FACE_BOUND('',#39882,.T.); #4003=FACE_BOUND('',#39883,.T.); #4004=FACE_BOUND('',#39884,.T.); #4005=FACE_BOUND('',#39885,.T.); #4006=FACE_BOUND('',#39886,.T.); #4007=FACE_BOUND('',#39890,.T.); #4008=FACE_BOUND('',#39892,.T.); #4009=FACE_BOUND('',#39896,.T.); #4010=FACE_BOUND('',#39898,.T.); #4011=FACE_BOUND('',#39902,.T.); #4012=FACE_BOUND('',#39904,.T.); #4013=FACE_BOUND('',#39908,.T.); #4014=FACE_BOUND('',#39910,.T.); #4015=FACE_BOUND('',#39914,.T.); #4016=FACE_BOUND('',#39916,.T.); #4017=FACE_BOUND('',#39920,.T.); #4018=FACE_BOUND('',#39922,.T.); #4019=FACE_BOUND('',#39926,.T.); #4020=FACE_BOUND('',#39928,.T.); #4021=FACE_BOUND('',#39932,.T.); #4022=FACE_BOUND('',#39934,.T.); #4023=FACE_BOUND('',#39938,.T.); #4024=FACE_BOUND('',#39940,.T.); #4025=FACE_BOUND('',#39944,.T.); #4026=FACE_BOUND('',#39946,.T.); #4027=FACE_BOUND('',#39950,.T.); #4028=FACE_BOUND('',#39952,.T.); #4029=FACE_BOUND('',#39956,.T.); #4030=FACE_BOUND('',#39958,.T.); #4031=FACE_BOUND('',#39962,.T.); #4032=FACE_BOUND('',#39964,.T.); #4033=FACE_BOUND('',#39968,.T.); #4034=FACE_BOUND('',#39970,.T.); #4035=FACE_BOUND('',#39974,.T.); #4036=FACE_BOUND('',#39976,.T.); #4037=FACE_BOUND('',#39980,.T.); #4038=FACE_BOUND('',#39982,.T.); #4039=FACE_BOUND('',#39986,.T.); #4040=FACE_BOUND('',#39988,.T.); #4041=FACE_BOUND('',#39992,.T.); #4042=FACE_BOUND('',#39994,.T.); #4043=FACE_BOUND('',#39998,.T.); #4044=FACE_BOUND('',#40000,.T.); #4045=FACE_BOUND('',#40004,.T.); #4046=FACE_BOUND('',#40006,.T.); #4047=FACE_BOUND('',#40010,.T.); #4048=FACE_BOUND('',#40012,.T.); #4049=FACE_BOUND('',#40016,.T.); #4050=FACE_BOUND('',#40018,.T.); #4051=FACE_BOUND('',#40022,.T.); #4052=FACE_BOUND('',#40024,.T.); #4053=FACE_BOUND('',#40028,.T.); #4054=FACE_BOUND('',#40030,.T.); #4055=FACE_BOUND('',#40034,.T.); #4056=FACE_BOUND('',#40036,.T.); #4057=FACE_BOUND('',#40040,.T.); #4058=FACE_BOUND('',#40042,.T.); #4059=FACE_BOUND('',#40046,.T.); #4060=FACE_BOUND('',#40048,.T.); #4061=FACE_BOUND('',#40052,.T.); #4062=FACE_BOUND('',#40054,.T.); #4063=FACE_BOUND('',#40058,.T.); #4064=FACE_BOUND('',#40060,.T.); #4065=FACE_BOUND('',#40064,.T.); #4066=FACE_BOUND('',#40066,.T.); #4067=FACE_BOUND('',#40070,.T.); #4068=FACE_BOUND('',#40072,.T.); #4069=FACE_BOUND('',#40076,.T.); #4070=FACE_BOUND('',#40078,.T.); #4071=FACE_BOUND('',#40082,.T.); #4072=FACE_BOUND('',#40084,.T.); #4073=FACE_BOUND('',#40088,.T.); #4074=FACE_BOUND('',#40090,.T.); #4075=FACE_BOUND('',#40094,.T.); #4076=FACE_BOUND('',#40096,.T.); #4077=FACE_BOUND('',#40100,.T.); #4078=FACE_BOUND('',#40102,.T.); #4079=FACE_BOUND('',#40106,.T.); #4080=FACE_BOUND('',#40108,.T.); #4081=FACE_BOUND('',#40112,.T.); #4082=FACE_BOUND('',#40114,.T.); #4083=FACE_BOUND('',#40118,.T.); #4084=FACE_BOUND('',#40120,.T.); #4085=FACE_BOUND('',#40124,.T.); #4086=FACE_BOUND('',#40126,.T.); #4087=FACE_BOUND('',#40130,.T.); #4088=FACE_BOUND('',#40132,.T.); #4089=FACE_BOUND('',#40136,.T.); #4090=FACE_BOUND('',#40138,.T.); #4091=FACE_BOUND('',#40142,.T.); #4092=FACE_BOUND('',#40144,.T.); #4093=FACE_BOUND('',#40148,.T.); #4094=FACE_BOUND('',#40150,.T.); #4095=FACE_BOUND('',#40154,.T.); #4096=FACE_BOUND('',#40156,.T.); #4097=FACE_BOUND('',#40160,.T.); #4098=FACE_BOUND('',#40162,.T.); #4099=FACE_BOUND('',#40166,.T.); #4100=FACE_BOUND('',#40168,.T.); #4101=FACE_BOUND('',#40172,.T.); #4102=FACE_BOUND('',#40174,.T.); #4103=FACE_BOUND('',#40178,.T.); #4104=FACE_BOUND('',#40180,.T.); #4105=FACE_BOUND('',#40184,.T.); #4106=FACE_BOUND('',#40186,.T.); #4107=FACE_BOUND('',#40190,.T.); #4108=FACE_BOUND('',#40192,.T.); #4109=FACE_BOUND('',#40196,.T.); #4110=FACE_BOUND('',#40198,.T.); #4111=FACE_BOUND('',#40202,.T.); #4112=FACE_BOUND('',#40204,.T.); #4113=FACE_BOUND('',#40208,.T.); #4114=FACE_BOUND('',#40210,.T.); #4115=FACE_BOUND('',#40214,.T.); #4116=FACE_BOUND('',#40216,.T.); #4117=FACE_BOUND('',#40220,.T.); #4118=FACE_BOUND('',#40222,.T.); #4119=FACE_BOUND('',#40226,.T.); #4120=FACE_BOUND('',#40228,.T.); #4121=FACE_BOUND('',#40232,.T.); #4122=FACE_BOUND('',#40234,.T.); #4123=FACE_BOUND('',#40238,.T.); #4124=FACE_BOUND('',#40240,.T.); #4125=FACE_BOUND('',#40244,.T.); #4126=FACE_BOUND('',#40246,.T.); #4127=FACE_BOUND('',#40250,.T.); #4128=FACE_BOUND('',#40252,.T.); #4129=FACE_BOUND('',#40256,.T.); #4130=FACE_BOUND('',#40258,.T.); #4131=FACE_BOUND('',#40262,.T.); #4132=FACE_BOUND('',#40264,.T.); #4133=FACE_BOUND('',#40268,.T.); #4134=FACE_BOUND('',#40270,.T.); #4135=FACE_BOUND('',#40274,.T.); #4136=FACE_BOUND('',#40276,.T.); #4137=FACE_BOUND('',#40280,.T.); #4138=FACE_BOUND('',#40282,.T.); #4139=FACE_BOUND('',#40286,.T.); #4140=FACE_BOUND('',#40288,.T.); #4141=FACE_BOUND('',#40292,.T.); #4142=FACE_BOUND('',#40294,.T.); #4143=FACE_BOUND('',#40298,.T.); #4144=FACE_BOUND('',#40300,.T.); #4145=FACE_BOUND('',#40304,.T.); #4146=FACE_BOUND('',#40306,.T.); #4147=FACE_BOUND('',#40310,.T.); #4148=FACE_BOUND('',#40312,.T.); #4149=FACE_BOUND('',#40316,.T.); #4150=FACE_BOUND('',#40318,.T.); #4151=FACE_BOUND('',#40322,.T.); #4152=FACE_BOUND('',#40324,.T.); #4153=FACE_BOUND('',#40328,.T.); #4154=FACE_BOUND('',#40330,.T.); #4155=FACE_BOUND('',#40334,.T.); #4156=FACE_BOUND('',#40336,.T.); #4157=FACE_BOUND('',#40340,.T.); #4158=FACE_BOUND('',#40342,.T.); #4159=FACE_BOUND('',#40346,.T.); #4160=FACE_BOUND('',#40348,.T.); #4161=FACE_BOUND('',#40352,.T.); #4162=FACE_BOUND('',#40354,.T.); #4163=FACE_BOUND('',#40358,.T.); #4164=FACE_BOUND('',#40360,.T.); #4165=FACE_BOUND('',#40364,.T.); #4166=FACE_BOUND('',#40366,.T.); #4167=FACE_BOUND('',#40370,.T.); #4168=FACE_BOUND('',#40372,.T.); #4169=FACE_BOUND('',#40376,.T.); #4170=FACE_BOUND('',#40378,.T.); #4171=FACE_BOUND('',#40382,.T.); #4172=FACE_BOUND('',#40384,.T.); #4173=FACE_BOUND('',#40388,.T.); #4174=FACE_BOUND('',#40390,.T.); #4175=FACE_BOUND('',#40394,.T.); #4176=FACE_BOUND('',#40396,.T.); #4177=FACE_BOUND('',#40400,.T.); #4178=FACE_BOUND('',#40402,.T.); #4179=FACE_BOUND('',#40406,.T.); #4180=FACE_BOUND('',#40408,.T.); #4181=FACE_BOUND('',#40412,.T.); #4182=FACE_BOUND('',#40414,.T.); #4183=FACE_BOUND('',#40418,.T.); #4184=FACE_BOUND('',#40420,.T.); #4185=FACE_BOUND('',#40424,.T.); #4186=FACE_BOUND('',#40426,.T.); #4187=FACE_BOUND('',#40430,.T.); #4188=FACE_BOUND('',#40432,.T.); #4189=FACE_BOUND('',#40436,.T.); #4190=FACE_BOUND('',#40438,.T.); #4191=FACE_BOUND('',#40442,.T.); #4192=FACE_BOUND('',#40444,.T.); #4193=FACE_BOUND('',#40448,.T.); #4194=FACE_BOUND('',#40450,.T.); #4195=FACE_BOUND('',#40454,.T.); #4196=FACE_BOUND('',#40456,.T.); #4197=FACE_BOUND('',#40460,.T.); #4198=FACE_BOUND('',#40462,.T.); #4199=FACE_BOUND('',#40466,.T.); #4200=FACE_BOUND('',#40468,.T.); #4201=FACE_BOUND('',#40472,.T.); #4202=FACE_BOUND('',#40474,.T.); #4203=FACE_BOUND('',#40478,.T.); #4204=FACE_BOUND('',#40480,.T.); #4205=FACE_BOUND('',#40484,.T.); #4206=FACE_BOUND('',#40486,.T.); #4207=FACE_BOUND('',#40490,.T.); #4208=FACE_BOUND('',#40492,.T.); #4209=FACE_BOUND('',#40496,.T.); #4210=FACE_BOUND('',#40498,.T.); #4211=FACE_BOUND('',#40502,.T.); #4212=FACE_BOUND('',#40504,.T.); #4213=FACE_BOUND('',#40508,.T.); #4214=FACE_BOUND('',#40510,.T.); #4215=FACE_BOUND('',#40514,.T.); #4216=FACE_BOUND('',#40516,.T.); #4217=FACE_BOUND('',#40520,.T.); #4218=FACE_BOUND('',#40522,.T.); #4219=FACE_BOUND('',#40526,.T.); #4220=FACE_BOUND('',#40528,.T.); #4221=FACE_BOUND('',#40532,.T.); #4222=FACE_BOUND('',#40534,.T.); #4223=FACE_BOUND('',#40538,.T.); #4224=FACE_BOUND('',#40540,.T.); #4225=FACE_BOUND('',#40544,.T.); #4226=FACE_BOUND('',#40546,.T.); #4227=FACE_BOUND('',#40550,.T.); #4228=FACE_BOUND('',#40552,.T.); #4229=FACE_BOUND('',#40556,.T.); #4230=FACE_BOUND('',#40558,.T.); #4231=FACE_BOUND('',#40562,.T.); #4232=FACE_BOUND('',#40564,.T.); #4233=FACE_BOUND('',#40568,.T.); #4234=FACE_BOUND('',#40570,.T.); #4235=FACE_BOUND('',#40574,.T.); #4236=FACE_BOUND('',#40576,.T.); #4237=FACE_BOUND('',#40580,.T.); #4238=FACE_BOUND('',#40582,.T.); #4239=FACE_BOUND('',#40586,.T.); #4240=FACE_BOUND('',#40588,.T.); #4241=FACE_BOUND('',#40592,.T.); #4242=FACE_BOUND('',#40594,.T.); #4243=FACE_BOUND('',#40598,.T.); #4244=FACE_BOUND('',#40600,.T.); #4245=FACE_BOUND('',#40604,.T.); #4246=FACE_BOUND('',#40606,.T.); #4247=FACE_BOUND('',#40610,.T.); #4248=FACE_BOUND('',#40612,.T.); #4249=FACE_BOUND('',#40616,.T.); #4250=FACE_BOUND('',#40618,.T.); #4251=FACE_BOUND('',#40622,.T.); #4252=FACE_BOUND('',#40624,.T.); #4253=FACE_BOUND('',#40628,.T.); #4254=FACE_BOUND('',#40630,.T.); #4255=FACE_BOUND('',#40634,.T.); #4256=FACE_BOUND('',#40636,.T.); #4257=FACE_BOUND('',#40640,.T.); #4258=FACE_BOUND('',#40642,.T.); #4259=FACE_BOUND('',#40646,.T.); #4260=FACE_BOUND('',#40648,.T.); #4261=FACE_BOUND('',#40652,.T.); #4262=FACE_BOUND('',#40654,.T.); #4263=FACE_BOUND('',#40658,.T.); #4264=FACE_BOUND('',#40660,.T.); #4265=FACE_BOUND('',#40664,.T.); #4266=FACE_BOUND('',#40666,.T.); #4267=FACE_BOUND('',#40670,.T.); #4268=FACE_BOUND('',#40672,.T.); #4269=FACE_BOUND('',#40676,.T.); #4270=FACE_BOUND('',#40678,.T.); #4271=FACE_BOUND('',#40682,.T.); #4272=FACE_BOUND('',#40684,.T.); #4273=FACE_BOUND('',#40688,.T.); #4274=FACE_BOUND('',#40690,.T.); #4275=FACE_BOUND('',#40694,.T.); #4276=FACE_BOUND('',#40696,.T.); #4277=FACE_BOUND('',#40700,.T.); #4278=FACE_BOUND('',#40702,.T.); #4279=FACE_BOUND('',#40706,.T.); #4280=FACE_BOUND('',#40708,.T.); #4281=FACE_BOUND('',#40712,.T.); #4282=FACE_BOUND('',#40714,.T.); #4283=FACE_BOUND('',#40718,.T.); #4284=FACE_BOUND('',#40720,.T.); #4285=FACE_BOUND('',#40724,.T.); #4286=FACE_BOUND('',#40726,.T.); #4287=FACE_BOUND('',#40730,.T.); #4288=FACE_BOUND('',#40732,.T.); #4289=FACE_BOUND('',#40736,.T.); #4290=FACE_BOUND('',#40738,.T.); #4291=FACE_BOUND('',#40742,.T.); #4292=FACE_BOUND('',#40744,.T.); #4293=FACE_BOUND('',#40748,.T.); #4294=FACE_BOUND('',#40750,.T.); #4295=FACE_BOUND('',#40754,.T.); #4296=FACE_BOUND('',#40756,.T.); #4297=FACE_BOUND('',#40760,.T.); #4298=FACE_BOUND('',#40762,.T.); #4299=FACE_BOUND('',#40766,.T.); #4300=FACE_BOUND('',#40768,.T.); #4301=FACE_BOUND('',#40772,.T.); #4302=FACE_BOUND('',#40774,.T.); #4303=FACE_BOUND('',#40778,.T.); #4304=FACE_BOUND('',#40780,.T.); #4305=FACE_BOUND('',#40784,.T.); #4306=FACE_BOUND('',#40786,.T.); #4307=FACE_BOUND('',#40790,.T.); #4308=FACE_BOUND('',#40792,.T.); #4309=FACE_BOUND('',#40796,.T.); #4310=FACE_BOUND('',#40798,.T.); #4311=FACE_BOUND('',#40802,.T.); #4312=FACE_BOUND('',#40804,.T.); #4313=FACE_BOUND('',#40808,.T.); #4314=FACE_BOUND('',#40810,.T.); #4315=FACE_BOUND('',#40814,.T.); #4316=FACE_BOUND('',#40816,.T.); #4317=FACE_BOUND('',#40820,.T.); #4318=FACE_BOUND('',#40822,.T.); #4319=FACE_BOUND('',#40826,.T.); #4320=FACE_BOUND('',#40828,.T.); #4321=FACE_BOUND('',#40832,.T.); #4322=FACE_BOUND('',#40834,.T.); #4323=FACE_BOUND('',#40838,.T.); #4324=FACE_BOUND('',#40840,.T.); #4325=FACE_BOUND('',#40844,.T.); #4326=FACE_BOUND('',#40846,.T.); #4327=FACE_BOUND('',#40850,.T.); #4328=FACE_BOUND('',#40852,.T.); #4329=FACE_BOUND('',#40856,.T.); #4330=FACE_BOUND('',#40858,.T.); #4331=FACE_BOUND('',#40862,.T.); #4332=FACE_BOUND('',#40864,.T.); #4333=FACE_BOUND('',#40868,.T.); #4334=FACE_BOUND('',#40870,.T.); #4335=FACE_BOUND('',#40874,.T.); #4336=FACE_BOUND('',#40876,.T.); #4337=FACE_BOUND('',#40880,.T.); #4338=FACE_BOUND('',#40882,.T.); #4339=FACE_BOUND('',#40886,.T.); #4340=FACE_BOUND('',#40888,.T.); #4341=FACE_BOUND('',#40892,.T.); #4342=FACE_BOUND('',#40894,.T.); #4343=FACE_BOUND('',#40898,.T.); #4344=FACE_BOUND('',#40900,.T.); #4345=FACE_BOUND('',#40904,.T.); #4346=FACE_BOUND('',#40906,.T.); #4347=FACE_BOUND('',#40910,.T.); #4348=FACE_BOUND('',#40912,.T.); #4349=FACE_BOUND('',#40916,.T.); #4350=FACE_BOUND('',#40918,.T.); #4351=FACE_BOUND('',#40922,.T.); #4352=FACE_BOUND('',#40924,.T.); #4353=FACE_BOUND('',#40928,.T.); #4354=FACE_BOUND('',#40930,.T.); #4355=FACE_BOUND('',#40934,.T.); #4356=FACE_BOUND('',#40936,.T.); #4357=FACE_BOUND('',#40940,.T.); #4358=FACE_BOUND('',#40942,.T.); #4359=FACE_BOUND('',#40946,.T.); #4360=FACE_BOUND('',#40948,.T.); #4361=FACE_BOUND('',#40952,.T.); #4362=FACE_BOUND('',#40954,.T.); #4363=FACE_BOUND('',#40958,.T.); #4364=FACE_BOUND('',#40960,.T.); #4365=FACE_BOUND('',#40964,.T.); #4366=FACE_BOUND('',#40966,.T.); #4367=FACE_BOUND('',#40970,.T.); #4368=FACE_BOUND('',#40972,.T.); #4369=FACE_BOUND('',#40976,.T.); #4370=FACE_BOUND('',#40978,.T.); #4371=FACE_BOUND('',#40982,.T.); #4372=FACE_BOUND('',#40984,.T.); #4373=FACE_BOUND('',#40988,.T.); #4374=FACE_BOUND('',#40990,.T.); #4375=FACE_BOUND('',#40994,.T.); #4376=FACE_BOUND('',#40996,.T.); #4377=FACE_BOUND('',#41000,.T.); #4378=FACE_BOUND('',#41002,.T.); #4379=FACE_BOUND('',#41006,.T.); #4380=FACE_BOUND('',#41008,.T.); #4381=FACE_BOUND('',#41012,.T.); #4382=FACE_BOUND('',#41014,.T.); #4383=FACE_BOUND('',#41018,.T.); #4384=FACE_BOUND('',#41020,.T.); #4385=FACE_BOUND('',#41024,.T.); #4386=FACE_BOUND('',#41026,.T.); #4387=FACE_BOUND('',#41030,.T.); #4388=FACE_BOUND('',#41032,.T.); #4389=FACE_BOUND('',#41036,.T.); #4390=FACE_BOUND('',#41038,.T.); #4391=FACE_BOUND('',#41042,.T.); #4392=FACE_BOUND('',#41044,.T.); #4393=FACE_BOUND('',#41048,.T.); #4394=FACE_BOUND('',#41050,.T.); #4395=FACE_BOUND('',#41054,.T.); #4396=FACE_BOUND('',#41056,.T.); #4397=FACE_BOUND('',#41060,.T.); #4398=FACE_BOUND('',#41062,.T.); #4399=FACE_BOUND('',#41066,.T.); #4400=FACE_BOUND('',#41068,.T.); #4401=FACE_BOUND('',#41072,.T.); #4402=FACE_BOUND('',#41074,.T.); #4403=FACE_BOUND('',#41078,.T.); #4404=FACE_BOUND('',#41080,.T.); #4405=FACE_BOUND('',#41084,.T.); #4406=FACE_BOUND('',#41086,.T.); #4407=FACE_BOUND('',#41090,.T.); #4408=FACE_BOUND('',#41092,.T.); #4409=FACE_BOUND('',#41096,.T.); #4410=FACE_BOUND('',#41098,.T.); #4411=FACE_BOUND('',#41102,.T.); #4412=FACE_BOUND('',#41104,.T.); #4413=FACE_BOUND('',#41108,.T.); #4414=FACE_BOUND('',#41110,.T.); #4415=FACE_BOUND('',#41114,.T.); #4416=FACE_BOUND('',#41116,.T.); #4417=FACE_BOUND('',#41120,.T.); #4418=FACE_BOUND('',#41122,.T.); #4419=FACE_BOUND('',#41126,.T.); #4420=FACE_BOUND('',#41128,.T.); #4421=FACE_BOUND('',#41132,.T.); #4422=FACE_BOUND('',#41134,.T.); #4423=FACE_BOUND('',#41138,.T.); #4424=FACE_BOUND('',#41140,.T.); #4425=FACE_BOUND('',#41144,.T.); #4426=FACE_BOUND('',#41146,.T.); #4427=FACE_BOUND('',#41150,.T.); #4428=FACE_BOUND('',#41152,.T.); #4429=FACE_BOUND('',#41156,.T.); #4430=FACE_BOUND('',#41158,.T.); #4431=FACE_BOUND('',#41162,.T.); #4432=FACE_BOUND('',#41164,.T.); #4433=FACE_BOUND('',#41168,.T.); #4434=FACE_BOUND('',#41170,.T.); #4435=FACE_BOUND('',#41174,.T.); #4436=FACE_BOUND('',#41176,.T.); #4437=FACE_BOUND('',#41180,.T.); #4438=FACE_BOUND('',#41182,.T.); #4439=FACE_BOUND('',#41186,.T.); #4440=FACE_BOUND('',#41188,.T.); #4441=FACE_BOUND('',#41192,.T.); #4442=FACE_BOUND('',#41194,.T.); #4443=FACE_BOUND('',#41198,.T.); #4444=FACE_BOUND('',#41200,.T.); #4445=FACE_BOUND('',#41204,.T.); #4446=FACE_BOUND('',#41206,.T.); #4447=FACE_BOUND('',#41210,.T.); #4448=FACE_BOUND('',#41212,.T.); #4449=FACE_BOUND('',#41216,.T.); #4450=FACE_BOUND('',#41218,.T.); #4451=FACE_BOUND('',#41222,.T.); #4452=FACE_BOUND('',#41224,.T.); #4453=FACE_BOUND('',#41228,.T.); #4454=FACE_BOUND('',#41230,.T.); #4455=FACE_BOUND('',#41234,.T.); #4456=FACE_BOUND('',#41236,.T.); #4457=FACE_BOUND('',#41240,.T.); #4458=FACE_BOUND('',#41242,.T.); #4459=FACE_BOUND('',#41246,.T.); #4460=FACE_BOUND('',#41248,.T.); #4461=FACE_BOUND('',#41252,.T.); #4462=FACE_BOUND('',#41254,.T.); #4463=FACE_BOUND('',#41258,.T.); #4464=FACE_BOUND('',#41260,.T.); #4465=FACE_BOUND('',#41264,.T.); #4466=FACE_BOUND('',#41266,.T.); #4467=FACE_BOUND('',#41270,.T.); #4468=FACE_BOUND('',#41272,.T.); #4469=FACE_BOUND('',#41276,.T.); #4470=FACE_BOUND('',#41278,.T.); #4471=FACE_BOUND('',#41282,.T.); #4472=FACE_BOUND('',#41284,.T.); #4473=FACE_BOUND('',#41288,.T.); #4474=FACE_BOUND('',#41290,.T.); #4475=FACE_BOUND('',#41294,.T.); #4476=FACE_BOUND('',#41296,.T.); #4477=FACE_BOUND('',#41300,.T.); #4478=FACE_BOUND('',#41302,.T.); #4479=FACE_BOUND('',#41306,.T.); #4480=FACE_BOUND('',#41308,.T.); #4481=FACE_BOUND('',#41312,.T.); #4482=FACE_BOUND('',#41314,.T.); #4483=FACE_BOUND('',#41318,.T.); #4484=FACE_BOUND('',#41320,.T.); #4485=FACE_BOUND('',#41324,.T.); #4486=FACE_BOUND('',#41326,.T.); #4487=FACE_BOUND('',#41330,.T.); #4488=FACE_BOUND('',#41332,.T.); #4489=FACE_BOUND('',#41336,.T.); #4490=FACE_BOUND('',#41338,.T.); #4491=FACE_BOUND('',#41342,.T.); #4492=FACE_BOUND('',#41344,.T.); #4493=FACE_BOUND('',#41348,.T.); #4494=FACE_BOUND('',#41350,.T.); #4495=FACE_BOUND('',#41354,.T.); #4496=FACE_BOUND('',#41356,.T.); #4497=FACE_BOUND('',#41360,.T.); #4498=FACE_BOUND('',#41362,.T.); #4499=FACE_BOUND('',#41366,.T.); #4500=FACE_BOUND('',#41368,.T.); #4501=FACE_BOUND('',#41372,.T.); #4502=FACE_BOUND('',#41374,.T.); #4503=FACE_BOUND('',#41378,.T.); #4504=FACE_BOUND('',#41380,.T.); #4505=FACE_BOUND('',#41384,.T.); #4506=FACE_BOUND('',#41386,.T.); #4507=FACE_BOUND('',#41390,.T.); #4508=FACE_BOUND('',#41392,.T.); #4509=FACE_BOUND('',#41396,.T.); #4510=FACE_BOUND('',#41398,.T.); #4511=FACE_BOUND('',#41402,.T.); #4512=FACE_BOUND('',#41404,.T.); #4513=FACE_BOUND('',#41408,.T.); #4514=FACE_BOUND('',#41410,.T.); #4515=FACE_BOUND('',#41414,.T.); #4516=FACE_BOUND('',#41416,.T.); #4517=FACE_BOUND('',#41420,.T.); #4518=FACE_BOUND('',#41422,.T.); #4519=FACE_BOUND('',#41426,.T.); #4520=FACE_BOUND('',#41428,.T.); #4521=FACE_BOUND('',#41432,.T.); #4522=FACE_BOUND('',#41434,.T.); #4523=FACE_BOUND('',#41438,.T.); #4524=FACE_BOUND('',#41440,.T.); #4525=FACE_BOUND('',#41444,.T.); #4526=FACE_BOUND('',#41446,.T.); #4527=FACE_BOUND('',#41450,.T.); #4528=FACE_BOUND('',#41452,.T.); #4529=FACE_BOUND('',#41456,.T.); #4530=FACE_BOUND('',#41458,.T.); #4531=FACE_BOUND('',#41462,.T.); #4532=FACE_BOUND('',#41464,.T.); #4533=FACE_BOUND('',#41468,.T.); #4534=FACE_BOUND('',#41470,.T.); #4535=FACE_BOUND('',#41474,.T.); #4536=FACE_BOUND('',#41476,.T.); #4537=FACE_BOUND('',#41480,.T.); #4538=FACE_BOUND('',#41482,.T.); #4539=FACE_BOUND('',#41486,.T.); #4540=FACE_BOUND('',#41488,.T.); #4541=FACE_BOUND('',#41492,.T.); #4542=FACE_BOUND('',#41494,.T.); #4543=FACE_BOUND('',#41498,.T.); #4544=FACE_BOUND('',#41500,.T.); #4545=FACE_BOUND('',#41504,.T.); #4546=FACE_BOUND('',#41506,.T.); #4547=FACE_BOUND('',#41510,.T.); #4548=FACE_BOUND('',#41512,.T.); #4549=FACE_BOUND('',#41516,.T.); #4550=FACE_BOUND('',#41518,.T.); #4551=FACE_BOUND('',#41528,.T.); #4552=FACE_BOUND('',#41530,.T.); #4553=FACE_BOUND('',#41534,.T.); #4554=FACE_BOUND('',#41536,.T.); #4555=FACE_BOUND('',#41546,.T.); #4556=FACE_BOUND('',#41548,.T.); #4557=FACE_BOUND('',#41552,.T.); #4558=FACE_BOUND('',#41554,.T.); #4559=FACE_BOUND('',#41564,.T.); #4560=FACE_BOUND('',#41566,.T.); #4561=FACE_BOUND('',#41570,.T.); #4562=FACE_BOUND('',#41572,.T.); #4563=FACE_BOUND('',#41582,.T.); #4564=FACE_BOUND('',#41584,.T.); #4565=FACE_BOUND('',#41588,.T.); #4566=FACE_BOUND('',#41590,.T.); #4567=FACE_BOUND('',#41594,.T.); #4568=FACE_BOUND('',#41596,.T.); #4569=FACE_BOUND('',#41600,.T.); #4570=FACE_BOUND('',#41602,.T.); #4571=FACE_BOUND('',#41606,.T.); #4572=FACE_BOUND('',#41608,.T.); #4573=FACE_BOUND('',#41612,.T.); #4574=FACE_BOUND('',#41614,.T.); #4575=FACE_BOUND('',#41618,.T.); #4576=FACE_BOUND('',#41620,.T.); #4577=FACE_BOUND('',#41624,.T.); #4578=FACE_BOUND('',#41626,.T.); #4579=FACE_BOUND('',#41630,.T.); #4580=FACE_BOUND('',#41632,.T.); #4581=FACE_BOUND('',#41636,.T.); #4582=FACE_BOUND('',#41638,.T.); #4583=FACE_BOUND('',#41642,.T.); #4584=FACE_BOUND('',#41644,.T.); #4585=FACE_BOUND('',#41648,.T.); #4586=FACE_BOUND('',#41650,.T.); #4587=FACE_BOUND('',#41660,.T.); #4588=FACE_BOUND('',#41662,.T.); #4589=FACE_BOUND('',#41666,.T.); #4590=FACE_BOUND('',#41668,.T.); #4591=FACE_BOUND('',#41678,.T.); #4592=FACE_BOUND('',#41680,.T.); #4593=FACE_BOUND('',#41684,.T.); #4594=FACE_BOUND('',#41686,.T.); #4595=FACE_BOUND('',#41690,.T.); #4596=FACE_BOUND('',#41692,.T.); #4597=FACE_BOUND('',#41696,.T.); #4598=FACE_BOUND('',#41698,.T.); #4599=FACE_BOUND('',#41702,.T.); #4600=FACE_BOUND('',#41704,.T.); #4601=FACE_BOUND('',#41708,.T.); #4602=FACE_BOUND('',#41710,.T.); #4603=FACE_BOUND('',#41714,.T.); #4604=FACE_BOUND('',#41716,.T.); #4605=FACE_BOUND('',#41720,.T.); #4606=FACE_BOUND('',#41722,.T.); #4607=FACE_BOUND('',#41726,.T.); #4608=FACE_BOUND('',#41728,.T.); #4609=FACE_BOUND('',#41732,.T.); #4610=FACE_BOUND('',#41734,.T.); #4611=FACE_BOUND('',#41738,.T.); #4612=FACE_BOUND('',#41740,.T.); #4613=FACE_BOUND('',#41744,.T.); #4614=FACE_BOUND('',#41746,.T.); #4615=FACE_BOUND('',#41750,.T.); #4616=FACE_BOUND('',#41752,.T.); #4617=FACE_BOUND('',#41756,.T.); #4618=FACE_BOUND('',#41758,.T.); #4619=FACE_BOUND('',#41762,.T.); #4620=FACE_BOUND('',#41764,.T.); #4621=FACE_BOUND('',#41768,.T.); #4622=FACE_BOUND('',#41770,.T.); #4623=FACE_BOUND('',#41774,.T.); #4624=FACE_BOUND('',#41776,.T.); #4625=FACE_BOUND('',#41780,.T.); #4626=FACE_BOUND('',#41782,.T.); #4627=FACE_BOUND('',#41786,.T.); #4628=FACE_BOUND('',#41788,.T.); #4629=FACE_BOUND('',#41792,.T.); #4630=FACE_BOUND('',#41794,.T.); #4631=FACE_BOUND('',#41798,.T.); #4632=FACE_BOUND('',#41800,.T.); #4633=FACE_BOUND('',#41804,.T.); #4634=FACE_BOUND('',#41806,.T.); #4635=FACE_BOUND('',#41810,.T.); #4636=FACE_BOUND('',#41812,.T.); #4637=FACE_BOUND('',#41816,.T.); #4638=FACE_BOUND('',#41818,.T.); #4639=FACE_BOUND('',#41822,.T.); #4640=FACE_BOUND('',#41824,.T.); #4641=FACE_BOUND('',#41828,.T.); #4642=FACE_BOUND('',#41830,.T.); #4643=FACE_BOUND('',#41834,.T.); #4644=FACE_BOUND('',#41836,.T.); #4645=FACE_BOUND('',#41840,.T.); #4646=FACE_BOUND('',#41842,.T.); #4647=FACE_BOUND('',#41846,.T.); #4648=FACE_BOUND('',#41848,.T.); #4649=FACE_BOUND('',#41852,.T.); #4650=FACE_BOUND('',#41854,.T.); #4651=FACE_BOUND('',#41858,.T.); #4652=FACE_BOUND('',#41860,.T.); #4653=FACE_BOUND('',#41864,.T.); #4654=FACE_BOUND('',#41866,.T.); #4655=FACE_BOUND('',#41870,.T.); #4656=FACE_BOUND('',#41872,.T.); #4657=FACE_BOUND('',#41876,.T.); #4658=FACE_BOUND('',#41878,.T.); #4659=FACE_BOUND('',#41882,.T.); #4660=FACE_BOUND('',#41884,.T.); #4661=FACE_BOUND('',#41888,.T.); #4662=FACE_BOUND('',#41890,.T.); #4663=FACE_BOUND('',#41894,.T.); #4664=FACE_BOUND('',#41896,.T.); #4665=FACE_BOUND('',#41900,.T.); #4666=FACE_BOUND('',#41902,.T.); #4667=FACE_BOUND('',#41908,.T.); #4668=FACE_BOUND('',#41910,.T.); #4669=FACE_BOUND('',#41992,.T.); #4670=FACE_BOUND('',#41994,.T.); #4671=FACE_BOUND('',#42007,.T.); #4672=FACE_BOUND('',#42009,.T.); #4673=FACE_BOUND('',#42132,.T.); #4674=FACE_BOUND('',#42134,.T.); #4675=FACE_BOUND('',#42153,.T.); #4676=FACE_BOUND('',#42155,.T.); #4677=FACE_BOUND('',#42170,.T.); #4678=FACE_BOUND('',#42172,.T.); #4679=FACE_BOUND('',#42189,.T.); #4680=FACE_BOUND('',#42191,.T.); #4681=FACE_BOUND('',#42203,.T.); #4682=FACE_BOUND('',#42204,.T.); #4683=FACE_BOUND('',#42206,.T.); #4684=FACE_BOUND('',#42207,.T.); #4685=FACE_BOUND('',#42226,.T.); #4686=FACE_BOUND('',#42228,.T.); #4687=FACE_BOUND('',#42253,.T.); #4688=FACE_BOUND('',#42255,.T.); #4689=FACE_BOUND('',#42310,.T.); #4690=FACE_BOUND('',#42312,.T.); #4691=FACE_BOUND('',#42326,.T.); #4692=FACE_BOUND('',#42328,.T.); #4693=FACE_BOUND('',#42452,.T.); #4694=FACE_BOUND('',#42454,.T.); #4695=FACE_BOUND('',#42473,.T.); #4696=FACE_BOUND('',#42475,.T.); #4697=FACE_BOUND('',#42491,.T.); #4698=FACE_BOUND('',#42493,.T.); #4699=FACE_BOUND('',#42514,.T.); #4700=FACE_BOUND('',#42516,.T.); #4701=FACE_BOUND('',#42532,.T.); #4702=FACE_BOUND('',#42534,.T.); #4703=FACE_BOUND('',#42555,.T.); #4704=FACE_BOUND('',#42557,.T.); #4705=FACE_BOUND('',#42571,.T.); #4706=FACE_BOUND('',#42573,.T.); #4707=FACE_BOUND('',#42587,.T.); #4708=FACE_BOUND('',#42589,.T.); #4709=FACE_BOUND('',#42615,.T.); #4710=FACE_BOUND('',#42617,.T.); #4711=FACE_BOUND('',#42637,.T.); #4712=FACE_BOUND('',#42639,.T.); #4713=FACE_BOUND('',#42665,.T.); #4714=FACE_BOUND('',#42667,.T.); #4715=FACE_BOUND('',#42705,.T.); #4716=FACE_BOUND('',#42706,.T.); #4717=FACE_BOUND('',#42708,.T.); #4718=FACE_BOUND('',#42709,.T.); #4719=FACE_BOUND('',#42716,.T.); #4720=FACE_BOUND('',#42718,.T.); #4721=FACE_BOUND('',#42725,.T.); #4722=FACE_BOUND('',#42727,.T.); #4723=FACE_BOUND('',#42773,.T.); #4724=FACE_BOUND('',#42775,.T.); #4725=FACE_BOUND('',#42799,.T.); #4726=FACE_BOUND('',#42801,.T.); #4727=FACE_BOUND('',#42808,.T.); #4728=FACE_BOUND('',#42810,.T.); #4729=FACE_BOUND('',#42817,.T.); #4730=FACE_BOUND('',#42819,.T.); #4731=FACE_BOUND('',#42836,.T.); #4732=FACE_BOUND('',#42838,.T.); #4733=FACE_BOUND('',#42844,.T.); #4734=FACE_BOUND('',#42846,.T.); #4735=FACE_BOUND('',#42857,.T.); #4736=FACE_BOUND('',#42858,.T.); #4737=FACE_BOUND('',#42860,.T.); #4738=FACE_BOUND('',#42861,.T.); #4739=FACE_BOUND('',#42867,.T.); #4740=FACE_BOUND('',#42869,.T.); #4741=FACE_BOUND('',#42876,.T.); #4742=FACE_BOUND('',#42878,.T.); #4743=FACE_BOUND('',#42889,.T.); #4744=FACE_BOUND('',#42891,.T.); #4745=FACE_BOUND('',#42897,.T.); #4746=FACE_BOUND('',#42899,.T.); #4747=FACE_BOUND('',#42945,.T.); #4748=FACE_BOUND('',#42947,.T.); #4749=FACE_BOUND('',#42971,.T.); #4750=FACE_BOUND('',#42973,.T.); #4751=FACE_BOUND('',#43021,.T.); #4752=FACE_BOUND('',#43023,.T.); #4753=FACE_BOUND('',#43048,.T.); #4754=FACE_BOUND('',#43050,.T.); #4755=FACE_BOUND('',#43065,.T.); #4756=FACE_BOUND('',#43067,.T.); #4757=FACE_BOUND('',#43118,.T.); #4758=FACE_BOUND('',#43120,.T.); #4759=FACE_BOUND('',#43145,.T.); #4760=FACE_BOUND('',#43146,.T.); #4761=FACE_BOUND('',#43148,.T.); #4762=FACE_BOUND('',#43149,.T.); #4763=FACE_BOUND('',#43278,.T.); #4764=FACE_BOUND('',#43279,.T.); #4765=FACE_BOUND('',#43280,.T.); #4766=FACE_BOUND('',#43281,.T.); #4767=FACE_BOUND('',#43282,.T.); #4768=FACE_BOUND('',#43283,.T.); #4769=FACE_BOUND('',#43284,.T.); #4770=FACE_BOUND('',#43285,.T.); #4771=FACE_BOUND('',#43286,.T.); #4772=FACE_BOUND('',#43287,.T.); #4773=FACE_BOUND('',#43288,.T.); #4774=FACE_BOUND('',#43289,.T.); #4775=FACE_BOUND('',#43290,.T.); #4776=FACE_BOUND('',#43291,.T.); #4777=FACE_BOUND('',#43293,.T.); #4778=FACE_BOUND('',#43294,.T.); #4779=FACE_BOUND('',#43295,.T.); #4780=FACE_BOUND('',#43296,.T.); #4781=FACE_BOUND('',#43297,.T.); #4782=FACE_BOUND('',#43298,.T.); #4783=FACE_BOUND('',#43299,.T.); #4784=FACE_BOUND('',#43300,.T.); #4785=FACE_BOUND('',#43301,.T.); #4786=FACE_BOUND('',#43302,.T.); #4787=FACE_BOUND('',#43303,.T.); #4788=FACE_BOUND('',#43304,.T.); #4789=FACE_BOUND('',#43305,.T.); #4790=FACE_BOUND('',#43306,.T.); #4791=FACE_BOUND('',#43312,.T.); #4792=FACE_BOUND('',#43314,.T.); #4793=FACE_BOUND('',#43460,.T.); #4794=FACE_BOUND('',#43461,.T.); #4795=FACE_BOUND('',#43462,.T.); #4796=FACE_BOUND('',#43463,.T.); #4797=FACE_BOUND('',#43464,.T.); #4798=FACE_BOUND('',#43465,.T.); #4799=FACE_BOUND('',#43466,.T.); #4800=FACE_BOUND('',#43467,.T.); #4801=FACE_BOUND('',#43468,.T.); #4802=FACE_BOUND('',#43469,.T.); #4803=FACE_BOUND('',#43470,.T.); #4804=FACE_BOUND('',#43472,.T.); #4805=FACE_BOUND('',#43473,.T.); #4806=FACE_BOUND('',#43474,.T.); #4807=FACE_BOUND('',#43475,.T.); #4808=FACE_BOUND('',#43476,.T.); #4809=FACE_BOUND('',#43477,.T.); #4810=FACE_BOUND('',#43478,.T.); #4811=FACE_BOUND('',#43479,.T.); #4812=FACE_BOUND('',#43480,.T.); #4813=FACE_BOUND('',#43481,.T.); #4814=FACE_BOUND('',#43482,.T.); #4815=FACE_BOUND('',#43493,.T.); #4816=FACE_BOUND('',#43495,.T.); #4817=FACE_BOUND('',#43679,.T.); #4818=FACE_BOUND('',#43680,.T.); #4819=FACE_BOUND('',#43681,.T.); #4820=FACE_BOUND('',#43683,.T.); #4821=FACE_BOUND('',#43684,.T.); #4822=FACE_BOUND('',#43685,.T.); #4823=FACE_BOUND('',#44661,.T.); #4824=FACE_BOUND('',#44662,.T.); #4825=FACE_BOUND('',#44663,.T.); #4826=FACE_BOUND('',#44664,.T.); #4827=FACE_BOUND('',#44665,.T.); #4828=FACE_BOUND('',#44666,.T.); #4829=FACE_BOUND('',#44667,.T.); #4830=FACE_BOUND('',#44668,.T.); #4831=FACE_BOUND('',#44669,.T.); #4832=FACE_BOUND('',#44670,.T.); #4833=FACE_BOUND('',#44671,.T.); #4834=FACE_BOUND('',#44672,.T.); #4835=FACE_BOUND('',#44673,.T.); #4836=FACE_BOUND('',#44674,.T.); #4837=FACE_BOUND('',#44675,.T.); #4838=FACE_BOUND('',#44676,.T.); #4839=FACE_BOUND('',#44677,.T.); #4840=FACE_BOUND('',#44678,.T.); #4841=FACE_BOUND('',#44679,.T.); #4842=FACE_BOUND('',#44680,.T.); #4843=FACE_BOUND('',#44681,.T.); #4844=FACE_BOUND('',#44682,.T.); #4845=FACE_BOUND('',#44683,.T.); #4846=FACE_BOUND('',#44684,.T.); #4847=FACE_BOUND('',#44685,.T.); #4848=FACE_BOUND('',#44686,.T.); #4849=FACE_BOUND('',#44687,.T.); #4850=FACE_BOUND('',#44688,.T.); #4851=FACE_BOUND('',#44689,.T.); #4852=FACE_BOUND('',#44690,.T.); #4853=FACE_BOUND('',#44691,.T.); #4854=FACE_BOUND('',#44692,.T.); #4855=FACE_BOUND('',#44693,.T.); #4856=FACE_BOUND('',#44694,.T.); #4857=FACE_BOUND('',#44695,.T.); #4858=FACE_BOUND('',#44696,.T.); #4859=FACE_BOUND('',#44697,.T.); #4860=FACE_BOUND('',#44698,.T.); #4861=FACE_BOUND('',#44699,.T.); #4862=FACE_BOUND('',#44700,.T.); #4863=FACE_BOUND('',#44701,.T.); #4864=FACE_BOUND('',#44702,.T.); #4865=FACE_BOUND('',#44703,.T.); #4866=FACE_BOUND('',#44704,.T.); #4867=FACE_BOUND('',#44705,.T.); #4868=FACE_BOUND('',#44706,.T.); #4869=FACE_BOUND('',#44707,.T.); #4870=FACE_BOUND('',#44708,.T.); #4871=FACE_BOUND('',#44709,.T.); #4872=FACE_BOUND('',#44710,.T.); #4873=FACE_BOUND('',#44711,.T.); #4874=FACE_BOUND('',#44712,.T.); #4875=FACE_BOUND('',#44713,.T.); #4876=FACE_BOUND('',#44714,.T.); #4877=FACE_BOUND('',#44715,.T.); #4878=FACE_BOUND('',#44716,.T.); #4879=FACE_BOUND('',#44717,.T.); #4880=FACE_BOUND('',#44718,.T.); #4881=FACE_BOUND('',#44719,.T.); #4882=FACE_BOUND('',#44720,.T.); #4883=FACE_BOUND('',#44721,.T.); #4884=FACE_BOUND('',#44722,.T.); #4885=FACE_BOUND('',#44723,.T.); #4886=FACE_BOUND('',#44724,.T.); #4887=FACE_BOUND('',#44725,.T.); #4888=FACE_BOUND('',#44726,.T.); #4889=FACE_BOUND('',#44727,.T.); #4890=FACE_BOUND('',#44728,.T.); #4891=FACE_BOUND('',#44729,.T.); #4892=FACE_BOUND('',#44731,.T.); #4893=FACE_BOUND('',#44732,.T.); #4894=FACE_BOUND('',#44733,.T.); #4895=FACE_BOUND('',#44734,.T.); #4896=FACE_BOUND('',#44735,.T.); #4897=FACE_BOUND('',#44736,.T.); #4898=FACE_BOUND('',#44737,.T.); #4899=FACE_BOUND('',#44738,.T.); #4900=FACE_BOUND('',#44739,.T.); #4901=FACE_BOUND('',#44740,.T.); #4902=FACE_BOUND('',#44741,.T.); #4903=FACE_BOUND('',#44742,.T.); #4904=FACE_BOUND('',#44743,.T.); #4905=FACE_BOUND('',#44744,.T.); #4906=FACE_BOUND('',#44745,.T.); #4907=FACE_BOUND('',#44746,.T.); #4908=FACE_BOUND('',#44747,.T.); #4909=FACE_BOUND('',#44748,.T.); #4910=FACE_BOUND('',#44749,.T.); #4911=FACE_BOUND('',#44750,.T.); #4912=FACE_BOUND('',#44751,.T.); #4913=FACE_BOUND('',#44752,.T.); #4914=FACE_BOUND('',#44753,.T.); #4915=FACE_BOUND('',#44754,.T.); #4916=FACE_BOUND('',#44755,.T.); #4917=FACE_BOUND('',#44756,.T.); #4918=FACE_BOUND('',#44757,.T.); #4919=FACE_BOUND('',#44758,.T.); #4920=FACE_BOUND('',#44759,.T.); #4921=FACE_BOUND('',#44760,.T.); #4922=FACE_BOUND('',#44761,.T.); #4923=FACE_BOUND('',#44762,.T.); #4924=FACE_BOUND('',#44763,.T.); #4925=FACE_BOUND('',#44764,.T.); #4926=FACE_BOUND('',#44765,.T.); #4927=FACE_BOUND('',#44766,.T.); #4928=FACE_BOUND('',#44767,.T.); #4929=FACE_BOUND('',#44768,.T.); #4930=FACE_BOUND('',#44769,.T.); #4931=FACE_BOUND('',#44770,.T.); #4932=FACE_BOUND('',#44771,.T.); #4933=FACE_BOUND('',#44772,.T.); #4934=FACE_BOUND('',#44773,.T.); #4935=FACE_BOUND('',#44774,.T.); #4936=FACE_BOUND('',#44775,.T.); #4937=FACE_BOUND('',#44776,.T.); #4938=FACE_BOUND('',#44777,.T.); #4939=FACE_BOUND('',#44778,.T.); #4940=FACE_BOUND('',#44779,.T.); #4941=FACE_BOUND('',#44780,.T.); #4942=FACE_BOUND('',#44781,.T.); #4943=FACE_BOUND('',#44782,.T.); #4944=FACE_BOUND('',#44783,.T.); #4945=FACE_BOUND('',#44784,.T.); #4946=FACE_BOUND('',#44785,.T.); #4947=FACE_BOUND('',#44786,.T.); #4948=FACE_BOUND('',#44787,.T.); #4949=FACE_BOUND('',#44788,.T.); #4950=FACE_BOUND('',#44789,.T.); #4951=FACE_BOUND('',#44790,.T.); #4952=FACE_BOUND('',#44791,.T.); #4953=FACE_BOUND('',#44792,.T.); #4954=FACE_BOUND('',#44793,.T.); #4955=FACE_BOUND('',#44794,.T.); #4956=FACE_BOUND('',#44795,.T.); #4957=FACE_BOUND('',#44796,.T.); #4958=FACE_BOUND('',#44797,.T.); #4959=FACE_BOUND('',#44798,.T.); #4960=FACE_BOUND('',#44799,.T.); #4961=FACE_BOUND('',#45079,.T.); #4962=FACE_BOUND('',#45080,.T.); #4963=FACE_BOUND('',#45081,.T.); #4964=FACE_BOUND('',#45082,.T.); #4965=FACE_BOUND('',#45083,.T.); #4966=FACE_BOUND('',#45084,.T.); #4967=FACE_BOUND('',#45085,.T.); #4968=FACE_BOUND('',#45086,.T.); #4969=FACE_BOUND('',#45087,.T.); #4970=FACE_BOUND('',#45088,.T.); #4971=FACE_BOUND('',#45089,.T.); #4972=FACE_BOUND('',#45090,.T.); #4973=FACE_BOUND('',#45091,.T.); #4974=FACE_BOUND('',#45092,.T.); #4975=FACE_BOUND('',#45094,.T.); #4976=FACE_BOUND('',#45095,.T.); #4977=FACE_BOUND('',#45096,.T.); #4978=FACE_BOUND('',#45097,.T.); #4979=FACE_BOUND('',#45098,.T.); #4980=FACE_BOUND('',#45099,.T.); #4981=FACE_BOUND('',#45100,.T.); #4982=FACE_BOUND('',#45101,.T.); #4983=FACE_BOUND('',#45102,.T.); #4984=FACE_BOUND('',#45103,.T.); #4985=FACE_BOUND('',#45104,.T.); #4986=FACE_BOUND('',#45105,.T.); #4987=FACE_BOUND('',#45106,.T.); #4988=FACE_BOUND('',#45107,.T.); #4989=FACE_BOUND('',#45499,.T.); #4990=FACE_BOUND('',#45500,.T.); #4991=FACE_BOUND('',#45501,.T.); #4992=FACE_BOUND('',#45502,.T.); #4993=FACE_BOUND('',#45503,.T.); #4994=FACE_BOUND('',#45504,.T.); #4995=FACE_BOUND('',#45505,.T.); #4996=FACE_BOUND('',#45506,.T.); #4997=FACE_BOUND('',#45507,.T.); #4998=FACE_BOUND('',#45508,.T.); #4999=FACE_BOUND('',#45509,.T.); #5000=FACE_BOUND('',#45510,.T.); #5001=FACE_BOUND('',#45511,.T.); #5002=FACE_BOUND('',#45512,.T.); #5003=FACE_BOUND('',#45513,.T.); #5004=FACE_BOUND('',#45514,.T.); #5005=FACE_BOUND('',#45515,.T.); #5006=FACE_BOUND('',#45516,.T.); #5007=FACE_BOUND('',#45517,.T.); #5008=FACE_BOUND('',#45518,.T.); #5009=FACE_BOUND('',#45519,.T.); #5010=FACE_BOUND('',#45520,.T.); #5011=FACE_BOUND('',#45521,.T.); #5012=FACE_BOUND('',#45522,.T.); #5013=FACE_BOUND('',#45523,.T.); #5014=FACE_BOUND('',#45524,.T.); #5015=FACE_BOUND('',#45525,.T.); #5016=FACE_BOUND('',#45526,.T.); #5017=FACE_BOUND('',#45527,.T.); #5018=FACE_BOUND('',#45528,.T.); #5019=FACE_BOUND('',#45529,.T.); #5020=FACE_BOUND('',#45530,.T.); #5021=FACE_BOUND('',#45531,.T.); #5022=FACE_BOUND('',#45532,.T.); #5023=FACE_BOUND('',#45533,.T.); #5024=FACE_BOUND('',#45534,.T.); #5025=FACE_BOUND('',#45535,.T.); #5026=FACE_BOUND('',#45536,.T.); #5027=FACE_BOUND('',#45537,.T.); #5028=FACE_BOUND('',#45538,.T.); #5029=FACE_BOUND('',#45539,.T.); #5030=FACE_BOUND('',#45540,.T.); #5031=FACE_BOUND('',#45541,.T.); #5032=FACE_BOUND('',#45542,.T.); #5033=FACE_BOUND('',#45543,.T.); #5034=FACE_BOUND('',#45544,.T.); #5035=FACE_BOUND('',#45545,.T.); #5036=FACE_BOUND('',#45546,.T.); #5037=FACE_BOUND('',#45547,.T.); #5038=FACE_BOUND('',#45549,.T.); #5039=FACE_BOUND('',#45550,.T.); #5040=FACE_BOUND('',#45551,.T.); #5041=FACE_BOUND('',#45552,.T.); #5042=FACE_BOUND('',#45553,.T.); #5043=FACE_BOUND('',#45554,.T.); #5044=FACE_BOUND('',#45555,.T.); #5045=FACE_BOUND('',#45556,.T.); #5046=FACE_BOUND('',#45557,.T.); #5047=FACE_BOUND('',#45558,.T.); #5048=FACE_BOUND('',#45559,.T.); #5049=FACE_BOUND('',#45560,.T.); #5050=FACE_BOUND('',#45561,.T.); #5051=FACE_BOUND('',#45562,.T.); #5052=FACE_BOUND('',#45563,.T.); #5053=FACE_BOUND('',#45564,.T.); #5054=FACE_BOUND('',#45565,.T.); #5055=FACE_BOUND('',#45566,.T.); #5056=FACE_BOUND('',#45567,.T.); #5057=FACE_BOUND('',#45568,.T.); #5058=FACE_BOUND('',#45569,.T.); #5059=FACE_BOUND('',#45570,.T.); #5060=FACE_BOUND('',#45571,.T.); #5061=FACE_BOUND('',#45572,.T.); #5062=FACE_BOUND('',#45573,.T.); #5063=FACE_BOUND('',#45574,.T.); #5064=FACE_BOUND('',#45575,.T.); #5065=FACE_BOUND('',#45576,.T.); #5066=FACE_BOUND('',#45577,.T.); #5067=FACE_BOUND('',#45578,.T.); #5068=FACE_BOUND('',#45579,.T.); #5069=FACE_BOUND('',#45580,.T.); #5070=FACE_BOUND('',#45581,.T.); #5071=FACE_BOUND('',#45582,.T.); #5072=FACE_BOUND('',#45583,.T.); #5073=FACE_BOUND('',#45584,.T.); #5074=FACE_BOUND('',#45585,.T.); #5075=FACE_BOUND('',#45586,.T.); #5076=FACE_BOUND('',#45587,.T.); #5077=FACE_BOUND('',#45588,.T.); #5078=FACE_BOUND('',#45589,.T.); #5079=FACE_BOUND('',#45590,.T.); #5080=FACE_BOUND('',#45591,.T.); #5081=FACE_BOUND('',#45592,.T.); #5082=FACE_BOUND('',#45593,.T.); #5083=FACE_BOUND('',#45594,.T.); #5084=FACE_BOUND('',#45595,.T.); #5085=FACE_BOUND('',#45596,.T.); #5086=FACE_BOUND('',#45597,.T.); #5087=FACE_BOUND('',#45844,.T.); #5088=FACE_BOUND('',#45845,.T.); #5089=FACE_BOUND('',#45846,.T.); #5090=FACE_BOUND('',#45848,.T.); #5091=FACE_BOUND('',#45849,.T.); #5092=FACE_BOUND('',#45850,.T.); #5093=FACE_BOUND('',#46529,.T.); #5094=FACE_BOUND('',#46530,.T.); #5095=FACE_BOUND('',#46532,.T.); #5096=FACE_BOUND('',#46533,.T.); #5097=FACE_BOUND('',#46623,.T.); #5098=FACE_BOUND('',#46624,.T.); #5099=FACE_BOUND('',#46625,.T.); #5100=FACE_BOUND('',#46626,.T.); #5101=FACE_BOUND('',#46627,.T.); #5102=FACE_BOUND('',#46628,.T.); #5103=FACE_BOUND('',#46629,.T.); #5104=FACE_BOUND('',#46630,.T.); #5105=FACE_BOUND('',#46631,.T.); #5106=FACE_BOUND('',#46632,.T.); #5107=FACE_BOUND('',#46633,.T.); #5108=FACE_BOUND('',#46634,.T.); #5109=FACE_BOUND('',#46635,.T.); #5110=FACE_BOUND('',#46636,.T.); #5111=FACE_BOUND('',#46637,.T.); #5112=FACE_BOUND('',#46639,.T.); #5113=FACE_BOUND('',#46640,.T.); #5114=FACE_BOUND('',#46641,.T.); #5115=FACE_BOUND('',#46642,.T.); #5116=FACE_BOUND('',#46643,.T.); #5117=FACE_BOUND('',#46644,.T.); #5118=FACE_BOUND('',#46645,.T.); #5119=FACE_BOUND('',#46646,.T.); #5120=FACE_BOUND('',#46647,.T.); #5121=FACE_BOUND('',#46648,.T.); #5122=FACE_BOUND('',#46649,.T.); #5123=FACE_BOUND('',#46650,.T.); #5124=FACE_BOUND('',#46651,.T.); #5125=FACE_BOUND('',#46652,.T.); #5126=FACE_BOUND('',#46653,.T.); #5127=FACE_BOUND('',#46698,.T.); #5128=FACE_BOUND('',#46700,.T.); #5129=FACE_BOUND('',#46766,.T.); #5130=FACE_BOUND('',#46767,.T.); #5131=FACE_BOUND('',#46768,.T.); #5132=FACE_BOUND('',#46769,.T.); #5133=FACE_BOUND('',#46770,.T.); #5134=FACE_BOUND('',#46771,.T.); #5135=FACE_BOUND('',#46772,.T.); #5136=FACE_BOUND('',#46773,.T.); #5137=FACE_BOUND('',#46774,.T.); #5138=FACE_BOUND('',#46775,.T.); #5139=FACE_BOUND('',#46776,.T.); #5140=FACE_BOUND('',#46777,.T.); #5141=FACE_BOUND('',#46778,.T.); #5142=FACE_BOUND('',#46779,.T.); #5143=FACE_BOUND('',#46780,.T.); #5144=FACE_BOUND('',#46782,.T.); #5145=FACE_BOUND('',#46783,.T.); #5146=FACE_BOUND('',#46784,.T.); #5147=FACE_BOUND('',#46785,.T.); #5148=FACE_BOUND('',#46786,.T.); #5149=FACE_BOUND('',#46787,.T.); #5150=FACE_BOUND('',#46788,.T.); #5151=FACE_BOUND('',#46789,.T.); #5152=FACE_BOUND('',#46790,.T.); #5153=FACE_BOUND('',#46791,.T.); #5154=FACE_BOUND('',#46792,.T.); #5155=FACE_BOUND('',#46793,.T.); #5156=FACE_BOUND('',#46794,.T.); #5157=FACE_BOUND('',#46795,.T.); #5158=FACE_BOUND('',#46796,.T.); #5159=FACE_BOUND('',#46841,.T.); #5160=FACE_BOUND('',#46843,.T.); #5161=FACE_BOUND('',#46961,.T.); #5162=FACE_BOUND('',#46962,.T.); #5163=FACE_BOUND('',#46963,.T.); #5164=FACE_BOUND('',#46964,.T.); #5165=FACE_BOUND('',#46965,.T.); #5166=FACE_BOUND('',#46966,.T.); #5167=FACE_BOUND('',#46967,.T.); #5168=FACE_BOUND('',#46968,.T.); #5169=FACE_BOUND('',#46969,.T.); #5170=FACE_BOUND('',#46970,.T.); #5171=FACE_BOUND('',#46971,.T.); #5172=FACE_BOUND('',#46972,.T.); #5173=FACE_BOUND('',#46973,.T.); #5174=FACE_BOUND('',#46974,.T.); #5175=FACE_BOUND('',#46975,.T.); #5176=FACE_BOUND('',#46976,.T.); #5177=FACE_BOUND('',#46977,.T.); #5178=FACE_BOUND('',#46978,.T.); #5179=FACE_BOUND('',#46979,.T.); #5180=FACE_BOUND('',#46980,.T.); #5181=FACE_BOUND('',#46981,.T.); #5182=FACE_BOUND('',#46982,.T.); #5183=FACE_BOUND('',#46983,.T.); #5184=FACE_BOUND('',#46984,.T.); #5185=FACE_BOUND('',#46985,.T.); #5186=FACE_BOUND('',#46986,.T.); #5187=FACE_BOUND('',#46987,.T.); #5188=FACE_BOUND('',#46988,.T.); #5189=FACE_BOUND('',#46989,.T.); #5190=FACE_BOUND('',#46990,.T.); #5191=FACE_BOUND('',#46991,.T.); #5192=FACE_BOUND('',#46993,.T.); #5193=FACE_BOUND('',#46994,.T.); #5194=FACE_BOUND('',#46995,.T.); #5195=FACE_BOUND('',#46996,.T.); #5196=FACE_BOUND('',#46997,.T.); #5197=FACE_BOUND('',#46998,.T.); #5198=FACE_BOUND('',#46999,.T.); #5199=FACE_BOUND('',#47000,.T.); #5200=FACE_BOUND('',#47001,.T.); #5201=FACE_BOUND('',#47002,.T.); #5202=FACE_BOUND('',#47003,.T.); #5203=FACE_BOUND('',#47004,.T.); #5204=FACE_BOUND('',#47005,.T.); #5205=FACE_BOUND('',#47006,.T.); #5206=FACE_BOUND('',#47007,.T.); #5207=FACE_BOUND('',#47008,.T.); #5208=FACE_BOUND('',#47009,.T.); #5209=FACE_BOUND('',#47010,.T.); #5210=FACE_BOUND('',#47011,.T.); #5211=FACE_BOUND('',#47012,.T.); #5212=FACE_BOUND('',#47013,.T.); #5213=FACE_BOUND('',#47014,.T.); #5214=FACE_BOUND('',#47015,.T.); #5215=FACE_BOUND('',#47016,.T.); #5216=FACE_BOUND('',#47017,.T.); #5217=FACE_BOUND('',#47018,.T.); #5218=FACE_BOUND('',#47019,.T.); #5219=FACE_BOUND('',#47020,.T.); #5220=FACE_BOUND('',#47021,.T.); #5221=FACE_BOUND('',#47022,.T.); #5222=FACE_BOUND('',#47023,.T.); #5223=FACE_BOUND('',#47047,.T.); #5224=FACE_BOUND('',#47049,.T.); #5225=FACE_BOUND('',#47162,.T.); #5226=FACE_BOUND('',#47163,.T.); #5227=FACE_BOUND('',#47164,.T.); #5228=FACE_BOUND('',#47165,.T.); #5229=FACE_BOUND('',#47166,.T.); #5230=FACE_BOUND('',#47167,.T.); #5231=FACE_BOUND('',#47168,.T.); #5232=FACE_BOUND('',#47169,.T.); #5233=FACE_BOUND('',#47170,.T.); #5234=FACE_BOUND('',#47171,.T.); #5235=FACE_BOUND('',#47172,.T.); #5236=FACE_BOUND('',#47173,.T.); #5237=FACE_BOUND('',#47174,.T.); #5238=FACE_BOUND('',#47175,.T.); #5239=FACE_BOUND('',#47176,.T.); #5240=FACE_BOUND('',#47177,.T.); #5241=FACE_BOUND('',#47178,.T.); #5242=FACE_BOUND('',#47179,.T.); #5243=FACE_BOUND('',#47180,.T.); #5244=FACE_BOUND('',#47181,.T.); #5245=FACE_BOUND('',#47182,.T.); #5246=FACE_BOUND('',#47183,.T.); #5247=FACE_BOUND('',#47184,.T.); #5248=FACE_BOUND('',#47185,.T.); #5249=FACE_BOUND('',#47186,.T.); #5250=FACE_BOUND('',#47187,.T.); #5251=FACE_BOUND('',#47188,.T.); #5252=FACE_BOUND('',#47189,.T.); #5253=FACE_BOUND('',#47190,.T.); #5254=FACE_BOUND('',#47191,.T.); #5255=FACE_BOUND('',#47192,.T.); #5256=FACE_BOUND('',#47194,.T.); #5257=FACE_BOUND('',#47195,.T.); #5258=FACE_BOUND('',#47196,.T.); #5259=FACE_BOUND('',#47197,.T.); #5260=FACE_BOUND('',#47198,.T.); #5261=FACE_BOUND('',#47199,.T.); #5262=FACE_BOUND('',#47200,.T.); #5263=FACE_BOUND('',#47201,.T.); #5264=FACE_BOUND('',#47202,.T.); #5265=FACE_BOUND('',#47203,.T.); #5266=FACE_BOUND('',#47204,.T.); #5267=FACE_BOUND('',#47205,.T.); #5268=FACE_BOUND('',#47206,.T.); #5269=FACE_BOUND('',#47207,.T.); #5270=FACE_BOUND('',#47208,.T.); #5271=FACE_BOUND('',#47209,.T.); #5272=FACE_BOUND('',#47210,.T.); #5273=FACE_BOUND('',#47211,.T.); #5274=FACE_BOUND('',#47212,.T.); #5275=FACE_BOUND('',#47213,.T.); #5276=FACE_BOUND('',#47214,.T.); #5277=FACE_BOUND('',#47215,.T.); #5278=FACE_BOUND('',#47216,.T.); #5279=FACE_BOUND('',#47217,.T.); #5280=FACE_BOUND('',#47218,.T.); #5281=FACE_BOUND('',#47219,.T.); #5282=FACE_BOUND('',#47220,.T.); #5283=FACE_BOUND('',#47221,.T.); #5284=FACE_BOUND('',#47222,.T.); #5285=FACE_BOUND('',#47223,.T.); #5286=FACE_BOUND('',#47224,.T.); #5287=FACE_BOUND('',#47248,.T.); #5288=FACE_BOUND('',#47250,.T.); #5289=FACE_BOUND('',#47583,.T.); #5290=FACE_BOUND('',#47584,.T.); #5291=FACE_BOUND('',#47585,.T.); #5292=FACE_BOUND('',#47586,.T.); #5293=FACE_BOUND('',#47587,.T.); #5294=FACE_BOUND('',#47588,.T.); #5295=FACE_BOUND('',#47589,.T.); #5296=FACE_BOUND('',#47590,.T.); #5297=FACE_BOUND('',#47591,.T.); #5298=FACE_BOUND('',#47592,.T.); #5299=FACE_BOUND('',#47593,.T.); #5300=FACE_BOUND('',#47594,.T.); #5301=FACE_BOUND('',#47595,.T.); #5302=FACE_BOUND('',#47596,.T.); #5303=FACE_BOUND('',#47597,.T.); #5304=FACE_BOUND('',#47598,.T.); #5305=FACE_BOUND('',#47599,.T.); #5306=FACE_BOUND('',#47601,.T.); #5307=FACE_BOUND('',#47602,.T.); #5308=FACE_BOUND('',#47603,.T.); #5309=FACE_BOUND('',#47604,.T.); #5310=FACE_BOUND('',#47605,.T.); #5311=FACE_BOUND('',#47606,.T.); #5312=FACE_BOUND('',#47607,.T.); #5313=FACE_BOUND('',#47608,.T.); #5314=FACE_BOUND('',#47609,.T.); #5315=FACE_BOUND('',#47610,.T.); #5316=FACE_BOUND('',#47611,.T.); #5317=FACE_BOUND('',#47612,.T.); #5318=FACE_BOUND('',#47613,.T.); #5319=FACE_BOUND('',#47614,.T.); #5320=FACE_BOUND('',#47615,.T.); #5321=FACE_BOUND('',#47616,.T.); #5322=FACE_BOUND('',#47617,.T.); #5323=FACE_BOUND('',#47780,.T.); #5324=FACE_BOUND('',#47782,.T.); #5325=FACE_BOUND('',#47920,.T.); #5326=FACE_BOUND('',#47921,.T.); #5327=FACE_BOUND('',#47922,.T.); #5328=FACE_BOUND('',#47923,.T.); #5329=FACE_BOUND('',#47924,.T.); #5330=FACE_BOUND('',#47925,.T.); #5331=FACE_BOUND('',#47926,.T.); #5332=FACE_BOUND('',#47927,.T.); #5333=FACE_BOUND('',#47928,.T.); #5334=FACE_BOUND('',#47929,.T.); #5335=FACE_BOUND('',#47930,.T.); #5336=FACE_BOUND('',#47931,.T.); #5337=FACE_BOUND('',#47933,.T.); #5338=FACE_BOUND('',#47934,.T.); #5339=FACE_BOUND('',#47935,.T.); #5340=FACE_BOUND('',#47936,.T.); #5341=FACE_BOUND('',#47937,.T.); #5342=FACE_BOUND('',#47938,.T.); #5343=FACE_BOUND('',#47939,.T.); #5344=FACE_BOUND('',#47940,.T.); #5345=FACE_BOUND('',#47941,.T.); #5346=FACE_BOUND('',#47942,.T.); #5347=FACE_BOUND('',#47943,.T.); #5348=FACE_BOUND('',#47944,.T.); #5349=FACE_BOUND('',#47950,.T.); #5350=FACE_BOUND('',#47952,.T.); #5351=FACE_BOUND('',#47958,.T.); #5352=FACE_BOUND('',#47960,.T.); #5353=FACE_BOUND('',#47966,.T.); #5354=FACE_BOUND('',#47968,.T.); #5355=FACE_BOUND('',#47979,.T.); #5356=FACE_BOUND('',#47981,.T.); #5357=FACE_BOUND('',#47987,.T.); #5358=FACE_BOUND('',#47989,.T.); #5359=FACE_BOUND('',#47996,.T.); #5360=FACE_BOUND('',#47998,.T.); #5361=FACE_BOUND('',#48004,.T.); #5362=FACE_BOUND('',#48006,.T.); #5363=FACE_BOUND('',#48068,.T.); #5364=FACE_BOUND('',#48070,.T.); #5365=FACE_BOUND('',#48076,.T.); #5366=FACE_BOUND('',#48078,.T.); #5367=FACE_BOUND('',#48140,.T.); #5368=FACE_BOUND('',#48142,.T.); #5369=FACE_BOUND('',#48220,.T.); #5370=FACE_BOUND('',#48222,.T.); #5371=FACE_BOUND('',#48284,.T.); #5372=FACE_BOUND('',#48286,.T.); #5373=FACE_BOUND('',#48292,.T.); #5374=FACE_BOUND('',#48294,.T.); #5375=FACE_BOUND('',#48356,.T.); #5376=FACE_BOUND('',#48358,.T.); #5377=FACE_BOUND('',#48369,.T.); #5378=FACE_BOUND('',#48371,.T.); #5379=FACE_BOUND('',#48377,.T.); #5380=FACE_BOUND('',#48379,.T.); #5381=FACE_BOUND('',#48457,.T.); #5382=FACE_BOUND('',#48459,.T.); #5383=FACE_BOUND('',#48470,.T.); #5384=FACE_BOUND('',#48472,.T.); #5385=FACE_BOUND('',#48478,.T.); #5386=FACE_BOUND('',#48480,.T.); #5387=FACE_BOUND('',#48486,.T.); #5388=FACE_BOUND('',#48488,.T.); #5389=FACE_BOUND('',#48494,.T.); #5390=FACE_BOUND('',#48496,.T.); #5391=FACE_BOUND('',#48503,.T.); #5392=FACE_BOUND('',#48505,.T.); #5393=FACE_BOUND('',#48516,.T.); #5394=FACE_BOUND('',#48518,.T.); #5395=FACE_BOUND('',#48524,.T.); #5396=FACE_BOUND('',#48526,.T.); #5397=FACE_BOUND('',#48532,.T.); #5398=FACE_BOUND('',#48534,.T.); #5399=FACE_BOUND('',#48630,.T.); #5400=FACE_BOUND('',#48631,.T.); #5401=FACE_BOUND('',#48632,.T.); #5402=FACE_BOUND('',#48633,.T.); #5403=FACE_BOUND('',#48634,.T.); #5404=FACE_BOUND('',#48635,.T.); #5405=FACE_BOUND('',#48637,.T.); #5406=FACE_BOUND('',#48638,.T.); #5407=FACE_BOUND('',#48639,.T.); #5408=FACE_BOUND('',#48640,.T.); #5409=FACE_BOUND('',#48641,.T.); #5410=FACE_BOUND('',#48642,.T.); #5411=FACE_BOUND('',#48792,.T.); #5412=FACE_BOUND('',#48793,.T.); #5413=FACE_BOUND('',#48794,.T.); #5414=FACE_BOUND('',#48795,.T.); #5415=FACE_BOUND('',#48796,.T.); #5416=FACE_BOUND('',#48797,.T.); #5417=FACE_BOUND('',#48799,.T.); #5418=FACE_BOUND('',#48800,.T.); #5419=FACE_BOUND('',#48801,.T.); #5420=FACE_BOUND('',#48802,.T.); #5421=FACE_BOUND('',#48803,.T.); #5422=FACE_BOUND('',#48804,.T.); #5423=FACE_BOUND('',#48828,.T.); #5424=FACE_BOUND('',#48829,.T.); #5425=FACE_BOUND('',#48830,.T.); #5426=FACE_BOUND('',#48831,.T.); #5427=FACE_BOUND('',#48832,.T.); #5428=FACE_BOUND('',#48833,.T.); #5429=FACE_BOUND('',#48835,.T.); #5430=FACE_BOUND('',#48836,.T.); #5431=FACE_BOUND('',#48837,.T.); #5432=FACE_BOUND('',#48838,.T.); #5433=FACE_BOUND('',#48839,.T.); #5434=FACE_BOUND('',#48840,.T.); #5435=FACE_BOUND('',#48979,.T.); #5436=FACE_BOUND('',#48980,.T.); #5437=FACE_BOUND('',#48981,.T.); #5438=FACE_BOUND('',#48982,.T.); #5439=FACE_BOUND('',#48983,.T.); #5440=FACE_BOUND('',#48984,.T.); #5441=FACE_BOUND('',#48985,.T.); #5442=FACE_BOUND('',#48986,.T.); #5443=FACE_BOUND('',#48987,.T.); #5444=FACE_BOUND('',#48988,.T.); #5445=FACE_BOUND('',#48989,.T.); #5446=FACE_BOUND('',#48990,.T.); #5447=FACE_BOUND('',#48991,.T.); #5448=FACE_BOUND('',#48992,.T.); #5449=FACE_BOUND('',#48993,.T.); #5450=FACE_BOUND('',#48994,.T.); #5451=FACE_BOUND('',#48995,.T.); #5452=FACE_BOUND('',#48996,.T.); #5453=FACE_BOUND('',#48997,.T.); #5454=FACE_BOUND('',#48998,.T.); #5455=FACE_BOUND('',#48999,.T.); #5456=FACE_BOUND('',#49000,.T.); #5457=FACE_BOUND('',#49001,.T.); #5458=FACE_BOUND('',#49002,.T.); #5459=FACE_BOUND('',#49003,.T.); #5460=FACE_BOUND('',#49004,.T.); #5461=FACE_BOUND('',#49005,.T.); #5462=FACE_BOUND('',#49006,.T.); #5463=FACE_BOUND('',#49007,.T.); #5464=FACE_BOUND('',#49008,.T.); #5465=FACE_BOUND('',#49009,.T.); #5466=FACE_BOUND('',#49010,.T.); #5467=FACE_BOUND('',#49011,.T.); #5468=FACE_BOUND('',#49012,.T.); #5469=FACE_BOUND('',#49013,.T.); #5470=FACE_BOUND('',#49014,.T.); #5471=FACE_BOUND('',#49015,.T.); #5472=FACE_BOUND('',#49016,.T.); #5473=FACE_BOUND('',#49017,.T.); #5474=FACE_BOUND('',#49018,.T.); #5475=FACE_BOUND('',#49019,.T.); #5476=FACE_BOUND('',#49020,.T.); #5477=FACE_BOUND('',#49021,.T.); #5478=FACE_BOUND('',#49022,.T.); #5479=FACE_BOUND('',#49023,.T.); #5480=FACE_BOUND('',#49024,.T.); #5481=FACE_BOUND('',#49025,.T.); #5482=FACE_BOUND('',#49026,.T.); #5483=FACE_BOUND('',#49027,.T.); #5484=FACE_BOUND('',#49029,.T.); #5485=FACE_BOUND('',#49030,.T.); #5486=FACE_BOUND('',#49031,.T.); #5487=FACE_BOUND('',#49032,.T.); #5488=FACE_BOUND('',#49033,.T.); #5489=FACE_BOUND('',#49034,.T.); #5490=FACE_BOUND('',#49035,.T.); #5491=FACE_BOUND('',#49036,.T.); #5492=FACE_BOUND('',#49037,.T.); #5493=FACE_BOUND('',#49038,.T.); #5494=FACE_BOUND('',#49039,.T.); #5495=FACE_BOUND('',#49040,.T.); #5496=FACE_BOUND('',#49041,.T.); #5497=FACE_BOUND('',#49042,.T.); #5498=FACE_BOUND('',#49043,.T.); #5499=FACE_BOUND('',#49044,.T.); #5500=FACE_BOUND('',#49045,.T.); #5501=FACE_BOUND('',#49046,.T.); #5502=FACE_BOUND('',#49047,.T.); #5503=FACE_BOUND('',#49048,.T.); #5504=FACE_BOUND('',#49049,.T.); #5505=FACE_BOUND('',#49050,.T.); #5506=FACE_BOUND('',#49051,.T.); #5507=FACE_BOUND('',#49052,.T.); #5508=FACE_BOUND('',#49053,.T.); #5509=FACE_BOUND('',#49054,.T.); #5510=FACE_BOUND('',#49055,.T.); #5511=FACE_BOUND('',#49056,.T.); #5512=FACE_BOUND('',#49057,.T.); #5513=FACE_BOUND('',#49058,.T.); #5514=FACE_BOUND('',#49059,.T.); #5515=FACE_BOUND('',#49060,.T.); #5516=FACE_BOUND('',#49061,.T.); #5517=FACE_BOUND('',#49062,.T.); #5518=FACE_BOUND('',#49063,.T.); #5519=FACE_BOUND('',#49064,.T.); #5520=FACE_BOUND('',#49065,.T.); #5521=FACE_BOUND('',#49066,.T.); #5522=FACE_BOUND('',#49067,.T.); #5523=FACE_BOUND('',#49068,.T.); #5524=FACE_BOUND('',#49069,.T.); #5525=FACE_BOUND('',#49070,.T.); #5526=FACE_BOUND('',#49071,.T.); #5527=FACE_BOUND('',#49072,.T.); #5528=FACE_BOUND('',#49073,.T.); #5529=FACE_BOUND('',#49074,.T.); #5530=FACE_BOUND('',#49075,.T.); #5531=FACE_BOUND('',#49076,.T.); #5532=FACE_BOUND('',#49077,.T.); #5533=FACE_BOUND('',#49168,.T.); #5534=FACE_BOUND('',#49170,.T.); #5535=FACE_BOUND('',#49189,.T.); #5536=FACE_BOUND('',#49191,.T.); #5537=FACE_BOUND('',#49277,.T.); #5538=FACE_BOUND('',#49280,.T.); #5539=FACE_BOUND('',#49293,.T.); #5540=FACE_BOUND('',#49295,.T.); #5541=FACE_BOUND('',#49320,.T.); #5542=FACE_BOUND('',#49322,.T.); #5543=FACE_BOUND('',#49329,.T.); #5544=FACE_BOUND('',#49331,.T.); #5545=FACE_BOUND('',#49363,.T.); #5546=FACE_BOUND('',#49364,.T.); #5547=FACE_BOUND('',#49368,.T.); #5548=FACE_BOUND('',#49369,.T.); #5549=FACE_BOUND('',#49531,.T.); #5550=FACE_BOUND('',#49532,.T.); #5551=FACE_BOUND('',#49533,.T.); #5552=FACE_BOUND('',#49534,.T.); #5553=FACE_BOUND('',#49535,.T.); #5554=FACE_BOUND('',#49536,.T.); #5555=FACE_BOUND('',#49538,.T.); #5556=FACE_BOUND('',#49539,.T.); #5557=FACE_BOUND('',#49540,.T.); #5558=FACE_BOUND('',#49541,.T.); #5559=FACE_BOUND('',#49542,.T.); #5560=FACE_BOUND('',#49543,.T.); #5561=FACE_BOUND('',#49597,.T.); #5562=FACE_BOUND('',#49599,.T.); #5563=FACE_BOUND('',#49619,.T.); #5564=FACE_BOUND('',#49620,.T.); #5565=FACE_BOUND('',#49622,.T.); #5566=FACE_BOUND('',#49623,.T.); #5567=FACE_BOUND('',#49637,.T.); #5568=FACE_BOUND('',#49638,.T.); #5569=FACE_BOUND('',#49640,.T.); #5570=FACE_BOUND('',#49641,.T.); #5571=FACE_BOUND('',#49655,.T.); #5572=FACE_BOUND('',#49656,.T.); #5573=FACE_BOUND('',#49658,.T.); #5574=FACE_BOUND('',#49659,.T.); #5575=FACE_BOUND('',#49673,.T.); #5576=FACE_BOUND('',#49674,.T.); #5577=FACE_BOUND('',#49676,.T.); #5578=FACE_BOUND('',#49677,.T.); #5579=FACE_BOUND('',#49755,.T.); #5580=FACE_BOUND('',#49756,.T.); #5581=FACE_BOUND('',#49758,.T.); #5582=FACE_BOUND('',#49759,.T.); #5583=FACE_BOUND('',#49772,.T.); #5584=FACE_BOUND('',#49774,.T.); #5585=FACE_BOUND('',#49790,.T.); #5586=FACE_BOUND('',#49792,.T.); #5587=FACE_BOUND('',#49840,.T.); #5588=FACE_BOUND('',#49841,.T.); #5589=FACE_BOUND('',#49843,.T.); #5590=FACE_BOUND('',#49844,.T.); #5591=FACE_BOUND('',#49859,.T.); #5592=FACE_BOUND('',#49860,.T.); #5593=FACE_BOUND('',#49862,.T.); #5594=FACE_BOUND('',#49863,.T.); #5595=FACE_BOUND('',#49890,.T.); #5596=FACE_BOUND('',#49892,.T.); #5597=FACE_BOUND('',#49921,.T.); #5598=FACE_BOUND('',#49923,.T.); #5599=FACE_BOUND('',#49988,.T.); #5600=FACE_BOUND('',#49989,.T.); #5601=FACE_BOUND('',#49991,.T.); #5602=FACE_BOUND('',#49992,.T.); #5603=FACE_BOUND('',#50004,.T.); #5604=FACE_BOUND('',#50005,.T.); #5605=FACE_BOUND('',#50007,.T.); #5606=FACE_BOUND('',#50008,.T.); #5607=FACE_BOUND('',#50020,.T.); #5608=FACE_BOUND('',#50021,.T.); #5609=FACE_BOUND('',#50023,.T.); #5610=FACE_BOUND('',#50024,.T.); #5611=FACE_BOUND('',#50036,.T.); #5612=FACE_BOUND('',#50037,.T.); #5613=FACE_BOUND('',#50039,.T.); #5614=FACE_BOUND('',#50040,.T.); #5615=FACE_BOUND('',#50057,.T.); #5616=FACE_BOUND('',#50058,.T.); #5617=FACE_BOUND('',#50060,.T.); #5618=FACE_BOUND('',#50061,.T.); #5619=FACE_BOUND('',#50068,.T.); #5620=FACE_BOUND('',#50070,.T.); #5621=FACE_BOUND('',#50077,.T.); #5622=FACE_BOUND('',#50079,.T.); #5623=FACE_BOUND('',#50086,.T.); #5624=FACE_BOUND('',#50088,.T.); #5625=FACE_BOUND('',#50101,.T.); #5626=FACE_BOUND('',#50103,.T.); #5627=FACE_BOUND('',#50114,.T.); #5628=FACE_BOUND('',#50115,.T.); #5629=FACE_BOUND('',#50117,.T.); #5630=FACE_BOUND('',#50118,.T.); #5631=FACE_BOUND('',#50131,.T.); #5632=FACE_BOUND('',#50133,.T.); #5633=FACE_BOUND('',#50144,.T.); #5634=FACE_BOUND('',#50145,.T.); #5635=FACE_BOUND('',#50147,.T.); #5636=FACE_BOUND('',#50148,.T.); #5637=FACE_BOUND('',#50161,.T.); #5638=FACE_BOUND('',#50163,.T.); #5639=FACE_BOUND('',#50176,.T.); #5640=FACE_BOUND('',#50178,.T.); #5641=FACE_BOUND('',#50198,.T.); #5642=FACE_BOUND('',#50200,.T.); #5643=FACE_BOUND('',#50218,.T.); #5644=FACE_BOUND('',#50220,.T.); #5645=FACE_BOUND('',#50226,.T.); #5646=FACE_BOUND('',#50228,.T.); #5647=FACE_BOUND('',#50252,.T.); #5648=FACE_BOUND('',#50254,.T.); #5649=FACE_BOUND('',#50272,.T.); #5650=FACE_BOUND('',#50274,.T.); #5651=FACE_BOUND('',#50347,.T.); #5652=FACE_BOUND('',#50349,.T.); #5653=FACE_BOUND('',#50360,.T.); #5654=FACE_BOUND('',#50361,.T.); #5655=FACE_BOUND('',#50363,.T.); #5656=FACE_BOUND('',#50364,.T.); #5657=FACE_BOUND('',#50371,.T.); #5658=FACE_BOUND('',#50373,.T.); #5659=FACE_BOUND('',#50400,.T.); #5660=FACE_BOUND('',#50401,.T.); #5661=FACE_BOUND('',#50403,.T.); #5662=FACE_BOUND('',#50404,.T.); #5663=FACE_BOUND('',#50416,.T.); #5664=FACE_BOUND('',#50417,.T.); #5665=FACE_BOUND('',#50419,.T.); #5666=FACE_BOUND('',#50420,.T.); #5667=FACE_BOUND('',#50427,.T.); #5668=FACE_BOUND('',#50429,.T.); #5669=FACE_BOUND('',#50435,.T.); #5670=FACE_BOUND('',#50437,.T.); #5671=FACE_BOUND('',#50549,.T.); #5672=FACE_BOUND('',#50550,.T.); #5673=FACE_BOUND('',#50551,.T.); #5674=FACE_BOUND('',#50552,.T.); #5675=FACE_BOUND('',#50553,.T.); #5676=FACE_BOUND('',#50554,.T.); #5677=FACE_BOUND('',#50555,.T.); #5678=FACE_BOUND('',#50556,.T.); #5679=FACE_BOUND('',#50557,.T.); #5680=FACE_BOUND('',#50558,.T.); #5681=FACE_BOUND('',#50559,.T.); #5682=FACE_BOUND('',#50560,.T.); #5683=FACE_BOUND('',#50561,.T.); #5684=FACE_BOUND('',#50562,.T.); #5685=FACE_BOUND('',#50564,.T.); #5686=FACE_BOUND('',#50565,.T.); #5687=FACE_BOUND('',#50566,.T.); #5688=FACE_BOUND('',#50567,.T.); #5689=FACE_BOUND('',#50568,.T.); #5690=FACE_BOUND('',#50569,.T.); #5691=FACE_BOUND('',#50570,.T.); #5692=FACE_BOUND('',#50571,.T.); #5693=FACE_BOUND('',#50572,.T.); #5694=FACE_BOUND('',#50573,.T.); #5695=FACE_BOUND('',#50574,.T.); #5696=FACE_BOUND('',#50575,.T.); #5697=FACE_BOUND('',#50576,.T.); #5698=FACE_BOUND('',#50577,.T.); #5699=FACE_BOUND('',#50839,.T.); #5700=FACE_BOUND('',#50840,.T.); #5701=FACE_BOUND('',#50841,.T.); #5702=FACE_BOUND('',#50842,.T.); #5703=FACE_BOUND('',#50843,.T.); #5704=FACE_BOUND('',#50844,.T.); #5705=FACE_BOUND('',#50845,.T.); #5706=FACE_BOUND('',#50846,.T.); #5707=FACE_BOUND('',#50847,.T.); #5708=FACE_BOUND('',#50848,.T.); #5709=FACE_BOUND('',#50849,.T.); #5710=FACE_BOUND('',#50850,.T.); #5711=FACE_BOUND('',#50851,.T.); #5712=FACE_BOUND('',#50852,.T.); #5713=FACE_BOUND('',#50853,.T.); #5714=FACE_BOUND('',#50854,.T.); #5715=FACE_BOUND('',#50855,.T.); #5716=FACE_BOUND('',#50857,.T.); #5717=FACE_BOUND('',#50858,.T.); #5718=FACE_BOUND('',#50859,.T.); #5719=FACE_BOUND('',#50860,.T.); #5720=FACE_BOUND('',#50861,.T.); #5721=FACE_BOUND('',#50862,.T.); #5722=FACE_BOUND('',#50863,.T.); #5723=FACE_BOUND('',#50864,.T.); #5724=FACE_BOUND('',#50865,.T.); #5725=FACE_BOUND('',#50866,.T.); #5726=FACE_BOUND('',#50867,.T.); #5727=FACE_BOUND('',#50868,.T.); #5728=FACE_BOUND('',#50869,.T.); #5729=FACE_BOUND('',#50870,.T.); #5730=FACE_BOUND('',#50871,.T.); #5731=FACE_BOUND('',#50872,.T.); #5732=FACE_BOUND('',#50873,.T.); #5733=FACE_BOUND('',#51020,.T.); #5734=FACE_BOUND('',#51022,.T.); #5735=FACE_BOUND('',#51069,.T.); #5736=FACE_BOUND('',#51071,.T.); #5737=FACE_BOUND('',#52654,.T.); #5738=FACE_BOUND('',#52655,.T.); #5739=FACE_BOUND('',#52656,.T.); #5740=FACE_BOUND('',#52657,.T.); #5741=FACE_BOUND('',#52658,.T.); #5742=FACE_BOUND('',#52659,.T.); #5743=FACE_BOUND('',#52660,.T.); #5744=FACE_BOUND('',#52661,.T.); #5745=FACE_BOUND('',#52662,.T.); #5746=FACE_BOUND('',#52663,.T.); #5747=FACE_BOUND('',#52664,.T.); #5748=FACE_BOUND('',#52665,.T.); #5749=FACE_BOUND('',#52666,.T.); #5750=FACE_BOUND('',#52667,.T.); #5751=FACE_BOUND('',#52668,.T.); #5752=FACE_BOUND('',#52669,.T.); #5753=FACE_BOUND('',#52670,.T.); #5754=FACE_BOUND('',#52671,.T.); #5755=FACE_BOUND('',#52672,.T.); #5756=FACE_BOUND('',#52673,.T.); #5757=FACE_BOUND('',#52674,.T.); #5758=FACE_BOUND('',#52675,.T.); #5759=FACE_BOUND('',#52676,.T.); #5760=FACE_BOUND('',#52677,.T.); #5761=FACE_BOUND('',#52678,.T.); #5762=FACE_BOUND('',#52679,.T.); #5763=FACE_BOUND('',#52680,.T.); #5764=FACE_BOUND('',#52681,.T.); #5765=FACE_BOUND('',#52682,.T.); #5766=FACE_BOUND('',#52683,.T.); #5767=FACE_BOUND('',#52684,.T.); #5768=FACE_BOUND('',#52685,.T.); #5769=FACE_BOUND('',#52686,.T.); #5770=FACE_BOUND('',#52687,.T.); #5771=FACE_BOUND('',#52688,.T.); #5772=FACE_BOUND('',#52689,.T.); #5773=FACE_BOUND('',#52690,.T.); #5774=FACE_BOUND('',#52691,.T.); #5775=FACE_BOUND('',#52692,.T.); #5776=FACE_BOUND('',#52693,.T.); #5777=FACE_BOUND('',#52694,.T.); #5778=FACE_BOUND('',#52695,.T.); #5779=FACE_BOUND('',#52696,.T.); #5780=FACE_BOUND('',#52697,.T.); #5781=FACE_BOUND('',#52698,.T.); #5782=FACE_BOUND('',#52699,.T.); #5783=FACE_BOUND('',#52700,.T.); #5784=FACE_BOUND('',#52701,.T.); #5785=FACE_BOUND('',#52702,.T.); #5786=FACE_BOUND('',#52703,.T.); #5787=FACE_BOUND('',#52704,.T.); #5788=FACE_BOUND('',#52705,.T.); #5789=FACE_BOUND('',#52706,.T.); #5790=FACE_BOUND('',#52707,.T.); #5791=FACE_BOUND('',#52708,.T.); #5792=FACE_BOUND('',#52709,.T.); #5793=FACE_BOUND('',#52710,.T.); #5794=FACE_BOUND('',#52711,.T.); #5795=FACE_BOUND('',#52712,.T.); #5796=FACE_BOUND('',#52713,.T.); #5797=FACE_BOUND('',#52714,.T.); #5798=FACE_BOUND('',#52715,.T.); #5799=FACE_BOUND('',#52716,.T.); #5800=FACE_BOUND('',#52717,.T.); #5801=FACE_BOUND('',#52718,.T.); #5802=FACE_BOUND('',#52719,.T.); #5803=FACE_BOUND('',#52720,.T.); #5804=FACE_BOUND('',#52721,.T.); #5805=FACE_BOUND('',#52722,.T.); #5806=FACE_BOUND('',#52723,.T.); #5807=FACE_BOUND('',#52724,.T.); #5808=FACE_BOUND('',#52725,.T.); #5809=FACE_BOUND('',#52726,.T.); #5810=FACE_BOUND('',#52727,.T.); #5811=FACE_BOUND('',#52728,.T.); #5812=FACE_BOUND('',#52729,.T.); #5813=FACE_BOUND('',#52730,.T.); #5814=FACE_BOUND('',#52731,.T.); #5815=FACE_BOUND('',#52732,.T.); #5816=FACE_BOUND('',#52733,.T.); #5817=FACE_BOUND('',#52734,.T.); #5818=FACE_BOUND('',#52735,.T.); #5819=FACE_BOUND('',#52736,.T.); #5820=FACE_BOUND('',#52737,.T.); #5821=FACE_BOUND('',#52738,.T.); #5822=FACE_BOUND('',#52739,.T.); #5823=FACE_BOUND('',#52740,.T.); #5824=FACE_BOUND('',#52741,.T.); #5825=FACE_BOUND('',#52742,.T.); #5826=FACE_BOUND('',#52743,.T.); #5827=FACE_BOUND('',#52744,.T.); #5828=FACE_BOUND('',#52745,.T.); #5829=FACE_BOUND('',#52746,.T.); #5830=FACE_BOUND('',#52747,.T.); #5831=FACE_BOUND('',#52748,.T.); #5832=FACE_BOUND('',#52749,.T.); #5833=FACE_BOUND('',#52750,.T.); #5834=FACE_BOUND('',#52751,.T.); #5835=FACE_BOUND('',#52752,.T.); #5836=FACE_BOUND('',#52753,.T.); #5837=FACE_BOUND('',#52754,.T.); #5838=FACE_BOUND('',#52755,.T.); #5839=FACE_BOUND('',#52756,.T.); #5840=FACE_BOUND('',#52757,.T.); #5841=FACE_BOUND('',#52758,.T.); #5842=FACE_BOUND('',#52759,.T.); #5843=FACE_BOUND('',#52760,.T.); #5844=FACE_BOUND('',#52761,.T.); #5845=FACE_BOUND('',#52762,.T.); #5846=FACE_BOUND('',#52763,.T.); #5847=FACE_BOUND('',#52764,.T.); #5848=FACE_BOUND('',#52765,.T.); #5849=FACE_BOUND('',#52766,.T.); #5850=FACE_BOUND('',#52767,.T.); #5851=FACE_BOUND('',#52768,.T.); #5852=FACE_BOUND('',#52769,.T.); #5853=FACE_BOUND('',#52770,.T.); #5854=FACE_BOUND('',#52771,.T.); #5855=FACE_BOUND('',#52772,.T.); #5856=FACE_BOUND('',#52773,.T.); #5857=FACE_BOUND('',#52774,.T.); #5858=FACE_BOUND('',#52775,.T.); #5859=FACE_BOUND('',#52776,.T.); #5860=FACE_BOUND('',#52777,.T.); #5861=FACE_BOUND('',#52778,.T.); #5862=FACE_BOUND('',#52779,.T.); #5863=FACE_BOUND('',#52780,.T.); #5864=FACE_BOUND('',#52781,.T.); #5865=FACE_BOUND('',#52782,.T.); #5866=FACE_BOUND('',#52783,.T.); #5867=FACE_BOUND('',#52784,.T.); #5868=FACE_BOUND('',#52785,.T.); #5869=FACE_BOUND('',#52786,.T.); #5870=FACE_BOUND('',#52787,.T.); #5871=FACE_BOUND('',#52788,.T.); #5872=FACE_BOUND('',#52789,.T.); #5873=FACE_BOUND('',#52790,.T.); #5874=FACE_BOUND('',#52791,.T.); #5875=FACE_BOUND('',#52792,.T.); #5876=FACE_BOUND('',#52793,.T.); #5877=FACE_BOUND('',#52794,.T.); #5878=FACE_BOUND('',#52795,.T.); #5879=FACE_BOUND('',#52796,.T.); #5880=FACE_BOUND('',#52797,.T.); #5881=FACE_BOUND('',#52798,.T.); #5882=FACE_BOUND('',#52800,.T.); #5883=FACE_BOUND('',#52801,.T.); #5884=FACE_BOUND('',#52802,.T.); #5885=FACE_BOUND('',#52803,.T.); #5886=FACE_BOUND('',#52804,.T.); #5887=FACE_BOUND('',#52805,.T.); #5888=FACE_BOUND('',#52806,.T.); #5889=FACE_BOUND('',#52807,.T.); #5890=FACE_BOUND('',#52808,.T.); #5891=FACE_BOUND('',#52809,.T.); #5892=FACE_BOUND('',#52810,.T.); #5893=FACE_BOUND('',#52811,.T.); #5894=FACE_BOUND('',#52812,.T.); #5895=FACE_BOUND('',#52813,.T.); #5896=FACE_BOUND('',#52814,.T.); #5897=FACE_BOUND('',#52815,.T.); #5898=FACE_BOUND('',#52816,.T.); #5899=FACE_BOUND('',#52817,.T.); #5900=FACE_BOUND('',#52818,.T.); #5901=FACE_BOUND('',#52819,.T.); #5902=FACE_BOUND('',#52820,.T.); #5903=FACE_BOUND('',#52821,.T.); #5904=FACE_BOUND('',#52822,.T.); #5905=FACE_BOUND('',#52823,.T.); #5906=FACE_BOUND('',#52824,.T.); #5907=FACE_BOUND('',#52825,.T.); #5908=FACE_BOUND('',#52826,.T.); #5909=FACE_BOUND('',#52827,.T.); #5910=FACE_BOUND('',#52828,.T.); #5911=FACE_BOUND('',#52829,.T.); #5912=FACE_BOUND('',#52830,.T.); #5913=FACE_BOUND('',#52831,.T.); #5914=FACE_BOUND('',#52832,.T.); #5915=FACE_BOUND('',#52833,.T.); #5916=FACE_BOUND('',#52834,.T.); #5917=FACE_BOUND('',#52835,.T.); #5918=FACE_BOUND('',#52836,.T.); #5919=FACE_BOUND('',#52837,.T.); #5920=FACE_BOUND('',#52838,.T.); #5921=FACE_BOUND('',#52839,.T.); #5922=FACE_BOUND('',#52840,.T.); #5923=FACE_BOUND('',#52841,.T.); #5924=FACE_BOUND('',#52842,.T.); #5925=FACE_BOUND('',#52843,.T.); #5926=FACE_BOUND('',#52844,.T.); #5927=FACE_BOUND('',#52845,.T.); #5928=FACE_BOUND('',#52846,.T.); #5929=FACE_BOUND('',#52847,.T.); #5930=FACE_BOUND('',#52848,.T.); #5931=FACE_BOUND('',#52849,.T.); #5932=FACE_BOUND('',#52850,.T.); #5933=FACE_BOUND('',#52851,.T.); #5934=FACE_BOUND('',#52852,.T.); #5935=FACE_BOUND('',#52853,.T.); #5936=FACE_BOUND('',#52854,.T.); #5937=FACE_BOUND('',#52855,.T.); #5938=FACE_BOUND('',#52856,.T.); #5939=FACE_BOUND('',#52857,.T.); #5940=FACE_BOUND('',#52858,.T.); #5941=FACE_BOUND('',#52859,.T.); #5942=FACE_BOUND('',#52860,.T.); #5943=FACE_BOUND('',#52861,.T.); #5944=FACE_BOUND('',#52862,.T.); #5945=FACE_BOUND('',#52863,.T.); #5946=FACE_BOUND('',#52864,.T.); #5947=FACE_BOUND('',#52865,.T.); #5948=FACE_BOUND('',#52866,.T.); #5949=FACE_BOUND('',#52867,.T.); #5950=FACE_BOUND('',#52868,.T.); #5951=FACE_BOUND('',#52869,.T.); #5952=FACE_BOUND('',#52870,.T.); #5953=FACE_BOUND('',#52871,.T.); #5954=FACE_BOUND('',#52872,.T.); #5955=FACE_BOUND('',#52873,.T.); #5956=FACE_BOUND('',#52874,.T.); #5957=FACE_BOUND('',#52875,.T.); #5958=FACE_BOUND('',#52876,.T.); #5959=FACE_BOUND('',#52877,.T.); #5960=FACE_BOUND('',#52878,.T.); #5961=FACE_BOUND('',#52879,.T.); #5962=FACE_BOUND('',#52880,.T.); #5963=FACE_BOUND('',#52881,.T.); #5964=FACE_BOUND('',#52882,.T.); #5965=FACE_BOUND('',#52883,.T.); #5966=FACE_BOUND('',#52884,.T.); #5967=FACE_BOUND('',#52885,.T.); #5968=FACE_BOUND('',#52886,.T.); #5969=FACE_BOUND('',#52887,.T.); #5970=FACE_BOUND('',#52888,.T.); #5971=FACE_BOUND('',#52889,.T.); #5972=FACE_BOUND('',#52890,.T.); #5973=FACE_BOUND('',#52891,.T.); #5974=FACE_BOUND('',#52892,.T.); #5975=FACE_BOUND('',#52893,.T.); #5976=FACE_BOUND('',#52894,.T.); #5977=FACE_BOUND('',#52895,.T.); #5978=FACE_BOUND('',#52896,.T.); #5979=FACE_BOUND('',#52897,.T.); #5980=FACE_BOUND('',#52898,.T.); #5981=FACE_BOUND('',#52899,.T.); #5982=FACE_BOUND('',#52900,.T.); #5983=FACE_BOUND('',#52901,.T.); #5984=FACE_BOUND('',#52902,.T.); #5985=FACE_BOUND('',#52903,.T.); #5986=FACE_BOUND('',#52904,.T.); #5987=FACE_BOUND('',#52905,.T.); #5988=FACE_BOUND('',#52906,.T.); #5989=FACE_BOUND('',#52907,.T.); #5990=FACE_BOUND('',#52908,.T.); #5991=FACE_BOUND('',#52909,.T.); #5992=FACE_BOUND('',#52910,.T.); #5993=FACE_BOUND('',#52911,.T.); #5994=FACE_BOUND('',#52912,.T.); #5995=FACE_BOUND('',#52913,.T.); #5996=FACE_BOUND('',#52914,.T.); #5997=FACE_BOUND('',#52915,.T.); #5998=FACE_BOUND('',#52916,.T.); #5999=FACE_BOUND('',#52917,.T.); #6000=FACE_BOUND('',#52918,.T.); #6001=FACE_BOUND('',#52919,.T.); #6002=FACE_BOUND('',#52920,.T.); #6003=FACE_BOUND('',#52921,.T.); #6004=FACE_BOUND('',#52922,.T.); #6005=FACE_BOUND('',#52923,.T.); #6006=FACE_BOUND('',#52924,.T.); #6007=FACE_BOUND('',#52925,.T.); #6008=FACE_BOUND('',#52926,.T.); #6009=FACE_BOUND('',#52927,.T.); #6010=FACE_BOUND('',#52928,.T.); #6011=FACE_BOUND('',#52929,.T.); #6012=FACE_BOUND('',#52930,.T.); #6013=FACE_BOUND('',#52931,.T.); #6014=FACE_BOUND('',#52932,.T.); #6015=FACE_BOUND('',#52933,.T.); #6016=FACE_BOUND('',#52934,.T.); #6017=FACE_BOUND('',#52935,.T.); #6018=FACE_BOUND('',#52936,.T.); #6019=FACE_BOUND('',#52937,.T.); #6020=FACE_BOUND('',#52938,.T.); #6021=FACE_BOUND('',#52939,.T.); #6022=FACE_BOUND('',#52940,.T.); #6023=FACE_BOUND('',#52941,.T.); #6024=FACE_BOUND('',#52942,.T.); #6025=FACE_BOUND('',#52943,.T.); #6026=FACE_BOUND('',#52944,.T.); #6027=FACE_BOUND('',#53079,.T.); #6028=FACE_BOUND('',#53081,.T.); #6029=FACE_BOUND('',#53166,.T.); #6030=FACE_BOUND('',#53168,.T.); #6031=FACE_BOUND('',#53408,.T.); #6032=FACE_BOUND('',#53409,.T.); #6033=FACE_BOUND('',#53410,.T.); #6034=FACE_BOUND('',#53411,.T.); #6035=FACE_BOUND('',#53412,.T.); #6036=FACE_BOUND('',#53413,.T.); #6037=FACE_BOUND('',#53414,.T.); #6038=FACE_BOUND('',#53415,.T.); #6039=FACE_BOUND('',#53416,.T.); #6040=FACE_BOUND('',#53417,.T.); #6041=FACE_BOUND('',#53418,.T.); #6042=FACE_BOUND('',#53419,.T.); #6043=FACE_BOUND('',#53420,.T.); #6044=FACE_BOUND('',#53421,.T.); #6045=FACE_BOUND('',#53422,.T.); #6046=FACE_BOUND('',#53423,.T.); #6047=FACE_BOUND('',#53425,.T.); #6048=FACE_BOUND('',#53426,.T.); #6049=FACE_BOUND('',#53427,.T.); #6050=FACE_BOUND('',#53428,.T.); #6051=FACE_BOUND('',#53429,.T.); #6052=FACE_BOUND('',#53430,.T.); #6053=FACE_BOUND('',#53431,.T.); #6054=FACE_BOUND('',#53432,.T.); #6055=FACE_BOUND('',#53433,.T.); #6056=FACE_BOUND('',#53434,.T.); #6057=FACE_BOUND('',#53435,.T.); #6058=FACE_BOUND('',#53436,.T.); #6059=FACE_BOUND('',#53437,.T.); #6060=FACE_BOUND('',#53438,.T.); #6061=FACE_BOUND('',#53439,.T.); #6062=FACE_BOUND('',#53440,.T.); #6063=FACE_BOUND('',#53528,.T.); #6064=FACE_BOUND('',#53529,.T.); #6065=FACE_BOUND('',#53530,.T.); #6066=FACE_BOUND('',#53531,.T.); #6067=FACE_BOUND('',#53532,.T.); #6068=FACE_BOUND('',#53533,.T.); #6069=FACE_BOUND('',#53534,.T.); #6070=FACE_BOUND('',#53535,.T.); #6071=FACE_BOUND('',#53536,.T.); #6072=FACE_BOUND('',#53537,.T.); #6073=FACE_BOUND('',#53538,.T.); #6074=FACE_BOUND('',#53539,.T.); #6075=FACE_BOUND('',#53540,.T.); #6076=FACE_BOUND('',#53541,.T.); #6077=FACE_BOUND('',#53542,.T.); #6078=FACE_BOUND('',#53543,.T.); #6079=FACE_BOUND('',#53545,.T.); #6080=FACE_BOUND('',#53546,.T.); #6081=FACE_BOUND('',#53547,.T.); #6082=FACE_BOUND('',#53548,.T.); #6083=FACE_BOUND('',#53549,.T.); #6084=FACE_BOUND('',#53550,.T.); #6085=FACE_BOUND('',#53551,.T.); #6086=FACE_BOUND('',#53552,.T.); #6087=FACE_BOUND('',#53553,.T.); #6088=FACE_BOUND('',#53554,.T.); #6089=FACE_BOUND('',#53555,.T.); #6090=FACE_BOUND('',#53556,.T.); #6091=FACE_BOUND('',#53557,.T.); #6092=FACE_BOUND('',#53558,.T.); #6093=FACE_BOUND('',#53559,.T.); #6094=FACE_BOUND('',#53560,.T.); #6095=FACE_BOUND('',#53637,.T.); #6096=FACE_BOUND('',#53638,.T.); #6097=FACE_BOUND('',#53639,.T.); #6098=FACE_BOUND('',#53640,.T.); #6099=FACE_BOUND('',#53641,.T.); #6100=FACE_BOUND('',#53642,.T.); #6101=FACE_BOUND('',#53643,.T.); #6102=FACE_BOUND('',#53644,.T.); #6103=FACE_BOUND('',#53645,.T.); #6104=FACE_BOUND('',#53646,.T.); #6105=FACE_BOUND('',#53647,.T.); #6106=FACE_BOUND('',#53648,.T.); #6107=FACE_BOUND('',#53649,.T.); #6108=FACE_BOUND('',#53650,.T.); #6109=FACE_BOUND('',#53651,.T.); #6110=FACE_BOUND('',#53652,.T.); #6111=FACE_BOUND('',#53653,.T.); #6112=FACE_BOUND('',#53654,.T.); #6113=FACE_BOUND('',#53655,.T.); #6114=FACE_BOUND('',#53656,.T.); #6115=FACE_BOUND('',#53657,.T.); #6116=FACE_BOUND('',#53658,.T.); #6117=FACE_BOUND('',#53659,.T.); #6118=FACE_BOUND('',#53660,.T.); #6119=FACE_BOUND('',#53661,.T.); #6120=FACE_BOUND('',#53662,.T.); #6121=FACE_BOUND('',#53663,.T.); #6122=FACE_BOUND('',#53664,.T.); #6123=FACE_BOUND('',#53665,.T.); #6124=FACE_BOUND('',#53666,.T.); #6125=FACE_BOUND('',#53668,.T.); #6126=FACE_BOUND('',#53669,.T.); #6127=FACE_BOUND('',#53670,.T.); #6128=FACE_BOUND('',#53671,.T.); #6129=FACE_BOUND('',#53672,.T.); #6130=FACE_BOUND('',#53673,.T.); #6131=FACE_BOUND('',#53674,.T.); #6132=FACE_BOUND('',#53675,.T.); #6133=FACE_BOUND('',#53676,.T.); #6134=FACE_BOUND('',#53677,.T.); #6135=FACE_BOUND('',#53678,.T.); #6136=FACE_BOUND('',#53679,.T.); #6137=FACE_BOUND('',#53680,.T.); #6138=FACE_BOUND('',#53681,.T.); #6139=FACE_BOUND('',#53682,.T.); #6140=FACE_BOUND('',#53683,.T.); #6141=FACE_BOUND('',#53684,.T.); #6142=FACE_BOUND('',#53685,.T.); #6143=FACE_BOUND('',#53686,.T.); #6144=FACE_BOUND('',#53687,.T.); #6145=FACE_BOUND('',#53688,.T.); #6146=FACE_BOUND('',#53689,.T.); #6147=FACE_BOUND('',#53690,.T.); #6148=FACE_BOUND('',#53691,.T.); #6149=FACE_BOUND('',#53692,.T.); #6150=FACE_BOUND('',#53693,.T.); #6151=FACE_BOUND('',#53694,.T.); #6152=FACE_BOUND('',#53695,.T.); #6153=FACE_BOUND('',#53696,.T.); #6154=FACE_BOUND('',#53697,.T.); #6155=FACE_BOUND('',#53708,.T.); #6156=FACE_BOUND('',#53709,.T.); #6157=FACE_BOUND('',#53711,.T.); #6158=FACE_BOUND('',#53712,.T.); #6159=FACE_BOUND('',#53789,.T.); #6160=FACE_BOUND('',#53790,.T.); #6161=FACE_BOUND('',#53791,.T.); #6162=FACE_BOUND('',#53792,.T.); #6163=FACE_BOUND('',#53793,.T.); #6164=FACE_BOUND('',#53794,.T.); #6165=FACE_BOUND('',#53795,.T.); #6166=FACE_BOUND('',#53796,.T.); #6167=FACE_BOUND('',#53797,.T.); #6168=FACE_BOUND('',#53798,.T.); #6169=FACE_BOUND('',#53799,.T.); #6170=FACE_BOUND('',#53800,.T.); #6171=FACE_BOUND('',#53801,.T.); #6172=FACE_BOUND('',#53802,.T.); #6173=FACE_BOUND('',#53803,.T.); #6174=FACE_BOUND('',#53804,.T.); #6175=FACE_BOUND('',#53805,.T.); #6176=FACE_BOUND('',#53806,.T.); #6177=FACE_BOUND('',#53807,.T.); #6178=FACE_BOUND('',#53808,.T.); #6179=FACE_BOUND('',#53809,.T.); #6180=FACE_BOUND('',#53810,.T.); #6181=FACE_BOUND('',#53811,.T.); #6182=FACE_BOUND('',#53812,.T.); #6183=FACE_BOUND('',#53813,.T.); #6184=FACE_BOUND('',#53814,.T.); #6185=FACE_BOUND('',#53815,.T.); #6186=FACE_BOUND('',#53816,.T.); #6187=FACE_BOUND('',#53817,.T.); #6188=FACE_BOUND('',#53818,.T.); #6189=FACE_BOUND('',#53820,.T.); #6190=FACE_BOUND('',#53821,.T.); #6191=FACE_BOUND('',#53822,.T.); #6192=FACE_BOUND('',#53823,.T.); #6193=FACE_BOUND('',#53824,.T.); #6194=FACE_BOUND('',#53825,.T.); #6195=FACE_BOUND('',#53826,.T.); #6196=FACE_BOUND('',#53827,.T.); #6197=FACE_BOUND('',#53828,.T.); #6198=FACE_BOUND('',#53829,.T.); #6199=FACE_BOUND('',#53830,.T.); #6200=FACE_BOUND('',#53831,.T.); #6201=FACE_BOUND('',#53832,.T.); #6202=FACE_BOUND('',#53833,.T.); #6203=FACE_BOUND('',#53834,.T.); #6204=FACE_BOUND('',#53835,.T.); #6205=FACE_BOUND('',#53836,.T.); #6206=FACE_BOUND('',#53837,.T.); #6207=FACE_BOUND('',#53838,.T.); #6208=FACE_BOUND('',#53839,.T.); #6209=FACE_BOUND('',#53840,.T.); #6210=FACE_BOUND('',#53841,.T.); #6211=FACE_BOUND('',#53842,.T.); #6212=FACE_BOUND('',#53843,.T.); #6213=FACE_BOUND('',#53844,.T.); #6214=FACE_BOUND('',#53845,.T.); #6215=FACE_BOUND('',#53846,.T.); #6216=FACE_BOUND('',#53847,.T.); #6217=FACE_BOUND('',#53848,.T.); #6218=FACE_BOUND('',#53849,.T.); #6219=FACE_BOUND('',#53860,.T.); #6220=FACE_BOUND('',#53861,.T.); #6221=FACE_BOUND('',#53863,.T.); #6222=FACE_BOUND('',#53864,.T.); #6223=FACE_BOUND('',#53969,.T.); #6224=FACE_BOUND('',#53970,.T.); #6225=FACE_BOUND('',#53971,.T.); #6226=FACE_BOUND('',#53973,.T.); #6227=FACE_BOUND('',#53974,.T.); #6228=FACE_BOUND('',#53975,.T.); #6229=FACE_BOUND('',#53981,.T.); #6230=FACE_BOUND('',#53983,.T.); #6231=FACE_BOUND('',#54167,.T.); #6232=FACE_BOUND('',#54168,.T.); #6233=FACE_BOUND('',#54169,.T.); #6234=FACE_BOUND('',#54170,.T.); #6235=FACE_BOUND('',#54171,.T.); #6236=FACE_BOUND('',#54172,.T.); #6237=FACE_BOUND('',#54173,.T.); #6238=FACE_BOUND('',#54174,.T.); #6239=FACE_BOUND('',#54175,.T.); #6240=FACE_BOUND('',#54176,.T.); #6241=FACE_BOUND('',#54177,.T.); #6242=FACE_BOUND('',#54178,.T.); #6243=FACE_BOUND('',#54179,.T.); #6244=FACE_BOUND('',#54180,.T.); #6245=FACE_BOUND('',#54181,.T.); #6246=FACE_BOUND('',#54182,.T.); #6247=FACE_BOUND('',#54184,.T.); #6248=FACE_BOUND('',#54185,.T.); #6249=FACE_BOUND('',#54186,.T.); #6250=FACE_BOUND('',#54187,.T.); #6251=FACE_BOUND('',#54188,.T.); #6252=FACE_BOUND('',#54189,.T.); #6253=FACE_BOUND('',#54190,.T.); #6254=FACE_BOUND('',#54191,.T.); #6255=FACE_BOUND('',#54192,.T.); #6256=FACE_BOUND('',#54193,.T.); #6257=FACE_BOUND('',#54194,.T.); #6258=FACE_BOUND('',#54195,.T.); #6259=FACE_BOUND('',#54196,.T.); #6260=FACE_BOUND('',#54197,.T.); #6261=FACE_BOUND('',#54198,.T.); #6262=FACE_BOUND('',#54199,.T.); #6263=FACE_BOUND('',#54244,.T.); #6264=FACE_BOUND('',#54245,.T.); #6265=FACE_BOUND('',#54247,.T.); #6266=FACE_BOUND('',#54248,.T.); #6267=FACE_BOUND('',#54254,.T.); #6268=FACE_BOUND('',#54256,.T.); #6269=FACE_BOUND('',#54292,.T.); #6270=FACE_BOUND('',#54293,.T.); #6271=FACE_BOUND('',#54294,.T.); #6272=FACE_BOUND('',#54295,.T.); #6273=FACE_BOUND('',#54296,.T.); #6274=FACE_BOUND('',#54297,.T.); #6275=FACE_BOUND('',#54298,.T.); #6276=FACE_BOUND('',#54299,.T.); #6277=FACE_BOUND('',#54300,.T.); #6278=FACE_BOUND('',#54301,.T.); #6279=FACE_BOUND('',#54303,.T.); #6280=FACE_BOUND('',#54304,.T.); #6281=FACE_BOUND('',#54305,.T.); #6282=FACE_BOUND('',#54306,.T.); #6283=FACE_BOUND('',#54307,.T.); #6284=FACE_BOUND('',#54308,.T.); #6285=FACE_BOUND('',#54309,.T.); #6286=FACE_BOUND('',#54310,.T.); #6287=FACE_BOUND('',#54311,.T.); #6288=FACE_BOUND('',#54312,.T.); #6289=FACE_BOUND('',#54318,.T.); #6290=FACE_BOUND('',#54320,.T.); #6291=FACE_BOUND('',#54326,.T.); #6292=FACE_BOUND('',#54328,.T.); #6293=FACE_BOUND('',#54334,.T.); #6294=FACE_BOUND('',#54336,.T.); #6295=FACE_BOUND('',#54347,.T.); #6296=FACE_BOUND('',#54349,.T.); #6297=FACE_BOUND('',#54355,.T.); #6298=FACE_BOUND('',#54357,.T.); #6299=FACE_BOUND('',#54364,.T.); #6300=FACE_BOUND('',#54366,.T.); #6301=FACE_BOUND('',#54372,.T.); #6302=FACE_BOUND('',#54374,.T.); #6303=FACE_BOUND('',#54436,.T.); #6304=FACE_BOUND('',#54438,.T.); #6305=FACE_BOUND('',#54444,.T.); #6306=FACE_BOUND('',#54446,.T.); #6307=FACE_BOUND('',#54508,.T.); #6308=FACE_BOUND('',#54510,.T.); #6309=FACE_BOUND('',#54588,.T.); #6310=FACE_BOUND('',#54590,.T.); #6311=FACE_BOUND('',#54652,.T.); #6312=FACE_BOUND('',#54654,.T.); #6313=FACE_BOUND('',#54660,.T.); #6314=FACE_BOUND('',#54662,.T.); #6315=FACE_BOUND('',#54724,.T.); #6316=FACE_BOUND('',#54726,.T.); #6317=FACE_BOUND('',#54737,.T.); #6318=FACE_BOUND('',#54739,.T.); #6319=FACE_BOUND('',#54745,.T.); #6320=FACE_BOUND('',#54747,.T.); #6321=FACE_BOUND('',#54825,.T.); #6322=FACE_BOUND('',#54827,.T.); #6323=FACE_BOUND('',#54838,.T.); #6324=FACE_BOUND('',#54840,.T.); #6325=FACE_BOUND('',#54846,.T.); #6326=FACE_BOUND('',#54848,.T.); #6327=FACE_BOUND('',#54854,.T.); #6328=FACE_BOUND('',#54856,.T.); #6329=FACE_BOUND('',#54862,.T.); #6330=FACE_BOUND('',#54864,.T.); #6331=FACE_BOUND('',#54871,.T.); #6332=FACE_BOUND('',#54873,.T.); #6333=FACE_BOUND('',#54884,.T.); #6334=FACE_BOUND('',#54886,.T.); #6335=FACE_BOUND('',#54892,.T.); #6336=FACE_BOUND('',#54894,.T.); #6337=FACE_BOUND('',#54900,.T.); #6338=FACE_BOUND('',#54902,.T.); #6339=FACE_BOUND('',#55048,.T.); #6340=FACE_BOUND('',#55049,.T.); #6341=FACE_BOUND('',#55051,.T.); #6342=FACE_BOUND('',#55052,.T.); #6343=FACE_BOUND('',#55108,.T.); #6344=FACE_BOUND('',#55109,.T.); #6345=FACE_BOUND('',#55111,.T.); #6346=FACE_BOUND('',#55112,.T.); #6347=FACE_BOUND('',#55167,.T.); #6348=FACE_BOUND('',#55169,.T.); #6349=FACE_BOUND('',#55214,.T.); #6350=FACE_BOUND('',#55215,.T.); #6351=FACE_BOUND('',#55216,.T.); #6352=FACE_BOUND('',#55217,.T.); #6353=FACE_BOUND('',#55218,.T.); #6354=FACE_BOUND('',#55219,.T.); #6355=FACE_BOUND('',#55220,.T.); #6356=FACE_BOUND('',#55221,.T.); #6357=FACE_BOUND('',#55222,.T.); #6358=FACE_BOUND('',#55223,.T.); #6359=FACE_BOUND('',#55224,.T.); #6360=FACE_BOUND('',#55225,.T.); #6361=FACE_BOUND('',#55226,.T.); #6362=FACE_BOUND('',#55227,.T.); #6363=FACE_BOUND('',#55228,.T.); #6364=FACE_BOUND('',#55230,.T.); #6365=FACE_BOUND('',#55231,.T.); #6366=FACE_BOUND('',#55232,.T.); #6367=FACE_BOUND('',#55233,.T.); #6368=FACE_BOUND('',#55234,.T.); #6369=FACE_BOUND('',#55235,.T.); #6370=FACE_BOUND('',#55236,.T.); #6371=FACE_BOUND('',#55237,.T.); #6372=FACE_BOUND('',#55238,.T.); #6373=FACE_BOUND('',#55239,.T.); #6374=FACE_BOUND('',#55240,.T.); #6375=FACE_BOUND('',#55241,.T.); #6376=FACE_BOUND('',#55242,.T.); #6377=FACE_BOUND('',#55243,.T.); #6378=FACE_BOUND('',#55244,.T.); #6379=FACE_BOUND('',#55269,.T.); #6380=FACE_BOUND('',#55271,.T.); #6381=FACE_BOUND('',#55316,.T.); #6382=FACE_BOUND('',#55317,.T.); #6383=FACE_BOUND('',#55318,.T.); #6384=FACE_BOUND('',#55319,.T.); #6385=FACE_BOUND('',#55320,.T.); #6386=FACE_BOUND('',#55321,.T.); #6387=FACE_BOUND('',#55322,.T.); #6388=FACE_BOUND('',#55323,.T.); #6389=FACE_BOUND('',#55324,.T.); #6390=FACE_BOUND('',#55325,.T.); #6391=FACE_BOUND('',#55326,.T.); #6392=FACE_BOUND('',#55327,.T.); #6393=FACE_BOUND('',#55328,.T.); #6394=FACE_BOUND('',#55329,.T.); #6395=FACE_BOUND('',#55330,.T.); #6396=FACE_BOUND('',#55332,.T.); #6397=FACE_BOUND('',#55333,.T.); #6398=FACE_BOUND('',#55334,.T.); #6399=FACE_BOUND('',#55335,.T.); #6400=FACE_BOUND('',#55336,.T.); #6401=FACE_BOUND('',#55337,.T.); #6402=FACE_BOUND('',#55338,.T.); #6403=FACE_BOUND('',#55339,.T.); #6404=FACE_BOUND('',#55340,.T.); #6405=FACE_BOUND('',#55341,.T.); #6406=FACE_BOUND('',#55342,.T.); #6407=FACE_BOUND('',#55343,.T.); #6408=FACE_BOUND('',#55344,.T.); #6409=FACE_BOUND('',#55345,.T.); #6410=FACE_BOUND('',#55346,.T.); #6411=FACE_BOUND('',#55371,.T.); #6412=FACE_BOUND('',#55373,.T.); #6413=FACE_BOUND('',#55380,.T.); #6414=FACE_BOUND('',#55382,.T.); #6415=FACE_BOUND('',#55389,.T.); #6416=FACE_BOUND('',#55391,.T.); #6417=FACE_BOUND('',#55412,.T.); #6418=FACE_BOUND('',#55413,.T.); #6419=FACE_BOUND('',#55414,.T.); #6420=FACE_BOUND('',#55415,.T.); #6421=FACE_BOUND('',#55416,.T.); #6422=FACE_BOUND('',#55417,.T.); #6423=FACE_BOUND('',#55418,.T.); #6424=FACE_BOUND('',#55419,.T.); #6425=FACE_BOUND('',#55420,.T.); #6426=FACE_BOUND('',#55421,.T.); #6427=FACE_BOUND('',#55422,.T.); #6428=FACE_BOUND('',#55423,.T.); #6429=FACE_BOUND('',#55424,.T.); #6430=FACE_BOUND('',#55425,.T.); #6431=FACE_BOUND('',#55426,.T.); #6432=FACE_BOUND('',#55428,.T.); #6433=FACE_BOUND('',#55429,.T.); #6434=FACE_BOUND('',#55430,.T.); #6435=FACE_BOUND('',#55431,.T.); #6436=FACE_BOUND('',#55432,.T.); #6437=FACE_BOUND('',#55433,.T.); #6438=FACE_BOUND('',#55434,.T.); #6439=FACE_BOUND('',#55435,.T.); #6440=FACE_BOUND('',#55436,.T.); #6441=FACE_BOUND('',#55437,.T.); #6442=FACE_BOUND('',#55438,.T.); #6443=FACE_BOUND('',#55439,.T.); #6444=FACE_BOUND('',#55440,.T.); #6445=FACE_BOUND('',#55441,.T.); #6446=FACE_BOUND('',#55442,.T.); #6447=FACE_BOUND('',#55583,.T.); #6448=FACE_BOUND('',#55584,.T.); #6449=FACE_BOUND('',#55585,.T.); #6450=FACE_BOUND('',#55586,.T.); #6451=FACE_BOUND('',#55587,.T.); #6452=FACE_BOUND('',#55588,.T.); #6453=FACE_BOUND('',#55589,.T.); #6454=FACE_BOUND('',#55590,.T.); #6455=FACE_BOUND('',#55591,.T.); #6456=FACE_BOUND('',#55592,.T.); #6457=FACE_BOUND('',#55593,.T.); #6458=FACE_BOUND('',#55594,.T.); #6459=FACE_BOUND('',#55595,.T.); #6460=FACE_BOUND('',#55596,.T.); #6461=FACE_BOUND('',#55597,.T.); #6462=FACE_BOUND('',#55599,.T.); #6463=FACE_BOUND('',#55600,.T.); #6464=FACE_BOUND('',#55601,.T.); #6465=FACE_BOUND('',#55602,.T.); #6466=FACE_BOUND('',#55603,.T.); #6467=FACE_BOUND('',#55604,.T.); #6468=FACE_BOUND('',#55605,.T.); #6469=FACE_BOUND('',#55606,.T.); #6470=FACE_BOUND('',#55607,.T.); #6471=FACE_BOUND('',#55608,.T.); #6472=FACE_BOUND('',#55609,.T.); #6473=FACE_BOUND('',#55610,.T.); #6474=FACE_BOUND('',#55611,.T.); #6475=FACE_BOUND('',#55612,.T.); #6476=FACE_BOUND('',#55613,.T.); #6477=FACE_BOUND('',#55656,.T.); #6478=FACE_BOUND('',#55658,.T.); #6479=FACE_BOUND('',#55666,.T.); #6480=FACE_BOUND('',#55667,.T.); #6481=FACE_BOUND('',#55669,.T.); #6482=FACE_BOUND('',#55670,.T.); #6483=FACE_BOUND('',#55678,.T.); #6484=FACE_BOUND('',#55679,.T.); #6485=FACE_BOUND('',#55681,.T.); #6486=FACE_BOUND('',#55682,.T.); #6487=FACE_BOUND('',#55689,.T.); #6488=FACE_BOUND('',#55691,.T.); #6489=FACE_BOUND('',#56050,.T.); #6490=FACE_BOUND('',#56051,.T.); #6491=FACE_BOUND('',#56052,.T.); #6492=FACE_BOUND('',#56053,.T.); #6493=FACE_BOUND('',#56054,.T.); #6494=FACE_BOUND('',#56055,.T.); #6495=FACE_BOUND('',#56056,.T.); #6496=FACE_BOUND('',#56057,.T.); #6497=FACE_BOUND('',#56058,.T.); #6498=FACE_BOUND('',#56059,.T.); #6499=FACE_BOUND('',#56060,.T.); #6500=FACE_BOUND('',#56061,.T.); #6501=FACE_BOUND('',#56062,.T.); #6502=FACE_BOUND('',#56063,.T.); #6503=FACE_BOUND('',#56064,.T.); #6504=FACE_BOUND('',#56065,.T.); #6505=FACE_BOUND('',#56066,.T.); #6506=FACE_BOUND('',#56067,.T.); #6507=FACE_BOUND('',#56068,.T.); #6508=FACE_BOUND('',#56069,.T.); #6509=FACE_BOUND('',#56070,.T.); #6510=FACE_BOUND('',#56071,.T.); #6511=FACE_BOUND('',#56072,.T.); #6512=FACE_BOUND('',#56073,.T.); #6513=FACE_BOUND('',#56074,.T.); #6514=FACE_BOUND('',#56075,.T.); #6515=FACE_BOUND('',#56076,.T.); #6516=FACE_BOUND('',#56077,.T.); #6517=FACE_BOUND('',#56078,.T.); #6518=FACE_BOUND('',#56079,.T.); #6519=FACE_BOUND('',#56080,.T.); #6520=FACE_BOUND('',#56081,.T.); #6521=FACE_BOUND('',#56082,.T.); #6522=FACE_BOUND('',#56083,.T.); #6523=FACE_BOUND('',#56084,.T.); #6524=FACE_BOUND('',#56085,.T.); #6525=FACE_BOUND('',#56086,.T.); #6526=FACE_BOUND('',#56087,.T.); #6527=FACE_BOUND('',#56088,.T.); #6528=FACE_BOUND('',#56089,.T.); #6529=FACE_BOUND('',#56090,.T.); #6530=FACE_BOUND('',#56091,.T.); #6531=FACE_BOUND('',#56092,.T.); #6532=FACE_BOUND('',#56093,.T.); #6533=FACE_BOUND('',#56094,.T.); #6534=FACE_BOUND('',#56095,.T.); #6535=FACE_BOUND('',#56096,.T.); #6536=FACE_BOUND('',#56097,.T.); #6537=FACE_BOUND('',#56098,.T.); #6538=FACE_BOUND('',#56099,.T.); #6539=FACE_BOUND('',#56100,.T.); #6540=FACE_BOUND('',#56101,.T.); #6541=FACE_BOUND('',#56102,.T.); #6542=FACE_BOUND('',#56103,.T.); #6543=FACE_BOUND('',#56104,.T.); #6544=FACE_BOUND('',#56105,.T.); #6545=FACE_BOUND('',#56106,.T.); #6546=FACE_BOUND('',#56107,.T.); #6547=FACE_BOUND('',#56108,.T.); #6548=FACE_BOUND('',#56109,.T.); #6549=FACE_BOUND('',#56110,.T.); #6550=FACE_BOUND('',#56111,.T.); #6551=FACE_BOUND('',#56112,.T.); #6552=FACE_BOUND('',#56113,.T.); #6553=FACE_BOUND('',#56114,.T.); #6554=FACE_BOUND('',#56115,.T.); #6555=FACE_BOUND('',#56116,.T.); #6556=FACE_BOUND('',#56117,.T.); #6557=FACE_BOUND('',#56118,.T.); #6558=FACE_BOUND('',#56119,.T.); #6559=FACE_BOUND('',#56120,.T.); #6560=FACE_BOUND('',#56121,.T.); #6561=FACE_BOUND('',#56122,.T.); #6562=FACE_BOUND('',#56123,.T.); #6563=FACE_BOUND('',#56124,.T.); #6564=FACE_BOUND('',#56125,.T.); #6565=FACE_BOUND('',#56126,.T.); #6566=FACE_BOUND('',#56127,.T.); #6567=FACE_BOUND('',#56128,.T.); #6568=FACE_BOUND('',#56129,.T.); #6569=FACE_BOUND('',#56130,.T.); #6570=FACE_BOUND('',#56131,.T.); #6571=FACE_BOUND('',#56132,.T.); #6572=FACE_BOUND('',#56133,.T.); #6573=FACE_BOUND('',#56134,.T.); #6574=FACE_BOUND('',#56135,.T.); #6575=FACE_BOUND('',#56136,.T.); #6576=FACE_BOUND('',#56137,.T.); #6577=FACE_BOUND('',#56138,.T.); #6578=FACE_BOUND('',#56139,.T.); #6579=FACE_BOUND('',#56140,.T.); #6580=FACE_BOUND('',#56141,.T.); #6581=FACE_BOUND('',#56142,.T.); #6582=FACE_BOUND('',#56143,.T.); #6583=FACE_BOUND('',#56144,.T.); #6584=FACE_BOUND('',#56145,.T.); #6585=FACE_BOUND('',#56146,.T.); #6586=FACE_BOUND('',#56147,.T.); #6587=FACE_BOUND('',#56148,.T.); #6588=FACE_BOUND('',#56149,.T.); #6589=FACE_BOUND('',#56150,.T.); #6590=FACE_BOUND('',#56151,.T.); #6591=FACE_BOUND('',#56152,.T.); #6592=FACE_BOUND('',#56153,.T.); #6593=FACE_BOUND('',#56154,.T.); #6594=FACE_BOUND('',#56155,.T.); #6595=FACE_BOUND('',#56156,.T.); #6596=FACE_BOUND('',#56157,.T.); #6597=FACE_BOUND('',#56158,.T.); #6598=FACE_BOUND('',#56159,.T.); #6599=FACE_BOUND('',#56160,.T.); #6600=FACE_BOUND('',#56161,.T.); #6601=FACE_BOUND('',#56162,.T.); #6602=FACE_BOUND('',#56163,.T.); #6603=FACE_BOUND('',#56164,.T.); #6604=FACE_BOUND('',#56165,.T.); #6605=FACE_BOUND('',#56166,.T.); #6606=FACE_BOUND('',#56167,.T.); #6607=FACE_BOUND('',#56168,.T.); #6608=FACE_BOUND('',#56169,.T.); #6609=FACE_BOUND('',#56170,.T.); #6610=FACE_BOUND('',#56171,.T.); #6611=FACE_BOUND('',#56172,.T.); #6612=FACE_BOUND('',#56173,.T.); #6613=FACE_BOUND('',#56174,.T.); #6614=FACE_BOUND('',#56175,.T.); #6615=FACE_BOUND('',#56176,.T.); #6616=FACE_BOUND('',#56177,.T.); #6617=FACE_BOUND('',#56178,.T.); #6618=FACE_BOUND('',#56179,.T.); #6619=FACE_BOUND('',#56180,.T.); #6620=FACE_BOUND('',#56181,.T.); #6621=FACE_BOUND('',#56182,.T.); #6622=FACE_BOUND('',#56183,.T.); #6623=FACE_BOUND('',#56184,.T.); #6624=FACE_BOUND('',#56185,.T.); #6625=FACE_BOUND('',#56186,.T.); #6626=FACE_BOUND('',#56187,.T.); #6627=FACE_BOUND('',#56188,.T.); #6628=FACE_BOUND('',#56189,.T.); #6629=FACE_BOUND('',#56190,.T.); #6630=FACE_BOUND('',#56191,.T.); #6631=FACE_BOUND('',#56192,.T.); #6632=FACE_BOUND('',#56193,.T.); #6633=FACE_BOUND('',#56194,.T.); #6634=FACE_BOUND('',#56195,.T.); #6635=FACE_BOUND('',#56196,.T.); #6636=FACE_BOUND('',#56197,.T.); #6637=FACE_BOUND('',#56198,.T.); #6638=FACE_BOUND('',#56199,.T.); #6639=FACE_BOUND('',#56200,.T.); #6640=FACE_BOUND('',#56201,.T.); #6641=FACE_BOUND('',#56202,.T.); #6642=FACE_BOUND('',#56203,.T.); #6643=FACE_BOUND('',#56204,.T.); #6644=FACE_BOUND('',#56205,.T.); #6645=FACE_BOUND('',#56206,.T.); #6646=FACE_BOUND('',#56207,.T.); #6647=FACE_BOUND('',#56208,.T.); #6648=FACE_BOUND('',#56209,.T.); #6649=FACE_BOUND('',#56210,.T.); #6650=FACE_BOUND('',#56211,.T.); #6651=FACE_BOUND('',#56212,.T.); #6652=FACE_BOUND('',#56213,.T.); #6653=FACE_BOUND('',#56214,.T.); #6654=FACE_BOUND('',#56215,.T.); #6655=FACE_BOUND('',#56216,.T.); #6656=FACE_BOUND('',#56217,.T.); #6657=FACE_BOUND('',#56218,.T.); #6658=FACE_BOUND('',#56219,.T.); #6659=FACE_BOUND('',#56220,.T.); #6660=FACE_BOUND('',#56221,.T.); #6661=FACE_BOUND('',#56222,.T.); #6662=FACE_BOUND('',#56223,.T.); #6663=FACE_BOUND('',#56224,.T.); #6664=FACE_BOUND('',#56225,.T.); #6665=FACE_BOUND('',#56226,.T.); #6666=FACE_BOUND('',#56227,.T.); #6667=FACE_BOUND('',#56228,.T.); #6668=FACE_BOUND('',#56229,.T.); #6669=FACE_BOUND('',#56230,.T.); #6670=FACE_BOUND('',#56231,.T.); #6671=FACE_BOUND('',#56232,.T.); #6672=FACE_BOUND('',#56233,.T.); #6673=FACE_BOUND('',#56234,.T.); #6674=FACE_BOUND('',#56235,.T.); #6675=FACE_BOUND('',#56236,.T.); #6676=FACE_BOUND('',#56237,.T.); #6677=FACE_BOUND('',#56238,.T.); #6678=FACE_BOUND('',#56239,.T.); #6679=FACE_BOUND('',#56240,.T.); #6680=FACE_BOUND('',#56241,.T.); #6681=FACE_BOUND('',#56242,.T.); #6682=FACE_BOUND('',#56243,.T.); #6683=FACE_BOUND('',#56244,.T.); #6684=FACE_BOUND('',#56245,.T.); #6685=FACE_BOUND('',#56246,.T.); #6686=FACE_BOUND('',#56247,.T.); #6687=FACE_BOUND('',#56248,.T.); #6688=FACE_BOUND('',#56249,.T.); #6689=FACE_BOUND('',#56250,.T.); #6690=FACE_BOUND('',#56251,.T.); #6691=FACE_BOUND('',#56252,.T.); #6692=FACE_BOUND('',#56253,.T.); #6693=FACE_BOUND('',#56254,.T.); #6694=FACE_BOUND('',#56255,.T.); #6695=FACE_BOUND('',#56256,.T.); #6696=FACE_BOUND('',#56257,.T.); #6697=FACE_BOUND('',#56258,.T.); #6698=FACE_BOUND('',#56259,.T.); #6699=FACE_BOUND('',#56260,.T.); #6700=FACE_BOUND('',#56261,.T.); #6701=FACE_BOUND('',#56262,.T.); #6702=FACE_BOUND('',#56263,.T.); #6703=FACE_BOUND('',#56264,.T.); #6704=FACE_BOUND('',#56265,.T.); #6705=FACE_BOUND('',#56266,.T.); #6706=FACE_BOUND('',#56267,.T.); #6707=FACE_BOUND('',#56268,.T.); #6708=FACE_BOUND('',#56269,.T.); #6709=FACE_BOUND('',#56270,.T.); #6710=FACE_BOUND('',#56271,.T.); #6711=FACE_BOUND('',#56272,.T.); #6712=FACE_BOUND('',#56273,.T.); #6713=FACE_BOUND('',#56274,.T.); #6714=FACE_BOUND('',#56275,.T.); #6715=FACE_BOUND('',#56276,.T.); #6716=FACE_BOUND('',#56277,.T.); #6717=FACE_BOUND('',#56278,.T.); #6718=FACE_BOUND('',#56279,.T.); #6719=FACE_BOUND('',#56280,.T.); #6720=FACE_BOUND('',#56281,.T.); #6721=FACE_BOUND('',#56282,.T.); #6722=FACE_BOUND('',#56283,.T.); #6723=FACE_BOUND('',#56284,.T.); #6724=FACE_BOUND('',#56285,.T.); #6725=FACE_BOUND('',#56286,.T.); #6726=FACE_BOUND('',#56287,.T.); #6727=FACE_BOUND('',#56288,.T.); #6728=FACE_BOUND('',#56289,.T.); #6729=FACE_BOUND('',#56290,.T.); #6730=FACE_BOUND('',#56291,.T.); #6731=FACE_BOUND('',#56292,.T.); #6732=FACE_BOUND('',#56293,.T.); #6733=FACE_BOUND('',#56294,.T.); #6734=FACE_BOUND('',#56295,.T.); #6735=FACE_BOUND('',#56296,.T.); #6736=FACE_BOUND('',#56297,.T.); #6737=FACE_BOUND('',#56298,.T.); #6738=FACE_BOUND('',#56299,.T.); #6739=FACE_BOUND('',#56300,.T.); #6740=FACE_BOUND('',#56301,.T.); #6741=FACE_BOUND('',#56302,.T.); #6742=FACE_BOUND('',#56303,.T.); #6743=FACE_BOUND('',#56304,.T.); #6744=FACE_BOUND('',#56305,.T.); #6745=FACE_BOUND('',#56306,.T.); #6746=FACE_BOUND('',#56307,.T.); #6747=FACE_BOUND('',#56308,.T.); #6748=FACE_BOUND('',#56309,.T.); #6749=FACE_BOUND('',#56310,.T.); #6750=FACE_BOUND('',#56311,.T.); #6751=FACE_BOUND('',#56312,.T.); #6752=FACE_BOUND('',#56313,.T.); #6753=FACE_BOUND('',#56314,.T.); #6754=FACE_BOUND('',#56315,.T.); #6755=FACE_BOUND('',#56316,.T.); #6756=FACE_BOUND('',#56317,.T.); #6757=FACE_BOUND('',#56318,.T.); #6758=FACE_BOUND('',#56319,.T.); #6759=FACE_BOUND('',#56320,.T.); #6760=FACE_BOUND('',#56321,.T.); #6761=FACE_BOUND('',#56322,.T.); #6762=FACE_BOUND('',#56323,.T.); #6763=FACE_BOUND('',#56324,.T.); #6764=FACE_BOUND('',#56325,.T.); #6765=FACE_BOUND('',#56326,.T.); #6766=FACE_BOUND('',#56327,.T.); #6767=FACE_BOUND('',#56328,.T.); #6768=FACE_BOUND('',#56329,.T.); #6769=FACE_BOUND('',#56330,.T.); #6770=FACE_BOUND('',#56331,.T.); #6771=FACE_BOUND('',#56332,.T.); #6772=FACE_BOUND('',#56333,.T.); #6773=FACE_BOUND('',#56334,.T.); #6774=FACE_BOUND('',#56335,.T.); #6775=FACE_BOUND('',#56336,.T.); #6776=FACE_BOUND('',#56337,.T.); #6777=FACE_BOUND('',#56338,.T.); #6778=FACE_BOUND('',#56339,.T.); #6779=FACE_BOUND('',#56340,.T.); #6780=FACE_BOUND('',#56341,.T.); #6781=FACE_BOUND('',#56342,.T.); #6782=FACE_BOUND('',#56343,.T.); #6783=FACE_BOUND('',#56344,.T.); #6784=FACE_BOUND('',#56345,.T.); #6785=FACE_BOUND('',#56346,.T.); #6786=FACE_BOUND('',#56347,.T.); #6787=FACE_BOUND('',#56348,.T.); #6788=FACE_BOUND('',#56349,.T.); #6789=FACE_BOUND('',#56350,.T.); #6790=FACE_BOUND('',#56351,.T.); #6791=FACE_BOUND('',#56352,.T.); #6792=FACE_BOUND('',#56353,.T.); #6793=FACE_BOUND('',#56354,.T.); #6794=FACE_BOUND('',#56355,.T.); #6795=FACE_BOUND('',#56356,.T.); #6796=FACE_BOUND('',#56357,.T.); #6797=FACE_BOUND('',#56358,.T.); #6798=FACE_BOUND('',#56359,.T.); #6799=FACE_BOUND('',#56360,.T.); #6800=FACE_BOUND('',#56361,.T.); #6801=FACE_BOUND('',#56362,.T.); #6802=FACE_BOUND('',#56363,.T.); #6803=FACE_BOUND('',#56364,.T.); #6804=FACE_BOUND('',#56365,.T.); #6805=FACE_BOUND('',#56366,.T.); #6806=FACE_BOUND('',#56367,.T.); #6807=FACE_BOUND('',#56368,.T.); #6808=FACE_BOUND('',#56369,.T.); #6809=FACE_BOUND('',#56370,.T.); #6810=FACE_BOUND('',#56371,.T.); #6811=FACE_BOUND('',#56372,.T.); #6812=FACE_BOUND('',#56373,.T.); #6813=FACE_BOUND('',#56374,.T.); #6814=FACE_BOUND('',#56375,.T.); #6815=FACE_BOUND('',#56376,.T.); #6816=FACE_BOUND('',#56377,.T.); #6817=FACE_BOUND('',#56378,.T.); #6818=FACE_BOUND('',#56379,.T.); #6819=FACE_BOUND('',#56380,.T.); #6820=FACE_BOUND('',#56381,.T.); #6821=FACE_BOUND('',#56383,.T.); #6822=FACE_BOUND('',#56384,.T.); #6823=FACE_BOUND('',#56385,.T.); #6824=FACE_BOUND('',#56386,.T.); #6825=FACE_BOUND('',#56387,.T.); #6826=FACE_BOUND('',#56388,.T.); #6827=FACE_BOUND('',#56389,.T.); #6828=FACE_BOUND('',#56390,.T.); #6829=FACE_BOUND('',#56391,.T.); #6830=FACE_BOUND('',#56392,.T.); #6831=FACE_BOUND('',#56393,.T.); #6832=FACE_BOUND('',#56394,.T.); #6833=FACE_BOUND('',#56395,.T.); #6834=FACE_BOUND('',#56396,.T.); #6835=FACE_BOUND('',#56397,.T.); #6836=FACE_BOUND('',#56398,.T.); #6837=FACE_BOUND('',#56399,.T.); #6838=FACE_BOUND('',#56400,.T.); #6839=FACE_BOUND('',#56401,.T.); #6840=FACE_BOUND('',#56402,.T.); #6841=FACE_BOUND('',#56403,.T.); #6842=FACE_BOUND('',#56404,.T.); #6843=FACE_BOUND('',#56405,.T.); #6844=FACE_BOUND('',#56406,.T.); #6845=FACE_BOUND('',#56407,.T.); #6846=FACE_BOUND('',#56408,.T.); #6847=FACE_BOUND('',#56409,.T.); #6848=FACE_BOUND('',#56410,.T.); #6849=FACE_BOUND('',#56411,.T.); #6850=FACE_BOUND('',#56412,.T.); #6851=FACE_BOUND('',#56413,.T.); #6852=FACE_BOUND('',#56414,.T.); #6853=FACE_BOUND('',#56415,.T.); #6854=FACE_BOUND('',#56416,.T.); #6855=FACE_BOUND('',#56417,.T.); #6856=FACE_BOUND('',#56418,.T.); #6857=FACE_BOUND('',#56419,.T.); #6858=FACE_BOUND('',#56420,.T.); #6859=FACE_BOUND('',#56421,.T.); #6860=FACE_BOUND('',#56422,.T.); #6861=FACE_BOUND('',#56423,.T.); #6862=FACE_BOUND('',#56424,.T.); #6863=FACE_BOUND('',#56425,.T.); #6864=FACE_BOUND('',#56426,.T.); #6865=FACE_BOUND('',#56427,.T.); #6866=FACE_BOUND('',#56428,.T.); #6867=FACE_BOUND('',#56429,.T.); #6868=FACE_BOUND('',#56430,.T.); #6869=FACE_BOUND('',#56431,.T.); #6870=FACE_BOUND('',#56432,.T.); #6871=FACE_BOUND('',#56433,.T.); #6872=FACE_BOUND('',#56434,.T.); #6873=FACE_BOUND('',#56435,.T.); #6874=FACE_BOUND('',#56436,.T.); #6875=FACE_BOUND('',#56437,.T.); #6876=FACE_BOUND('',#56438,.T.); #6877=FACE_BOUND('',#56439,.T.); #6878=FACE_BOUND('',#56440,.T.); #6879=FACE_BOUND('',#56441,.T.); #6880=FACE_BOUND('',#56442,.T.); #6881=FACE_BOUND('',#56443,.T.); #6882=FACE_BOUND('',#56444,.T.); #6883=FACE_BOUND('',#56445,.T.); #6884=FACE_BOUND('',#56446,.T.); #6885=FACE_BOUND('',#56447,.T.); #6886=FACE_BOUND('',#56448,.T.); #6887=FACE_BOUND('',#56449,.T.); #6888=FACE_BOUND('',#56450,.T.); #6889=FACE_BOUND('',#56451,.T.); #6890=FACE_BOUND('',#56452,.T.); #6891=FACE_BOUND('',#56453,.T.); #6892=FACE_BOUND('',#56454,.T.); #6893=FACE_BOUND('',#56455,.T.); #6894=FACE_BOUND('',#56456,.T.); #6895=FACE_BOUND('',#56457,.T.); #6896=FACE_BOUND('',#56458,.T.); #6897=FACE_BOUND('',#56459,.T.); #6898=FACE_BOUND('',#56460,.T.); #6899=FACE_BOUND('',#56461,.T.); #6900=FACE_BOUND('',#56462,.T.); #6901=FACE_BOUND('',#56463,.T.); #6902=FACE_BOUND('',#56464,.T.); #6903=FACE_BOUND('',#56465,.T.); #6904=FACE_BOUND('',#56466,.T.); #6905=FACE_BOUND('',#56467,.T.); #6906=FACE_BOUND('',#56468,.T.); #6907=FACE_BOUND('',#56469,.T.); #6908=FACE_BOUND('',#56470,.T.); #6909=FACE_BOUND('',#56471,.T.); #6910=FACE_BOUND('',#56472,.T.); #6911=FACE_BOUND('',#56473,.T.); #6912=FACE_BOUND('',#56474,.T.); #6913=FACE_BOUND('',#56475,.T.); #6914=FACE_BOUND('',#56476,.T.); #6915=FACE_BOUND('',#56477,.T.); #6916=FACE_BOUND('',#56478,.T.); #6917=FACE_BOUND('',#56479,.T.); #6918=FACE_BOUND('',#56480,.T.); #6919=FACE_BOUND('',#56481,.T.); #6920=FACE_BOUND('',#56482,.T.); #6921=FACE_BOUND('',#56483,.T.); #6922=FACE_BOUND('',#56484,.T.); #6923=FACE_BOUND('',#56485,.T.); #6924=FACE_BOUND('',#56486,.T.); #6925=FACE_BOUND('',#56487,.T.); #6926=FACE_BOUND('',#56488,.T.); #6927=FACE_BOUND('',#56489,.T.); #6928=FACE_BOUND('',#56490,.T.); #6929=FACE_BOUND('',#56491,.T.); #6930=FACE_BOUND('',#56492,.T.); #6931=FACE_BOUND('',#56493,.T.); #6932=FACE_BOUND('',#56494,.T.); #6933=FACE_BOUND('',#56495,.T.); #6934=FACE_BOUND('',#56496,.T.); #6935=FACE_BOUND('',#56497,.T.); #6936=FACE_BOUND('',#56498,.T.); #6937=FACE_BOUND('',#56499,.T.); #6938=FACE_BOUND('',#56500,.T.); #6939=FACE_BOUND('',#56501,.T.); #6940=FACE_BOUND('',#56502,.T.); #6941=FACE_BOUND('',#56503,.T.); #6942=FACE_BOUND('',#56504,.T.); #6943=FACE_BOUND('',#56505,.T.); #6944=FACE_BOUND('',#56506,.T.); #6945=FACE_BOUND('',#56507,.T.); #6946=FACE_BOUND('',#56508,.T.); #6947=FACE_BOUND('',#56509,.T.); #6948=FACE_BOUND('',#56510,.T.); #6949=FACE_BOUND('',#56511,.T.); #6950=FACE_BOUND('',#56512,.T.); #6951=FACE_BOUND('',#56513,.T.); #6952=FACE_BOUND('',#56514,.T.); #6953=FACE_BOUND('',#56515,.T.); #6954=FACE_BOUND('',#56516,.T.); #6955=FACE_BOUND('',#56517,.T.); #6956=FACE_BOUND('',#56518,.T.); #6957=FACE_BOUND('',#56519,.T.); #6958=FACE_BOUND('',#56520,.T.); #6959=FACE_BOUND('',#56521,.T.); #6960=FACE_BOUND('',#56522,.T.); #6961=FACE_BOUND('',#56523,.T.); #6962=FACE_BOUND('',#56524,.T.); #6963=FACE_BOUND('',#56525,.T.); #6964=FACE_BOUND('',#56526,.T.); #6965=FACE_BOUND('',#56527,.T.); #6966=FACE_BOUND('',#56528,.T.); #6967=FACE_BOUND('',#56529,.T.); #6968=FACE_BOUND('',#56530,.T.); #6969=FACE_BOUND('',#56531,.T.); #6970=FACE_BOUND('',#56532,.T.); #6971=FACE_BOUND('',#56533,.T.); #6972=FACE_BOUND('',#56534,.T.); #6973=FACE_BOUND('',#56535,.T.); #6974=FACE_BOUND('',#56536,.T.); #6975=FACE_BOUND('',#56537,.T.); #6976=FACE_BOUND('',#56538,.T.); #6977=FACE_BOUND('',#56539,.T.); #6978=FACE_BOUND('',#56540,.T.); #6979=FACE_BOUND('',#56541,.T.); #6980=FACE_BOUND('',#56542,.T.); #6981=FACE_BOUND('',#56543,.T.); #6982=FACE_BOUND('',#56544,.T.); #6983=FACE_BOUND('',#56545,.T.); #6984=FACE_BOUND('',#56546,.T.); #6985=FACE_BOUND('',#56547,.T.); #6986=FACE_BOUND('',#56548,.T.); #6987=FACE_BOUND('',#56549,.T.); #6988=FACE_BOUND('',#56550,.T.); #6989=FACE_BOUND('',#56551,.T.); #6990=FACE_BOUND('',#56552,.T.); #6991=FACE_BOUND('',#56553,.T.); #6992=FACE_BOUND('',#56554,.T.); #6993=FACE_BOUND('',#56555,.T.); #6994=FACE_BOUND('',#56556,.T.); #6995=FACE_BOUND('',#56557,.T.); #6996=FACE_BOUND('',#56558,.T.); #6997=FACE_BOUND('',#56559,.T.); #6998=FACE_BOUND('',#56560,.T.); #6999=FACE_BOUND('',#56561,.T.); #7000=FACE_BOUND('',#56562,.T.); #7001=FACE_BOUND('',#56563,.T.); #7002=FACE_BOUND('',#56564,.T.); #7003=FACE_BOUND('',#56565,.T.); #7004=FACE_BOUND('',#56566,.T.); #7005=FACE_BOUND('',#56567,.T.); #7006=FACE_BOUND('',#56568,.T.); #7007=FACE_BOUND('',#56569,.T.); #7008=FACE_BOUND('',#56570,.T.); #7009=FACE_BOUND('',#56571,.T.); #7010=FACE_BOUND('',#56572,.T.); #7011=FACE_BOUND('',#56573,.T.); #7012=FACE_BOUND('',#56574,.T.); #7013=FACE_BOUND('',#56575,.T.); #7014=FACE_BOUND('',#56576,.T.); #7015=FACE_BOUND('',#56577,.T.); #7016=FACE_BOUND('',#56578,.T.); #7017=FACE_BOUND('',#56579,.T.); #7018=FACE_BOUND('',#56580,.T.); #7019=FACE_BOUND('',#56581,.T.); #7020=FACE_BOUND('',#56582,.T.); #7021=FACE_BOUND('',#56583,.T.); #7022=FACE_BOUND('',#56584,.T.); #7023=FACE_BOUND('',#56585,.T.); #7024=FACE_BOUND('',#56586,.T.); #7025=FACE_BOUND('',#56587,.T.); #7026=FACE_BOUND('',#56588,.T.); #7027=FACE_BOUND('',#56589,.T.); #7028=FACE_BOUND('',#56590,.T.); #7029=FACE_BOUND('',#56591,.T.); #7030=FACE_BOUND('',#56592,.T.); #7031=FACE_BOUND('',#56593,.T.); #7032=FACE_BOUND('',#56594,.T.); #7033=FACE_BOUND('',#56595,.T.); #7034=FACE_BOUND('',#56596,.T.); #7035=FACE_BOUND('',#56597,.T.); #7036=FACE_BOUND('',#56598,.T.); #7037=FACE_BOUND('',#56599,.T.); #7038=FACE_BOUND('',#56600,.T.); #7039=FACE_BOUND('',#56601,.T.); #7040=FACE_BOUND('',#56602,.T.); #7041=FACE_BOUND('',#56603,.T.); #7042=FACE_BOUND('',#56604,.T.); #7043=FACE_BOUND('',#56605,.T.); #7044=FACE_BOUND('',#56606,.T.); #7045=FACE_BOUND('',#56607,.T.); #7046=FACE_BOUND('',#56608,.T.); #7047=FACE_BOUND('',#56609,.T.); #7048=FACE_BOUND('',#56610,.T.); #7049=FACE_BOUND('',#56611,.T.); #7050=FACE_BOUND('',#56612,.T.); #7051=FACE_BOUND('',#56613,.T.); #7052=FACE_BOUND('',#56614,.T.); #7053=FACE_BOUND('',#56615,.T.); #7054=FACE_BOUND('',#56616,.T.); #7055=FACE_BOUND('',#56617,.T.); #7056=FACE_BOUND('',#56618,.T.); #7057=FACE_BOUND('',#56619,.T.); #7058=FACE_BOUND('',#56620,.T.); #7059=FACE_BOUND('',#56621,.T.); #7060=FACE_BOUND('',#56622,.T.); #7061=FACE_BOUND('',#56623,.T.); #7062=FACE_BOUND('',#56624,.T.); #7063=FACE_BOUND('',#56625,.T.); #7064=FACE_BOUND('',#56626,.T.); #7065=FACE_BOUND('',#56627,.T.); #7066=FACE_BOUND('',#56628,.T.); #7067=FACE_BOUND('',#56629,.T.); #7068=FACE_BOUND('',#56630,.T.); #7069=FACE_BOUND('',#56631,.T.); #7070=FACE_BOUND('',#56632,.T.); #7071=FACE_BOUND('',#56633,.T.); #7072=FACE_BOUND('',#56634,.T.); #7073=FACE_BOUND('',#56635,.T.); #7074=FACE_BOUND('',#56636,.T.); #7075=FACE_BOUND('',#56637,.T.); #7076=FACE_BOUND('',#56638,.T.); #7077=FACE_BOUND('',#56639,.T.); #7078=FACE_BOUND('',#56640,.T.); #7079=FACE_BOUND('',#56641,.T.); #7080=FACE_BOUND('',#56642,.T.); #7081=FACE_BOUND('',#56643,.T.); #7082=FACE_BOUND('',#56644,.T.); #7083=FACE_BOUND('',#56645,.T.); #7084=FACE_BOUND('',#56646,.T.); #7085=FACE_BOUND('',#56647,.T.); #7086=FACE_BOUND('',#56648,.T.); #7087=FACE_BOUND('',#56649,.T.); #7088=FACE_BOUND('',#56650,.T.); #7089=FACE_BOUND('',#56651,.T.); #7090=FACE_BOUND('',#56652,.T.); #7091=FACE_BOUND('',#56653,.T.); #7092=FACE_BOUND('',#56654,.T.); #7093=FACE_BOUND('',#56655,.T.); #7094=FACE_BOUND('',#56656,.T.); #7095=FACE_BOUND('',#56657,.T.); #7096=FACE_BOUND('',#56658,.T.); #7097=FACE_BOUND('',#56659,.T.); #7098=FACE_BOUND('',#56660,.T.); #7099=FACE_BOUND('',#56661,.T.); #7100=FACE_BOUND('',#56662,.T.); #7101=FACE_BOUND('',#56663,.T.); #7102=FACE_BOUND('',#56664,.T.); #7103=FACE_BOUND('',#56665,.T.); #7104=FACE_BOUND('',#56666,.T.); #7105=FACE_BOUND('',#56667,.T.); #7106=FACE_BOUND('',#56668,.T.); #7107=FACE_BOUND('',#56669,.T.); #7108=FACE_BOUND('',#56670,.T.); #7109=FACE_BOUND('',#56671,.T.); #7110=FACE_BOUND('',#56672,.T.); #7111=FACE_BOUND('',#56673,.T.); #7112=FACE_BOUND('',#56674,.T.); #7113=FACE_BOUND('',#56675,.T.); #7114=FACE_BOUND('',#56676,.T.); #7115=FACE_BOUND('',#56677,.T.); #7116=FACE_BOUND('',#56678,.T.); #7117=FACE_BOUND('',#56679,.T.); #7118=FACE_BOUND('',#56680,.T.); #7119=FACE_BOUND('',#56681,.T.); #7120=FACE_BOUND('',#56682,.T.); #7121=FACE_BOUND('',#56683,.T.); #7122=FACE_BOUND('',#56684,.T.); #7123=FACE_BOUND('',#56685,.T.); #7124=FACE_BOUND('',#56686,.T.); #7125=FACE_BOUND('',#56687,.T.); #7126=FACE_BOUND('',#56688,.T.); #7127=FACE_BOUND('',#56689,.T.); #7128=FACE_BOUND('',#56690,.T.); #7129=FACE_BOUND('',#56691,.T.); #7130=FACE_BOUND('',#56692,.T.); #7131=FACE_BOUND('',#56693,.T.); #7132=FACE_BOUND('',#56694,.T.); #7133=FACE_BOUND('',#56695,.T.); #7134=FACE_BOUND('',#56696,.T.); #7135=FACE_BOUND('',#56697,.T.); #7136=FACE_BOUND('',#56698,.T.); #7137=FACE_BOUND('',#56699,.T.); #7138=FACE_BOUND('',#56700,.T.); #7139=FACE_BOUND('',#56701,.T.); #7140=FACE_BOUND('',#56702,.T.); #7141=FACE_BOUND('',#56703,.T.); #7142=FACE_BOUND('',#56704,.T.); #7143=FACE_BOUND('',#56705,.T.); #7144=FACE_BOUND('',#56706,.T.); #7145=FACE_BOUND('',#56707,.T.); #7146=FACE_BOUND('',#56708,.T.); #7147=FACE_BOUND('',#56709,.T.); #7148=FACE_BOUND('',#56710,.T.); #7149=FACE_BOUND('',#56711,.T.); #7150=FACE_BOUND('',#56712,.T.); #7151=FACE_BOUND('',#56713,.T.); #7152=FACE_BOUND('',#56714,.T.); #7153=FACE_BOUND('',#57067,.T.); #7154=FACE_BOUND('',#57068,.T.); #7155=FACE_BOUND('',#57069,.T.); #7156=FACE_BOUND('',#57070,.T.); #7157=FACE_BOUND('',#57071,.T.); #7158=FACE_BOUND('',#57072,.T.); #7159=FACE_BOUND('',#57073,.T.); #7160=FACE_BOUND('',#57074,.T.); #7161=FACE_BOUND('',#57075,.T.); #7162=FACE_BOUND('',#57076,.T.); #7163=FACE_BOUND('',#57077,.T.); #7164=FACE_BOUND('',#57078,.T.); #7165=FACE_BOUND('',#57079,.T.); #7166=FACE_BOUND('',#57080,.T.); #7167=FACE_BOUND('',#57081,.T.); #7168=FACE_BOUND('',#57082,.T.); #7169=FACE_BOUND('',#57083,.T.); #7170=FACE_BOUND('',#57084,.T.); #7171=FACE_BOUND('',#57085,.T.); #7172=FACE_BOUND('',#57086,.T.); #7173=FACE_BOUND('',#57087,.T.); #7174=FACE_BOUND('',#57088,.T.); #7175=FACE_BOUND('',#57089,.T.); #7176=FACE_BOUND('',#57090,.T.); #7177=FACE_BOUND('',#57091,.T.); #7178=FACE_BOUND('',#57092,.T.); #7179=FACE_BOUND('',#57093,.T.); #7180=FACE_BOUND('',#57094,.T.); #7181=FACE_BOUND('',#57095,.T.); #7182=FACE_BOUND('',#57096,.T.); #7183=FACE_BOUND('',#57097,.T.); #7184=FACE_BOUND('',#57098,.T.); #7185=FACE_BOUND('',#57099,.T.); #7186=FACE_BOUND('',#57100,.T.); #7187=FACE_BOUND('',#57101,.T.); #7188=FACE_BOUND('',#57102,.T.); #7189=FACE_BOUND('',#57103,.T.); #7190=FACE_BOUND('',#57104,.T.); #7191=FACE_BOUND('',#57105,.T.); #7192=FACE_BOUND('',#57106,.T.); #7193=FACE_BOUND('',#57107,.T.); #7194=FACE_BOUND('',#57108,.T.); #7195=FACE_BOUND('',#57109,.T.); #7196=FACE_BOUND('',#57110,.T.); #7197=FACE_BOUND('',#57111,.T.); #7198=FACE_BOUND('',#57112,.T.); #7199=FACE_BOUND('',#57113,.T.); #7200=FACE_BOUND('',#57114,.T.); #7201=FACE_BOUND('',#57115,.T.); #7202=FACE_BOUND('',#57116,.T.); #7203=FACE_BOUND('',#57117,.T.); #7204=FACE_BOUND('',#57118,.T.); #7205=FACE_BOUND('',#57119,.T.); #7206=FACE_BOUND('',#57120,.T.); #7207=FACE_BOUND('',#57121,.T.); #7208=FACE_BOUND('',#57122,.T.); #7209=FACE_BOUND('',#57123,.T.); #7210=FACE_BOUND('',#57124,.T.); #7211=FACE_BOUND('',#57125,.T.); #7212=FACE_BOUND('',#57126,.T.); #7213=FACE_BOUND('',#57127,.T.); #7214=FACE_BOUND('',#57128,.T.); #7215=FACE_BOUND('',#57129,.T.); #7216=FACE_BOUND('',#57130,.T.); #7217=FACE_BOUND('',#57131,.T.); #7218=FACE_BOUND('',#57132,.T.); #7219=FACE_BOUND('',#57133,.T.); #7220=FACE_BOUND('',#57134,.T.); #7221=FACE_BOUND('',#57135,.T.); #7222=FACE_BOUND('',#57136,.T.); #7223=FACE_BOUND('',#57137,.T.); #7224=FACE_BOUND('',#57138,.T.); #7225=FACE_BOUND('',#57139,.T.); #7226=FACE_BOUND('',#57140,.T.); #7227=FACE_BOUND('',#57141,.T.); #7228=FACE_BOUND('',#57142,.T.); #7229=FACE_BOUND('',#57143,.T.); #7230=FACE_BOUND('',#57144,.T.); #7231=FACE_BOUND('',#57145,.T.); #7232=FACE_BOUND('',#57146,.T.); #7233=FACE_BOUND('',#57147,.T.); #7234=FACE_BOUND('',#57148,.T.); #7235=FACE_BOUND('',#57149,.T.); #7236=FACE_BOUND('',#57150,.T.); #7237=FACE_BOUND('',#57151,.T.); #7238=FACE_BOUND('',#57152,.T.); #7239=FACE_BOUND('',#57153,.T.); #7240=FACE_BOUND('',#57154,.T.); #7241=FACE_BOUND('',#57155,.T.); #7242=FACE_BOUND('',#57156,.T.); #7243=FACE_BOUND('',#57157,.T.); #7244=FACE_BOUND('',#57158,.T.); #7245=FACE_BOUND('',#57159,.T.); #7246=FACE_BOUND('',#57160,.T.); #7247=FACE_BOUND('',#57161,.T.); #7248=FACE_BOUND('',#57162,.T.); #7249=FACE_BOUND('',#57163,.T.); #7250=FACE_BOUND('',#57164,.T.); #7251=FACE_BOUND('',#57165,.T.); #7252=FACE_BOUND('',#57166,.T.); #7253=FACE_BOUND('',#57167,.T.); #7254=FACE_BOUND('',#57168,.T.); #7255=FACE_BOUND('',#57169,.T.); #7256=FACE_BOUND('',#57170,.T.); #7257=FACE_BOUND('',#57171,.T.); #7258=FACE_BOUND('',#57172,.T.); #7259=FACE_BOUND('',#57173,.T.); #7260=FACE_BOUND('',#57174,.T.); #7261=FACE_BOUND('',#57175,.T.); #7262=FACE_BOUND('',#57176,.T.); #7263=FACE_BOUND('',#57177,.T.); #7264=FACE_BOUND('',#57178,.T.); #7265=FACE_BOUND('',#57179,.T.); #7266=FACE_BOUND('',#57180,.T.); #7267=FACE_BOUND('',#57181,.T.); #7268=FACE_BOUND('',#57182,.T.); #7269=FACE_BOUND('',#57183,.T.); #7270=FACE_BOUND('',#57184,.T.); #7271=FACE_BOUND('',#57185,.T.); #7272=FACE_BOUND('',#57186,.T.); #7273=FACE_BOUND('',#57187,.T.); #7274=FACE_BOUND('',#57188,.T.); #7275=FACE_BOUND('',#57189,.T.); #7276=FACE_BOUND('',#57190,.T.); #7277=FACE_BOUND('',#57191,.T.); #7278=FACE_BOUND('',#57192,.T.); #7279=FACE_BOUND('',#57193,.T.); #7280=FACE_BOUND('',#57194,.T.); #7281=FACE_BOUND('',#57195,.T.); #7282=FACE_BOUND('',#57196,.T.); #7283=FACE_BOUND('',#57197,.T.); #7284=FACE_BOUND('',#57198,.T.); #7285=FACE_BOUND('',#57199,.T.); #7286=FACE_BOUND('',#57200,.T.); #7287=FACE_BOUND('',#57201,.T.); #7288=FACE_BOUND('',#57202,.T.); #7289=FACE_BOUND('',#57203,.T.); #7290=FACE_BOUND('',#57204,.T.); #7291=FACE_BOUND('',#57205,.T.); #7292=FACE_BOUND('',#57206,.T.); #7293=FACE_BOUND('',#57207,.T.); #7294=FACE_BOUND('',#57208,.T.); #7295=FACE_BOUND('',#57209,.T.); #7296=FACE_BOUND('',#57210,.T.); #7297=FACE_BOUND('',#57211,.T.); #7298=FACE_BOUND('',#57212,.T.); #7299=FACE_BOUND('',#57213,.T.); #7300=FACE_BOUND('',#57214,.T.); #7301=FACE_BOUND('',#57215,.T.); #7302=FACE_BOUND('',#57216,.T.); #7303=FACE_BOUND('',#57217,.T.); #7304=FACE_BOUND('',#57218,.T.); #7305=FACE_BOUND('',#57219,.T.); #7306=FACE_BOUND('',#57220,.T.); #7307=FACE_BOUND('',#57221,.T.); #7308=FACE_BOUND('',#57222,.T.); #7309=FACE_BOUND('',#57223,.T.); #7310=FACE_BOUND('',#57224,.T.); #7311=FACE_BOUND('',#57225,.T.); #7312=FACE_BOUND('',#57226,.T.); #7313=FACE_BOUND('',#57227,.T.); #7314=FACE_BOUND('',#57228,.T.); #7315=FACE_BOUND('',#57229,.T.); #7316=FACE_BOUND('',#57230,.T.); #7317=FACE_BOUND('',#57231,.T.); #7318=FACE_BOUND('',#57232,.T.); #7319=FACE_BOUND('',#57233,.T.); #7320=FACE_BOUND('',#57234,.T.); #7321=FACE_BOUND('',#57235,.T.); #7322=FACE_BOUND('',#57236,.T.); #7323=FACE_BOUND('',#57237,.T.); #7324=FACE_BOUND('',#57238,.T.); #7325=FACE_BOUND('',#57239,.T.); #7326=FACE_BOUND('',#57240,.T.); #7327=FACE_BOUND('',#57241,.T.); #7328=FACE_BOUND('',#57242,.T.); #7329=FACE_BOUND('',#57243,.T.); #7330=FACE_BOUND('',#57244,.T.); #7331=FACE_BOUND('',#57245,.T.); #7332=FACE_BOUND('',#57246,.T.); #7333=FACE_BOUND('',#57247,.T.); #7334=FACE_BOUND('',#57248,.T.); #7335=FACE_BOUND('',#57249,.T.); #7336=FACE_BOUND('',#57250,.T.); #7337=FACE_BOUND('',#57251,.T.); #7338=FACE_BOUND('',#57252,.T.); #7339=FACE_BOUND('',#57253,.T.); #7340=FACE_BOUND('',#57254,.T.); #7341=FACE_BOUND('',#57255,.T.); #7342=FACE_BOUND('',#57256,.T.); #7343=FACE_BOUND('',#57257,.T.); #7344=FACE_BOUND('',#57258,.T.); #7345=FACE_BOUND('',#57259,.T.); #7346=FACE_BOUND('',#57260,.T.); #7347=FACE_BOUND('',#57261,.T.); #7348=FACE_BOUND('',#57262,.T.); #7349=FACE_BOUND('',#57263,.T.); #7350=FACE_BOUND('',#57264,.T.); #7351=FACE_BOUND('',#57265,.T.); #7352=FACE_BOUND('',#57266,.T.); #7353=FACE_BOUND('',#57267,.T.); #7354=FACE_BOUND('',#57268,.T.); #7355=FACE_BOUND('',#57269,.T.); #7356=FACE_BOUND('',#57270,.T.); #7357=FACE_BOUND('',#57271,.T.); #7358=FACE_BOUND('',#57272,.T.); #7359=FACE_BOUND('',#57273,.T.); #7360=FACE_BOUND('',#57274,.T.); #7361=FACE_BOUND('',#57275,.T.); #7362=FACE_BOUND('',#57276,.T.); #7363=FACE_BOUND('',#57277,.T.); #7364=FACE_BOUND('',#57278,.T.); #7365=FACE_BOUND('',#57279,.T.); #7366=FACE_BOUND('',#57280,.T.); #7367=FACE_BOUND('',#57281,.T.); #7368=FACE_BOUND('',#57282,.T.); #7369=FACE_BOUND('',#57283,.T.); #7370=FACE_BOUND('',#57284,.T.); #7371=FACE_BOUND('',#57285,.T.); #7372=FACE_BOUND('',#57286,.T.); #7373=FACE_BOUND('',#57287,.T.); #7374=FACE_BOUND('',#57288,.T.); #7375=FACE_BOUND('',#57289,.T.); #7376=FACE_BOUND('',#57290,.T.); #7377=FACE_BOUND('',#57291,.T.); #7378=FACE_BOUND('',#57292,.T.); #7379=FACE_BOUND('',#57293,.T.); #7380=FACE_BOUND('',#57294,.T.); #7381=FACE_BOUND('',#57295,.T.); #7382=FACE_BOUND('',#57296,.T.); #7383=FACE_BOUND('',#57297,.T.); #7384=FACE_BOUND('',#57298,.T.); #7385=FACE_BOUND('',#57299,.T.); #7386=FACE_BOUND('',#57300,.T.); #7387=FACE_BOUND('',#57301,.T.); #7388=FACE_BOUND('',#57302,.T.); #7389=FACE_BOUND('',#57303,.T.); #7390=FACE_BOUND('',#57304,.T.); #7391=FACE_BOUND('',#57305,.T.); #7392=FACE_BOUND('',#57306,.T.); #7393=FACE_BOUND('',#57307,.T.); #7394=FACE_BOUND('',#57308,.T.); #7395=FACE_BOUND('',#57309,.T.); #7396=FACE_BOUND('',#57310,.T.); #7397=FACE_BOUND('',#57311,.T.); #7398=FACE_BOUND('',#57312,.T.); #7399=FACE_BOUND('',#57313,.T.); #7400=FACE_BOUND('',#57314,.T.); #7401=FACE_BOUND('',#57315,.T.); #7402=FACE_BOUND('',#57316,.T.); #7403=FACE_BOUND('',#57317,.T.); #7404=FACE_BOUND('',#57318,.T.); #7405=FACE_BOUND('',#57319,.T.); #7406=FACE_BOUND('',#57320,.T.); #7407=FACE_BOUND('',#57321,.T.); #7408=FACE_BOUND('',#57322,.T.); #7409=FACE_BOUND('',#57323,.T.); #7410=FACE_BOUND('',#57324,.T.); #7411=FACE_BOUND('',#57325,.T.); #7412=FACE_BOUND('',#57326,.T.); #7413=FACE_BOUND('',#57327,.T.); #7414=FACE_BOUND('',#57328,.T.); #7415=FACE_BOUND('',#57329,.T.); #7416=FACE_BOUND('',#57330,.T.); #7417=FACE_BOUND('',#57331,.T.); #7418=FACE_BOUND('',#57332,.T.); #7419=FACE_BOUND('',#57333,.T.); #7420=FACE_BOUND('',#57334,.T.); #7421=FACE_BOUND('',#57335,.T.); #7422=FACE_BOUND('',#57336,.T.); #7423=FACE_BOUND('',#57337,.T.); #7424=FACE_BOUND('',#57338,.T.); #7425=FACE_BOUND('',#57339,.T.); #7426=FACE_BOUND('',#57340,.T.); #7427=FACE_BOUND('',#57341,.T.); #7428=FACE_BOUND('',#57342,.T.); #7429=FACE_BOUND('',#57343,.T.); #7430=FACE_BOUND('',#57344,.T.); #7431=FACE_BOUND('',#57345,.T.); #7432=FACE_BOUND('',#57346,.T.); #7433=FACE_BOUND('',#57347,.T.); #7434=FACE_BOUND('',#57348,.T.); #7435=FACE_BOUND('',#57349,.T.); #7436=FACE_BOUND('',#57350,.T.); #7437=FACE_BOUND('',#57351,.T.); #7438=FACE_BOUND('',#57352,.T.); #7439=FACE_BOUND('',#57353,.T.); #7440=FACE_BOUND('',#57354,.T.); #7441=FACE_BOUND('',#57355,.T.); #7442=FACE_BOUND('',#57356,.T.); #7443=FACE_BOUND('',#57357,.T.); #7444=FACE_BOUND('',#57358,.T.); #7445=FACE_BOUND('',#57359,.T.); #7446=FACE_BOUND('',#57360,.T.); #7447=FACE_BOUND('',#57361,.T.); #7448=FACE_BOUND('',#57362,.T.); #7449=FACE_BOUND('',#57363,.T.); #7450=FACE_BOUND('',#57364,.T.); #7451=FACE_BOUND('',#57365,.T.); #7452=FACE_BOUND('',#57366,.T.); #7453=FACE_BOUND('',#57367,.T.); #7454=FACE_BOUND('',#57368,.T.); #7455=FACE_BOUND('',#57369,.T.); #7456=FACE_BOUND('',#57370,.T.); #7457=FACE_BOUND('',#57371,.T.); #7458=FACE_BOUND('',#57372,.T.); #7459=FACE_BOUND('',#57373,.T.); #7460=FACE_BOUND('',#57374,.T.); #7461=FACE_BOUND('',#57375,.T.); #7462=FACE_BOUND('',#57376,.T.); #7463=FACE_BOUND('',#57377,.T.); #7464=FACE_BOUND('',#57378,.T.); #7465=FACE_BOUND('',#57379,.T.); #7466=FACE_BOUND('',#57380,.T.); #7467=FACE_BOUND('',#57381,.T.); #7468=FACE_BOUND('',#57382,.T.); #7469=FACE_BOUND('',#57383,.T.); #7470=FACE_BOUND('',#57384,.T.); #7471=FACE_BOUND('',#57385,.T.); #7472=FACE_BOUND('',#57386,.T.); #7473=FACE_BOUND('',#57387,.T.); #7474=FACE_BOUND('',#57388,.T.); #7475=FACE_BOUND('',#57389,.T.); #7476=FACE_BOUND('',#57390,.T.); #7477=FACE_BOUND('',#57391,.T.); #7478=FACE_BOUND('',#57392,.T.); #7479=FACE_BOUND('',#57393,.T.); #7480=FACE_BOUND('',#57394,.T.); #7481=FACE_BOUND('',#57395,.T.); #7482=FACE_BOUND('',#57396,.T.); #7483=FACE_BOUND('',#57397,.T.); #7484=FACE_BOUND('',#57398,.T.); #7485=FACE_BOUND('',#57400,.T.); #7486=FACE_BOUND('',#57401,.T.); #7487=FACE_BOUND('',#57402,.T.); #7488=FACE_BOUND('',#57403,.T.); #7489=FACE_BOUND('',#57404,.T.); #7490=FACE_BOUND('',#57405,.T.); #7491=FACE_BOUND('',#57406,.T.); #7492=FACE_BOUND('',#57407,.T.); #7493=FACE_BOUND('',#57408,.T.); #7494=FACE_BOUND('',#57409,.T.); #7495=FACE_BOUND('',#57410,.T.); #7496=FACE_BOUND('',#57411,.T.); #7497=FACE_BOUND('',#57412,.T.); #7498=FACE_BOUND('',#57413,.T.); #7499=FACE_BOUND('',#57414,.T.); #7500=FACE_BOUND('',#57415,.T.); #7501=FACE_BOUND('',#57416,.T.); #7502=FACE_BOUND('',#57417,.T.); #7503=FACE_BOUND('',#57418,.T.); #7504=FACE_BOUND('',#57419,.T.); #7505=FACE_BOUND('',#57420,.T.); #7506=FACE_BOUND('',#57421,.T.); #7507=FACE_BOUND('',#57422,.T.); #7508=FACE_BOUND('',#57423,.T.); #7509=FACE_BOUND('',#57424,.T.); #7510=FACE_BOUND('',#57425,.T.); #7511=FACE_BOUND('',#57426,.T.); #7512=FACE_BOUND('',#57427,.T.); #7513=FACE_BOUND('',#57428,.T.); #7514=FACE_BOUND('',#57429,.T.); #7515=FACE_BOUND('',#57430,.T.); #7516=FACE_BOUND('',#57431,.T.); #7517=FACE_BOUND('',#57432,.T.); #7518=FACE_BOUND('',#57433,.T.); #7519=FACE_BOUND('',#57434,.T.); #7520=FACE_BOUND('',#57435,.T.); #7521=FACE_BOUND('',#57436,.T.); #7522=FACE_BOUND('',#57437,.T.); #7523=FACE_BOUND('',#57438,.T.); #7524=FACE_BOUND('',#57439,.T.); #7525=FACE_BOUND('',#57440,.T.); #7526=FACE_BOUND('',#57441,.T.); #7527=FACE_BOUND('',#57442,.T.); #7528=FACE_BOUND('',#57443,.T.); #7529=FACE_BOUND('',#57444,.T.); #7530=FACE_BOUND('',#57445,.T.); #7531=FACE_BOUND('',#57446,.T.); #7532=FACE_BOUND('',#57447,.T.); #7533=FACE_BOUND('',#57448,.T.); #7534=FACE_BOUND('',#57449,.T.); #7535=FACE_BOUND('',#57450,.T.); #7536=FACE_BOUND('',#57451,.T.); #7537=FACE_BOUND('',#57452,.T.); #7538=FACE_BOUND('',#57453,.T.); #7539=FACE_BOUND('',#57454,.T.); #7540=FACE_BOUND('',#57455,.T.); #7541=FACE_BOUND('',#57456,.T.); #7542=FACE_BOUND('',#57457,.T.); #7543=FACE_BOUND('',#57458,.T.); #7544=FACE_BOUND('',#57459,.T.); #7545=FACE_BOUND('',#57460,.T.); #7546=FACE_BOUND('',#57461,.T.); #7547=FACE_BOUND('',#57462,.T.); #7548=FACE_BOUND('',#57463,.T.); #7549=FACE_BOUND('',#57464,.T.); #7550=FACE_BOUND('',#57465,.T.); #7551=FACE_BOUND('',#57466,.T.); #7552=FACE_BOUND('',#57467,.T.); #7553=FACE_BOUND('',#57468,.T.); #7554=FACE_BOUND('',#57469,.T.); #7555=FACE_BOUND('',#57470,.T.); #7556=FACE_BOUND('',#57471,.T.); #7557=FACE_BOUND('',#57472,.T.); #7558=FACE_BOUND('',#57473,.T.); #7559=FACE_BOUND('',#57474,.T.); #7560=FACE_BOUND('',#57475,.T.); #7561=FACE_BOUND('',#57476,.T.); #7562=FACE_BOUND('',#57477,.T.); #7563=FACE_BOUND('',#57478,.T.); #7564=FACE_BOUND('',#57479,.T.); #7565=FACE_BOUND('',#57480,.T.); #7566=FACE_BOUND('',#57481,.T.); #7567=FACE_BOUND('',#57482,.T.); #7568=FACE_BOUND('',#57483,.T.); #7569=FACE_BOUND('',#57484,.T.); #7570=FACE_BOUND('',#57485,.T.); #7571=FACE_BOUND('',#57486,.T.); #7572=FACE_BOUND('',#57487,.T.); #7573=FACE_BOUND('',#57488,.T.); #7574=FACE_BOUND('',#57489,.T.); #7575=FACE_BOUND('',#57490,.T.); #7576=FACE_BOUND('',#57491,.T.); #7577=FACE_BOUND('',#57492,.T.); #7578=FACE_BOUND('',#57493,.T.); #7579=FACE_BOUND('',#57494,.T.); #7580=FACE_BOUND('',#57495,.T.); #7581=FACE_BOUND('',#57496,.T.); #7582=FACE_BOUND('',#57497,.T.); #7583=FACE_BOUND('',#57498,.T.); #7584=FACE_BOUND('',#57499,.T.); #7585=FACE_BOUND('',#57500,.T.); #7586=FACE_BOUND('',#57501,.T.); #7587=FACE_BOUND('',#57502,.T.); #7588=FACE_BOUND('',#57503,.T.); #7589=FACE_BOUND('',#57504,.T.); #7590=FACE_BOUND('',#57505,.T.); #7591=FACE_BOUND('',#57506,.T.); #7592=FACE_BOUND('',#57507,.T.); #7593=FACE_BOUND('',#57508,.T.); #7594=FACE_BOUND('',#57509,.T.); #7595=FACE_BOUND('',#57510,.T.); #7596=FACE_BOUND('',#57511,.T.); #7597=FACE_BOUND('',#57512,.T.); #7598=FACE_BOUND('',#57513,.T.); #7599=FACE_BOUND('',#57514,.T.); #7600=FACE_BOUND('',#57515,.T.); #7601=FACE_BOUND('',#57516,.T.); #7602=FACE_BOUND('',#57517,.T.); #7603=FACE_BOUND('',#57518,.T.); #7604=FACE_BOUND('',#57519,.T.); #7605=FACE_BOUND('',#57520,.T.); #7606=FACE_BOUND('',#57521,.T.); #7607=FACE_BOUND('',#57522,.T.); #7608=FACE_BOUND('',#57523,.T.); #7609=FACE_BOUND('',#57524,.T.); #7610=FACE_BOUND('',#57525,.T.); #7611=FACE_BOUND('',#57526,.T.); #7612=FACE_BOUND('',#57527,.T.); #7613=FACE_BOUND('',#57528,.T.); #7614=FACE_BOUND('',#57529,.T.); #7615=FACE_BOUND('',#57530,.T.); #7616=FACE_BOUND('',#57531,.T.); #7617=FACE_BOUND('',#57532,.T.); #7618=FACE_BOUND('',#57533,.T.); #7619=FACE_BOUND('',#57534,.T.); #7620=FACE_BOUND('',#57535,.T.); #7621=FACE_BOUND('',#57536,.T.); #7622=FACE_BOUND('',#57537,.T.); #7623=FACE_BOUND('',#57538,.T.); #7624=FACE_BOUND('',#57539,.T.); #7625=FACE_BOUND('',#57540,.T.); #7626=FACE_BOUND('',#57541,.T.); #7627=FACE_BOUND('',#57542,.T.); #7628=FACE_BOUND('',#57543,.T.); #7629=FACE_BOUND('',#57544,.T.); #7630=FACE_BOUND('',#57545,.T.); #7631=FACE_BOUND('',#57546,.T.); #7632=FACE_BOUND('',#57547,.T.); #7633=FACE_BOUND('',#57548,.T.); #7634=FACE_BOUND('',#57549,.T.); #7635=FACE_BOUND('',#57550,.T.); #7636=FACE_BOUND('',#57551,.T.); #7637=FACE_BOUND('',#57552,.T.); #7638=FACE_BOUND('',#57553,.T.); #7639=FACE_BOUND('',#57554,.T.); #7640=FACE_BOUND('',#57555,.T.); #7641=FACE_BOUND('',#57556,.T.); #7642=FACE_BOUND('',#57557,.T.); #7643=FACE_BOUND('',#57558,.T.); #7644=FACE_BOUND('',#57559,.T.); #7645=FACE_BOUND('',#57560,.T.); #7646=FACE_BOUND('',#57561,.T.); #7647=FACE_BOUND('',#57562,.T.); #7648=FACE_BOUND('',#57563,.T.); #7649=FACE_BOUND('',#57564,.T.); #7650=FACE_BOUND('',#57565,.T.); #7651=FACE_BOUND('',#57566,.T.); #7652=FACE_BOUND('',#57567,.T.); #7653=FACE_BOUND('',#57568,.T.); #7654=FACE_BOUND('',#57569,.T.); #7655=FACE_BOUND('',#57570,.T.); #7656=FACE_BOUND('',#57571,.T.); #7657=FACE_BOUND('',#57572,.T.); #7658=FACE_BOUND('',#57573,.T.); #7659=FACE_BOUND('',#57574,.T.); #7660=FACE_BOUND('',#57575,.T.); #7661=FACE_BOUND('',#57576,.T.); #7662=FACE_BOUND('',#57577,.T.); #7663=FACE_BOUND('',#57578,.T.); #7664=FACE_BOUND('',#57579,.T.); #7665=FACE_BOUND('',#57580,.T.); #7666=FACE_BOUND('',#57581,.T.); #7667=FACE_BOUND('',#57582,.T.); #7668=FACE_BOUND('',#57583,.T.); #7669=FACE_BOUND('',#57584,.T.); #7670=FACE_BOUND('',#57585,.T.); #7671=FACE_BOUND('',#57586,.T.); #7672=FACE_BOUND('',#57587,.T.); #7673=FACE_BOUND('',#57588,.T.); #7674=FACE_BOUND('',#57589,.T.); #7675=FACE_BOUND('',#57590,.T.); #7676=FACE_BOUND('',#57591,.T.); #7677=FACE_BOUND('',#57592,.T.); #7678=FACE_BOUND('',#57593,.T.); #7679=FACE_BOUND('',#57594,.T.); #7680=FACE_BOUND('',#57595,.T.); #7681=FACE_BOUND('',#57596,.T.); #7682=FACE_BOUND('',#57597,.T.); #7683=FACE_BOUND('',#57598,.T.); #7684=FACE_BOUND('',#57599,.T.); #7685=FACE_BOUND('',#57600,.T.); #7686=FACE_BOUND('',#57601,.T.); #7687=FACE_BOUND('',#57602,.T.); #7688=FACE_BOUND('',#57603,.T.); #7689=FACE_BOUND('',#57604,.T.); #7690=FACE_BOUND('',#57605,.T.); #7691=FACE_BOUND('',#57606,.T.); #7692=FACE_BOUND('',#57607,.T.); #7693=FACE_BOUND('',#57608,.T.); #7694=FACE_BOUND('',#57609,.T.); #7695=FACE_BOUND('',#57610,.T.); #7696=FACE_BOUND('',#57611,.T.); #7697=FACE_BOUND('',#57612,.T.); #7698=FACE_BOUND('',#57613,.T.); #7699=FACE_BOUND('',#57614,.T.); #7700=FACE_BOUND('',#57615,.T.); #7701=FACE_BOUND('',#57616,.T.); #7702=FACE_BOUND('',#57617,.T.); #7703=FACE_BOUND('',#57618,.T.); #7704=FACE_BOUND('',#57619,.T.); #7705=FACE_BOUND('',#57620,.T.); #7706=FACE_BOUND('',#57621,.T.); #7707=FACE_BOUND('',#57622,.T.); #7708=FACE_BOUND('',#57623,.T.); #7709=FACE_BOUND('',#57624,.T.); #7710=FACE_BOUND('',#57625,.T.); #7711=FACE_BOUND('',#57626,.T.); #7712=FACE_BOUND('',#57627,.T.); #7713=FACE_BOUND('',#57628,.T.); #7714=FACE_BOUND('',#57629,.T.); #7715=FACE_BOUND('',#57630,.T.); #7716=FACE_BOUND('',#57631,.T.); #7717=FACE_BOUND('',#57632,.T.); #7718=FACE_BOUND('',#57633,.T.); #7719=FACE_BOUND('',#57634,.T.); #7720=FACE_BOUND('',#57635,.T.); #7721=FACE_BOUND('',#57636,.T.); #7722=FACE_BOUND('',#57637,.T.); #7723=FACE_BOUND('',#57638,.T.); #7724=FACE_BOUND('',#57639,.T.); #7725=FACE_BOUND('',#57640,.T.); #7726=FACE_BOUND('',#57641,.T.); #7727=FACE_BOUND('',#57642,.T.); #7728=FACE_BOUND('',#57643,.T.); #7729=FACE_BOUND('',#57644,.T.); #7730=FACE_BOUND('',#57645,.T.); #7731=FACE_BOUND('',#57646,.T.); #7732=FACE_BOUND('',#57647,.T.); #7733=FACE_BOUND('',#57648,.T.); #7734=FACE_BOUND('',#57649,.T.); #7735=FACE_BOUND('',#57650,.T.); #7736=FACE_BOUND('',#57651,.T.); #7737=FACE_BOUND('',#57652,.T.); #7738=FACE_BOUND('',#57653,.T.); #7739=FACE_BOUND('',#57654,.T.); #7740=FACE_BOUND('',#57655,.T.); #7741=FACE_BOUND('',#57656,.T.); #7742=FACE_BOUND('',#57657,.T.); #7743=FACE_BOUND('',#57658,.T.); #7744=FACE_BOUND('',#57659,.T.); #7745=FACE_BOUND('',#57660,.T.); #7746=FACE_BOUND('',#57661,.T.); #7747=FACE_BOUND('',#57662,.T.); #7748=FACE_BOUND('',#57663,.T.); #7749=FACE_BOUND('',#57664,.T.); #7750=FACE_BOUND('',#57665,.T.); #7751=FACE_BOUND('',#57666,.T.); #7752=FACE_BOUND('',#57667,.T.); #7753=FACE_BOUND('',#57668,.T.); #7754=FACE_BOUND('',#57669,.T.); #7755=FACE_BOUND('',#57670,.T.); #7756=FACE_BOUND('',#57671,.T.); #7757=FACE_BOUND('',#57672,.T.); #7758=FACE_BOUND('',#57673,.T.); #7759=FACE_BOUND('',#57674,.T.); #7760=FACE_BOUND('',#57675,.T.); #7761=FACE_BOUND('',#57676,.T.); #7762=FACE_BOUND('',#57677,.T.); #7763=FACE_BOUND('',#57678,.T.); #7764=FACE_BOUND('',#57679,.T.); #7765=FACE_BOUND('',#57680,.T.); #7766=FACE_BOUND('',#57681,.T.); #7767=FACE_BOUND('',#57682,.T.); #7768=FACE_BOUND('',#57683,.T.); #7769=FACE_BOUND('',#57684,.T.); #7770=FACE_BOUND('',#57685,.T.); #7771=FACE_BOUND('',#57686,.T.); #7772=FACE_BOUND('',#57687,.T.); #7773=FACE_BOUND('',#57688,.T.); #7774=FACE_BOUND('',#57689,.T.); #7775=FACE_BOUND('',#57690,.T.); #7776=FACE_BOUND('',#57691,.T.); #7777=FACE_BOUND('',#57692,.T.); #7778=FACE_BOUND('',#57693,.T.); #7779=FACE_BOUND('',#57694,.T.); #7780=FACE_BOUND('',#57695,.T.); #7781=FACE_BOUND('',#57696,.T.); #7782=FACE_BOUND('',#57697,.T.); #7783=FACE_BOUND('',#57698,.T.); #7784=FACE_BOUND('',#57699,.T.); #7785=FACE_BOUND('',#57700,.T.); #7786=FACE_BOUND('',#57701,.T.); #7787=FACE_BOUND('',#57702,.T.); #7788=FACE_BOUND('',#57703,.T.); #7789=FACE_BOUND('',#57704,.T.); #7790=FACE_BOUND('',#57705,.T.); #7791=FACE_BOUND('',#57706,.T.); #7792=FACE_BOUND('',#57707,.T.); #7793=FACE_BOUND('',#57708,.T.); #7794=FACE_BOUND('',#57709,.T.); #7795=FACE_BOUND('',#57710,.T.); #7796=FACE_BOUND('',#57711,.T.); #7797=FACE_BOUND('',#57712,.T.); #7798=FACE_BOUND('',#57713,.T.); #7799=FACE_BOUND('',#57714,.T.); #7800=FACE_BOUND('',#57715,.T.); #7801=FACE_BOUND('',#57716,.T.); #7802=FACE_BOUND('',#57717,.T.); #7803=FACE_BOUND('',#57718,.T.); #7804=FACE_BOUND('',#57719,.T.); #7805=FACE_BOUND('',#57720,.T.); #7806=FACE_BOUND('',#57721,.T.); #7807=FACE_BOUND('',#57722,.T.); #7808=FACE_BOUND('',#57723,.T.); #7809=FACE_BOUND('',#57724,.T.); #7810=FACE_BOUND('',#57725,.T.); #7811=FACE_BOUND('',#57726,.T.); #7812=FACE_BOUND('',#57727,.T.); #7813=FACE_BOUND('',#57728,.T.); #7814=FACE_BOUND('',#57729,.T.); #7815=FACE_BOUND('',#57730,.T.); #7816=FACE_BOUND('',#57731,.T.); #7817=FACE_BOUND('',#57739,.T.); #7818=FACE_BOUND('',#57740,.T.); #7819=FACE_BOUND('',#57741,.T.); #7820=FACE_BOUND('',#57742,.T.); #7821=FACE_BOUND('',#57744,.T.); #7822=FACE_BOUND('',#57746,.T.); #7823=FACE_BOUND('',#57748,.T.); #7824=FACE_BOUND('',#57752,.T.); #7825=FACE_BOUND('',#58017,.T.); #7826=FACE_BOUND('',#58073,.T.); #7827=FACE_BOUND('',#58074,.T.); #7828=FACE_BOUND('',#58491,.T.); #7829=FACE_BOUND('',#58493,.T.); #7830=FACE_BOUND('',#58499,.T.); #7831=FACE_BOUND('',#58500,.T.); #7832=FACE_BOUND('',#58502,.T.); #7833=FACE_BOUND('',#58505,.T.); #7834=FACE_BOUND('',#58513,.T.); #7835=FACE_BOUND('',#58520,.T.); #7836=FACE_BOUND('',#58523,.T.); #7837=FACE_BOUND('',#58539,.T.); #7838=FACE_BOUND('',#58542,.T.); #7839=FACE_BOUND('',#58543,.T.); #7840=FACE_BOUND('',#58823,.T.); #7841=FACE_BOUND('',#58827,.T.); #7842=FACE_BOUND('',#58829,.T.); #7843=FACE_BOUND('',#58834,.T.); #7844=FACE_BOUND('',#58945,.T.); #7845=FACE_BOUND('',#58947,.T.); #7846=FACE_BOUND('',#58949,.T.); #7847=FACE_BOUND('',#58950,.T.); #7848=FACE_BOUND('',#59211,.T.); #7849=FACE_BOUND('',#59299,.T.); #7850=FACE_BOUND('',#59430,.T.); #7851=FACE_BOUND('',#59589,.T.); #7852=FACE_BOUND('',#59591,.T.); #7853=FACE_BOUND('',#59605,.T.); #7854=FACE_BOUND('',#59790,.T.); #7855=FACE_BOUND('',#59791,.T.); #7856=FACE_BOUND('',#59804,.T.); #7857=FACE_BOUND('',#59826,.T.); #7858=FACE_BOUND('',#59859,.T.); #7859=FACE_BOUND('',#59860,.T.); #7860=FACE_BOUND('',#59861,.T.); #7861=FACE_BOUND('',#60018,.T.); #7862=FACE_BOUND('',#60519,.T.); #7863=FACE_BOUND('',#60648,.T.); #7864=FACE_BOUND('',#60876,.T.); #7865=FACE_BOUND('',#60877,.T.); #7866=FACE_BOUND('',#60878,.T.); #7867=FACE_BOUND('',#60879,.T.); #7868=FACE_BOUND('',#60880,.T.); #7869=FACE_BOUND('',#60886,.T.); #7870=FACE_BOUND('',#60887,.T.); #7871=FACE_BOUND('',#60888,.T.); #7872=FACE_BOUND('',#60889,.T.); #7873=FACE_BOUND('',#60890,.T.); #7874=FACE_BOUND('',#60898,.T.); #7875=FACE_BOUND('',#60900,.T.); #7876=PLANE('',#335290); #7877=PLANE('',#335294); #7878=PLANE('',#335301); #7879=PLANE('',#335305); #7880=PLANE('',#335342); #7881=PLANE('',#335346); #7882=PLANE('',#335353); #7883=PLANE('',#335357); #7884=PLANE('',#335364); #7885=PLANE('',#335368); #7886=PLANE('',#335375); #7887=PLANE('',#335379); #7888=PLANE('',#336202); #7889=PLANE('',#336203); #7890=PLANE('',#336210); #7891=PLANE('',#336211); #7892=PLANE('',#336218); #7893=PLANE('',#336219); #7894=PLANE('',#336226); #7895=PLANE('',#336227); #7896=PLANE('',#336234); #7897=PLANE('',#336235); #7898=PLANE('',#336242); #7899=PLANE('',#336243); #7900=PLANE('',#336250); #7901=PLANE('',#336251); #7902=PLANE('',#336258); #7903=PLANE('',#336259); #7904=PLANE('',#336266); #7905=PLANE('',#336267); #7906=PLANE('',#336274); #7907=PLANE('',#336275); #7908=PLANE('',#336282); #7909=PLANE('',#336283); #7910=PLANE('',#336290); #7911=PLANE('',#336291); #7912=PLANE('',#336298); #7913=PLANE('',#336299); #7914=PLANE('',#336306); #7915=PLANE('',#336307); #7916=PLANE('',#336314); #7917=PLANE('',#336315); #7918=PLANE('',#336322); #7919=PLANE('',#336323); #7920=PLANE('',#336330); #7921=PLANE('',#336331); #7922=PLANE('',#336338); #7923=PLANE('',#336339); #7924=PLANE('',#336346); #7925=PLANE('',#336347); #7926=PLANE('',#336354); #7927=PLANE('',#336355); #7928=PLANE('',#336362); #7929=PLANE('',#336363); #7930=PLANE('',#336370); #7931=PLANE('',#336371); #7932=PLANE('',#336378); #7933=PLANE('',#336379); #7934=PLANE('',#336386); #7935=PLANE('',#336387); #7936=PLANE('',#336394); #7937=PLANE('',#336395); #7938=PLANE('',#336402); #7939=PLANE('',#336403); #7940=PLANE('',#336410); #7941=PLANE('',#336411); #7942=PLANE('',#336418); #7943=PLANE('',#336419); #7944=PLANE('',#336426); #7945=PLANE('',#336427); #7946=PLANE('',#336434); #7947=PLANE('',#336435); #7948=PLANE('',#336442); #7949=PLANE('',#336443); #7950=PLANE('',#336450); #7951=PLANE('',#336451); #7952=PLANE('',#336458); #7953=PLANE('',#336459); #7954=PLANE('',#336466); #7955=PLANE('',#336467); #7956=PLANE('',#336474); #7957=PLANE('',#336475); #7958=PLANE('',#336482); #7959=PLANE('',#336483); #7960=PLANE('',#336490); #7961=PLANE('',#336491); #7962=PLANE('',#336498); #7963=PLANE('',#336499); #7964=PLANE('',#336506); #7965=PLANE('',#336507); #7966=PLANE('',#336514); #7967=PLANE('',#336515); #7968=PLANE('',#336522); #7969=PLANE('',#336523); #7970=PLANE('',#336530); #7971=PLANE('',#336531); #7972=PLANE('',#336538); #7973=PLANE('',#336539); #7974=PLANE('',#336546); #7975=PLANE('',#336547); #7976=PLANE('',#336554); #7977=PLANE('',#336555); #7978=PLANE('',#336562); #7979=PLANE('',#336563); #7980=PLANE('',#336570); #7981=PLANE('',#336571); #7982=PLANE('',#336578); #7983=PLANE('',#336579); #7984=PLANE('',#336586); #7985=PLANE('',#336587); #7986=PLANE('',#336594); #7987=PLANE('',#336595); #7988=PLANE('',#336602); #7989=PLANE('',#336603); #7990=PLANE('',#336610); #7991=PLANE('',#336611); #7992=PLANE('',#336618); #7993=PLANE('',#336619); #7994=PLANE('',#336626); #7995=PLANE('',#336627); #7996=PLANE('',#336634); #7997=PLANE('',#336635); #7998=PLANE('',#336642); #7999=PLANE('',#336643); #8000=PLANE('',#336650); #8001=PLANE('',#336651); #8002=PLANE('',#336658); #8003=PLANE('',#336659); #8004=PLANE('',#336666); #8005=PLANE('',#336667); #8006=PLANE('',#336674); #8007=PLANE('',#336675); #8008=PLANE('',#336682); #8009=PLANE('',#336683); #8010=PLANE('',#336690); #8011=PLANE('',#336691); #8012=PLANE('',#336698); #8013=PLANE('',#336699); #8014=PLANE('',#336706); #8015=PLANE('',#336707); #8016=PLANE('',#336714); #8017=PLANE('',#336715); #8018=PLANE('',#336722); #8019=PLANE('',#336723); #8020=PLANE('',#336730); #8021=PLANE('',#336731); #8022=PLANE('',#336738); #8023=PLANE('',#336739); #8024=PLANE('',#336746); #8025=PLANE('',#336747); #8026=PLANE('',#336754); #8027=PLANE('',#336755); #8028=PLANE('',#336762); #8029=PLANE('',#336763); #8030=PLANE('',#336770); #8031=PLANE('',#336771); #8032=PLANE('',#336778); #8033=PLANE('',#336779); #8034=PLANE('',#336786); #8035=PLANE('',#336787); #8036=PLANE('',#336794); #8037=PLANE('',#336795); #8038=PLANE('',#336802); #8039=PLANE('',#336803); #8040=PLANE('',#336810); #8041=PLANE('',#336811); #8042=PLANE('',#336818); #8043=PLANE('',#336819); #8044=PLANE('',#336826); #8045=PLANE('',#336827); #8046=PLANE('',#336834); #8047=PLANE('',#336835); #8048=PLANE('',#336842); #8049=PLANE('',#336843); #8050=PLANE('',#336850); #8051=PLANE('',#336851); #8052=PLANE('',#336858); #8053=PLANE('',#336859); #8054=PLANE('',#336866); #8055=PLANE('',#336867); #8056=PLANE('',#336874); #8057=PLANE('',#336875); #8058=PLANE('',#336882); #8059=PLANE('',#336883); #8060=PLANE('',#336890); #8061=PLANE('',#336891); #8062=PLANE('',#336898); #8063=PLANE('',#336899); #8064=PLANE('',#336906); #8065=PLANE('',#336907); #8066=PLANE('',#336914); #8067=PLANE('',#336915); #8068=PLANE('',#336922); #8069=PLANE('',#336923); #8070=PLANE('',#336930); #8071=PLANE('',#336931); #8072=PLANE('',#336938); #8073=PLANE('',#336939); #8074=PLANE('',#336946); #8075=PLANE('',#336947); #8076=PLANE('',#336954); #8077=PLANE('',#336955); #8078=PLANE('',#336962); #8079=PLANE('',#336963); #8080=PLANE('',#336970); #8081=PLANE('',#336971); #8082=PLANE('',#336978); #8083=PLANE('',#336979); #8084=PLANE('',#336986); #8085=PLANE('',#336987); #8086=PLANE('',#336994); #8087=PLANE('',#336995); #8088=PLANE('',#337002); #8089=PLANE('',#337003); #8090=PLANE('',#337010); #8091=PLANE('',#337011); #8092=PLANE('',#337018); #8093=PLANE('',#337019); #8094=PLANE('',#337026); #8095=PLANE('',#337027); #8096=PLANE('',#337034); #8097=PLANE('',#337035); #8098=PLANE('',#337042); #8099=PLANE('',#337043); #8100=PLANE('',#337050); #8101=PLANE('',#337051); #8102=PLANE('',#337058); #8103=PLANE('',#337059); #8104=PLANE('',#337066); #8105=PLANE('',#337067); #8106=PLANE('',#337074); #8107=PLANE('',#337075); #8108=PLANE('',#337082); #8109=PLANE('',#337083); #8110=PLANE('',#337090); #8111=PLANE('',#337091); #8112=PLANE('',#337098); #8113=PLANE('',#337099); #8114=PLANE('',#337106); #8115=PLANE('',#337107); #8116=PLANE('',#337114); #8117=PLANE('',#337115); #8118=PLANE('',#337122); #8119=PLANE('',#337123); #8120=PLANE('',#337130); #8121=PLANE('',#337131); #8122=PLANE('',#337138); #8123=PLANE('',#337139); #8124=PLANE('',#337146); #8125=PLANE('',#337147); #8126=PLANE('',#337154); #8127=PLANE('',#337155); #8128=PLANE('',#337162); #8129=PLANE('',#337163); #8130=PLANE('',#337170); #8131=PLANE('',#337171); #8132=PLANE('',#337178); #8133=PLANE('',#337179); #8134=PLANE('',#337186); #8135=PLANE('',#337187); #8136=PLANE('',#337194); #8137=PLANE('',#337195); #8138=PLANE('',#337202); #8139=PLANE('',#337203); #8140=PLANE('',#337210); #8141=PLANE('',#337211); #8142=PLANE('',#337218); #8143=PLANE('',#337219); #8144=PLANE('',#337226); #8145=PLANE('',#337227); #8146=PLANE('',#337234); #8147=PLANE('',#337235); #8148=PLANE('',#337242); #8149=PLANE('',#337243); #8150=PLANE('',#337250); #8151=PLANE('',#337251); #8152=PLANE('',#337258); #8153=PLANE('',#337259); #8154=PLANE('',#337266); #8155=PLANE('',#337267); #8156=PLANE('',#337274); #8157=PLANE('',#337275); #8158=PLANE('',#337282); #8159=PLANE('',#337283); #8160=PLANE('',#337290); #8161=PLANE('',#337291); #8162=PLANE('',#337298); #8163=PLANE('',#337299); #8164=PLANE('',#337306); #8165=PLANE('',#337307); #8166=PLANE('',#337314); #8167=PLANE('',#337315); #8168=PLANE('',#337322); #8169=PLANE('',#337323); #8170=PLANE('',#337330); #8171=PLANE('',#337331); #8172=PLANE('',#337338); #8173=PLANE('',#337339); #8174=PLANE('',#337346); #8175=PLANE('',#337347); #8176=PLANE('',#337354); #8177=PLANE('',#337355); #8178=PLANE('',#337362); #8179=PLANE('',#337363); #8180=PLANE('',#337370); #8181=PLANE('',#337371); #8182=PLANE('',#337378); #8183=PLANE('',#337379); #8184=PLANE('',#337386); #8185=PLANE('',#337387); #8186=PLANE('',#337394); #8187=PLANE('',#337395); #8188=PLANE('',#337402); #8189=PLANE('',#337403); #8190=PLANE('',#337410); #8191=PLANE('',#337411); #8192=PLANE('',#337418); #8193=PLANE('',#337419); #8194=PLANE('',#337426); #8195=PLANE('',#337427); #8196=PLANE('',#337434); #8197=PLANE('',#337435); #8198=PLANE('',#337442); #8199=PLANE('',#337443); #8200=PLANE('',#337450); #8201=PLANE('',#337451); #8202=PLANE('',#337458); #8203=PLANE('',#337459); #8204=PLANE('',#337466); #8205=PLANE('',#337467); #8206=PLANE('',#337474); #8207=PLANE('',#337475); #8208=PLANE('',#337482); #8209=PLANE('',#337483); #8210=PLANE('',#337490); #8211=PLANE('',#337491); #8212=PLANE('',#337498); #8213=PLANE('',#337499); #8214=PLANE('',#337506); #8215=PLANE('',#337507); #8216=PLANE('',#337514); #8217=PLANE('',#337515); #8218=PLANE('',#337522); #8219=PLANE('',#337523); #8220=PLANE('',#337530); #8221=PLANE('',#337531); #8222=PLANE('',#337538); #8223=PLANE('',#337539); #8224=PLANE('',#337546); #8225=PLANE('',#337547); #8226=PLANE('',#337554); #8227=PLANE('',#337555); #8228=PLANE('',#337562); #8229=PLANE('',#337563); #8230=PLANE('',#337570); #8231=PLANE('',#337571); #8232=PLANE('',#337578); #8233=PLANE('',#337579); #8234=PLANE('',#337586); #8235=PLANE('',#337587); #8236=PLANE('',#337594); #8237=PLANE('',#337595); #8238=PLANE('',#337602); #8239=PLANE('',#337603); #8240=PLANE('',#337610); #8241=PLANE('',#337611); #8242=PLANE('',#337618); #8243=PLANE('',#337619); #8244=PLANE('',#337626); #8245=PLANE('',#337627); #8246=PLANE('',#337634); #8247=PLANE('',#337635); #8248=PLANE('',#337642); #8249=PLANE('',#337643); #8250=PLANE('',#337650); #8251=PLANE('',#337651); #8252=PLANE('',#337658); #8253=PLANE('',#337659); #8254=PLANE('',#337666); #8255=PLANE('',#337667); #8256=PLANE('',#337674); #8257=PLANE('',#337675); #8258=PLANE('',#337682); #8259=PLANE('',#337683); #8260=PLANE('',#337690); #8261=PLANE('',#337691); #8262=PLANE('',#337698); #8263=PLANE('',#337699); #8264=PLANE('',#337706); #8265=PLANE('',#337707); #8266=PLANE('',#337714); #8267=PLANE('',#337715); #8268=PLANE('',#337722); #8269=PLANE('',#337723); #8270=PLANE('',#337730); #8271=PLANE('',#337731); #8272=PLANE('',#337738); #8273=PLANE('',#337739); #8274=PLANE('',#337746); #8275=PLANE('',#337747); #8276=PLANE('',#337754); #8277=PLANE('',#337755); #8278=PLANE('',#337762); #8279=PLANE('',#337763); #8280=PLANE('',#337770); #8281=PLANE('',#337771); #8282=PLANE('',#337778); #8283=PLANE('',#337779); #8284=PLANE('',#337786); #8285=PLANE('',#337787); #8286=PLANE('',#337794); #8287=PLANE('',#337795); #8288=PLANE('',#337802); #8289=PLANE('',#337803); #8290=PLANE('',#337810); #8291=PLANE('',#337811); #8292=PLANE('',#337818); #8293=PLANE('',#337819); #8294=PLANE('',#337826); #8295=PLANE('',#337827); #8296=PLANE('',#337834); #8297=PLANE('',#337835); #8298=PLANE('',#337842); #8299=PLANE('',#337843); #8300=PLANE('',#337850); #8301=PLANE('',#337851); #8302=PLANE('',#337858); #8303=PLANE('',#337859); #8304=PLANE('',#337866); #8305=PLANE('',#337867); #8306=PLANE('',#337874); #8307=PLANE('',#337875); #8308=PLANE('',#337882); #8309=PLANE('',#337883); #8310=PLANE('',#337890); #8311=PLANE('',#337891); #8312=PLANE('',#337898); #8313=PLANE('',#337899); #8314=PLANE('',#337906); #8315=PLANE('',#337907); #8316=PLANE('',#337914); #8317=PLANE('',#337915); #8318=PLANE('',#337922); #8319=PLANE('',#337923); #8320=PLANE('',#337930); #8321=PLANE('',#337931); #8322=PLANE('',#337938); #8323=PLANE('',#337939); #8324=PLANE('',#337946); #8325=PLANE('',#337947); #8326=PLANE('',#337954); #8327=PLANE('',#337955); #8328=PLANE('',#337962); #8329=PLANE('',#337963); #8330=PLANE('',#337970); #8331=PLANE('',#337971); #8332=PLANE('',#337978); #8333=PLANE('',#337979); #8334=PLANE('',#337986); #8335=PLANE('',#337987); #8336=PLANE('',#337994); #8337=PLANE('',#337995); #8338=PLANE('',#338002); #8339=PLANE('',#338003); #8340=PLANE('',#338010); #8341=PLANE('',#338011); #8342=PLANE('',#338018); #8343=PLANE('',#338019); #8344=PLANE('',#338026); #8345=PLANE('',#338027); #8346=PLANE('',#338034); #8347=PLANE('',#338035); #8348=PLANE('',#338042); #8349=PLANE('',#338043); #8350=PLANE('',#338050); #8351=PLANE('',#338051); #8352=PLANE('',#338058); #8353=PLANE('',#338059); #8354=PLANE('',#338066); #8355=PLANE('',#338067); #8356=PLANE('',#338074); #8357=PLANE('',#338075); #8358=PLANE('',#338082); #8359=PLANE('',#338083); #8360=PLANE('',#338090); #8361=PLANE('',#338091); #8362=PLANE('',#338098); #8363=PLANE('',#338099); #8364=PLANE('',#338106); #8365=PLANE('',#338107); #8366=PLANE('',#338114); #8367=PLANE('',#338115); #8368=PLANE('',#338122); #8369=PLANE('',#338123); #8370=PLANE('',#338130); #8371=PLANE('',#338131); #8372=PLANE('',#338138); #8373=PLANE('',#338139); #8374=PLANE('',#338146); #8375=PLANE('',#338147); #8376=PLANE('',#338154); #8377=PLANE('',#338155); #8378=PLANE('',#338162); #8379=PLANE('',#338163); #8380=PLANE('',#338170); #8381=PLANE('',#338171); #8382=PLANE('',#338178); #8383=PLANE('',#338179); #8384=PLANE('',#338186); #8385=PLANE('',#338187); #8386=PLANE('',#338194); #8387=PLANE('',#338195); #8388=PLANE('',#338202); #8389=PLANE('',#338203); #8390=PLANE('',#338210); #8391=PLANE('',#338211); #8392=PLANE('',#338218); #8393=PLANE('',#338219); #8394=PLANE('',#338226); #8395=PLANE('',#338227); #8396=PLANE('',#338234); #8397=PLANE('',#338235); #8398=PLANE('',#338242); #8399=PLANE('',#338243); #8400=PLANE('',#338250); #8401=PLANE('',#338251); #8402=PLANE('',#338258); #8403=PLANE('',#338259); #8404=PLANE('',#338266); #8405=PLANE('',#338267); #8406=PLANE('',#338274); #8407=PLANE('',#338275); #8408=PLANE('',#338282); #8409=PLANE('',#338283); #8410=PLANE('',#338290); #8411=PLANE('',#338291); #8412=PLANE('',#338298); #8413=PLANE('',#338299); #8414=PLANE('',#338306); #8415=PLANE('',#338307); #8416=PLANE('',#338314); #8417=PLANE('',#338315); #8418=PLANE('',#338322); #8419=PLANE('',#338323); #8420=PLANE('',#338330); #8421=PLANE('',#338331); #8422=PLANE('',#338338); #8423=PLANE('',#338339); #8424=PLANE('',#338346); #8425=PLANE('',#338347); #8426=PLANE('',#338354); #8427=PLANE('',#338355); #8428=PLANE('',#338362); #8429=PLANE('',#338363); #8430=PLANE('',#338370); #8431=PLANE('',#338371); #8432=PLANE('',#338378); #8433=PLANE('',#338379); #8434=PLANE('',#338380); #8435=PLANE('',#338384); #8436=PLANE('',#338388); #8437=PLANE('',#338392); #8438=PLANE('',#338396); #8439=PLANE('',#338397); #8440=PLANE('',#338404); #8441=PLANE('',#338405); #8442=PLANE('',#338406); #8443=PLANE('',#338410); #8444=PLANE('',#338414); #8445=PLANE('',#338418); #8446=PLANE('',#338422); #8447=PLANE('',#338423); #8448=PLANE('',#338430); #8449=PLANE('',#338431); #8450=PLANE('',#338432); #8451=PLANE('',#338436); #8452=PLANE('',#338440); #8453=PLANE('',#338444); #8454=PLANE('',#338448); #8455=PLANE('',#338449); #8456=PLANE('',#338456); #8457=PLANE('',#338457); #8458=PLANE('',#338458); #8459=PLANE('',#338462); #8460=PLANE('',#338466); #8461=PLANE('',#338470); #8462=PLANE('',#338474); #8463=PLANE('',#338475); #8464=PLANE('',#338482); #8465=PLANE('',#338483); #8466=PLANE('',#338490); #8467=PLANE('',#338491); #8468=PLANE('',#338498); #8469=PLANE('',#338499); #8470=PLANE('',#338506); #8471=PLANE('',#338507); #8472=PLANE('',#338514); #8473=PLANE('',#338515); #8474=PLANE('',#338522); #8475=PLANE('',#338523); #8476=PLANE('',#338530); #8477=PLANE('',#338531); #8478=PLANE('',#338538); #8479=PLANE('',#338539); #8480=PLANE('',#338546); #8481=PLANE('',#338547); #8482=PLANE('',#338554); #8483=PLANE('',#338555); #8484=PLANE('',#338562); #8485=PLANE('',#338563); #8486=PLANE('',#338564); #8487=PLANE('',#338568); #8488=PLANE('',#338572); #8489=PLANE('',#338576); #8490=PLANE('',#338580); #8491=PLANE('',#338581); #8492=PLANE('',#338588); #8493=PLANE('',#338589); #8494=PLANE('',#338590); #8495=PLANE('',#338594); #8496=PLANE('',#338598); #8497=PLANE('',#338602); #8498=PLANE('',#338606); #8499=PLANE('',#338607); #8500=PLANE('',#338614); #8501=PLANE('',#338615); #8502=PLANE('',#338622); #8503=PLANE('',#338623); #8504=PLANE('',#338630); #8505=PLANE('',#338631); #8506=PLANE('',#338638); #8507=PLANE('',#338639); #8508=PLANE('',#338646); #8509=PLANE('',#338647); #8510=PLANE('',#338654); #8511=PLANE('',#338655); #8512=PLANE('',#338662); #8513=PLANE('',#338663); #8514=PLANE('',#338670); #8515=PLANE('',#338671); #8516=PLANE('',#338678); #8517=PLANE('',#338679); #8518=PLANE('',#338686); #8519=PLANE('',#338687); #8520=PLANE('',#338694); #8521=PLANE('',#338695); #8522=PLANE('',#338702); #8523=PLANE('',#338703); #8524=PLANE('',#338710); #8525=PLANE('',#338711); #8526=PLANE('',#338718); #8527=PLANE('',#338719); #8528=PLANE('',#338726); #8529=PLANE('',#338727); #8530=PLANE('',#338734); #8531=PLANE('',#338735); #8532=PLANE('',#338742); #8533=PLANE('',#338743); #8534=PLANE('',#338750); #8535=PLANE('',#338751); #8536=PLANE('',#338758); #8537=PLANE('',#338759); #8538=PLANE('',#338766); #8539=PLANE('',#338767); #8540=PLANE('',#338774); #8541=PLANE('',#338775); #8542=PLANE('',#338782); #8543=PLANE('',#338783); #8544=PLANE('',#338790); #8545=PLANE('',#338791); #8546=PLANE('',#338798); #8547=PLANE('',#338799); #8548=PLANE('',#338806); #8549=PLANE('',#338807); #8550=PLANE('',#338814); #8551=PLANE('',#338815); #8552=PLANE('',#338822); #8553=PLANE('',#338823); #8554=PLANE('',#338830); #8555=PLANE('',#338831); #8556=PLANE('',#338838); #8557=PLANE('',#338839); #8558=PLANE('',#338846); #8559=PLANE('',#338847); #8560=PLANE('',#338854); #8561=PLANE('',#338855); #8562=PLANE('',#338862); #8563=PLANE('',#338863); #8564=PLANE('',#338870); #8565=PLANE('',#338871); #8566=PLANE('',#338878); #8567=PLANE('',#338879); #8568=PLANE('',#338886); #8569=PLANE('',#338887); #8570=PLANE('',#338894); #8571=PLANE('',#338895); #8572=PLANE('',#338902); #8573=PLANE('',#338903); #8574=PLANE('',#338908); #8575=PLANE('',#338915); #8576=PLANE('',#338916); #8577=PLANE('',#338917); #8578=PLANE('',#338918); #8579=PLANE('',#338919); #8580=PLANE('',#338920); #8581=PLANE('',#338921); #8582=PLANE('',#338922); #8583=PLANE('',#338923); #8584=PLANE('',#338924); #8585=PLANE('',#338925); #8586=PLANE('',#338926); #8587=PLANE('',#338927); #8588=PLANE('',#338928); #8589=PLANE('',#338929); #8590=PLANE('',#338930); #8591=PLANE('',#338931); #8592=PLANE('',#338932); #8593=PLANE('',#338933); #8594=PLANE('',#338934); #8595=PLANE('',#338935); #8596=PLANE('',#338936); #8597=PLANE('',#338937); #8598=PLANE('',#338938); #8599=PLANE('',#338939); #8600=PLANE('',#338940); #8601=PLANE('',#338941); #8602=PLANE('',#338942); #8603=PLANE('',#338943); #8604=PLANE('',#338944); #8605=PLANE('',#338945); #8606=PLANE('',#338946); #8607=PLANE('',#338947); #8608=PLANE('',#338948); #8609=PLANE('',#338949); #8610=PLANE('',#338950); #8611=PLANE('',#338951); #8612=PLANE('',#338952); #8613=PLANE('',#338953); #8614=PLANE('',#338954); #8615=PLANE('',#338955); #8616=PLANE('',#338956); #8617=PLANE('',#338957); #8618=PLANE('',#338958); #8619=PLANE('',#338959); #8620=PLANE('',#338960); #8621=PLANE('',#338961); #8622=PLANE('',#338962); #8623=PLANE('',#338963); #8624=PLANE('',#338964); #8625=PLANE('',#338965); #8626=PLANE('',#338966); #8627=PLANE('',#338967); #8628=PLANE('',#338968); #8629=PLANE('',#338969); #8630=PLANE('',#338970); #8631=PLANE('',#338971); #8632=PLANE('',#338972); #8633=PLANE('',#338973); #8634=PLANE('',#338974); #8635=PLANE('',#338975); #8636=PLANE('',#338976); #8637=PLANE('',#338977); #8638=PLANE('',#338978); #8639=PLANE('',#338979); #8640=PLANE('',#338980); #8641=PLANE('',#338981); #8642=PLANE('',#338982); #8643=PLANE('',#338986); #8644=PLANE('',#338990); #8645=PLANE('',#338994); #8646=PLANE('',#338995); #8647=PLANE('',#338996); #8648=PLANE('',#338997); #8649=PLANE('',#338998); #8650=PLANE('',#338999); #8651=PLANE('',#339000); #8652=PLANE('',#339007); #8653=PLANE('',#339008); #8654=PLANE('',#339012); #8655=PLANE('',#339016); #8656=PLANE('',#339017); #8657=PLANE('',#339018); #8658=PLANE('',#339019); #8659=PLANE('',#339020); #8660=PLANE('',#339021); #8661=PLANE('',#339022); #8662=PLANE('',#339026); #8663=PLANE('',#339027); #8664=PLANE('',#339028); #8665=PLANE('',#339029); #8666=PLANE('',#339030); #8667=PLANE('',#339031); #8668=PLANE('',#339032); #8669=PLANE('',#339033); #8670=PLANE('',#339034); #8671=PLANE('',#339035); #8672=PLANE('',#339039); #8673=PLANE('',#339043); #8674=PLANE('',#339044); #8675=PLANE('',#339045); #8676=PLANE('',#339046); #8677=PLANE('',#339047); #8678=PLANE('',#339048); #8679=PLANE('',#339049); #8680=PLANE('',#339053); #8681=PLANE('',#339054); #8682=PLANE('',#339055); #8683=PLANE('',#339056); #8684=PLANE('',#339057); #8685=PLANE('',#339058); #8686=PLANE('',#339059); #8687=PLANE('',#339060); #8688=PLANE('',#339064); #8689=PLANE('',#339065); #8690=PLANE('',#339066); #8691=PLANE('',#339067); #8692=PLANE('',#339068); #8693=PLANE('',#339069); #8694=PLANE('',#339070); #8695=PLANE('',#339074); #8696=PLANE('',#339075); #8697=PLANE('',#339079); #8698=PLANE('',#339080); #8699=PLANE('',#339081); #8700=PLANE('',#339082); #8701=PLANE('',#339083); #8702=PLANE('',#339084); #8703=PLANE('',#339085); #8704=PLANE('',#339089); #8705=PLANE('',#339090); #8706=PLANE('',#339091); #8707=PLANE('',#339092); #8708=PLANE('',#339093); #8709=PLANE('',#339097); #8710=PLANE('',#339101); #8711=PLANE('',#339102); #8712=PLANE('',#339103); #8713=PLANE('',#339104); #8714=PLANE('',#339105); #8715=PLANE('',#339106); #8716=PLANE('',#339107); #8717=PLANE('',#339108); #8718=PLANE('',#339109); #8719=PLANE('',#339110); #8720=PLANE('',#339111); #8721=PLANE('',#339115); #8722=PLANE('',#339119); #8723=PLANE('',#339120); #8724=PLANE('',#339121); #8725=PLANE('',#339122); #8726=PLANE('',#339123); #8727=PLANE('',#339127); #8728=PLANE('',#339128); #8729=PLANE('',#339129); #8730=PLANE('',#339130); #8731=PLANE('',#339131); #8732=PLANE('',#339132); #8733=PLANE('',#339133); #8734=PLANE('',#339137); #8735=PLANE('',#339138); #8736=PLANE('',#339142); #8737=PLANE('',#339143); #8738=PLANE('',#339144); #8739=PLANE('',#339145); #8740=PLANE('',#339146); #8741=PLANE('',#339147); #8742=PLANE('',#339148); #8743=PLANE('',#339152); #8744=PLANE('',#339153); #8745=PLANE('',#339154); #8746=PLANE('',#339155); #8747=PLANE('',#339159); #8748=PLANE('',#339163); #8749=PLANE('',#339164); #8750=PLANE('',#339168); #8751=PLANE('',#339169); #8752=PLANE('',#339173); #8753=PLANE('',#339174); #8754=PLANE('',#339175); #8755=PLANE('',#339176); #8756=PLANE('',#339177); #8757=PLANE('',#339178); #8758=PLANE('',#339179); #8759=PLANE('',#339180); #8760=PLANE('',#339184); #8761=PLANE('',#339185); #8762=PLANE('',#339189); #8763=PLANE('',#339193); #8764=PLANE('',#339194); #8765=PLANE('',#339198); #8766=PLANE('',#339202); #8767=PLANE('',#339203); #8768=PLANE('',#339207); #8769=PLANE('',#339208); #8770=PLANE('',#339209); #8771=PLANE('',#339210); #8772=PLANE('',#339211); #8773=PLANE('',#339212); #8774=PLANE('',#339213); #8775=PLANE('',#339214); #8776=PLANE('',#339218); #8777=PLANE('',#339222); #8778=PLANE('',#339223); #8779=PLANE('',#339227); #8780=PLANE('',#339231); #8781=PLANE('',#339232); #8782=PLANE('',#339233); #8783=PLANE('',#339234); #8784=PLANE('',#339235); #8785=PLANE('',#339236); #8786=PLANE('',#339237); #8787=PLANE('',#339241); #8788=PLANE('',#339245); #8789=PLANE('',#339246); #8790=PLANE('',#339250); #8791=PLANE('',#339254); #8792=PLANE('',#339258); #8793=PLANE('',#339259); #8794=PLANE('',#339260); #8795=PLANE('',#339261); #8796=PLANE('',#339262); #8797=PLANE('',#339263); #8798=PLANE('',#339264); #8799=PLANE('',#339265); #8800=PLANE('',#339266); #8801=PLANE('',#339270); #8802=PLANE('',#339271); #8803=PLANE('',#339278); #8804=PLANE('',#339285); #8805=PLANE('',#339292); #8806=PLANE('',#339296); #8807=PLANE('',#339297); #8808=PLANE('',#339301); #8809=PLANE('',#339305); #8810=PLANE('',#339306); #8811=PLANE('',#339310); #8812=PLANE('',#339311); #8813=PLANE('',#339312); #8814=PLANE('',#339313); #8815=PLANE('',#339314); #8816=PLANE('',#339315); #8817=PLANE('',#339316); #8818=PLANE('',#339317); #8819=PLANE('',#339321); #8820=PLANE('',#339325); #8821=PLANE('',#339326); #8822=PLANE('',#339330); #8823=PLANE('',#339334); #8824=PLANE('',#339335); #8825=PLANE('',#339339); #8826=PLANE('',#339340); #8827=PLANE('',#339344); #8828=PLANE('',#339345); #8829=PLANE('',#339346); #8830=PLANE('',#339347); #8831=PLANE('',#339348); #8832=PLANE('',#339349); #8833=PLANE('',#339350); #8834=PLANE('',#339351); #8835=PLANE('',#339355); #8836=PLANE('',#339356); #8837=PLANE('',#339360); #8838=PLANE('',#339364); #8839=PLANE('',#339365); #8840=PLANE('',#339366); #8841=PLANE('',#339367); #8842=PLANE('',#339368); #8843=PLANE('',#339369); #8844=PLANE('',#339373); #8845=PLANE('',#339377); #8846=PLANE('',#339378); #8847=PLANE('',#339379); #8848=PLANE('',#339383); #8849=PLANE('',#339384); #8850=PLANE('',#339385); #8851=PLANE('',#339386); #8852=PLANE('',#339387); #8853=PLANE('',#339388); #8854=PLANE('',#339389); #8855=PLANE('',#339393); #8856=PLANE('',#339397); #8857=PLANE('',#339398); #8858=PLANE('',#339399); #8859=PLANE('',#339400); #8860=PLANE('',#339401); #8861=PLANE('',#339402); #8862=PLANE('',#339403); #8863=PLANE('',#339407); #8864=PLANE('',#339411); #8865=PLANE('',#339412); #8866=PLANE('',#339416); #8867=PLANE('',#339420); #8868=PLANE('',#339424); #8869=PLANE('',#339425); #8870=PLANE('',#339426); #8871=PLANE('',#339427); #8872=PLANE('',#339428); #8873=PLANE('',#339429); #8874=PLANE('',#339430); #8875=PLANE('',#339434); #8876=PLANE('',#339435); #8877=PLANE('',#339436); #8878=PLANE('',#339437); #8879=PLANE('',#339441); #8880=PLANE('',#339445); #8881=PLANE('',#339446); #8882=PLANE('',#339450); #8883=PLANE('',#339454); #8884=PLANE('',#339455); #8885=PLANE('',#339456); #8886=PLANE('',#339457); #8887=PLANE('',#339458); #8888=PLANE('',#339459); #8889=PLANE('',#339460); #8890=PLANE('',#339467); #8891=PLANE('',#339468); #8892=PLANE('',#339469); #8893=PLANE('',#339470); #8894=PLANE('',#339471); #8895=PLANE('',#339472); #8896=PLANE('',#339473); #8897=PLANE('',#339477); #8898=PLANE('',#339478); #8899=PLANE('',#339479); #8900=PLANE('',#339483); #8901=PLANE('',#339487); #8902=PLANE('',#339491); #8903=PLANE('',#339492); #8904=PLANE('',#339496); #8905=PLANE('',#339497); #8906=PLANE('',#339498); #8907=PLANE('',#339499); #8908=PLANE('',#339500); #8909=PLANE('',#339501); #8910=PLANE('',#339502); #8911=PLANE('',#339506); #8912=PLANE('',#339507); #8913=PLANE('',#339511); #8914=PLANE('',#339512); #8915=PLANE('',#339513); #8916=PLANE('',#339514); #8917=PLANE('',#339518); #8918=PLANE('',#339522); #8919=PLANE('',#339523); #8920=PLANE('',#339524); #8921=PLANE('',#339525); #8922=PLANE('',#339526); #8923=PLANE('',#339527); #8924=PLANE('',#339528); #8925=PLANE('',#339529); #8926=PLANE('',#339530); #8927=PLANE('',#339531); #8928=PLANE('',#339532); #8929=PLANE('',#339536); #8930=PLANE('',#339540); #8931=PLANE('',#339541); #8932=PLANE('',#339542); #8933=PLANE('',#339543); #8934=PLANE('',#339547); #8935=PLANE('',#339548); #8936=PLANE('',#339552); #8937=PLANE('',#339553); #8938=PLANE('',#339554); #8939=PLANE('',#339555); #8940=PLANE('',#339556); #8941=PLANE('',#339557); #8942=PLANE('',#339558); #8943=PLANE('',#339562); #8944=PLANE('',#339563); #8945=PLANE('',#339567); #8946=PLANE('',#339571); #8947=PLANE('',#339575); #8948=PLANE('',#339576); #8949=PLANE('',#339577); #8950=PLANE('',#339581); #8951=PLANE('',#339582); #8952=PLANE('',#339583); #8953=PLANE('',#339584); #8954=PLANE('',#339585); #8955=PLANE('',#339586); #8956=PLANE('',#339587); #8957=PLANE('',#339588); #8958=PLANE('',#339592); #8959=PLANE('',#339593); #8960=PLANE('',#339597); #8961=PLANE('',#339598); #8962=PLANE('',#339599); #8963=PLANE('',#339600); #8964=PLANE('',#339601); #8965=PLANE('',#339602); #8966=PLANE('',#339603); #8967=PLANE('',#339607); #8968=PLANE('',#339608); #8969=PLANE('',#339609); #8970=PLANE('',#339610); #8971=PLANE('',#339611); #8972=PLANE('',#339612); #8973=PLANE('',#339616); #8974=PLANE('',#339620); #8975=PLANE('',#339624); #8976=PLANE('',#339625); #8977=PLANE('',#339629); #8978=PLANE('',#339630); #8979=PLANE('',#339631); #8980=PLANE('',#339632); #8981=PLANE('',#339633); #8982=PLANE('',#339634); #8983=PLANE('',#339635); #8984=PLANE('',#339639); #8985=PLANE('',#339640); #8986=PLANE('',#339647); #8987=PLANE('',#339648); #8988=PLANE('',#339652); #8989=PLANE('',#339656); #8990=PLANE('',#339657); #8991=PLANE('',#339661); #8992=PLANE('',#339662); #8993=PLANE('',#339663); #8994=PLANE('',#339664); #8995=PLANE('',#339665); #8996=PLANE('',#339666); #8997=PLANE('',#339667); #8998=PLANE('',#339668); #8999=PLANE('',#339672); #9000=PLANE('',#339676); #9001=PLANE('',#339677); #9002=PLANE('',#339681); #9003=PLANE('',#339688); #9004=PLANE('',#339689); #9005=PLANE('',#339690); #9006=PLANE('',#339691); #9007=PLANE('',#339692); #9008=PLANE('',#339693); #9009=PLANE('',#339694); #9010=PLANE('',#339698); #9011=PLANE('',#339702); #9012=PLANE('',#339703); #9013=PLANE('',#339707); #9014=PLANE('',#339708); #9015=PLANE('',#339709); #9016=PLANE('',#339710); #9017=PLANE('',#339711); #9018=PLANE('',#339715); #9019=PLANE('',#339716); #9020=PLANE('',#339720); #9021=PLANE('',#339727); #9022=PLANE('',#339728); #9023=PLANE('',#339732); #9024=PLANE('',#339733); #9025=PLANE('',#339734); #9026=PLANE('',#339735); #9027=PLANE('',#339736); #9028=PLANE('',#339740); #9029=PLANE('',#339744); #9030=PLANE('',#339748); #9031=PLANE('',#339749); #9032=PLANE('',#339750); #9033=PLANE('',#339751); #9034=PLANE('',#339752); #9035=PLANE('',#339753); #9036=PLANE('',#339754); #9037=PLANE('',#339761); #9038=PLANE('',#339762); #9039=PLANE('',#339766); #9040=PLANE('',#339767); #9041=PLANE('',#339768); #9042=PLANE('',#339769); #9043=PLANE('',#339770); #9044=PLANE('',#339771); #9045=PLANE('',#339775); #9046=PLANE('',#339776); #9047=PLANE('',#339783); #9048=PLANE('',#339787); #9049=PLANE('',#339788); #9050=PLANE('',#339792); #9051=PLANE('',#339793); #9052=PLANE('',#339794); #9053=PLANE('',#339795); #9054=PLANE('',#339799); #9055=PLANE('',#339803); #9056=PLANE('',#339804); #9057=PLANE('',#339805); #9058=PLANE('',#339806); #9059=PLANE('',#339807); #9060=PLANE('',#339808); #9061=PLANE('',#339809); #9062=PLANE('',#339816); #9063=PLANE('',#339817); #9064=PLANE('',#339821); #9065=PLANE('',#339828); #9066=PLANE('',#339829); #9067=PLANE('',#339830); #9068=PLANE('',#339831); #9069=PLANE('',#339832); #9070=PLANE('',#339833); #9071=PLANE('',#339834); #9072=PLANE('',#339838); #9073=PLANE('',#339839); #9074=PLANE('',#339843); #9075=PLANE('',#339844); #9076=PLANE('',#339845); #9077=PLANE('',#339846); #9078=PLANE('',#339847); #9079=PLANE('',#339851); #9080=PLANE('',#339852); #9081=PLANE('',#339853); #9082=PLANE('',#339854); #9083=PLANE('',#339855); #9084=PLANE('',#339862); #9085=PLANE('',#339866); #9086=PLANE('',#339870); #9087=PLANE('',#339874); #9088=PLANE('',#339878); #9089=PLANE('',#339879); #9090=PLANE('',#339880); #9091=PLANE('',#339881); #9092=PLANE('',#339885); #9093=PLANE('',#339886); #9094=PLANE('',#339887); #9095=PLANE('',#339888); #9096=PLANE('',#339889); #9097=PLANE('',#339890); #9098=PLANE('',#339891); #9099=PLANE('',#339892); #9100=PLANE('',#339899); #9101=PLANE('',#339903); #9102=PLANE('',#339907); #9103=PLANE('',#339911); #9104=PLANE('',#339912); #9105=PLANE('',#339913); #9106=PLANE('',#339917); #9107=PLANE('',#339918); #9108=PLANE('',#339919); #9109=PLANE('',#339920); #9110=PLANE('',#339921); #9111=PLANE('',#339925); #9112=PLANE('',#339929); #9113=PLANE('',#339933); #9114=PLANE('',#339937); #9115=PLANE('',#339944); #9116=PLANE('',#339945); #9117=PLANE('',#339946); #9118=PLANE('',#339947); #9119=PLANE('',#339948); #9120=PLANE('',#339952); #9121=PLANE('',#339953); #9122=PLANE('',#339954); #9123=PLANE('',#339955); #9124=PLANE('',#339956); #9125=PLANE('',#339957); #9126=PLANE('',#339958); #9127=PLANE('',#339959); #9128=PLANE('',#339963); #9129=PLANE('',#339967); #9130=PLANE('',#339968); #9131=PLANE('',#339969); #9132=PLANE('',#339970); #9133=PLANE('',#339971); #9134=PLANE('',#339972); #9135=PLANE('',#339973); #9136=PLANE('',#339974); #9137=PLANE('',#339975); #9138=PLANE('',#339979); #9139=PLANE('',#339983); #9140=PLANE('',#339984); #9141=PLANE('',#339985); #9142=PLANE('',#339986); #9143=PLANE('',#339987); #9144=PLANE('',#339988); #9145=PLANE('',#339989); #9146=PLANE('',#339996); #9147=PLANE('',#340003); #9148=PLANE('',#340010); #9149=PLANE('',#340014); #9150=PLANE('',#340015); #9151=PLANE('',#340019); #9152=PLANE('',#340020); #9153=PLANE('',#340021); #9154=PLANE('',#340022); #9155=PLANE('',#340023); #9156=PLANE('',#340024); #9157=PLANE('',#340028); #9158=PLANE('',#340029); #9159=PLANE('',#340030); #9160=PLANE('',#340031); #9161=PLANE('',#340032); #9162=PLANE('',#340033); #9163=PLANE('',#340037); #9164=PLANE('',#340038); #9165=PLANE('',#340039); #9166=PLANE('',#340040); #9167=PLANE('',#340041); #9168=PLANE('',#340042); #9169=PLANE('',#340043); #9170=PLANE('',#340044); #9171=PLANE('',#340045); #9172=PLANE('',#340046); #9173=PLANE('',#340050); #9174=PLANE('',#340054); #9175=PLANE('',#340055); #9176=PLANE('',#340056); #9177=PLANE('',#340060); #9178=PLANE('',#340064); #9179=PLANE('',#340065); #9180=PLANE('',#340066); #9181=PLANE('',#340073); #9182=PLANE('',#340077); #9183=PLANE('',#340081); #9184=PLANE('',#340082); #9185=PLANE('',#340083); #9186=PLANE('',#340087); #9187=PLANE('',#340091); #9188=PLANE('',#340092); #9189=PLANE('',#340093); #9190=PLANE('',#340094); #9191=PLANE('',#340095); #9192=PLANE('',#340096); #9193=PLANE('',#340097); #9194=PLANE('',#340098); #9195=PLANE('',#340099); #9196=PLANE('',#340100); #9197=PLANE('',#340101); #9198=PLANE('',#340105); #9199=PLANE('',#340106); #9200=PLANE('',#340107); #9201=PLANE('',#340108); #9202=PLANE('',#340109); #9203=PLANE('',#340113); #9204=PLANE('',#340114); #9205=PLANE('',#340118); #9206=PLANE('',#340119); #9207=PLANE('',#340126); #9208=PLANE('',#340130); #9209=PLANE('',#340131); #9210=PLANE('',#340135); #9211=PLANE('',#340136); #9212=PLANE('',#340137); #9213=PLANE('',#340138); #9214=PLANE('',#340139); #9215=PLANE('',#340143); #9216=PLANE('',#340144); #9217=PLANE('',#340145); #9218=PLANE('',#340146); #9219=PLANE('',#340147); #9220=PLANE('',#340148); #9221=PLANE('',#340152); #9222=PLANE('',#340153); #9223=PLANE('',#340154); #9224=PLANE('',#340155); #9225=PLANE('',#340156); #9226=PLANE('',#340157); #9227=PLANE('',#340161); #9228=PLANE('',#340165); #9229=PLANE('',#340169); #9230=PLANE('',#340170); #9231=PLANE('',#340171); #9232=PLANE('',#340172); #9233=PLANE('',#340173); #9234=PLANE('',#340174); #9235=PLANE('',#340175); #9236=PLANE('',#340176); #9237=PLANE('',#340177); #9238=PLANE('',#340181); #9239=PLANE('',#340182); #9240=PLANE('',#340186); #9241=PLANE('',#340193); #9242=PLANE('',#340194); #9243=PLANE('',#340201); #9244=PLANE('',#340205); #9245=PLANE('',#340212); #9246=PLANE('',#340216); #9247=PLANE('',#340217); #9248=PLANE('',#340221); #9249=PLANE('',#340228); #9250=PLANE('',#340229); #9251=PLANE('',#340233); #9252=PLANE('',#340234); #9253=PLANE('',#340235); #9254=PLANE('',#340236); #9255=PLANE('',#340237); #9256=PLANE('',#340238); #9257=PLANE('',#340242); #9258=PLANE('',#340243); #9259=PLANE('',#340244); #9260=PLANE('',#340245); #9261=PLANE('',#340246); #9262=PLANE('',#340247); #9263=PLANE('',#340248); #9264=PLANE('',#340249); #9265=PLANE('',#340250); #9266=PLANE('',#340251); #9267=PLANE('',#340255); #9268=PLANE('',#340262); #9269=PLANE('',#340263); #9270=PLANE('',#340267); #9271=PLANE('',#340268); #9272=PLANE('',#340269); #9273=PLANE('',#340270); #9274=PLANE('',#340271); #9275=PLANE('',#340272); #9276=PLANE('',#340273); #9277=PLANE('',#340274); #9278=PLANE('',#340275); #9279=PLANE('',#340276); #9280=PLANE('',#340277); #9281=PLANE('',#340278); #9282=PLANE('',#340282); #9283=PLANE('',#340286); #9284=PLANE('',#340287); #9285=PLANE('',#340288); #9286=PLANE('',#340292); #9287=PLANE('',#340296); #9288=PLANE('',#340303); #9289=PLANE('',#340304); #9290=PLANE('',#340305); #9291=PLANE('',#340309); #9292=PLANE('',#340313); #9293=PLANE('',#340314); #9294=PLANE('',#340315); #9295=PLANE('',#340319); #9296=PLANE('',#340323); #9297=PLANE('',#340324); #9298=PLANE('',#340325); #9299=PLANE('',#340326); #9300=PLANE('',#340327); #9301=PLANE('',#340328); #9302=PLANE('',#340329); #9303=PLANE('',#340330); #9304=PLANE('',#340331); #9305=PLANE('',#340335); #9306=PLANE('',#340336); #9307=PLANE('',#340337); #9308=PLANE('',#340338); #9309=PLANE('',#340342); #9310=PLANE('',#340346); #9311=PLANE('',#340347); #9312=PLANE('',#340351); #9313=PLANE('',#340358); #9314=PLANE('',#340359); #9315=PLANE('',#340363); #9316=PLANE('',#340364); #9317=PLANE('',#340365); #9318=PLANE('',#340366); #9319=PLANE('',#340367); #9320=PLANE('',#340368); #9321=PLANE('',#340369); #9322=PLANE('',#340370); #9323=PLANE('',#340371); #9324=PLANE('',#340372); #9325=PLANE('',#340373); #9326=PLANE('',#340374); #9327=PLANE('',#340375); #9328=PLANE('',#340376); #9329=PLANE('',#340377); #9330=PLANE('',#340378); #9331=PLANE('',#340379); #9332=PLANE('',#340380); #9333=PLANE('',#340381); #9334=PLANE('',#340382); #9335=PLANE('',#340383); #9336=PLANE('',#340384); #9337=PLANE('',#340385); #9338=PLANE('',#340386); #9339=PLANE('',#340387); #9340=PLANE('',#340388); #9341=PLANE('',#340389); #9342=PLANE('',#340390); #9343=PLANE('',#340391); #9344=PLANE('',#340392); #9345=PLANE('',#340393); #9346=PLANE('',#340394); #9347=PLANE('',#340395); #9348=PLANE('',#340396); #9349=PLANE('',#340397); #9350=PLANE('',#340401); #9351=PLANE('',#340402); #9352=PLANE('',#340403); #9353=PLANE('',#340404); #9354=PLANE('',#340408); #9355=PLANE('',#340412); #9356=PLANE('',#340416); #9357=PLANE('',#340423); #9358=PLANE('',#340424); #9359=PLANE('',#340425); #9360=PLANE('',#340426); #9361=PLANE('',#340427); #9362=PLANE('',#340428); #9363=PLANE('',#340429); #9364=PLANE('',#340433); #9365=PLANE('',#340437); #9366=PLANE('',#340441); #9367=PLANE('',#340445); #9368=PLANE('',#340446); #9369=PLANE('',#340447); #9370=PLANE('',#340448); #9371=PLANE('',#340449); #9372=PLANE('',#340450); #9373=PLANE('',#340451); #9374=PLANE('',#340452); #9375=PLANE('',#340453); #9376=PLANE('',#340457); #9377=PLANE('',#340461); #9378=PLANE('',#340462); #9379=PLANE('',#340463); #9380=PLANE('',#340467); #9381=PLANE('',#340468); #9382=PLANE('',#340472); #9383=PLANE('',#340479); #9384=PLANE('',#340480); #9385=PLANE('',#340481); #9386=PLANE('',#340482); #9387=PLANE('',#340483); #9388=PLANE('',#340484); #9389=PLANE('',#340488); #9390=PLANE('',#340492); #9391=PLANE('',#340493); #9392=PLANE('',#340494); #9393=PLANE('',#340495); #9394=PLANE('',#340496); #9395=PLANE('',#340497); #9396=PLANE('',#340501); #9397=PLANE('',#340505); #9398=PLANE('',#340506); #9399=PLANE('',#340507); #9400=PLANE('',#340511); #9401=PLANE('',#340515); #9402=PLANE('',#340516); #9403=PLANE('',#340517); #9404=PLANE('',#340518); #9405=PLANE('',#340519); #9406=PLANE('',#340520); #9407=PLANE('',#340521); #9408=PLANE('',#340522); #9409=PLANE('',#340523); #9410=PLANE('',#340527); #9411=PLANE('',#340531); #9412=PLANE('',#340532); #9413=PLANE('',#340533); #9414=PLANE('',#340537); #9415=PLANE('',#340541); #9416=PLANE('',#340542); #9417=PLANE('',#340543); #9418=PLANE('',#340544); #9419=PLANE('',#340545); #9420=PLANE('',#340546); #9421=PLANE('',#340547); #9422=PLANE('',#340551); #9423=PLANE('',#340555); #9424=PLANE('',#340556); #9425=PLANE('',#340557); #9426=PLANE('',#340558); #9427=PLANE('',#340559); #9428=PLANE('',#340560); #9429=PLANE('',#340561); #9430=PLANE('',#340565); #9431=PLANE('',#340566); #9432=PLANE('',#340573); #9433=PLANE('',#340574); #9434=PLANE('',#340581); #9435=PLANE('',#340582); #9436=PLANE('',#340589); #9437=PLANE('',#340590); #9438=PLANE('',#340591); #9439=PLANE('',#340592); #9440=PLANE('',#340593); #9441=PLANE('',#340594); #9442=PLANE('',#340595); #9443=PLANE('',#340596); #9444=PLANE('',#340597); #9445=PLANE('',#340598); #9446=PLANE('',#340602); #9447=PLANE('',#340606); #9448=PLANE('',#340607); #9449=PLANE('',#340608); #9450=PLANE('',#340609); #9451=PLANE('',#340610); #9452=PLANE('',#340611); #9453=PLANE('',#340615); #9454=PLANE('',#340616); #9455=PLANE('',#340617); #9456=PLANE('',#340618); #9457=PLANE('',#340619); #9458=PLANE('',#340620); #9459=PLANE('',#340621); #9460=PLANE('',#340622); #9461=PLANE('',#340623); #9462=PLANE('',#340624); #9463=PLANE('',#340625); #9464=PLANE('',#340665); #9465=PLANE('',#340666); #9466=PLANE('',#340667); #9467=PLANE('',#340668); #9468=PLANE('',#340669); #9469=PLANE('',#340670); #9470=PLANE('',#340671); #9471=PLANE('',#340672); #9472=PLANE('',#340673); #9473=PLANE('',#340674); #9474=PLANE('',#340675); #9475=PLANE('',#340676); #9476=PLANE('',#340677); #9477=PLANE('',#340678); #9478=PLANE('',#340679); #9479=PLANE('',#340680); #9480=PLANE('',#340681); #9481=PLANE('',#340682); #9482=PLANE('',#340683); #9483=PLANE('',#340684); #9484=PLANE('',#340685); #9485=PLANE('',#340686); #9486=PLANE('',#340687); #9487=PLANE('',#340688); #9488=PLANE('',#340689); #9489=PLANE('',#340690); #9490=PLANE('',#340691); #9491=PLANE('',#340692); #9492=PLANE('',#340693); #9493=PLANE('',#340694); #9494=PLANE('',#340695); #9495=PLANE('',#340696); #9496=PLANE('',#340697); #9497=PLANE('',#340698); #9498=PLANE('',#340699); #9499=PLANE('',#340700); #9500=PLANE('',#340701); #9501=PLANE('',#340702); #9502=PLANE('',#340703); #9503=PLANE('',#340704); #9504=PLANE('',#340705); #9505=PLANE('',#340706); #9506=PLANE('',#340707); #9507=PLANE('',#340708); #9508=PLANE('',#340709); #9509=PLANE('',#340710); #9510=PLANE('',#340711); #9511=PLANE('',#340712); #9512=PLANE('',#340713); #9513=PLANE('',#340714); #9514=PLANE('',#340715); #9515=PLANE('',#340716); #9516=PLANE('',#340717); #9517=PLANE('',#340718); #9518=PLANE('',#340719); #9519=PLANE('',#340720); #9520=PLANE('',#340721); #9521=PLANE('',#340722); #9522=PLANE('',#340723); #9523=PLANE('',#340724); #9524=PLANE('',#340725); #9525=PLANE('',#340726); #9526=PLANE('',#340727); #9527=PLANE('',#340728); #9528=PLANE('',#340729); #9529=PLANE('',#340730); #9530=PLANE('',#340731); #9531=PLANE('',#340732); #9532=PLANE('',#340733); #9533=PLANE('',#340734); #9534=PLANE('',#340735); #9535=PLANE('',#340736); #9536=PLANE('',#340737); #9537=PLANE('',#340738); #9538=PLANE('',#340739); #9539=PLANE('',#340740); #9540=PLANE('',#340741); #9541=PLANE('',#340742); #9542=PLANE('',#340743); #9543=PLANE('',#340744); #9544=PLANE('',#340745); #9545=PLANE('',#340746); #9546=PLANE('',#340747); #9547=PLANE('',#340748); #9548=PLANE('',#340749); #9549=PLANE('',#340750); #9550=PLANE('',#340751); #9551=PLANE('',#340752); #9552=PLANE('',#340753); #9553=PLANE('',#340754); #9554=PLANE('',#340755); #9555=PLANE('',#340756); #9556=PLANE('',#340757); #9557=PLANE('',#340758); #9558=PLANE('',#340759); #9559=PLANE('',#340760); #9560=PLANE('',#340761); #9561=PLANE('',#340762); #9562=PLANE('',#340763); #9563=PLANE('',#340764); #9564=PLANE('',#340768); #9565=PLANE('',#340775); #9566=PLANE('',#340776); #9567=PLANE('',#340810); #9568=PLANE('',#340811); #9569=PLANE('',#340812); #9570=PLANE('',#340813); #9571=PLANE('',#340817); #9572=PLANE('',#340821); #9573=PLANE('',#340822); #9574=PLANE('',#340823); #9575=PLANE('',#340824); #9576=PLANE('',#340825); #9577=PLANE('',#340826); #9578=PLANE('',#340827); #9579=PLANE('',#340828); #9580=PLANE('',#340829); #9581=PLANE('',#340830); #9582=PLANE('',#340831); #9583=PLANE('',#340832); #9584=PLANE('',#340833); #9585=PLANE('',#340834); #9586=PLANE('',#340835); #9587=PLANE('',#340836); #9588=PLANE('',#340837); #9589=PLANE('',#340838); #9590=PLANE('',#340839); #9591=PLANE('',#340840); #9592=PLANE('',#340841); #9593=PLANE('',#340842); #9594=PLANE('',#340843); #9595=PLANE('',#340844); #9596=PLANE('',#340845); #9597=PLANE('',#340846); #9598=PLANE('',#340847); #9599=PLANE('',#340848); #9600=PLANE('',#340849); #9601=PLANE('',#340850); #9602=PLANE('',#340851); #9603=PLANE('',#340852); #9604=PLANE('',#340853); #9605=PLANE('',#340854); #9606=PLANE('',#340855); #9607=PLANE('',#340856); #9608=PLANE('',#340857); #9609=PLANE('',#340858); #9610=PLANE('',#340859); #9611=PLANE('',#340860); #9612=PLANE('',#340861); #9613=PLANE('',#340862); #9614=PLANE('',#340863); #9615=PLANE('',#340864); #9616=PLANE('',#340865); #9617=PLANE('',#340866); #9618=PLANE('',#340867); #9619=PLANE('',#340868); #9620=PLANE('',#340869); #9621=PLANE('',#340870); #9622=PLANE('',#340871); #9623=PLANE('',#340872); #9624=PLANE('',#340873); #9625=PLANE('',#340874); #9626=PLANE('',#340875); #9627=PLANE('',#340876); #9628=PLANE('',#340877); #9629=PLANE('',#340878); #9630=PLANE('',#340879); #9631=PLANE('',#340880); #9632=PLANE('',#340881); #9633=PLANE('',#340882); #9634=PLANE('',#340883); #9635=PLANE('',#340884); #9636=PLANE('',#340885); #9637=PLANE('',#340886); #9638=PLANE('',#340887); #9639=PLANE('',#340888); #9640=PLANE('',#340889); #9641=PLANE('',#340890); #9642=PLANE('',#340891); #9643=PLANE('',#340892); #9644=PLANE('',#340893); #9645=PLANE('',#340894); #9646=PLANE('',#340895); #9647=PLANE('',#340896); #9648=PLANE('',#340897); #9649=PLANE('',#340898); #9650=PLANE('',#340899); #9651=PLANE('',#340900); #9652=PLANE('',#340901); #9653=PLANE('',#340902); #9654=PLANE('',#340903); #9655=PLANE('',#340904); #9656=PLANE('',#340905); #9657=PLANE('',#340906); #9658=PLANE('',#340907); #9659=PLANE('',#340908); #9660=PLANE('',#340909); #9661=PLANE('',#340910); #9662=PLANE('',#340911); #9663=PLANE('',#340912); #9664=PLANE('',#340913); #9665=PLANE('',#340914); #9666=PLANE('',#340915); #9667=PLANE('',#340916); #9668=PLANE('',#340917); #9669=PLANE('',#340918); #9670=PLANE('',#340919); #9671=PLANE('',#340920); #9672=PLANE('',#340921); #9673=PLANE('',#340922); #9674=PLANE('',#340923); #9675=PLANE('',#340924); #9676=PLANE('',#340925); #9677=PLANE('',#340926); #9678=PLANE('',#340927); #9679=PLANE('',#340928); #9680=PLANE('',#340929); #9681=PLANE('',#340930); #9682=PLANE('',#340931); #9683=PLANE('',#340932); #9684=PLANE('',#340933); #9685=PLANE('',#340934); #9686=PLANE('',#340935); #9687=PLANE('',#340936); #9688=PLANE('',#340937); #9689=PLANE('',#340938); #9690=PLANE('',#340942); #9691=PLANE('',#340946); #9692=PLANE('',#340947); #9693=PLANE('',#340948); #9694=PLANE('',#340949); #9695=PLANE('',#340950); #9696=PLANE('',#340951); #9697=PLANE('',#340952); #9698=PLANE('',#340956); #9699=PLANE('',#340957); #9700=PLANE('',#340958); #9701=PLANE('',#340959); #9702=PLANE('',#340960); #9703=PLANE('',#340961); #9704=PLANE('',#340962); #9705=PLANE('',#340963); #9706=PLANE('',#340964); #9707=PLANE('',#340965); #9708=PLANE('',#340966); #9709=PLANE('',#340967); #9710=PLANE('',#340968); #9711=PLANE('',#340969); #9712=PLANE('',#340970); #9713=PLANE('',#340971); #9714=PLANE('',#340972); #9715=PLANE('',#340973); #9716=PLANE('',#340974); #9717=PLANE('',#340975); #9718=PLANE('',#340976); #9719=PLANE('',#340977); #9720=PLANE('',#340978); #9721=PLANE('',#340979); #9722=PLANE('',#340980); #9723=PLANE('',#340981); #9724=PLANE('',#340982); #9725=PLANE('',#340983); #9726=PLANE('',#340984); #9727=PLANE('',#340985); #9728=PLANE('',#340986); #9729=PLANE('',#340987); #9730=PLANE('',#340988); #9731=PLANE('',#340989); #9732=PLANE('',#340990); #9733=PLANE('',#340991); #9734=PLANE('',#340992); #9735=PLANE('',#340993); #9736=PLANE('',#340994); #9737=PLANE('',#340995); #9738=PLANE('',#340996); #9739=PLANE('',#340997); #9740=PLANE('',#340998); #9741=PLANE('',#340999); #9742=PLANE('',#341000); #9743=PLANE('',#341001); #9744=PLANE('',#341002); #9745=PLANE('',#341003); #9746=PLANE('',#341004); #9747=PLANE('',#341005); #9748=PLANE('',#341006); #9749=PLANE('',#341007); #9750=PLANE('',#341008); #9751=PLANE('',#341009); #9752=PLANE('',#341010); #9753=PLANE('',#341011); #9754=PLANE('',#341012); #9755=PLANE('',#341013); #9756=PLANE('',#341014); #9757=PLANE('',#341015); #9758=PLANE('',#341016); #9759=PLANE('',#341017); #9760=PLANE('',#341018); #9761=PLANE('',#341019); #9762=PLANE('',#341020); #9763=PLANE('',#341021); #9764=PLANE('',#341022); #9765=PLANE('',#341023); #9766=PLANE('',#341024); #9767=PLANE('',#341025); #9768=PLANE('',#341026); #9769=PLANE('',#341027); #9770=PLANE('',#341028); #9771=PLANE('',#341029); #9772=PLANE('',#341030); #9773=PLANE('',#341031); #9774=PLANE('',#341032); #9775=PLANE('',#341033); #9776=PLANE('',#341034); #9777=PLANE('',#341035); #9778=PLANE('',#341036); #9779=PLANE('',#341037); #9780=PLANE('',#341038); #9781=PLANE('',#341039); #9782=PLANE('',#341040); #9783=PLANE('',#341041); #9784=PLANE('',#341042); #9785=PLANE('',#341043); #9786=PLANE('',#341044); #9787=PLANE('',#341045); #9788=PLANE('',#341046); #9789=PLANE('',#341047); #9790=PLANE('',#341048); #9791=PLANE('',#341049); #9792=PLANE('',#341050); #9793=PLANE('',#341051); #9794=PLANE('',#341052); #9795=PLANE('',#341053); #9796=PLANE('',#341054); #9797=PLANE('',#341064); #9798=PLANE('',#341065); #9799=PLANE('',#341066); #9800=PLANE('',#341067); #9801=PLANE('',#341068); #9802=PLANE('',#341069); #9803=PLANE('',#341070); #9804=PLANE('',#341071); #9805=PLANE('',#341072); #9806=PLANE('',#341073); #9807=PLANE('',#341074); #9808=PLANE('',#341075); #9809=PLANE('',#341076); #9810=PLANE('',#341077); #9811=PLANE('',#341078); #9812=PLANE('',#341079); #9813=PLANE('',#341080); #9814=PLANE('',#341081); #9815=PLANE('',#341082); #9816=PLANE('',#341083); #9817=PLANE('',#341084); #9818=PLANE('',#341085); #9819=PLANE('',#341086); #9820=PLANE('',#341087); #9821=PLANE('',#341088); #9822=PLANE('',#341089); #9823=PLANE('',#341090); #9824=PLANE('',#341091); #9825=PLANE('',#341092); #9826=PLANE('',#341093); #9827=PLANE('',#341094); #9828=PLANE('',#341095); #9829=PLANE('',#341096); #9830=PLANE('',#341097); #9831=PLANE('',#341098); #9832=PLANE('',#341099); #9833=PLANE('',#341100); #9834=PLANE('',#341101); #9835=PLANE('',#341102); #9836=PLANE('',#341103); #9837=PLANE('',#341104); #9838=PLANE('',#341105); #9839=PLANE('',#341106); #9840=PLANE('',#341107); #9841=PLANE('',#341108); #9842=PLANE('',#341109); #9843=PLANE('',#341110); #9844=PLANE('',#341111); #9845=PLANE('',#341112); #9846=PLANE('',#341113); #9847=PLANE('',#341114); #9848=PLANE('',#341115); #9849=PLANE('',#341116); #9850=PLANE('',#341117); #9851=PLANE('',#341118); #9852=PLANE('',#341119); #9853=PLANE('',#341120); #9854=PLANE('',#341121); #9855=PLANE('',#341122); #9856=PLANE('',#341123); #9857=PLANE('',#341124); #9858=PLANE('',#341125); #9859=PLANE('',#341126); #9860=PLANE('',#341127); #9861=PLANE('',#341128); #9862=PLANE('',#341129); #9863=PLANE('',#341130); #9864=PLANE('',#341131); #9865=PLANE('',#341132); #9866=PLANE('',#341133); #9867=PLANE('',#341134); #9868=PLANE('',#341135); #9869=PLANE('',#341136); #9870=PLANE('',#341137); #9871=PLANE('',#341138); #9872=PLANE('',#341139); #9873=PLANE('',#341140); #9874=PLANE('',#341141); #9875=PLANE('',#341142); #9876=PLANE('',#341143); #9877=PLANE('',#341144); #9878=PLANE('',#341145); #9879=PLANE('',#341146); #9880=PLANE('',#341147); #9881=PLANE('',#341148); #9882=PLANE('',#341149); #9883=PLANE('',#341150); #9884=PLANE('',#341151); #9885=PLANE('',#341152); #9886=PLANE('',#341153); #9887=PLANE('',#341154); #9888=PLANE('',#341155); #9889=PLANE('',#341183); #9890=PLANE('',#341187); #9891=PLANE('',#341191); #9892=PLANE('',#341195); #9893=PLANE('',#341211); #9894=PLANE('',#341215); #9895=PLANE('',#341219); #9896=PLANE('',#341223); #9897=PLANE('',#341362); #9898=PLANE('',#341363); #9899=PLANE('',#341364); #9900=PLANE('',#341365); #9901=PLANE('',#341366); #9902=PLANE('',#341367); #9903=PLANE('',#341368); #9904=PLANE('',#341369); #9905=PLANE('',#341370); #9906=PLANE('',#341371); #9907=PLANE('',#341372); #9908=PLANE('',#341373); #9909=PLANE('',#341374); #9910=PLANE('',#341375); #9911=PLANE('',#341376); #9912=PLANE('',#341377); #9913=PLANE('',#341378); #9914=PLANE('',#341379); #9915=PLANE('',#341380); #9916=PLANE('',#341381); #9917=PLANE('',#341382); #9918=PLANE('',#341383); #9919=PLANE('',#341384); #9920=PLANE('',#341385); #9921=PLANE('',#341386); #9922=PLANE('',#341387); #9923=PLANE('',#341388); #9924=PLANE('',#341389); #9925=PLANE('',#341390); #9926=PLANE('',#341391); #9927=PLANE('',#341392); #9928=PLANE('',#341393); #9929=PLANE('',#341394); #9930=PLANE('',#341395); #9931=PLANE('',#341396); #9932=PLANE('',#341397); #9933=PLANE('',#341398); #9934=PLANE('',#341399); #9935=PLANE('',#341400); #9936=PLANE('',#341401); #9937=PLANE('',#341402); #9938=PLANE('',#341403); #9939=PLANE('',#341404); #9940=PLANE('',#341405); #9941=PLANE('',#341406); #9942=PLANE('',#341407); #9943=PLANE('',#341408); #9944=PLANE('',#341409); #9945=PLANE('',#341410); #9946=PLANE('',#341411); #9947=PLANE('',#341412); #9948=PLANE('',#341413); #9949=PLANE('',#341414); #9950=PLANE('',#341415); #9951=PLANE('',#341416); #9952=PLANE('',#341417); #9953=PLANE('',#341418); #9954=PLANE('',#341419); #9955=PLANE('',#341420); #9956=PLANE('',#341421); #9957=PLANE('',#341422); #9958=PLANE('',#341423); #9959=PLANE('',#341424); #9960=PLANE('',#341425); #9961=PLANE('',#341426); #9962=PLANE('',#341427); #9963=PLANE('',#341428); #9964=PLANE('',#341429); #9965=PLANE('',#341430); #9966=PLANE('',#341431); #9967=PLANE('',#341432); #9968=PLANE('',#341433); #9969=PLANE('',#341434); #9970=PLANE('',#341435); #9971=PLANE('',#341436); #9972=PLANE('',#341437); #9973=PLANE('',#341438); #9974=PLANE('',#341439); #9975=PLANE('',#341440); #9976=PLANE('',#341441); #9977=PLANE('',#341442); #9978=PLANE('',#341443); #9979=PLANE('',#341444); #9980=PLANE('',#341445); #9981=PLANE('',#341446); #9982=PLANE('',#341447); #9983=PLANE('',#341448); #9984=PLANE('',#341449); #9985=PLANE('',#341450); #9986=PLANE('',#341451); #9987=PLANE('',#341452); #9988=PLANE('',#341453); #9989=PLANE('',#341454); #9990=PLANE('',#341455); #9991=PLANE('',#341456); #9992=PLANE('',#341457); #9993=PLANE('',#341458); #9994=PLANE('',#341459); #9995=PLANE('',#341460); #9996=PLANE('',#341461); #9997=PLANE('',#341462); #9998=PLANE('',#341463); #9999=PLANE('',#341464); #10000=PLANE('',#341465); #10001=PLANE('',#341466); #10002=PLANE('',#341467); #10003=PLANE('',#341468); #10004=PLANE('',#341469); #10005=PLANE('',#341470); #10006=PLANE('',#341471); #10007=PLANE('',#341472); #10008=PLANE('',#341473); #10009=PLANE('',#341474); #10010=PLANE('',#341475); #10011=PLANE('',#341476); #10012=PLANE('',#341477); #10013=PLANE('',#341478); #10014=PLANE('',#341479); #10015=PLANE('',#341480); #10016=PLANE('',#341481); #10017=PLANE('',#341482); #10018=PLANE('',#341483); #10019=PLANE('',#341484); #10020=PLANE('',#341485); #10021=PLANE('',#341486); #10022=PLANE('',#341487); #10023=PLANE('',#341488); #10024=PLANE('',#341489); #10025=PLANE('',#341490); #10026=PLANE('',#341491); #10027=PLANE('',#341492); #10028=PLANE('',#341493); #10029=PLANE('',#341494); #10030=PLANE('',#341495); #10031=PLANE('',#341496); #10032=PLANE('',#341497); #10033=PLANE('',#341498); #10034=PLANE('',#341499); #10035=PLANE('',#341500); #10036=PLANE('',#341501); #10037=PLANE('',#341502); #10038=PLANE('',#341503); #10039=PLANE('',#341504); #10040=PLANE('',#341505); #10041=PLANE('',#341506); #10042=PLANE('',#341507); #10043=PLANE('',#341508); #10044=PLANE('',#341509); #10045=PLANE('',#341510); #10046=PLANE('',#341511); #10047=PLANE('',#341512); #10048=PLANE('',#341513); #10049=PLANE('',#341514); #10050=PLANE('',#341515); #10051=PLANE('',#341516); #10052=PLANE('',#341517); #10053=PLANE('',#341518); #10054=PLANE('',#341519); #10055=PLANE('',#341520); #10056=PLANE('',#341521); #10057=PLANE('',#341522); #10058=PLANE('',#341523); #10059=PLANE('',#341524); #10060=PLANE('',#341525); #10061=PLANE('',#341526); #10062=PLANE('',#341527); #10063=PLANE('',#341528); #10064=PLANE('',#341529); #10065=PLANE('',#341530); #10066=PLANE('',#341531); #10067=PLANE('',#341532); #10068=PLANE('',#341533); #10069=PLANE('',#341534); #10070=PLANE('',#341535); #10071=PLANE('',#341536); #10072=PLANE('',#341537); #10073=PLANE('',#341538); #10074=PLANE('',#341539); #10075=PLANE('',#341540); #10076=PLANE('',#341541); #10077=PLANE('',#341542); #10078=PLANE('',#341543); #10079=PLANE('',#341544); #10080=PLANE('',#341545); #10081=PLANE('',#341546); #10082=PLANE('',#341547); #10083=PLANE('',#341548); #10084=PLANE('',#341549); #10085=PLANE('',#341550); #10086=PLANE('',#341551); #10087=PLANE('',#341552); #10088=PLANE('',#341553); #10089=PLANE('',#341554); #10090=PLANE('',#341555); #10091=PLANE('',#341556); #10092=PLANE('',#341557); #10093=PLANE('',#341558); #10094=PLANE('',#341559); #10095=PLANE('',#341560); #10096=PLANE('',#341561); #10097=PLANE('',#341562); #10098=PLANE('',#341563); #10099=PLANE('',#341564); #10100=PLANE('',#341565); #10101=PLANE('',#341566); #10102=PLANE('',#341567); #10103=PLANE('',#341568); #10104=PLANE('',#341569); #10105=PLANE('',#341570); #10106=PLANE('',#341571); #10107=PLANE('',#341572); #10108=PLANE('',#341573); #10109=PLANE('',#341574); #10110=PLANE('',#341575); #10111=PLANE('',#341576); #10112=PLANE('',#341577); #10113=PLANE('',#341578); #10114=PLANE('',#341579); #10115=PLANE('',#341580); #10116=PLANE('',#341581); #10117=PLANE('',#341582); #10118=PLANE('',#341583); #10119=PLANE('',#341584); #10120=PLANE('',#341585); #10121=PLANE('',#341586); #10122=PLANE('',#341587); #10123=PLANE('',#341588); #10124=PLANE('',#341589); #10125=PLANE('',#341590); #10126=PLANE('',#341591); #10127=PLANE('',#341592); #10128=PLANE('',#341593); #10129=PLANE('',#341594); #10130=PLANE('',#341595); #10131=PLANE('',#341596); #10132=PLANE('',#341597); #10133=PLANE('',#341598); #10134=PLANE('',#341599); #10135=PLANE('',#341600); #10136=PLANE('',#341601); #10137=PLANE('',#341602); #10138=PLANE('',#341603); #10139=PLANE('',#341604); #10140=PLANE('',#341605); #10141=PLANE('',#341606); #10142=PLANE('',#341607); #10143=PLANE('',#341608); #10144=PLANE('',#341609); #10145=PLANE('',#341610); #10146=PLANE('',#341611); #10147=PLANE('',#341612); #10148=PLANE('',#341613); #10149=PLANE('',#341614); #10150=PLANE('',#341615); #10151=PLANE('',#341616); #10152=PLANE('',#341617); #10153=PLANE('',#341618); #10154=PLANE('',#341619); #10155=PLANE('',#341620); #10156=PLANE('',#341621); #10157=PLANE('',#341622); #10158=PLANE('',#341623); #10159=PLANE('',#341624); #10160=PLANE('',#341625); #10161=PLANE('',#341626); #10162=PLANE('',#341627); #10163=PLANE('',#341628); #10164=PLANE('',#341629); #10165=PLANE('',#341630); #10166=PLANE('',#341631); #10167=PLANE('',#341632); #10168=PLANE('',#341633); #10169=PLANE('',#341634); #10170=PLANE('',#341635); #10171=PLANE('',#341636); #10172=PLANE('',#341637); #10173=PLANE('',#341638); #10174=PLANE('',#341639); #10175=PLANE('',#341640); #10176=PLANE('',#341641); #10177=PLANE('',#341642); #10178=PLANE('',#341643); #10179=PLANE('',#341644); #10180=PLANE('',#341645); #10181=PLANE('',#341646); #10182=PLANE('',#341647); #10183=PLANE('',#341648); #10184=PLANE('',#341649); #10185=PLANE('',#341650); #10186=PLANE('',#341651); #10187=PLANE('',#341652); #10188=PLANE('',#341653); #10189=PLANE('',#341654); #10190=PLANE('',#341655); #10191=PLANE('',#341656); #10192=PLANE('',#341657); #10193=PLANE('',#341658); #10194=PLANE('',#341659); #10195=PLANE('',#341660); #10196=PLANE('',#341661); #10197=PLANE('',#341662); #10198=PLANE('',#341663); #10199=PLANE('',#341664); #10200=PLANE('',#341665); #10201=PLANE('',#341666); #10202=PLANE('',#341667); #10203=PLANE('',#341668); #10204=PLANE('',#341669); #10205=PLANE('',#341670); #10206=PLANE('',#341671); #10207=PLANE('',#341672); #10208=PLANE('',#341673); #10209=PLANE('',#341674); #10210=PLANE('',#341675); #10211=PLANE('',#341676); #10212=PLANE('',#341677); #10213=PLANE('',#341678); #10214=PLANE('',#341679); #10215=PLANE('',#341680); #10216=PLANE('',#341681); #10217=PLANE('',#341682); #10218=PLANE('',#341683); #10219=PLANE('',#341684); #10220=PLANE('',#341685); #10221=PLANE('',#341686); #10222=PLANE('',#341687); #10223=PLANE('',#341688); #10224=PLANE('',#341689); #10225=PLANE('',#341690); #10226=PLANE('',#341691); #10227=PLANE('',#341692); #10228=PLANE('',#341693); #10229=PLANE('',#341694); #10230=PLANE('',#341695); #10231=PLANE('',#341696); #10232=PLANE('',#341697); #10233=PLANE('',#341698); #10234=PLANE('',#341699); #10235=PLANE('',#341700); #10236=PLANE('',#341701); #10237=PLANE('',#341702); #10238=PLANE('',#341703); #10239=PLANE('',#341704); #10240=PLANE('',#341705); #10241=PLANE('',#341706); #10242=PLANE('',#341707); #10243=PLANE('',#341708); #10244=PLANE('',#341709); #10245=PLANE('',#341710); #10246=PLANE('',#341711); #10247=PLANE('',#341712); #10248=PLANE('',#341713); #10249=PLANE('',#341714); #10250=PLANE('',#341715); #10251=PLANE('',#341716); #10252=PLANE('',#341717); #10253=PLANE('',#341718); #10254=PLANE('',#341719); #10255=PLANE('',#341720); #10256=PLANE('',#341721); #10257=PLANE('',#341722); #10258=PLANE('',#341723); #10259=PLANE('',#341724); #10260=PLANE('',#341725); #10261=PLANE('',#341726); #10262=PLANE('',#341727); #10263=PLANE('',#341728); #10264=PLANE('',#341729); #10265=PLANE('',#341730); #10266=PLANE('',#341731); #10267=PLANE('',#341732); #10268=PLANE('',#341733); #10269=PLANE('',#341734); #10270=PLANE('',#341735); #10271=PLANE('',#341736); #10272=PLANE('',#341737); #10273=PLANE('',#341738); #10274=PLANE('',#341739); #10275=PLANE('',#341740); #10276=PLANE('',#341741); #10277=PLANE('',#341742); #10278=PLANE('',#341743); #10279=PLANE('',#341744); #10280=PLANE('',#341745); #10281=PLANE('',#341746); #10282=PLANE('',#341747); #10283=PLANE('',#341748); #10284=PLANE('',#341749); #10285=PLANE('',#341750); #10286=PLANE('',#341751); #10287=PLANE('',#341752); #10288=PLANE('',#341753); #10289=PLANE('',#341754); #10290=PLANE('',#341755); #10291=PLANE('',#341756); #10292=PLANE('',#341757); #10293=PLANE('',#341758); #10294=PLANE('',#341759); #10295=PLANE('',#341760); #10296=PLANE('',#341761); #10297=PLANE('',#341762); #10298=PLANE('',#341763); #10299=PLANE('',#341764); #10300=PLANE('',#341765); #10301=PLANE('',#341766); #10302=PLANE('',#341767); #10303=PLANE('',#341768); #10304=PLANE('',#341769); #10305=PLANE('',#341770); #10306=PLANE('',#341771); #10307=PLANE('',#341772); #10308=PLANE('',#341773); #10309=PLANE('',#341774); #10310=PLANE('',#341775); #10311=PLANE('',#341776); #10312=PLANE('',#341777); #10313=PLANE('',#341778); #10314=PLANE('',#341779); #10315=PLANE('',#341780); #10316=PLANE('',#341781); #10317=PLANE('',#341782); #10318=PLANE('',#341783); #10319=PLANE('',#341784); #10320=PLANE('',#341785); #10321=PLANE('',#341786); #10322=PLANE('',#341787); #10323=PLANE('',#341788); #10324=PLANE('',#341789); #10325=PLANE('',#341790); #10326=PLANE('',#341791); #10327=PLANE('',#341792); #10328=PLANE('',#341793); #10329=PLANE('',#341794); #10330=PLANE('',#341795); #10331=PLANE('',#341796); #10332=PLANE('',#341797); #10333=PLANE('',#341798); #10334=PLANE('',#341799); #10335=PLANE('',#341800); #10336=PLANE('',#341801); #10337=PLANE('',#341802); #10338=PLANE('',#341803); #10339=PLANE('',#341804); #10340=PLANE('',#341805); #10341=PLANE('',#341806); #10342=PLANE('',#341807); #10343=PLANE('',#341808); #10344=PLANE('',#341809); #10345=PLANE('',#341810); #10346=PLANE('',#341811); #10347=PLANE('',#341812); #10348=PLANE('',#341813); #10349=PLANE('',#341814); #10350=PLANE('',#341815); #10351=PLANE('',#341816); #10352=PLANE('',#341817); #10353=PLANE('',#341818); #10354=PLANE('',#341819); #10355=PLANE('',#341820); #10356=PLANE('',#341821); #10357=PLANE('',#341822); #10358=PLANE('',#341823); #10359=PLANE('',#341824); #10360=PLANE('',#341825); #10361=PLANE('',#341826); #10362=PLANE('',#341827); #10363=PLANE('',#341828); #10364=PLANE('',#341829); #10365=PLANE('',#341830); #10366=PLANE('',#341831); #10367=PLANE('',#341832); #10368=PLANE('',#341833); #10369=PLANE('',#341834); #10370=PLANE('',#341835); #10371=PLANE('',#341836); #10372=PLANE('',#341837); #10373=PLANE('',#341838); #10374=PLANE('',#341839); #10375=PLANE('',#341840); #10376=PLANE('',#341841); #10377=PLANE('',#341842); #10378=PLANE('',#341843); #10379=PLANE('',#341844); #10380=PLANE('',#341845); #10381=PLANE('',#341846); #10382=PLANE('',#341847); #10383=PLANE('',#341848); #10384=PLANE('',#341849); #10385=PLANE('',#341850); #10386=PLANE('',#341851); #10387=PLANE('',#341852); #10388=PLANE('',#341853); #10389=PLANE('',#341854); #10390=PLANE('',#341855); #10391=PLANE('',#341856); #10392=PLANE('',#341857); #10393=PLANE('',#341858); #10394=PLANE('',#341859); #10395=PLANE('',#341860); #10396=PLANE('',#341861); #10397=PLANE('',#341862); #10398=PLANE('',#341863); #10399=PLANE('',#341864); #10400=PLANE('',#341865); #10401=PLANE('',#341866); #10402=PLANE('',#341867); #10403=PLANE('',#341868); #10404=PLANE('',#341869); #10405=PLANE('',#341870); #10406=PLANE('',#341871); #10407=PLANE('',#341872); #10408=PLANE('',#341873); #10409=PLANE('',#341874); #10410=PLANE('',#341875); #10411=PLANE('',#341876); #10412=PLANE('',#341877); #10413=PLANE('',#341878); #10414=PLANE('',#341879); #10415=PLANE('',#341880); #10416=PLANE('',#341881); #10417=PLANE('',#341882); #10418=PLANE('',#341883); #10419=PLANE('',#341884); #10420=PLANE('',#341885); #10421=PLANE('',#341886); #10422=PLANE('',#341887); #10423=PLANE('',#341888); #10424=PLANE('',#341889); #10425=PLANE('',#341890); #10426=PLANE('',#341891); #10427=PLANE('',#341892); #10428=PLANE('',#341893); #10429=PLANE('',#341894); #10430=PLANE('',#341895); #10431=PLANE('',#341896); #10432=PLANE('',#341897); #10433=PLANE('',#341898); #10434=PLANE('',#341899); #10435=PLANE('',#341900); #10436=PLANE('',#341901); #10437=PLANE('',#341902); #10438=PLANE('',#341903); #10439=PLANE('',#341904); #10440=PLANE('',#341905); #10441=PLANE('',#341906); #10442=PLANE('',#341907); #10443=PLANE('',#341908); #10444=PLANE('',#341909); #10445=PLANE('',#341910); #10446=PLANE('',#341911); #10447=PLANE('',#341912); #10448=PLANE('',#341913); #10449=PLANE('',#341914); #10450=PLANE('',#341915); #10451=PLANE('',#341916); #10452=PLANE('',#341917); #10453=PLANE('',#341918); #10454=PLANE('',#341919); #10455=PLANE('',#341920); #10456=PLANE('',#341921); #10457=PLANE('',#341922); #10458=PLANE('',#341923); #10459=PLANE('',#341924); #10460=PLANE('',#341925); #10461=PLANE('',#341926); #10462=PLANE('',#341927); #10463=PLANE('',#341928); #10464=PLANE('',#341929); #10465=PLANE('',#341930); #10466=PLANE('',#341931); #10467=PLANE('',#341932); #10468=PLANE('',#341933); #10469=PLANE('',#341934); #10470=PLANE('',#341935); #10471=PLANE('',#341936); #10472=PLANE('',#341937); #10473=PLANE('',#341938); #10474=PLANE('',#341939); #10475=PLANE('',#341940); #10476=PLANE('',#341941); #10477=PLANE('',#341942); #10478=PLANE('',#341943); #10479=PLANE('',#341944); #10480=PLANE('',#341945); #10481=PLANE('',#341946); #10482=PLANE('',#341947); #10483=PLANE('',#341948); #10484=PLANE('',#341949); #10485=PLANE('',#341950); #10486=PLANE('',#341951); #10487=PLANE('',#341952); #10488=PLANE('',#341953); #10489=PLANE('',#341954); #10490=PLANE('',#341955); #10491=PLANE('',#341956); #10492=PLANE('',#341957); #10493=PLANE('',#341958); #10494=PLANE('',#341959); #10495=PLANE('',#341960); #10496=PLANE('',#341961); #10497=PLANE('',#341962); #10498=PLANE('',#341963); #10499=PLANE('',#341964); #10500=PLANE('',#341965); #10501=PLANE('',#341966); #10502=PLANE('',#341967); #10503=PLANE('',#341968); #10504=PLANE('',#341969); #10505=PLANE('',#341970); #10506=PLANE('',#341971); #10507=PLANE('',#341972); #10508=PLANE('',#341973); #10509=PLANE('',#341974); #10510=PLANE('',#341975); #10511=PLANE('',#341976); #10512=PLANE('',#341977); #10513=PLANE('',#341978); #10514=PLANE('',#341979); #10515=PLANE('',#341980); #10516=PLANE('',#341981); #10517=PLANE('',#341982); #10518=PLANE('',#341983); #10519=PLANE('',#341984); #10520=PLANE('',#341985); #10521=PLANE('',#341986); #10522=PLANE('',#341987); #10523=PLANE('',#341988); #10524=PLANE('',#341989); #10525=PLANE('',#341990); #10526=PLANE('',#341991); #10527=PLANE('',#341992); #10528=PLANE('',#341993); #10529=PLANE('',#341994); #10530=PLANE('',#341995); #10531=PLANE('',#341996); #10532=PLANE('',#341997); #10533=PLANE('',#341998); #10534=PLANE('',#341999); #10535=PLANE('',#342000); #10536=PLANE('',#342001); #10537=PLANE('',#342002); #10538=PLANE('',#342003); #10539=PLANE('',#342004); #10540=PLANE('',#342005); #10541=PLANE('',#342006); #10542=PLANE('',#342007); #10543=PLANE('',#342008); #10544=PLANE('',#342009); #10545=PLANE('',#342010); #10546=PLANE('',#342011); #10547=PLANE('',#342012); #10548=PLANE('',#342013); #10549=PLANE('',#342014); #10550=PLANE('',#342015); #10551=PLANE('',#342016); #10552=PLANE('',#342017); #10553=PLANE('',#342018); #10554=PLANE('',#342019); #10555=PLANE('',#342020); #10556=PLANE('',#342021); #10557=PLANE('',#342022); #10558=PLANE('',#342023); #10559=PLANE('',#342024); #10560=PLANE('',#342025); #10561=PLANE('',#342026); #10562=PLANE('',#342027); #10563=PLANE('',#342028); #10564=PLANE('',#342029); #10565=PLANE('',#342030); #10566=PLANE('',#342031); #10567=PLANE('',#342032); #10568=PLANE('',#342033); #10569=PLANE('',#342034); #10570=PLANE('',#342035); #10571=PLANE('',#342036); #10572=PLANE('',#342037); #10573=PLANE('',#342038); #10574=PLANE('',#342039); #10575=PLANE('',#342040); #10576=PLANE('',#342041); #10577=PLANE('',#342042); #10578=PLANE('',#342043); #10579=PLANE('',#342044); #10580=PLANE('',#342045); #10581=PLANE('',#342046); #10582=PLANE('',#342047); #10583=PLANE('',#342048); #10584=PLANE('',#342049); #10585=PLANE('',#342050); #10586=PLANE('',#342051); #10587=PLANE('',#342052); #10588=PLANE('',#342053); #10589=PLANE('',#342054); #10590=PLANE('',#342055); #10591=PLANE('',#342056); #10592=PLANE('',#342057); #10593=PLANE('',#342058); #10594=PLANE('',#342059); #10595=PLANE('',#342060); #10596=PLANE('',#342061); #10597=PLANE('',#342062); #10598=PLANE('',#342063); #10599=PLANE('',#342064); #10600=PLANE('',#342065); #10601=PLANE('',#342066); #10602=PLANE('',#342067); #10603=PLANE('',#342068); #10604=PLANE('',#342069); #10605=PLANE('',#342070); #10606=PLANE('',#342071); #10607=PLANE('',#342072); #10608=PLANE('',#342073); #10609=PLANE('',#342074); #10610=PLANE('',#342075); #10611=PLANE('',#342076); #10612=PLANE('',#342077); #10613=PLANE('',#342078); #10614=PLANE('',#342079); #10615=PLANE('',#342080); #10616=PLANE('',#342081); #10617=PLANE('',#342082); #10618=PLANE('',#342083); #10619=PLANE('',#342084); #10620=PLANE('',#342085); #10621=PLANE('',#342086); #10622=PLANE('',#342087); #10623=PLANE('',#342088); #10624=PLANE('',#342089); #10625=PLANE('',#342090); #10626=PLANE('',#342091); #10627=PLANE('',#342092); #10628=PLANE('',#342093); #10629=PLANE('',#342094); #10630=PLANE('',#342095); #10631=PLANE('',#342096); #10632=PLANE('',#342097); #10633=PLANE('',#342098); #10634=PLANE('',#342099); #10635=PLANE('',#342100); #10636=PLANE('',#342101); #10637=PLANE('',#342102); #10638=PLANE('',#342103); #10639=PLANE('',#342104); #10640=PLANE('',#342105); #10641=PLANE('',#342106); #10642=PLANE('',#342107); #10643=PLANE('',#342108); #10644=PLANE('',#342109); #10645=PLANE('',#342110); #10646=PLANE('',#342111); #10647=PLANE('',#342112); #10648=PLANE('',#342113); #10649=PLANE('',#342114); #10650=PLANE('',#342115); #10651=PLANE('',#342116); #10652=PLANE('',#342117); #10653=PLANE('',#342118); #10654=PLANE('',#342119); #10655=PLANE('',#342120); #10656=PLANE('',#342121); #10657=PLANE('',#342122); #10658=PLANE('',#342123); #10659=PLANE('',#342124); #10660=PLANE('',#342125); #10661=PLANE('',#342126); #10662=PLANE('',#342127); #10663=PLANE('',#342128); #10664=PLANE('',#342129); #10665=PLANE('',#342130); #10666=PLANE('',#342131); #10667=PLANE('',#342132); #10668=PLANE('',#342133); #10669=PLANE('',#342134); #10670=PLANE('',#342135); #10671=PLANE('',#342136); #10672=PLANE('',#342137); #10673=PLANE('',#342138); #10674=PLANE('',#342139); #10675=PLANE('',#342140); #10676=PLANE('',#342141); #10677=PLANE('',#342142); #10678=PLANE('',#342143); #10679=PLANE('',#342144); #10680=PLANE('',#342145); #10681=PLANE('',#342146); #10682=PLANE('',#342147); #10683=PLANE('',#342148); #10684=PLANE('',#342149); #10685=PLANE('',#342150); #10686=PLANE('',#342151); #10687=PLANE('',#342152); #10688=PLANE('',#342153); #10689=PLANE('',#342154); #10690=PLANE('',#342155); #10691=PLANE('',#342156); #10692=PLANE('',#342157); #10693=PLANE('',#342158); #10694=PLANE('',#342159); #10695=PLANE('',#342160); #10696=PLANE('',#342161); #10697=PLANE('',#342162); #10698=PLANE('',#342163); #10699=PLANE('',#342164); #10700=PLANE('',#342165); #10701=PLANE('',#342166); #10702=PLANE('',#342167); #10703=PLANE('',#342168); #10704=PLANE('',#342169); #10705=PLANE('',#342170); #10706=PLANE('',#342171); #10707=PLANE('',#342172); #10708=PLANE('',#342173); #10709=PLANE('',#342174); #10710=PLANE('',#342175); #10711=PLANE('',#342176); #10712=PLANE('',#342177); #10713=PLANE('',#342178); #10714=PLANE('',#342179); #10715=PLANE('',#342180); #10716=PLANE('',#342181); #10717=PLANE('',#342182); #10718=PLANE('',#342183); #10719=PLANE('',#342184); #10720=PLANE('',#342185); #10721=PLANE('',#342186); #10722=PLANE('',#342187); #10723=PLANE('',#342188); #10724=PLANE('',#342189); #10725=PLANE('',#342190); #10726=PLANE('',#342191); #10727=PLANE('',#342192); #10728=PLANE('',#342193); #10729=PLANE('',#342194); #10730=PLANE('',#342195); #10731=PLANE('',#342196); #10732=PLANE('',#342197); #10733=PLANE('',#342198); #10734=PLANE('',#342199); #10735=PLANE('',#342200); #10736=PLANE('',#342201); #10737=PLANE('',#342202); #10738=PLANE('',#342203); #10739=PLANE('',#342204); #10740=PLANE('',#342205); #10741=PLANE('',#342206); #10742=PLANE('',#342207); #10743=PLANE('',#342208); #10744=PLANE('',#342209); #10745=PLANE('',#342210); #10746=PLANE('',#342211); #10747=PLANE('',#342212); #10748=PLANE('',#342213); #10749=PLANE('',#342214); #10750=PLANE('',#342215); #10751=PLANE('',#342216); #10752=PLANE('',#342217); #10753=PLANE('',#342218); #10754=PLANE('',#342219); #10755=PLANE('',#342220); #10756=PLANE('',#342221); #10757=PLANE('',#342222); #10758=PLANE('',#342223); #10759=PLANE('',#342224); #10760=PLANE('',#342225); #10761=PLANE('',#342226); #10762=PLANE('',#342227); #10763=PLANE('',#342228); #10764=PLANE('',#342229); #10765=PLANE('',#342230); #10766=PLANE('',#342231); #10767=PLANE('',#342232); #10768=PLANE('',#342233); #10769=PLANE('',#342234); #10770=PLANE('',#342235); #10771=PLANE('',#342236); #10772=PLANE('',#342237); #10773=PLANE('',#342238); #10774=PLANE('',#342239); #10775=PLANE('',#342240); #10776=PLANE('',#342241); #10777=PLANE('',#342242); #10778=PLANE('',#342243); #10779=PLANE('',#342244); #10780=PLANE('',#342245); #10781=PLANE('',#342246); #10782=PLANE('',#342247); #10783=PLANE('',#342248); #10784=PLANE('',#342249); #10785=PLANE('',#342250); #10786=PLANE('',#342251); #10787=PLANE('',#342252); #10788=PLANE('',#342253); #10789=PLANE('',#342254); #10790=PLANE('',#342255); #10791=PLANE('',#342256); #10792=PLANE('',#342257); #10793=PLANE('',#342258); #10794=PLANE('',#342259); #10795=PLANE('',#342260); #10796=PLANE('',#342261); #10797=PLANE('',#342262); #10798=PLANE('',#342263); #10799=PLANE('',#342264); #10800=PLANE('',#342265); #10801=PLANE('',#342266); #10802=PLANE('',#342267); #10803=PLANE('',#342268); #10804=PLANE('',#342269); #10805=PLANE('',#342270); #10806=PLANE('',#342271); #10807=PLANE('',#342272); #10808=PLANE('',#342273); #10809=PLANE('',#342274); #10810=PLANE('',#342275); #10811=PLANE('',#342276); #10812=PLANE('',#342277); #10813=PLANE('',#342278); #10814=PLANE('',#342279); #10815=PLANE('',#342280); #10816=PLANE('',#342281); #10817=PLANE('',#342282); #10818=PLANE('',#342283); #10819=PLANE('',#342284); #10820=PLANE('',#342285); #10821=PLANE('',#342286); #10822=PLANE('',#342287); #10823=PLANE('',#342288); #10824=PLANE('',#342289); #10825=PLANE('',#342290); #10826=PLANE('',#342291); #10827=PLANE('',#342292); #10828=PLANE('',#342293); #10829=PLANE('',#342294); #10830=PLANE('',#342295); #10831=PLANE('',#342296); #10832=PLANE('',#342297); #10833=PLANE('',#342298); #10834=PLANE('',#342299); #10835=PLANE('',#342300); #10836=PLANE('',#342301); #10837=PLANE('',#342302); #10838=PLANE('',#342303); #10839=PLANE('',#342304); #10840=PLANE('',#342305); #10841=PLANE('',#342306); #10842=PLANE('',#342307); #10843=PLANE('',#342308); #10844=PLANE('',#342309); #10845=PLANE('',#342310); #10846=PLANE('',#342311); #10847=PLANE('',#342312); #10848=PLANE('',#342313); #10849=PLANE('',#342314); #10850=PLANE('',#342315); #10851=PLANE('',#342316); #10852=PLANE('',#342317); #10853=PLANE('',#342318); #10854=PLANE('',#342319); #10855=PLANE('',#342320); #10856=PLANE('',#342321); #10857=PLANE('',#342322); #10858=PLANE('',#342323); #10859=PLANE('',#342324); #10860=PLANE('',#342325); #10861=PLANE('',#342326); #10862=PLANE('',#342327); #10863=PLANE('',#342328); #10864=PLANE('',#342332); #10865=PLANE('',#342369); #10866=PLANE('',#342370); #10867=PLANE('',#342371); #10868=PLANE('',#342372); #10869=PLANE('',#342373); #10870=PLANE('',#342374); #10871=PLANE('',#342375); #10872=PLANE('',#342376); #10873=PLANE('',#342377); #10874=PLANE('',#342378); #10875=PLANE('',#342379); #10876=PLANE('',#342380); #10877=PLANE('',#342381); #10878=PLANE('',#342382); #10879=PLANE('',#342383); #10880=PLANE('',#342384); #10881=PLANE('',#342385); #10882=PLANE('',#342386); #10883=PLANE('',#342387); #10884=PLANE('',#342388); #10885=PLANE('',#342389); #10886=PLANE('',#342390); #10887=PLANE('',#342391); #10888=PLANE('',#342392); #10889=PLANE('',#342393); #10890=PLANE('',#342394); #10891=PLANE('',#342395); #10892=PLANE('',#342396); #10893=PLANE('',#342397); #10894=PLANE('',#342398); #10895=PLANE('',#342399); #10896=PLANE('',#342400); #10897=PLANE('',#342401); #10898=PLANE('',#342402); #10899=PLANE('',#342403); #10900=PLANE('',#342404); #10901=PLANE('',#342405); #10902=PLANE('',#342406); #10903=PLANE('',#342407); #10904=PLANE('',#342408); #10905=PLANE('',#342409); #10906=PLANE('',#342410); #10907=PLANE('',#342411); #10908=PLANE('',#342412); #10909=PLANE('',#342413); #10910=PLANE('',#342414); #10911=PLANE('',#342415); #10912=PLANE('',#342416); #10913=PLANE('',#342417); #10914=PLANE('',#342418); #10915=PLANE('',#342419); #10916=PLANE('',#342420); #10917=PLANE('',#342421); #10918=PLANE('',#342422); #10919=PLANE('',#342423); #10920=PLANE('',#342424); #10921=PLANE('',#342425); #10922=PLANE('',#342426); #10923=PLANE('',#342427); #10924=PLANE('',#342428); #10925=PLANE('',#342429); #10926=PLANE('',#342430); #10927=PLANE('',#342431); #10928=PLANE('',#342432); #10929=PLANE('',#342433); #10930=PLANE('',#342434); #10931=PLANE('',#342435); #10932=PLANE('',#342436); #10933=PLANE('',#342437); #10934=PLANE('',#342438); #10935=PLANE('',#342439); #10936=PLANE('',#342440); #10937=PLANE('',#342441); #10938=PLANE('',#342442); #10939=PLANE('',#342443); #10940=PLANE('',#342444); #10941=PLANE('',#342445); #10942=PLANE('',#342446); #10943=PLANE('',#342447); #10944=PLANE('',#342448); #10945=PLANE('',#342449); #10946=PLANE('',#342450); #10947=PLANE('',#342451); #10948=PLANE('',#342452); #10949=PLANE('',#342453); #10950=PLANE('',#342454); #10951=PLANE('',#342455); #10952=PLANE('',#342456); #10953=PLANE('',#342457); #10954=PLANE('',#342458); #10955=PLANE('',#342459); #10956=PLANE('',#342460); #10957=PLANE('',#342461); #10958=PLANE('',#342462); #10959=PLANE('',#342463); #10960=PLANE('',#342464); #10961=PLANE('',#342465); #10962=PLANE('',#342466); #10963=PLANE('',#342467); #10964=PLANE('',#342468); #10965=PLANE('',#342469); #10966=PLANE('',#342470); #10967=PLANE('',#342471); #10968=PLANE('',#342472); #10969=PLANE('',#342473); #10970=PLANE('',#342474); #10971=PLANE('',#342475); #10972=PLANE('',#342476); #10973=PLANE('',#342477); #10974=PLANE('',#342478); #10975=PLANE('',#342479); #10976=PLANE('',#342480); #10977=PLANE('',#342481); #10978=PLANE('',#342482); #10979=PLANE('',#342483); #10980=PLANE('',#342484); #10981=PLANE('',#342485); #10982=PLANE('',#342486); #10983=PLANE('',#342487); #10984=PLANE('',#342488); #10985=PLANE('',#342489); #10986=PLANE('',#342490); #10987=PLANE('',#342491); #10988=PLANE('',#342492); #10989=PLANE('',#342493); #10990=PLANE('',#342494); #10991=PLANE('',#342495); #10992=PLANE('',#342496); #10993=PLANE('',#342497); #10994=PLANE('',#342498); #10995=PLANE('',#342499); #10996=PLANE('',#342500); #10997=PLANE('',#342501); #10998=PLANE('',#342502); #10999=PLANE('',#342503); #11000=PLANE('',#342504); #11001=PLANE('',#342505); #11002=PLANE('',#342506); #11003=PLANE('',#342507); #11004=PLANE('',#342508); #11005=PLANE('',#342509); #11006=PLANE('',#342510); #11007=PLANE('',#342511); #11008=PLANE('',#342512); #11009=PLANE('',#342513); #11010=PLANE('',#342514); #11011=PLANE('',#342515); #11012=PLANE('',#342516); #11013=PLANE('',#342517); #11014=PLANE('',#342518); #11015=PLANE('',#342519); #11016=PLANE('',#342520); #11017=PLANE('',#342521); #11018=PLANE('',#342522); #11019=PLANE('',#342523); #11020=PLANE('',#342524); #11021=PLANE('',#342525); #11022=PLANE('',#342526); #11023=PLANE('',#342527); #11024=PLANE('',#342528); #11025=PLANE('',#342529); #11026=PLANE('',#342530); #11027=PLANE('',#342531); #11028=PLANE('',#342532); #11029=PLANE('',#342533); #11030=PLANE('',#342534); #11031=PLANE('',#342535); #11032=PLANE('',#342536); #11033=PLANE('',#342537); #11034=PLANE('',#342538); #11035=PLANE('',#342539); #11036=PLANE('',#342540); #11037=PLANE('',#342541); #11038=PLANE('',#342542); #11039=PLANE('',#342543); #11040=PLANE('',#342544); #11041=PLANE('',#342545); #11042=PLANE('',#342546); #11043=PLANE('',#342547); #11044=PLANE('',#342548); #11045=PLANE('',#342549); #11046=PLANE('',#342550); #11047=PLANE('',#342551); #11048=PLANE('',#342552); #11049=PLANE('',#342553); #11050=PLANE('',#342554); #11051=PLANE('',#342555); #11052=PLANE('',#342556); #11053=PLANE('',#342557); #11054=PLANE('',#342558); #11055=PLANE('',#342559); #11056=PLANE('',#342560); #11057=PLANE('',#342561); #11058=PLANE('',#342562); #11059=PLANE('',#342563); #11060=PLANE('',#342564); #11061=PLANE('',#342565); #11062=PLANE('',#342566); #11063=PLANE('',#342567); #11064=PLANE('',#342568); #11065=PLANE('',#342569); #11066=PLANE('',#342570); #11067=PLANE('',#342571); #11068=PLANE('',#342572); #11069=PLANE('',#342573); #11070=PLANE('',#342574); #11071=PLANE('',#342575); #11072=PLANE('',#342576); #11073=PLANE('',#342577); #11074=PLANE('',#342578); #11075=PLANE('',#342579); #11076=PLANE('',#342580); #11077=PLANE('',#342581); #11078=PLANE('',#342582); #11079=PLANE('',#342583); #11080=PLANE('',#342584); #11081=PLANE('',#342585); #11082=PLANE('',#342586); #11083=PLANE('',#342587); #11084=PLANE('',#342588); #11085=PLANE('',#342589); #11086=PLANE('',#342590); #11087=PLANE('',#342591); #11088=PLANE('',#342592); #11089=PLANE('',#342593); #11090=PLANE('',#342594); #11091=PLANE('',#342595); #11092=PLANE('',#342596); #11093=PLANE('',#342597); #11094=PLANE('',#342598); #11095=PLANE('',#342599); #11096=PLANE('',#342600); #11097=PLANE('',#342601); #11098=PLANE('',#342602); #11099=PLANE('',#342603); #11100=PLANE('',#342604); #11101=PLANE('',#342605); #11102=PLANE('',#342606); #11103=PLANE('',#342607); #11104=PLANE('',#342608); #11105=PLANE('',#342609); #11106=PLANE('',#342610); #11107=PLANE('',#342611); #11108=PLANE('',#342612); #11109=PLANE('',#342613); #11110=PLANE('',#342614); #11111=PLANE('',#342762); #11112=PLANE('',#342763); #11113=PLANE('',#342764); #11114=PLANE('',#342765); #11115=PLANE('',#342766); #11116=PLANE('',#342767); #11117=PLANE('',#342768); #11118=PLANE('',#342769); #11119=PLANE('',#342770); #11120=PLANE('',#342771); #11121=PLANE('',#342772); #11122=PLANE('',#342773); #11123=PLANE('',#342774); #11124=PLANE('',#342775); #11125=PLANE('',#342776); #11126=PLANE('',#342777); #11127=PLANE('',#342778); #11128=PLANE('',#342779); #11129=PLANE('',#342780); #11130=PLANE('',#342781); #11131=PLANE('',#342782); #11132=PLANE('',#342783); #11133=PLANE('',#342784); #11134=PLANE('',#342785); #11135=PLANE('',#342786); #11136=PLANE('',#342787); #11137=PLANE('',#342788); #11138=PLANE('',#342789); #11139=PLANE('',#342790); #11140=PLANE('',#342791); #11141=PLANE('',#342792); #11142=PLANE('',#342793); #11143=PLANE('',#342794); #11144=PLANE('',#342795); #11145=PLANE('',#342796); #11146=PLANE('',#342797); #11147=PLANE('',#342798); #11148=PLANE('',#342799); #11149=PLANE('',#342800); #11150=PLANE('',#342801); #11151=PLANE('',#342802); #11152=PLANE('',#342803); #11153=PLANE('',#342804); #11154=PLANE('',#342805); #11155=PLANE('',#342806); #11156=PLANE('',#342807); #11157=PLANE('',#342808); #11158=PLANE('',#342809); #11159=PLANE('',#342810); #11160=PLANE('',#342811); #11161=PLANE('',#342812); #11162=PLANE('',#342813); #11163=PLANE('',#342814); #11164=PLANE('',#342815); #11165=PLANE('',#342816); #11166=PLANE('',#342817); #11167=PLANE('',#342818); #11168=PLANE('',#342819); #11169=PLANE('',#342820); #11170=PLANE('',#342821); #11171=PLANE('',#342822); #11172=PLANE('',#342823); #11173=PLANE('',#342824); #11174=PLANE('',#342825); #11175=PLANE('',#342826); #11176=PLANE('',#342827); #11177=PLANE('',#342828); #11178=PLANE('',#342829); #11179=PLANE('',#342830); #11180=PLANE('',#342831); #11181=PLANE('',#342832); #11182=PLANE('',#342833); #11183=PLANE('',#342834); #11184=PLANE('',#342835); #11185=PLANE('',#342836); #11186=PLANE('',#342837); #11187=PLANE('',#342838); #11188=PLANE('',#342839); #11189=PLANE('',#342840); #11190=PLANE('',#342841); #11191=PLANE('',#342842); #11192=PLANE('',#342843); #11193=PLANE('',#342844); #11194=PLANE('',#342845); #11195=PLANE('',#342846); #11196=PLANE('',#342847); #11197=PLANE('',#342848); #11198=PLANE('',#342849); #11199=PLANE('',#342850); #11200=PLANE('',#342851); #11201=PLANE('',#342852); #11202=PLANE('',#342853); #11203=PLANE('',#342854); #11204=PLANE('',#342855); #11205=PLANE('',#342856); #11206=PLANE('',#342857); #11207=PLANE('',#342858); #11208=PLANE('',#342859); #11209=PLANE('',#342860); #11210=PLANE('',#342861); #11211=PLANE('',#342862); #11212=PLANE('',#342863); #11213=PLANE('',#342864); #11214=PLANE('',#342865); #11215=PLANE('',#342866); #11216=PLANE('',#342867); #11217=PLANE('',#342868); #11218=PLANE('',#342869); #11219=PLANE('',#342870); #11220=PLANE('',#342871); #11221=PLANE('',#342872); #11222=PLANE('',#342873); #11223=PLANE('',#342874); #11224=PLANE('',#342875); #11225=PLANE('',#342876); #11226=PLANE('',#342877); #11227=PLANE('',#342878); #11228=PLANE('',#342879); #11229=PLANE('',#342880); #11230=PLANE('',#342881); #11231=PLANE('',#342882); #11232=PLANE('',#342883); #11233=PLANE('',#342884); #11234=PLANE('',#342885); #11235=PLANE('',#342886); #11236=PLANE('',#342887); #11237=PLANE('',#342888); #11238=PLANE('',#342889); #11239=PLANE('',#342890); #11240=PLANE('',#342891); #11241=PLANE('',#342892); #11242=PLANE('',#342893); #11243=PLANE('',#342894); #11244=PLANE('',#342895); #11245=PLANE('',#342896); #11246=PLANE('',#342897); #11247=PLANE('',#342898); #11248=PLANE('',#342899); #11249=PLANE('',#342900); #11250=PLANE('',#342901); #11251=PLANE('',#342902); #11252=PLANE('',#342903); #11253=PLANE('',#342904); #11254=PLANE('',#342905); #11255=PLANE('',#342906); #11256=PLANE('',#342907); #11257=PLANE('',#342908); #11258=PLANE('',#342909); #11259=PLANE('',#342910); #11260=PLANE('',#342911); #11261=PLANE('',#342912); #11262=PLANE('',#342913); #11263=PLANE('',#342914); #11264=PLANE('',#342915); #11265=PLANE('',#342916); #11266=PLANE('',#342917); #11267=PLANE('',#342918); #11268=PLANE('',#342919); #11269=PLANE('',#342920); #11270=PLANE('',#342921); #11271=PLANE('',#342922); #11272=PLANE('',#342923); #11273=PLANE('',#342924); #11274=PLANE('',#342925); #11275=PLANE('',#342926); #11276=PLANE('',#342927); #11277=PLANE('',#342928); #11278=PLANE('',#342929); #11279=PLANE('',#342930); #11280=PLANE('',#342931); #11281=PLANE('',#342932); #11282=PLANE('',#342933); #11283=PLANE('',#342934); #11284=PLANE('',#342935); #11285=PLANE('',#342936); #11286=PLANE('',#342937); #11287=PLANE('',#342938); #11288=PLANE('',#342939); #11289=PLANE('',#342940); #11290=PLANE('',#342941); #11291=PLANE('',#342942); #11292=PLANE('',#342943); #11293=PLANE('',#342944); #11294=PLANE('',#342945); #11295=PLANE('',#342946); #11296=PLANE('',#342947); #11297=PLANE('',#342948); #11298=PLANE('',#342949); #11299=PLANE('',#342950); #11300=PLANE('',#342951); #11301=PLANE('',#342952); #11302=PLANE('',#342953); #11303=PLANE('',#342954); #11304=PLANE('',#342955); #11305=PLANE('',#342956); #11306=PLANE('',#342957); #11307=PLANE('',#342958); #11308=PLANE('',#342959); #11309=PLANE('',#342960); #11310=PLANE('',#342961); #11311=PLANE('',#342962); #11312=PLANE('',#342963); #11313=PLANE('',#342964); #11314=PLANE('',#342965); #11315=PLANE('',#342966); #11316=PLANE('',#342967); #11317=PLANE('',#342968); #11318=PLANE('',#342969); #11319=PLANE('',#342970); #11320=PLANE('',#342971); #11321=PLANE('',#342972); #11322=PLANE('',#342973); #11323=PLANE('',#342974); #11324=PLANE('',#342975); #11325=PLANE('',#342976); #11326=PLANE('',#342977); #11327=PLANE('',#342978); #11328=PLANE('',#342979); #11329=PLANE('',#342980); #11330=PLANE('',#342981); #11331=PLANE('',#342982); #11332=PLANE('',#342983); #11333=PLANE('',#342984); #11334=PLANE('',#342985); #11335=PLANE('',#342986); #11336=PLANE('',#342987); #11337=PLANE('',#342988); #11338=PLANE('',#342989); #11339=PLANE('',#342990); #11340=PLANE('',#342991); #11341=PLANE('',#342992); #11342=PLANE('',#342993); #11343=PLANE('',#342994); #11344=PLANE('',#342995); #11345=PLANE('',#342996); #11346=PLANE('',#342997); #11347=PLANE('',#342998); #11348=PLANE('',#342999); #11349=PLANE('',#343000); #11350=PLANE('',#343001); #11351=PLANE('',#343002); #11352=PLANE('',#343003); #11353=PLANE('',#343004); #11354=PLANE('',#343005); #11355=PLANE('',#343006); #11356=PLANE('',#343007); #11357=PLANE('',#343008); #11358=PLANE('',#343009); #11359=PLANE('',#343010); #11360=PLANE('',#343011); #11361=PLANE('',#343012); #11362=PLANE('',#343013); #11363=PLANE('',#343014); #11364=PLANE('',#343015); #11365=PLANE('',#343016); #11366=PLANE('',#343017); #11367=PLANE('',#343018); #11368=PLANE('',#343019); #11369=PLANE('',#343020); #11370=PLANE('',#343021); #11371=PLANE('',#343022); #11372=PLANE('',#343023); #11373=PLANE('',#343024); #11374=PLANE('',#343025); #11375=PLANE('',#343026); #11376=PLANE('',#343027); #11377=PLANE('',#343028); #11378=PLANE('',#343029); #11379=PLANE('',#343030); #11380=PLANE('',#343031); #11381=PLANE('',#343032); #11382=PLANE('',#343033); #11383=PLANE('',#343034); #11384=PLANE('',#343035); #11385=PLANE('',#343036); #11386=PLANE('',#343037); #11387=PLANE('',#343038); #11388=PLANE('',#343039); #11389=PLANE('',#343040); #11390=PLANE('',#343041); #11391=PLANE('',#343042); #11392=PLANE('',#343043); #11393=PLANE('',#343044); #11394=PLANE('',#343045); #11395=PLANE('',#343046); #11396=PLANE('',#343047); #11397=PLANE('',#343048); #11398=PLANE('',#343049); #11399=PLANE('',#343050); #11400=PLANE('',#343051); #11401=PLANE('',#343052); #11402=PLANE('',#343053); #11403=PLANE('',#343054); #11404=PLANE('',#343055); #11405=PLANE('',#343056); #11406=PLANE('',#343057); #11407=PLANE('',#343058); #11408=PLANE('',#343059); #11409=PLANE('',#343060); #11410=PLANE('',#343061); #11411=PLANE('',#343062); #11412=PLANE('',#343063); #11413=PLANE('',#343064); #11414=PLANE('',#343065); #11415=PLANE('',#343066); #11416=PLANE('',#343067); #11417=PLANE('',#343068); #11418=PLANE('',#343069); #11419=PLANE('',#343070); #11420=PLANE('',#343071); #11421=PLANE('',#343072); #11422=PLANE('',#343073); #11423=PLANE('',#343074); #11424=PLANE('',#343075); #11425=PLANE('',#343076); #11426=PLANE('',#343077); #11427=PLANE('',#343078); #11428=PLANE('',#343079); #11429=PLANE('',#343080); #11430=PLANE('',#343081); #11431=PLANE('',#343082); #11432=PLANE('',#343083); #11433=PLANE('',#343084); #11434=PLANE('',#343085); #11435=PLANE('',#343086); #11436=PLANE('',#343087); #11437=PLANE('',#343088); #11438=PLANE('',#343089); #11439=PLANE('',#343090); #11440=PLANE('',#343091); #11441=PLANE('',#343092); #11442=PLANE('',#343093); #11443=PLANE('',#343094); #11444=PLANE('',#343095); #11445=PLANE('',#343096); #11446=PLANE('',#343097); #11447=PLANE('',#343098); #11448=PLANE('',#343099); #11449=PLANE('',#343100); #11450=PLANE('',#343101); #11451=PLANE('',#343102); #11452=PLANE('',#343103); #11453=PLANE('',#343104); #11454=PLANE('',#343105); #11455=PLANE('',#343106); #11456=PLANE('',#343107); #11457=PLANE('',#343108); #11458=PLANE('',#343109); #11459=PLANE('',#343110); #11460=PLANE('',#343111); #11461=PLANE('',#343112); #11462=PLANE('',#343113); #11463=PLANE('',#343114); #11464=PLANE('',#343115); #11465=PLANE('',#343116); #11466=PLANE('',#343117); #11467=PLANE('',#343118); #11468=PLANE('',#343119); #11469=PLANE('',#343120); #11470=PLANE('',#343121); #11471=PLANE('',#343122); #11472=PLANE('',#343123); #11473=PLANE('',#343124); #11474=PLANE('',#343125); #11475=PLANE('',#343126); #11476=PLANE('',#343127); #11477=PLANE('',#343128); #11478=PLANE('',#343129); #11479=PLANE('',#343130); #11480=PLANE('',#343131); #11481=PLANE('',#343132); #11482=PLANE('',#343133); #11483=PLANE('',#343134); #11484=PLANE('',#343135); #11485=PLANE('',#343136); #11486=PLANE('',#343137); #11487=PLANE('',#343138); #11488=PLANE('',#343139); #11489=PLANE('',#343140); #11490=PLANE('',#343141); #11491=PLANE('',#343142); #11492=PLANE('',#343143); #11493=PLANE('',#343144); #11494=PLANE('',#343145); #11495=PLANE('',#343146); #11496=PLANE('',#343147); #11497=PLANE('',#343148); #11498=PLANE('',#343149); #11499=PLANE('',#343150); #11500=PLANE('',#343151); #11501=PLANE('',#343152); #11502=PLANE('',#343153); #11503=PLANE('',#343154); #11504=PLANE('',#343155); #11505=PLANE('',#343156); #11506=PLANE('',#343157); #11507=PLANE('',#343158); #11508=PLANE('',#343159); #11509=PLANE('',#343160); #11510=PLANE('',#343161); #11511=PLANE('',#343162); #11512=PLANE('',#343163); #11513=PLANE('',#343164); #11514=PLANE('',#343165); #11515=PLANE('',#343166); #11516=PLANE('',#343167); #11517=PLANE('',#343168); #11518=PLANE('',#343169); #11519=PLANE('',#343170); #11520=PLANE('',#343171); #11521=PLANE('',#343172); #11522=PLANE('',#343173); #11523=PLANE('',#343174); #11524=PLANE('',#343175); #11525=PLANE('',#343176); #11526=PLANE('',#343177); #11527=PLANE('',#343178); #11528=PLANE('',#343179); #11529=PLANE('',#343180); #11530=PLANE('',#343181); #11531=PLANE('',#343182); #11532=PLANE('',#343183); #11533=PLANE('',#343184); #11534=PLANE('',#343185); #11535=PLANE('',#343186); #11536=PLANE('',#343187); #11537=PLANE('',#343188); #11538=PLANE('',#343189); #11539=PLANE('',#343190); #11540=PLANE('',#343191); #11541=PLANE('',#343192); #11542=PLANE('',#343193); #11543=PLANE('',#343194); #11544=PLANE('',#343195); #11545=PLANE('',#343196); #11546=PLANE('',#343197); #11547=PLANE('',#343198); #11548=PLANE('',#343199); #11549=PLANE('',#343200); #11550=PLANE('',#343201); #11551=PLANE('',#343202); #11552=PLANE('',#343203); #11553=PLANE('',#343204); #11554=PLANE('',#343205); #11555=PLANE('',#343206); #11556=PLANE('',#343207); #11557=PLANE('',#343208); #11558=PLANE('',#343209); #11559=PLANE('',#343210); #11560=PLANE('',#343211); #11561=PLANE('',#343212); #11562=PLANE('',#343213); #11563=PLANE('',#343214); #11564=PLANE('',#343224); #11565=PLANE('',#343225); #11566=PLANE('',#343226); #11567=PLANE('',#343227); #11568=PLANE('',#343228); #11569=PLANE('',#343229); #11570=PLANE('',#343230); #11571=PLANE('',#343231); #11572=PLANE('',#343232); #11573=PLANE('',#343233); #11574=PLANE('',#343234); #11575=PLANE('',#343235); #11576=PLANE('',#343236); #11577=PLANE('',#343237); #11578=PLANE('',#343238); #11579=PLANE('',#343239); #11580=PLANE('',#343240); #11581=PLANE('',#343241); #11582=PLANE('',#343242); #11583=PLANE('',#343243); #11584=PLANE('',#343244); #11585=PLANE('',#343245); #11586=PLANE('',#343246); #11587=PLANE('',#343247); #11588=PLANE('',#343248); #11589=PLANE('',#343249); #11590=PLANE('',#343250); #11591=PLANE('',#343251); #11592=PLANE('',#343252); #11593=PLANE('',#343253); #11594=PLANE('',#343254); #11595=PLANE('',#343255); #11596=PLANE('',#343256); #11597=PLANE('',#343257); #11598=PLANE('',#343258); #11599=PLANE('',#343259); #11600=PLANE('',#343260); #11601=PLANE('',#343261); #11602=PLANE('',#343262); #11603=PLANE('',#343263); #11604=PLANE('',#343264); #11605=PLANE('',#343265); #11606=PLANE('',#343266); #11607=PLANE('',#343267); #11608=PLANE('',#343268); #11609=PLANE('',#343269); #11610=PLANE('',#343270); #11611=PLANE('',#343271); #11612=PLANE('',#343272); #11613=PLANE('',#343273); #11614=PLANE('',#343274); #11615=PLANE('',#343275); #11616=PLANE('',#343276); #11617=PLANE('',#343277); #11618=PLANE('',#343278); #11619=PLANE('',#343279); #11620=PLANE('',#343280); #11621=PLANE('',#343281); #11622=PLANE('',#343282); #11623=PLANE('',#343283); #11624=PLANE('',#343284); #11625=PLANE('',#343285); #11626=PLANE('',#343286); #11627=PLANE('',#343287); #11628=PLANE('',#343288); #11629=PLANE('',#343289); #11630=PLANE('',#343290); #11631=PLANE('',#343291); #11632=PLANE('',#343292); #11633=PLANE('',#343293); #11634=PLANE('',#343294); #11635=PLANE('',#343295); #11636=PLANE('',#343296); #11637=PLANE('',#343297); #11638=PLANE('',#343298); #11639=PLANE('',#343299); #11640=PLANE('',#343300); #11641=PLANE('',#343301); #11642=PLANE('',#343302); #11643=PLANE('',#343303); #11644=PLANE('',#343304); #11645=PLANE('',#343305); #11646=PLANE('',#343306); #11647=PLANE('',#343307); #11648=PLANE('',#343308); #11649=PLANE('',#343309); #11650=PLANE('',#343310); #11651=PLANE('',#343311); #11652=PLANE('',#343312); #11653=PLANE('',#343313); #11654=PLANE('',#343314); #11655=PLANE('',#343315); #11656=PLANE('',#343316); #11657=PLANE('',#343317); #11658=PLANE('',#343318); #11659=PLANE('',#343319); #11660=PLANE('',#343320); #11661=PLANE('',#343321); #11662=PLANE('',#343322); #11663=PLANE('',#343323); #11664=PLANE('',#343324); #11665=PLANE('',#343325); #11666=PLANE('',#343326); #11667=PLANE('',#343327); #11668=PLANE('',#343328); #11669=PLANE('',#343329); #11670=PLANE('',#343330); #11671=PLANE('',#343331); #11672=PLANE('',#343332); #11673=PLANE('',#343333); #11674=PLANE('',#343334); #11675=PLANE('',#343335); #11676=PLANE('',#343336); #11677=PLANE('',#343337); #11678=PLANE('',#343338); #11679=PLANE('',#343339); #11680=PLANE('',#343340); #11681=PLANE('',#343341); #11682=PLANE('',#343342); #11683=PLANE('',#343343); #11684=PLANE('',#343344); #11685=PLANE('',#343345); #11686=PLANE('',#343346); #11687=PLANE('',#343347); #11688=PLANE('',#343348); #11689=PLANE('',#343349); #11690=PLANE('',#343350); #11691=PLANE('',#343351); #11692=PLANE('',#343352); #11693=PLANE('',#343353); #11694=PLANE('',#343354); #11695=PLANE('',#343355); #11696=PLANE('',#343356); #11697=PLANE('',#343357); #11698=PLANE('',#343358); #11699=PLANE('',#343359); #11700=PLANE('',#343360); #11701=PLANE('',#343361); #11702=PLANE('',#343362); #11703=PLANE('',#343363); #11704=PLANE('',#343364); #11705=PLANE('',#343365); #11706=PLANE('',#343366); #11707=PLANE('',#343367); #11708=PLANE('',#343368); #11709=PLANE('',#343369); #11710=PLANE('',#343370); #11711=PLANE('',#343371); #11712=PLANE('',#343372); #11713=PLANE('',#343373); #11714=PLANE('',#343374); #11715=PLANE('',#343375); #11716=PLANE('',#343376); #11717=PLANE('',#343377); #11718=PLANE('',#343378); #11719=PLANE('',#343379); #11720=PLANE('',#343380); #11721=PLANE('',#343381); #11722=PLANE('',#343382); #11723=PLANE('',#343383); #11724=PLANE('',#343384); #11725=PLANE('',#343385); #11726=PLANE('',#343386); #11727=PLANE('',#343387); #11728=PLANE('',#343388); #11729=PLANE('',#343389); #11730=PLANE('',#343390); #11731=PLANE('',#343391); #11732=PLANE('',#343392); #11733=PLANE('',#343393); #11734=PLANE('',#343394); #11735=PLANE('',#343395); #11736=PLANE('',#343396); #11737=PLANE('',#343397); #11738=PLANE('',#343398); #11739=PLANE('',#343399); #11740=PLANE('',#343400); #11741=PLANE('',#343401); #11742=PLANE('',#343402); #11743=PLANE('',#343403); #11744=PLANE('',#343404); #11745=PLANE('',#343405); #11746=PLANE('',#343406); #11747=PLANE('',#343407); #11748=PLANE('',#343408); #11749=PLANE('',#343409); #11750=PLANE('',#343410); #11751=PLANE('',#343411); #11752=PLANE('',#343412); #11753=PLANE('',#343413); #11754=PLANE('',#343414); #11755=PLANE('',#343415); #11756=PLANE('',#343416); #11757=PLANE('',#343417); #11758=PLANE('',#343418); #11759=PLANE('',#343419); #11760=PLANE('',#343420); #11761=PLANE('',#343421); #11762=PLANE('',#343422); #11763=PLANE('',#343423); #11764=PLANE('',#343424); #11765=PLANE('',#343425); #11766=PLANE('',#343426); #11767=PLANE('',#343427); #11768=PLANE('',#343428); #11769=PLANE('',#343429); #11770=PLANE('',#343430); #11771=PLANE('',#343431); #11772=PLANE('',#343432); #11773=PLANE('',#343433); #11774=PLANE('',#343434); #11775=PLANE('',#343435); #11776=PLANE('',#343436); #11777=PLANE('',#343437); #11778=PLANE('',#343438); #11779=PLANE('',#343439); #11780=PLANE('',#343440); #11781=PLANE('',#343441); #11782=PLANE('',#343442); #11783=PLANE('',#343443); #11784=PLANE('',#343444); #11785=PLANE('',#343445); #11786=PLANE('',#343446); #11787=PLANE('',#343447); #11788=PLANE('',#343448); #11789=PLANE('',#343449); #11790=PLANE('',#343450); #11791=PLANE('',#343451); #11792=PLANE('',#343452); #11793=PLANE('',#343453); #11794=PLANE('',#343454); #11795=PLANE('',#343455); #11796=PLANE('',#343456); #11797=PLANE('',#343457); #11798=PLANE('',#343458); #11799=PLANE('',#343459); #11800=PLANE('',#343460); #11801=PLANE('',#343461); #11802=PLANE('',#343462); #11803=PLANE('',#343463); #11804=PLANE('',#343464); #11805=PLANE('',#343465); #11806=PLANE('',#343466); #11807=PLANE('',#343467); #11808=PLANE('',#343468); #11809=PLANE('',#343469); #11810=PLANE('',#343470); #11811=PLANE('',#343471); #11812=PLANE('',#343472); #11813=PLANE('',#343473); #11814=PLANE('',#343474); #11815=PLANE('',#343475); #11816=PLANE('',#343476); #11817=PLANE('',#343477); #11818=PLANE('',#343478); #11819=PLANE('',#343479); #11820=PLANE('',#343480); #11821=PLANE('',#343481); #11822=PLANE('',#343482); #11823=PLANE('',#343483); #11824=PLANE('',#343484); #11825=PLANE('',#343485); #11826=PLANE('',#343486); #11827=PLANE('',#343487); #11828=PLANE('',#343488); #11829=PLANE('',#343489); #11830=PLANE('',#343490); #11831=PLANE('',#343491); #11832=PLANE('',#343492); #11833=PLANE('',#343493); #11834=PLANE('',#343494); #11835=PLANE('',#343495); #11836=PLANE('',#343496); #11837=PLANE('',#343497); #11838=PLANE('',#343498); #11839=PLANE('',#343499); #11840=PLANE('',#343500); #11841=PLANE('',#343501); #11842=PLANE('',#343502); #11843=PLANE('',#343503); #11844=PLANE('',#343504); #11845=PLANE('',#343505); #11846=PLANE('',#343506); #11847=PLANE('',#343507); #11848=PLANE('',#343508); #11849=PLANE('',#343509); #11850=PLANE('',#343510); #11851=PLANE('',#343511); #11852=PLANE('',#343512); #11853=PLANE('',#343513); #11854=PLANE('',#343514); #11855=PLANE('',#343515); #11856=PLANE('',#343516); #11857=PLANE('',#343517); #11858=PLANE('',#343518); #11859=PLANE('',#343519); #11860=PLANE('',#343520); #11861=PLANE('',#343521); #11862=PLANE('',#343522); #11863=PLANE('',#343523); #11864=PLANE('',#343524); #11865=PLANE('',#343525); #11866=PLANE('',#343526); #11867=PLANE('',#343527); #11868=PLANE('',#343528); #11869=PLANE('',#343529); #11870=PLANE('',#343530); #11871=PLANE('',#343531); #11872=PLANE('',#343532); #11873=PLANE('',#343533); #11874=PLANE('',#343534); #11875=PLANE('',#343535); #11876=PLANE('',#343536); #11877=PLANE('',#343537); #11878=PLANE('',#343538); #11879=PLANE('',#343539); #11880=PLANE('',#343540); #11881=PLANE('',#343541); #11882=PLANE('',#343542); #11883=PLANE('',#343543); #11884=PLANE('',#343544); #11885=PLANE('',#343545); #11886=PLANE('',#343546); #11887=PLANE('',#343547); #11888=PLANE('',#343548); #11889=PLANE('',#343549); #11890=PLANE('',#343550); #11891=PLANE('',#343551); #11892=PLANE('',#343552); #11893=PLANE('',#343553); #11894=PLANE('',#343554); #11895=PLANE('',#343555); #11896=PLANE('',#343556); #11897=PLANE('',#343557); #11898=PLANE('',#343558); #11899=PLANE('',#343559); #11900=PLANE('',#343560); #11901=PLANE('',#343561); #11902=PLANE('',#343562); #11903=PLANE('',#343563); #11904=PLANE('',#343564); #11905=PLANE('',#343565); #11906=PLANE('',#343566); #11907=PLANE('',#343567); #11908=PLANE('',#343568); #11909=PLANE('',#343569); #11910=PLANE('',#343570); #11911=PLANE('',#343571); #11912=PLANE('',#343572); #11913=PLANE('',#343573); #11914=PLANE('',#343574); #11915=PLANE('',#343575); #11916=PLANE('',#343576); #11917=PLANE('',#343577); #11918=PLANE('',#343578); #11919=PLANE('',#343579); #11920=PLANE('',#343580); #11921=PLANE('',#343581); #11922=PLANE('',#343582); #11923=PLANE('',#343583); #11924=PLANE('',#343584); #11925=PLANE('',#343585); #11926=PLANE('',#343586); #11927=PLANE('',#343587); #11928=PLANE('',#343588); #11929=PLANE('',#343589); #11930=PLANE('',#343590); #11931=PLANE('',#343591); #11932=PLANE('',#343592); #11933=PLANE('',#343593); #11934=PLANE('',#343594); #11935=PLANE('',#343595); #11936=PLANE('',#343596); #11937=PLANE('',#343597); #11938=PLANE('',#343598); #11939=PLANE('',#343599); #11940=PLANE('',#343600); #11941=PLANE('',#343601); #11942=PLANE('',#343602); #11943=PLANE('',#343603); #11944=PLANE('',#343604); #11945=PLANE('',#343605); #11946=PLANE('',#343606); #11947=PLANE('',#343607); #11948=PLANE('',#343608); #11949=PLANE('',#343609); #11950=PLANE('',#343610); #11951=PLANE('',#343611); #11952=PLANE('',#343612); #11953=PLANE('',#343613); #11954=PLANE('',#343614); #11955=PLANE('',#343615); #11956=PLANE('',#343616); #11957=PLANE('',#343617); #11958=PLANE('',#343618); #11959=PLANE('',#343619); #11960=PLANE('',#343620); #11961=PLANE('',#343621); #11962=PLANE('',#343622); #11963=PLANE('',#343623); #11964=PLANE('',#343624); #11965=PLANE('',#343625); #11966=PLANE('',#343626); #11967=PLANE('',#343627); #11968=PLANE('',#343628); #11969=PLANE('',#343629); #11970=PLANE('',#343630); #11971=PLANE('',#343631); #11972=PLANE('',#343632); #11973=PLANE('',#343633); #11974=PLANE('',#343634); #11975=PLANE('',#343635); #11976=PLANE('',#343636); #11977=PLANE('',#343637); #11978=PLANE('',#343638); #11979=PLANE('',#343639); #11980=PLANE('',#343640); #11981=PLANE('',#343641); #11982=PLANE('',#343642); #11983=PLANE('',#343643); #11984=PLANE('',#343644); #11985=PLANE('',#343645); #11986=PLANE('',#343646); #11987=PLANE('',#343647); #11988=PLANE('',#343648); #11989=PLANE('',#343649); #11990=PLANE('',#343650); #11991=PLANE('',#343651); #11992=PLANE('',#343652); #11993=PLANE('',#343653); #11994=PLANE('',#343654); #11995=PLANE('',#343655); #11996=PLANE('',#343656); #11997=PLANE('',#343657); #11998=PLANE('',#343658); #11999=PLANE('',#343659); #12000=PLANE('',#343660); #12001=PLANE('',#343661); #12002=PLANE('',#343662); #12003=PLANE('',#343663); #12004=PLANE('',#343664); #12005=PLANE('',#343665); #12006=PLANE('',#343666); #12007=PLANE('',#343667); #12008=PLANE('',#343668); #12009=PLANE('',#343669); #12010=PLANE('',#343670); #12011=PLANE('',#343671); #12012=PLANE('',#343672); #12013=PLANE('',#343673); #12014=PLANE('',#343674); #12015=PLANE('',#343675); #12016=PLANE('',#343676); #12017=PLANE('',#343677); #12018=PLANE('',#343678); #12019=PLANE('',#343679); #12020=PLANE('',#343680); #12021=PLANE('',#343681); #12022=PLANE('',#343682); #12023=PLANE('',#343683); #12024=PLANE('',#343684); #12025=PLANE('',#343685); #12026=PLANE('',#343686); #12027=PLANE('',#343687); #12028=PLANE('',#343688); #12029=PLANE('',#343689); #12030=PLANE('',#343690); #12031=PLANE('',#343691); #12032=PLANE('',#343692); #12033=PLANE('',#343693); #12034=PLANE('',#343694); #12035=PLANE('',#343695); #12036=PLANE('',#343696); #12037=PLANE('',#343697); #12038=PLANE('',#343698); #12039=PLANE('',#343699); #12040=PLANE('',#343700); #12041=PLANE('',#343701); #12042=PLANE('',#343702); #12043=PLANE('',#343703); #12044=PLANE('',#343704); #12045=PLANE('',#343705); #12046=PLANE('',#343706); #12047=PLANE('',#343707); #12048=PLANE('',#343708); #12049=PLANE('',#343709); #12050=PLANE('',#343710); #12051=PLANE('',#343711); #12052=PLANE('',#343712); #12053=PLANE('',#343713); #12054=PLANE('',#343714); #12055=PLANE('',#343715); #12056=PLANE('',#343716); #12057=PLANE('',#343717); #12058=PLANE('',#343718); #12059=PLANE('',#343719); #12060=PLANE('',#343720); #12061=PLANE('',#343721); #12062=PLANE('',#343722); #12063=PLANE('',#343723); #12064=PLANE('',#343724); #12065=PLANE('',#343725); #12066=PLANE('',#343726); #12067=PLANE('',#343727); #12068=PLANE('',#343728); #12069=PLANE('',#343729); #12070=PLANE('',#343730); #12071=PLANE('',#343731); #12072=PLANE('',#343732); #12073=PLANE('',#343733); #12074=PLANE('',#343734); #12075=PLANE('',#343735); #12076=PLANE('',#343736); #12077=PLANE('',#343737); #12078=PLANE('',#343738); #12079=PLANE('',#343739); #12080=PLANE('',#343740); #12081=PLANE('',#343741); #12082=PLANE('',#343742); #12083=PLANE('',#343743); #12084=PLANE('',#343744); #12085=PLANE('',#343745); #12086=PLANE('',#343746); #12087=PLANE('',#343747); #12088=PLANE('',#343748); #12089=PLANE('',#343749); #12090=PLANE('',#343750); #12091=PLANE('',#343751); #12092=PLANE('',#343752); #12093=PLANE('',#343753); #12094=PLANE('',#343754); #12095=PLANE('',#343755); #12096=PLANE('',#343756); #12097=PLANE('',#343757); #12098=PLANE('',#343758); #12099=PLANE('',#343759); #12100=PLANE('',#343760); #12101=PLANE('',#343761); #12102=PLANE('',#343762); #12103=PLANE('',#343763); #12104=PLANE('',#343764); #12105=PLANE('',#343765); #12106=PLANE('',#343766); #12107=PLANE('',#343767); #12108=PLANE('',#343768); #12109=PLANE('',#343769); #12110=PLANE('',#343770); #12111=PLANE('',#343771); #12112=PLANE('',#343772); #12113=PLANE('',#343773); #12114=PLANE('',#343774); #12115=PLANE('',#343775); #12116=PLANE('',#343776); #12117=PLANE('',#343777); #12118=PLANE('',#343778); #12119=PLANE('',#343779); #12120=PLANE('',#343780); #12121=PLANE('',#343781); #12122=PLANE('',#343782); #12123=PLANE('',#343783); #12124=PLANE('',#343784); #12125=PLANE('',#343785); #12126=PLANE('',#343786); #12127=PLANE('',#343787); #12128=PLANE('',#343788); #12129=PLANE('',#343789); #12130=PLANE('',#343790); #12131=PLANE('',#343791); #12132=PLANE('',#343792); #12133=PLANE('',#343793); #12134=PLANE('',#343794); #12135=PLANE('',#343795); #12136=PLANE('',#343796); #12137=PLANE('',#343797); #12138=PLANE('',#343798); #12139=PLANE('',#343799); #12140=PLANE('',#343800); #12141=PLANE('',#343801); #12142=PLANE('',#343802); #12143=PLANE('',#343803); #12144=PLANE('',#343804); #12145=PLANE('',#343805); #12146=PLANE('',#343806); #12147=PLANE('',#343807); #12148=PLANE('',#343808); #12149=PLANE('',#343809); #12150=PLANE('',#343810); #12151=PLANE('',#343811); #12152=PLANE('',#343812); #12153=PLANE('',#343813); #12154=PLANE('',#343814); #12155=PLANE('',#343815); #12156=PLANE('',#343816); #12157=PLANE('',#343817); #12158=PLANE('',#343818); #12159=PLANE('',#343819); #12160=PLANE('',#343820); #12161=PLANE('',#343821); #12162=PLANE('',#343822); #12163=PLANE('',#343823); #12164=PLANE('',#343824); #12165=PLANE('',#343825); #12166=PLANE('',#343826); #12167=PLANE('',#343827); #12168=PLANE('',#343828); #12169=PLANE('',#343829); #12170=PLANE('',#343830); #12171=PLANE('',#343831); #12172=PLANE('',#343832); #12173=PLANE('',#343833); #12174=PLANE('',#343834); #12175=PLANE('',#343835); #12176=PLANE('',#343836); #12177=PLANE('',#343837); #12178=PLANE('',#343838); #12179=PLANE('',#343839); #12180=PLANE('',#343840); #12181=PLANE('',#343841); #12182=PLANE('',#343842); #12183=PLANE('',#343843); #12184=PLANE('',#343844); #12185=PLANE('',#343845); #12186=PLANE('',#343846); #12187=PLANE('',#343847); #12188=PLANE('',#343848); #12189=PLANE('',#343849); #12190=PLANE('',#343850); #12191=PLANE('',#343851); #12192=PLANE('',#343852); #12193=PLANE('',#343853); #12194=PLANE('',#343854); #12195=PLANE('',#343855); #12196=PLANE('',#343856); #12197=PLANE('',#343857); #12198=PLANE('',#343858); #12199=PLANE('',#343859); #12200=PLANE('',#343860); #12201=PLANE('',#343861); #12202=PLANE('',#343862); #12203=PLANE('',#343863); #12204=PLANE('',#343864); #12205=PLANE('',#343865); #12206=PLANE('',#343866); #12207=PLANE('',#343867); #12208=PLANE('',#343868); #12209=PLANE('',#343869); #12210=PLANE('',#343870); #12211=PLANE('',#343871); #12212=PLANE('',#343872); #12213=PLANE('',#343873); #12214=PLANE('',#343874); #12215=PLANE('',#343875); #12216=PLANE('',#343876); #12217=PLANE('',#343877); #12218=PLANE('',#343878); #12219=PLANE('',#343879); #12220=PLANE('',#343880); #12221=PLANE('',#343881); #12222=PLANE('',#343882); #12223=PLANE('',#343883); #12224=PLANE('',#343884); #12225=PLANE('',#343885); #12226=PLANE('',#343886); #12227=PLANE('',#343887); #12228=PLANE('',#343888); #12229=PLANE('',#343889); #12230=PLANE('',#343890); #12231=PLANE('',#343891); #12232=PLANE('',#343895); #12233=PLANE('',#343899); #12234=PLANE('',#343903); #12235=PLANE('',#343904); #12236=PLANE('',#343905); #12237=PLANE('',#343906); #12238=PLANE('',#343907); #12239=PLANE('',#343908); #12240=PLANE('',#343909); #12241=PLANE('',#343910); #12242=PLANE('',#343911); #12243=PLANE('',#343912); #12244=PLANE('',#343913); #12245=PLANE('',#343914); #12246=PLANE('',#343915); #12247=PLANE('',#343916); #12248=PLANE('',#343917); #12249=PLANE('',#343918); #12250=PLANE('',#343919); #12251=PLANE('',#343920); #12252=PLANE('',#343921); #12253=PLANE('',#343922); #12254=PLANE('',#343923); #12255=PLANE('',#343924); #12256=PLANE('',#343925); #12257=PLANE('',#343926); #12258=PLANE('',#343927); #12259=PLANE('',#343928); #12260=PLANE('',#343929); #12261=PLANE('',#343930); #12262=PLANE('',#343931); #12263=PLANE('',#343932); #12264=PLANE('',#343933); #12265=PLANE('',#343934); #12266=PLANE('',#343935); #12267=PLANE('',#343936); #12268=PLANE('',#343937); #12269=PLANE('',#343938); #12270=PLANE('',#343939); #12271=PLANE('',#343940); #12272=PLANE('',#343941); #12273=PLANE('',#343942); #12274=PLANE('',#343943); #12275=PLANE('',#343944); #12276=PLANE('',#343945); #12277=PLANE('',#343946); #12278=PLANE('',#343947); #12279=PLANE('',#343948); #12280=PLANE('',#343949); #12281=PLANE('',#343950); #12282=PLANE('',#343951); #12283=PLANE('',#343952); #12284=PLANE('',#343953); #12285=PLANE('',#343954); #12286=PLANE('',#343955); #12287=PLANE('',#343956); #12288=PLANE('',#343957); #12289=PLANE('',#343958); #12290=PLANE('',#343959); #12291=PLANE('',#343960); #12292=PLANE('',#343961); #12293=PLANE('',#343962); #12294=PLANE('',#343963); #12295=PLANE('',#343964); #12296=PLANE('',#343965); #12297=PLANE('',#343966); #12298=PLANE('',#343967); #12299=PLANE('',#343968); #12300=PLANE('',#343969); #12301=PLANE('',#343970); #12302=PLANE('',#343971); #12303=PLANE('',#343972); #12304=PLANE('',#343973); #12305=PLANE('',#343974); #12306=PLANE('',#343975); #12307=PLANE('',#343976); #12308=PLANE('',#343977); #12309=PLANE('',#343978); #12310=PLANE('',#343979); #12311=PLANE('',#343980); #12312=PLANE('',#343981); #12313=PLANE('',#343982); #12314=PLANE('',#343983); #12315=PLANE('',#343984); #12316=PLANE('',#343985); #12317=PLANE('',#343986); #12318=PLANE('',#343987); #12319=PLANE('',#343988); #12320=PLANE('',#343989); #12321=PLANE('',#343990); #12322=PLANE('',#343991); #12323=PLANE('',#343992); #12324=PLANE('',#343993); #12325=PLANE('',#343994); #12326=PLANE('',#343995); #12327=PLANE('',#343996); #12328=PLANE('',#343997); #12329=PLANE('',#343998); #12330=PLANE('',#343999); #12331=PLANE('',#344000); #12332=PLANE('',#344001); #12333=PLANE('',#344002); #12334=PLANE('',#344003); #12335=PLANE('',#344004); #12336=PLANE('',#344005); #12337=PLANE('',#344006); #12338=PLANE('',#344007); #12339=PLANE('',#344008); #12340=PLANE('',#344009); #12341=PLANE('',#344010); #12342=PLANE('',#344011); #12343=PLANE('',#344012); #12344=PLANE('',#344013); #12345=PLANE('',#344014); #12346=PLANE('',#344015); #12347=PLANE('',#344016); #12348=PLANE('',#344017); #12349=PLANE('',#344018); #12350=PLANE('',#344019); #12351=PLANE('',#344020); #12352=PLANE('',#344021); #12353=PLANE('',#344022); #12354=PLANE('',#344023); #12355=PLANE('',#344024); #12356=PLANE('',#344025); #12357=PLANE('',#344026); #12358=PLANE('',#344027); #12359=PLANE('',#344028); #12360=PLANE('',#344029); #12361=PLANE('',#344075); #12362=PLANE('',#344076); #12363=PLANE('',#344077); #12364=PLANE('',#344078); #12365=PLANE('',#344079); #12366=PLANE('',#344080); #12367=PLANE('',#344081); #12368=PLANE('',#344082); #12369=PLANE('',#344083); #12370=PLANE('',#344084); #12371=PLANE('',#344085); #12372=PLANE('',#344086); #12373=PLANE('',#344087); #12374=PLANE('',#344088); #12375=PLANE('',#344089); #12376=PLANE('',#344090); #12377=PLANE('',#344091); #12378=PLANE('',#344092); #12379=PLANE('',#344093); #12380=PLANE('',#344094); #12381=PLANE('',#344095); #12382=PLANE('',#344096); #12383=PLANE('',#344097); #12384=PLANE('',#344098); #12385=PLANE('',#344099); #12386=PLANE('',#344100); #12387=PLANE('',#344101); #12388=PLANE('',#344102); #12389=PLANE('',#344103); #12390=PLANE('',#344104); #12391=PLANE('',#344105); #12392=PLANE('',#344106); #12393=PLANE('',#344107); #12394=PLANE('',#344108); #12395=PLANE('',#344109); #12396=PLANE('',#344110); #12397=PLANE('',#344111); #12398=PLANE('',#344112); #12399=PLANE('',#344113); #12400=PLANE('',#344114); #12401=PLANE('',#344115); #12402=PLANE('',#344116); #12403=PLANE('',#344117); #12404=PLANE('',#344121); #12405=PLANE('',#344122); #12406=PLANE('',#344123); #12407=PLANE('',#344124); #12408=PLANE('',#344125); #12409=PLANE('',#344129); #12410=PLANE('',#344130); #12411=PLANE('',#344134); #12412=PLANE('',#344135); #12413=PLANE('',#344136); #12414=PLANE('',#344137); #12415=PLANE('',#344138); #12416=PLANE('',#344139); #12417=PLANE('',#344140); #12418=PLANE('',#344141); #12419=PLANE('',#344142); #12420=PLANE('',#344143); #12421=PLANE('',#344144); #12422=PLANE('',#344145); #12423=PLANE('',#344146); #12424=PLANE('',#344147); #12425=PLANE('',#344148); #12426=PLANE('',#344149); #12427=PLANE('',#344153); #12428=PLANE('',#344157); #12429=PLANE('',#344161); #12430=PLANE('',#344162); #12431=PLANE('',#344163); #12432=PLANE('',#344167); #12433=PLANE('',#344171); #12434=PLANE('',#344172); #12435=PLANE('',#344176); #12436=PLANE('',#344177); #12437=PLANE('',#344178); #12438=PLANE('',#344179); #12439=PLANE('',#344180); #12440=PLANE('',#344226); #12441=PLANE('',#344227); #12442=PLANE('',#344228); #12443=PLANE('',#344229); #12444=PLANE('',#344230); #12445=PLANE('',#344231); #12446=PLANE('',#344232); #12447=PLANE('',#344233); #12448=PLANE('',#344234); #12449=PLANE('',#344235); #12450=PLANE('',#344236); #12451=PLANE('',#344237); #12452=PLANE('',#344238); #12453=PLANE('',#344239); #12454=PLANE('',#344240); #12455=PLANE('',#344241); #12456=PLANE('',#344242); #12457=PLANE('',#344243); #12458=PLANE('',#344244); #12459=PLANE('',#344245); #12460=PLANE('',#344246); #12461=PLANE('',#344247); #12462=PLANE('',#344248); #12463=PLANE('',#344249); #12464=PLANE('',#344250); #12465=PLANE('',#344251); #12466=PLANE('',#344252); #12467=PLANE('',#344253); #12468=PLANE('',#344254); #12469=PLANE('',#344255); #12470=PLANE('',#344256); #12471=PLANE('',#344257); #12472=PLANE('',#344258); #12473=PLANE('',#344259); #12474=PLANE('',#344260); #12475=PLANE('',#344261); #12476=PLANE('',#344262); #12477=PLANE('',#344263); #12478=PLANE('',#344264); #12479=PLANE('',#344265); #12480=PLANE('',#344266); #12481=PLANE('',#344267); #12482=PLANE('',#344268); #12483=PLANE('',#344269); #12484=PLANE('',#344270); #12485=PLANE('',#344271); #12486=PLANE('',#344272); #12487=PLANE('',#344273); #12488=PLANE('',#344274); #12489=PLANE('',#344275); #12490=PLANE('',#344276); #12491=PLANE('',#344280); #12492=PLANE('',#344281); #12493=PLANE('',#344282); #12494=PLANE('',#344283); #12495=PLANE('',#344284); #12496=PLANE('',#344285); #12497=PLANE('',#344289); #12498=PLANE('',#344290); #12499=PLANE('',#344294); #12500=PLANE('',#344298); #12501=PLANE('',#344299); #12502=PLANE('',#344300); #12503=PLANE('',#344304); #12504=PLANE('',#344308); #12505=PLANE('',#344312); #12506=PLANE('',#344313); #12507=PLANE('',#344314); #12508=PLANE('',#344315); #12509=PLANE('',#344316); #12510=PLANE('',#344317); #12511=PLANE('',#344318); #12512=PLANE('',#344319); #12513=PLANE('',#344320); #12514=PLANE('',#344321); #12515=PLANE('',#344322); #12516=PLANE('',#344323); #12517=PLANE('',#344324); #12518=PLANE('',#344325); #12519=PLANE('',#344326); #12520=PLANE('',#344327); #12521=PLANE('',#344331); #12522=PLANE('',#344332); #12523=PLANE('',#344336); #12524=PLANE('',#344337); #12525=PLANE('',#344338); #12526=PLANE('',#344339); #12527=PLANE('',#344433); #12528=PLANE('',#344437); #12529=PLANE('',#344438); #12530=PLANE('',#344439); #12531=PLANE('',#344443); #12532=PLANE('',#344447); #12533=PLANE('',#344448); #12534=PLANE('',#344449); #12535=PLANE('',#344450); #12536=PLANE('',#344451); #12537=PLANE('',#344452); #12538=PLANE('',#344453); #12539=PLANE('',#344454); #12540=PLANE('',#344455); #12541=PLANE('',#344456); #12542=PLANE('',#344457); #12543=PLANE('',#344458); #12544=PLANE('',#344459); #12545=PLANE('',#344460); #12546=PLANE('',#344461); #12547=PLANE('',#344462); #12548=PLANE('',#344463); #12549=PLANE('',#344464); #12550=PLANE('',#344465); #12551=PLANE('',#344466); #12552=PLANE('',#344467); #12553=PLANE('',#344468); #12554=PLANE('',#344469); #12555=PLANE('',#344470); #12556=PLANE('',#344471); #12557=PLANE('',#344472); #12558=PLANE('',#344473); #12559=PLANE('',#344474); #12560=PLANE('',#344475); #12561=PLANE('',#344476); #12562=PLANE('',#344477); #12563=PLANE('',#344478); #12564=PLANE('',#344479); #12565=PLANE('',#344480); #12566=PLANE('',#344481); #12567=PLANE('',#344482); #12568=PLANE('',#344483); #12569=PLANE('',#344484); #12570=PLANE('',#344485); #12571=PLANE('',#344486); #12572=PLANE('',#344487); #12573=PLANE('',#344488); #12574=PLANE('',#344489); #12575=PLANE('',#344490); #12576=PLANE('',#344491); #12577=PLANE('',#344492); #12578=PLANE('',#344493); #12579=PLANE('',#344494); #12580=PLANE('',#344495); #12581=PLANE('',#344496); #12582=PLANE('',#344497); #12583=PLANE('',#344498); #12584=PLANE('',#344499); #12585=PLANE('',#344500); #12586=PLANE('',#344501); #12587=PLANE('',#344502); #12588=PLANE('',#344503); #12589=PLANE('',#344504); #12590=PLANE('',#344505); #12591=PLANE('',#344506); #12592=PLANE('',#344507); #12593=PLANE('',#344508); #12594=PLANE('',#344509); #12595=PLANE('',#344510); #12596=PLANE('',#344511); #12597=PLANE('',#344512); #12598=PLANE('',#344513); #12599=PLANE('',#344514); #12600=PLANE('',#344515); #12601=PLANE('',#344516); #12602=PLANE('',#344517); #12603=PLANE('',#344518); #12604=PLANE('',#344522); #12605=PLANE('',#344526); #12606=PLANE('',#344530); #12607=PLANE('',#344531); #12608=PLANE('',#344535); #12609=PLANE('',#344536); #12610=PLANE('',#344537); #12611=PLANE('',#344538); #12612=PLANE('',#344539); #12613=PLANE('',#344540); #12614=PLANE('',#344544); #12615=PLANE('',#344545); #12616=PLANE('',#344549); #12617=PLANE('',#344556); #12618=PLANE('',#344557); #12619=PLANE('',#344561); #12620=PLANE('',#344562); #12621=PLANE('',#344566); #12622=PLANE('',#344567); #12623=PLANE('',#344568); #12624=PLANE('',#344569); #12625=PLANE('',#344663); #12626=PLANE('',#344667); #12627=PLANE('',#344671); #12628=PLANE('',#344675); #12629=PLANE('',#344676); #12630=PLANE('',#344677); #12631=PLANE('',#344678); #12632=PLANE('',#344679); #12633=PLANE('',#344680); #12634=PLANE('',#344681); #12635=PLANE('',#344682); #12636=PLANE('',#344683); #12637=PLANE('',#344684); #12638=PLANE('',#344685); #12639=PLANE('',#344686); #12640=PLANE('',#344687); #12641=PLANE('',#344688); #12642=PLANE('',#344689); #12643=PLANE('',#344690); #12644=PLANE('',#344691); #12645=PLANE('',#344692); #12646=PLANE('',#344693); #12647=PLANE('',#344694); #12648=PLANE('',#344695); #12649=PLANE('',#344696); #12650=PLANE('',#344697); #12651=PLANE('',#344698); #12652=PLANE('',#344699); #12653=PLANE('',#344700); #12654=PLANE('',#344701); #12655=PLANE('',#344702); #12656=PLANE('',#344703); #12657=PLANE('',#344704); #12658=PLANE('',#344705); #12659=PLANE('',#344706); #12660=PLANE('',#344707); #12661=PLANE('',#344708); #12662=PLANE('',#344709); #12663=PLANE('',#344710); #12664=PLANE('',#344711); #12665=PLANE('',#344712); #12666=PLANE('',#344713); #12667=PLANE('',#344714); #12668=PLANE('',#344715); #12669=PLANE('',#344716); #12670=PLANE('',#344717); #12671=PLANE('',#344718); #12672=PLANE('',#344719); #12673=PLANE('',#344720); #12674=PLANE('',#344721); #12675=PLANE('',#344722); #12676=PLANE('',#344723); #12677=PLANE('',#344724); #12678=PLANE('',#344725); #12679=PLANE('',#344726); #12680=PLANE('',#344727); #12681=PLANE('',#344728); #12682=PLANE('',#344729); #12683=PLANE('',#344730); #12684=PLANE('',#344731); #12685=PLANE('',#344732); #12686=PLANE('',#344733); #12687=PLANE('',#344734); #12688=PLANE('',#344735); #12689=PLANE('',#344736); #12690=PLANE('',#344737); #12691=PLANE('',#344738); #12692=PLANE('',#344739); #12693=PLANE('',#344740); #12694=PLANE('',#344741); #12695=PLANE('',#344745); #12696=PLANE('',#344749); #12697=PLANE('',#344750); #12698=PLANE('',#344751); #12699=PLANE('',#344755); #12700=PLANE('',#344756); #12701=PLANE('',#344760); #12702=PLANE('',#344761); #12703=PLANE('',#344762); #12704=PLANE('',#344763); #12705=PLANE('',#344764); #12706=PLANE('',#344768); #12707=PLANE('',#344769); #12708=PLANE('',#344773); #12709=PLANE('',#344774); #12710=PLANE('',#344781); #12711=PLANE('',#344785); #12712=PLANE('',#344786); #12713=PLANE('',#344790); #12714=PLANE('',#344791); #12715=PLANE('',#344792); #12716=PLANE('',#344793); #12717=PLANE('',#344794); #12718=PLANE('',#344843); #12719=PLANE('',#344844); #12720=PLANE('',#344845); #12721=PLANE('',#344846); #12722=PLANE('',#344847); #12723=PLANE('',#344848); #12724=PLANE('',#344849); #12725=PLANE('',#344850); #12726=PLANE('',#344851); #12727=PLANE('',#344852); #12728=PLANE('',#344853); #12729=PLANE('',#344854); #12730=PLANE('',#344855); #12731=PLANE('',#344856); #12732=PLANE('',#344857); #12733=PLANE('',#344858); #12734=PLANE('',#344859); #12735=PLANE('',#344860); #12736=PLANE('',#344861); #12737=PLANE('',#344862); #12738=PLANE('',#344863); #12739=PLANE('',#344864); #12740=PLANE('',#344865); #12741=PLANE('',#344866); #12742=PLANE('',#344867); #12743=PLANE('',#344868); #12744=PLANE('',#344869); #12745=PLANE('',#344870); #12746=PLANE('',#344871); #12747=PLANE('',#344872); #12748=PLANE('',#344873); #12749=PLANE('',#344874); #12750=PLANE('',#344875); #12751=PLANE('',#344876); #12752=PLANE('',#344877); #12753=PLANE('',#344878); #12754=PLANE('',#344879); #12755=PLANE('',#344880); #12756=PLANE('',#344881); #12757=PLANE('',#344882); #12758=PLANE('',#344883); #12759=PLANE('',#344884); #12760=PLANE('',#344885); #12761=PLANE('',#344886); #12762=PLANE('',#344887); #12763=PLANE('',#344888); #12764=PLANE('',#344889); #12765=PLANE('',#344890); #12766=PLANE('',#344891); #12767=PLANE('',#344892); #12768=PLANE('',#344893); #12769=PLANE('',#344894); #12770=PLANE('',#344895); #12771=PLANE('',#344896); #12772=PLANE('',#344897); #12773=PLANE('',#344898); #12774=PLANE('',#344899); #12775=PLANE('',#344900); #12776=PLANE('',#344901); #12777=PLANE('',#344902); #12778=PLANE('',#344903); #12779=PLANE('',#344904); #12780=PLANE('',#344905); #12781=PLANE('',#344906); #12782=PLANE('',#344907); #12783=PLANE('',#344908); #12784=PLANE('',#344909); #12785=PLANE('',#344910); #12786=PLANE('',#344911); #12787=PLANE('',#344912); #12788=PLANE('',#344913); #12789=PLANE('',#344914); #12790=PLANE('',#344915); #12791=PLANE('',#344916); #12792=PLANE('',#344917); #12793=PLANE('',#344918); #12794=PLANE('',#344919); #12795=PLANE('',#344920); #12796=PLANE('',#344921); #12797=PLANE('',#344922); #12798=PLANE('',#344923); #12799=PLANE('',#344924); #12800=PLANE('',#344925); #12801=PLANE('',#344926); #12802=PLANE('',#344927); #12803=PLANE('',#344928); #12804=PLANE('',#344929); #12805=PLANE('',#344930); #12806=PLANE('',#344931); #12807=PLANE('',#344932); #12808=PLANE('',#344933); #12809=PLANE('',#344934); #12810=PLANE('',#344935); #12811=PLANE('',#344936); #12812=PLANE('',#344937); #12813=PLANE('',#344938); #12814=PLANE('',#344939); #12815=PLANE('',#344940); #12816=PLANE('',#344941); #12817=PLANE('',#344942); #12818=PLANE('',#344943); #12819=PLANE('',#344944); #12820=PLANE('',#344945); #12821=PLANE('',#344946); #12822=PLANE('',#344947); #12823=PLANE('',#344948); #12824=PLANE('',#344949); #12825=PLANE('',#344950); #12826=PLANE('',#344951); #12827=PLANE('',#344952); #12828=PLANE('',#344953); #12829=PLANE('',#344954); #12830=PLANE('',#344955); #12831=PLANE('',#344956); #12832=PLANE('',#344957); #12833=PLANE('',#344958); #12834=PLANE('',#344959); #12835=PLANE('',#344960); #12836=PLANE('',#344961); #12837=PLANE('',#344962); #12838=PLANE('',#344963); #12839=PLANE('',#344964); #12840=PLANE('',#344965); #12841=PLANE('',#344966); #12842=PLANE('',#344967); #12843=PLANE('',#344968); #12844=PLANE('',#344969); #12845=PLANE('',#344970); #12846=PLANE('',#344971); #12847=PLANE('',#344972); #12848=PLANE('',#344973); #12849=PLANE('',#344974); #12850=PLANE('',#344975); #12851=PLANE('',#344976); #12852=PLANE('',#344977); #12853=PLANE('',#344978); #12854=PLANE('',#344979); #12855=PLANE('',#344980); #12856=PLANE('',#344981); #12857=PLANE('',#344982); #12858=PLANE('',#344983); #12859=PLANE('',#344984); #12860=PLANE('',#344985); #12861=PLANE('',#344986); #12862=PLANE('',#344987); #12863=PLANE('',#344988); #12864=PLANE('',#344989); #12865=PLANE('',#344990); #12866=PLANE('',#344991); #12867=PLANE('',#344992); #12868=PLANE('',#344993); #12869=PLANE('',#344994); #12870=PLANE('',#344995); #12871=PLANE('',#344996); #12872=PLANE('',#344997); #12873=PLANE('',#344998); #12874=PLANE('',#344999); #12875=PLANE('',#345000); #12876=PLANE('',#345001); #12877=PLANE('',#345002); #12878=PLANE('',#345003); #12879=PLANE('',#345004); #12880=PLANE('',#345005); #12881=PLANE('',#345006); #12882=PLANE('',#345007); #12883=PLANE('',#345008); #12884=PLANE('',#345009); #12885=PLANE('',#345010); #12886=PLANE('',#345011); #12887=PLANE('',#345012); #12888=PLANE('',#345013); #12889=PLANE('',#345014); #12890=PLANE('',#345015); #12891=PLANE('',#345016); #12892=PLANE('',#345017); #12893=PLANE('',#345018); #12894=PLANE('',#345019); #12895=PLANE('',#345020); #12896=PLANE('',#345021); #12897=PLANE('',#345022); #12898=PLANE('',#345023); #12899=PLANE('',#345024); #12900=PLANE('',#345025); #12901=PLANE('',#345026); #12902=PLANE('',#345027); #12903=PLANE('',#345028); #12904=PLANE('',#345029); #12905=PLANE('',#345030); #12906=PLANE('',#345031); #12907=PLANE('',#345032); #12908=PLANE('',#345033); #12909=PLANE('',#345034); #12910=PLANE('',#345035); #12911=PLANE('',#345036); #12912=PLANE('',#345037); #12913=PLANE('',#345038); #12914=PLANE('',#345039); #12915=PLANE('',#345040); #12916=PLANE('',#345041); #12917=PLANE('',#345042); #12918=PLANE('',#345043); #12919=PLANE('',#345044); #12920=PLANE('',#345045); #12921=PLANE('',#345046); #12922=PLANE('',#345047); #12923=PLANE('',#345048); #12924=PLANE('',#345049); #12925=PLANE('',#345050); #12926=PLANE('',#345051); #12927=PLANE('',#345052); #12928=PLANE('',#345053); #12929=PLANE('',#345054); #12930=PLANE('',#345055); #12931=PLANE('',#345056); #12932=PLANE('',#345057); #12933=PLANE('',#345058); #12934=PLANE('',#345062); #12935=PLANE('',#345066); #12936=PLANE('',#345067); #12937=PLANE('',#345068); #12938=PLANE('',#345069); #12939=PLANE('',#345070); #12940=PLANE('',#345071); #12941=PLANE('',#345072); #12942=PLANE('',#345073); #12943=PLANE('',#345077); #12944=PLANE('',#345078); #12945=PLANE('',#345082); #12946=PLANE('',#345083); #12947=PLANE('',#345084); #12948=PLANE('',#345085); #12949=PLANE('',#345086); #12950=PLANE('',#345087); #12951=PLANE('',#345088); #12952=PLANE('',#345089); #12953=PLANE('',#345090); #12954=PLANE('',#345091); #12955=PLANE('',#345092); #12956=PLANE('',#345093); #12957=PLANE('',#345094); #12958=PLANE('',#345095); #12959=PLANE('',#345096); #12960=PLANE('',#345097); #12961=PLANE('',#345098); #12962=PLANE('',#345099); #12963=PLANE('',#345100); #12964=PLANE('',#345101); #12965=PLANE('',#345102); #12966=PLANE('',#345103); #12967=PLANE('',#345104); #12968=PLANE('',#345105); #12969=PLANE('',#345106); #12970=PLANE('',#345107); #12971=PLANE('',#345108); #12972=PLANE('',#345109); #12973=PLANE('',#345110); #12974=PLANE('',#345111); #12975=PLANE('',#345112); #12976=PLANE('',#345113); #12977=PLANE('',#345114); #12978=PLANE('',#345115); #12979=PLANE('',#345116); #12980=PLANE('',#345117); #12981=PLANE('',#345118); #12982=PLANE('',#345119); #12983=PLANE('',#345120); #12984=PLANE('',#345121); #12985=PLANE('',#345122); #12986=PLANE('',#345123); #12987=PLANE('',#345124); #12988=PLANE('',#345125); #12989=PLANE('',#345126); #12990=PLANE('',#345127); #12991=PLANE('',#345128); #12992=PLANE('',#345129); #12993=PLANE('',#345130); #12994=PLANE('',#345131); #12995=PLANE('',#345132); #12996=PLANE('',#345133); #12997=PLANE('',#345134); #12998=PLANE('',#345135); #12999=PLANE('',#345136); #13000=PLANE('',#345137); #13001=PLANE('',#345138); #13002=PLANE('',#345139); #13003=PLANE('',#345140); #13004=PLANE('',#345141); #13005=PLANE('',#345142); #13006=PLANE('',#345143); #13007=PLANE('',#345144); #13008=PLANE('',#345145); #13009=PLANE('',#345146); #13010=PLANE('',#345147); #13011=PLANE('',#345148); #13012=PLANE('',#345149); #13013=PLANE('',#345150); #13014=PLANE('',#345151); #13015=PLANE('',#345152); #13016=PLANE('',#345153); #13017=PLANE('',#345154); #13018=PLANE('',#345155); #13019=PLANE('',#345156); #13020=PLANE('',#345157); #13021=PLANE('',#345158); #13022=PLANE('',#345159); #13023=PLANE('',#345160); #13024=PLANE('',#345161); #13025=PLANE('',#345162); #13026=PLANE('',#345163); #13027=PLANE('',#345164); #13028=PLANE('',#345165); #13029=PLANE('',#345166); #13030=PLANE('',#345167); #13031=PLANE('',#345168); #13032=PLANE('',#345169); #13033=PLANE('',#345170); #13034=PLANE('',#345171); #13035=PLANE('',#345172); #13036=PLANE('',#345173); #13037=PLANE('',#345174); #13038=PLANE('',#345175); #13039=PLANE('',#345176); #13040=PLANE('',#345177); #13041=PLANE('',#345178); #13042=PLANE('',#345179); #13043=PLANE('',#345180); #13044=PLANE('',#345181); #13045=PLANE('',#345182); #13046=PLANE('',#345183); #13047=PLANE('',#345184); #13048=PLANE('',#345185); #13049=PLANE('',#345186); #13050=PLANE('',#345187); #13051=PLANE('',#345188); #13052=PLANE('',#345189); #13053=PLANE('',#345190); #13054=PLANE('',#345191); #13055=PLANE('',#345192); #13056=PLANE('',#345193); #13057=PLANE('',#345194); #13058=PLANE('',#345195); #13059=PLANE('',#345196); #13060=PLANE('',#345197); #13061=PLANE('',#345198); #13062=PLANE('',#345199); #13063=PLANE('',#345200); #13064=PLANE('',#345201); #13065=PLANE('',#345202); #13066=PLANE('',#345203); #13067=PLANE('',#345204); #13068=PLANE('',#345205); #13069=PLANE('',#345206); #13070=PLANE('',#345207); #13071=PLANE('',#345208); #13072=PLANE('',#345209); #13073=PLANE('',#345210); #13074=PLANE('',#345211); #13075=PLANE('',#345212); #13076=PLANE('',#345213); #13077=PLANE('',#345214); #13078=PLANE('',#345215); #13079=PLANE('',#345216); #13080=PLANE('',#345217); #13081=PLANE('',#345218); #13082=PLANE('',#345219); #13083=PLANE('',#345220); #13084=PLANE('',#345221); #13085=PLANE('',#345222); #13086=PLANE('',#345223); #13087=PLANE('',#345224); #13088=PLANE('',#345225); #13089=PLANE('',#345226); #13090=PLANE('',#345227); #13091=PLANE('',#345228); #13092=PLANE('',#345229); #13093=PLANE('',#345230); #13094=PLANE('',#345231); #13095=PLANE('',#345232); #13096=PLANE('',#345233); #13097=PLANE('',#345234); #13098=PLANE('',#345235); #13099=PLANE('',#345236); #13100=PLANE('',#345237); #13101=PLANE('',#345238); #13102=PLANE('',#345239); #13103=PLANE('',#345240); #13104=PLANE('',#345241); #13105=PLANE('',#345242); #13106=PLANE('',#345243); #13107=PLANE('',#345244); #13108=PLANE('',#345245); #13109=PLANE('',#345246); #13110=PLANE('',#345247); #13111=PLANE('',#345248); #13112=PLANE('',#345249); #13113=PLANE('',#345250); #13114=PLANE('',#345251); #13115=PLANE('',#345252); #13116=PLANE('',#345253); #13117=PLANE('',#345254); #13118=PLANE('',#345255); #13119=PLANE('',#345256); #13120=PLANE('',#345257); #13121=PLANE('',#345258); #13122=PLANE('',#345259); #13123=PLANE('',#345260); #13124=PLANE('',#345261); #13125=PLANE('',#345262); #13126=PLANE('',#345263); #13127=PLANE('',#345264); #13128=PLANE('',#345265); #13129=PLANE('',#345266); #13130=PLANE('',#345267); #13131=PLANE('',#345268); #13132=PLANE('',#345269); #13133=PLANE('',#345270); #13134=PLANE('',#345271); #13135=PLANE('',#345272); #13136=PLANE('',#345273); #13137=PLANE('',#345274); #13138=PLANE('',#345275); #13139=PLANE('',#345276); #13140=PLANE('',#345277); #13141=PLANE('',#345278); #13142=PLANE('',#345279); #13143=PLANE('',#345280); #13144=PLANE('',#345281); #13145=PLANE('',#345282); #13146=PLANE('',#345283); #13147=PLANE('',#345284); #13148=PLANE('',#345285); #13149=PLANE('',#345286); #13150=PLANE('',#345287); #13151=PLANE('',#345288); #13152=PLANE('',#345289); #13153=PLANE('',#345290); #13154=PLANE('',#345291); #13155=PLANE('',#345292); #13156=PLANE('',#345293); #13157=PLANE('',#345294); #13158=PLANE('',#345295); #13159=PLANE('',#345296); #13160=PLANE('',#345297); #13161=PLANE('',#345298); #13162=PLANE('',#345299); #13163=PLANE('',#345300); #13164=PLANE('',#345301); #13165=PLANE('',#345302); #13166=PLANE('',#345303); #13167=PLANE('',#345307); #13168=PLANE('',#345311); #13169=PLANE('',#345315); #13170=PLANE('',#345316); #13171=PLANE('',#345317); #13172=PLANE('',#345318); #13173=PLANE('',#345322); #13174=PLANE('',#345323); #13175=PLANE('',#345324); #13176=PLANE('',#345325); #13177=PLANE('',#345326); #13178=PLANE('',#345327); #13179=PLANE('',#345328); #13180=PLANE('',#345332); #13181=PLANE('',#345336); #13182=PLANE('',#345340); #13183=PLANE('',#345341); #13184=PLANE('',#345345); #13185=PLANE('',#345346); #13186=PLANE('',#345383); #13187=PLANE('',#345384); #13188=PLANE('',#345385); #13189=PLANE('',#345386); #13190=PLANE('',#345387); #13191=PLANE('',#345388); #13192=PLANE('',#345389); #13193=PLANE('',#345390); #13194=PLANE('',#345391); #13195=PLANE('',#345392); #13196=PLANE('',#345393); #13197=PLANE('',#345394); #13198=PLANE('',#345395); #13199=PLANE('',#345396); #13200=PLANE('',#345397); #13201=PLANE('',#345398); #13202=PLANE('',#345399); #13203=PLANE('',#345400); #13204=PLANE('',#345401); #13205=PLANE('',#345402); #13206=PLANE('',#345403); #13207=PLANE('',#345404); #13208=PLANE('',#345405); #13209=PLANE('',#345406); #13210=PLANE('',#345410); #13211=PLANE('',#345411); #13212=PLANE('',#345415); #13213=PLANE('',#345416); #13214=PLANE('',#345417); #13215=PLANE('',#345418); #13216=PLANE('',#345419); #13217=PLANE('',#345420); #13218=PLANE('',#345421); #13219=PLANE('',#345422); #13220=PLANE('',#345426); #13221=PLANE('',#345430); #13222=PLANE('',#345431); #13223=PLANE('',#345432); #13224=PLANE('',#345433); #13225=PLANE('',#345434); #13226=PLANE('',#345435); #13227=PLANE('',#345436); #13228=PLANE('',#345437); #13229=PLANE('',#345438); #13230=PLANE('',#345439); #13231=PLANE('',#345440); #13232=PLANE('',#345441); #13233=PLANE('',#345442); #13234=PLANE('',#345443); #13235=PLANE('',#345444); #13236=PLANE('',#345448); #13237=PLANE('',#345452); #13238=PLANE('',#345453); #13239=PLANE('',#345454); #13240=PLANE('',#345455); #13241=PLANE('',#345456); #13242=PLANE('',#345457); #13243=PLANE('',#345458); #13244=PLANE('',#345459); #13245=PLANE('',#345460); #13246=PLANE('',#345461); #13247=PLANE('',#345462); #13248=PLANE('',#345463); #13249=PLANE('',#345464); #13250=PLANE('',#345465); #13251=PLANE('',#345466); #13252=PLANE('',#345467); #13253=PLANE('',#345468); #13254=PLANE('',#345469); #13255=PLANE('',#345470); #13256=PLANE('',#345471); #13257=PLANE('',#345472); #13258=PLANE('',#345473); #13259=PLANE('',#345474); #13260=PLANE('',#345475); #13261=PLANE('',#345476); #13262=PLANE('',#345477); #13263=PLANE('',#345478); #13264=PLANE('',#345479); #13265=PLANE('',#345480); #13266=PLANE('',#345481); #13267=PLANE('',#345482); #13268=PLANE('',#345483); #13269=PLANE('',#345484); #13270=PLANE('',#345485); #13271=PLANE('',#345486); #13272=PLANE('',#345487); #13273=PLANE('',#345488); #13274=PLANE('',#345489); #13275=PLANE('',#345490); #13276=PLANE('',#345491); #13277=PLANE('',#345492); #13278=PLANE('',#345493); #13279=PLANE('',#345494); #13280=PLANE('',#345495); #13281=PLANE('',#345496); #13282=PLANE('',#345497); #13283=PLANE('',#345498); #13284=PLANE('',#345499); #13285=PLANE('',#345500); #13286=PLANE('',#345501); #13287=PLANE('',#345502); #13288=PLANE('',#345503); #13289=PLANE('',#345504); #13290=PLANE('',#345505); #13291=PLANE('',#345506); #13292=PLANE('',#345507); #13293=PLANE('',#345508); #13294=PLANE('',#345509); #13295=PLANE('',#345510); #13296=PLANE('',#345511); #13297=PLANE('',#345512); #13298=PLANE('',#345513); #13299=PLANE('',#345514); #13300=PLANE('',#345515); #13301=PLANE('',#345516); #13302=PLANE('',#345517); #13303=PLANE('',#345518); #13304=PLANE('',#345519); #13305=PLANE('',#345520); #13306=PLANE('',#345524); #13307=PLANE('',#345531); #13308=PLANE('',#345532); #13309=PLANE('',#345536); #13310=PLANE('',#345543); #13311=PLANE('',#345544); #13312=PLANE('',#345548); #13313=PLANE('',#345555); #13314=PLANE('',#345556); #13315=PLANE('',#345560); #13316=PLANE('',#345561); #13317=PLANE('',#345562); #13318=PLANE('',#345563); #13319=PLANE('',#345564); #13320=PLANE('',#345565); #13321=PLANE('',#345566); #13322=PLANE('',#345567); #13323=PLANE('',#345568); #13324=PLANE('',#345569); #13325=PLANE('',#345573); #13326=PLANE('',#345580); #13327=PLANE('',#345581); #13328=PLANE('',#345585); #13329=PLANE('',#345586); #13330=PLANE('',#345587); #13331=PLANE('',#345588); #13332=PLANE('',#345589); #13333=PLANE('',#345590); #13334=PLANE('',#345594); #13335=PLANE('',#345601); #13336=PLANE('',#345602); #13337=PLANE('',#345603); #13338=PLANE('',#345607); #13339=PLANE('',#345611); #13340=PLANE('',#345612); #13341=PLANE('',#345613); #13342=PLANE('',#345614); #13343=PLANE('',#345615); #13344=PLANE('',#345616); #13345=PLANE('',#345617); #13346=PLANE('',#345618); #13347=PLANE('',#345619); #13348=PLANE('',#345620); #13349=PLANE('',#345621); #13350=PLANE('',#345622); #13351=PLANE('',#345623); #13352=PLANE('',#345624); #13353=PLANE('',#345625); #13354=PLANE('',#345626); #13355=PLANE('',#345627); #13356=PLANE('',#345628); #13357=PLANE('',#345629); #13358=PLANE('',#345630); #13359=PLANE('',#345631); #13360=PLANE('',#345632); #13361=PLANE('',#345633); #13362=PLANE('',#345634); #13363=PLANE('',#345635); #13364=PLANE('',#345636); #13365=PLANE('',#345637); #13366=PLANE('',#345638); #13367=PLANE('',#345639); #13368=PLANE('',#345640); #13369=PLANE('',#345641); #13370=PLANE('',#345642); #13371=PLANE('',#345643); #13372=PLANE('',#345644); #13373=PLANE('',#345645); #13374=PLANE('',#345646); #13375=PLANE('',#345647); #13376=PLANE('',#345648); #13377=PLANE('',#345649); #13378=PLANE('',#345650); #13379=PLANE('',#345651); #13380=PLANE('',#345652); #13381=PLANE('',#345653); #13382=PLANE('',#345654); #13383=PLANE('',#345655); #13384=PLANE('',#345656); #13385=PLANE('',#345657); #13386=PLANE('',#345658); #13387=PLANE('',#345659); #13388=PLANE('',#345660); #13389=PLANE('',#345661); #13390=PLANE('',#345662); #13391=PLANE('',#345663); #13392=PLANE('',#345664); #13393=PLANE('',#345665); #13394=PLANE('',#345666); #13395=PLANE('',#345667); #13396=PLANE('',#345668); #13397=PLANE('',#345672); #13398=PLANE('',#345679); #13399=PLANE('',#345680); #13400=PLANE('',#345681); #13401=PLANE('',#345685); #13402=PLANE('',#345689); #13403=PLANE('',#345690); #13404=PLANE('',#345691); #13405=PLANE('',#345692); #13406=PLANE('',#345693); #13407=PLANE('',#345694); #13408=PLANE('',#345695); #13409=PLANE('',#345696); #13410=PLANE('',#345697); #13411=PLANE('',#345698); #13412=PLANE('',#345699); #13413=PLANE('',#345700); #13414=PLANE('',#345701); #13415=PLANE('',#345702); #13416=PLANE('',#345703); #13417=PLANE('',#345704); #13418=PLANE('',#345705); #13419=PLANE('',#345706); #13420=PLANE('',#345707); #13421=PLANE('',#345708); #13422=PLANE('',#345709); #13423=PLANE('',#345710); #13424=PLANE('',#345711); #13425=PLANE('',#345712); #13426=PLANE('',#345713); #13427=PLANE('',#345714); #13428=PLANE('',#345715); #13429=PLANE('',#345716); #13430=PLANE('',#345717); #13431=PLANE('',#345718); #13432=PLANE('',#345719); #13433=PLANE('',#345720); #13434=PLANE('',#345721); #13435=PLANE('',#345722); #13436=PLANE('',#345723); #13437=PLANE('',#345724); #13438=PLANE('',#345725); #13439=PLANE('',#345726); #13440=PLANE('',#345727); #13441=PLANE('',#345728); #13442=PLANE('',#345729); #13443=PLANE('',#345730); #13444=PLANE('',#345731); #13445=PLANE('',#345732); #13446=PLANE('',#345733); #13447=PLANE('',#345734); #13448=PLANE('',#345735); #13449=PLANE('',#345736); #13450=PLANE('',#345737); #13451=PLANE('',#345738); #13452=PLANE('',#345739); #13453=PLANE('',#345740); #13454=PLANE('',#345741); #13455=PLANE('',#345742); #13456=PLANE('',#345743); #13457=PLANE('',#345744); #13458=PLANE('',#345745); #13459=PLANE('',#345746); #13460=PLANE('',#345747); #13461=PLANE('',#345751); #13462=PLANE('',#345755); #13463=PLANE('',#345756); #13464=PLANE('',#345757); #13465=PLANE('',#345758); #13466=PLANE('',#345759); #13467=PLANE('',#345760); #13468=PLANE('',#345761); #13469=PLANE('',#345762); #13470=PLANE('',#345763); #13471=PLANE('',#345764); #13472=PLANE('',#345765); #13473=PLANE('',#345766); #13474=PLANE('',#345767); #13475=PLANE('',#345768); #13476=PLANE('',#345769); #13477=PLANE('',#345770); #13478=PLANE('',#345771); #13479=PLANE('',#345772); #13480=PLANE('',#345773); #13481=PLANE('',#345774); #13482=PLANE('',#345775); #13483=PLANE('',#345776); #13484=PLANE('',#345777); #13485=PLANE('',#345778); #13486=PLANE('',#345779); #13487=PLANE('',#345780); #13488=PLANE('',#345781); #13489=PLANE('',#345782); #13490=PLANE('',#345783); #13491=PLANE('',#345784); #13492=PLANE('',#345785); #13493=PLANE('',#345786); #13494=PLANE('',#345787); #13495=PLANE('',#345788); #13496=PLANE('',#345789); #13497=PLANE('',#345790); #13498=PLANE('',#345791); #13499=PLANE('',#345792); #13500=PLANE('',#345793); #13501=PLANE('',#345794); #13502=PLANE('',#345795); #13503=PLANE('',#345796); #13504=PLANE('',#345797); #13505=PLANE('',#345798); #13506=PLANE('',#345799); #13507=PLANE('',#345800); #13508=PLANE('',#345801); #13509=PLANE('',#345802); #13510=PLANE('',#345803); #13511=PLANE('',#345804); #13512=PLANE('',#345805); #13513=PLANE('',#345806); #13514=PLANE('',#345807); #13515=PLANE('',#345808); #13516=PLANE('',#345809); #13517=PLANE('',#345810); #13518=PLANE('',#345811); #13519=PLANE('',#345812); #13520=PLANE('',#345813); #13521=PLANE('',#345814); #13522=PLANE('',#345815); #13523=PLANE('',#345816); #13524=PLANE('',#345817); #13525=PLANE('',#345818); #13526=PLANE('',#345819); #13527=PLANE('',#345820); #13528=PLANE('',#345821); #13529=PLANE('',#345822); #13530=PLANE('',#345823); #13531=PLANE('',#345824); #13532=PLANE('',#345825); #13533=PLANE('',#345826); #13534=PLANE('',#345827); #13535=PLANE('',#345828); #13536=PLANE('',#345829); #13537=PLANE('',#345833); #13538=PLANE('',#345837); #13539=PLANE('',#345838); #13540=PLANE('',#345839); #13541=PLANE('',#345840); #13542=PLANE('',#345841); #13543=PLANE('',#345842); #13544=PLANE('',#345843); #13545=PLANE('',#345844); #13546=PLANE('',#345845); #13547=PLANE('',#345846); #13548=PLANE('',#345847); #13549=PLANE('',#345848); #13550=PLANE('',#345849); #13551=PLANE('',#345850); #13552=PLANE('',#345851); #13553=PLANE('',#345852); #13554=PLANE('',#345853); #13555=PLANE('',#345854); #13556=PLANE('',#345855); #13557=PLANE('',#345856); #13558=PLANE('',#345857); #13559=PLANE('',#345858); #13560=PLANE('',#345859); #13561=PLANE('',#345860); #13562=PLANE('',#345861); #13563=PLANE('',#345862); #13564=PLANE('',#345863); #13565=PLANE('',#345864); #13566=PLANE('',#345865); #13567=PLANE('',#345866); #13568=PLANE('',#345867); #13569=PLANE('',#345868); #13570=PLANE('',#345869); #13571=PLANE('',#345870); #13572=PLANE('',#345871); #13573=PLANE('',#345872); #13574=PLANE('',#345873); #13575=PLANE('',#345874); #13576=PLANE('',#345875); #13577=PLANE('',#345876); #13578=PLANE('',#345877); #13579=PLANE('',#345878); #13580=PLANE('',#345879); #13581=PLANE('',#345880); #13582=PLANE('',#345881); #13583=PLANE('',#345882); #13584=PLANE('',#345883); #13585=PLANE('',#345884); #13586=PLANE('',#345885); #13587=PLANE('',#345886); #13588=PLANE('',#345887); #13589=PLANE('',#345888); #13590=PLANE('',#345889); #13591=PLANE('',#345890); #13592=PLANE('',#345891); #13593=PLANE('',#345892); #13594=PLANE('',#345893); #13595=PLANE('',#345894); #13596=PLANE('',#345898); #13597=PLANE('',#345905); #13598=PLANE('',#345906); #13599=PLANE('',#345907); #13600=PLANE('',#345911); #13601=PLANE('',#345915); #13602=PLANE('',#345916); #13603=PLANE('',#345917); #13604=PLANE('',#345918); #13605=PLANE('',#345919); #13606=PLANE('',#345920); #13607=PLANE('',#345921); #13608=PLANE('',#345922); #13609=PLANE('',#345923); #13610=PLANE('',#345924); #13611=PLANE('',#345925); #13612=PLANE('',#345926); #13613=PLANE('',#345927); #13614=PLANE('',#345928); #13615=PLANE('',#345929); #13616=PLANE('',#345930); #13617=PLANE('',#345931); #13618=PLANE('',#345932); #13619=PLANE('',#345933); #13620=PLANE('',#345934); #13621=PLANE('',#345935); #13622=PLANE('',#345936); #13623=PLANE('',#345937); #13624=PLANE('',#345938); #13625=PLANE('',#345939); #13626=PLANE('',#345940); #13627=PLANE('',#345941); #13628=PLANE('',#345942); #13629=PLANE('',#345943); #13630=PLANE('',#345944); #13631=PLANE('',#345945); #13632=PLANE('',#345946); #13633=PLANE('',#345947); #13634=PLANE('',#345948); #13635=PLANE('',#345949); #13636=PLANE('',#345950); #13637=PLANE('',#345951); #13638=PLANE('',#345952); #13639=PLANE('',#345953); #13640=PLANE('',#345954); #13641=PLANE('',#345955); #13642=PLANE('',#345956); #13643=PLANE('',#345957); #13644=PLANE('',#345958); #13645=PLANE('',#345959); #13646=PLANE('',#345960); #13647=PLANE('',#345961); #13648=PLANE('',#345962); #13649=PLANE('',#345963); #13650=PLANE('',#345964); #13651=PLANE('',#345965); #13652=PLANE('',#345966); #13653=PLANE('',#345967); #13654=PLANE('',#345968); #13655=PLANE('',#345969); #13656=PLANE('',#345970); #13657=PLANE('',#345971); #13658=PLANE('',#345972); #13659=PLANE('',#345976); #13660=PLANE('',#345977); #13661=PLANE('',#345978); #13662=PLANE('',#345979); #13663=PLANE('',#345980); #13664=PLANE('',#345981); #13665=PLANE('',#345982); #13666=PLANE('',#345983); #13667=PLANE('',#345984); #13668=PLANE('',#345985); #13669=PLANE('',#345989); #13670=PLANE('',#345996); #13671=PLANE('',#345997); #13672=PLANE('',#345998); #13673=PLANE('',#346002); #13674=PLANE('',#346006); #13675=PLANE('',#346007); #13676=PLANE('',#346008); #13677=PLANE('',#346009); #13678=PLANE('',#346010); #13679=PLANE('',#346011); #13680=PLANE('',#346012); #13681=PLANE('',#346013); #13682=PLANE('',#346014); #13683=PLANE('',#346015); #13684=PLANE('',#346016); #13685=PLANE('',#346017); #13686=PLANE('',#346018); #13687=PLANE('',#346019); #13688=PLANE('',#346020); #13689=PLANE('',#346021); #13690=PLANE('',#346022); #13691=PLANE('',#346023); #13692=PLANE('',#346024); #13693=PLANE('',#346025); #13694=PLANE('',#346026); #13695=PLANE('',#346027); #13696=PLANE('',#346028); #13697=PLANE('',#346029); #13698=PLANE('',#346030); #13699=PLANE('',#346031); #13700=PLANE('',#346032); #13701=PLANE('',#346033); #13702=PLANE('',#346034); #13703=PLANE('',#346035); #13704=PLANE('',#346036); #13705=PLANE('',#346037); #13706=PLANE('',#346038); #13707=PLANE('',#346039); #13708=PLANE('',#346040); #13709=PLANE('',#346041); #13710=PLANE('',#346042); #13711=PLANE('',#346043); #13712=PLANE('',#346044); #13713=PLANE('',#346045); #13714=PLANE('',#346046); #13715=PLANE('',#346047); #13716=PLANE('',#346048); #13717=PLANE('',#346049); #13718=PLANE('',#346050); #13719=PLANE('',#346051); #13720=PLANE('',#346052); #13721=PLANE('',#346053); #13722=PLANE('',#346054); #13723=PLANE('',#346055); #13724=PLANE('',#346056); #13725=PLANE('',#346057); #13726=PLANE('',#346058); #13727=PLANE('',#346059); #13728=PLANE('',#346060); #13729=PLANE('',#346061); #13730=PLANE('',#346062); #13731=PLANE('',#346063); #13732=PLANE('',#346064); #13733=PLANE('',#346065); #13734=PLANE('',#346066); #13735=PLANE('',#346067); #13736=PLANE('',#346068); #13737=PLANE('',#346069); #13738=PLANE('',#346070); #13739=PLANE('',#346071); #13740=PLANE('',#346072); #13741=PLANE('',#346073); #13742=PLANE('',#346074); #13743=PLANE('',#346075); #13744=PLANE('',#346076); #13745=PLANE('',#346077); #13746=PLANE('',#346078); #13747=PLANE('',#346079); #13748=PLANE('',#346083); #13749=PLANE('',#346084); #13750=PLANE('',#346085); #13751=PLANE('',#346086); #13752=PLANE('',#346087); #13753=PLANE('',#346088); #13754=PLANE('',#346089); #13755=PLANE('',#346090); #13756=PLANE('',#346091); #13757=PLANE('',#346092); #13758=PLANE('',#346096); #13759=PLANE('',#346103); #13760=PLANE('',#346104); #13761=PLANE('',#346108); #13762=PLANE('',#346115); #13763=PLANE('',#346116); #13764=PLANE('',#346120); #13765=PLANE('',#346127); #13766=PLANE('',#346128); #13767=PLANE('',#346132); #13768=PLANE('',#346133); #13769=PLANE('',#346134); #13770=PLANE('',#346135); #13771=PLANE('',#346136); #13772=PLANE('',#346137); #13773=PLANE('',#346141); #13774=PLANE('',#346142); #13775=PLANE('',#346143); #13776=PLANE('',#346144); #13777=PLANE('',#346145); #13778=PLANE('',#346146); #13779=PLANE('',#346147); #13780=PLANE('',#346148); #13781=PLANE('',#346149); #13782=PLANE('',#346150); #13783=PLANE('',#346154); #13784=PLANE('',#346161); #13785=PLANE('',#346162); #13786=PLANE('',#346166); #13787=PLANE('',#346173); #13788=PLANE('',#346174); #13789=PLANE('',#346175); #13790=PLANE('',#346176); #13791=PLANE('',#346177); #13792=PLANE('',#346178); #13793=PLANE('',#346179); #13794=PLANE('',#346180); #13795=PLANE('',#346181); #13796=PLANE('',#346182); #13797=PLANE('',#346183); #13798=PLANE('',#346184); #13799=PLANE('',#346185); #13800=PLANE('',#346186); #13801=PLANE('',#346187); #13802=PLANE('',#346188); #13803=PLANE('',#346189); #13804=PLANE('',#346190); #13805=PLANE('',#346191); #13806=PLANE('',#346192); #13807=PLANE('',#346193); #13808=PLANE('',#346194); #13809=PLANE('',#346195); #13810=PLANE('',#346196); #13811=PLANE('',#346197); #13812=PLANE('',#346198); #13813=PLANE('',#346199); #13814=PLANE('',#346200); #13815=PLANE('',#346201); #13816=PLANE('',#346202); #13817=PLANE('',#346203); #13818=PLANE('',#346204); #13819=PLANE('',#346205); #13820=PLANE('',#346206); #13821=PLANE('',#346207); #13822=PLANE('',#346208); #13823=PLANE('',#346209); #13824=PLANE('',#346210); #13825=PLANE('',#346211); #13826=PLANE('',#346212); #13827=PLANE('',#346213); #13828=PLANE('',#346214); #13829=PLANE('',#346215); #13830=PLANE('',#346216); #13831=PLANE('',#346217); #13832=PLANE('',#346218); #13833=PLANE('',#346219); #13834=PLANE('',#346220); #13835=PLANE('',#346221); #13836=PLANE('',#346222); #13837=PLANE('',#346223); #13838=PLANE('',#346224); #13839=PLANE('',#346225); #13840=PLANE('',#346226); #13841=PLANE('',#346227); #13842=PLANE('',#346228); #13843=PLANE('',#346229); #13844=PLANE('',#346230); #13845=PLANE('',#346231); #13846=PLANE('',#346232); #13847=PLANE('',#346233); #13848=PLANE('',#346234); #13849=PLANE('',#346235); #13850=PLANE('',#346236); #13851=PLANE('',#346237); #13852=PLANE('',#346238); #13853=PLANE('',#346239); #13854=PLANE('',#346240); #13855=PLANE('',#346241); #13856=PLANE('',#346242); #13857=PLANE('',#346243); #13858=PLANE('',#346244); #13859=PLANE('',#346245); #13860=PLANE('',#346246); #13861=PLANE('',#346247); #13862=PLANE('',#346248); #13863=PLANE('',#346249); #13864=PLANE('',#346250); #13865=PLANE('',#346251); #13866=PLANE('',#346252); #13867=PLANE('',#346253); #13868=PLANE('',#346254); #13869=PLANE('',#346255); #13870=PLANE('',#346256); #13871=PLANE('',#346257); #13872=PLANE('',#346258); #13873=PLANE('',#346277); #13874=PLANE('',#346278); #13875=PLANE('',#346279); #13876=PLANE('',#346280); #13877=PLANE('',#346281); #13878=PLANE('',#346282); #13879=PLANE('',#346283); #13880=PLANE('',#346284); #13881=PLANE('',#346285); #13882=PLANE('',#346286); #13883=PLANE('',#346287); #13884=PLANE('',#346288); #13885=PLANE('',#346289); #13886=PLANE('',#346290); #13887=PLANE('',#346291); #13888=PLANE('',#346292); #13889=PLANE('',#346293); #13890=PLANE('',#346294); #13891=PLANE('',#346295); #13892=PLANE('',#346296); #13893=PLANE('',#346297); #13894=PLANE('',#346298); #13895=PLANE('',#346299); #13896=PLANE('',#346300); #13897=PLANE('',#346301); #13898=PLANE('',#346302); #13899=PLANE('',#346303); #13900=PLANE('',#346304); #13901=PLANE('',#346305); #13902=PLANE('',#346306); #13903=PLANE('',#346307); #13904=PLANE('',#346308); #13905=PLANE('',#346309); #13906=PLANE('',#346310); #13907=PLANE('',#346311); #13908=PLANE('',#346312); #13909=PLANE('',#346313); #13910=PLANE('',#346314); #13911=PLANE('',#346315); #13912=PLANE('',#346316); #13913=PLANE('',#346317); #13914=PLANE('',#346318); #13915=PLANE('',#346319); #13916=PLANE('',#346320); #13917=PLANE('',#346321); #13918=PLANE('',#346322); #13919=PLANE('',#346323); #13920=PLANE('',#346324); #13921=PLANE('',#346325); #13922=PLANE('',#346326); #13923=PLANE('',#346327); #13924=PLANE('',#346328); #13925=PLANE('',#346329); #13926=PLANE('',#346330); #13927=PLANE('',#346331); #13928=PLANE('',#346332); #13929=PLANE('',#346333); #13930=PLANE('',#346334); #13931=PLANE('',#346335); #13932=PLANE('',#346336); #13933=PLANE('',#346337); #13934=PLANE('',#346338); #13935=PLANE('',#346339); #13936=PLANE('',#346340); #13937=PLANE('',#346341); #13938=PLANE('',#346342); #13939=PLANE('',#346343); #13940=PLANE('',#346344); #13941=PLANE('',#346345); #13942=PLANE('',#346346); #13943=PLANE('',#346347); #13944=PLANE('',#346348); #13945=PLANE('',#346349); #13946=PLANE('',#346350); #13947=PLANE('',#346351); #13948=PLANE('',#346352); #13949=PLANE('',#346353); #13950=PLANE('',#346354); #13951=PLANE('',#346355); #13952=PLANE('',#346356); #13953=PLANE('',#346357); #13954=PLANE('',#346358); #13955=PLANE('',#346359); #13956=PLANE('',#346360); #13957=PLANE('',#346361); #13958=PLANE('',#346362); #13959=PLANE('',#346363); #13960=PLANE('',#346364); #13961=PLANE('',#346365); #13962=PLANE('',#346366); #13963=PLANE('',#346367); #13964=PLANE('',#346368); #13965=PLANE('',#346369); #13966=PLANE('',#346370); #13967=PLANE('',#346371); #13968=PLANE('',#346372); #13969=PLANE('',#346373); #13970=PLANE('',#346374); #13971=PLANE('',#346375); #13972=PLANE('',#346376); #13973=PLANE('',#346377); #13974=PLANE('',#346378); #13975=PLANE('',#346379); #13976=PLANE('',#346380); #13977=PLANE('',#346381); #13978=PLANE('',#346382); #13979=PLANE('',#346383); #13980=PLANE('',#346384); #13981=PLANE('',#346385); #13982=PLANE('',#346386); #13983=PLANE('',#346387); #13984=PLANE('',#346388); #13985=PLANE('',#346389); #13986=PLANE('',#346390); #13987=PLANE('',#346391); #13988=PLANE('',#346392); #13989=PLANE('',#346393); #13990=PLANE('',#346394); #13991=PLANE('',#346395); #13992=PLANE('',#346396); #13993=PLANE('',#346397); #13994=PLANE('',#346398); #13995=PLANE('',#346399); #13996=PLANE('',#346400); #13997=PLANE('',#346401); #13998=PLANE('',#346402); #13999=PLANE('',#346403); #14000=PLANE('',#346404); #14001=PLANE('',#346405); #14002=PLANE('',#346406); #14003=PLANE('',#346407); #14004=PLANE('',#346408); #14005=PLANE('',#346409); #14006=PLANE('',#346410); #14007=PLANE('',#346411); #14008=PLANE('',#346412); #14009=PLANE('',#346413); #14010=PLANE('',#346414); #14011=PLANE('',#346415); #14012=PLANE('',#346416); #14013=PLANE('',#346417); #14014=PLANE('',#346418); #14015=PLANE('',#346419); #14016=PLANE('',#346420); #14017=PLANE('',#346439); #14018=PLANE('',#346440); #14019=PLANE('',#346441); #14020=PLANE('',#346442); #14021=PLANE('',#346443); #14022=PLANE('',#346444); #14023=PLANE('',#346445); #14024=PLANE('',#346446); #14025=PLANE('',#346447); #14026=PLANE('',#346448); #14027=PLANE('',#346449); #14028=PLANE('',#346450); #14029=PLANE('',#346451); #14030=PLANE('',#346452); #14031=PLANE('',#346453); #14032=PLANE('',#346454); #14033=PLANE('',#346455); #14034=PLANE('',#346456); #14035=PLANE('',#346475); #14036=PLANE('',#346476); #14037=PLANE('',#346477); #14038=PLANE('',#346478); #14039=PLANE('',#346479); #14040=PLANE('',#346480); #14041=PLANE('',#346481); #14042=PLANE('',#346482); #14043=PLANE('',#346483); #14044=PLANE('',#346484); #14045=PLANE('',#346485); #14046=PLANE('',#346486); #14047=PLANE('',#346487); #14048=PLANE('',#346488); #14049=PLANE('',#346489); #14050=PLANE('',#346490); #14051=PLANE('',#346491); #14052=PLANE('',#346492); #14053=PLANE('',#346493); #14054=PLANE('',#346494); #14055=PLANE('',#346495); #14056=PLANE('',#346496); #14057=PLANE('',#346497); #14058=PLANE('',#346498); #14059=PLANE('',#346499); #14060=PLANE('',#346500); #14061=PLANE('',#346501); #14062=PLANE('',#346502); #14063=PLANE('',#346503); #14064=PLANE('',#346504); #14065=PLANE('',#346505); #14066=PLANE('',#346506); #14067=PLANE('',#346507); #14068=PLANE('',#346508); #14069=PLANE('',#346509); #14070=PLANE('',#346510); #14071=PLANE('',#346511); #14072=PLANE('',#346512); #14073=PLANE('',#346513); #14074=PLANE('',#346514); #14075=PLANE('',#346515); #14076=PLANE('',#346516); #14077=PLANE('',#346517); #14078=PLANE('',#346518); #14079=PLANE('',#346519); #14080=PLANE('',#346520); #14081=PLANE('',#346521); #14082=PLANE('',#346522); #14083=PLANE('',#346523); #14084=PLANE('',#346524); #14085=PLANE('',#346525); #14086=PLANE('',#346526); #14087=PLANE('',#346527); #14088=PLANE('',#346528); #14089=PLANE('',#346529); #14090=PLANE('',#346530); #14091=PLANE('',#346531); #14092=PLANE('',#346532); #14093=PLANE('',#346533); #14094=PLANE('',#346534); #14095=PLANE('',#346535); #14096=PLANE('',#346536); #14097=PLANE('',#346537); #14098=PLANE('',#346538); #14099=PLANE('',#346539); #14100=PLANE('',#346540); #14101=PLANE('',#346541); #14102=PLANE('',#346542); #14103=PLANE('',#346543); #14104=PLANE('',#346544); #14105=PLANE('',#346545); #14106=PLANE('',#346546); #14107=PLANE('',#346547); #14108=PLANE('',#346548); #14109=PLANE('',#346549); #14110=PLANE('',#346550); #14111=PLANE('',#346551); #14112=PLANE('',#346552); #14113=PLANE('',#346553); #14114=PLANE('',#346554); #14115=PLANE('',#346555); #14116=PLANE('',#346556); #14117=PLANE('',#346557); #14118=PLANE('',#346558); #14119=PLANE('',#346559); #14120=PLANE('',#346560); #14121=PLANE('',#346561); #14122=PLANE('',#346562); #14123=PLANE('',#346563); #14124=PLANE('',#346564); #14125=PLANE('',#346712); #14126=PLANE('',#346713); #14127=PLANE('',#346714); #14128=PLANE('',#346715); #14129=PLANE('',#346716); #14130=PLANE('',#346717); #14131=PLANE('',#346718); #14132=PLANE('',#346719); #14133=PLANE('',#346720); #14134=PLANE('',#346721); #14135=PLANE('',#346722); #14136=PLANE('',#346723); #14137=PLANE('',#346724); #14138=PLANE('',#346725); #14139=PLANE('',#346726); #14140=PLANE('',#346727); #14141=PLANE('',#346728); #14142=PLANE('',#346729); #14143=PLANE('',#346730); #14144=PLANE('',#346731); #14145=PLANE('',#346732); #14146=PLANE('',#346733); #14147=PLANE('',#346734); #14148=PLANE('',#346735); #14149=PLANE('',#346736); #14150=PLANE('',#346737); #14151=PLANE('',#346738); #14152=PLANE('',#346739); #14153=PLANE('',#346740); #14154=PLANE('',#346741); #14155=PLANE('',#346742); #14156=PLANE('',#346743); #14157=PLANE('',#346744); #14158=PLANE('',#346745); #14159=PLANE('',#346746); #14160=PLANE('',#346747); #14161=PLANE('',#346748); #14162=PLANE('',#346749); #14163=PLANE('',#346750); #14164=PLANE('',#346751); #14165=PLANE('',#346752); #14166=PLANE('',#346753); #14167=PLANE('',#346754); #14168=PLANE('',#346755); #14169=PLANE('',#346756); #14170=PLANE('',#346757); #14171=PLANE('',#346758); #14172=PLANE('',#346759); #14173=PLANE('',#346760); #14174=PLANE('',#346761); #14175=PLANE('',#346762); #14176=PLANE('',#346763); #14177=PLANE('',#346764); #14178=PLANE('',#346765); #14179=PLANE('',#346766); #14180=PLANE('',#346767); #14181=PLANE('',#346768); #14182=PLANE('',#346769); #14183=PLANE('',#346770); #14184=PLANE('',#346771); #14185=PLANE('',#346772); #14186=PLANE('',#346773); #14187=PLANE('',#346774); #14188=PLANE('',#346775); #14189=PLANE('',#346776); #14190=PLANE('',#346777); #14191=PLANE('',#346778); #14192=PLANE('',#346779); #14193=PLANE('',#346780); #14194=PLANE('',#346781); #14195=PLANE('',#346782); #14196=PLANE('',#346783); #14197=PLANE('',#346784); #14198=PLANE('',#346785); #14199=PLANE('',#346786); #14200=PLANE('',#346787); #14201=PLANE('',#346788); #14202=PLANE('',#346789); #14203=PLANE('',#346790); #14204=PLANE('',#346791); #14205=PLANE('',#346792); #14206=PLANE('',#346793); #14207=PLANE('',#346794); #14208=PLANE('',#346795); #14209=PLANE('',#346796); #14210=PLANE('',#346797); #14211=PLANE('',#346798); #14212=PLANE('',#346799); #14213=PLANE('',#346800); #14214=PLANE('',#346801); #14215=PLANE('',#346805); #14216=PLANE('',#346806); #14217=PLANE('',#346807); #14218=PLANE('',#346808); #14219=PLANE('',#346809); #14220=PLANE('',#346810); #14221=PLANE('',#346811); #14222=PLANE('',#346812); #14223=PLANE('',#346813); #14224=PLANE('',#346814); #14225=PLANE('',#346815); #14226=PLANE('',#346816); #14227=PLANE('',#346817); #14228=PLANE('',#346818); #14229=PLANE('',#346819); #14230=PLANE('',#346820); #14231=PLANE('',#346821); #14232=PLANE('',#346822); #14233=PLANE('',#346826); #14234=PLANE('',#346827); #14235=PLANE('',#346828); #14236=PLANE('',#346829); #14237=PLANE('',#346830); #14238=PLANE('',#346831); #14239=PLANE('',#346832); #14240=PLANE('',#346833); #14241=PLANE('',#346834); #14242=PLANE('',#346835); #14243=PLANE('',#346836); #14244=PLANE('',#346837); #14245=PLANE('',#346838); #14246=PLANE('',#346839); #14247=PLANE('',#346840); #14248=PLANE('',#346841); #14249=PLANE('',#346842); #14250=PLANE('',#346843); #14251=PLANE('',#346844); #14252=PLANE('',#346845); #14253=PLANE('',#346846); #14254=PLANE('',#346847); #14255=PLANE('',#346848); #14256=PLANE('',#346849); #14257=PLANE('',#346850); #14258=PLANE('',#346851); #14259=PLANE('',#346852); #14260=PLANE('',#346853); #14261=PLANE('',#346854); #14262=PLANE('',#346855); #14263=PLANE('',#346856); #14264=PLANE('',#346857); #14265=PLANE('',#346858); #14266=PLANE('',#346859); #14267=PLANE('',#346860); #14268=PLANE('',#346861); #14269=PLANE('',#346862); #14270=PLANE('',#346863); #14271=PLANE('',#346864); #14272=PLANE('',#346865); #14273=PLANE('',#346866); #14274=PLANE('',#346867); #14275=PLANE('',#346868); #14276=PLANE('',#346869); #14277=PLANE('',#346870); #14278=PLANE('',#346871); #14279=PLANE('',#346872); #14280=PLANE('',#346873); #14281=PLANE('',#346874); #14282=PLANE('',#346875); #14283=PLANE('',#346876); #14284=PLANE('',#346877); #14285=PLANE('',#346878); #14286=PLANE('',#346879); #14287=PLANE('',#346880); #14288=PLANE('',#346881); #14289=PLANE('',#346882); #14290=PLANE('',#346883); #14291=PLANE('',#346884); #14292=PLANE('',#346885); #14293=PLANE('',#346886); #14294=PLANE('',#346887); #14295=PLANE('',#346888); #14296=PLANE('',#346889); #14297=PLANE('',#346890); #14298=PLANE('',#346891); #14299=PLANE('',#346892); #14300=PLANE('',#346893); #14301=PLANE('',#346894); #14302=PLANE('',#346895); #14303=PLANE('',#346896); #14304=PLANE('',#346897); #14305=PLANE('',#346925); #14306=PLANE('',#346926); #14307=PLANE('',#346927); #14308=PLANE('',#346928); #14309=PLANE('',#346929); #14310=PLANE('',#346930); #14311=PLANE('',#346931); #14312=PLANE('',#346932); #14313=PLANE('',#346933); #14314=PLANE('',#346934); #14315=PLANE('',#346935); #14316=PLANE('',#346936); #14317=PLANE('',#346937); #14318=PLANE('',#346938); #14319=PLANE('',#346939); #14320=PLANE('',#346940); #14321=PLANE('',#346941); #14322=PLANE('',#346942); #14323=PLANE('',#346946); #14324=PLANE('',#346947); #14325=PLANE('',#346948); #14326=PLANE('',#346949); #14327=PLANE('',#346950); #14328=PLANE('',#346951); #14329=PLANE('',#346952); #14330=PLANE('',#346953); #14331=PLANE('',#346954); #14332=PLANE('',#346955); #14333=PLANE('',#346956); #14334=PLANE('',#346957); #14335=PLANE('',#346958); #14336=PLANE('',#346959); #14337=PLANE('',#346960); #14338=PLANE('',#346961); #14339=PLANE('',#346962); #14340=PLANE('',#346963); #14341=PLANE('',#346964); #14342=PLANE('',#346965); #14343=PLANE('',#346966); #14344=PLANE('',#346967); #14345=PLANE('',#346968); #14346=PLANE('',#346969); #14347=PLANE('',#346973); #14348=PLANE('',#346974); #14349=PLANE('',#346975); #14350=PLANE('',#346976); #14351=PLANE('',#346977); #14352=PLANE('',#346978); #14353=PLANE('',#346982); #14354=PLANE('',#346983); #14355=PLANE('',#346984); #14356=PLANE('',#346985); #14357=PLANE('',#346986); #14358=PLANE('',#346987); #14359=PLANE('',#346988); #14360=PLANE('',#346989); #14361=PLANE('',#346990); #14362=PLANE('',#346991); #14363=PLANE('',#346992); #14364=PLANE('',#346993); #14365=PLANE('',#346994); #14366=PLANE('',#346995); #14367=PLANE('',#346996); #14368=PLANE('',#346997); #14369=PLANE('',#346998); #14370=PLANE('',#346999); #14371=PLANE('',#347000); #14372=PLANE('',#347001); #14373=PLANE('',#347002); #14374=PLANE('',#347003); #14375=PLANE('',#347004); #14376=PLANE('',#347005); #14377=PLANE('',#347024); #14378=PLANE('',#347025); #14379=PLANE('',#347026); #14380=PLANE('',#347027); #14381=PLANE('',#347028); #14382=PLANE('',#347029); #14383=PLANE('',#347030); #14384=PLANE('',#347031); #14385=PLANE('',#347032); #14386=PLANE('',#347033); #14387=PLANE('',#347034); #14388=PLANE('',#347035); #14389=PLANE('',#347036); #14390=PLANE('',#347037); #14391=PLANE('',#347038); #14392=PLANE('',#347039); #14393=PLANE('',#347040); #14394=PLANE('',#347041); #14395=PLANE('',#347042); #14396=PLANE('',#347043); #14397=PLANE('',#347044); #14398=PLANE('',#347045); #14399=PLANE('',#347046); #14400=PLANE('',#347047); #14401=PLANE('',#347048); #14402=PLANE('',#347049); #14403=PLANE('',#347050); #14404=PLANE('',#347051); #14405=PLANE('',#347052); #14406=PLANE('',#347053); #14407=PLANE('',#347054); #14408=PLANE('',#347055); #14409=PLANE('',#347056); #14410=PLANE('',#347057); #14411=PLANE('',#347058); #14412=PLANE('',#347059); #14413=PLANE('',#347060); #14414=PLANE('',#347061); #14415=PLANE('',#347062); #14416=PLANE('',#347063); #14417=PLANE('',#347064); #14418=PLANE('',#347065); #14419=PLANE('',#347066); #14420=PLANE('',#347067); #14421=PLANE('',#347068); #14422=PLANE('',#347069); #14423=PLANE('',#347070); #14424=PLANE('',#347071); #14425=PLANE('',#347072); #14426=PLANE('',#347073); #14427=PLANE('',#347074); #14428=PLANE('',#347075); #14429=PLANE('',#347076); #14430=PLANE('',#347077); #14431=PLANE('',#347078); #14432=PLANE('',#347079); #14433=PLANE('',#347080); #14434=PLANE('',#347081); #14435=PLANE('',#347082); #14436=PLANE('',#347083); #14437=PLANE('',#347084); #14438=PLANE('',#347085); #14439=PLANE('',#347086); #14440=PLANE('',#347087); #14441=PLANE('',#347088); #14442=PLANE('',#347089); #14443=PLANE('',#347090); #14444=PLANE('',#347091); #14445=PLANE('',#347092); #14446=PLANE('',#347093); #14447=PLANE('',#347094); #14448=PLANE('',#347095); #14449=PLANE('',#347096); #14450=PLANE('',#347097); #14451=PLANE('',#347098); #14452=PLANE('',#347099); #14453=PLANE('',#347100); #14454=PLANE('',#347101); #14455=PLANE('',#347102); #14456=PLANE('',#347103); #14457=PLANE('',#347104); #14458=PLANE('',#347105); #14459=PLANE('',#347106); #14460=PLANE('',#347107); #14461=PLANE('',#347108); #14462=PLANE('',#347109); #14463=PLANE('',#347110); #14464=PLANE('',#347111); #14465=PLANE('',#347112); #14466=PLANE('',#347113); #14467=PLANE('',#347114); #14468=PLANE('',#347115); #14469=PLANE('',#347116); #14470=PLANE('',#347117); #14471=PLANE('',#347118); #14472=PLANE('',#347119); #14473=PLANE('',#347120); #14474=PLANE('',#347121); #14475=PLANE('',#347122); #14476=PLANE('',#347123); #14477=PLANE('',#347124); #14478=PLANE('',#347125); #14479=PLANE('',#347126); #14480=PLANE('',#347127); #14481=PLANE('',#347128); #14482=PLANE('',#347129); #14483=PLANE('',#347130); #14484=PLANE('',#347131); #14485=PLANE('',#347132); #14486=PLANE('',#347133); #14487=PLANE('',#347134); #14488=PLANE('',#347135); #14489=PLANE('',#347136); #14490=PLANE('',#347137); #14491=PLANE('',#347138); #14492=PLANE('',#347139); #14493=PLANE('',#347140); #14494=PLANE('',#347141); #14495=PLANE('',#347142); #14496=PLANE('',#347143); #14497=PLANE('',#347144); #14498=PLANE('',#347145); #14499=PLANE('',#347146); #14500=PLANE('',#347147); #14501=PLANE('',#347148); #14502=PLANE('',#347149); #14503=PLANE('',#347150); #14504=PLANE('',#347151); #14505=PLANE('',#347152); #14506=PLANE('',#347153); #14507=PLANE('',#347154); #14508=PLANE('',#347155); #14509=PLANE('',#347156); #14510=PLANE('',#347157); #14511=PLANE('',#347158); #14512=PLANE('',#347159); #14513=PLANE('',#347160); #14514=PLANE('',#347161); #14515=PLANE('',#347162); #14516=PLANE('',#347163); #14517=PLANE('',#347164); #14518=PLANE('',#347165); #14519=PLANE('',#347166); #14520=PLANE('',#347167); #14521=PLANE('',#347168); #14522=PLANE('',#347169); #14523=PLANE('',#347170); #14524=PLANE('',#347171); #14525=PLANE('',#347172); #14526=PLANE('',#347173); #14527=PLANE('',#347174); #14528=PLANE('',#347175); #14529=PLANE('',#347176); #14530=PLANE('',#347177); #14531=PLANE('',#347178); #14532=PLANE('',#347179); #14533=PLANE('',#347180); #14534=PLANE('',#347181); #14535=PLANE('',#347182); #14536=PLANE('',#347183); #14537=PLANE('',#347202); #14538=PLANE('',#347203); #14539=PLANE('',#347204); #14540=PLANE('',#347205); #14541=PLANE('',#347206); #14542=PLANE('',#347207); #14543=PLANE('',#347208); #14544=PLANE('',#347209); #14545=PLANE('',#347210); #14546=PLANE('',#347211); #14547=PLANE('',#347212); #14548=PLANE('',#347213); #14549=PLANE('',#347214); #14550=PLANE('',#347215); #14551=PLANE('',#347216); #14552=PLANE('',#347217); #14553=PLANE('',#347218); #14554=PLANE('',#347219); #14555=PLANE('',#347220); #14556=PLANE('',#347221); #14557=PLANE('',#347222); #14558=PLANE('',#347223); #14559=PLANE('',#347224); #14560=PLANE('',#347225); #14561=PLANE('',#347226); #14562=PLANE('',#347227); #14563=PLANE('',#347228); #14564=PLANE('',#347229); #14565=PLANE('',#347230); #14566=PLANE('',#347231); #14567=PLANE('',#347232); #14568=PLANE('',#347233); #14569=PLANE('',#347234); #14570=PLANE('',#347235); #14571=PLANE('',#347236); #14572=PLANE('',#347237); #14573=PLANE('',#347238); #14574=PLANE('',#347239); #14575=PLANE('',#347240); #14576=PLANE('',#347241); #14577=PLANE('',#347242); #14578=PLANE('',#347243); #14579=PLANE('',#347244); #14580=PLANE('',#347245); #14581=PLANE('',#347246); #14582=PLANE('',#347247); #14583=PLANE('',#347248); #14584=PLANE('',#347249); #14585=PLANE('',#347250); #14586=PLANE('',#347251); #14587=PLANE('',#347252); #14588=PLANE('',#347253); #14589=PLANE('',#347254); #14590=PLANE('',#347255); #14591=PLANE('',#347260); #14592=PLANE('',#347267); #14593=PLANE('',#347268); #14594=PLANE('',#347269); #14595=PLANE('',#347270); #14596=PLANE('',#347271); #14597=PLANE('',#347272); #14598=PLANE('',#347273); #14599=PLANE('',#347274); #14600=PLANE('',#347275); #14601=PLANE('',#347276); #14602=PLANE('',#347277); #14603=PLANE('',#347278); #14604=PLANE('',#347279); #14605=PLANE('',#347280); #14606=PLANE('',#347287); #14607=PLANE('',#347288); #14608=PLANE('',#347289); #14609=PLANE('',#347290); #14610=PLANE('',#347291); #14611=PLANE('',#347292); #14612=PLANE('',#347293); #14613=PLANE('',#347294); #14614=PLANE('',#347295); #14615=PLANE('',#347296); #14616=PLANE('',#347297); #14617=PLANE('',#347298); #14618=PLANE('',#347305); #14619=PLANE('',#347306); #14620=PLANE('',#347307); #14621=PLANE('',#347308); #14622=PLANE('',#347309); #14623=PLANE('',#347310); #14624=PLANE('',#347311); #14625=PLANE('',#347312); #14626=PLANE('',#347313); #14627=PLANE('',#347314); #14628=PLANE('',#347315); #14629=PLANE('',#347316); #14630=PLANE('',#347323); #14631=PLANE('',#347324); #14632=PLANE('',#347325); #14633=PLANE('',#347326); #14634=PLANE('',#347327); #14635=PLANE('',#347328); #14636=PLANE('',#347329); #14637=PLANE('',#347330); #14638=PLANE('',#347331); #14639=PLANE('',#347332); #14640=PLANE('',#347333); #14641=PLANE('',#347334); #14642=PLANE('',#347341); #14643=PLANE('',#347342); #14644=PLANE('',#347343); #14645=PLANE('',#347344); #14646=PLANE('',#347345); #14647=PLANE('',#347346); #14648=PLANE('',#347347); #14649=PLANE('',#347348); #14650=PLANE('',#347349); #14651=PLANE('',#347350); #14652=PLANE('',#347351); #14653=PLANE('',#347352); #14654=PLANE('',#347353); #14655=PLANE('',#347354); #14656=PLANE('',#347355); #14657=PLANE('',#347356); #14658=PLANE('',#347357); #14659=PLANE('',#347358); #14660=PLANE('',#347362); #14661=PLANE('',#347363); #14662=PLANE('',#347364); #14663=PLANE('',#347365); #14664=PLANE('',#347366); #14665=PLANE('',#347370); #14666=PLANE('',#347371); #14667=PLANE('',#347375); #14668=PLANE('',#347376); #14669=PLANE('',#347377); #14670=PLANE('',#347378); #14671=PLANE('',#347379); #14672=PLANE('',#347380); #14673=PLANE('',#347381); #14674=PLANE('',#347385); #14675=PLANE('',#347389); #14676=PLANE('',#347390); #14677=PLANE('',#347391); #14678=PLANE('',#347392); #14679=PLANE('',#347393); #14680=PLANE('',#347394); #14681=PLANE('',#347395); #14682=PLANE('',#347396); #14683=PLANE('',#347400); #14684=PLANE('',#347404); #14685=PLANE('',#347405); #14686=PLANE('',#347406); #14687=PLANE('',#347410); #14688=PLANE('',#347414); #14689=PLANE('',#347415); #14690=PLANE('',#347416); #14691=PLANE('',#347417); #14692=PLANE('',#347418); #14693=PLANE('',#347422); #14694=PLANE('',#347423); #14695=PLANE('',#347424); #14696=PLANE('',#347425); #14697=PLANE('',#347426); #14698=PLANE('',#347427); #14699=PLANE('',#347431); #14700=PLANE('',#347432); #14701=PLANE('',#347433); #14702=PLANE('',#347434); #14703=PLANE('',#347441); #14704=PLANE('',#347448); #14705=PLANE('',#347455); #14706=PLANE('',#347459); #14707=PLANE('',#347460); #14708=PLANE('',#347464); #14709=PLANE('',#347468); #14710=PLANE('',#347469); #14711=PLANE('',#347470); #14712=PLANE('',#347471); #14713=PLANE('',#347472); #14714=PLANE('',#347473); #14715=PLANE('',#347474); #14716=PLANE('',#347478); #14717=PLANE('',#347479); #14718=PLANE('',#347483); #14719=PLANE('',#347484); #14720=PLANE('',#347485); #14721=PLANE('',#347486); #14722=PLANE('',#347487); #14723=PLANE('',#347491); #14724=PLANE('',#347501); #14725=PLANE('',#347502); #14726=PLANE('',#347503); #14727=PLANE('',#347504); #14728=PLANE('',#347505); #14729=PLANE('',#347512); #14730=PLANE('',#347519); #14731=PLANE('',#347520); #14732=PLANE('',#347521); #14733=PLANE('',#347522); #14734=PLANE('',#347523); #14735=PLANE('',#347527); #14736=PLANE('',#347528); #14737=PLANE('',#347532); #14738=PLANE('',#347536); #14739=PLANE('',#347537); #14740=PLANE('',#347538); #14741=PLANE('',#347542); #14742=PLANE('',#347546); #14743=PLANE('',#347553); #14744=PLANE('',#347554); #14745=PLANE('',#347555); #14746=PLANE('',#347559); #14747=PLANE('',#347563); #14748=PLANE('',#347564); #14749=PLANE('',#347565); #14750=PLANE('',#347569); #14751=PLANE('',#347570); #14752=PLANE('',#347574); #14753=PLANE('',#347578); #14754=PLANE('',#347582); #14755=PLANE('',#347586); #14756=PLANE('',#347590); #14757=PLANE('',#347591); #14758=PLANE('',#347598); #14759=PLANE('',#347605); #14760=PLANE('',#347606); #14761=PLANE('',#347613); #14762=PLANE('',#347617); #14763=PLANE('',#347621); #14764=PLANE('',#347622); #14765=PLANE('',#347626); #14766=PLANE('',#347627); #14767=PLANE('',#347628); #14768=PLANE('',#347629); #14769=PLANE('',#347630); #14770=PLANE('',#347634); #14771=PLANE('',#347644); #14772=PLANE('',#347648); #14773=PLANE('',#347649); #14774=PLANE('',#347650); #14775=PLANE('',#347651); #14776=PLANE('',#347652); #14777=PLANE('',#347653); #14778=PLANE('',#347654); #14779=PLANE('',#347655); #14780=PLANE('',#347659); #14781=PLANE('',#347660); #14782=PLANE('',#347661); #14783=PLANE('',#347662); #14784=PLANE('',#347663); #14785=PLANE('',#347667); #14786=PLANE('',#347674); #14787=PLANE('',#347678); #14788=PLANE('',#347679); #14789=PLANE('',#347680); #14790=PLANE('',#347681); #14791=PLANE('',#347682); #14792=PLANE('',#347683); #14793=PLANE('',#347684); #14794=PLANE('',#347685); #14795=PLANE('',#347689); #14796=PLANE('',#347690); #14797=PLANE('',#347691); #14798=PLANE('',#347692); #14799=PLANE('',#347693); #14800=PLANE('',#347694); #14801=PLANE('',#347695); #14802=PLANE('',#347696); #14803=PLANE('',#347700); #14804=PLANE('',#347707); #14805=PLANE('',#347708); #14806=PLANE('',#347715); #14807=PLANE('',#347716); #14808=PLANE('',#347720); #14809=PLANE('',#347721); #14810=PLANE('',#347728); #14811=PLANE('',#347732); #14812=PLANE('',#347733); #14813=PLANE('',#347734); #14814=PLANE('',#347738); #14815=PLANE('',#347739); #14816=PLANE('',#347740); #14817=PLANE('',#347741); #14818=PLANE('',#347742); #14819=PLANE('',#347746); #14820=PLANE('',#347747); #14821=PLANE('',#347751); #14822=PLANE('',#347755); #14823=PLANE('',#347756); #14824=PLANE('',#347757); #14825=PLANE('',#347761); #14826=PLANE('',#347765); #14827=PLANE('',#347769); #14828=PLANE('',#347770); #14829=PLANE('',#347777); #14830=PLANE('',#347781); #14831=PLANE('',#347782); #14832=PLANE('',#347783); #14833=PLANE('',#347784); #14834=PLANE('',#347788); #14835=PLANE('',#347792); #14836=PLANE('',#347793); #14837=PLANE('',#347794); #14838=PLANE('',#347798); #14839=PLANE('',#347799); #14840=PLANE('',#347803); #14841=PLANE('',#347807); #14842=PLANE('',#347811); #14843=PLANE('',#347815); #14844=PLANE('',#347816); #14845=PLANE('',#347820); #14846=PLANE('',#347821); #14847=PLANE('',#347828); #14848=PLANE('',#347835); #14849=PLANE('',#347842); #14850=PLANE('',#347846); #14851=PLANE('',#347847); #14852=PLANE('',#347854); #14853=PLANE('',#347861); #14854=PLANE('',#347868); #14855=PLANE('',#347872); #14856=PLANE('',#347873); #14857=PLANE('',#347880); #14858=PLANE('',#347887); #14859=PLANE('',#347894); #14860=PLANE('',#347898); #14861=PLANE('',#347899); #14862=PLANE('',#347906); #14863=PLANE('',#347910); #14864=PLANE('',#347911); #14865=PLANE('',#347912); #14866=PLANE('',#347919); #14867=PLANE('',#347923); #14868=PLANE('',#347927); #14869=PLANE('',#347931); #14870=PLANE('',#347932); #14871=PLANE('',#347936); #14872=PLANE('',#347937); #14873=PLANE('',#347938); #14874=PLANE('',#347939); #14875=PLANE('',#347940); #14876=PLANE('',#347941); #14877=PLANE('',#347945); #14878=PLANE('',#347946); #14879=PLANE('',#347947); #14880=PLANE('',#347948); #14881=PLANE('',#347949); #14882=PLANE('',#347950); #14883=PLANE('',#347954); #14884=PLANE('',#347955); #14885=PLANE('',#347956); #14886=PLANE('',#347957); #14887=PLANE('',#347958); #14888=PLANE('',#347959); #14889=PLANE('',#347963); #14890=PLANE('',#347967); #14891=PLANE('',#347968); #14892=PLANE('',#347969); #14893=PLANE('',#347970); #14894=PLANE('',#347971); #14895=PLANE('',#347972); #14896=PLANE('',#347973); #14897=PLANE('',#347977); #14898=PLANE('',#347978); #14899=PLANE('',#347985); #14900=PLANE('',#347989); #14901=PLANE('',#347996); #14902=PLANE('',#348000); #14903=PLANE('',#348001); #14904=PLANE('',#348005); #14905=PLANE('',#348009); #14906=PLANE('',#348010); #14907=PLANE('',#348011); #14908=PLANE('',#348012); #14909=PLANE('',#348013); #14910=PLANE('',#348014); #14911=PLANE('',#348015); #14912=PLANE('',#348019); #14913=PLANE('',#348020); #14914=PLANE('',#348027); #14915=PLANE('',#348031); #14916=PLANE('',#348038); #14917=PLANE('',#348042); #14918=PLANE('',#348043); #14919=PLANE('',#348047); #14920=PLANE('',#348051); #14921=PLANE('',#348052); #14922=PLANE('',#348053); #14923=PLANE('',#348054); #14924=PLANE('',#348055); #14925=PLANE('',#348056); #14926=PLANE('',#348057); #14927=PLANE('',#348061); #14928=PLANE('',#348062); #14929=PLANE('',#348066); #14930=PLANE('',#348070); #14931=PLANE('',#348071); #14932=PLANE('',#348072); #14933=PLANE('',#348073); #14934=PLANE('',#348074); #14935=PLANE('',#348075); #14936=PLANE('',#348076); #14937=PLANE('',#348080); #14938=PLANE('',#348081); #14939=PLANE('',#348085); #14940=PLANE('',#348089); #14941=PLANE('',#348093); #14942=PLANE('',#348097); #14943=PLANE('',#348098); #14944=PLANE('',#348099); #14945=PLANE('',#348100); #14946=PLANE('',#348101); #14947=PLANE('',#348102); #14948=PLANE('',#348103); #14949=PLANE('',#348104); #14950=PLANE('',#348105); #14951=PLANE('',#348112); #14952=PLANE('',#348113); #14953=PLANE('',#348117); #14954=PLANE('',#348118); #14955=PLANE('',#348119); #14956=PLANE('',#348120); #14957=PLANE('',#348121); #14958=PLANE('',#348122); #14959=PLANE('',#348123); #14960=PLANE('',#348124); #14961=PLANE('',#348131); #14962=PLANE('',#348135); #14963=PLANE('',#348139); #14964=PLANE('',#348140); #14965=PLANE('',#348141); #14966=PLANE('',#348145); #14967=PLANE('',#348152); #14968=PLANE('',#348153); #14969=PLANE('',#348157); #14970=PLANE('',#348158); #14971=PLANE('',#348159); #14972=PLANE('',#348160); #14973=PLANE('',#348161); #14974=PLANE('',#348162); #14975=PLANE('',#348163); #14976=PLANE('',#348167); #14977=PLANE('',#348168); #14978=PLANE('',#348175); #14979=PLANE('',#348179); #14980=PLANE('',#348180); #14981=PLANE('',#348184); #14982=PLANE('',#348188); #14983=PLANE('',#348189); #14984=PLANE('',#348190); #14985=PLANE('',#348191); #14986=PLANE('',#348195); #14987=PLANE('',#348196); #14988=PLANE('',#348197); #14989=PLANE('',#348198); #14990=PLANE('',#348202); #14991=PLANE('',#348206); #14992=PLANE('',#348213); #14993=PLANE('',#348214); #14994=PLANE('',#348215); #14995=PLANE('',#348216); #14996=PLANE('',#348217); #14997=PLANE('',#348218); #14998=PLANE('',#348219); #14999=PLANE('',#348220); #15000=PLANE('',#348221); #15001=PLANE('',#348222); #15002=PLANE('',#348223); #15003=PLANE('',#348224); #15004=PLANE('',#348228); #15005=PLANE('',#348229); #15006=PLANE('',#348230); #15007=PLANE('',#348231); #15008=PLANE('',#348232); #15009=PLANE('',#348233); #15010=PLANE('',#348234); #15011=PLANE('',#348235); #15012=PLANE('',#348236); #15013=PLANE('',#348237); #15014=PLANE('',#348238); #15015=PLANE('',#348239); #15016=PLANE('',#348240); #15017=PLANE('',#348241); #15018=PLANE('',#348242); #15019=PLANE('',#348243); #15020=PLANE('',#348244); #15021=PLANE('',#348245); #15022=PLANE('',#348246); #15023=PLANE('',#348247); #15024=PLANE('',#348248); #15025=PLANE('',#348252); #15026=PLANE('',#348253); #15027=PLANE('',#348254); #15028=PLANE('',#348255); #15029=PLANE('',#348259); #15030=PLANE('',#348260); #15031=PLANE('',#348261); #15032=PLANE('',#348262); #15033=PLANE('',#348263); #15034=PLANE('',#348267); #15035=PLANE('',#348268); #15036=PLANE('',#348269); #15037=PLANE('',#348270); #15038=PLANE('',#348274); #15039=PLANE('',#348278); #15040=PLANE('',#348279); #15041=PLANE('',#348280); #15042=PLANE('',#348281); #15043=PLANE('',#348282); #15044=PLANE('',#348283); #15045=PLANE('',#348284); #15046=PLANE('',#348285); #15047=PLANE('',#348286); #15048=PLANE('',#348290); #15049=PLANE('',#348294); #15050=PLANE('',#348298); #15051=PLANE('',#348299); #15052=PLANE('',#348300); #15053=PLANE('',#348301); #15054=PLANE('',#348302); #15055=PLANE('',#348303); #15056=PLANE('',#348304); #15057=PLANE('',#348305); #15058=PLANE('',#348306); #15059=PLANE('',#348307); #15060=PLANE('',#348308); #15061=PLANE('',#348309); #15062=PLANE('',#348310); #15063=PLANE('',#348317); #15064=PLANE('',#348321); #15065=PLANE('',#348328); #15066=PLANE('',#348332); #15067=PLANE('',#348333); #15068=PLANE('',#348337); #15069=PLANE('',#348338); #15070=PLANE('',#348339); #15071=PLANE('',#348340); #15072=PLANE('',#348341); #15073=PLANE('',#348342); #15074=PLANE('',#348349); #15075=PLANE('',#348353); #15076=PLANE('',#348357); #15077=PLANE('',#348361); #15078=PLANE('',#348365); #15079=PLANE('',#348369); #15080=PLANE('',#348373); #15081=PLANE('',#348380); #15082=PLANE('',#348381); #15083=PLANE('',#348382); #15084=PLANE('',#348383); #15085=PLANE('',#348384); #15086=PLANE('',#348385); #15087=PLANE('',#348392); #15088=PLANE('',#348393); #15089=PLANE('',#348400); #15090=PLANE('',#348404); #15091=PLANE('',#348411); #15092=PLANE('',#348412); #15093=PLANE('',#348416); #15094=PLANE('',#348417); #15095=PLANE('',#348421); #15096=PLANE('',#348422); #15097=PLANE('',#348423); #15098=PLANE('',#348424); #15099=PLANE('',#348425); #15100=PLANE('',#348426); #15101=PLANE('',#348430); #15102=PLANE('',#348437); #15103=PLANE('',#348438); #15104=PLANE('',#348478); #15105=PLANE('',#348479); #15106=PLANE('',#348480); #15107=PLANE('',#348481); #15108=PLANE('',#348482); #15109=PLANE('',#348483); #15110=PLANE('',#348484); #15111=PLANE('',#348485); #15112=PLANE('',#348486); #15113=PLANE('',#348487); #15114=PLANE('',#348488); #15115=PLANE('',#348489); #15116=PLANE('',#348490); #15117=PLANE('',#348491); #15118=PLANE('',#348492); #15119=PLANE('',#348493); #15120=PLANE('',#348494); #15121=PLANE('',#348495); #15122=PLANE('',#348499); #15123=PLANE('',#348500); #15124=PLANE('',#348501); #15125=PLANE('',#348502); #15126=PLANE('',#348503); #15127=PLANE('',#348504); #15128=PLANE('',#348505); #15129=PLANE('',#348506); #15130=PLANE('',#348507); #15131=PLANE('',#348508); #15132=PLANE('',#348509); #15133=PLANE('',#348510); #15134=PLANE('',#348511); #15135=PLANE('',#348512); #15136=PLANE('',#348513); #15137=PLANE('',#348514); #15138=PLANE('',#348515); #15139=PLANE('',#348516); #15140=PLANE('',#348517); #15141=PLANE('',#348518); #15142=PLANE('',#348522); #15143=PLANE('',#348523); #15144=PLANE('',#348524); #15145=PLANE('',#348525); #15146=PLANE('',#348526); #15147=PLANE('',#348527); #15148=PLANE('',#348528); #15149=PLANE('',#348529); #15150=PLANE('',#348530); #15151=PLANE('',#348531); #15152=PLANE('',#348532); #15153=PLANE('',#348533); #15154=PLANE('',#348534); #15155=PLANE('',#348535); #15156=PLANE('',#348536); #15157=PLANE('',#348537); #15158=PLANE('',#348538); #15159=PLANE('',#348539); #15160=PLANE('',#348540); #15161=PLANE('',#348541); #15162=PLANE('',#348542); #15163=PLANE('',#348543); #15164=PLANE('',#348544); #15165=PLANE('',#348545); #15166=PLANE('',#348546); #15167=PLANE('',#348547); #15168=PLANE('',#348548); #15169=PLANE('',#348549); #15170=PLANE('',#348550); #15171=PLANE('',#348551); #15172=PLANE('',#348552); #15173=PLANE('',#348553); #15174=PLANE('',#348554); #15175=PLANE('',#348555); #15176=PLANE('',#348556); #15177=PLANE('',#348557); #15178=PLANE('',#348558); #15179=PLANE('',#348559); #15180=PLANE('',#348560); #15181=PLANE('',#348561); #15182=PLANE('',#348562); #15183=PLANE('',#348563); #15184=PLANE('',#348564); #15185=PLANE('',#348565); #15186=PLANE('',#348566); #15187=PLANE('',#348567); #15188=PLANE('',#348568); #15189=PLANE('',#348569); #15190=PLANE('',#348573); #15191=PLANE('',#348577); #15192=PLANE('',#348578); #15193=PLANE('',#348579); #15194=PLANE('',#348580); #15195=PLANE('',#348581); #15196=PLANE('',#348585); #15197=PLANE('',#348586); #15198=PLANE('',#348623); #15199=PLANE('',#348624); #15200=PLANE('',#348625); #15201=PLANE('',#348626); #15202=PLANE('',#348627); #15203=PLANE('',#348628); #15204=PLANE('',#348629); #15205=PLANE('',#348630); #15206=PLANE('',#348631); #15207=PLANE('',#348632); #15208=PLANE('',#348633); #15209=PLANE('',#348634); #15210=PLANE('',#348635); #15211=PLANE('',#348636); #15212=PLANE('',#348637); #15213=PLANE('',#348638); #15214=PLANE('',#348639); #15215=PLANE('',#348640); #15216=PLANE('',#348641); #15217=PLANE('',#348642); #15218=PLANE('',#348643); #15219=PLANE('',#348644); #15220=PLANE('',#348645); #15221=PLANE('',#348646); #15222=PLANE('',#348647); #15223=PLANE('',#348648); #15224=PLANE('',#348649); #15225=PLANE('',#348650); #15226=PLANE('',#348651); #15227=PLANE('',#348652); #15228=PLANE('',#348653); #15229=PLANE('',#348654); #15230=PLANE('',#348655); #15231=PLANE('',#348656); #15232=PLANE('',#348657); #15233=PLANE('',#348658); #15234=PLANE('',#348659); #15235=PLANE('',#348660); #15236=PLANE('',#348661); #15237=PLANE('',#348662); #15238=PLANE('',#348663); #15239=PLANE('',#348664); #15240=PLANE('',#348665); #15241=PLANE('',#348666); #15242=PLANE('',#348667); #15243=PLANE('',#348668); #15244=PLANE('',#348669); #15245=PLANE('',#348670); #15246=PLANE('',#348671); #15247=PLANE('',#348672); #15248=PLANE('',#348673); #15249=PLANE('',#348674); #15250=PLANE('',#348675); #15251=PLANE('',#348676); #15252=PLANE('',#348677); #15253=PLANE('',#348678); #15254=PLANE('',#348679); #15255=PLANE('',#348680); #15256=PLANE('',#348681); #15257=PLANE('',#348682); #15258=PLANE('',#348683); #15259=PLANE('',#348684); #15260=PLANE('',#348685); #15261=PLANE('',#348686); #15262=PLANE('',#348687); #15263=PLANE('',#348688); #15264=PLANE('',#348689); #15265=PLANE('',#348690); #15266=PLANE('',#348691); #15267=PLANE('',#348692); #15268=PLANE('',#348693); #15269=PLANE('',#348694); #15270=PLANE('',#348695); #15271=PLANE('',#348696); #15272=PLANE('',#348697); #15273=PLANE('',#348698); #15274=PLANE('',#348699); #15275=PLANE('',#348700); #15276=PLANE('',#348701); #15277=PLANE('',#348702); #15278=PLANE('',#348703); #15279=PLANE('',#348704); #15280=PLANE('',#348705); #15281=PLANE('',#348706); #15282=PLANE('',#348707); #15283=PLANE('',#348708); #15284=PLANE('',#348709); #15285=PLANE('',#348710); #15286=PLANE('',#348711); #15287=PLANE('',#348712); #15288=PLANE('',#348713); #15289=PLANE('',#348714); #15290=PLANE('',#348715); #15291=PLANE('',#348716); #15292=PLANE('',#348717); #15293=PLANE('',#348718); #15294=PLANE('',#348719); #15295=PLANE('',#348720); #15296=PLANE('',#348721); #15297=PLANE('',#348722); #15298=PLANE('',#348723); #15299=PLANE('',#348724); #15300=PLANE('',#348725); #15301=PLANE('',#348726); #15302=PLANE('',#348727); #15303=PLANE('',#348728); #15304=PLANE('',#348729); #15305=PLANE('',#348730); #15306=PLANE('',#348731); #15307=PLANE('',#348732); #15308=PLANE('',#348733); #15309=PLANE('',#348734); #15310=PLANE('',#348735); #15311=PLANE('',#348736); #15312=PLANE('',#348737); #15313=PLANE('',#348738); #15314=PLANE('',#348739); #15315=PLANE('',#348740); #15316=PLANE('',#348741); #15317=PLANE('',#348742); #15318=PLANE('',#348743); #15319=PLANE('',#348744); #15320=PLANE('',#348745); #15321=PLANE('',#348746); #15322=PLANE('',#348747); #15323=PLANE('',#348748); #15324=PLANE('',#348749); #15325=PLANE('',#348750); #15326=PLANE('',#348751); #15327=PLANE('',#348752); #15328=PLANE('',#348753); #15329=PLANE('',#348754); #15330=PLANE('',#348755); #15331=PLANE('',#348756); #15332=PLANE('',#348757); #15333=PLANE('',#348758); #15334=PLANE('',#348759); #15335=PLANE('',#348760); #15336=PLANE('',#348761); #15337=PLANE('',#348762); #15338=PLANE('',#348763); #15339=PLANE('',#348764); #15340=PLANE('',#348765); #15341=PLANE('',#348766); #15342=PLANE('',#348767); #15343=PLANE('',#348768); #15344=PLANE('',#348769); #15345=PLANE('',#348770); #15346=PLANE('',#348771); #15347=PLANE('',#348772); #15348=PLANE('',#348773); #15349=PLANE('',#348774); #15350=PLANE('',#348775); #15351=PLANE('',#348776); #15352=PLANE('',#348777); #15353=PLANE('',#348778); #15354=PLANE('',#348779); #15355=PLANE('',#348780); #15356=PLANE('',#348781); #15357=PLANE('',#348782); #15358=PLANE('',#348783); #15359=PLANE('',#348784); #15360=PLANE('',#348785); #15361=PLANE('',#348786); #15362=PLANE('',#348787); #15363=PLANE('',#348788); #15364=PLANE('',#348789); #15365=PLANE('',#348790); #15366=PLANE('',#348791); #15367=PLANE('',#348792); #15368=PLANE('',#348793); #15369=PLANE('',#348794); #15370=PLANE('',#348795); #15371=PLANE('',#348796); #15372=PLANE('',#348797); #15373=PLANE('',#348798); #15374=PLANE('',#348799); #15375=PLANE('',#348800); #15376=PLANE('',#348801); #15377=PLANE('',#348802); #15378=PLANE('',#348803); #15379=PLANE('',#348804); #15380=PLANE('',#348805); #15381=PLANE('',#348806); #15382=PLANE('',#348807); #15383=PLANE('',#348808); #15384=PLANE('',#348809); #15385=PLANE('',#348810); #15386=PLANE('',#348811); #15387=PLANE('',#348812); #15388=PLANE('',#348813); #15389=PLANE('',#348814); #15390=PLANE('',#348815); #15391=PLANE('',#348816); #15392=PLANE('',#348817); #15393=PLANE('',#348818); #15394=PLANE('',#348819); #15395=PLANE('',#348820); #15396=PLANE('',#348821); #15397=PLANE('',#348822); #15398=PLANE('',#348823); #15399=PLANE('',#348824); #15400=PLANE('',#348825); #15401=PLANE('',#348826); #15402=PLANE('',#348827); #15403=PLANE('',#348828); #15404=PLANE('',#348829); #15405=PLANE('',#348830); #15406=PLANE('',#348831); #15407=PLANE('',#348832); #15408=PLANE('',#348833); #15409=PLANE('',#348834); #15410=PLANE('',#348835); #15411=PLANE('',#348836); #15412=PLANE('',#348837); #15413=PLANE('',#348838); #15414=PLANE('',#348839); #15415=PLANE('',#348840); #15416=PLANE('',#348841); #15417=PLANE('',#348842); #15418=PLANE('',#348843); #15419=PLANE('',#348844); #15420=PLANE('',#348845); #15421=PLANE('',#348846); #15422=PLANE('',#348847); #15423=PLANE('',#348848); #15424=PLANE('',#348849); #15425=PLANE('',#348850); #15426=PLANE('',#348851); #15427=PLANE('',#348852); #15428=PLANE('',#348853); #15429=PLANE('',#348854); #15430=PLANE('',#348855); #15431=PLANE('',#348856); #15432=PLANE('',#348857); #15433=PLANE('',#348858); #15434=PLANE('',#348859); #15435=PLANE('',#348860); #15436=PLANE('',#348861); #15437=PLANE('',#348862); #15438=PLANE('',#348863); #15439=PLANE('',#348864); #15440=PLANE('',#348865); #15441=PLANE('',#348866); #15442=PLANE('',#348867); #15443=PLANE('',#348868); #15444=PLANE('',#348869); #15445=PLANE('',#348870); #15446=PLANE('',#348871); #15447=PLANE('',#348872); #15448=PLANE('',#348873); #15449=PLANE('',#348874); #15450=PLANE('',#348875); #15451=PLANE('',#348876); #15452=PLANE('',#348877); #15453=PLANE('',#348878); #15454=PLANE('',#348879); #15455=PLANE('',#348880); #15456=PLANE('',#348881); #15457=PLANE('',#348882); #15458=PLANE('',#348883); #15459=PLANE('',#348884); #15460=PLANE('',#348885); #15461=PLANE('',#348886); #15462=PLANE('',#348887); #15463=PLANE('',#348888); #15464=PLANE('',#348889); #15465=PLANE('',#348890); #15466=PLANE('',#348891); #15467=PLANE('',#348892); #15468=PLANE('',#348893); #15469=PLANE('',#348894); #15470=PLANE('',#348895); #15471=PLANE('',#348896); #15472=PLANE('',#348897); #15473=PLANE('',#348898); #15474=PLANE('',#348899); #15475=PLANE('',#348900); #15476=PLANE('',#348901); #15477=PLANE('',#348902); #15478=PLANE('',#348903); #15479=PLANE('',#348904); #15480=PLANE('',#348905); #15481=PLANE('',#348906); #15482=PLANE('',#348907); #15483=PLANE('',#348908); #15484=PLANE('',#348909); #15485=PLANE('',#348910); #15486=PLANE('',#348911); #15487=PLANE('',#348912); #15488=PLANE('',#348913); #15489=PLANE('',#348914); #15490=PLANE('',#348915); #15491=PLANE('',#348916); #15492=PLANE('',#348917); #15493=PLANE('',#348918); #15494=PLANE('',#348919); #15495=PLANE('',#348920); #15496=PLANE('',#348921); #15497=PLANE('',#348922); #15498=PLANE('',#348923); #15499=PLANE('',#348924); #15500=PLANE('',#348925); #15501=PLANE('',#348926); #15502=PLANE('',#348927); #15503=PLANE('',#348928); #15504=PLANE('',#348929); #15505=PLANE('',#348930); #15506=PLANE('',#348931); #15507=PLANE('',#348932); #15508=PLANE('',#348933); #15509=PLANE('',#348934); #15510=PLANE('',#348935); #15511=PLANE('',#348936); #15512=PLANE('',#348937); #15513=PLANE('',#348938); #15514=PLANE('',#348939); #15515=PLANE('',#348940); #15516=PLANE('',#348941); #15517=PLANE('',#348942); #15518=PLANE('',#348946); #15519=PLANE('',#348950); #15520=PLANE('',#348951); #15521=PLANE('',#348952); #15522=PLANE('',#348953); #15523=PLANE('',#348954); #15524=PLANE('',#348955); #15525=PLANE('',#348956); #15526=PLANE('',#348957); #15527=PLANE('',#348958); #15528=PLANE('',#348959); #15529=PLANE('',#348960); #15530=PLANE('',#348961); #15531=PLANE('',#348962); #15532=PLANE('',#348963); #15533=PLANE('',#348964); #15534=PLANE('',#348965); #15535=PLANE('',#348966); #15536=PLANE('',#348967); #15537=PLANE('',#348968); #15538=PLANE('',#348969); #15539=PLANE('',#348970); #15540=PLANE('',#348971); #15541=PLANE('',#348972); #15542=PLANE('',#348973); #15543=PLANE('',#348974); #15544=PLANE('',#348975); #15545=PLANE('',#348976); #15546=PLANE('',#348977); #15547=PLANE('',#348978); #15548=PLANE('',#348979); #15549=PLANE('',#348980); #15550=PLANE('',#348981); #15551=PLANE('',#348982); #15552=PLANE('',#348983); #15553=PLANE('',#348984); #15554=PLANE('',#348985); #15555=PLANE('',#348986); #15556=PLANE('',#348987); #15557=PLANE('',#348988); #15558=PLANE('',#348989); #15559=PLANE('',#348990); #15560=PLANE('',#348991); #15561=PLANE('',#348992); #15562=PLANE('',#348993); #15563=PLANE('',#348994); #15564=PLANE('',#348995); #15565=PLANE('',#348996); #15566=PLANE('',#348997); #15567=PLANE('',#348998); #15568=PLANE('',#348999); #15569=PLANE('',#349000); #15570=PLANE('',#349001); #15571=PLANE('',#349002); #15572=PLANE('',#349003); #15573=PLANE('',#349004); #15574=PLANE('',#349005); #15575=PLANE('',#349006); #15576=PLANE('',#349007); #15577=PLANE('',#349008); #15578=PLANE('',#349009); #15579=PLANE('',#349010); #15580=PLANE('',#349011); #15581=PLANE('',#349012); #15582=PLANE('',#349013); #15583=PLANE('',#349014); #15584=PLANE('',#349015); #15585=PLANE('',#349016); #15586=PLANE('',#349017); #15587=PLANE('',#349018); #15588=PLANE('',#349019); #15589=PLANE('',#349020); #15590=PLANE('',#349021); #15591=PLANE('',#349022); #15592=PLANE('',#349023); #15593=PLANE('',#349024); #15594=PLANE('',#349025); #15595=PLANE('',#349026); #15596=PLANE('',#349027); #15597=PLANE('',#349028); #15598=PLANE('',#349029); #15599=PLANE('',#349030); #15600=PLANE('',#349031); #15601=PLANE('',#349032); #15602=PLANE('',#349033); #15603=PLANE('',#349034); #15604=PLANE('',#349035); #15605=PLANE('',#349036); #15606=PLANE('',#349037); #15607=PLANE('',#349038); #15608=PLANE('',#349039); #15609=PLANE('',#349040); #15610=PLANE('',#349041); #15611=PLANE('',#349042); #15612=PLANE('',#349043); #15613=PLANE('',#349044); #15614=PLANE('',#349045); #15615=PLANE('',#349046); #15616=PLANE('',#349047); #15617=PLANE('',#349048); #15618=PLANE('',#349049); #15619=PLANE('',#349050); #15620=PLANE('',#349051); #15621=PLANE('',#349052); #15622=PLANE('',#349053); #15623=PLANE('',#349054); #15624=PLANE('',#349055); #15625=PLANE('',#349056); #15626=PLANE('',#349057); #15627=PLANE('',#349058); #15628=PLANE('',#349059); #15629=PLANE('',#349063); #15630=PLANE('',#349064); #15631=PLANE('',#349065); #15632=PLANE('',#349066); #15633=PLANE('',#349067); #15634=PLANE('',#349068); #15635=PLANE('',#349069); #15636=PLANE('',#349070); #15637=PLANE('',#349071); #15638=PLANE('',#349072); #15639=PLANE('',#349121); #15640=PLANE('',#349125); #15641=PLANE('',#349129); #15642=PLANE('',#349133); #15643=PLANE('',#349161); #15644=PLANE('',#349165); #15645=PLANE('',#349169); #15646=PLANE('',#349173); #15647=PLANE('',#349474); #15648=PLANE('',#349475); #15649=PLANE('',#349476); #15650=PLANE('',#349477); #15651=PLANE('',#349478); #15652=PLANE('',#349479); #15653=PLANE('',#349480); #15654=PLANE('',#349481); #15655=PLANE('',#349482); #15656=PLANE('',#349483); #15657=PLANE('',#349484); #15658=PLANE('',#349485); #15659=PLANE('',#349486); #15660=PLANE('',#349487); #15661=PLANE('',#349488); #15662=PLANE('',#349489); #15663=PLANE('',#349490); #15664=PLANE('',#349491); #15665=PLANE('',#349492); #15666=PLANE('',#349493); #15667=PLANE('',#349494); #15668=PLANE('',#349495); #15669=PLANE('',#349496); #15670=PLANE('',#349497); #15671=PLANE('',#349498); #15672=PLANE('',#349499); #15673=PLANE('',#349500); #15674=PLANE('',#349501); #15675=PLANE('',#349502); #15676=PLANE('',#349503); #15677=PLANE('',#349504); #15678=PLANE('',#349505); #15679=PLANE('',#349506); #15680=PLANE('',#349507); #15681=PLANE('',#349508); #15682=PLANE('',#349509); #15683=PLANE('',#349510); #15684=PLANE('',#349511); #15685=PLANE('',#349512); #15686=PLANE('',#349513); #15687=PLANE('',#349514); #15688=PLANE('',#349515); #15689=PLANE('',#349516); #15690=PLANE('',#349517); #15691=PLANE('',#349518); #15692=PLANE('',#349519); #15693=PLANE('',#349520); #15694=PLANE('',#349521); #15695=PLANE('',#349522); #15696=PLANE('',#349523); #15697=PLANE('',#349524); #15698=PLANE('',#349525); #15699=PLANE('',#349526); #15700=PLANE('',#349527); #15701=PLANE('',#349528); #15702=PLANE('',#349529); #15703=PLANE('',#349530); #15704=PLANE('',#349531); #15705=PLANE('',#349532); #15706=PLANE('',#349533); #15707=PLANE('',#349534); #15708=PLANE('',#349535); #15709=PLANE('',#349536); #15710=PLANE('',#349537); #15711=PLANE('',#349538); #15712=PLANE('',#349539); #15713=PLANE('',#349540); #15714=PLANE('',#349541); #15715=PLANE('',#349542); #15716=PLANE('',#349543); #15717=PLANE('',#349544); #15718=PLANE('',#349545); #15719=PLANE('',#349546); #15720=PLANE('',#349547); #15721=PLANE('',#349548); #15722=PLANE('',#349549); #15723=PLANE('',#349550); #15724=PLANE('',#349551); #15725=PLANE('',#349552); #15726=PLANE('',#349553); #15727=PLANE('',#349554); #15728=PLANE('',#349555); #15729=PLANE('',#349556); #15730=PLANE('',#349557); #15731=PLANE('',#349558); #15732=PLANE('',#349559); #15733=PLANE('',#349560); #15734=PLANE('',#349561); #15735=PLANE('',#349562); #15736=PLANE('',#349563); #15737=PLANE('',#349564); #15738=PLANE('',#349565); #15739=PLANE('',#349566); #15740=PLANE('',#349567); #15741=PLANE('',#349568); #15742=PLANE('',#349569); #15743=PLANE('',#349570); #15744=PLANE('',#349571); #15745=PLANE('',#349572); #15746=PLANE('',#349573); #15747=PLANE('',#349574); #15748=PLANE('',#349575); #15749=PLANE('',#349576); #15750=PLANE('',#349577); #15751=PLANE('',#349578); #15752=PLANE('',#349579); #15753=PLANE('',#349580); #15754=PLANE('',#349581); #15755=PLANE('',#349582); #15756=PLANE('',#349583); #15757=PLANE('',#349584); #15758=PLANE('',#349585); #15759=PLANE('',#349586); #15760=PLANE('',#349587); #15761=PLANE('',#349588); #15762=PLANE('',#349589); #15763=PLANE('',#349590); #15764=PLANE('',#349591); #15765=PLANE('',#349592); #15766=PLANE('',#349593); #15767=PLANE('',#349594); #15768=PLANE('',#349595); #15769=PLANE('',#349596); #15770=PLANE('',#349597); #15771=PLANE('',#349598); #15772=PLANE('',#349599); #15773=PLANE('',#349600); #15774=PLANE('',#349601); #15775=PLANE('',#349602); #15776=PLANE('',#349603); #15777=PLANE('',#349604); #15778=PLANE('',#349605); #15779=PLANE('',#349606); #15780=PLANE('',#349607); #15781=PLANE('',#349608); #15782=PLANE('',#349609); #15783=PLANE('',#349610); #15784=PLANE('',#349611); #15785=PLANE('',#349612); #15786=PLANE('',#349613); #15787=PLANE('',#349614); #15788=PLANE('',#349615); #15789=PLANE('',#349616); #15790=PLANE('',#349617); #15791=PLANE('',#349618); #15792=PLANE('',#349619); #15793=PLANE('',#349620); #15794=PLANE('',#349621); #15795=PLANE('',#349622); #15796=PLANE('',#349623); #15797=PLANE('',#349624); #15798=PLANE('',#349625); #15799=PLANE('',#349626); #15800=PLANE('',#349627); #15801=PLANE('',#349628); #15802=PLANE('',#349629); #15803=PLANE('',#349630); #15804=PLANE('',#349631); #15805=PLANE('',#349632); #15806=PLANE('',#349633); #15807=PLANE('',#349634); #15808=PLANE('',#349635); #15809=PLANE('',#349636); #15810=PLANE('',#349637); #15811=PLANE('',#349638); #15812=PLANE('',#349639); #15813=PLANE('',#349640); #15814=PLANE('',#349641); #15815=PLANE('',#349642); #15816=PLANE('',#349643); #15817=PLANE('',#349644); #15818=PLANE('',#349645); #15819=PLANE('',#349646); #15820=PLANE('',#349647); #15821=PLANE('',#349648); #15822=PLANE('',#349649); #15823=PLANE('',#349650); #15824=PLANE('',#349651); #15825=PLANE('',#349652); #15826=PLANE('',#349653); #15827=PLANE('',#349654); #15828=PLANE('',#349655); #15829=PLANE('',#349656); #15830=PLANE('',#349657); #15831=PLANE('',#349658); #15832=PLANE('',#349659); #15833=PLANE('',#349660); #15834=PLANE('',#349661); #15835=PLANE('',#349662); #15836=PLANE('',#349663); #15837=PLANE('',#349664); #15838=PLANE('',#349665); #15839=PLANE('',#349666); #15840=PLANE('',#349667); #15841=PLANE('',#349668); #15842=PLANE('',#349669); #15843=PLANE('',#349670); #15844=PLANE('',#349671); #15845=PLANE('',#349672); #15846=PLANE('',#349673); #15847=PLANE('',#349674); #15848=PLANE('',#349675); #15849=PLANE('',#349676); #15850=PLANE('',#349677); #15851=PLANE('',#349678); #15852=PLANE('',#349679); #15853=PLANE('',#349680); #15854=PLANE('',#349681); #15855=PLANE('',#349682); #15856=PLANE('',#349683); #15857=PLANE('',#349684); #15858=PLANE('',#349685); #15859=PLANE('',#349686); #15860=PLANE('',#349687); #15861=PLANE('',#349688); #15862=PLANE('',#349689); #15863=PLANE('',#349690); #15864=PLANE('',#349691); #15865=PLANE('',#349692); #15866=PLANE('',#349693); #15867=PLANE('',#349694); #15868=PLANE('',#349695); #15869=PLANE('',#349696); #15870=PLANE('',#349697); #15871=PLANE('',#349698); #15872=PLANE('',#349699); #15873=PLANE('',#349700); #15874=PLANE('',#349701); #15875=PLANE('',#349702); #15876=PLANE('',#349703); #15877=PLANE('',#349704); #15878=PLANE('',#349705); #15879=PLANE('',#349706); #15880=PLANE('',#349707); #15881=PLANE('',#349708); #15882=PLANE('',#349709); #15883=PLANE('',#349710); #15884=PLANE('',#349711); #15885=PLANE('',#349712); #15886=PLANE('',#349713); #15887=PLANE('',#349714); #15888=PLANE('',#349715); #15889=PLANE('',#349716); #15890=PLANE('',#349717); #15891=PLANE('',#349718); #15892=PLANE('',#349719); #15893=PLANE('',#349720); #15894=PLANE('',#349721); #15895=PLANE('',#349722); #15896=PLANE('',#349723); #15897=PLANE('',#349724); #15898=PLANE('',#349725); #15899=PLANE('',#349726); #15900=PLANE('',#349727); #15901=PLANE('',#349728); #15902=PLANE('',#349729); #15903=PLANE('',#349730); #15904=PLANE('',#349731); #15905=PLANE('',#349732); #15906=PLANE('',#349733); #15907=PLANE('',#349734); #15908=PLANE('',#349735); #15909=PLANE('',#349736); #15910=PLANE('',#349737); #15911=PLANE('',#349738); #15912=PLANE('',#349739); #15913=PLANE('',#349740); #15914=PLANE('',#349741); #15915=PLANE('',#349742); #15916=PLANE('',#349743); #15917=PLANE('',#349744); #15918=PLANE('',#349745); #15919=PLANE('',#349746); #15920=PLANE('',#349747); #15921=PLANE('',#349748); #15922=PLANE('',#349749); #15923=PLANE('',#349750); #15924=PLANE('',#349751); #15925=PLANE('',#349752); #15926=PLANE('',#349753); #15927=PLANE('',#349754); #15928=PLANE('',#349755); #15929=PLANE('',#349756); #15930=PLANE('',#349757); #15931=PLANE('',#349758); #15932=PLANE('',#349759); #15933=PLANE('',#349760); #15934=PLANE('',#349761); #15935=PLANE('',#349762); #15936=PLANE('',#349763); #15937=PLANE('',#349764); #15938=PLANE('',#349765); #15939=PLANE('',#349766); #15940=PLANE('',#349767); #15941=PLANE('',#349768); #15942=PLANE('',#349769); #15943=PLANE('',#349770); #15944=PLANE('',#349771); #15945=PLANE('',#349772); #15946=PLANE('',#349773); #15947=PLANE('',#349774); #15948=PLANE('',#349775); #15949=PLANE('',#349776); #15950=PLANE('',#349777); #15951=PLANE('',#349778); #15952=PLANE('',#349779); #15953=PLANE('',#349780); #15954=PLANE('',#349781); #15955=PLANE('',#349782); #15956=PLANE('',#349783); #15957=PLANE('',#349784); #15958=PLANE('',#349785); #15959=PLANE('',#349786); #15960=PLANE('',#349787); #15961=PLANE('',#349788); #15962=PLANE('',#349789); #15963=PLANE('',#349790); #15964=PLANE('',#349791); #15965=PLANE('',#349792); #15966=PLANE('',#349793); #15967=PLANE('',#349794); #15968=PLANE('',#349795); #15969=PLANE('',#349796); #15970=PLANE('',#349797); #15971=PLANE('',#349798); #15972=PLANE('',#349799); #15973=PLANE('',#349800); #15974=PLANE('',#349801); #15975=PLANE('',#349802); #15976=PLANE('',#349803); #15977=PLANE('',#349804); #15978=PLANE('',#349805); #15979=PLANE('',#349806); #15980=PLANE('',#349807); #15981=PLANE('',#349808); #15982=PLANE('',#349809); #15983=PLANE('',#349810); #15984=PLANE('',#349811); #15985=PLANE('',#349812); #15986=PLANE('',#349813); #15987=PLANE('',#349814); #15988=PLANE('',#349815); #15989=PLANE('',#349816); #15990=PLANE('',#349817); #15991=PLANE('',#349818); #15992=PLANE('',#349819); #15993=PLANE('',#349820); #15994=PLANE('',#349821); #15995=PLANE('',#349822); #15996=PLANE('',#349823); #15997=PLANE('',#349824); #15998=PLANE('',#349825); #15999=PLANE('',#349826); #16000=PLANE('',#349827); #16001=PLANE('',#349828); #16002=PLANE('',#349829); #16003=PLANE('',#349830); #16004=PLANE('',#349831); #16005=PLANE('',#349832); #16006=PLANE('',#349833); #16007=PLANE('',#349834); #16008=PLANE('',#349835); #16009=PLANE('',#349836); #16010=PLANE('',#349837); #16011=PLANE('',#349838); #16012=PLANE('',#349839); #16013=PLANE('',#349840); #16014=PLANE('',#349841); #16015=PLANE('',#349842); #16016=PLANE('',#349843); #16017=PLANE('',#349844); #16018=PLANE('',#349845); #16019=PLANE('',#349846); #16020=PLANE('',#349847); #16021=PLANE('',#349848); #16022=PLANE('',#349849); #16023=PLANE('',#349850); #16024=PLANE('',#349851); #16025=PLANE('',#349852); #16026=PLANE('',#349853); #16027=PLANE('',#349854); #16028=PLANE('',#349855); #16029=PLANE('',#349856); #16030=PLANE('',#349857); #16031=PLANE('',#349858); #16032=PLANE('',#349859); #16033=PLANE('',#349860); #16034=PLANE('',#349861); #16035=PLANE('',#349862); #16036=PLANE('',#349863); #16037=PLANE('',#349864); #16038=PLANE('',#349865); #16039=PLANE('',#349866); #16040=PLANE('',#349867); #16041=PLANE('',#349868); #16042=PLANE('',#349869); #16043=PLANE('',#349870); #16044=PLANE('',#349871); #16045=PLANE('',#349872); #16046=PLANE('',#349873); #16047=PLANE('',#349874); #16048=PLANE('',#349875); #16049=PLANE('',#349876); #16050=PLANE('',#349877); #16051=PLANE('',#349878); #16052=PLANE('',#349879); #16053=PLANE('',#349880); #16054=PLANE('',#349881); #16055=PLANE('',#349882); #16056=PLANE('',#349883); #16057=PLANE('',#349884); #16058=PLANE('',#349885); #16059=PLANE('',#349886); #16060=PLANE('',#349887); #16061=PLANE('',#349888); #16062=PLANE('',#349889); #16063=PLANE('',#349890); #16064=PLANE('',#349891); #16065=PLANE('',#349892); #16066=PLANE('',#349893); #16067=PLANE('',#349894); #16068=PLANE('',#349895); #16069=PLANE('',#349896); #16070=PLANE('',#349897); #16071=PLANE('',#349898); #16072=PLANE('',#349899); #16073=PLANE('',#349900); #16074=PLANE('',#349901); #16075=PLANE('',#349902); #16076=PLANE('',#349903); #16077=PLANE('',#349904); #16078=PLANE('',#349905); #16079=PLANE('',#349906); #16080=PLANE('',#349907); #16081=PLANE('',#349908); #16082=PLANE('',#349909); #16083=PLANE('',#349910); #16084=PLANE('',#349911); #16085=PLANE('',#349912); #16086=PLANE('',#349913); #16087=PLANE('',#349914); #16088=PLANE('',#349915); #16089=PLANE('',#349916); #16090=PLANE('',#349917); #16091=PLANE('',#349918); #16092=PLANE('',#349919); #16093=PLANE('',#349920); #16094=PLANE('',#349921); #16095=PLANE('',#349922); #16096=PLANE('',#349923); #16097=PLANE('',#349924); #16098=PLANE('',#349925); #16099=PLANE('',#349926); #16100=PLANE('',#349927); #16101=PLANE('',#349928); #16102=PLANE('',#349929); #16103=PLANE('',#349930); #16104=PLANE('',#349931); #16105=PLANE('',#349932); #16106=PLANE('',#349933); #16107=PLANE('',#349934); #16108=PLANE('',#349935); #16109=PLANE('',#349936); #16110=PLANE('',#349937); #16111=PLANE('',#349938); #16112=PLANE('',#349939); #16113=PLANE('',#349940); #16114=PLANE('',#349941); #16115=PLANE('',#349942); #16116=PLANE('',#349943); #16117=PLANE('',#349944); #16118=PLANE('',#349945); #16119=PLANE('',#349946); #16120=PLANE('',#349947); #16121=PLANE('',#349948); #16122=PLANE('',#349949); #16123=PLANE('',#349950); #16124=PLANE('',#349951); #16125=PLANE('',#349952); #16126=PLANE('',#349953); #16127=PLANE('',#349954); #16128=PLANE('',#349955); #16129=PLANE('',#349956); #16130=PLANE('',#349957); #16131=PLANE('',#349958); #16132=PLANE('',#349959); #16133=PLANE('',#349960); #16134=PLANE('',#349961); #16135=PLANE('',#349962); #16136=PLANE('',#349963); #16137=PLANE('',#349964); #16138=PLANE('',#349965); #16139=PLANE('',#349966); #16140=PLANE('',#349967); #16141=PLANE('',#349968); #16142=PLANE('',#349969); #16143=PLANE('',#349970); #16144=PLANE('',#349971); #16145=PLANE('',#349972); #16146=PLANE('',#349973); #16147=PLANE('',#349974); #16148=PLANE('',#349975); #16149=PLANE('',#349976); #16150=PLANE('',#349977); #16151=PLANE('',#349978); #16152=PLANE('',#349979); #16153=PLANE('',#349980); #16154=PLANE('',#349981); #16155=PLANE('',#349982); #16156=PLANE('',#349983); #16157=PLANE('',#349984); #16158=PLANE('',#349985); #16159=PLANE('',#349986); #16160=PLANE('',#349987); #16161=PLANE('',#349988); #16162=PLANE('',#349989); #16163=PLANE('',#349990); #16164=PLANE('',#349991); #16165=PLANE('',#349992); #16166=PLANE('',#349993); #16167=PLANE('',#349994); #16168=PLANE('',#349995); #16169=PLANE('',#349996); #16170=PLANE('',#349997); #16171=PLANE('',#349998); #16172=PLANE('',#349999); #16173=PLANE('',#350000); #16174=PLANE('',#350001); #16175=PLANE('',#350002); #16176=PLANE('',#350003); #16177=PLANE('',#350004); #16178=PLANE('',#350005); #16179=PLANE('',#350006); #16180=PLANE('',#350007); #16181=PLANE('',#350008); #16182=PLANE('',#350009); #16183=PLANE('',#350010); #16184=PLANE('',#350011); #16185=PLANE('',#350012); #16186=PLANE('',#350013); #16187=PLANE('',#350014); #16188=PLANE('',#350015); #16189=PLANE('',#350016); #16190=PLANE('',#350017); #16191=PLANE('',#350018); #16192=PLANE('',#350019); #16193=PLANE('',#350020); #16194=PLANE('',#350021); #16195=PLANE('',#350022); #16196=PLANE('',#350023); #16197=PLANE('',#350024); #16198=PLANE('',#350025); #16199=PLANE('',#350026); #16200=PLANE('',#350027); #16201=PLANE('',#350028); #16202=PLANE('',#350029); #16203=PLANE('',#350030); #16204=PLANE('',#350031); #16205=PLANE('',#350032); #16206=PLANE('',#350033); #16207=PLANE('',#350034); #16208=PLANE('',#350035); #16209=PLANE('',#350036); #16210=PLANE('',#350037); #16211=PLANE('',#350038); #16212=PLANE('',#350039); #16213=PLANE('',#350040); #16214=PLANE('',#350041); #16215=PLANE('',#350042); #16216=PLANE('',#350043); #16217=PLANE('',#350044); #16218=PLANE('',#350045); #16219=PLANE('',#350046); #16220=PLANE('',#350047); #16221=PLANE('',#350048); #16222=PLANE('',#350049); #16223=PLANE('',#350050); #16224=PLANE('',#350051); #16225=PLANE('',#350052); #16226=PLANE('',#350053); #16227=PLANE('',#350054); #16228=PLANE('',#350055); #16229=PLANE('',#350056); #16230=PLANE('',#350057); #16231=PLANE('',#350058); #16232=PLANE('',#350059); #16233=PLANE('',#350060); #16234=PLANE('',#350061); #16235=PLANE('',#350062); #16236=PLANE('',#350063); #16237=PLANE('',#350064); #16238=PLANE('',#350065); #16239=PLANE('',#350066); #16240=PLANE('',#350067); #16241=PLANE('',#350068); #16242=PLANE('',#350069); #16243=PLANE('',#350070); #16244=PLANE('',#350071); #16245=PLANE('',#350072); #16246=PLANE('',#350073); #16247=PLANE('',#350074); #16248=PLANE('',#350075); #16249=PLANE('',#350076); #16250=PLANE('',#350077); #16251=PLANE('',#350078); #16252=PLANE('',#350079); #16253=PLANE('',#350080); #16254=PLANE('',#350081); #16255=PLANE('',#350082); #16256=PLANE('',#350083); #16257=PLANE('',#350084); #16258=PLANE('',#350085); #16259=PLANE('',#350086); #16260=PLANE('',#350087); #16261=PLANE('',#350088); #16262=PLANE('',#350089); #16263=PLANE('',#350090); #16264=PLANE('',#350091); #16265=PLANE('',#350092); #16266=PLANE('',#350093); #16267=PLANE('',#350094); #16268=PLANE('',#350095); #16269=PLANE('',#350096); #16270=PLANE('',#350097); #16271=PLANE('',#350098); #16272=PLANE('',#350099); #16273=PLANE('',#350100); #16274=PLANE('',#350101); #16275=PLANE('',#350102); #16276=PLANE('',#350103); #16277=PLANE('',#350104); #16278=PLANE('',#350105); #16279=PLANE('',#350106); #16280=PLANE('',#350107); #16281=PLANE('',#350108); #16282=PLANE('',#350109); #16283=PLANE('',#350110); #16284=PLANE('',#350111); #16285=PLANE('',#350112); #16286=PLANE('',#350113); #16287=PLANE('',#350114); #16288=PLANE('',#350115); #16289=PLANE('',#350116); #16290=PLANE('',#350117); #16291=PLANE('',#350118); #16292=PLANE('',#350119); #16293=PLANE('',#350120); #16294=PLANE('',#350121); #16295=PLANE('',#350122); #16296=PLANE('',#350123); #16297=PLANE('',#350124); #16298=PLANE('',#350125); #16299=PLANE('',#350126); #16300=PLANE('',#350127); #16301=PLANE('',#350128); #16302=PLANE('',#350129); #16303=PLANE('',#350130); #16304=PLANE('',#350131); #16305=PLANE('',#350132); #16306=PLANE('',#350133); #16307=PLANE('',#350134); #16308=PLANE('',#350135); #16309=PLANE('',#350136); #16310=PLANE('',#350137); #16311=PLANE('',#350138); #16312=PLANE('',#350139); #16313=PLANE('',#350140); #16314=PLANE('',#350141); #16315=PLANE('',#350142); #16316=PLANE('',#350143); #16317=PLANE('',#350144); #16318=PLANE('',#350145); #16319=PLANE('',#350146); #16320=PLANE('',#350147); #16321=PLANE('',#350148); #16322=PLANE('',#350149); #16323=PLANE('',#350150); #16324=PLANE('',#350151); #16325=PLANE('',#350152); #16326=PLANE('',#350153); #16327=PLANE('',#350154); #16328=PLANE('',#350155); #16329=PLANE('',#350156); #16330=PLANE('',#350157); #16331=PLANE('',#350158); #16332=PLANE('',#350159); #16333=PLANE('',#350160); #16334=PLANE('',#350161); #16335=PLANE('',#350162); #16336=PLANE('',#350163); #16337=PLANE('',#350164); #16338=PLANE('',#350165); #16339=PLANE('',#350166); #16340=PLANE('',#350167); #16341=PLANE('',#350168); #16342=PLANE('',#350169); #16343=PLANE('',#350170); #16344=PLANE('',#350171); #16345=PLANE('',#350172); #16346=PLANE('',#350173); #16347=PLANE('',#350174); #16348=PLANE('',#350175); #16349=PLANE('',#350176); #16350=PLANE('',#350177); #16351=PLANE('',#350178); #16352=PLANE('',#350179); #16353=PLANE('',#350180); #16354=PLANE('',#350181); #16355=PLANE('',#350182); #16356=PLANE('',#350183); #16357=PLANE('',#350184); #16358=PLANE('',#350185); #16359=PLANE('',#350186); #16360=PLANE('',#350187); #16361=PLANE('',#350188); #16362=PLANE('',#350189); #16363=PLANE('',#350190); #16364=PLANE('',#350191); #16365=PLANE('',#350192); #16366=PLANE('',#350193); #16367=PLANE('',#350194); #16368=PLANE('',#350195); #16369=PLANE('',#350196); #16370=PLANE('',#350197); #16371=PLANE('',#350198); #16372=PLANE('',#350199); #16373=PLANE('',#350200); #16374=PLANE('',#350201); #16375=PLANE('',#350202); #16376=PLANE('',#350203); #16377=PLANE('',#350204); #16378=PLANE('',#350205); #16379=PLANE('',#350206); #16380=PLANE('',#350207); #16381=PLANE('',#350208); #16382=PLANE('',#350209); #16383=PLANE('',#350210); #16384=PLANE('',#350211); #16385=PLANE('',#350212); #16386=PLANE('',#350213); #16387=PLANE('',#350214); #16388=PLANE('',#350215); #16389=PLANE('',#350216); #16390=PLANE('',#350217); #16391=PLANE('',#350218); #16392=PLANE('',#350219); #16393=PLANE('',#350220); #16394=PLANE('',#350221); #16395=PLANE('',#350222); #16396=PLANE('',#350223); #16397=PLANE('',#350224); #16398=PLANE('',#350225); #16399=PLANE('',#350226); #16400=PLANE('',#350227); #16401=PLANE('',#350228); #16402=PLANE('',#350229); #16403=PLANE('',#350230); #16404=PLANE('',#350231); #16405=PLANE('',#350232); #16406=PLANE('',#350233); #16407=PLANE('',#350234); #16408=PLANE('',#350235); #16409=PLANE('',#350236); #16410=PLANE('',#350237); #16411=PLANE('',#350238); #16412=PLANE('',#350239); #16413=PLANE('',#350240); #16414=PLANE('',#350241); #16415=PLANE('',#350242); #16416=PLANE('',#350243); #16417=PLANE('',#350244); #16418=PLANE('',#350245); #16419=PLANE('',#350246); #16420=PLANE('',#350247); #16421=PLANE('',#350248); #16422=PLANE('',#350249); #16423=PLANE('',#350250); #16424=PLANE('',#350251); #16425=PLANE('',#350252); #16426=PLANE('',#350253); #16427=PLANE('',#350254); #16428=PLANE('',#350255); #16429=PLANE('',#350256); #16430=PLANE('',#350257); #16431=PLANE('',#350258); #16432=PLANE('',#350259); #16433=PLANE('',#350260); #16434=PLANE('',#350261); #16435=PLANE('',#350262); #16436=PLANE('',#350263); #16437=PLANE('',#350264); #16438=PLANE('',#350265); #16439=PLANE('',#350266); #16440=PLANE('',#350267); #16441=PLANE('',#350268); #16442=PLANE('',#350269); #16443=PLANE('',#350270); #16444=PLANE('',#350271); #16445=PLANE('',#350272); #16446=PLANE('',#350273); #16447=PLANE('',#350274); #16448=PLANE('',#350275); #16449=PLANE('',#350276); #16450=PLANE('',#350277); #16451=PLANE('',#350278); #16452=PLANE('',#350279); #16453=PLANE('',#350280); #16454=PLANE('',#350281); #16455=PLANE('',#350282); #16456=PLANE('',#350283); #16457=PLANE('',#350284); #16458=PLANE('',#350285); #16459=PLANE('',#350286); #16460=PLANE('',#350287); #16461=PLANE('',#350288); #16462=PLANE('',#350289); #16463=PLANE('',#350290); #16464=PLANE('',#350291); #16465=PLANE('',#350292); #16466=PLANE('',#350293); #16467=PLANE('',#350294); #16468=PLANE('',#350295); #16469=PLANE('',#350296); #16470=PLANE('',#350297); #16471=PLANE('',#350298); #16472=PLANE('',#350299); #16473=PLANE('',#350300); #16474=PLANE('',#350301); #16475=PLANE('',#350302); #16476=PLANE('',#350303); #16477=PLANE('',#350304); #16478=PLANE('',#350305); #16479=PLANE('',#350306); #16480=PLANE('',#350307); #16481=PLANE('',#350308); #16482=PLANE('',#350309); #16483=PLANE('',#350310); #16484=PLANE('',#350311); #16485=PLANE('',#350312); #16486=PLANE('',#350313); #16487=PLANE('',#350314); #16488=PLANE('',#350315); #16489=PLANE('',#350316); #16490=PLANE('',#350317); #16491=PLANE('',#350318); #16492=PLANE('',#350319); #16493=PLANE('',#350320); #16494=PLANE('',#350321); #16495=PLANE('',#350322); #16496=PLANE('',#350323); #16497=PLANE('',#350324); #16498=PLANE('',#350325); #16499=PLANE('',#350326); #16500=PLANE('',#350327); #16501=PLANE('',#350328); #16502=PLANE('',#350329); #16503=PLANE('',#350330); #16504=PLANE('',#350331); #16505=PLANE('',#350332); #16506=PLANE('',#350333); #16507=PLANE('',#350334); #16508=PLANE('',#350335); #16509=PLANE('',#350336); #16510=PLANE('',#350337); #16511=PLANE('',#350338); #16512=PLANE('',#350339); #16513=PLANE('',#350340); #16514=PLANE('',#350341); #16515=PLANE('',#350342); #16516=PLANE('',#350343); #16517=PLANE('',#350344); #16518=PLANE('',#350345); #16519=PLANE('',#350346); #16520=PLANE('',#350347); #16521=PLANE('',#350348); #16522=PLANE('',#350349); #16523=PLANE('',#350350); #16524=PLANE('',#350351); #16525=PLANE('',#350352); #16526=PLANE('',#350353); #16527=PLANE('',#350354); #16528=PLANE('',#350355); #16529=PLANE('',#350356); #16530=PLANE('',#350357); #16531=PLANE('',#350358); #16532=PLANE('',#350359); #16533=PLANE('',#350360); #16534=PLANE('',#350361); #16535=PLANE('',#350362); #16536=PLANE('',#350363); #16537=PLANE('',#350364); #16538=PLANE('',#350365); #16539=PLANE('',#350366); #16540=PLANE('',#350367); #16541=PLANE('',#350368); #16542=PLANE('',#350369); #16543=PLANE('',#350370); #16544=PLANE('',#350371); #16545=PLANE('',#350372); #16546=PLANE('',#350373); #16547=PLANE('',#350374); #16548=PLANE('',#350375); #16549=PLANE('',#350376); #16550=PLANE('',#350377); #16551=PLANE('',#350378); #16552=PLANE('',#350379); #16553=PLANE('',#350380); #16554=PLANE('',#350381); #16555=PLANE('',#350382); #16556=PLANE('',#350383); #16557=PLANE('',#350384); #16558=PLANE('',#350385); #16559=PLANE('',#350386); #16560=PLANE('',#350387); #16561=PLANE('',#350388); #16562=PLANE('',#350389); #16563=PLANE('',#350390); #16564=PLANE('',#350391); #16565=PLANE('',#350392); #16566=PLANE('',#350393); #16567=PLANE('',#350394); #16568=PLANE('',#350395); #16569=PLANE('',#350396); #16570=PLANE('',#350397); #16571=PLANE('',#350398); #16572=PLANE('',#350399); #16573=PLANE('',#350400); #16574=PLANE('',#350401); #16575=PLANE('',#350402); #16576=PLANE('',#350403); #16577=PLANE('',#350404); #16578=PLANE('',#350405); #16579=PLANE('',#350406); #16580=PLANE('',#350407); #16581=PLANE('',#350408); #16582=PLANE('',#350409); #16583=PLANE('',#350410); #16584=PLANE('',#350411); #16585=PLANE('',#350412); #16586=PLANE('',#350413); #16587=PLANE('',#350414); #16588=PLANE('',#350415); #16589=PLANE('',#350416); #16590=PLANE('',#350417); #16591=PLANE('',#350418); #16592=PLANE('',#350419); #16593=PLANE('',#350420); #16594=PLANE('',#350421); #16595=PLANE('',#350422); #16596=PLANE('',#350423); #16597=PLANE('',#350424); #16598=PLANE('',#350425); #16599=PLANE('',#350426); #16600=PLANE('',#350427); #16601=PLANE('',#350428); #16602=PLANE('',#350429); #16603=PLANE('',#350430); #16604=PLANE('',#350431); #16605=PLANE('',#350432); #16606=PLANE('',#350433); #16607=PLANE('',#350434); #16608=PLANE('',#350435); #16609=PLANE('',#350436); #16610=PLANE('',#350437); #16611=PLANE('',#350438); #16612=PLANE('',#350439); #16613=PLANE('',#350440); #16614=PLANE('',#350441); #16615=PLANE('',#350442); #16616=PLANE('',#350443); #16617=PLANE('',#350444); #16618=PLANE('',#350445); #16619=PLANE('',#350446); #16620=PLANE('',#350447); #16621=PLANE('',#350448); #16622=PLANE('',#350449); #16623=PLANE('',#350450); #16624=PLANE('',#350451); #16625=PLANE('',#350452); #16626=PLANE('',#350453); #16627=PLANE('',#350454); #16628=PLANE('',#350455); #16629=PLANE('',#350456); #16630=PLANE('',#350457); #16631=PLANE('',#350458); #16632=PLANE('',#350459); #16633=PLANE('',#350460); #16634=PLANE('',#350461); #16635=PLANE('',#350462); #16636=PLANE('',#350463); #16637=PLANE('',#350464); #16638=PLANE('',#350465); #16639=PLANE('',#350466); #16640=PLANE('',#350467); #16641=PLANE('',#350468); #16642=PLANE('',#350469); #16643=PLANE('',#350470); #16644=PLANE('',#350471); #16645=PLANE('',#350472); #16646=PLANE('',#350473); #16647=PLANE('',#350474); #16648=PLANE('',#350475); #16649=PLANE('',#350476); #16650=PLANE('',#350477); #16651=PLANE('',#350478); #16652=PLANE('',#350479); #16653=PLANE('',#350480); #16654=PLANE('',#350481); #16655=PLANE('',#350482); #16656=PLANE('',#350483); #16657=PLANE('',#350484); #16658=PLANE('',#350485); #16659=PLANE('',#350486); #16660=PLANE('',#350487); #16661=PLANE('',#350488); #16662=PLANE('',#350489); #16663=PLANE('',#350490); #16664=PLANE('',#350491); #16665=PLANE('',#350492); #16666=PLANE('',#350493); #16667=PLANE('',#350494); #16668=PLANE('',#350495); #16669=PLANE('',#350496); #16670=PLANE('',#350497); #16671=PLANE('',#350498); #16672=PLANE('',#350499); #16673=PLANE('',#350500); #16674=PLANE('',#350501); #16675=PLANE('',#350502); #16676=PLANE('',#350503); #16677=PLANE('',#350504); #16678=PLANE('',#350505); #16679=PLANE('',#350506); #16680=PLANE('',#350507); #16681=PLANE('',#350508); #16682=PLANE('',#350509); #16683=PLANE('',#350510); #16684=PLANE('',#350511); #16685=PLANE('',#350512); #16686=PLANE('',#350513); #16687=PLANE('',#350514); #16688=PLANE('',#350515); #16689=PLANE('',#350516); #16690=PLANE('',#350517); #16691=PLANE('',#350518); #16692=PLANE('',#350519); #16693=PLANE('',#350520); #16694=PLANE('',#350521); #16695=PLANE('',#350522); #16696=PLANE('',#350523); #16697=PLANE('',#350524); #16698=PLANE('',#350525); #16699=PLANE('',#350526); #16700=PLANE('',#350527); #16701=PLANE('',#350528); #16702=PLANE('',#350529); #16703=PLANE('',#350530); #16704=PLANE('',#350531); #16705=PLANE('',#350532); #16706=PLANE('',#350533); #16707=PLANE('',#350534); #16708=PLANE('',#350535); #16709=PLANE('',#350536); #16710=PLANE('',#350537); #16711=PLANE('',#350538); #16712=PLANE('',#350539); #16713=PLANE('',#350540); #16714=PLANE('',#350541); #16715=PLANE('',#350542); #16716=PLANE('',#350543); #16717=PLANE('',#350544); #16718=PLANE('',#350545); #16719=PLANE('',#350546); #16720=PLANE('',#350547); #16721=PLANE('',#350548); #16722=PLANE('',#350549); #16723=PLANE('',#350550); #16724=PLANE('',#350551); #16725=PLANE('',#350552); #16726=PLANE('',#350553); #16727=PLANE('',#350554); #16728=PLANE('',#350555); #16729=PLANE('',#350556); #16730=PLANE('',#350557); #16731=PLANE('',#350558); #16732=PLANE('',#350559); #16733=PLANE('',#350560); #16734=PLANE('',#350561); #16735=PLANE('',#350562); #16736=PLANE('',#350563); #16737=PLANE('',#350564); #16738=PLANE('',#350565); #16739=PLANE('',#350566); #16740=PLANE('',#350567); #16741=PLANE('',#350568); #16742=PLANE('',#350569); #16743=PLANE('',#350570); #16744=PLANE('',#350571); #16745=PLANE('',#350572); #16746=PLANE('',#350573); #16747=PLANE('',#350574); #16748=PLANE('',#350575); #16749=PLANE('',#350576); #16750=PLANE('',#350577); #16751=PLANE('',#350578); #16752=PLANE('',#350579); #16753=PLANE('',#350580); #16754=PLANE('',#350581); #16755=PLANE('',#350582); #16756=PLANE('',#350583); #16757=PLANE('',#350584); #16758=PLANE('',#350585); #16759=PLANE('',#350586); #16760=PLANE('',#350587); #16761=PLANE('',#350588); #16762=PLANE('',#350589); #16763=PLANE('',#350590); #16764=PLANE('',#350591); #16765=PLANE('',#350592); #16766=PLANE('',#350593); #16767=PLANE('',#350594); #16768=PLANE('',#350595); #16769=PLANE('',#350596); #16770=PLANE('',#350597); #16771=PLANE('',#350598); #16772=PLANE('',#350599); #16773=PLANE('',#350600); #16774=PLANE('',#350601); #16775=PLANE('',#350602); #16776=PLANE('',#350603); #16777=PLANE('',#350604); #16778=PLANE('',#350605); #16779=PLANE('',#350606); #16780=PLANE('',#350607); #16781=PLANE('',#350608); #16782=PLANE('',#350609); #16783=PLANE('',#350610); #16784=PLANE('',#350611); #16785=PLANE('',#350612); #16786=PLANE('',#350613); #16787=PLANE('',#350614); #16788=PLANE('',#350615); #16789=PLANE('',#350616); #16790=PLANE('',#350617); #16791=PLANE('',#350618); #16792=PLANE('',#350619); #16793=PLANE('',#350620); #16794=PLANE('',#350621); #16795=PLANE('',#350622); #16796=PLANE('',#350623); #16797=PLANE('',#350624); #16798=PLANE('',#350625); #16799=PLANE('',#350626); #16800=PLANE('',#350627); #16801=PLANE('',#350628); #16802=PLANE('',#350629); #16803=PLANE('',#350630); #16804=PLANE('',#350631); #16805=PLANE('',#350632); #16806=PLANE('',#350633); #16807=PLANE('',#350634); #16808=PLANE('',#350635); #16809=PLANE('',#350636); #16810=PLANE('',#350637); #16811=PLANE('',#350638); #16812=PLANE('',#350639); #16813=PLANE('',#350640); #16814=PLANE('',#350641); #16815=PLANE('',#350642); #16816=PLANE('',#350643); #16817=PLANE('',#350644); #16818=PLANE('',#350645); #16819=PLANE('',#350646); #16820=PLANE('',#350647); #16821=PLANE('',#350648); #16822=PLANE('',#350649); #16823=PLANE('',#350650); #16824=PLANE('',#350651); #16825=PLANE('',#350652); #16826=PLANE('',#350653); #16827=PLANE('',#350654); #16828=PLANE('',#350655); #16829=PLANE('',#350656); #16830=PLANE('',#350657); #16831=PLANE('',#350658); #16832=PLANE('',#350659); #16833=PLANE('',#350660); #16834=PLANE('',#350661); #16835=PLANE('',#350662); #16836=PLANE('',#350663); #16837=PLANE('',#350664); #16838=PLANE('',#350665); #16839=PLANE('',#350666); #16840=PLANE('',#350667); #16841=PLANE('',#350668); #16842=PLANE('',#350669); #16843=PLANE('',#350670); #16844=PLANE('',#350671); #16845=PLANE('',#350672); #16846=PLANE('',#350673); #16847=PLANE('',#350674); #16848=PLANE('',#350675); #16849=PLANE('',#350676); #16850=PLANE('',#350677); #16851=PLANE('',#350678); #16852=PLANE('',#350679); #16853=PLANE('',#350680); #16854=PLANE('',#350681); #16855=PLANE('',#350682); #16856=PLANE('',#350683); #16857=PLANE('',#350684); #16858=PLANE('',#350685); #16859=PLANE('',#350686); #16860=PLANE('',#350687); #16861=PLANE('',#350688); #16862=PLANE('',#350689); #16863=PLANE('',#350690); #16864=PLANE('',#350691); #16865=PLANE('',#350692); #16866=PLANE('',#350693); #16867=PLANE('',#350694); #16868=PLANE('',#350695); #16869=PLANE('',#350696); #16870=PLANE('',#350697); #16871=PLANE('',#350698); #16872=PLANE('',#350699); #16873=PLANE('',#350700); #16874=PLANE('',#350701); #16875=PLANE('',#350702); #16876=PLANE('',#350703); #16877=PLANE('',#350704); #16878=PLANE('',#350705); #16879=PLANE('',#350706); #16880=PLANE('',#350707); #16881=PLANE('',#350708); #16882=PLANE('',#350709); #16883=PLANE('',#350710); #16884=PLANE('',#350711); #16885=PLANE('',#350712); #16886=PLANE('',#350713); #16887=PLANE('',#350714); #16888=PLANE('',#350715); #16889=PLANE('',#350716); #16890=PLANE('',#350717); #16891=PLANE('',#350718); #16892=PLANE('',#350719); #16893=PLANE('',#350720); #16894=PLANE('',#350721); #16895=PLANE('',#350722); #16896=PLANE('',#350723); #16897=PLANE('',#350724); #16898=PLANE('',#350725); #16899=PLANE('',#350726); #16900=PLANE('',#350727); #16901=PLANE('',#350728); #16902=PLANE('',#350729); #16903=PLANE('',#350730); #16904=PLANE('',#350731); #16905=PLANE('',#350732); #16906=PLANE('',#350733); #16907=PLANE('',#350734); #16908=PLANE('',#350735); #16909=PLANE('',#350736); #16910=PLANE('',#350737); #16911=PLANE('',#350738); #16912=PLANE('',#350739); #16913=PLANE('',#350740); #16914=PLANE('',#350741); #16915=PLANE('',#350742); #16916=PLANE('',#350743); #16917=PLANE('',#350744); #16918=PLANE('',#350745); #16919=PLANE('',#350746); #16920=PLANE('',#350747); #16921=PLANE('',#350748); #16922=PLANE('',#350749); #16923=PLANE('',#350750); #16924=PLANE('',#350751); #16925=PLANE('',#350752); #16926=PLANE('',#350753); #16927=PLANE('',#350754); #16928=PLANE('',#350755); #16929=PLANE('',#350756); #16930=PLANE('',#350757); #16931=PLANE('',#350758); #16932=PLANE('',#350759); #16933=PLANE('',#350760); #16934=PLANE('',#350761); #16935=PLANE('',#350762); #16936=PLANE('',#350763); #16937=PLANE('',#350764); #16938=PLANE('',#350765); #16939=PLANE('',#350766); #16940=PLANE('',#350767); #16941=PLANE('',#350768); #16942=PLANE('',#350769); #16943=PLANE('',#350770); #16944=PLANE('',#350771); #16945=PLANE('',#350772); #16946=PLANE('',#350773); #16947=PLANE('',#350774); #16948=PLANE('',#350775); #16949=PLANE('',#350776); #16950=PLANE('',#350777); #16951=PLANE('',#350778); #16952=PLANE('',#350779); #16953=PLANE('',#350780); #16954=PLANE('',#350781); #16955=PLANE('',#350782); #16956=PLANE('',#350783); #16957=PLANE('',#350784); #16958=PLANE('',#350785); #16959=PLANE('',#350786); #16960=PLANE('',#350787); #16961=PLANE('',#350788); #16962=PLANE('',#350789); #16963=PLANE('',#350790); #16964=PLANE('',#350791); #16965=PLANE('',#350792); #16966=PLANE('',#350793); #16967=PLANE('',#350794); #16968=PLANE('',#350795); #16969=PLANE('',#350796); #16970=PLANE('',#350797); #16971=PLANE('',#350798); #16972=PLANE('',#350799); #16973=PLANE('',#350800); #16974=PLANE('',#350801); #16975=PLANE('',#350802); #16976=PLANE('',#350803); #16977=PLANE('',#350804); #16978=PLANE('',#350805); #16979=PLANE('',#350806); #16980=PLANE('',#350807); #16981=PLANE('',#350808); #16982=PLANE('',#350809); #16983=PLANE('',#350810); #16984=PLANE('',#350811); #16985=PLANE('',#350812); #16986=PLANE('',#350813); #16987=PLANE('',#350814); #16988=PLANE('',#350815); #16989=PLANE('',#350816); #16990=PLANE('',#350817); #16991=PLANE('',#350818); #16992=PLANE('',#350819); #16993=PLANE('',#350820); #16994=PLANE('',#350821); #16995=PLANE('',#350822); #16996=PLANE('',#350823); #16997=PLANE('',#350824); #16998=PLANE('',#350825); #16999=PLANE('',#350826); #17000=PLANE('',#350827); #17001=PLANE('',#350828); #17002=PLANE('',#350829); #17003=PLANE('',#350830); #17004=PLANE('',#350831); #17005=PLANE('',#350832); #17006=PLANE('',#350833); #17007=PLANE('',#350834); #17008=PLANE('',#350835); #17009=PLANE('',#350836); #17010=PLANE('',#350837); #17011=PLANE('',#350838); #17012=PLANE('',#350839); #17013=PLANE('',#350840); #17014=PLANE('',#350841); #17015=PLANE('',#350842); #17016=PLANE('',#350843); #17017=PLANE('',#350844); #17018=PLANE('',#350845); #17019=PLANE('',#350846); #17020=PLANE('',#350847); #17021=PLANE('',#350848); #17022=PLANE('',#350849); #17023=PLANE('',#350850); #17024=PLANE('',#350851); #17025=PLANE('',#350852); #17026=PLANE('',#350853); #17027=PLANE('',#350854); #17028=PLANE('',#350855); #17029=PLANE('',#350856); #17030=PLANE('',#350857); #17031=PLANE('',#350858); #17032=PLANE('',#350859); #17033=PLANE('',#350860); #17034=PLANE('',#350861); #17035=PLANE('',#350862); #17036=PLANE('',#350863); #17037=PLANE('',#350864); #17038=PLANE('',#350865); #17039=PLANE('',#350866); #17040=PLANE('',#350867); #17041=PLANE('',#350868); #17042=PLANE('',#350869); #17043=PLANE('',#350870); #17044=PLANE('',#350871); #17045=PLANE('',#350872); #17046=PLANE('',#350873); #17047=PLANE('',#350874); #17048=PLANE('',#350875); #17049=PLANE('',#350876); #17050=PLANE('',#350877); #17051=PLANE('',#350878); #17052=PLANE('',#350879); #17053=PLANE('',#350880); #17054=PLANE('',#350881); #17055=PLANE('',#350882); #17056=PLANE('',#350883); #17057=PLANE('',#350884); #17058=PLANE('',#350885); #17059=PLANE('',#350886); #17060=PLANE('',#350887); #17061=PLANE('',#350888); #17062=PLANE('',#350889); #17063=PLANE('',#350890); #17064=PLANE('',#350891); #17065=PLANE('',#350892); #17066=PLANE('',#350893); #17067=PLANE('',#350894); #17068=PLANE('',#350895); #17069=PLANE('',#350896); #17070=PLANE('',#350897); #17071=PLANE('',#350898); #17072=PLANE('',#350899); #17073=PLANE('',#350900); #17074=PLANE('',#350901); #17075=PLANE('',#350902); #17076=PLANE('',#350903); #17077=PLANE('',#350904); #17078=PLANE('',#350905); #17079=PLANE('',#350906); #17080=PLANE('',#350907); #17081=PLANE('',#350908); #17082=PLANE('',#350909); #17083=PLANE('',#350910); #17084=PLANE('',#350911); #17085=PLANE('',#350912); #17086=PLANE('',#350913); #17087=PLANE('',#350914); #17088=PLANE('',#350915); #17089=PLANE('',#350916); #17090=PLANE('',#350917); #17091=PLANE('',#350918); #17092=PLANE('',#350919); #17093=PLANE('',#350920); #17094=PLANE('',#350921); #17095=PLANE('',#350922); #17096=PLANE('',#350923); #17097=PLANE('',#350924); #17098=PLANE('',#350925); #17099=PLANE('',#350926); #17100=PLANE('',#350927); #17101=PLANE('',#350928); #17102=PLANE('',#350929); #17103=PLANE('',#350930); #17104=PLANE('',#350931); #17105=PLANE('',#350932); #17106=PLANE('',#350933); #17107=PLANE('',#350934); #17108=PLANE('',#350935); #17109=PLANE('',#350936); #17110=PLANE('',#350937); #17111=PLANE('',#350938); #17112=PLANE('',#350939); #17113=PLANE('',#350940); #17114=PLANE('',#350941); #17115=PLANE('',#350942); #17116=PLANE('',#350943); #17117=PLANE('',#350944); #17118=PLANE('',#350945); #17119=PLANE('',#350946); #17120=PLANE('',#350947); #17121=PLANE('',#350948); #17122=PLANE('',#350949); #17123=PLANE('',#350950); #17124=PLANE('',#350951); #17125=PLANE('',#350952); #17126=PLANE('',#350953); #17127=PLANE('',#350954); #17128=PLANE('',#350955); #17129=PLANE('',#350956); #17130=PLANE('',#350957); #17131=PLANE('',#350958); #17132=PLANE('',#350959); #17133=PLANE('',#350960); #17134=PLANE('',#350961); #17135=PLANE('',#350962); #17136=PLANE('',#350963); #17137=PLANE('',#350964); #17138=PLANE('',#350965); #17139=PLANE('',#350966); #17140=PLANE('',#350967); #17141=PLANE('',#350968); #17142=PLANE('',#350969); #17143=PLANE('',#350970); #17144=PLANE('',#350971); #17145=PLANE('',#350972); #17146=PLANE('',#350973); #17147=PLANE('',#350974); #17148=PLANE('',#350975); #17149=PLANE('',#350979); #17150=PLANE('',#350983); #17151=PLANE('',#350984); #17152=PLANE('',#350985); #17153=PLANE('',#350986); #17154=PLANE('',#350987); #17155=PLANE('',#350988); #17156=PLANE('',#350989); #17157=PLANE('',#350990); #17158=PLANE('',#350991); #17159=PLANE('',#350992); #17160=PLANE('',#350993); #17161=PLANE('',#350994); #17162=PLANE('',#350995); #17163=PLANE('',#350996); #17164=PLANE('',#350997); #17165=PLANE('',#350998); #17166=PLANE('',#350999); #17167=PLANE('',#351000); #17168=PLANE('',#351001); #17169=PLANE('',#351002); #17170=PLANE('',#351003); #17171=PLANE('',#351004); #17172=PLANE('',#351005); #17173=PLANE('',#351006); #17174=PLANE('',#351007); #17175=PLANE('',#351008); #17176=PLANE('',#351009); #17177=PLANE('',#351010); #17178=PLANE('',#351011); #17179=PLANE('',#351012); #17180=PLANE('',#351013); #17181=PLANE('',#351014); #17182=PLANE('',#351015); #17183=PLANE('',#351016); #17184=PLANE('',#351017); #17185=PLANE('',#351018); #17186=PLANE('',#351019); #17187=PLANE('',#351020); #17188=PLANE('',#351021); #17189=PLANE('',#351022); #17190=PLANE('',#351023); #17191=PLANE('',#351024); #17192=PLANE('',#351025); #17193=PLANE('',#351026); #17194=PLANE('',#351027); #17195=PLANE('',#351028); #17196=PLANE('',#351029); #17197=PLANE('',#351030); #17198=PLANE('',#351031); #17199=PLANE('',#351032); #17200=PLANE('',#351033); #17201=PLANE('',#351034); #17202=PLANE('',#351035); #17203=PLANE('',#351036); #17204=PLANE('',#351037); #17205=PLANE('',#351038); #17206=PLANE('',#351039); #17207=PLANE('',#351040); #17208=PLANE('',#351041); #17209=PLANE('',#351042); #17210=PLANE('',#351043); #17211=PLANE('',#351044); #17212=PLANE('',#351045); #17213=PLANE('',#351046); #17214=PLANE('',#351047); #17215=PLANE('',#351048); #17216=PLANE('',#351049); #17217=PLANE('',#351050); #17218=PLANE('',#351051); #17219=PLANE('',#351052); #17220=PLANE('',#351053); #17221=PLANE('',#351054); #17222=PLANE('',#351055); #17223=PLANE('',#351056); #17224=PLANE('',#351057); #17225=PLANE('',#351058); #17226=PLANE('',#351059); #17227=PLANE('',#351060); #17228=PLANE('',#351061); #17229=PLANE('',#351062); #17230=PLANE('',#351063); #17231=PLANE('',#351064); #17232=PLANE('',#351065); #17233=PLANE('',#351066); #17234=PLANE('',#351067); #17235=PLANE('',#351068); #17236=PLANE('',#351069); #17237=PLANE('',#351070); #17238=PLANE('',#351071); #17239=PLANE('',#351072); #17240=PLANE('',#351073); #17241=PLANE('',#351074); #17242=PLANE('',#351075); #17243=PLANE('',#351076); #17244=PLANE('',#351077); #17245=PLANE('',#351078); #17246=PLANE('',#351079); #17247=PLANE('',#351080); #17248=PLANE('',#351081); #17249=PLANE('',#351082); #17250=PLANE('',#351083); #17251=PLANE('',#351084); #17252=PLANE('',#351085); #17253=PLANE('',#351086); #17254=PLANE('',#351087); #17255=PLANE('',#351088); #17256=PLANE('',#351089); #17257=PLANE('',#351090); #17258=PLANE('',#351091); #17259=PLANE('',#351092); #17260=PLANE('',#351093); #17261=PLANE('',#351094); #17262=PLANE('',#351095); #17263=PLANE('',#351096); #17264=PLANE('',#351097); #17265=PLANE('',#351098); #17266=PLANE('',#351099); #17267=PLANE('',#351100); #17268=PLANE('',#351101); #17269=PLANE('',#351102); #17270=PLANE('',#351103); #17271=PLANE('',#351104); #17272=PLANE('',#351105); #17273=PLANE('',#351106); #17274=PLANE('',#351107); #17275=PLANE('',#351108); #17276=PLANE('',#351109); #17277=PLANE('',#351110); #17278=PLANE('',#351111); #17279=PLANE('',#351112); #17280=PLANE('',#351113); #17281=PLANE('',#351114); #17282=PLANE('',#351115); #17283=PLANE('',#351116); #17284=PLANE('',#351117); #17285=PLANE('',#351118); #17286=PLANE('',#351119); #17287=PLANE('',#351120); #17288=PLANE('',#351121); #17289=PLANE('',#351122); #17290=PLANE('',#351123); #17291=PLANE('',#351124); #17292=PLANE('',#351125); #17293=PLANE('',#351126); #17294=PLANE('',#351127); #17295=PLANE('',#351128); #17296=PLANE('',#351129); #17297=PLANE('',#351130); #17298=PLANE('',#351134); #17299=PLANE('',#351135); #17300=PLANE('',#351136); #17301=PLANE('',#351137); #17302=PLANE('',#351138); #17303=PLANE('',#351139); #17304=PLANE('',#351140); #17305=PLANE('',#351141); #17306=PLANE('',#351142); #17307=PLANE('',#351143); #17308=PLANE('',#351144); #17309=PLANE('',#351145); #17310=PLANE('',#351146); #17311=PLANE('',#351147); #17312=PLANE('',#351148); #17313=PLANE('',#351149); #17314=PLANE('',#351150); #17315=PLANE('',#351151); #17316=PLANE('',#351152); #17317=PLANE('',#351153); #17318=PLANE('',#351154); #17319=PLANE('',#351155); #17320=PLANE('',#351156); #17321=PLANE('',#351157); #17322=PLANE('',#351158); #17323=PLANE('',#351159); #17324=PLANE('',#351160); #17325=PLANE('',#351161); #17326=PLANE('',#351162); #17327=PLANE('',#351163); #17328=PLANE('',#351164); #17329=PLANE('',#351165); #17330=PLANE('',#351166); #17331=PLANE('',#351167); #17332=PLANE('',#351168); #17333=PLANE('',#351169); #17334=PLANE('',#351170); #17335=PLANE('',#351171); #17336=PLANE('',#351172); #17337=PLANE('',#351173); #17338=PLANE('',#351174); #17339=PLANE('',#351175); #17340=PLANE('',#351176); #17341=PLANE('',#351177); #17342=PLANE('',#351178); #17343=PLANE('',#351179); #17344=PLANE('',#351180); #17345=PLANE('',#351181); #17346=PLANE('',#351182); #17347=PLANE('',#351183); #17348=PLANE('',#351184); #17349=PLANE('',#351185); #17350=PLANE('',#351186); #17351=PLANE('',#351187); #17352=PLANE('',#351188); #17353=PLANE('',#351189); #17354=PLANE('',#351190); #17355=PLANE('',#351191); #17356=PLANE('',#351192); #17357=PLANE('',#351193); #17358=PLANE('',#351194); #17359=PLANE('',#351195); #17360=PLANE('',#351196); #17361=PLANE('',#351197); #17362=PLANE('',#351198); #17363=PLANE('',#351199); #17364=PLANE('',#351200); #17365=PLANE('',#351201); #17366=PLANE('',#351202); #17367=PLANE('',#351203); #17368=PLANE('',#351204); #17369=PLANE('',#351205); #17370=PLANE('',#351206); #17371=PLANE('',#351207); #17372=PLANE('',#351208); #17373=PLANE('',#351209); #17374=PLANE('',#351210); #17375=PLANE('',#351211); #17376=PLANE('',#351212); #17377=PLANE('',#351213); #17378=PLANE('',#351214); #17379=PLANE('',#351215); #17380=PLANE('',#351216); #17381=PLANE('',#351217); #17382=PLANE('',#351218); #17383=PLANE('',#351219); #17384=PLANE('',#351220); #17385=PLANE('',#351221); #17386=PLANE('',#351222); #17387=PLANE('',#351223); #17388=PLANE('',#351224); #17389=PLANE('',#351225); #17390=PLANE('',#351226); #17391=PLANE('',#351227); #17392=PLANE('',#351228); #17393=PLANE('',#351229); #17394=PLANE('',#351230); #17395=PLANE('',#351231); #17396=PLANE('',#351232); #17397=PLANE('',#351233); #17398=PLANE('',#351234); #17399=PLANE('',#351235); #17400=PLANE('',#351236); #17401=PLANE('',#351237); #17402=PLANE('',#351238); #17403=PLANE('',#351239); #17404=PLANE('',#351240); #17405=PLANE('',#351241); #17406=PLANE('',#351242); #17407=PLANE('',#351243); #17408=PLANE('',#351244); #17409=PLANE('',#351245); #17410=PLANE('',#351246); #17411=PLANE('',#351247); #17412=PLANE('',#351248); #17413=PLANE('',#351249); #17414=PLANE('',#351250); #17415=PLANE('',#351251); #17416=PLANE('',#351252); #17417=PLANE('',#351253); #17418=PLANE('',#351254); #17419=PLANE('',#351255); #17420=PLANE('',#351256); #17421=PLANE('',#351257); #17422=PLANE('',#351258); #17423=PLANE('',#351259); #17424=PLANE('',#351260); #17425=PLANE('',#351261); #17426=PLANE('',#351262); #17427=PLANE('',#351263); #17428=PLANE('',#351264); #17429=PLANE('',#351265); #17430=PLANE('',#351266); #17431=PLANE('',#351267); #17432=PLANE('',#351268); #17433=PLANE('',#351269); #17434=PLANE('',#351270); #17435=PLANE('',#351271); #17436=PLANE('',#351272); #17437=PLANE('',#351273); #17438=PLANE('',#351274); #17439=PLANE('',#351275); #17440=PLANE('',#351276); #17441=PLANE('',#351277); #17442=PLANE('',#351278); #17443=PLANE('',#351279); #17444=PLANE('',#351280); #17445=PLANE('',#351281); #17446=PLANE('',#351282); #17447=PLANE('',#351283); #17448=PLANE('',#351284); #17449=PLANE('',#351285); #17450=PLANE('',#351286); #17451=PLANE('',#351287); #17452=PLANE('',#351288); #17453=PLANE('',#351289); #17454=PLANE('',#351290); #17455=PLANE('',#351291); #17456=PLANE('',#351340); #17457=PLANE('',#351341); #17458=PLANE('',#351342); #17459=PLANE('',#351343); #17460=PLANE('',#351344); #17461=PLANE('',#351345); #17462=PLANE('',#351346); #17463=PLANE('',#351347); #17464=PLANE('',#351348); #17465=PLANE('',#351349); #17466=PLANE('',#351350); #17467=PLANE('',#351351); #17468=PLANE('',#351352); #17469=PLANE('',#351353); #17470=PLANE('',#351354); #17471=PLANE('',#351355); #17472=PLANE('',#351356); #17473=PLANE('',#351357); #17474=PLANE('',#351358); #17475=PLANE('',#351359); #17476=PLANE('',#351360); #17477=PLANE('',#351361); #17478=PLANE('',#351362); #17479=PLANE('',#351363); #17480=PLANE('',#351364); #17481=PLANE('',#351365); #17482=PLANE('',#351366); #17483=PLANE('',#351367); #17484=PLANE('',#351368); #17485=PLANE('',#351369); #17486=PLANE('',#351370); #17487=PLANE('',#351371); #17488=PLANE('',#351372); #17489=PLANE('',#351373); #17490=PLANE('',#351374); #17491=PLANE('',#351375); #17492=PLANE('',#351376); #17493=PLANE('',#351377); #17494=PLANE('',#351378); #17495=PLANE('',#351379); #17496=PLANE('',#351380); #17497=PLANE('',#351381); #17498=PLANE('',#351382); #17499=PLANE('',#351383); #17500=PLANE('',#351384); #17501=PLANE('',#351385); #17502=PLANE('',#351386); #17503=PLANE('',#351387); #17504=PLANE('',#351388); #17505=PLANE('',#351389); #17506=PLANE('',#351390); #17507=PLANE('',#351391); #17508=PLANE('',#351392); #17509=PLANE('',#351393); #17510=PLANE('',#351394); #17511=PLANE('',#351395); #17512=PLANE('',#351396); #17513=PLANE('',#351397); #17514=PLANE('',#351398); #17515=PLANE('',#351399); #17516=PLANE('',#351400); #17517=PLANE('',#351401); #17518=PLANE('',#351402); #17519=PLANE('',#351403); #17520=PLANE('',#351404); #17521=PLANE('',#351405); #17522=PLANE('',#351406); #17523=PLANE('',#351407); #17524=PLANE('',#351408); #17525=PLANE('',#351409); #17526=PLANE('',#351410); #17527=PLANE('',#351411); #17528=PLANE('',#351412); #17529=PLANE('',#351413); #17530=PLANE('',#351414); #17531=PLANE('',#351415); #17532=PLANE('',#351464); #17533=PLANE('',#351465); #17534=PLANE('',#351466); #17535=PLANE('',#351467); #17536=PLANE('',#351468); #17537=PLANE('',#351469); #17538=PLANE('',#351470); #17539=PLANE('',#351471); #17540=PLANE('',#351472); #17541=PLANE('',#351473); #17542=PLANE('',#351474); #17543=PLANE('',#351475); #17544=PLANE('',#351476); #17545=PLANE('',#351477); #17546=PLANE('',#351478); #17547=PLANE('',#351479); #17548=PLANE('',#351480); #17549=PLANE('',#351481); #17550=PLANE('',#351482); #17551=PLANE('',#351483); #17552=PLANE('',#351484); #17553=PLANE('',#351485); #17554=PLANE('',#351486); #17555=PLANE('',#351487); #17556=PLANE('',#351488); #17557=PLANE('',#351489); #17558=PLANE('',#351490); #17559=PLANE('',#351491); #17560=PLANE('',#351492); #17561=PLANE('',#351493); #17562=PLANE('',#351494); #17563=PLANE('',#351495); #17564=PLANE('',#351496); #17565=PLANE('',#351497); #17566=PLANE('',#351498); #17567=PLANE('',#351499); #17568=PLANE('',#351500); #17569=PLANE('',#351501); #17570=PLANE('',#351502); #17571=PLANE('',#351503); #17572=PLANE('',#351504); #17573=PLANE('',#351505); #17574=PLANE('',#351506); #17575=PLANE('',#351507); #17576=PLANE('',#351508); #17577=PLANE('',#351509); #17578=PLANE('',#351510); #17579=PLANE('',#351511); #17580=PLANE('',#351512); #17581=PLANE('',#351513); #17582=PLANE('',#351514); #17583=PLANE('',#351515); #17584=PLANE('',#351516); #17585=PLANE('',#351517); #17586=PLANE('',#351518); #17587=PLANE('',#351519); #17588=PLANE('',#351520); #17589=PLANE('',#351521); #17590=PLANE('',#351522); #17591=PLANE('',#351523); #17592=PLANE('',#351524); #17593=PLANE('',#351525); #17594=PLANE('',#351526); #17595=PLANE('',#351527); #17596=PLANE('',#351528); #17597=PLANE('',#351529); #17598=PLANE('',#351530); #17599=PLANE('',#351531); #17600=PLANE('',#351532); #17601=PLANE('',#351533); #17602=PLANE('',#351534); #17603=PLANE('',#351535); #17604=PLANE('',#351626); #17605=PLANE('',#351627); #17606=PLANE('',#351628); #17607=PLANE('',#351629); #17608=PLANE('',#351630); #17609=PLANE('',#351631); #17610=PLANE('',#351632); #17611=PLANE('',#351633); #17612=PLANE('',#351634); #17613=PLANE('',#351635); #17614=PLANE('',#351636); #17615=PLANE('',#351637); #17616=PLANE('',#351638); #17617=PLANE('',#351639); #17618=PLANE('',#351640); #17619=PLANE('',#351641); #17620=PLANE('',#351642); #17621=PLANE('',#351643); #17622=PLANE('',#351644); #17623=PLANE('',#351645); #17624=PLANE('',#351646); #17625=PLANE('',#351647); #17626=PLANE('',#351648); #17627=PLANE('',#351649); #17628=PLANE('',#351650); #17629=PLANE('',#351651); #17630=PLANE('',#351652); #17631=PLANE('',#351653); #17632=PLANE('',#351654); #17633=PLANE('',#351655); #17634=PLANE('',#351656); #17635=PLANE('',#351657); #17636=PLANE('',#351658); #17637=PLANE('',#351659); #17638=PLANE('',#351660); #17639=PLANE('',#351661); #17640=PLANE('',#351662); #17641=PLANE('',#351663); #17642=PLANE('',#351664); #17643=PLANE('',#351665); #17644=PLANE('',#351666); #17645=PLANE('',#351667); #17646=PLANE('',#351668); #17647=PLANE('',#351669); #17648=PLANE('',#351670); #17649=PLANE('',#351671); #17650=PLANE('',#351672); #17651=PLANE('',#351679); #17652=PLANE('',#351683); #17653=PLANE('',#351690); #17654=PLANE('',#351694); #17655=PLANE('',#351695); #17656=PLANE('',#351786); #17657=PLANE('',#351787); #17658=PLANE('',#351788); #17659=PLANE('',#351789); #17660=PLANE('',#351790); #17661=PLANE('',#351791); #17662=PLANE('',#351792); #17663=PLANE('',#351793); #17664=PLANE('',#351794); #17665=PLANE('',#351795); #17666=PLANE('',#351796); #17667=PLANE('',#351797); #17668=PLANE('',#351798); #17669=PLANE('',#351799); #17670=PLANE('',#351800); #17671=PLANE('',#351801); #17672=PLANE('',#351802); #17673=PLANE('',#351803); #17674=PLANE('',#351804); #17675=PLANE('',#351805); #17676=PLANE('',#351806); #17677=PLANE('',#351807); #17678=PLANE('',#351808); #17679=PLANE('',#351809); #17680=PLANE('',#351810); #17681=PLANE('',#351811); #17682=PLANE('',#351812); #17683=PLANE('',#351813); #17684=PLANE('',#351814); #17685=PLANE('',#351815); #17686=PLANE('',#351816); #17687=PLANE('',#351817); #17688=PLANE('',#351818); #17689=PLANE('',#351819); #17690=PLANE('',#351820); #17691=PLANE('',#351821); #17692=PLANE('',#351822); #17693=PLANE('',#351823); #17694=PLANE('',#351824); #17695=PLANE('',#351825); #17696=PLANE('',#351826); #17697=PLANE('',#351827); #17698=PLANE('',#351828); #17699=PLANE('',#351829); #17700=PLANE('',#351830); #17701=PLANE('',#351831); #17702=PLANE('',#351832); #17703=PLANE('',#351839); #17704=PLANE('',#351843); #17705=PLANE('',#351850); #17706=PLANE('',#351854); #17707=PLANE('',#351855); #17708=PLANE('',#351862); #17709=PLANE('',#351863); #17710=PLANE('',#351864); #17711=PLANE('',#351865); #17712=PLANE('',#351866); #17713=PLANE('',#351867); #17714=PLANE('',#351868); #17715=PLANE('',#351869); #17716=PLANE('',#351870); #17717=PLANE('',#351871); #17718=PLANE('',#351872); #17719=PLANE('',#351873); #17720=PLANE('',#351874); #17721=PLANE('',#351875); #17722=PLANE('',#351876); #17723=PLANE('',#351877); #17724=PLANE('',#351878); #17725=PLANE('',#351879); #17726=PLANE('',#351880); #17727=PLANE('',#351881); #17728=PLANE('',#351882); #17729=PLANE('',#351883); #17730=PLANE('',#351884); #17731=PLANE('',#351885); #17732=PLANE('',#351886); #17733=PLANE('',#351887); #17734=PLANE('',#351888); #17735=PLANE('',#351889); #17736=PLANE('',#351890); #17737=PLANE('',#351891); #17738=PLANE('',#351892); #17739=PLANE('',#351893); #17740=PLANE('',#351894); #17741=PLANE('',#351895); #17742=PLANE('',#351896); #17743=PLANE('',#351897); #17744=PLANE('',#351898); #17745=PLANE('',#351899); #17746=PLANE('',#351900); #17747=PLANE('',#351901); #17748=PLANE('',#351902); #17749=PLANE('',#351903); #17750=PLANE('',#351904); #17751=PLANE('',#351905); #17752=PLANE('',#351906); #17753=PLANE('',#351907); #17754=PLANE('',#351908); #17755=PLANE('',#351909); #17756=PLANE('',#351910); #17757=PLANE('',#351911); #17758=PLANE('',#351912); #17759=PLANE('',#351913); #17760=PLANE('',#351914); #17761=PLANE('',#351915); #17762=PLANE('',#351916); #17763=PLANE('',#351917); #17764=PLANE('',#351918); #17765=PLANE('',#351919); #17766=PLANE('',#351920); #17767=PLANE('',#351921); #17768=PLANE('',#351922); #17769=PLANE('',#351923); #17770=PLANE('',#351924); #17771=PLANE('',#351925); #17772=PLANE('',#351926); #17773=PLANE('',#351927); #17774=PLANE('',#351928); #17775=PLANE('',#351929); #17776=PLANE('',#351930); #17777=PLANE('',#351931); #17778=PLANE('',#351932); #17779=PLANE('',#351933); #17780=PLANE('',#351934); #17781=PLANE('',#351935); #17782=PLANE('',#351936); #17783=PLANE('',#351937); #17784=PLANE('',#351938); #17785=PLANE('',#351939); #17786=PLANE('',#351940); #17787=PLANE('',#351941); #17788=PLANE('',#351942); #17789=PLANE('',#351943); #17790=PLANE('',#351944); #17791=PLANE('',#351945); #17792=PLANE('',#351946); #17793=PLANE('',#351947); #17794=PLANE('',#351948); #17795=PLANE('',#351949); #17796=PLANE('',#351950); #17797=PLANE('',#351951); #17798=PLANE('',#351952); #17799=PLANE('',#351953); #17800=PLANE('',#351954); #17801=PLANE('',#351955); #17802=PLANE('',#351956); #17803=PLANE('',#351957); #17804=PLANE('',#351958); #17805=PLANE('',#351959); #17806=PLANE('',#351960); #17807=PLANE('',#351961); #17808=PLANE('',#351962); #17809=PLANE('',#351963); #17810=PLANE('',#351964); #17811=PLANE('',#351968); #17812=PLANE('',#351975); #17813=PLANE('',#351976); #17814=PLANE('',#352022); #17815=PLANE('',#352023); #17816=PLANE('',#352024); #17817=PLANE('',#352025); #17818=PLANE('',#352026); #17819=PLANE('',#352027); #17820=PLANE('',#352028); #17821=PLANE('',#352029); #17822=PLANE('',#352030); #17823=PLANE('',#352031); #17824=PLANE('',#352032); #17825=PLANE('',#352033); #17826=PLANE('',#352034); #17827=PLANE('',#352035); #17828=PLANE('',#352036); #17829=PLANE('',#352037); #17830=PLANE('',#352038); #17831=PLANE('',#352039); #17832=PLANE('',#352040); #17833=PLANE('',#352041); #17834=PLANE('',#352042); #17835=PLANE('',#352043); #17836=PLANE('',#352044); #17837=PLANE('',#352045); #17838=PLANE('',#352046); #17839=PLANE('',#352047); #17840=PLANE('',#352048); #17841=PLANE('',#352049); #17842=PLANE('',#352050); #17843=PLANE('',#352051); #17844=PLANE('',#352052); #17845=PLANE('',#352053); #17846=PLANE('',#352054); #17847=PLANE('',#352055); #17848=PLANE('',#352056); #17849=PLANE('',#352057); #17850=PLANE('',#352058); #17851=PLANE('',#352059); #17852=PLANE('',#352060); #17853=PLANE('',#352061); #17854=PLANE('',#352062); #17855=PLANE('',#352063); #17856=PLANE('',#352064); #17857=PLANE('',#352065); #17858=PLANE('',#352066); #17859=PLANE('',#352067); #17860=PLANE('',#352068); #17861=PLANE('',#352069); #17862=PLANE('',#352070); #17863=PLANE('',#352071); #17864=PLANE('',#352072); #17865=PLANE('',#352073); #17866=PLANE('',#352074); #17867=PLANE('',#352075); #17868=PLANE('',#352076); #17869=PLANE('',#352077); #17870=PLANE('',#352078); #17871=PLANE('',#352079); #17872=PLANE('',#352080); #17873=PLANE('',#352081); #17874=PLANE('',#352082); #17875=PLANE('',#352083); #17876=PLANE('',#352084); #17877=PLANE('',#352085); #17878=PLANE('',#352086); #17879=PLANE('',#352087); #17880=PLANE('',#352088); #17881=PLANE('',#352089); #17882=PLANE('',#352090); #17883=PLANE('',#352091); #17884=PLANE('',#352092); #17885=PLANE('',#352093); #17886=PLANE('',#352094); #17887=PLANE('',#352095); #17888=PLANE('',#352096); #17889=PLANE('',#352097); #17890=PLANE('',#352098); #17891=PLANE('',#352099); #17892=PLANE('',#352100); #17893=PLANE('',#352101); #17894=PLANE('',#352102); #17895=PLANE('',#352103); #17896=PLANE('',#352104); #17897=PLANE('',#352105); #17898=PLANE('',#352106); #17899=PLANE('',#352107); #17900=PLANE('',#352108); #17901=PLANE('',#352109); #17902=PLANE('',#352110); #17903=PLANE('',#352111); #17904=PLANE('',#352112); #17905=PLANE('',#352113); #17906=PLANE('',#352114); #17907=PLANE('',#352115); #17908=PLANE('',#352116); #17909=PLANE('',#352117); #17910=PLANE('',#352118); #17911=PLANE('',#352119); #17912=PLANE('',#352120); #17913=PLANE('',#352121); #17914=PLANE('',#352122); #17915=PLANE('',#352123); #17916=PLANE('',#352124); #17917=PLANE('',#352125); #17918=PLANE('',#352126); #17919=PLANE('',#352127); #17920=PLANE('',#352128); #17921=PLANE('',#352129); #17922=PLANE('',#352130); #17923=PLANE('',#352131); #17924=PLANE('',#352132); #17925=PLANE('',#352133); #17926=PLANE('',#352134); #17927=PLANE('',#352135); #17928=PLANE('',#352136); #17929=PLANE('',#352137); #17930=PLANE('',#352138); #17931=PLANE('',#352139); #17932=PLANE('',#352140); #17933=PLANE('',#352141); #17934=PLANE('',#352142); #17935=PLANE('',#352143); #17936=PLANE('',#352144); #17937=PLANE('',#352145); #17938=PLANE('',#352146); #17939=PLANE('',#352147); #17940=PLANE('',#352148); #17941=PLANE('',#352149); #17942=PLANE('',#352150); #17943=PLANE('',#352151); #17944=PLANE('',#352152); #17945=PLANE('',#352153); #17946=PLANE('',#352154); #17947=PLANE('',#352155); #17948=PLANE('',#352156); #17949=PLANE('',#352157); #17950=PLANE('',#352158); #17951=PLANE('',#352159); #17952=PLANE('',#352160); #17953=PLANE('',#352161); #17954=PLANE('',#352162); #17955=PLANE('',#352163); #17956=PLANE('',#352164); #17957=PLANE('',#352165); #17958=PLANE('',#352166); #17959=PLANE('',#352167); #17960=PLANE('',#352168); #17961=PLANE('',#352169); #17962=PLANE('',#352170); #17963=PLANE('',#352171); #17964=PLANE('',#352172); #17965=PLANE('',#352173); #17966=PLANE('',#352174); #17967=PLANE('',#352175); #17968=PLANE('',#352176); #17969=PLANE('',#352177); #17970=PLANE('',#352178); #17971=PLANE('',#352179); #17972=PLANE('',#352180); #17973=PLANE('',#352181); #17974=PLANE('',#352182); #17975=PLANE('',#352183); #17976=PLANE('',#352184); #17977=PLANE('',#352185); #17978=PLANE('',#352186); #17979=PLANE('',#352187); #17980=PLANE('',#352188); #17981=PLANE('',#352189); #17982=PLANE('',#352190); #17983=PLANE('',#352197); #17984=PLANE('',#352201); #17985=PLANE('',#352202); #17986=PLANE('',#352206); #17987=PLANE('',#352207); #17988=PLANE('',#352211); #17989=PLANE('',#352215); #17990=PLANE('',#352216); #17991=PLANE('',#352217); #17992=PLANE('',#352221); #17993=PLANE('',#352225); #17994=PLANE('',#352226); #17995=PLANE('',#352230); #17996=PLANE('',#352237); #17997=PLANE('',#352238); #17998=PLANE('',#352242); #17999=PLANE('',#352243); #18000=PLANE('',#352244); #18001=PLANE('',#352248); #18002=PLANE('',#352252); #18003=PLANE('',#352253); #18004=PLANE('',#352254); #18005=PLANE('',#352258); #18006=PLANE('',#352259); #18007=PLANE('',#352263); #18008=PLANE('',#352264); #18009=PLANE('',#352268); #18010=PLANE('',#352269); #18011=PLANE('',#352273); #18012=PLANE('',#352280); #18013=PLANE('',#352281); #18014=PLANE('',#352312); #18015=PLANE('',#352313); #18016=PLANE('',#352314); #18017=PLANE('',#352315); #18018=PLANE('',#352316); #18019=PLANE('',#352317); #18020=PLANE('',#352318); #18021=PLANE('',#352319); #18022=PLANE('',#352320); #18023=PLANE('',#352321); #18024=PLANE('',#352322); #18025=PLANE('',#352323); #18026=PLANE('',#352324); #18027=PLANE('',#352325); #18028=PLANE('',#352326); #18029=PLANE('',#352327); #18030=PLANE('',#352328); #18031=PLANE('',#352329); #18032=PLANE('',#352330); #18033=PLANE('',#352331); #18034=PLANE('',#352332); #18035=PLANE('',#352333); #18036=PLANE('',#352334); #18037=PLANE('',#352335); #18038=PLANE('',#352336); #18039=PLANE('',#352337); #18040=PLANE('',#352341); #18041=PLANE('',#352348); #18042=PLANE('',#352349); #18043=PLANE('',#352353); #18044=PLANE('',#352360); #18045=PLANE('',#352361); #18046=PLANE('',#352365); #18047=PLANE('',#352372); #18048=PLANE('',#352373); #18049=PLANE('',#352377); #18050=PLANE('',#352378); #18051=PLANE('',#352379); #18052=PLANE('',#352380); #18053=PLANE('',#352381); #18054=PLANE('',#352382); #18055=PLANE('',#352383); #18056=PLANE('',#352384); #18057=PLANE('',#352385); #18058=PLANE('',#352386); #18059=PLANE('',#352390); #18060=PLANE('',#352397); #18061=PLANE('',#352398); #18062=PLANE('',#352402); #18063=PLANE('',#352403); #18064=PLANE('',#352404); #18065=PLANE('',#352405); #18066=PLANE('',#352406); #18067=PLANE('',#352407); #18068=PLANE('',#352411); #18069=PLANE('',#352418); #18070=PLANE('',#352419); #18071=PLANE('',#352420); #18072=PLANE('',#352424); #18073=PLANE('',#352428); #18074=PLANE('',#352429); #18075=PLANE('',#352430); #18076=PLANE('',#352431); #18077=PLANE('',#352432); #18078=PLANE('',#352433); #18079=PLANE('',#352434); #18080=PLANE('',#352435); #18081=PLANE('',#352436); #18082=PLANE('',#352437); #18083=PLANE('',#352438); #18084=PLANE('',#352439); #18085=PLANE('',#352440); #18086=PLANE('',#352441); #18087=PLANE('',#352442); #18088=PLANE('',#352443); #18089=PLANE('',#352444); #18090=PLANE('',#352445); #18091=PLANE('',#352446); #18092=PLANE('',#352447); #18093=PLANE('',#352448); #18094=PLANE('',#352449); #18095=PLANE('',#352450); #18096=PLANE('',#352451); #18097=PLANE('',#352452); #18098=PLANE('',#352453); #18099=PLANE('',#352454); #18100=PLANE('',#352455); #18101=PLANE('',#352456); #18102=PLANE('',#352457); #18103=PLANE('',#352458); #18104=PLANE('',#352459); #18105=PLANE('',#352460); #18106=PLANE('',#352461); #18107=PLANE('',#352462); #18108=PLANE('',#352463); #18109=PLANE('',#352464); #18110=PLANE('',#352465); #18111=PLANE('',#352466); #18112=PLANE('',#352467); #18113=PLANE('',#352468); #18114=PLANE('',#352469); #18115=PLANE('',#352470); #18116=PLANE('',#352471); #18117=PLANE('',#352472); #18118=PLANE('',#352473); #18119=PLANE('',#352474); #18120=PLANE('',#352475); #18121=PLANE('',#352476); #18122=PLANE('',#352477); #18123=PLANE('',#352478); #18124=PLANE('',#352479); #18125=PLANE('',#352480); #18126=PLANE('',#352481); #18127=PLANE('',#352482); #18128=PLANE('',#352483); #18129=PLANE('',#352484); #18130=PLANE('',#352485); #18131=PLANE('',#352489); #18132=PLANE('',#352496); #18133=PLANE('',#352497); #18134=PLANE('',#352498); #18135=PLANE('',#352502); #18136=PLANE('',#352506); #18137=PLANE('',#352507); #18138=PLANE('',#352508); #18139=PLANE('',#352509); #18140=PLANE('',#352510); #18141=PLANE('',#352511); #18142=PLANE('',#352512); #18143=PLANE('',#352513); #18144=PLANE('',#352514); #18145=PLANE('',#352515); #18146=PLANE('',#352516); #18147=PLANE('',#352517); #18148=PLANE('',#352518); #18149=PLANE('',#352519); #18150=PLANE('',#352520); #18151=PLANE('',#352521); #18152=PLANE('',#352522); #18153=PLANE('',#352523); #18154=PLANE('',#352524); #18155=PLANE('',#352525); #18156=PLANE('',#352526); #18157=PLANE('',#352527); #18158=PLANE('',#352528); #18159=PLANE('',#352529); #18160=PLANE('',#352530); #18161=PLANE('',#352531); #18162=PLANE('',#352532); #18163=PLANE('',#352533); #18164=PLANE('',#352534); #18165=PLANE('',#352535); #18166=PLANE('',#352536); #18167=PLANE('',#352537); #18168=PLANE('',#352538); #18169=PLANE('',#352539); #18170=PLANE('',#352540); #18171=PLANE('',#352541); #18172=PLANE('',#352542); #18173=PLANE('',#352543); #18174=PLANE('',#352544); #18175=PLANE('',#352545); #18176=PLANE('',#352546); #18177=PLANE('',#352547); #18178=PLANE('',#352548); #18179=PLANE('',#352549); #18180=PLANE('',#352550); #18181=PLANE('',#352551); #18182=PLANE('',#352552); #18183=PLANE('',#352553); #18184=PLANE('',#352554); #18185=PLANE('',#352555); #18186=PLANE('',#352556); #18187=PLANE('',#352557); #18188=PLANE('',#352558); #18189=PLANE('',#352559); #18190=PLANE('',#352560); #18191=PLANE('',#352561); #18192=PLANE('',#352562); #18193=PLANE('',#352563); #18194=PLANE('',#352564); #18195=PLANE('',#352568); #18196=PLANE('',#352572); #18197=PLANE('',#352573); #18198=PLANE('',#352574); #18199=PLANE('',#352575); #18200=PLANE('',#352576); #18201=PLANE('',#352577); #18202=PLANE('',#352578); #18203=PLANE('',#352579); #18204=PLANE('',#352580); #18205=PLANE('',#352581); #18206=PLANE('',#352582); #18207=PLANE('',#352583); #18208=PLANE('',#352584); #18209=PLANE('',#352585); #18210=PLANE('',#352586); #18211=PLANE('',#352587); #18212=PLANE('',#352588); #18213=PLANE('',#352589); #18214=PLANE('',#352590); #18215=PLANE('',#352591); #18216=PLANE('',#352592); #18217=PLANE('',#352593); #18218=PLANE('',#352594); #18219=PLANE('',#352595); #18220=PLANE('',#352596); #18221=PLANE('',#352597); #18222=PLANE('',#352598); #18223=PLANE('',#352599); #18224=PLANE('',#352600); #18225=PLANE('',#352601); #18226=PLANE('',#352602); #18227=PLANE('',#352603); #18228=PLANE('',#352604); #18229=PLANE('',#352605); #18230=PLANE('',#352606); #18231=PLANE('',#352607); #18232=PLANE('',#352608); #18233=PLANE('',#352609); #18234=PLANE('',#352610); #18235=PLANE('',#352611); #18236=PLANE('',#352612); #18237=PLANE('',#352613); #18238=PLANE('',#352614); #18239=PLANE('',#352615); #18240=PLANE('',#352616); #18241=PLANE('',#352617); #18242=PLANE('',#352618); #18243=PLANE('',#352619); #18244=PLANE('',#352620); #18245=PLANE('',#352621); #18246=PLANE('',#352622); #18247=PLANE('',#352623); #18248=PLANE('',#352624); #18249=PLANE('',#352625); #18250=PLANE('',#352626); #18251=PLANE('',#352627); #18252=PLANE('',#352628); #18253=PLANE('',#352629); #18254=PLANE('',#352630); #18255=PLANE('',#352631); #18256=PLANE('',#352632); #18257=PLANE('',#352633); #18258=PLANE('',#352634); #18259=PLANE('',#352635); #18260=PLANE('',#352636); #18261=PLANE('',#352637); #18262=PLANE('',#352638); #18263=PLANE('',#352639); #18264=PLANE('',#352640); #18265=PLANE('',#352641); #18266=PLANE('',#352642); #18267=PLANE('',#352643); #18268=PLANE('',#352644); #18269=PLANE('',#352645); #18270=PLANE('',#352646); #18271=PLANE('',#352650); #18272=PLANE('',#352654); #18273=PLANE('',#352655); #18274=PLANE('',#352656); #18275=PLANE('',#352657); #18276=PLANE('',#352658); #18277=PLANE('',#352659); #18278=PLANE('',#352660); #18279=PLANE('',#352661); #18280=PLANE('',#352662); #18281=PLANE('',#352663); #18282=PLANE('',#352664); #18283=PLANE('',#352665); #18284=PLANE('',#352666); #18285=PLANE('',#352667); #18286=PLANE('',#352668); #18287=PLANE('',#352669); #18288=PLANE('',#352670); #18289=PLANE('',#352671); #18290=PLANE('',#352672); #18291=PLANE('',#352673); #18292=PLANE('',#352674); #18293=PLANE('',#352675); #18294=PLANE('',#352676); #18295=PLANE('',#352677); #18296=PLANE('',#352678); #18297=PLANE('',#352679); #18298=PLANE('',#352680); #18299=PLANE('',#352681); #18300=PLANE('',#352682); #18301=PLANE('',#352683); #18302=PLANE('',#352684); #18303=PLANE('',#352685); #18304=PLANE('',#352686); #18305=PLANE('',#352687); #18306=PLANE('',#352688); #18307=PLANE('',#352689); #18308=PLANE('',#352690); #18309=PLANE('',#352691); #18310=PLANE('',#352692); #18311=PLANE('',#352693); #18312=PLANE('',#352694); #18313=PLANE('',#352695); #18314=PLANE('',#352696); #18315=PLANE('',#352697); #18316=PLANE('',#352698); #18317=PLANE('',#352699); #18318=PLANE('',#352700); #18319=PLANE('',#352701); #18320=PLANE('',#352702); #18321=PLANE('',#352703); #18322=PLANE('',#352704); #18323=PLANE('',#352705); #18324=PLANE('',#352706); #18325=PLANE('',#352707); #18326=PLANE('',#352708); #18327=PLANE('',#352709); #18328=PLANE('',#352710); #18329=PLANE('',#352711); #18330=PLANE('',#352715); #18331=PLANE('',#352722); #18332=PLANE('',#352723); #18333=PLANE('',#352724); #18334=PLANE('',#352728); #18335=PLANE('',#352732); #18336=PLANE('',#352733); #18337=PLANE('',#352734); #18338=PLANE('',#352735); #18339=PLANE('',#352736); #18340=PLANE('',#352737); #18341=PLANE('',#352738); #18342=PLANE('',#352739); #18343=PLANE('',#352740); #18344=PLANE('',#352741); #18345=PLANE('',#352742); #18346=PLANE('',#352743); #18347=PLANE('',#352744); #18348=PLANE('',#352745); #18349=PLANE('',#352746); #18350=PLANE('',#352747); #18351=PLANE('',#352748); #18352=PLANE('',#352749); #18353=PLANE('',#352750); #18354=PLANE('',#352751); #18355=PLANE('',#352752); #18356=PLANE('',#352753); #18357=PLANE('',#352754); #18358=PLANE('',#352755); #18359=PLANE('',#352756); #18360=PLANE('',#352757); #18361=PLANE('',#352758); #18362=PLANE('',#352759); #18363=PLANE('',#352760); #18364=PLANE('',#352761); #18365=PLANE('',#352762); #18366=PLANE('',#352763); #18367=PLANE('',#352764); #18368=PLANE('',#352765); #18369=PLANE('',#352766); #18370=PLANE('',#352767); #18371=PLANE('',#352768); #18372=PLANE('',#352769); #18373=PLANE('',#352770); #18374=PLANE('',#352771); #18375=PLANE('',#352772); #18376=PLANE('',#352773); #18377=PLANE('',#352774); #18378=PLANE('',#352775); #18379=PLANE('',#352776); #18380=PLANE('',#352777); #18381=PLANE('',#352778); #18382=PLANE('',#352779); #18383=PLANE('',#352780); #18384=PLANE('',#352781); #18385=PLANE('',#352782); #18386=PLANE('',#352783); #18387=PLANE('',#352784); #18388=PLANE('',#352785); #18389=PLANE('',#352786); #18390=PLANE('',#352787); #18391=PLANE('',#352788); #18392=PLANE('',#352789); #18393=PLANE('',#352793); #18394=PLANE('',#352794); #18395=PLANE('',#352795); #18396=PLANE('',#352796); #18397=PLANE('',#352797); #18398=PLANE('',#352798); #18399=PLANE('',#352799); #18400=PLANE('',#352800); #18401=PLANE('',#352801); #18402=PLANE('',#352802); #18403=PLANE('',#352806); #18404=PLANE('',#352813); #18405=PLANE('',#352814); #18406=PLANE('',#352815); #18407=PLANE('',#352819); #18408=PLANE('',#352823); #18409=PLANE('',#352824); #18410=PLANE('',#352825); #18411=PLANE('',#352826); #18412=PLANE('',#352827); #18413=PLANE('',#352828); #18414=PLANE('',#352829); #18415=PLANE('',#352830); #18416=PLANE('',#352831); #18417=PLANE('',#352832); #18418=PLANE('',#352833); #18419=PLANE('',#352834); #18420=PLANE('',#352835); #18421=PLANE('',#352836); #18422=PLANE('',#352837); #18423=PLANE('',#352838); #18424=PLANE('',#352839); #18425=PLANE('',#352840); #18426=PLANE('',#352841); #18427=PLANE('',#352842); #18428=PLANE('',#352843); #18429=PLANE('',#352844); #18430=PLANE('',#352845); #18431=PLANE('',#352846); #18432=PLANE('',#352847); #18433=PLANE('',#352848); #18434=PLANE('',#352849); #18435=PLANE('',#352850); #18436=PLANE('',#352851); #18437=PLANE('',#352852); #18438=PLANE('',#352853); #18439=PLANE('',#352854); #18440=PLANE('',#352855); #18441=PLANE('',#352856); #18442=PLANE('',#352857); #18443=PLANE('',#352858); #18444=PLANE('',#352859); #18445=PLANE('',#352860); #18446=PLANE('',#352861); #18447=PLANE('',#352862); #18448=PLANE('',#352863); #18449=PLANE('',#352864); #18450=PLANE('',#352865); #18451=PLANE('',#352866); #18452=PLANE('',#352867); #18453=PLANE('',#352868); #18454=PLANE('',#352869); #18455=PLANE('',#352870); #18456=PLANE('',#352871); #18457=PLANE('',#352872); #18458=PLANE('',#352873); #18459=PLANE('',#352874); #18460=PLANE('',#352875); #18461=PLANE('',#352876); #18462=PLANE('',#352877); #18463=PLANE('',#352878); #18464=PLANE('',#352879); #18465=PLANE('',#352880); #18466=PLANE('',#352881); #18467=PLANE('',#352882); #18468=PLANE('',#352883); #18469=PLANE('',#352884); #18470=PLANE('',#352885); #18471=PLANE('',#352886); #18472=PLANE('',#352887); #18473=PLANE('',#352888); #18474=PLANE('',#352889); #18475=PLANE('',#352890); #18476=PLANE('',#352891); #18477=PLANE('',#352892); #18478=PLANE('',#352893); #18479=PLANE('',#352894); #18480=PLANE('',#352895); #18481=PLANE('',#352896); #18482=PLANE('',#352900); #18483=PLANE('',#352901); #18484=PLANE('',#352902); #18485=PLANE('',#352903); #18486=PLANE('',#352904); #18487=PLANE('',#352905); #18488=PLANE('',#352906); #18489=PLANE('',#352907); #18490=PLANE('',#352908); #18491=PLANE('',#352909); #18492=PLANE('',#352913); #18493=PLANE('',#352920); #18494=PLANE('',#352921); #18495=PLANE('',#352925); #18496=PLANE('',#352932); #18497=PLANE('',#352933); #18498=PLANE('',#352937); #18499=PLANE('',#352944); #18500=PLANE('',#352945); #18501=PLANE('',#352949); #18502=PLANE('',#352950); #18503=PLANE('',#352951); #18504=PLANE('',#352952); #18505=PLANE('',#352953); #18506=PLANE('',#352954); #18507=PLANE('',#352958); #18508=PLANE('',#352959); #18509=PLANE('',#352960); #18510=PLANE('',#352961); #18511=PLANE('',#352962); #18512=PLANE('',#352963); #18513=PLANE('',#352964); #18514=PLANE('',#352965); #18515=PLANE('',#352966); #18516=PLANE('',#352967); #18517=PLANE('',#352971); #18518=PLANE('',#352978); #18519=PLANE('',#352979); #18520=PLANE('',#352983); #18521=PLANE('',#352990); #18522=PLANE('',#352991); #18523=PLANE('',#352992); #18524=PLANE('',#352993); #18525=PLANE('',#352994); #18526=PLANE('',#352995); #18527=PLANE('',#352996); #18528=PLANE('',#352997); #18529=PLANE('',#352998); #18530=PLANE('',#352999); #18531=PLANE('',#353000); #18532=PLANE('',#353001); #18533=PLANE('',#353002); #18534=PLANE('',#353003); #18535=PLANE('',#353004); #18536=PLANE('',#353005); #18537=PLANE('',#353006); #18538=PLANE('',#353007); #18539=PLANE('',#353008); #18540=PLANE('',#353009); #18541=PLANE('',#353010); #18542=PLANE('',#353011); #18543=PLANE('',#353012); #18544=PLANE('',#353013); #18545=PLANE('',#353014); #18546=PLANE('',#353015); #18547=PLANE('',#353016); #18548=PLANE('',#353017); #18549=PLANE('',#353018); #18550=PLANE('',#353019); #18551=PLANE('',#353020); #18552=PLANE('',#353021); #18553=PLANE('',#353022); #18554=PLANE('',#353023); #18555=PLANE('',#353024); #18556=PLANE('',#353025); #18557=PLANE('',#353026); #18558=PLANE('',#353027); #18559=PLANE('',#353028); #18560=PLANE('',#353029); #18561=PLANE('',#353030); #18562=PLANE('',#353031); #18563=PLANE('',#353032); #18564=PLANE('',#353033); #18565=PLANE('',#353034); #18566=PLANE('',#353035); #18567=PLANE('',#353036); #18568=PLANE('',#353037); #18569=PLANE('',#353038); #18570=PLANE('',#353039); #18571=PLANE('',#353040); #18572=PLANE('',#353041); #18573=PLANE('',#353042); #18574=PLANE('',#353043); #18575=PLANE('',#353044); #18576=PLANE('',#353045); #18577=PLANE('',#353046); #18578=PLANE('',#353047); #18579=PLANE('',#353048); #18580=PLANE('',#353049); #18581=PLANE('',#353050); #18582=PLANE('',#353051); #18583=PLANE('',#353052); #18584=PLANE('',#353053); #18585=PLANE('',#353054); #18586=PLANE('',#353055); #18587=PLANE('',#353056); #18588=PLANE('',#353057); #18589=PLANE('',#353058); #18590=PLANE('',#353059); #18591=PLANE('',#353060); #18592=PLANE('',#353061); #18593=PLANE('',#353062); #18594=PLANE('',#353063); #18595=PLANE('',#353064); #18596=PLANE('',#353065); #18597=PLANE('',#353066); #18598=PLANE('',#353067); #18599=PLANE('',#353068); #18600=PLANE('',#353069); #18601=PLANE('',#353070); #18602=PLANE('',#353071); #18603=PLANE('',#353072); #18604=PLANE('',#353073); #18605=PLANE('',#353074); #18606=PLANE('',#353075); #18607=PLANE('',#353076); #18608=PLANE('',#353077); #18609=PLANE('',#353078); #18610=PLANE('',#353079); #18611=PLANE('',#353080); #18612=PLANE('',#353081); #18613=PLANE('',#353082); #18614=PLANE('',#353083); #18615=PLANE('',#353084); #18616=PLANE('',#353085); #18617=PLANE('',#353086); #18618=PLANE('',#353087); #18619=PLANE('',#353088); #18620=PLANE('',#353089); #18621=PLANE('',#353090); #18622=PLANE('',#353091); #18623=PLANE('',#353092); #18624=PLANE('',#353093); #18625=PLANE('',#353094); #18626=PLANE('',#353095); #18627=PLANE('',#353096); #18628=PLANE('',#353097); #18629=PLANE('',#353098); #18630=PLANE('',#353099); #18631=PLANE('',#353100); #18632=PLANE('',#353101); #18633=PLANE('',#353102); #18634=PLANE('',#353103); #18635=PLANE('',#353104); #18636=PLANE('',#353105); #18637=PLANE('',#353106); #18638=PLANE('',#353107); #18639=PLANE('',#353108); #18640=PLANE('',#353109); #18641=PLANE('',#353110); #18642=PLANE('',#353111); #18643=PLANE('',#353112); #18644=PLANE('',#353113); #18645=PLANE('',#353114); #18646=PLANE('',#353115); #18647=PLANE('',#353116); #18648=PLANE('',#353117); #18649=PLANE('',#353118); #18650=PLANE('',#353119); #18651=PLANE('',#353120); #18652=PLANE('',#353121); #18653=PLANE('',#353122); #18654=PLANE('',#353123); #18655=PLANE('',#353124); #18656=PLANE('',#353125); #18657=PLANE('',#353126); #18658=PLANE('',#353127); #18659=PLANE('',#353128); #18660=PLANE('',#353129); #18661=PLANE('',#353136); #18662=PLANE('',#353137); #18663=PLANE('',#353138); #18664=PLANE('',#353139); #18665=PLANE('',#353140); #18666=PLANE('',#353141); #18667=PLANE('',#353142); #18668=PLANE('',#353143); #18669=PLANE('',#353144); #18670=PLANE('',#353145); #18671=PLANE('',#353146); #18672=PLANE('',#353147); #18673=PLANE('',#353148); #18674=PLANE('',#353149); #18675=PLANE('',#353150); #18676=PLANE('',#353151); #18677=PLANE('',#353152); #18678=PLANE('',#353153); #18679=PLANE('',#353154); #18680=PLANE('',#353155); #18681=PLANE('',#353156); #18682=PLANE('',#353157); #18683=PLANE('',#353158); #18684=PLANE('',#353159); #18685=PLANE('',#353160); #18686=PLANE('',#353161); #18687=PLANE('',#353162); #18688=PLANE('',#353163); #18689=PLANE('',#353164); #18690=PLANE('',#353165); #18691=PLANE('',#353166); #18692=PLANE('',#353167); #18693=PLANE('',#353168); #18694=PLANE('',#353169); #18695=PLANE('',#353170); #18696=PLANE('',#353171); #18697=PLANE('',#353172); #18698=PLANE('',#353173); #18699=PLANE('',#353174); #18700=PLANE('',#353175); #18701=PLANE('',#353176); #18702=PLANE('',#353177); #18703=PLANE('',#353178); #18704=PLANE('',#353179); #18705=PLANE('',#353180); #18706=PLANE('',#353181); #18707=PLANE('',#353182); #18708=PLANE('',#353183); #18709=PLANE('',#353184); #18710=PLANE('',#353185); #18711=PLANE('',#353186); #18712=PLANE('',#353187); #18713=PLANE('',#353188); #18714=PLANE('',#353189); #18715=PLANE('',#353196); #18716=PLANE('',#353197); #18717=PLANE('',#353198); #18718=PLANE('',#353199); #18719=PLANE('',#353200); #18720=PLANE('',#353201); #18721=PLANE('',#353202); #18722=PLANE('',#353203); #18723=PLANE('',#353204); #18724=PLANE('',#353205); #18725=PLANE('',#353206); #18726=PLANE('',#353207); #18727=PLANE('',#353208); #18728=PLANE('',#353209); #18729=PLANE('',#353210); #18730=PLANE('',#353211); #18731=PLANE('',#353212); #18732=PLANE('',#353213); #18733=PLANE('',#353214); #18734=PLANE('',#353215); #18735=PLANE('',#353216); #18736=PLANE('',#353217); #18737=PLANE('',#353218); #18738=PLANE('',#353219); #18739=PLANE('',#353220); #18740=PLANE('',#353221); #18741=PLANE('',#353222); #18742=PLANE('',#353223); #18743=PLANE('',#353224); #18744=PLANE('',#353225); #18745=PLANE('',#353226); #18746=PLANE('',#353227); #18747=PLANE('',#353228); #18748=PLANE('',#353229); #18749=PLANE('',#353230); #18750=PLANE('',#353231); #18751=PLANE('',#353232); #18752=PLANE('',#353233); #18753=PLANE('',#353234); #18754=PLANE('',#353235); #18755=PLANE('',#353236); #18756=PLANE('',#353237); #18757=PLANE('',#353238); #18758=PLANE('',#353239); #18759=PLANE('',#353240); #18760=PLANE('',#353241); #18761=PLANE('',#353242); #18762=PLANE('',#353243); #18763=PLANE('',#353244); #18764=PLANE('',#353245); #18765=PLANE('',#353246); #18766=PLANE('',#353247); #18767=PLANE('',#353248); #18768=PLANE('',#353249); #18769=PLANE('',#353253); #18770=PLANE('',#353254); #18771=PLANE('',#353255); #18772=PLANE('',#353256); #18773=PLANE('',#353257); #18774=PLANE('',#353258); #18775=PLANE('',#353259); #18776=PLANE('',#353260); #18777=PLANE('',#353261); #18778=PLANE('',#353262); #18779=PLANE('',#353263); #18780=PLANE('',#353264); #18781=PLANE('',#353265); #18782=PLANE('',#353266); #18783=PLANE('',#353267); #18784=PLANE('',#353268); #18785=PLANE('',#353269); #18786=PLANE('',#353270); #18787=PLANE('',#353271); #18788=PLANE('',#353272); #18789=PLANE('',#353273); #18790=PLANE('',#353274); #18791=PLANE('',#353275); #18792=PLANE('',#353276); #18793=PLANE('',#353277); #18794=PLANE('',#353278); #18795=PLANE('',#353279); #18796=PLANE('',#353280); #18797=PLANE('',#353281); #18798=PLANE('',#353282); #18799=PLANE('',#353328); #18800=PLANE('',#353329); #18801=PLANE('',#353330); #18802=PLANE('',#353331); #18803=PLANE('',#353332); #18804=PLANE('',#353333); #18805=PLANE('',#353334); #18806=PLANE('',#353335); #18807=PLANE('',#353336); #18808=PLANE('',#353337); #18809=PLANE('',#353338); #18810=PLANE('',#353339); #18811=PLANE('',#353340); #18812=PLANE('',#353341); #18813=PLANE('',#353342); #18814=PLANE('',#353343); #18815=PLANE('',#353344); #18816=PLANE('',#353345); #18817=PLANE('',#353346); #18818=PLANE('',#353347); #18819=PLANE('',#353348); #18820=PLANE('',#353349); #18821=PLANE('',#353350); #18822=PLANE('',#353351); #18823=PLANE('',#353355); #18824=PLANE('',#353356); #18825=PLANE('',#353357); #18826=PLANE('',#353358); #18827=PLANE('',#353359); #18828=PLANE('',#353360); #18829=PLANE('',#353361); #18830=PLANE('',#353362); #18831=PLANE('',#353363); #18832=PLANE('',#353364); #18833=PLANE('',#353365); #18834=PLANE('',#353366); #18835=PLANE('',#353367); #18836=PLANE('',#353368); #18837=PLANE('',#353369); #18838=PLANE('',#353370); #18839=PLANE('',#353371); #18840=PLANE('',#353372); #18841=PLANE('',#353373); #18842=PLANE('',#353374); #18843=PLANE('',#353375); #18844=PLANE('',#353376); #18845=PLANE('',#353377); #18846=PLANE('',#353378); #18847=PLANE('',#353379); #18848=PLANE('',#353380); #18849=PLANE('',#353381); #18850=PLANE('',#353382); #18851=PLANE('',#353383); #18852=PLANE('',#353384); #18853=PLANE('',#353430); #18854=PLANE('',#353431); #18855=PLANE('',#353432); #18856=PLANE('',#353433); #18857=PLANE('',#353434); #18858=PLANE('',#353435); #18859=PLANE('',#353436); #18860=PLANE('',#353437); #18861=PLANE('',#353438); #18862=PLANE('',#353439); #18863=PLANE('',#353440); #18864=PLANE('',#353441); #18865=PLANE('',#353442); #18866=PLANE('',#353443); #18867=PLANE('',#353444); #18868=PLANE('',#353445); #18869=PLANE('',#353446); #18870=PLANE('',#353447); #18871=PLANE('',#353448); #18872=PLANE('',#353449); #18873=PLANE('',#353450); #18874=PLANE('',#353451); #18875=PLANE('',#353452); #18876=PLANE('',#353453); #18877=PLANE('',#353457); #18878=PLANE('',#353458); #18879=PLANE('',#353459); #18880=PLANE('',#353460); #18881=PLANE('',#353461); #18882=PLANE('',#353462); #18883=PLANE('',#353466); #18884=PLANE('',#353467); #18885=PLANE('',#353468); #18886=PLANE('',#353469); #18887=PLANE('',#353470); #18888=PLANE('',#353471); #18889=PLANE('',#353475); #18890=PLANE('',#353476); #18891=PLANE('',#353477); #18892=PLANE('',#353478); #18893=PLANE('',#353479); #18894=PLANE('',#353480); #18895=PLANE('',#353526); #18896=PLANE('',#353527); #18897=PLANE('',#353528); #18898=PLANE('',#353529); #18899=PLANE('',#353530); #18900=PLANE('',#353531); #18901=PLANE('',#353532); #18902=PLANE('',#353533); #18903=PLANE('',#353534); #18904=PLANE('',#353535); #18905=PLANE('',#353536); #18906=PLANE('',#353537); #18907=PLANE('',#353538); #18908=PLANE('',#353539); #18909=PLANE('',#353540); #18910=PLANE('',#353541); #18911=PLANE('',#353542); #18912=PLANE('',#353543); #18913=PLANE('',#353544); #18914=PLANE('',#353545); #18915=PLANE('',#353546); #18916=PLANE('',#353547); #18917=PLANE('',#353548); #18918=PLANE('',#353549); #18919=PLANE('',#353550); #18920=PLANE('',#353551); #18921=PLANE('',#353552); #18922=PLANE('',#353553); #18923=PLANE('',#353554); #18924=PLANE('',#353555); #18925=PLANE('',#353556); #18926=PLANE('',#353557); #18927=PLANE('',#353558); #18928=PLANE('',#353559); #18929=PLANE('',#353560); #18930=PLANE('',#353561); #18931=PLANE('',#353562); #18932=PLANE('',#353563); #18933=PLANE('',#353564); #18934=PLANE('',#353565); #18935=PLANE('',#353566); #18936=PLANE('',#353567); #18937=PLANE('',#353568); #18938=PLANE('',#353569); #18939=PLANE('',#353570); #18940=PLANE('',#353571); #18941=PLANE('',#353572); #18942=PLANE('',#353573); #18943=PLANE('',#353574); #18944=PLANE('',#353575); #18945=PLANE('',#353576); #18946=PLANE('',#353577); #18947=PLANE('',#353578); #18948=PLANE('',#353579); #18949=PLANE('',#353580); #18950=PLANE('',#353581); #18951=PLANE('',#353582); #18952=PLANE('',#353583); #18953=PLANE('',#353584); #18954=PLANE('',#353585); #18955=PLANE('',#353586); #18956=PLANE('',#353587); #18957=PLANE('',#353588); #18958=PLANE('',#353589); #18959=PLANE('',#353590); #18960=PLANE('',#353591); #18961=PLANE('',#353592); #18962=PLANE('',#353593); #18963=PLANE('',#353594); #18964=PLANE('',#353595); #18965=PLANE('',#353596); #18966=PLANE('',#353597); #18967=PLANE('',#353598); #18968=PLANE('',#353599); #18969=PLANE('',#353600); #18970=PLANE('',#353601); #18971=PLANE('',#353602); #18972=PLANE('',#353603); #18973=PLANE('',#353604); #18974=PLANE('',#353605); #18975=PLANE('',#353606); #18976=PLANE('',#353607); #18977=PLANE('',#353608); #18978=PLANE('',#353609); #18979=PLANE('',#353610); #18980=PLANE('',#353611); #18981=PLANE('',#353612); #18982=PLANE('',#353613); #18983=PLANE('',#353614); #18984=PLANE('',#353615); #18985=PLANE('',#353616); #18986=PLANE('',#353617); #18987=PLANE('',#353618); #18988=PLANE('',#353619); #18989=PLANE('',#353620); #18990=PLANE('',#353621); #18991=PLANE('',#353622); #18992=PLANE('',#353623); #18993=PLANE('',#353624); #18994=PLANE('',#353625); #18995=PLANE('',#353626); #18996=PLANE('',#353627); #18997=PLANE('',#353628); #18998=PLANE('',#353629); #18999=PLANE('',#353630); #19000=PLANE('',#353631); #19001=PLANE('',#353632); #19002=PLANE('',#353633); #19003=PLANE('',#353634); #19004=PLANE('',#353635); #19005=PLANE('',#353636); #19006=PLANE('',#353637); #19007=PLANE('',#353638); #19008=PLANE('',#353639); #19009=PLANE('',#353640); #19010=PLANE('',#353641); #19011=PLANE('',#353642); #19012=PLANE('',#353643); #19013=PLANE('',#353644); #19014=PLANE('',#353645); #19015=PLANE('',#353646); #19016=PLANE('',#353647); #19017=PLANE('',#353648); #19018=PLANE('',#353649); #19019=PLANE('',#353650); #19020=PLANE('',#353651); #19021=PLANE('',#353697); #19022=PLANE('',#353698); #19023=PLANE('',#353699); #19024=PLANE('',#353700); #19025=PLANE('',#353701); #19026=PLANE('',#353702); #19027=PLANE('',#353703); #19028=PLANE('',#353704); #19029=PLANE('',#353705); #19030=PLANE('',#353706); #19031=PLANE('',#353707); #19032=PLANE('',#353708); #19033=PLANE('',#353709); #19034=PLANE('',#353710); #19035=PLANE('',#353711); #19036=PLANE('',#353712); #19037=PLANE('',#353713); #19038=PLANE('',#353714); #19039=PLANE('',#353715); #19040=PLANE('',#353716); #19041=PLANE('',#353717); #19042=PLANE('',#353718); #19043=PLANE('',#353719); #19044=PLANE('',#353720); #19045=PLANE('',#353721); #19046=PLANE('',#353722); #19047=PLANE('',#353723); #19048=PLANE('',#353724); #19049=PLANE('',#353725); #19050=PLANE('',#353726); #19051=PLANE('',#353727); #19052=PLANE('',#353728); #19053=PLANE('',#353729); #19054=PLANE('',#353730); #19055=PLANE('',#353731); #19056=PLANE('',#353732); #19057=PLANE('',#353733); #19058=PLANE('',#353734); #19059=PLANE('',#353735); #19060=PLANE('',#353736); #19061=PLANE('',#353737); #19062=PLANE('',#353738); #19063=PLANE('',#353742); #19064=PLANE('',#353743); #19065=PLANE('',#353744); #19066=PLANE('',#353745); #19067=PLANE('',#353746); #19068=PLANE('',#353747); #19069=PLANE('',#353754); #19070=PLANE('',#353755); #19071=PLANE('',#353756); #19072=PLANE('',#353757); #19073=PLANE('',#353758); #19074=PLANE('',#353759); #19075=PLANE('',#353766); #19076=PLANE('',#353767); #19077=PLANE('',#353768); #19078=PLANE('',#353769); #19079=PLANE('',#353770); #19080=PLANE('',#353771); #19081=PLANE('',#353775); #19082=PLANE('',#353776); #19083=PLANE('',#353777); #19084=PLANE('',#353778); #19085=PLANE('',#353779); #19086=PLANE('',#353780); #19087=PLANE('',#353781); #19088=PLANE('',#353782); #19089=PLANE('',#353783); #19090=PLANE('',#353784); #19091=PLANE('',#353785); #19092=PLANE('',#353786); #19093=PLANE('',#353905); #19094=PLANE('',#353909); #19095=PLANE('',#353916); #19096=PLANE('',#353920); #19097=PLANE('',#353957); #19098=PLANE('',#353961); #19099=PLANE('',#353968); #19100=PLANE('',#353972); #19101=PLANE('',#353979); #19102=PLANE('',#353983); #19103=PLANE('',#353990); #19104=PLANE('',#353994); #19105=PLANE('',#354817); #19106=PLANE('',#354818); #19107=PLANE('',#354937); #19108=PLANE('',#354941); #19109=PLANE('',#354948); #19110=PLANE('',#354952); #19111=PLANE('',#354989); #19112=PLANE('',#354993); #19113=PLANE('',#355000); #19114=PLANE('',#355004); #19115=PLANE('',#355011); #19116=PLANE('',#355015); #19117=PLANE('',#355022); #19118=PLANE('',#355026); #19119=PLANE('',#355849); #19120=PLANE('',#355850); #19121=PLANE('',#355854); #19122=PLANE('',#355855); #19123=PLANE('',#355856); #19124=PLANE('',#355857); #19125=PLANE('',#355858); #19126=PLANE('',#355859); #19127=PLANE('',#355860); #19128=PLANE('',#355861); #19129=PLANE('',#355862); #19130=PLANE('',#355863); #19131=PLANE('',#355864); #19132=PLANE('',#355865); #19133=PLANE('',#355866); #19134=PLANE('',#355867); #19135=PLANE('',#355868); #19136=PLANE('',#355869); #19137=PLANE('',#355870); #19138=PLANE('',#355871); #19139=PLANE('',#355872); #19140=PLANE('',#355873); #19141=PLANE('',#355874); #19142=PLANE('',#355875); #19143=PLANE('',#355876); #19144=PLANE('',#355877); #19145=PLANE('',#355878); #19146=PLANE('',#355879); #19147=PLANE('',#355880); #19148=PLANE('',#355881); #19149=PLANE('',#355882); #19150=PLANE('',#355883); #19151=PLANE('',#355884); #19152=PLANE('',#355885); #19153=PLANE('',#355886); #19154=PLANE('',#355887); #19155=PLANE('',#355888); #19156=PLANE('',#355889); #19157=PLANE('',#355890); #19158=PLANE('',#355891); #19159=PLANE('',#355892); #19160=PLANE('',#355893); #19161=PLANE('',#355894); #19162=PLANE('',#355895); #19163=PLANE('',#355896); #19164=PLANE('',#355897); #19165=PLANE('',#355898); #19166=PLANE('',#355899); #19167=PLANE('',#355900); #19168=PLANE('',#355901); #19169=PLANE('',#355902); #19170=PLANE('',#355903); #19171=PLANE('',#355904); #19172=PLANE('',#355905); #19173=PLANE('',#355906); #19174=PLANE('',#355907); #19175=PLANE('',#355908); #19176=PLANE('',#355909); #19177=PLANE('',#355910); #19178=PLANE('',#355911); #19179=PLANE('',#355912); #19180=PLANE('',#355913); #19181=PLANE('',#355914); #19182=PLANE('',#355915); #19183=PLANE('',#355916); #19184=PLANE('',#355917); #19185=PLANE('',#355918); #19186=PLANE('',#355919); #19187=PLANE('',#355920); #19188=PLANE('',#355921); #19189=PLANE('',#355922); #19190=PLANE('',#355923); #19191=PLANE('',#355924); #19192=PLANE('',#355925); #19193=PLANE('',#355926); #19194=PLANE('',#355927); #19195=PLANE('',#355928); #19196=PLANE('',#355929); #19197=PLANE('',#355930); #19198=PLANE('',#355931); #19199=PLANE('',#355932); #19200=PLANE('',#355933); #19201=PLANE('',#355934); #19202=PLANE('',#355935); #19203=PLANE('',#355936); #19204=PLANE('',#355937); #19205=PLANE('',#355938); #19206=PLANE('',#355939); #19207=PLANE('',#355940); #19208=PLANE('',#355941); #19209=PLANE('',#355942); #19210=PLANE('',#355943); #19211=PLANE('',#355944); #19212=PLANE('',#355945); #19213=PLANE('',#355946); #19214=PLANE('',#355947); #19215=PLANE('',#355948); #19216=PLANE('',#355949); #19217=PLANE('',#355950); #19218=PLANE('',#355951); #19219=PLANE('',#355952); #19220=PLANE('',#355953); #19221=PLANE('',#355954); #19222=PLANE('',#355955); #19223=PLANE('',#355956); #19224=PLANE('',#355957); #19225=PLANE('',#356005); #19226=PLANE('',#356006); #19227=PLANE('',#356007); #19228=PLANE('',#356008); #19229=PLANE('',#356009); #19230=PLANE('',#356010); #19231=PLANE('',#356055); #19232=PLANE('',#356056); #19233=PLANE('',#356057); #19234=PLANE('',#356058); #19235=PLANE('',#356059); #19236=PLANE('',#356060); #19237=PLANE('',#356061); #19238=PLANE('',#356062); #19239=PLANE('',#356063); #19240=PLANE('',#356064); #19241=PLANE('',#356065); #19242=PLANE('',#356066); #19243=PLANE('',#356067); #19244=PLANE('',#356068); #19245=PLANE('',#356069); #19246=PLANE('',#356070); #19247=PLANE('',#356071); #19248=PLANE('',#356072); #19249=PLANE('',#356073); #19250=PLANE('',#356074); #19251=PLANE('',#356075); #19252=PLANE('',#356076); #19253=PLANE('',#356077); #19254=PLANE('',#356078); #19255=PLANE('',#356084); #19256=PLANE('',#356085); #19257=PLANE('',#356096); #19258=PLANE('',#356097); #19259=PLANE('',#356098); #19260=PLANE('',#356099); #19261=PLANE('',#356100); #19262=PLANE('',#356101); #19263=PLANE('',#356103); #19264=PLANE('',#356109); #19265=PLANE('',#356110); #19266=PLANE('',#356111); #19267=PLANE('',#356112); #19268=PLANE('',#356113); #19269=PLANE('',#356114); #19270=PLANE('',#356115); #19271=PLANE('',#356116); #19272=PLANE('',#356117); #19273=PLANE('',#356118); #19274=PLANE('',#356119); #19275=PLANE('',#356120); #19276=PLANE('',#356121); #19277=PLANE('',#356122); #19278=PLANE('',#356123); #19279=PLANE('',#356124); #19280=PLANE('',#356125); #19281=PLANE('',#356126); #19282=PLANE('',#356127); #19283=PLANE('',#356128); #19284=PLANE('',#356129); #19285=PLANE('',#356130); #19286=PLANE('',#356131); #19287=PLANE('',#356132); #19288=PLANE('',#356133); #19289=PLANE('',#356134); #19290=PLANE('',#356135); #19291=PLANE('',#356136); #19292=PLANE('',#356137); #19293=PLANE('',#356138); #19294=PLANE('',#356139); #19295=PLANE('',#356140); #19296=PLANE('',#356141); #19297=PLANE('',#356142); #19298=PLANE('',#356143); #19299=PLANE('',#356144); #19300=PLANE('',#356145); #19301=PLANE('',#356146); #19302=PLANE('',#356147); #19303=PLANE('',#356148); #19304=PLANE('',#356149); #19305=PLANE('',#356150); #19306=PLANE('',#356151); #19307=PLANE('',#356152); #19308=PLANE('',#356153); #19309=PLANE('',#356154); #19310=PLANE('',#356155); #19311=PLANE('',#356156); #19312=PLANE('',#356157); #19313=PLANE('',#356158); #19314=PLANE('',#356159); #19315=PLANE('',#356160); #19316=PLANE('',#356161); #19317=PLANE('',#356162); #19318=PLANE('',#356163); #19319=PLANE('',#356164); #19320=PLANE('',#356165); #19321=PLANE('',#356166); #19322=PLANE('',#356167); #19323=PLANE('',#356168); #19324=PLANE('',#356169); #19325=PLANE('',#356170); #19326=PLANE('',#356171); #19327=PLANE('',#356172); #19328=PLANE('',#356173); #19329=PLANE('',#356174); #19330=PLANE('',#356178); #19331=PLANE('',#356179); #19332=PLANE('',#356180); #19333=PLANE('',#356181); #19334=PLANE('',#356182); #19335=PLANE('',#356183); #19336=PLANE('',#356188); #19337=PLANE('',#356189); #19338=PLANE('',#356190); #19339=PLANE('',#356193); #19340=PLANE('',#356194); #19341=PLANE('',#356195); #19342=PLANE('',#356196); #19343=PLANE('',#356200); #19344=PLANE('',#356206); #19345=PLANE('',#356207); #19346=PLANE('',#356212); #19347=PLANE('',#356217); #19348=PLANE('',#356218); #19349=PLANE('',#356223); #19350=PLANE('',#356224); #19351=PLANE('',#356228); #19352=PLANE('',#356229); #19353=PLANE('',#356230); #19354=PLANE('',#356231); #19355=PLANE('',#356232); #19356=PLANE('',#356236); #19357=PLANE('',#356237); #19358=PLANE('',#356239); #19359=PLANE('',#356240); #19360=PLANE('',#356243); #19361=PLANE('',#356244); #19362=PLANE('',#356247); #19363=PLANE('',#356250); #19364=PLANE('',#356252); #19365=PLANE('',#356255); #19366=PLANE('',#356260); #19367=PLANE('',#356261); #19368=PLANE('',#356262); #19369=PLANE('',#356263); #19370=PLANE('',#356264); #19371=PLANE('',#356265); #19372=PLANE('',#356266); #19373=PLANE('',#356267); #19374=PLANE('',#356268); #19375=PLANE('',#356269); #19376=PLANE('',#356270); #19377=PLANE('',#356271); #19378=PLANE('',#356272); #19379=PLANE('',#356273); #19380=PLANE('',#356274); #19381=PLANE('',#356275); #19382=PLANE('',#356276); #19383=PLANE('',#356277); #19384=PLANE('',#356278); #19385=PLANE('',#356279); #19386=PLANE('',#356280); #19387=PLANE('',#356281); #19388=PLANE('',#356282); #19389=PLANE('',#356283); #19390=PLANE('',#356284); #19391=PLANE('',#356285); #19392=PLANE('',#356290); #19393=PLANE('',#356294); #19394=PLANE('',#356298); #19395=PLANE('',#356299); #19396=PLANE('',#356303); #19397=PLANE('',#356304); #19398=PLANE('',#356305); #19399=PLANE('',#356306); #19400=PLANE('',#356307); #19401=PLANE('',#356308); #19402=PLANE('',#356309); #19403=PLANE('',#356310); #19404=PLANE('',#356311); #19405=PLANE('',#356312); #19406=PLANE('',#356313); #19407=PLANE('',#356314); #19408=PLANE('',#356315); #19409=PLANE('',#356316); #19410=PLANE('',#356317); #19411=PLANE('',#356318); #19412=PLANE('',#356319); #19413=PLANE('',#356320); #19414=PLANE('',#356321); #19415=PLANE('',#356322); #19416=PLANE('',#356323); #19417=PLANE('',#356324); #19418=PLANE('',#356325); #19419=PLANE('',#356326); #19420=PLANE('',#356327); #19421=PLANE('',#356328); #19422=PLANE('',#356329); #19423=PLANE('',#356330); #19424=PLANE('',#356331); #19425=PLANE('',#356332); #19426=PLANE('',#356333); #19427=PLANE('',#356334); #19428=PLANE('',#356335); #19429=PLANE('',#356336); #19430=PLANE('',#356337); #19431=PLANE('',#356338); #19432=PLANE('',#356339); #19433=PLANE('',#356340); #19434=PLANE('',#356341); #19435=PLANE('',#356342); #19436=PLANE('',#356343); #19437=PLANE('',#356344); #19438=PLANE('',#356345); #19439=PLANE('',#356346); #19440=PLANE('',#356347); #19441=PLANE('',#356348); #19442=PLANE('',#356349); #19443=PLANE('',#356350); #19444=PLANE('',#356351); #19445=PLANE('',#356352); #19446=PLANE('',#356353); #19447=PLANE('',#356354); #19448=PLANE('',#356355); #19449=PLANE('',#356356); #19450=PLANE('',#356357); #19451=PLANE('',#356358); #19452=PLANE('',#356359); #19453=PLANE('',#356360); #19454=PLANE('',#356361); #19455=PLANE('',#356362); #19456=PLANE('',#356363); #19457=PLANE('',#356364); #19458=PLANE('',#356365); #19459=PLANE('',#356366); #19460=PLANE('',#356367); #19461=PLANE('',#356368); #19462=PLANE('',#356369); #19463=PLANE('',#356370); #19464=PLANE('',#356371); #19465=PLANE('',#356372); #19466=PLANE('',#356373); #19467=PLANE('',#356374); #19468=PLANE('',#356375); #19469=PLANE('',#356376); #19470=PLANE('',#356377); #19471=PLANE('',#356378); #19472=PLANE('',#356379); #19473=PLANE('',#356380); #19474=PLANE('',#356381); #19475=PLANE('',#356382); #19476=PLANE('',#356383); #19477=PLANE('',#356384); #19478=PLANE('',#356385); #19479=PLANE('',#356386); #19480=PLANE('',#356387); #19481=PLANE('',#356388); #19482=PLANE('',#356390); #19483=PLANE('',#356391); #19484=PLANE('',#356396); #19485=PLANE('',#356401); #19486=PLANE('',#356402); #19487=PLANE('',#356403); #19488=PLANE('',#356404); #19489=PLANE('',#356405); #19490=PLANE('',#356406); #19491=PLANE('',#356407); #19492=PLANE('',#356409); #19493=PLANE('',#356411); #19494=PLANE('',#356413); #19495=PLANE('',#356415); #19496=PLANE('',#356416); #19497=PLANE('',#356417); #19498=PLANE('',#356419); #19499=PLANE('',#356420); #19500=PLANE('',#356425); #19501=PLANE('',#356430); #19502=PLANE('',#356431); #19503=PLANE('',#356432); #19504=PLANE('',#356433); #19505=PLANE('',#356434); #19506=PLANE('',#356435); #19507=PLANE('',#356436); #19508=PLANE('',#356438); #19509=PLANE('',#356440); #19510=PLANE('',#356442); #19511=PLANE('',#356444); #19512=PLANE('',#356445); #19513=PLANE('',#356446); #19514=PLANE('',#356448); #19515=PLANE('',#356449); #19516=PLANE('',#356454); #19517=PLANE('',#356459); #19518=PLANE('',#356460); #19519=PLANE('',#356461); #19520=PLANE('',#356462); #19521=PLANE('',#356463); #19522=PLANE('',#356464); #19523=PLANE('',#356465); #19524=PLANE('',#356467); #19525=PLANE('',#356469); #19526=PLANE('',#356471); #19527=PLANE('',#356473); #19528=PLANE('',#356474); #19529=PLANE('',#356475); #19530=PLANE('',#356477); #19531=PLANE('',#356478); #19532=PLANE('',#356483); #19533=PLANE('',#356488); #19534=PLANE('',#356489); #19535=PLANE('',#356490); #19536=PLANE('',#356491); #19537=PLANE('',#356492); #19538=PLANE('',#356493); #19539=PLANE('',#356494); #19540=PLANE('',#356496); #19541=PLANE('',#356498); #19542=PLANE('',#356500); #19543=PLANE('',#356502); #19544=PLANE('',#356503); #19545=PLANE('',#356504); #19546=PLANE('',#356506); #19547=PLANE('',#356507); #19548=PLANE('',#356512); #19549=PLANE('',#356517); #19550=PLANE('',#356518); #19551=PLANE('',#356519); #19552=PLANE('',#356520); #19553=PLANE('',#356521); #19554=PLANE('',#356522); #19555=PLANE('',#356523); #19556=PLANE('',#356525); #19557=PLANE('',#356527); #19558=PLANE('',#356529); #19559=PLANE('',#356531); #19560=PLANE('',#356532); #19561=PLANE('',#356533); #19562=PLANE('',#356535); #19563=PLANE('',#356536); #19564=PLANE('',#356541); #19565=PLANE('',#356546); #19566=PLANE('',#356547); #19567=PLANE('',#356548); #19568=PLANE('',#356549); #19569=PLANE('',#356550); #19570=PLANE('',#356551); #19571=PLANE('',#356552); #19572=PLANE('',#356554); #19573=PLANE('',#356556); #19574=PLANE('',#356558); #19575=PLANE('',#356560); #19576=PLANE('',#356561); #19577=PLANE('',#356562); #19578=PLANE('',#356564); #19579=PLANE('',#356565); #19580=PLANE('',#356570); #19581=PLANE('',#356575); #19582=PLANE('',#356576); #19583=PLANE('',#356577); #19584=PLANE('',#356578); #19585=PLANE('',#356579); #19586=PLANE('',#356580); #19587=PLANE('',#356581); #19588=PLANE('',#356583); #19589=PLANE('',#356585); #19590=PLANE('',#356587); #19591=PLANE('',#356589); #19592=PLANE('',#356590); #19593=PLANE('',#356591); #19594=PLANE('',#356593); #19595=PLANE('',#356594); #19596=PLANE('',#356599); #19597=PLANE('',#356604); #19598=PLANE('',#356605); #19599=PLANE('',#356606); #19600=PLANE('',#356607); #19601=PLANE('',#356608); #19602=PLANE('',#356609); #19603=PLANE('',#356610); #19604=PLANE('',#356612); #19605=PLANE('',#356614); #19606=PLANE('',#356616); #19607=PLANE('',#356618); #19608=PLANE('',#356619); #19609=PLANE('',#356620); #19610=PLANE('',#356622); #19611=PLANE('',#356623); #19612=PLANE('',#356628); #19613=PLANE('',#356633); #19614=PLANE('',#356634); #19615=PLANE('',#356635); #19616=PLANE('',#356636); #19617=PLANE('',#356637); #19618=PLANE('',#356638); #19619=PLANE('',#356639); #19620=PLANE('',#356641); #19621=PLANE('',#356643); #19622=PLANE('',#356645); #19623=PLANE('',#356647); #19624=PLANE('',#356648); #19625=PLANE('',#356649); #19626=PLANE('',#356651); #19627=PLANE('',#356652); #19628=PLANE('',#356657); #19629=PLANE('',#356662); #19630=PLANE('',#356663); #19631=PLANE('',#356664); #19632=PLANE('',#356665); #19633=PLANE('',#356666); #19634=PLANE('',#356667); #19635=PLANE('',#356668); #19636=PLANE('',#356670); #19637=PLANE('',#356672); #19638=PLANE('',#356674); #19639=PLANE('',#356676); #19640=PLANE('',#356677); #19641=PLANE('',#356678); #19642=PLANE('',#356680); #19643=PLANE('',#356681); #19644=PLANE('',#356686); #19645=PLANE('',#356691); #19646=PLANE('',#356692); #19647=PLANE('',#356693); #19648=PLANE('',#356694); #19649=PLANE('',#356695); #19650=PLANE('',#356696); #19651=PLANE('',#356697); #19652=PLANE('',#356699); #19653=PLANE('',#356701); #19654=PLANE('',#356703); #19655=PLANE('',#356705); #19656=PLANE('',#356706); #19657=PLANE('',#356707); #19658=PLANE('',#356709); #19659=PLANE('',#356710); #19660=PLANE('',#356715); #19661=PLANE('',#356720); #19662=PLANE('',#356721); #19663=PLANE('',#356722); #19664=PLANE('',#356723); #19665=PLANE('',#356724); #19666=PLANE('',#356725); #19667=PLANE('',#356726); #19668=PLANE('',#356728); #19669=PLANE('',#356730); #19670=PLANE('',#356732); #19671=PLANE('',#356734); #19672=PLANE('',#356735); #19673=PLANE('',#356736); #19674=PLANE('',#356738); #19675=PLANE('',#356739); #19676=PLANE('',#356744); #19677=PLANE('',#356749); #19678=PLANE('',#356750); #19679=PLANE('',#356751); #19680=PLANE('',#356752); #19681=PLANE('',#356753); #19682=PLANE('',#356754); #19683=PLANE('',#356755); #19684=PLANE('',#356757); #19685=PLANE('',#356759); #19686=PLANE('',#356761); #19687=PLANE('',#356763); #19688=PLANE('',#356764); #19689=PLANE('',#356765); #19690=PLANE('',#356767); #19691=PLANE('',#356768); #19692=PLANE('',#356773); #19693=PLANE('',#356778); #19694=PLANE('',#356779); #19695=PLANE('',#356780); #19696=PLANE('',#356781); #19697=PLANE('',#356782); #19698=PLANE('',#356783); #19699=PLANE('',#356784); #19700=PLANE('',#356786); #19701=PLANE('',#356788); #19702=PLANE('',#356790); #19703=PLANE('',#356792); #19704=PLANE('',#356793); #19705=PLANE('',#356794); #19706=PLANE('',#356796); #19707=PLANE('',#356797); #19708=PLANE('',#356798); #19709=PLANE('',#356799); #19710=PLANE('',#356803); #19711=PLANE('',#356804); #19712=PLANE('',#356805); #19713=PLANE('',#356809); #19714=PLANE('',#356816); #19715=PLANE('',#356820); #19716=PLANE('',#356824); #19717=PLANE('',#356825); #19718=PLANE('',#356826); #19719=PLANE('',#356827); #19720=PLANE('',#356828); #19721=PLANE('',#356829); #19722=PLANE('',#356830); #19723=PLANE('',#356834); #19724=PLANE('',#356838); #19725=PLANE('',#356845); #19726=PLANE('',#356849); #19727=PLANE('',#356850); #19728=PLANE('',#356851); #19729=PLANE('',#356855); #19730=PLANE('',#356856); #19731=PLANE('',#356857); #19732=PLANE('',#356859); #19733=PLANE('',#356860); #19734=PLANE('',#356861); #19735=PLANE('',#356879); #19736=PLANE('',#356897); #19737=PLANE('',#356898); #19738=PLANE('',#356905); #19739=PLANE('',#356908); #19740=PLANE('',#356928); #19741=PLANE('',#356931); #19742=PLANE('',#356949); #19743=PLANE('',#356950); #19744=PLANE('',#356951); #19745=PLANE('',#356952); #19746=PLANE('',#356953); #19747=PLANE('',#356957); #19748=PLANE('',#356960); #19749=PLANE('',#356981); #19750=PLANE('',#356982); #19751=PLANE('',#356983); #19752=PLANE('',#356984); #19753=PLANE('',#356985); #19754=PLANE('',#357005); #19755=PLANE('',#357009); #19756=PLANE('',#357045); #19757=PLANE('',#357046); #19758=PLANE('',#357047); #19759=PLANE('',#357050); #19760=PLANE('',#357051); #19761=PLANE('',#357065); #19762=PLANE('',#357086); #19763=PLANE('',#357096); #19764=PLANE('',#357097); #19765=PLANE('',#357101); #19766=PLANE('',#357103); #19767=PLANE('',#357104); #19768=PLANE('',#357106); #19769=PLANE('',#357108); #19770=PLANE('',#357110); #19771=PLANE('',#357113); #19772=PLANE('',#357115); #19773=PLANE('',#357117); #19774=PLANE('',#357119); #19775=PLANE('',#357120); #19776=PLANE('',#357122); #19777=PLANE('',#357124); #19778=PLANE('',#357126); #19779=PLANE('',#357128); #19780=PLANE('',#357130); #19781=PLANE('',#357131); #19782=PLANE('',#357133); #19783=PLANE('',#357135); #19784=PLANE('',#357137); #19785=PLANE('',#357139); #19786=PLANE('',#357141); #19787=PLANE('',#357143); #19788=PLANE('',#357145); #19789=PLANE('',#357149); #19790=PLANE('',#357151); #19791=PLANE('',#357154); #19792=PLANE('',#357155); #19793=PLANE('',#357156); #19794=PLANE('',#357158); #19795=PLANE('',#357160); #19796=PLANE('',#357162); #19797=PLANE('',#357164); #19798=PLANE('',#357167); #19799=PLANE('',#357168); #19800=PLANE('',#357172); #19801=PLANE('',#357174); #19802=PLANE('',#357176); #19803=PLANE('',#357178); #19804=PLANE('',#357180); #19805=PLANE('',#357181); #19806=PLANE('',#357182); #19807=PLANE('',#357183); #19808=PLANE('',#357184); #19809=PLANE('',#357185); #19810=PLANE('',#357194); #19811=PLANE('',#357204); #19812=PLANE('',#357206); #19813=PLANE('',#357208); #19814=PLANE('',#357211); #19815=PLANE('',#357213); #19816=PLANE('',#357215); #19817=PLANE('',#357217); #19818=PLANE('',#357218); #19819=PLANE('',#357219); #19820=PLANE('',#357220); #19821=PLANE('',#357221); #19822=PLANE('',#357230); #19823=PLANE('',#357240); #19824=PLANE('',#357242); #19825=PLANE('',#357244); #19826=PLANE('',#357247); #19827=PLANE('',#357249); #19828=PLANE('',#357251); #19829=PLANE('',#357253); #19830=PLANE('',#357257); #19831=PLANE('',#357261); #19832=PLANE('',#357265); #19833=PLANE('',#357271); #19834=PLANE('',#357275); #19835=PLANE('',#357281); #19836=PLANE('',#357282); #19837=PLANE('',#357283); #19838=PLANE('',#357284); #19839=PLANE('',#357285); #19840=PLANE('',#357286); #19841=PLANE('',#357287); #19842=PLANE('',#357289); #19843=PLANE('',#357293); #19844=PLANE('',#357295); #19845=PLANE('',#357297); #19846=PLANE('',#357299); #19847=PLANE('',#357301); #19848=PLANE('',#357304); #19849=PLANE('',#357306); #19850=PLANE('',#357308); #19851=PLANE('',#357310); #19852=PLANE('',#357312); #19853=PLANE('',#357313); #19854=PLANE('',#357314); #19855=PLANE('',#357317); #19856=PLANE('',#357321); #19857=PLANE('',#357322); #19858=PLANE('',#357323); #19859=PLANE('',#357324); #19860=PLANE('',#357325); #19861=PLANE('',#357334); #19862=PLANE('',#357344); #19863=PLANE('',#357346); #19864=PLANE('',#357348); #19865=PLANE('',#357351); #19866=PLANE('',#357353); #19867=PLANE('',#357355); #19868=PLANE('',#357357); #19869=PLANE('',#357358); #19870=PLANE('',#357359); #19871=PLANE('',#357360); #19872=PLANE('',#357361); #19873=PLANE('',#357370); #19874=PLANE('',#357380); #19875=PLANE('',#357382); #19876=PLANE('',#357384); #19877=PLANE('',#357387); #19878=PLANE('',#357389); #19879=PLANE('',#357391); #19880=PLANE('',#357396); #19881=PLANE('',#357400); #19882=PLANE('',#357404); #19883=PLANE('',#357405); #19884=PLANE('',#357414); #19885=PLANE('',#357415); #19886=PLANE('',#357421); #19887=PLANE('',#357422); #19888=PLANE('',#357423); #19889=PLANE('',#357424); #19890=PLANE('',#357425); #19891=PLANE('',#357426); #19892=PLANE('',#357430); #19893=PLANE('',#357440); #19894=PLANE('',#357450); #19895=PLANE('',#357454); #19896=PLANE('',#357458); #19897=PLANE('',#357468); #19898=PLANE('',#357478); #19899=PLANE('',#357482); #19900=PLANE('',#357486); #19901=PLANE('',#357490); #19902=PLANE('',#357493); #19903=PLANE('',#357497); #19904=PLANE('',#357498); #19905=PLANE('',#357499); #19906=PLANE('',#357500); #19907=PLANE('',#357504); #19908=PLANE('',#357505); #19909=PLANE('',#357510); #19910=PLANE('',#357511); #19911=PLANE('',#357514); #19912=PLANE('',#357526); #19913=PLANE('',#357530); #19914=PLANE('',#357534); #19915=PLANE('',#357542); #19916=PLANE('',#357550); #19917=PLANE('',#357558); #19918=PLANE('',#357566); #19919=PLANE('',#357570); #19920=PLANE('',#357574); #19921=PLANE('',#357586); #19922=PLANE('',#357587); #19923=PLANE('',#357594); #19924=PLANE('',#357597); #19925=PLANE('',#357602); #19926=PLANE('',#357605); #19927=PLANE('',#357610); #19928=PLANE('',#357615); #19929=PLANE('',#357623); #19930=PLANE('',#357625); #19931=PLANE('',#357627); #19932=PLANE('',#357630); #19933=PLANE('',#357632); #19934=PLANE('',#357634); #19935=PLANE('',#357637); #19936=PLANE('',#357639); #19937=PLANE('',#357641); #19938=PLANE('',#357644); #19939=PLANE('',#357646); #19940=PLANE('',#357648); #19941=PLANE('',#357651); #19942=PLANE('',#357652); #19943=PLANE('',#357657); #19944=PLANE('',#357662); #19945=PLANE('',#357663); #19946=PLANE('',#357664); #19947=PLANE('',#357665); #19948=PLANE('',#357666); #19949=PLANE('',#357667); #19950=PLANE('',#357668); #19951=PLANE('',#357670); #19952=PLANE('',#357672); #19953=PLANE('',#357674); #19954=PLANE('',#357676); #19955=PLANE('',#357677); #19956=PLANE('',#357678); #19957=PLANE('',#357702); #19958=PLANE('',#357703); #19959=PLANE('',#357704); #19960=PLANE('',#357705); #19961=PLANE('',#357706); #19962=PLANE('',#357707); #19963=PLANE('',#357708); #19964=PLANE('',#357709); #19965=PLANE('',#357710); #19966=PLANE('',#357711); #19967=PLANE('',#357712); #19968=PLANE('',#357713); #19969=PLANE('',#357714); #19970=PLANE('',#357715); #19971=PLANE('',#357717); #19972=PLANE('',#357719); #19973=PLANE('',#357722); #19974=PLANE('',#357723); #19975=PLANE('',#357726); #19976=PLANE('',#357728); #19977=PLANE('',#357729); #19978=PLANE('',#357731); #19979=PLANE('',#357732); #19980=PLANE('',#357733); #19981=PLANE('',#357734); #19982=PLANE('',#357736); #19983=PLANE('',#357737); #19984=PLANE('',#357739); #19985=PLANE('',#357740); #19986=PLANE('',#357741); #19987=PLANE('',#357742); #19988=PLANE('',#357743); #19989=PLANE('',#357744); #19990=PLANE('',#357745); #19991=PLANE('',#357746); #19992=PLANE('',#357747); #19993=PLANE('',#357748); #19994=PLANE('',#357749); #19995=PLANE('',#357750); #19996=PLANE('',#357751); #19997=PLANE('',#357752); #19998=PLANE('',#357753); #19999=PLANE('',#357754); #20000=PLANE('',#357755); #20001=PLANE('',#357756); #20002=PLANE('',#357757); #20003=PLANE('',#357758); #20004=PLANE('',#357759); #20005=PLANE('',#357760); #20006=PLANE('',#357761); #20007=PLANE('',#357762); #20008=PLANE('',#357763); #20009=PLANE('',#357764); #20010=PLANE('',#357765); #20011=PLANE('',#357766); #20012=PLANE('',#357767); #20013=PLANE('',#357768); #20014=PLANE('',#357769); #20015=PLANE('',#357770); #20016=PLANE('',#357771); #20017=PLANE('',#357772); #20018=PLANE('',#357773); #20019=PLANE('',#357774); #20020=PLANE('',#357775); #20021=PLANE('',#357776); #20022=PLANE('',#357777); #20023=PLANE('',#357778); #20024=PLANE('',#357779); #20025=PLANE('',#357780); #20026=PLANE('',#357781); #20027=PLANE('',#357782); #20028=PLANE('',#357783); #20029=PLANE('',#357784); #20030=PLANE('',#357785); #20031=PLANE('',#357788); #20032=PLANE('',#357789); #20033=PLANE('',#357790); #20034=PLANE('',#357838); #20035=PLANE('',#357839); #20036=PLANE('',#357840); #20037=PLANE('',#357841); #20038=PLANE('',#357842); #20039=PLANE('',#357843); #20040=PLANE('',#357888); #20041=PLANE('',#357889); #20042=PLANE('',#357890); #20043=PLANE('',#357891); #20044=PLANE('',#357892); #20045=PLANE('',#357893); #20046=PLANE('',#357894); #20047=PLANE('',#357895); #20048=PLANE('',#357896); #20049=PLANE('',#357897); #20050=PLANE('',#357898); #20051=PLANE('',#357899); #20052=PLANE('',#357947); #20053=PLANE('',#357948); #20054=PLANE('',#357949); #20055=PLANE('',#357950); #20056=PLANE('',#357951); #20057=PLANE('',#357952); #20058=PLANE('',#357997); #20059=PLANE('',#357998); #20060=PLANE('',#357999); #20061=PLANE('',#358000); #20062=PLANE('',#358001); #20063=PLANE('',#358002); #20064=PLANE('',#358003); #20065=PLANE('',#358004); #20066=PLANE('',#358005); #20067=PLANE('',#358006); #20068=PLANE('',#358007); #20069=PLANE('',#358008); #20070=PLANE('',#358056); #20071=PLANE('',#358057); #20072=PLANE('',#358058); #20073=PLANE('',#358059); #20074=PLANE('',#358060); #20075=PLANE('',#358061); #20076=PLANE('',#358106); #20077=PLANE('',#358107); #20078=PLANE('',#358108); #20079=PLANE('',#358109); #20080=PLANE('',#358110); #20081=PLANE('',#358111); #20082=PLANE('',#358112); #20083=PLANE('',#358113); #20084=PLANE('',#358114); #20085=PLANE('',#358115); #20086=PLANE('',#358116); #20087=PLANE('',#358117); #20088=PLANE('',#358124); #20089=PLANE('',#358125); #20090=PLANE('',#358146); #20091=PLANE('',#358147); #20092=PLANE('',#358170); #20093=PLANE('',#358191); #20094=PLANE('',#358200); #20095=PLANE('',#358204); #20096=PLANE('',#358205); #20097=PLANE('',#358209); #20098=PLANE('',#358210); #20099=PLANE('',#358211); #20100=PLANE('',#358212); #20101=PLANE('',#358217); #20102=PLANE('',#358220); #20103=PLANE('',#358221); #20104=PLANE('',#358222); #20105=PLANE('',#358227); #20106=PLANE('',#358230); #20107=PLANE('',#358231); #20108=PLANE('',#358232); #20109=PLANE('',#358237); #20110=PLANE('',#358240); #20111=PLANE('',#358241); #20112=PLANE('',#358242); #20113=PLANE('',#358247); #20114=PLANE('',#358250); #20115=PLANE('',#358251); #20116=PLANE('',#358252); #20117=PLANE('',#358257); #20118=PLANE('',#358260); #20119=PLANE('',#358261); #20120=PLANE('',#358262); #20121=PLANE('',#358267); #20122=PLANE('',#358270); #20123=PLANE('',#358271); #20124=PLANE('',#358272); #20125=PLANE('',#358277); #20126=PLANE('',#358280); #20127=PLANE('',#358281); #20128=PLANE('',#358282); #20129=PLANE('',#358287); #20130=PLANE('',#358290); #20131=PLANE('',#358291); #20132=PLANE('',#358292); #20133=PLANE('',#358297); #20134=PLANE('',#358300); #20135=PLANE('',#358307); #20136=PLANE('',#358308); #20137=PLANE('',#358309); #20138=PLANE('',#358310); #20139=PLANE('',#358311); #20140=PLANE('',#358312); #20141=PLANE('',#358313); #20142=PLANE('',#358314); #20143=PLANE('',#358315); #20144=PLANE('',#358316); #20145=PLANE('',#358317); #20146=PLANE('',#358318); #20147=PLANE('',#358319); #20148=PLANE('',#358320); #20149=PLANE('',#358321); #20150=PLANE('',#358322); #20151=PLANE('',#358323); #20152=PLANE('',#358324); #20153=PLANE('',#358325); #20154=PLANE('',#358329); #20155=PLANE('',#358333); #20156=PLANE('',#358334); #20157=PLANE('',#358335); #20158=PLANE('',#358336); #20159=PLANE('',#358337); #20160=PLANE('',#358341); #20161=PLANE('',#358345); #20162=PLANE('',#358346); #20163=PLANE('',#358347); #20164=PLANE('',#358348); #20165=PLANE('',#358349); #20166=PLANE('',#358353); #20167=PLANE('',#358357); #20168=PLANE('',#358358); #20169=PLANE('',#358359); #20170=PLANE('',#358360); #20171=PLANE('',#358361); #20172=PLANE('',#358365); #20173=PLANE('',#358369); #20174=PLANE('',#358370); #20175=PLANE('',#358371); #20176=PLANE('',#358372); #20177=PLANE('',#358373); #20178=PLANE('',#358377); #20179=PLANE('',#358381); #20180=PLANE('',#358382); #20181=PLANE('',#358383); #20182=PLANE('',#358384); #20183=PLANE('',#358385); #20184=PLANE('',#358389); #20185=PLANE('',#358393); #20186=PLANE('',#358394); #20187=PLANE('',#358395); #20188=PLANE('',#358396); #20189=PLANE('',#358397); #20190=PLANE('',#358401); #20191=PLANE('',#358405); #20192=PLANE('',#358406); #20193=PLANE('',#358407); #20194=PLANE('',#358408); #20195=PLANE('',#358409); #20196=PLANE('',#358413); #20197=PLANE('',#358417); #20198=PLANE('',#358418); #20199=PLANE('',#358419); #20200=PLANE('',#358420); #20201=PLANE('',#358421); #20202=PLANE('',#358422); #20203=PLANE('',#358423); #20204=PLANE('',#358424); #20205=PLANE('',#358425); #20206=PLANE('',#358426); #20207=PLANE('',#358427); #20208=PLANE('',#358428); #20209=PLANE('',#358429); #20210=PLANE('',#358430); #20211=PLANE('',#358431); #20212=PLANE('',#358432); #20213=PLANE('',#358433); #20214=PLANE('',#358434); #20215=PLANE('',#358435); #20216=PLANE('',#358436); #20217=PLANE('',#358437); #20218=PLANE('',#358438); #20219=PLANE('',#358439); #20220=PLANE('',#358440); #20221=PLANE('',#358441); #20222=PLANE('',#358442); #20223=PLANE('',#358443); #20224=PLANE('',#358444); #20225=PLANE('',#358445); #20226=PLANE('',#358446); #20227=PLANE('',#358447); #20228=PLANE('',#358448); #20229=PLANE('',#358449); #20230=PLANE('',#358450); #20231=PLANE('',#358451); #20232=PLANE('',#358452); #20233=PLANE('',#358453); #20234=PLANE('',#358454); #20235=PLANE('',#358455); #20236=PLANE('',#358456); #20237=PLANE('',#358457); #20238=PLANE('',#358458); #20239=PLANE('',#358459); #20240=PLANE('',#358460); #20241=PLANE('',#358461); #20242=PLANE('',#358462); #20243=PLANE('',#358463); #20244=PLANE('',#358464); #20245=PLANE('',#358465); #20246=PLANE('',#358466); #20247=PLANE('',#358467); #20248=PLANE('',#358474); #20249=PLANE('',#358475); #20250=PLANE('',#358476); #20251=PLANE('',#358477); #20252=PLANE('',#358478); #20253=PLANE('',#358479); #20254=PLANE('',#358480); #20255=PLANE('',#358481); #20256=PLANE('',#358482); #20257=PLANE('',#358483); #20258=PLANE('',#358484); #20259=PLANE('',#358485); #20260=PLANE('',#358486); #20261=PLANE('',#358487); #20262=PLANE('',#358488); #20263=PLANE('',#358489); #20264=PLANE('',#358490); #20265=PLANE('',#358491); #20266=PLANE('',#358492); #20267=PLANE('',#358496); #20268=PLANE('',#358500); #20269=PLANE('',#358501); #20270=PLANE('',#358502); #20271=PLANE('',#358503); #20272=PLANE('',#358504); #20273=PLANE('',#358508); #20274=PLANE('',#358512); #20275=PLANE('',#358513); #20276=PLANE('',#358514); #20277=PLANE('',#358515); #20278=PLANE('',#358516); #20279=PLANE('',#358520); #20280=PLANE('',#358524); #20281=PLANE('',#358525); #20282=PLANE('',#358526); #20283=PLANE('',#358527); #20284=PLANE('',#358528); #20285=PLANE('',#358532); #20286=PLANE('',#358536); #20287=PLANE('',#358537); #20288=PLANE('',#358538); #20289=PLANE('',#358539); #20290=PLANE('',#358540); #20291=PLANE('',#358544); #20292=PLANE('',#358548); #20293=PLANE('',#358549); #20294=PLANE('',#358550); #20295=PLANE('',#358551); #20296=PLANE('',#358552); #20297=PLANE('',#358556); #20298=PLANE('',#358560); #20299=PLANE('',#358561); #20300=PLANE('',#358562); #20301=PLANE('',#358563); #20302=PLANE('',#358564); #20303=PLANE('',#358568); #20304=PLANE('',#358572); #20305=PLANE('',#358573); #20306=PLANE('',#358574); #20307=PLANE('',#358575); #20308=PLANE('',#358576); #20309=PLANE('',#358580); #20310=PLANE('',#358584); #20311=PLANE('',#358585); #20312=PLANE('',#358586); #20313=PLANE('',#358587); #20314=PLANE('',#358588); #20315=PLANE('',#358589); #20316=PLANE('',#358590); #20317=PLANE('',#358591); #20318=PLANE('',#358592); #20319=PLANE('',#358593); #20320=PLANE('',#358594); #20321=PLANE('',#358595); #20322=PLANE('',#358596); #20323=PLANE('',#358597); #20324=PLANE('',#358598); #20325=PLANE('',#358599); #20326=PLANE('',#358600); #20327=PLANE('',#358601); #20328=PLANE('',#358602); #20329=PLANE('',#358603); #20330=PLANE('',#358604); #20331=PLANE('',#358605); #20332=PLANE('',#358606); #20333=PLANE('',#358607); #20334=PLANE('',#358608); #20335=PLANE('',#358609); #20336=PLANE('',#358610); #20337=PLANE('',#358611); #20338=PLANE('',#358612); #20339=PLANE('',#358613); #20340=PLANE('',#358614); #20341=PLANE('',#358615); #20342=PLANE('',#358616); #20343=PLANE('',#358617); #20344=PLANE('',#358618); #20345=PLANE('',#358619); #20346=PLANE('',#358620); #20347=PLANE('',#358621); #20348=PLANE('',#358622); #20349=PLANE('',#358623); #20350=PLANE('',#358624); #20351=PLANE('',#358625); #20352=PLANE('',#358626); #20353=PLANE('',#358627); #20354=PLANE('',#358628); #20355=PLANE('',#358629); #20356=PLANE('',#358630); #20357=PLANE('',#358631); #20358=PLANE('',#358632); #20359=PLANE('',#358633); #20360=PLANE('',#358634); #20361=PLANE('',#358638); #20362=PLANE('',#358639); #20363=PLANE('',#358640); #20364=PLANE('',#358641); #20365=PLANE('',#358642); #20366=PLANE('',#358643); #20367=PLANE('',#358644); #20368=PLANE('',#358645); #20369=PLANE('',#358646); #20370=PLANE('',#358647); #20371=PLANE('',#358648); #20372=PLANE('',#358649); #20373=PLANE('',#358650); #20374=PLANE('',#358651); #20375=PLANE('',#358652); #20376=PLANE('',#358653); #20377=PLANE('',#358654); #20378=PLANE('',#358655); #20379=PLANE('',#358656); #20380=PLANE('',#358657); #20381=PLANE('',#358658); #20382=PLANE('',#358659); #20383=PLANE('',#358660); #20384=PLANE('',#358661); #20385=PLANE('',#358662); #20386=PLANE('',#358663); #20387=PLANE('',#358664); #20388=PLANE('',#358665); #20389=PLANE('',#358666); #20390=PLANE('',#358667); #20391=PLANE('',#358668); #20392=PLANE('',#358669); #20393=PLANE('',#358670); #20394=PLANE('',#358671); #20395=PLANE('',#358681); #20396=PLANE('',#358682); #20397=PLANE('',#358693); #20398=PLANE('',#358694); #20399=PLANE('',#358695); #20400=PLANE('',#358696); #20401=PLANE('',#358697); #20402=PLANE('',#358698); #20403=PLANE('',#358699); #20404=PLANE('',#358717); #20405=PLANE('',#358718); #20406=PLANE('',#358719); #20407=PLANE('',#358720); #20408=PLANE('',#358721); #20409=PLANE('',#358722); #20410=PLANE('',#358723); #20411=PLANE('',#358724); #20412=PLANE('',#358725); #20413=PLANE('',#358726); #20414=PLANE('',#358730); #20415=PLANE('',#358731); #20416=PLANE('',#358732); #20417=PLANE('',#358733); #20418=PLANE('',#358734); #20419=PLANE('',#358735); #20420=PLANE('',#358736); #20421=PLANE('',#358737); #20422=PLANE('',#358738); #20423=PLANE('',#358739); #20424=PLANE('',#358740); #20425=PLANE('',#358741); #20426=PLANE('',#358742); #20427=PLANE('',#358743); #20428=PLANE('',#358744); #20429=PLANE('',#358745); #20430=PLANE('',#358746); #20431=PLANE('',#358747); #20432=PLANE('',#358748); #20433=PLANE('',#358752); #20434=PLANE('',#358774); #20435=PLANE('',#358776); #20436=PLANE('',#358778); #20437=PLANE('',#358780); #20438=PLANE('',#358782); #20439=PLANE('',#358784); #20440=PLANE('',#358786); #20441=PLANE('',#358787); #20442=PLANE('',#358788); #20443=PLANE('',#358789); #20444=PLANE('',#358790); #20445=PLANE('',#358791); #20446=PLANE('',#358792); #20447=PLANE('',#358793); #20448=PLANE('',#358815); #20449=PLANE('',#358819); #20450=PLANE('',#358820); #20451=PLANE('',#358821); #20452=PLANE('',#358822); #20453=PLANE('',#358823); #20454=PLANE('',#358824); #20455=PLANE('',#358825); #20456=PLANE('',#358826); #20457=PLANE('',#358827); #20458=PLANE('',#358829); #20459=PLANE('',#358831); #20460=PLANE('',#358833); #20461=PLANE('',#358835); #20462=PLANE('',#358837); #20463=PLANE('',#358839); #20464=PLANE('',#358841); #20465=PLANE('',#358845); #20466=PLANE('',#358846); #20467=PLANE('',#358853); #20468=PLANE('',#358858); #20469=PLANE('',#358859); #20470=PLANE('',#358860); #20471=PLANE('',#358861); #20472=PLANE('',#358864); #20473=PLANE('',#358869); #20474=PLANE('',#358870); #20475=PLANE('',#358873); #20476=PLANE('',#358874); #20477=PLANE('',#358879); #20478=PLANE('',#358880); #20479=PLANE('',#358886); #20480=PLANE('',#358889); #20481=PLANE('',#358891); #20482=PLANE('',#358892); #20483=PLANE('',#358893); #20484=PLANE('',#358894); #20485=PLANE('',#358895); #20486=PLANE('',#358902); #20487=PLANE('',#358903); #20488=PLANE('',#358908); #20489=PLANE('',#358911); #20490=PLANE('',#358915); #20491=PLANE('',#358918); #20492=PLANE('',#358920); #20493=PLANE('',#358921); #20494=PLANE('',#358922); #20495=PLANE('',#358923); #20496=PLANE('',#358924); #20497=PLANE('',#358925); #20498=PLANE('',#358932); #20499=PLANE('',#358937); #20500=PLANE('',#358938); #20501=PLANE('',#358939); #20502=PLANE('',#358940); #20503=PLANE('',#358943); #20504=PLANE('',#358948); #20505=PLANE('',#358949); #20506=PLANE('',#358952); #20507=PLANE('',#358953); #20508=PLANE('',#358958); #20509=PLANE('',#358959); #20510=PLANE('',#358964); #20511=PLANE('',#358965); #20512=PLANE('',#358966); #20513=PLANE('',#358967); #20514=PLANE('',#358969); #20515=PLANE('',#358972); #20516=PLANE('',#358974); #20517=PLANE('',#358977); #20518=PLANE('',#358978); #20519=PLANE('',#358981); #20520=PLANE('',#358984); #20521=PLANE('',#358993); #20522=PLANE('',#358994); #20523=PLANE('',#358995); #20524=PLANE('',#358996); #20525=PLANE('',#358997); #20526=PLANE('',#358998); #20527=PLANE('',#358999); #20528=PLANE('',#359000); #20529=PLANE('',#359001); #20530=PLANE('',#359002); #20531=PLANE('',#359003); #20532=PLANE('',#359004); #20533=PLANE('',#359005); #20534=PLANE('',#359006); #20535=PLANE('',#359010); #20536=PLANE('',#359011); #20537=PLANE('',#359014); #20538=PLANE('',#359015); #20539=PLANE('',#359016); #20540=PLANE('',#359019); #20541=PLANE('',#359023); #20542=PLANE('',#359024); #20543=PLANE('',#359025); #20544=PLANE('',#359026); #20545=PLANE('',#359030); #20546=PLANE('',#359034); #20547=PLANE('',#359037); #20548=PLANE('',#359038); #20549=PLANE('',#359039); #20550=PLANE('',#359042); #20551=PLANE('',#359043); #20552=PLANE('',#359046); #20553=PLANE('',#359047); #20554=PLANE('',#359051); #20555=PLANE('',#359055); #20556=PLANE('',#359056); #20557=PLANE('',#359057); #20558=PLANE('',#359058); #20559=PLANE('',#359059); #20560=PLANE('',#359060); #20561=PLANE('',#359063); #20562=PLANE('',#359066); #20563=PLANE('',#359067); #20564=PLANE('',#359068); #20565=PLANE('',#359071); #20566=PLANE('',#359072); #20567=PLANE('',#359076); #20568=PLANE('',#359082); #20569=PLANE('',#359084); #20570=PLANE('',#359085); #20571=PLANE('',#359088); #20572=PLANE('',#359089); #20573=PLANE('',#359092); #20574=PLANE('',#359097); #20575=PLANE('',#359100); #20576=PLANE('',#359113); #20577=PLANE('',#359115); #20578=PLANE('',#359117); #20579=PLANE('',#359118); #20580=PLANE('',#359119); #20581=PLANE('',#359120); #20582=PLANE('',#359121); #20583=PLANE('',#359122); #20584=PLANE('',#359123); #20585=PLANE('',#359124); #20586=PLANE('',#359125); #20587=PLANE('',#359126); #20588=PLANE('',#359133); #20589=PLANE('',#359134); #20590=PLANE('',#359135); #20591=PLANE('',#359136); #20592=PLANE('',#359141); #20593=PLANE('',#359143); #20594=PLANE('',#359145); #20595=PLANE('',#359146); #20596=PLANE('',#359147); #20597=PLANE('',#359148); #20598=PLANE('',#359155); #20599=PLANE('',#359156); #20600=PLANE('',#359157); #20601=PLANE('',#359158); #20602=PLANE('',#359163); #20603=PLANE('',#359165); #20604=PLANE('',#359167); #20605=PLANE('',#359168); #20606=PLANE('',#359169); #20607=PLANE('',#359170); #20608=PLANE('',#359171); #20609=PLANE('',#359173); #20610=PLANE('',#359179); #20611=PLANE('',#359180); #20612=PLANE('',#359183); #20613=PLANE('',#359188); #20614=PLANE('',#359192); #20615=PLANE('',#359194); #20616=PLANE('',#359200); #20617=PLANE('',#359201); #20618=PLANE('',#359206); #20619=PLANE('',#359208); #20620=PLANE('',#359209); #20621=PLANE('',#359210); #20622=PLANE('',#359212); #20623=PLANE('',#359214); #20624=PLANE('',#359215); #20625=PLANE('',#359216); #20626=PLANE('',#359217); #20627=PLANE('',#359218); #20628=PLANE('',#359229); #20629=PLANE('',#359232); #20630=PLANE('',#359241); #20631=PLANE('',#359243); #20632=PLANE('',#359247); #20633=PLANE('',#359248); #20634=PLANE('',#359249); #20635=PLANE('',#359250); #20636=PLANE('',#359251); #20637=PLANE('',#359253); #20638=PLANE('',#359257); #20639=PLANE('',#359258); #20640=PLANE('',#359259); #20641=PLANE('',#359260); #20642=PLANE('',#359261); #20643=PLANE('',#359262); #20644=PLANE('',#359263); #20645=PLANE('',#359264); #20646=PLANE('',#359265); #20647=PLANE('',#359272); #20648=PLANE('',#359275); #20649=PLANE('',#359284); #20650=PLANE('',#359286); #20651=PLANE('',#359287); #20652=PLANE('',#359288); #20653=PLANE('',#359289); #20654=PLANE('',#359290); #20655=PLANE('',#359291); #20656=PLANE('',#359293); #20657=PLANE('',#359294); #20658=PLANE('',#359295); #20659=PLANE('',#359296); #20660=PLANE('',#359299); #20661=PLANE('',#359300); #20662=PLANE('',#359301); #20663=PLANE('',#359302); #20664=PLANE('',#359303); #20665=PLANE('',#359306); #20666=PLANE('',#359315); #20667=PLANE('',#359316); #20668=PLANE('',#359317); #20669=PLANE('',#359318); #20670=PLANE('',#359319); #20671=PLANE('',#359320); #20672=PLANE('',#359321); #20673=PLANE('',#359322); #20674=PLANE('',#359323); #20675=PLANE('',#359324); #20676=PLANE('',#359325); #20677=PLANE('',#359326); #20678=PLANE('',#359330); #20679=PLANE('',#359331); #20680=PLANE('',#359332); #20681=PLANE('',#359333); #20682=PLANE('',#359334); #20683=PLANE('',#359335); #20684=PLANE('',#359336); #20685=PLANE('',#359340); #20686=PLANE('',#359341); #20687=PLANE('',#359342); #20688=PLANE('',#359343); #20689=PLANE('',#359344); #20690=PLANE('',#359345); #20691=PLANE('',#359346); #20692=PLANE('',#359350); #20693=PLANE('',#359351); #20694=PLANE('',#359352); #20695=PLANE('',#359353); #20696=PLANE('',#359354); #20697=PLANE('',#359355); #20698=PLANE('',#359356); #20699=PLANE('',#359360); #20700=PLANE('',#359361); #20701=PLANE('',#359362); #20702=PLANE('',#359363); #20703=PLANE('',#359364); #20704=PLANE('',#359365); #20705=PLANE('',#359366); #20706=PLANE('',#359370); #20707=PLANE('',#359371); #20708=PLANE('',#359372); #20709=PLANE('',#359373); #20710=PLANE('',#359374); #20711=PLANE('',#359375); #20712=PLANE('',#359376); #20713=PLANE('',#359380); #20714=PLANE('',#359381); #20715=PLANE('',#359382); #20716=PLANE('',#359383); #20717=PLANE('',#359384); #20718=PLANE('',#359385); #20719=PLANE('',#359386); #20720=PLANE('',#359390); #20721=PLANE('',#359391); #20722=PLANE('',#359392); #20723=PLANE('',#359393); #20724=PLANE('',#359394); #20725=PLANE('',#359395); #20726=PLANE('',#359396); #20727=PLANE('',#359400); #20728=PLANE('',#359401); #20729=PLANE('',#359402); #20730=PLANE('',#359403); #20731=PLANE('',#359404); #20732=PLANE('',#359405); #20733=PLANE('',#359406); #20734=PLANE('',#359410); #20735=PLANE('',#359411); #20736=PLANE('',#359412); #20737=PLANE('',#359413); #20738=PLANE('',#359414); #20739=PLANE('',#359415); #20740=PLANE('',#359416); #20741=PLANE('',#359420); #20742=PLANE('',#359421); #20743=PLANE('',#359422); #20744=PLANE('',#359423); #20745=PLANE('',#359424); #20746=PLANE('',#359425); #20747=PLANE('',#359426); #20748=PLANE('',#359430); #20749=PLANE('',#359431); #20750=PLANE('',#359432); #20751=PLANE('',#359433); #20752=PLANE('',#359434); #20753=PLANE('',#359435); #20754=PLANE('',#359436); #20755=PLANE('',#359440); #20756=PLANE('',#359441); #20757=PLANE('',#359442); #20758=PLANE('',#359443); #20759=PLANE('',#359444); #20760=PLANE('',#359445); #20761=PLANE('',#359446); #20762=PLANE('',#359450); #20763=PLANE('',#359451); #20764=PLANE('',#359452); #20765=PLANE('',#359453); #20766=PLANE('',#359454); #20767=PLANE('',#359455); #20768=PLANE('',#359456); #20769=PLANE('',#359460); #20770=PLANE('',#359461); #20771=PLANE('',#359462); #20772=PLANE('',#359463); #20773=PLANE('',#359464); #20774=PLANE('',#359465); #20775=PLANE('',#359466); #20776=PLANE('',#359470); #20777=PLANE('',#359471); #20778=PLANE('',#359472); #20779=PLANE('',#359473); #20780=PLANE('',#359474); #20781=PLANE('',#359475); #20782=PLANE('',#359476); #20783=PLANE('',#359480); #20784=PLANE('',#359481); #20785=PLANE('',#359482); #20786=PLANE('',#359483); #20787=PLANE('',#359484); #20788=PLANE('',#359485); #20789=PLANE('',#359486); #20790=PLANE('',#359490); #20791=PLANE('',#359491); #20792=PLANE('',#359492); #20793=PLANE('',#359493); #20794=PLANE('',#359494); #20795=PLANE('',#359495); #20796=PLANE('',#359496); #20797=PLANE('',#359500); #20798=PLANE('',#359501); #20799=PLANE('',#359502); #20800=PLANE('',#359503); #20801=PLANE('',#359504); #20802=PLANE('',#359505); #20803=PLANE('',#359506); #20804=PLANE('',#359510); #20805=PLANE('',#359511); #20806=PLANE('',#359512); #20807=PLANE('',#359513); #20808=PLANE('',#359514); #20809=PLANE('',#359515); #20810=PLANE('',#359516); #20811=PLANE('',#359520); #20812=PLANE('',#359521); #20813=PLANE('',#359522); #20814=PLANE('',#359523); #20815=PLANE('',#359524); #20816=PLANE('',#359525); #20817=PLANE('',#359526); #20818=PLANE('',#359530); #20819=PLANE('',#359531); #20820=PLANE('',#359532); #20821=PLANE('',#359533); #20822=PLANE('',#359534); #20823=PLANE('',#359535); #20824=PLANE('',#359536); #20825=PLANE('',#359540); #20826=PLANE('',#359541); #20827=PLANE('',#359542); #20828=PLANE('',#359543); #20829=PLANE('',#359544); #20830=PLANE('',#359545); #20831=PLANE('',#359546); #20832=PLANE('',#359550); #20833=PLANE('',#359551); #20834=PLANE('',#359552); #20835=PLANE('',#359553); #20836=PLANE('',#359554); #20837=PLANE('',#359555); #20838=PLANE('',#359556); #20839=PLANE('',#359560); #20840=PLANE('',#359561); #20841=PLANE('',#359562); #20842=PLANE('',#359563); #20843=PLANE('',#359564); #20844=PLANE('',#359565); #20845=PLANE('',#359566); #20846=PLANE('',#359570); #20847=PLANE('',#359571); #20848=PLANE('',#359572); #20849=PLANE('',#359573); #20850=PLANE('',#359574); #20851=PLANE('',#359575); #20852=PLANE('',#359576); #20853=PLANE('',#359580); #20854=PLANE('',#359581); #20855=PLANE('',#359582); #20856=PLANE('',#359583); #20857=PLANE('',#359584); #20858=PLANE('',#359585); #20859=PLANE('',#359586); #20860=PLANE('',#359590); #20861=PLANE('',#359591); #20862=PLANE('',#359592); #20863=PLANE('',#359593); #20864=PLANE('',#359594); #20865=PLANE('',#359595); #20866=PLANE('',#359596); #20867=PLANE('',#359600); #20868=PLANE('',#359601); #20869=PLANE('',#359602); #20870=PLANE('',#359603); #20871=PLANE('',#359604); #20872=PLANE('',#359605); #20873=PLANE('',#359606); #20874=PLANE('',#359610); #20875=PLANE('',#359611); #20876=PLANE('',#359612); #20877=PLANE('',#359613); #20878=PLANE('',#359614); #20879=PLANE('',#359615); #20880=PLANE('',#359616); #20881=PLANE('',#359620); #20882=PLANE('',#359621); #20883=PLANE('',#359622); #20884=PLANE('',#359623); #20885=PLANE('',#359624); #20886=PLANE('',#359625); #20887=PLANE('',#359626); #20888=PLANE('',#359630); #20889=PLANE('',#359631); #20890=PLANE('',#359632); #20891=PLANE('',#359633); #20892=PLANE('',#359634); #20893=PLANE('',#359635); #20894=PLANE('',#359636); #20895=PLANE('',#359640); #20896=PLANE('',#359641); #20897=PLANE('',#359642); #20898=PLANE('',#359643); #20899=PLANE('',#359644); #20900=PLANE('',#359645); #20901=PLANE('',#359646); #20902=PLANE('',#359650); #20903=PLANE('',#359651); #20904=PLANE('',#359652); #20905=PLANE('',#359653); #20906=PLANE('',#359654); #20907=PLANE('',#359655); #20908=PLANE('',#359656); #20909=PLANE('',#359660); #20910=PLANE('',#359661); #20911=PLANE('',#359662); #20912=PLANE('',#359663); #20913=PLANE('',#359664); #20914=PLANE('',#359665); #20915=PLANE('',#359666); #20916=PLANE('',#359670); #20917=PLANE('',#359671); #20918=PLANE('',#359672); #20919=PLANE('',#359673); #20920=PLANE('',#359674); #20921=PLANE('',#359675); #20922=PLANE('',#359676); #20923=PLANE('',#359680); #20924=PLANE('',#359681); #20925=PLANE('',#359682); #20926=PLANE('',#359683); #20927=PLANE('',#359684); #20928=PLANE('',#359685); #20929=PLANE('',#359686); #20930=PLANE('',#359690); #20931=PLANE('',#359691); #20932=PLANE('',#359692); #20933=PLANE('',#359693); #20934=PLANE('',#359694); #20935=PLANE('',#359695); #20936=PLANE('',#359696); #20937=PLANE('',#359700); #20938=PLANE('',#359701); #20939=PLANE('',#359702); #20940=PLANE('',#359703); #20941=PLANE('',#359704); #20942=PLANE('',#359705); #20943=PLANE('',#359706); #20944=PLANE('',#359710); #20945=PLANE('',#359711); #20946=PLANE('',#359712); #20947=PLANE('',#359713); #20948=PLANE('',#359714); #20949=PLANE('',#359715); #20950=PLANE('',#359716); #20951=PLANE('',#359720); #20952=PLANE('',#359721); #20953=PLANE('',#359722); #20954=PLANE('',#359723); #20955=PLANE('',#359724); #20956=PLANE('',#359725); #20957=PLANE('',#359726); #20958=PLANE('',#359730); #20959=PLANE('',#359731); #20960=PLANE('',#359732); #20961=PLANE('',#359733); #20962=PLANE('',#359734); #20963=PLANE('',#359735); #20964=PLANE('',#359736); #20965=PLANE('',#359740); #20966=PLANE('',#359741); #20967=PLANE('',#359742); #20968=PLANE('',#359743); #20969=PLANE('',#359744); #20970=PLANE('',#359745); #20971=PLANE('',#359746); #20972=PLANE('',#359750); #20973=PLANE('',#359751); #20974=PLANE('',#359752); #20975=PLANE('',#359753); #20976=PLANE('',#359754); #20977=PLANE('',#359755); #20978=PLANE('',#359756); #20979=PLANE('',#359760); #20980=PLANE('',#359761); #20981=PLANE('',#359762); #20982=PLANE('',#359763); #20983=PLANE('',#359764); #20984=PLANE('',#359765); #20985=PLANE('',#359766); #20986=PLANE('',#359770); #20987=PLANE('',#359771); #20988=PLANE('',#359772); #20989=PLANE('',#359773); #20990=PLANE('',#359774); #20991=PLANE('',#359775); #20992=PLANE('',#359776); #20993=PLANE('',#359780); #20994=PLANE('',#359781); #20995=PLANE('',#359782); #20996=PLANE('',#359783); #20997=PLANE('',#359784); #20998=PLANE('',#359785); #20999=PLANE('',#359786); #21000=PLANE('',#359790); #21001=PLANE('',#359791); #21002=PLANE('',#359792); #21003=PLANE('',#359793); #21004=PLANE('',#359794); #21005=PLANE('',#359795); #21006=PLANE('',#359796); #21007=PLANE('',#359800); #21008=PLANE('',#359801); #21009=PLANE('',#359802); #21010=PLANE('',#359803); #21011=PLANE('',#359804); #21012=PLANE('',#359805); #21013=PLANE('',#359806); #21014=PLANE('',#359807); #21015=PLANE('',#359808); #21016=PLANE('',#359809); #21017=PLANE('',#359810); #21018=PLANE('',#359811); #21019=PLANE('',#359859); #21020=PLANE('',#359860); #21021=PLANE('',#359861); #21022=PLANE('',#359862); #21023=PLANE('',#359863); #21024=PLANE('',#359864); #21025=PLANE('',#359909); #21026=PLANE('',#359910); #21027=PLANE('',#359911); #21028=PLANE('',#359912); #21029=PLANE('',#359913); #21030=PLANE('',#359914); #21031=PLANE('',#359915); #21032=PLANE('',#359916); #21033=PLANE('',#359917); #21034=PLANE('',#359918); #21035=PLANE('',#359919); #21036=PLANE('',#359920); #21037=PLANE('',#359924); #21038=PLANE('',#359925); #21039=PLANE('',#359926); #21040=PLANE('',#359927); #21041=PLANE('',#359928); #21042=PLANE('',#359929); #21043=PLANE('',#359930); #21044=PLANE('',#359931); #21045=PLANE('',#359932); #21046=PLANE('',#359933); #21047=PLANE('',#359934); #21048=PLANE('',#359935); #21049=PLANE('',#359936); #21050=PLANE('',#359937); #21051=PLANE('',#359938); #21052=PLANE('',#359939); #21053=PLANE('',#359940); #21054=PLANE('',#359941); #21055=PLANE('',#359942); #21056=PLANE('',#359943); #21057=PLANE('',#359944); #21058=PLANE('',#359945); #21059=PLANE('',#359946); #21060=PLANE('',#359947); #21061=PLANE('',#359948); #21062=PLANE('',#359949); #21063=PLANE('',#359950); #21064=PLANE('',#359951); #21065=PLANE('',#359952); #21066=PLANE('',#359953); #21067=PLANE('',#359954); #21068=PLANE('',#359955); #21069=PLANE('',#359956); #21070=PLANE('',#359957); #21071=PLANE('',#359958); #21072=PLANE('',#359959); #21073=PLANE('',#359960); #21074=PLANE('',#359961); #21075=PLANE('',#359962); #21076=PLANE('',#359963); #21077=PLANE('',#359970); #21078=PLANE('',#359971); #21079=PLANE('',#359972); #21080=PLANE('',#359973); #21081=PLANE('',#359974); #21082=PLANE('',#359975); #21083=PLANE('',#359976); #21084=PLANE('',#359977); #21085=PLANE('',#359978); #21086=PLANE('',#359979); #21087=PLANE('',#359980); #21088=PLANE('',#359981); #21089=PLANE('',#359982); #21090=PLANE('',#359983); #21091=PLANE('',#359984); #21092=PLANE('',#359985); #21093=PLANE('',#359990); #21094=PLANE('',#359993); #21095=PLANE('',#359994); #21096=PLANE('',#359995); #21097=PLANE('',#359998); #21098=PLANE('',#360001); #21099=PLANE('',#360002); #21100=PLANE('',#360003); #21101=PLANE('',#360006); #21102=PLANE('',#360007); #21103=PLANE('',#360012); #21104=PLANE('',#360015); #21105=PLANE('',#360016); #21106=PLANE('',#360017); #21107=PLANE('',#360020); #21108=PLANE('',#360023); #21109=PLANE('',#360024); #21110=PLANE('',#360025); #21111=PLANE('',#360028); #21112=PLANE('',#360029); #21113=PLANE('',#360034); #21114=PLANE('',#360037); #21115=PLANE('',#360038); #21116=PLANE('',#360039); #21117=PLANE('',#360042); #21118=PLANE('',#360045); #21119=PLANE('',#360046); #21120=PLANE('',#360047); #21121=PLANE('',#360050); #21122=PLANE('',#360051); #21123=PLANE('',#360056); #21124=PLANE('',#360059); #21125=PLANE('',#360060); #21126=PLANE('',#360061); #21127=PLANE('',#360064); #21128=PLANE('',#360067); #21129=PLANE('',#360068); #21130=PLANE('',#360069); #21131=PLANE('',#360072); #21132=PLANE('',#360073); #21133=PLANE('',#360078); #21134=PLANE('',#360081); #21135=PLANE('',#360082); #21136=PLANE('',#360083); #21137=PLANE('',#360086); #21138=PLANE('',#360089); #21139=PLANE('',#360090); #21140=PLANE('',#360091); #21141=PLANE('',#360094); #21142=PLANE('',#360095); #21143=PLANE('',#360100); #21144=PLANE('',#360103); #21145=PLANE('',#360104); #21146=PLANE('',#360105); #21147=PLANE('',#360108); #21148=PLANE('',#360111); #21149=PLANE('',#360112); #21150=PLANE('',#360113); #21151=PLANE('',#360116); #21152=PLANE('',#360117); #21153=PLANE('',#360122); #21154=PLANE('',#360125); #21155=PLANE('',#360126); #21156=PLANE('',#360127); #21157=PLANE('',#360130); #21158=PLANE('',#360133); #21159=PLANE('',#360134); #21160=PLANE('',#360135); #21161=PLANE('',#360138); #21162=PLANE('',#360139); #21163=PLANE('',#360144); #21164=PLANE('',#360147); #21165=PLANE('',#360148); #21166=PLANE('',#360149); #21167=PLANE('',#360152); #21168=PLANE('',#360155); #21169=PLANE('',#360156); #21170=PLANE('',#360157); #21171=PLANE('',#360160); #21172=PLANE('',#360167); #21173=PLANE('',#360168); #21174=PLANE('',#360169); #21175=PLANE('',#360170); #21176=PLANE('',#360171); #21177=PLANE('',#360172); #21178=PLANE('',#360173); #21179=PLANE('',#360174); #21180=PLANE('',#360175); #21181=PLANE('',#360176); #21182=PLANE('',#360177); #21183=PLANE('',#360178); #21184=PLANE('',#360179); #21185=PLANE('',#360180); #21186=PLANE('',#360181); #21187=PLANE('',#360182); #21188=PLANE('',#360187); #21189=PLANE('',#360188); #21190=PLANE('',#360189); #21191=PLANE('',#360192); #21192=PLANE('',#360195); #21193=PLANE('',#360196); #21194=PLANE('',#360197); #21195=PLANE('',#360200); #21196=PLANE('',#360203); #21197=PLANE('',#360204); #21198=PLANE('',#360209); #21199=PLANE('',#360210); #21200=PLANE('',#360211); #21201=PLANE('',#360214); #21202=PLANE('',#360217); #21203=PLANE('',#360218); #21204=PLANE('',#360219); #21205=PLANE('',#360222); #21206=PLANE('',#360225); #21207=PLANE('',#360226); #21208=PLANE('',#360231); #21209=PLANE('',#360232); #21210=PLANE('',#360233); #21211=PLANE('',#360236); #21212=PLANE('',#360239); #21213=PLANE('',#360240); #21214=PLANE('',#360241); #21215=PLANE('',#360244); #21216=PLANE('',#360247); #21217=PLANE('',#360248); #21218=PLANE('',#360253); #21219=PLANE('',#360254); #21220=PLANE('',#360255); #21221=PLANE('',#360258); #21222=PLANE('',#360261); #21223=PLANE('',#360262); #21224=PLANE('',#360263); #21225=PLANE('',#360266); #21226=PLANE('',#360269); #21227=PLANE('',#360270); #21228=PLANE('',#360275); #21229=PLANE('',#360276); #21230=PLANE('',#360277); #21231=PLANE('',#360280); #21232=PLANE('',#360283); #21233=PLANE('',#360284); #21234=PLANE('',#360285); #21235=PLANE('',#360288); #21236=PLANE('',#360291); #21237=PLANE('',#360339); #21238=PLANE('',#360340); #21239=PLANE('',#360341); #21240=PLANE('',#360342); #21241=PLANE('',#360343); #21242=PLANE('',#360344); #21243=PLANE('',#360389); #21244=PLANE('',#360390); #21245=PLANE('',#360391); #21246=PLANE('',#360392); #21247=PLANE('',#360393); #21248=PLANE('',#360394); #21249=PLANE('',#360395); #21250=PLANE('',#360396); #21251=PLANE('',#360397); #21252=PLANE('',#360398); #21253=PLANE('',#360399); #21254=PLANE('',#360400); #21255=PLANE('',#360404); #21256=PLANE('',#360405); #21257=PLANE('',#360406); #21258=PLANE('',#360407); #21259=PLANE('',#360408); #21260=PLANE('',#360409); #21261=PLANE('',#360410); #21262=PLANE('',#360411); #21263=PLANE('',#360412); #21264=PLANE('',#360413); #21265=PLANE('',#360414); #21266=PLANE('',#360415); #21267=PLANE('',#360416); #21268=PLANE('',#360417); #21269=PLANE('',#360418); #21270=PLANE('',#360419); #21271=PLANE('',#360420); #21272=PLANE('',#360421); #21273=PLANE('',#360422); #21274=PLANE('',#360423); #21275=PLANE('',#360424); #21276=PLANE('',#360425); #21277=PLANE('',#360426); #21278=PLANE('',#360427); #21279=PLANE('',#360428); #21280=PLANE('',#360429); #21281=PLANE('',#360430); #21282=PLANE('',#360431); #21283=PLANE('',#360432); #21284=PLANE('',#360433); #21285=PLANE('',#360434); #21286=PLANE('',#360435); #21287=PLANE('',#360436); #21288=PLANE('',#360437); #21289=PLANE('',#360438); #21290=PLANE('',#360439); #21291=PLANE('',#360440); #21292=PLANE('',#360441); #21293=PLANE('',#360442); #21294=PLANE('',#360443); #21295=PLANE('',#360444); #21296=PLANE('',#360445); #21297=PLANE('',#360446); #21298=PLANE('',#360447); #21299=PLANE('',#360448); #21300=PLANE('',#360449); #21301=PLANE('',#360450); #21302=PLANE('',#360451); #21303=PLANE('',#360452); #21304=PLANE('',#360453); #21305=PLANE('',#360454); #21306=PLANE('',#360455); #21307=PLANE('',#360456); #21308=PLANE('',#360457); #21309=PLANE('',#360458); #21310=PLANE('',#360459); #21311=PLANE('',#360460); #21312=PLANE('',#360461); #21313=PLANE('',#360462); #21314=PLANE('',#360463); #21315=PLANE('',#360464); #21316=PLANE('',#360465); #21317=PLANE('',#360466); #21318=PLANE('',#360467); #21319=PLANE('',#360468); #21320=PLANE('',#360469); #21321=PLANE('',#360470); #21322=PLANE('',#360471); #21323=PLANE('',#360472); #21324=PLANE('',#360473); #21325=PLANE('',#360474); #21326=PLANE('',#360475); #21327=PLANE('',#360476); #21328=PLANE('',#360477); #21329=PLANE('',#360478); #21330=PLANE('',#360479); #21331=PLANE('',#360480); #21332=PLANE('',#360481); #21333=PLANE('',#360482); #21334=PLANE('',#360483); #21335=PLANE('',#360484); #21336=PLANE('',#360485); #21337=PLANE('',#360486); #21338=PLANE('',#360487); #21339=PLANE('',#360488); #21340=PLANE('',#360489); #21341=PLANE('',#360490); #21342=PLANE('',#360491); #21343=PLANE('',#360492); #21344=PLANE('',#360493); #21345=PLANE('',#360494); #21346=PLANE('',#360495); #21347=PLANE('',#360496); #21348=PLANE('',#360497); #21349=PLANE('',#360498); #21350=PLANE('',#360499); #21351=PLANE('',#360500); #21352=PLANE('',#360501); #21353=PLANE('',#360508); #21354=PLANE('',#360509); #21355=PLANE('',#360516); #21356=PLANE('',#360517); #21357=PLANE('',#360521); #21358=PLANE('',#360522); #21359=PLANE('',#360526); #21360=PLANE('',#360527); #21361=PLANE('',#360531); #21362=PLANE('',#360532); #21363=FACE_OUTER_BOUND('',#38870,.T.); #21364=FACE_OUTER_BOUND('',#38871,.T.); #21365=FACE_OUTER_BOUND('',#38872,.T.); #21366=FACE_OUTER_BOUND('',#38873,.T.); #21367=FACE_OUTER_BOUND('',#38874,.T.); #21368=FACE_OUTER_BOUND('',#38875,.T.); #21369=FACE_OUTER_BOUND('',#38876,.T.); #21370=FACE_OUTER_BOUND('',#38877,.T.); #21371=FACE_OUTER_BOUND('',#38878,.T.); #21372=FACE_OUTER_BOUND('',#38879,.T.); #21373=FACE_OUTER_BOUND('',#38880,.T.); #21374=FACE_OUTER_BOUND('',#38881,.T.); #21375=FACE_OUTER_BOUND('',#38882,.T.); #21376=FACE_OUTER_BOUND('',#38883,.T.); #21377=FACE_OUTER_BOUND('',#38884,.T.); #21378=FACE_OUTER_BOUND('',#38885,.T.); #21379=FACE_OUTER_BOUND('',#38886,.T.); #21380=FACE_OUTER_BOUND('',#38887,.T.); #21381=FACE_OUTER_BOUND('',#38888,.T.); #21382=FACE_OUTER_BOUND('',#38889,.T.); #21383=FACE_OUTER_BOUND('',#38890,.T.); #21384=FACE_OUTER_BOUND('',#38891,.T.); #21385=FACE_OUTER_BOUND('',#38892,.T.); #21386=FACE_OUTER_BOUND('',#38893,.T.); #21387=FACE_OUTER_BOUND('',#38894,.T.); #21388=FACE_OUTER_BOUND('',#38895,.T.); #21389=FACE_OUTER_BOUND('',#38896,.T.); #21390=FACE_OUTER_BOUND('',#38897,.T.); #21391=FACE_OUTER_BOUND('',#38898,.T.); #21392=FACE_OUTER_BOUND('',#38899,.T.); #21393=FACE_OUTER_BOUND('',#38900,.T.); #21394=FACE_OUTER_BOUND('',#38901,.T.); #21395=FACE_OUTER_BOUND('',#38902,.T.); #21396=FACE_OUTER_BOUND('',#38903,.T.); #21397=FACE_OUTER_BOUND('',#38904,.T.); #21398=FACE_OUTER_BOUND('',#38905,.T.); #21399=FACE_OUTER_BOUND('',#38906,.T.); #21400=FACE_OUTER_BOUND('',#38907,.T.); #21401=FACE_OUTER_BOUND('',#38908,.T.); #21402=FACE_OUTER_BOUND('',#38909,.T.); #21403=FACE_OUTER_BOUND('',#38910,.T.); #21404=FACE_OUTER_BOUND('',#38911,.T.); #21405=FACE_OUTER_BOUND('',#38912,.T.); #21406=FACE_OUTER_BOUND('',#38913,.T.); #21407=FACE_OUTER_BOUND('',#38914,.T.); #21408=FACE_OUTER_BOUND('',#38915,.T.); #21409=FACE_OUTER_BOUND('',#38916,.T.); #21410=FACE_OUTER_BOUND('',#38917,.T.); #21411=FACE_OUTER_BOUND('',#38918,.T.); #21412=FACE_OUTER_BOUND('',#38919,.T.); #21413=FACE_OUTER_BOUND('',#38920,.T.); #21414=FACE_OUTER_BOUND('',#38921,.T.); #21415=FACE_OUTER_BOUND('',#38922,.T.); #21416=FACE_OUTER_BOUND('',#38923,.T.); #21417=FACE_OUTER_BOUND('',#38924,.T.); #21418=FACE_OUTER_BOUND('',#38925,.T.); #21419=FACE_OUTER_BOUND('',#38926,.T.); #21420=FACE_OUTER_BOUND('',#38927,.T.); #21421=FACE_OUTER_BOUND('',#38928,.T.); #21422=FACE_OUTER_BOUND('',#38929,.T.); #21423=FACE_OUTER_BOUND('',#38930,.T.); #21424=FACE_OUTER_BOUND('',#38931,.T.); #21425=FACE_OUTER_BOUND('',#38932,.T.); #21426=FACE_OUTER_BOUND('',#38933,.T.); #21427=FACE_OUTER_BOUND('',#38934,.T.); #21428=FACE_OUTER_BOUND('',#38935,.T.); #21429=FACE_OUTER_BOUND('',#38936,.T.); #21430=FACE_OUTER_BOUND('',#38937,.T.); #21431=FACE_OUTER_BOUND('',#38938,.T.); #21432=FACE_OUTER_BOUND('',#38939,.T.); #21433=FACE_OUTER_BOUND('',#38940,.T.); #21434=FACE_OUTER_BOUND('',#38941,.T.); #21435=FACE_OUTER_BOUND('',#38942,.T.); #21436=FACE_OUTER_BOUND('',#38943,.T.); #21437=FACE_OUTER_BOUND('',#38944,.T.); #21438=FACE_OUTER_BOUND('',#38945,.T.); #21439=FACE_OUTER_BOUND('',#38946,.T.); #21440=FACE_OUTER_BOUND('',#38947,.T.); #21441=FACE_OUTER_BOUND('',#38948,.T.); #21442=FACE_OUTER_BOUND('',#38949,.T.); #21443=FACE_OUTER_BOUND('',#38950,.T.); #21444=FACE_OUTER_BOUND('',#38951,.T.); #21445=FACE_OUTER_BOUND('',#38952,.T.); #21446=FACE_OUTER_BOUND('',#38953,.T.); #21447=FACE_OUTER_BOUND('',#38954,.T.); #21448=FACE_OUTER_BOUND('',#38955,.T.); #21449=FACE_OUTER_BOUND('',#38956,.T.); #21450=FACE_OUTER_BOUND('',#38957,.T.); #21451=FACE_OUTER_BOUND('',#38958,.T.); #21452=FACE_OUTER_BOUND('',#38959,.T.); #21453=FACE_OUTER_BOUND('',#38960,.T.); #21454=FACE_OUTER_BOUND('',#38961,.T.); #21455=FACE_OUTER_BOUND('',#38962,.T.); #21456=FACE_OUTER_BOUND('',#38963,.T.); #21457=FACE_OUTER_BOUND('',#38964,.T.); #21458=FACE_OUTER_BOUND('',#38965,.T.); #21459=FACE_OUTER_BOUND('',#38966,.T.); #21460=FACE_OUTER_BOUND('',#38967,.T.); #21461=FACE_OUTER_BOUND('',#38968,.T.); #21462=FACE_OUTER_BOUND('',#38969,.T.); #21463=FACE_OUTER_BOUND('',#38970,.T.); #21464=FACE_OUTER_BOUND('',#38971,.T.); #21465=FACE_OUTER_BOUND('',#38972,.T.); #21466=FACE_OUTER_BOUND('',#38973,.T.); #21467=FACE_OUTER_BOUND('',#38974,.T.); #21468=FACE_OUTER_BOUND('',#38975,.T.); #21469=FACE_OUTER_BOUND('',#38976,.T.); #21470=FACE_OUTER_BOUND('',#38977,.T.); #21471=FACE_OUTER_BOUND('',#38978,.T.); #21472=FACE_OUTER_BOUND('',#38979,.T.); #21473=FACE_OUTER_BOUND('',#38980,.T.); #21474=FACE_OUTER_BOUND('',#38981,.T.); #21475=FACE_OUTER_BOUND('',#38982,.T.); #21476=FACE_OUTER_BOUND('',#38983,.T.); #21477=FACE_OUTER_BOUND('',#38984,.T.); #21478=FACE_OUTER_BOUND('',#38985,.T.); #21479=FACE_OUTER_BOUND('',#38986,.T.); #21480=FACE_OUTER_BOUND('',#38987,.T.); #21481=FACE_OUTER_BOUND('',#38988,.T.); #21482=FACE_OUTER_BOUND('',#38989,.T.); #21483=FACE_OUTER_BOUND('',#38990,.T.); #21484=FACE_OUTER_BOUND('',#38991,.T.); #21485=FACE_OUTER_BOUND('',#38992,.T.); #21486=FACE_OUTER_BOUND('',#38993,.T.); #21487=FACE_OUTER_BOUND('',#38994,.T.); #21488=FACE_OUTER_BOUND('',#38995,.T.); #21489=FACE_OUTER_BOUND('',#38996,.T.); #21490=FACE_OUTER_BOUND('',#38997,.T.); #21491=FACE_OUTER_BOUND('',#38998,.T.); #21492=FACE_OUTER_BOUND('',#38999,.T.); #21493=FACE_OUTER_BOUND('',#39000,.T.); #21494=FACE_OUTER_BOUND('',#39001,.T.); #21495=FACE_OUTER_BOUND('',#39002,.T.); #21496=FACE_OUTER_BOUND('',#39003,.T.); #21497=FACE_OUTER_BOUND('',#39004,.T.); #21498=FACE_OUTER_BOUND('',#39005,.T.); #21499=FACE_OUTER_BOUND('',#39006,.T.); #21500=FACE_OUTER_BOUND('',#39007,.T.); #21501=FACE_OUTER_BOUND('',#39008,.T.); #21502=FACE_OUTER_BOUND('',#39009,.T.); #21503=FACE_OUTER_BOUND('',#39010,.T.); #21504=FACE_OUTER_BOUND('',#39011,.T.); #21505=FACE_OUTER_BOUND('',#39012,.T.); #21506=FACE_OUTER_BOUND('',#39013,.T.); #21507=FACE_OUTER_BOUND('',#39014,.T.); #21508=FACE_OUTER_BOUND('',#39015,.T.); #21509=FACE_OUTER_BOUND('',#39016,.T.); #21510=FACE_OUTER_BOUND('',#39017,.T.); #21511=FACE_OUTER_BOUND('',#39018,.T.); #21512=FACE_OUTER_BOUND('',#39019,.T.); #21513=FACE_OUTER_BOUND('',#39020,.T.); #21514=FACE_OUTER_BOUND('',#39021,.T.); #21515=FACE_OUTER_BOUND('',#39022,.T.); #21516=FACE_OUTER_BOUND('',#39023,.T.); #21517=FACE_OUTER_BOUND('',#39024,.T.); #21518=FACE_OUTER_BOUND('',#39025,.T.); #21519=FACE_OUTER_BOUND('',#39026,.T.); #21520=FACE_OUTER_BOUND('',#39027,.T.); #21521=FACE_OUTER_BOUND('',#39028,.T.); #21522=FACE_OUTER_BOUND('',#39029,.T.); #21523=FACE_OUTER_BOUND('',#39030,.T.); #21524=FACE_OUTER_BOUND('',#39031,.T.); #21525=FACE_OUTER_BOUND('',#39032,.T.); #21526=FACE_OUTER_BOUND('',#39033,.T.); #21527=FACE_OUTER_BOUND('',#39034,.T.); #21528=FACE_OUTER_BOUND('',#39035,.T.); #21529=FACE_OUTER_BOUND('',#39036,.T.); #21530=FACE_OUTER_BOUND('',#39037,.T.); #21531=FACE_OUTER_BOUND('',#39038,.T.); #21532=FACE_OUTER_BOUND('',#39039,.T.); #21533=FACE_OUTER_BOUND('',#39040,.T.); #21534=FACE_OUTER_BOUND('',#39041,.T.); #21535=FACE_OUTER_BOUND('',#39042,.T.); #21536=FACE_OUTER_BOUND('',#39043,.T.); #21537=FACE_OUTER_BOUND('',#39044,.T.); #21538=FACE_OUTER_BOUND('',#39045,.T.); #21539=FACE_OUTER_BOUND('',#39046,.T.); #21540=FACE_OUTER_BOUND('',#39047,.T.); #21541=FACE_OUTER_BOUND('',#39048,.T.); #21542=FACE_OUTER_BOUND('',#39049,.T.); #21543=FACE_OUTER_BOUND('',#39050,.T.); #21544=FACE_OUTER_BOUND('',#39051,.T.); #21545=FACE_OUTER_BOUND('',#39052,.T.); #21546=FACE_OUTER_BOUND('',#39053,.T.); #21547=FACE_OUTER_BOUND('',#39054,.T.); #21548=FACE_OUTER_BOUND('',#39055,.T.); #21549=FACE_OUTER_BOUND('',#39056,.T.); #21550=FACE_OUTER_BOUND('',#39057,.T.); #21551=FACE_OUTER_BOUND('',#39058,.T.); #21552=FACE_OUTER_BOUND('',#39059,.T.); #21553=FACE_OUTER_BOUND('',#39060,.T.); #21554=FACE_OUTER_BOUND('',#39061,.T.); #21555=FACE_OUTER_BOUND('',#39062,.T.); #21556=FACE_OUTER_BOUND('',#39063,.T.); #21557=FACE_OUTER_BOUND('',#39064,.T.); #21558=FACE_OUTER_BOUND('',#39065,.T.); #21559=FACE_OUTER_BOUND('',#39066,.T.); #21560=FACE_OUTER_BOUND('',#39067,.T.); #21561=FACE_OUTER_BOUND('',#39068,.T.); #21562=FACE_OUTER_BOUND('',#39069,.T.); #21563=FACE_OUTER_BOUND('',#39070,.T.); #21564=FACE_OUTER_BOUND('',#39071,.T.); #21565=FACE_OUTER_BOUND('',#39072,.T.); #21566=FACE_OUTER_BOUND('',#39073,.T.); #21567=FACE_OUTER_BOUND('',#39074,.T.); #21568=FACE_OUTER_BOUND('',#39075,.T.); #21569=FACE_OUTER_BOUND('',#39076,.T.); #21570=FACE_OUTER_BOUND('',#39077,.T.); #21571=FACE_OUTER_BOUND('',#39078,.T.); #21572=FACE_OUTER_BOUND('',#39079,.T.); #21573=FACE_OUTER_BOUND('',#39080,.T.); #21574=FACE_OUTER_BOUND('',#39081,.T.); #21575=FACE_OUTER_BOUND('',#39082,.T.); #21576=FACE_OUTER_BOUND('',#39083,.T.); #21577=FACE_OUTER_BOUND('',#39084,.T.); #21578=FACE_OUTER_BOUND('',#39085,.T.); #21579=FACE_OUTER_BOUND('',#39086,.T.); #21580=FACE_OUTER_BOUND('',#39087,.T.); #21581=FACE_OUTER_BOUND('',#39088,.T.); #21582=FACE_OUTER_BOUND('',#39089,.T.); #21583=FACE_OUTER_BOUND('',#39090,.T.); #21584=FACE_OUTER_BOUND('',#39091,.T.); #21585=FACE_OUTER_BOUND('',#39092,.T.); #21586=FACE_OUTER_BOUND('',#39093,.T.); #21587=FACE_OUTER_BOUND('',#39094,.T.); #21588=FACE_OUTER_BOUND('',#39095,.T.); #21589=FACE_OUTER_BOUND('',#39096,.T.); #21590=FACE_OUTER_BOUND('',#39097,.T.); #21591=FACE_OUTER_BOUND('',#39098,.T.); #21592=FACE_OUTER_BOUND('',#39099,.T.); #21593=FACE_OUTER_BOUND('',#39100,.T.); #21594=FACE_OUTER_BOUND('',#39101,.T.); #21595=FACE_OUTER_BOUND('',#39102,.T.); #21596=FACE_OUTER_BOUND('',#39103,.T.); #21597=FACE_OUTER_BOUND('',#39104,.T.); #21598=FACE_OUTER_BOUND('',#39105,.T.); #21599=FACE_OUTER_BOUND('',#39106,.T.); #21600=FACE_OUTER_BOUND('',#39107,.T.); #21601=FACE_OUTER_BOUND('',#39108,.T.); #21602=FACE_OUTER_BOUND('',#39109,.T.); #21603=FACE_OUTER_BOUND('',#39110,.T.); #21604=FACE_OUTER_BOUND('',#39111,.T.); #21605=FACE_OUTER_BOUND('',#39112,.T.); #21606=FACE_OUTER_BOUND('',#39113,.T.); #21607=FACE_OUTER_BOUND('',#39114,.T.); #21608=FACE_OUTER_BOUND('',#39115,.T.); #21609=FACE_OUTER_BOUND('',#39116,.T.); #21610=FACE_OUTER_BOUND('',#39117,.T.); #21611=FACE_OUTER_BOUND('',#39118,.T.); #21612=FACE_OUTER_BOUND('',#39119,.T.); #21613=FACE_OUTER_BOUND('',#39120,.T.); #21614=FACE_OUTER_BOUND('',#39121,.T.); #21615=FACE_OUTER_BOUND('',#39122,.T.); #21616=FACE_OUTER_BOUND('',#39123,.T.); #21617=FACE_OUTER_BOUND('',#39124,.T.); #21618=FACE_OUTER_BOUND('',#39125,.T.); #21619=FACE_OUTER_BOUND('',#39126,.T.); #21620=FACE_OUTER_BOUND('',#39127,.T.); #21621=FACE_OUTER_BOUND('',#39128,.T.); #21622=FACE_OUTER_BOUND('',#39129,.T.); #21623=FACE_OUTER_BOUND('',#39130,.T.); #21624=FACE_OUTER_BOUND('',#39131,.T.); #21625=FACE_OUTER_BOUND('',#39132,.T.); #21626=FACE_OUTER_BOUND('',#39133,.T.); #21627=FACE_OUTER_BOUND('',#39134,.T.); #21628=FACE_OUTER_BOUND('',#39135,.T.); #21629=FACE_OUTER_BOUND('',#39136,.T.); #21630=FACE_OUTER_BOUND('',#39137,.T.); #21631=FACE_OUTER_BOUND('',#39138,.T.); #21632=FACE_OUTER_BOUND('',#39139,.T.); #21633=FACE_OUTER_BOUND('',#39140,.T.); #21634=FACE_OUTER_BOUND('',#39141,.T.); #21635=FACE_OUTER_BOUND('',#39142,.T.); #21636=FACE_OUTER_BOUND('',#39143,.T.); #21637=FACE_OUTER_BOUND('',#39144,.T.); #21638=FACE_OUTER_BOUND('',#39145,.T.); #21639=FACE_OUTER_BOUND('',#39146,.T.); #21640=FACE_OUTER_BOUND('',#39147,.T.); #21641=FACE_OUTER_BOUND('',#39148,.T.); #21642=FACE_OUTER_BOUND('',#39149,.T.); #21643=FACE_OUTER_BOUND('',#39150,.T.); #21644=FACE_OUTER_BOUND('',#39151,.T.); #21645=FACE_OUTER_BOUND('',#39152,.T.); #21646=FACE_OUTER_BOUND('',#39153,.T.); #21647=FACE_OUTER_BOUND('',#39154,.T.); #21648=FACE_OUTER_BOUND('',#39155,.T.); #21649=FACE_OUTER_BOUND('',#39156,.T.); #21650=FACE_OUTER_BOUND('',#39157,.T.); #21651=FACE_OUTER_BOUND('',#39158,.T.); #21652=FACE_OUTER_BOUND('',#39159,.T.); #21653=FACE_OUTER_BOUND('',#39160,.T.); #21654=FACE_OUTER_BOUND('',#39161,.T.); #21655=FACE_OUTER_BOUND('',#39162,.T.); #21656=FACE_OUTER_BOUND('',#39163,.T.); #21657=FACE_OUTER_BOUND('',#39164,.T.); #21658=FACE_OUTER_BOUND('',#39165,.T.); #21659=FACE_OUTER_BOUND('',#39166,.T.); #21660=FACE_OUTER_BOUND('',#39167,.T.); #21661=FACE_OUTER_BOUND('',#39168,.T.); #21662=FACE_OUTER_BOUND('',#39169,.T.); #21663=FACE_OUTER_BOUND('',#39170,.T.); #21664=FACE_OUTER_BOUND('',#39171,.T.); #21665=FACE_OUTER_BOUND('',#39172,.T.); #21666=FACE_OUTER_BOUND('',#39173,.T.); #21667=FACE_OUTER_BOUND('',#39174,.T.); #21668=FACE_OUTER_BOUND('',#39175,.T.); #21669=FACE_OUTER_BOUND('',#39176,.T.); #21670=FACE_OUTER_BOUND('',#39177,.T.); #21671=FACE_OUTER_BOUND('',#39178,.T.); #21672=FACE_OUTER_BOUND('',#39179,.T.); #21673=FACE_OUTER_BOUND('',#39180,.T.); #21674=FACE_OUTER_BOUND('',#39181,.T.); #21675=FACE_OUTER_BOUND('',#39182,.T.); #21676=FACE_OUTER_BOUND('',#39183,.T.); #21677=FACE_OUTER_BOUND('',#39184,.T.); #21678=FACE_OUTER_BOUND('',#39185,.T.); #21679=FACE_OUTER_BOUND('',#39186,.T.); #21680=FACE_OUTER_BOUND('',#39187,.T.); #21681=FACE_OUTER_BOUND('',#39188,.T.); #21682=FACE_OUTER_BOUND('',#39189,.T.); #21683=FACE_OUTER_BOUND('',#39190,.T.); #21684=FACE_OUTER_BOUND('',#39191,.T.); #21685=FACE_OUTER_BOUND('',#39192,.T.); #21686=FACE_OUTER_BOUND('',#39193,.T.); #21687=FACE_OUTER_BOUND('',#39194,.T.); #21688=FACE_OUTER_BOUND('',#39195,.T.); #21689=FACE_OUTER_BOUND('',#39196,.T.); #21690=FACE_OUTER_BOUND('',#39197,.T.); #21691=FACE_OUTER_BOUND('',#39198,.T.); #21692=FACE_OUTER_BOUND('',#39199,.T.); #21693=FACE_OUTER_BOUND('',#39200,.T.); #21694=FACE_OUTER_BOUND('',#39201,.T.); #21695=FACE_OUTER_BOUND('',#39202,.T.); #21696=FACE_OUTER_BOUND('',#39203,.T.); #21697=FACE_OUTER_BOUND('',#39204,.T.); #21698=FACE_OUTER_BOUND('',#39205,.T.); #21699=FACE_OUTER_BOUND('',#39206,.T.); #21700=FACE_OUTER_BOUND('',#39207,.T.); #21701=FACE_OUTER_BOUND('',#39208,.T.); #21702=FACE_OUTER_BOUND('',#39209,.T.); #21703=FACE_OUTER_BOUND('',#39210,.T.); #21704=FACE_OUTER_BOUND('',#39211,.T.); #21705=FACE_OUTER_BOUND('',#39212,.T.); #21706=FACE_OUTER_BOUND('',#39213,.T.); #21707=FACE_OUTER_BOUND('',#39214,.T.); #21708=FACE_OUTER_BOUND('',#39215,.T.); #21709=FACE_OUTER_BOUND('',#39216,.T.); #21710=FACE_OUTER_BOUND('',#39217,.T.); #21711=FACE_OUTER_BOUND('',#39218,.T.); #21712=FACE_OUTER_BOUND('',#39219,.T.); #21713=FACE_OUTER_BOUND('',#39220,.T.); #21714=FACE_OUTER_BOUND('',#39221,.T.); #21715=FACE_OUTER_BOUND('',#39554,.T.); #21716=FACE_OUTER_BOUND('',#39887,.T.); #21717=FACE_OUTER_BOUND('',#39888,.T.); #21718=FACE_OUTER_BOUND('',#39889,.T.); #21719=FACE_OUTER_BOUND('',#39891,.T.); #21720=FACE_OUTER_BOUND('',#39893,.T.); #21721=FACE_OUTER_BOUND('',#39894,.T.); #21722=FACE_OUTER_BOUND('',#39895,.T.); #21723=FACE_OUTER_BOUND('',#39897,.T.); #21724=FACE_OUTER_BOUND('',#39899,.T.); #21725=FACE_OUTER_BOUND('',#39900,.T.); #21726=FACE_OUTER_BOUND('',#39901,.T.); #21727=FACE_OUTER_BOUND('',#39903,.T.); #21728=FACE_OUTER_BOUND('',#39905,.T.); #21729=FACE_OUTER_BOUND('',#39906,.T.); #21730=FACE_OUTER_BOUND('',#39907,.T.); #21731=FACE_OUTER_BOUND('',#39909,.T.); #21732=FACE_OUTER_BOUND('',#39911,.T.); #21733=FACE_OUTER_BOUND('',#39912,.T.); #21734=FACE_OUTER_BOUND('',#39913,.T.); #21735=FACE_OUTER_BOUND('',#39915,.T.); #21736=FACE_OUTER_BOUND('',#39917,.T.); #21737=FACE_OUTER_BOUND('',#39918,.T.); #21738=FACE_OUTER_BOUND('',#39919,.T.); #21739=FACE_OUTER_BOUND('',#39921,.T.); #21740=FACE_OUTER_BOUND('',#39923,.T.); #21741=FACE_OUTER_BOUND('',#39924,.T.); #21742=FACE_OUTER_BOUND('',#39925,.T.); #21743=FACE_OUTER_BOUND('',#39927,.T.); #21744=FACE_OUTER_BOUND('',#39929,.T.); #21745=FACE_OUTER_BOUND('',#39930,.T.); #21746=FACE_OUTER_BOUND('',#39931,.T.); #21747=FACE_OUTER_BOUND('',#39933,.T.); #21748=FACE_OUTER_BOUND('',#39935,.T.); #21749=FACE_OUTER_BOUND('',#39936,.T.); #21750=FACE_OUTER_BOUND('',#39937,.T.); #21751=FACE_OUTER_BOUND('',#39939,.T.); #21752=FACE_OUTER_BOUND('',#39941,.T.); #21753=FACE_OUTER_BOUND('',#39942,.T.); #21754=FACE_OUTER_BOUND('',#39943,.T.); #21755=FACE_OUTER_BOUND('',#39945,.T.); #21756=FACE_OUTER_BOUND('',#39947,.T.); #21757=FACE_OUTER_BOUND('',#39948,.T.); #21758=FACE_OUTER_BOUND('',#39949,.T.); #21759=FACE_OUTER_BOUND('',#39951,.T.); #21760=FACE_OUTER_BOUND('',#39953,.T.); #21761=FACE_OUTER_BOUND('',#39954,.T.); #21762=FACE_OUTER_BOUND('',#39955,.T.); #21763=FACE_OUTER_BOUND('',#39957,.T.); #21764=FACE_OUTER_BOUND('',#39959,.T.); #21765=FACE_OUTER_BOUND('',#39960,.T.); #21766=FACE_OUTER_BOUND('',#39961,.T.); #21767=FACE_OUTER_BOUND('',#39963,.T.); #21768=FACE_OUTER_BOUND('',#39965,.T.); #21769=FACE_OUTER_BOUND('',#39966,.T.); #21770=FACE_OUTER_BOUND('',#39967,.T.); #21771=FACE_OUTER_BOUND('',#39969,.T.); #21772=FACE_OUTER_BOUND('',#39971,.T.); #21773=FACE_OUTER_BOUND('',#39972,.T.); #21774=FACE_OUTER_BOUND('',#39973,.T.); #21775=FACE_OUTER_BOUND('',#39975,.T.); #21776=FACE_OUTER_BOUND('',#39977,.T.); #21777=FACE_OUTER_BOUND('',#39978,.T.); #21778=FACE_OUTER_BOUND('',#39979,.T.); #21779=FACE_OUTER_BOUND('',#39981,.T.); #21780=FACE_OUTER_BOUND('',#39983,.T.); #21781=FACE_OUTER_BOUND('',#39984,.T.); #21782=FACE_OUTER_BOUND('',#39985,.T.); #21783=FACE_OUTER_BOUND('',#39987,.T.); #21784=FACE_OUTER_BOUND('',#39989,.T.); #21785=FACE_OUTER_BOUND('',#39990,.T.); #21786=FACE_OUTER_BOUND('',#39991,.T.); #21787=FACE_OUTER_BOUND('',#39993,.T.); #21788=FACE_OUTER_BOUND('',#39995,.T.); #21789=FACE_OUTER_BOUND('',#39996,.T.); #21790=FACE_OUTER_BOUND('',#39997,.T.); #21791=FACE_OUTER_BOUND('',#39999,.T.); #21792=FACE_OUTER_BOUND('',#40001,.T.); #21793=FACE_OUTER_BOUND('',#40002,.T.); #21794=FACE_OUTER_BOUND('',#40003,.T.); #21795=FACE_OUTER_BOUND('',#40005,.T.); #21796=FACE_OUTER_BOUND('',#40007,.T.); #21797=FACE_OUTER_BOUND('',#40008,.T.); #21798=FACE_OUTER_BOUND('',#40009,.T.); #21799=FACE_OUTER_BOUND('',#40011,.T.); #21800=FACE_OUTER_BOUND('',#40013,.T.); #21801=FACE_OUTER_BOUND('',#40014,.T.); #21802=FACE_OUTER_BOUND('',#40015,.T.); #21803=FACE_OUTER_BOUND('',#40017,.T.); #21804=FACE_OUTER_BOUND('',#40019,.T.); #21805=FACE_OUTER_BOUND('',#40020,.T.); #21806=FACE_OUTER_BOUND('',#40021,.T.); #21807=FACE_OUTER_BOUND('',#40023,.T.); #21808=FACE_OUTER_BOUND('',#40025,.T.); #21809=FACE_OUTER_BOUND('',#40026,.T.); #21810=FACE_OUTER_BOUND('',#40027,.T.); #21811=FACE_OUTER_BOUND('',#40029,.T.); #21812=FACE_OUTER_BOUND('',#40031,.T.); #21813=FACE_OUTER_BOUND('',#40032,.T.); #21814=FACE_OUTER_BOUND('',#40033,.T.); #21815=FACE_OUTER_BOUND('',#40035,.T.); #21816=FACE_OUTER_BOUND('',#40037,.T.); #21817=FACE_OUTER_BOUND('',#40038,.T.); #21818=FACE_OUTER_BOUND('',#40039,.T.); #21819=FACE_OUTER_BOUND('',#40041,.T.); #21820=FACE_OUTER_BOUND('',#40043,.T.); #21821=FACE_OUTER_BOUND('',#40044,.T.); #21822=FACE_OUTER_BOUND('',#40045,.T.); #21823=FACE_OUTER_BOUND('',#40047,.T.); #21824=FACE_OUTER_BOUND('',#40049,.T.); #21825=FACE_OUTER_BOUND('',#40050,.T.); #21826=FACE_OUTER_BOUND('',#40051,.T.); #21827=FACE_OUTER_BOUND('',#40053,.T.); #21828=FACE_OUTER_BOUND('',#40055,.T.); #21829=FACE_OUTER_BOUND('',#40056,.T.); #21830=FACE_OUTER_BOUND('',#40057,.T.); #21831=FACE_OUTER_BOUND('',#40059,.T.); #21832=FACE_OUTER_BOUND('',#40061,.T.); #21833=FACE_OUTER_BOUND('',#40062,.T.); #21834=FACE_OUTER_BOUND('',#40063,.T.); #21835=FACE_OUTER_BOUND('',#40065,.T.); #21836=FACE_OUTER_BOUND('',#40067,.T.); #21837=FACE_OUTER_BOUND('',#40068,.T.); #21838=FACE_OUTER_BOUND('',#40069,.T.); #21839=FACE_OUTER_BOUND('',#40071,.T.); #21840=FACE_OUTER_BOUND('',#40073,.T.); #21841=FACE_OUTER_BOUND('',#40074,.T.); #21842=FACE_OUTER_BOUND('',#40075,.T.); #21843=FACE_OUTER_BOUND('',#40077,.T.); #21844=FACE_OUTER_BOUND('',#40079,.T.); #21845=FACE_OUTER_BOUND('',#40080,.T.); #21846=FACE_OUTER_BOUND('',#40081,.T.); #21847=FACE_OUTER_BOUND('',#40083,.T.); #21848=FACE_OUTER_BOUND('',#40085,.T.); #21849=FACE_OUTER_BOUND('',#40086,.T.); #21850=FACE_OUTER_BOUND('',#40087,.T.); #21851=FACE_OUTER_BOUND('',#40089,.T.); #21852=FACE_OUTER_BOUND('',#40091,.T.); #21853=FACE_OUTER_BOUND('',#40092,.T.); #21854=FACE_OUTER_BOUND('',#40093,.T.); #21855=FACE_OUTER_BOUND('',#40095,.T.); #21856=FACE_OUTER_BOUND('',#40097,.T.); #21857=FACE_OUTER_BOUND('',#40098,.T.); #21858=FACE_OUTER_BOUND('',#40099,.T.); #21859=FACE_OUTER_BOUND('',#40101,.T.); #21860=FACE_OUTER_BOUND('',#40103,.T.); #21861=FACE_OUTER_BOUND('',#40104,.T.); #21862=FACE_OUTER_BOUND('',#40105,.T.); #21863=FACE_OUTER_BOUND('',#40107,.T.); #21864=FACE_OUTER_BOUND('',#40109,.T.); #21865=FACE_OUTER_BOUND('',#40110,.T.); #21866=FACE_OUTER_BOUND('',#40111,.T.); #21867=FACE_OUTER_BOUND('',#40113,.T.); #21868=FACE_OUTER_BOUND('',#40115,.T.); #21869=FACE_OUTER_BOUND('',#40116,.T.); #21870=FACE_OUTER_BOUND('',#40117,.T.); #21871=FACE_OUTER_BOUND('',#40119,.T.); #21872=FACE_OUTER_BOUND('',#40121,.T.); #21873=FACE_OUTER_BOUND('',#40122,.T.); #21874=FACE_OUTER_BOUND('',#40123,.T.); #21875=FACE_OUTER_BOUND('',#40125,.T.); #21876=FACE_OUTER_BOUND('',#40127,.T.); #21877=FACE_OUTER_BOUND('',#40128,.T.); #21878=FACE_OUTER_BOUND('',#40129,.T.); #21879=FACE_OUTER_BOUND('',#40131,.T.); #21880=FACE_OUTER_BOUND('',#40133,.T.); #21881=FACE_OUTER_BOUND('',#40134,.T.); #21882=FACE_OUTER_BOUND('',#40135,.T.); #21883=FACE_OUTER_BOUND('',#40137,.T.); #21884=FACE_OUTER_BOUND('',#40139,.T.); #21885=FACE_OUTER_BOUND('',#40140,.T.); #21886=FACE_OUTER_BOUND('',#40141,.T.); #21887=FACE_OUTER_BOUND('',#40143,.T.); #21888=FACE_OUTER_BOUND('',#40145,.T.); #21889=FACE_OUTER_BOUND('',#40146,.T.); #21890=FACE_OUTER_BOUND('',#40147,.T.); #21891=FACE_OUTER_BOUND('',#40149,.T.); #21892=FACE_OUTER_BOUND('',#40151,.T.); #21893=FACE_OUTER_BOUND('',#40152,.T.); #21894=FACE_OUTER_BOUND('',#40153,.T.); #21895=FACE_OUTER_BOUND('',#40155,.T.); #21896=FACE_OUTER_BOUND('',#40157,.T.); #21897=FACE_OUTER_BOUND('',#40158,.T.); #21898=FACE_OUTER_BOUND('',#40159,.T.); #21899=FACE_OUTER_BOUND('',#40161,.T.); #21900=FACE_OUTER_BOUND('',#40163,.T.); #21901=FACE_OUTER_BOUND('',#40164,.T.); #21902=FACE_OUTER_BOUND('',#40165,.T.); #21903=FACE_OUTER_BOUND('',#40167,.T.); #21904=FACE_OUTER_BOUND('',#40169,.T.); #21905=FACE_OUTER_BOUND('',#40170,.T.); #21906=FACE_OUTER_BOUND('',#40171,.T.); #21907=FACE_OUTER_BOUND('',#40173,.T.); #21908=FACE_OUTER_BOUND('',#40175,.T.); #21909=FACE_OUTER_BOUND('',#40176,.T.); #21910=FACE_OUTER_BOUND('',#40177,.T.); #21911=FACE_OUTER_BOUND('',#40179,.T.); #21912=FACE_OUTER_BOUND('',#40181,.T.); #21913=FACE_OUTER_BOUND('',#40182,.T.); #21914=FACE_OUTER_BOUND('',#40183,.T.); #21915=FACE_OUTER_BOUND('',#40185,.T.); #21916=FACE_OUTER_BOUND('',#40187,.T.); #21917=FACE_OUTER_BOUND('',#40188,.T.); #21918=FACE_OUTER_BOUND('',#40189,.T.); #21919=FACE_OUTER_BOUND('',#40191,.T.); #21920=FACE_OUTER_BOUND('',#40193,.T.); #21921=FACE_OUTER_BOUND('',#40194,.T.); #21922=FACE_OUTER_BOUND('',#40195,.T.); #21923=FACE_OUTER_BOUND('',#40197,.T.); #21924=FACE_OUTER_BOUND('',#40199,.T.); #21925=FACE_OUTER_BOUND('',#40200,.T.); #21926=FACE_OUTER_BOUND('',#40201,.T.); #21927=FACE_OUTER_BOUND('',#40203,.T.); #21928=FACE_OUTER_BOUND('',#40205,.T.); #21929=FACE_OUTER_BOUND('',#40206,.T.); #21930=FACE_OUTER_BOUND('',#40207,.T.); #21931=FACE_OUTER_BOUND('',#40209,.T.); #21932=FACE_OUTER_BOUND('',#40211,.T.); #21933=FACE_OUTER_BOUND('',#40212,.T.); #21934=FACE_OUTER_BOUND('',#40213,.T.); #21935=FACE_OUTER_BOUND('',#40215,.T.); #21936=FACE_OUTER_BOUND('',#40217,.T.); #21937=FACE_OUTER_BOUND('',#40218,.T.); #21938=FACE_OUTER_BOUND('',#40219,.T.); #21939=FACE_OUTER_BOUND('',#40221,.T.); #21940=FACE_OUTER_BOUND('',#40223,.T.); #21941=FACE_OUTER_BOUND('',#40224,.T.); #21942=FACE_OUTER_BOUND('',#40225,.T.); #21943=FACE_OUTER_BOUND('',#40227,.T.); #21944=FACE_OUTER_BOUND('',#40229,.T.); #21945=FACE_OUTER_BOUND('',#40230,.T.); #21946=FACE_OUTER_BOUND('',#40231,.T.); #21947=FACE_OUTER_BOUND('',#40233,.T.); #21948=FACE_OUTER_BOUND('',#40235,.T.); #21949=FACE_OUTER_BOUND('',#40236,.T.); #21950=FACE_OUTER_BOUND('',#40237,.T.); #21951=FACE_OUTER_BOUND('',#40239,.T.); #21952=FACE_OUTER_BOUND('',#40241,.T.); #21953=FACE_OUTER_BOUND('',#40242,.T.); #21954=FACE_OUTER_BOUND('',#40243,.T.); #21955=FACE_OUTER_BOUND('',#40245,.T.); #21956=FACE_OUTER_BOUND('',#40247,.T.); #21957=FACE_OUTER_BOUND('',#40248,.T.); #21958=FACE_OUTER_BOUND('',#40249,.T.); #21959=FACE_OUTER_BOUND('',#40251,.T.); #21960=FACE_OUTER_BOUND('',#40253,.T.); #21961=FACE_OUTER_BOUND('',#40254,.T.); #21962=FACE_OUTER_BOUND('',#40255,.T.); #21963=FACE_OUTER_BOUND('',#40257,.T.); #21964=FACE_OUTER_BOUND('',#40259,.T.); #21965=FACE_OUTER_BOUND('',#40260,.T.); #21966=FACE_OUTER_BOUND('',#40261,.T.); #21967=FACE_OUTER_BOUND('',#40263,.T.); #21968=FACE_OUTER_BOUND('',#40265,.T.); #21969=FACE_OUTER_BOUND('',#40266,.T.); #21970=FACE_OUTER_BOUND('',#40267,.T.); #21971=FACE_OUTER_BOUND('',#40269,.T.); #21972=FACE_OUTER_BOUND('',#40271,.T.); #21973=FACE_OUTER_BOUND('',#40272,.T.); #21974=FACE_OUTER_BOUND('',#40273,.T.); #21975=FACE_OUTER_BOUND('',#40275,.T.); #21976=FACE_OUTER_BOUND('',#40277,.T.); #21977=FACE_OUTER_BOUND('',#40278,.T.); #21978=FACE_OUTER_BOUND('',#40279,.T.); #21979=FACE_OUTER_BOUND('',#40281,.T.); #21980=FACE_OUTER_BOUND('',#40283,.T.); #21981=FACE_OUTER_BOUND('',#40284,.T.); #21982=FACE_OUTER_BOUND('',#40285,.T.); #21983=FACE_OUTER_BOUND('',#40287,.T.); #21984=FACE_OUTER_BOUND('',#40289,.T.); #21985=FACE_OUTER_BOUND('',#40290,.T.); #21986=FACE_OUTER_BOUND('',#40291,.T.); #21987=FACE_OUTER_BOUND('',#40293,.T.); #21988=FACE_OUTER_BOUND('',#40295,.T.); #21989=FACE_OUTER_BOUND('',#40296,.T.); #21990=FACE_OUTER_BOUND('',#40297,.T.); #21991=FACE_OUTER_BOUND('',#40299,.T.); #21992=FACE_OUTER_BOUND('',#40301,.T.); #21993=FACE_OUTER_BOUND('',#40302,.T.); #21994=FACE_OUTER_BOUND('',#40303,.T.); #21995=FACE_OUTER_BOUND('',#40305,.T.); #21996=FACE_OUTER_BOUND('',#40307,.T.); #21997=FACE_OUTER_BOUND('',#40308,.T.); #21998=FACE_OUTER_BOUND('',#40309,.T.); #21999=FACE_OUTER_BOUND('',#40311,.T.); #22000=FACE_OUTER_BOUND('',#40313,.T.); #22001=FACE_OUTER_BOUND('',#40314,.T.); #22002=FACE_OUTER_BOUND('',#40315,.T.); #22003=FACE_OUTER_BOUND('',#40317,.T.); #22004=FACE_OUTER_BOUND('',#40319,.T.); #22005=FACE_OUTER_BOUND('',#40320,.T.); #22006=FACE_OUTER_BOUND('',#40321,.T.); #22007=FACE_OUTER_BOUND('',#40323,.T.); #22008=FACE_OUTER_BOUND('',#40325,.T.); #22009=FACE_OUTER_BOUND('',#40326,.T.); #22010=FACE_OUTER_BOUND('',#40327,.T.); #22011=FACE_OUTER_BOUND('',#40329,.T.); #22012=FACE_OUTER_BOUND('',#40331,.T.); #22013=FACE_OUTER_BOUND('',#40332,.T.); #22014=FACE_OUTER_BOUND('',#40333,.T.); #22015=FACE_OUTER_BOUND('',#40335,.T.); #22016=FACE_OUTER_BOUND('',#40337,.T.); #22017=FACE_OUTER_BOUND('',#40338,.T.); #22018=FACE_OUTER_BOUND('',#40339,.T.); #22019=FACE_OUTER_BOUND('',#40341,.T.); #22020=FACE_OUTER_BOUND('',#40343,.T.); #22021=FACE_OUTER_BOUND('',#40344,.T.); #22022=FACE_OUTER_BOUND('',#40345,.T.); #22023=FACE_OUTER_BOUND('',#40347,.T.); #22024=FACE_OUTER_BOUND('',#40349,.T.); #22025=FACE_OUTER_BOUND('',#40350,.T.); #22026=FACE_OUTER_BOUND('',#40351,.T.); #22027=FACE_OUTER_BOUND('',#40353,.T.); #22028=FACE_OUTER_BOUND('',#40355,.T.); #22029=FACE_OUTER_BOUND('',#40356,.T.); #22030=FACE_OUTER_BOUND('',#40357,.T.); #22031=FACE_OUTER_BOUND('',#40359,.T.); #22032=FACE_OUTER_BOUND('',#40361,.T.); #22033=FACE_OUTER_BOUND('',#40362,.T.); #22034=FACE_OUTER_BOUND('',#40363,.T.); #22035=FACE_OUTER_BOUND('',#40365,.T.); #22036=FACE_OUTER_BOUND('',#40367,.T.); #22037=FACE_OUTER_BOUND('',#40368,.T.); #22038=FACE_OUTER_BOUND('',#40369,.T.); #22039=FACE_OUTER_BOUND('',#40371,.T.); #22040=FACE_OUTER_BOUND('',#40373,.T.); #22041=FACE_OUTER_BOUND('',#40374,.T.); #22042=FACE_OUTER_BOUND('',#40375,.T.); #22043=FACE_OUTER_BOUND('',#40377,.T.); #22044=FACE_OUTER_BOUND('',#40379,.T.); #22045=FACE_OUTER_BOUND('',#40380,.T.); #22046=FACE_OUTER_BOUND('',#40381,.T.); #22047=FACE_OUTER_BOUND('',#40383,.T.); #22048=FACE_OUTER_BOUND('',#40385,.T.); #22049=FACE_OUTER_BOUND('',#40386,.T.); #22050=FACE_OUTER_BOUND('',#40387,.T.); #22051=FACE_OUTER_BOUND('',#40389,.T.); #22052=FACE_OUTER_BOUND('',#40391,.T.); #22053=FACE_OUTER_BOUND('',#40392,.T.); #22054=FACE_OUTER_BOUND('',#40393,.T.); #22055=FACE_OUTER_BOUND('',#40395,.T.); #22056=FACE_OUTER_BOUND('',#40397,.T.); #22057=FACE_OUTER_BOUND('',#40398,.T.); #22058=FACE_OUTER_BOUND('',#40399,.T.); #22059=FACE_OUTER_BOUND('',#40401,.T.); #22060=FACE_OUTER_BOUND('',#40403,.T.); #22061=FACE_OUTER_BOUND('',#40404,.T.); #22062=FACE_OUTER_BOUND('',#40405,.T.); #22063=FACE_OUTER_BOUND('',#40407,.T.); #22064=FACE_OUTER_BOUND('',#40409,.T.); #22065=FACE_OUTER_BOUND('',#40410,.T.); #22066=FACE_OUTER_BOUND('',#40411,.T.); #22067=FACE_OUTER_BOUND('',#40413,.T.); #22068=FACE_OUTER_BOUND('',#40415,.T.); #22069=FACE_OUTER_BOUND('',#40416,.T.); #22070=FACE_OUTER_BOUND('',#40417,.T.); #22071=FACE_OUTER_BOUND('',#40419,.T.); #22072=FACE_OUTER_BOUND('',#40421,.T.); #22073=FACE_OUTER_BOUND('',#40422,.T.); #22074=FACE_OUTER_BOUND('',#40423,.T.); #22075=FACE_OUTER_BOUND('',#40425,.T.); #22076=FACE_OUTER_BOUND('',#40427,.T.); #22077=FACE_OUTER_BOUND('',#40428,.T.); #22078=FACE_OUTER_BOUND('',#40429,.T.); #22079=FACE_OUTER_BOUND('',#40431,.T.); #22080=FACE_OUTER_BOUND('',#40433,.T.); #22081=FACE_OUTER_BOUND('',#40434,.T.); #22082=FACE_OUTER_BOUND('',#40435,.T.); #22083=FACE_OUTER_BOUND('',#40437,.T.); #22084=FACE_OUTER_BOUND('',#40439,.T.); #22085=FACE_OUTER_BOUND('',#40440,.T.); #22086=FACE_OUTER_BOUND('',#40441,.T.); #22087=FACE_OUTER_BOUND('',#40443,.T.); #22088=FACE_OUTER_BOUND('',#40445,.T.); #22089=FACE_OUTER_BOUND('',#40446,.T.); #22090=FACE_OUTER_BOUND('',#40447,.T.); #22091=FACE_OUTER_BOUND('',#40449,.T.); #22092=FACE_OUTER_BOUND('',#40451,.T.); #22093=FACE_OUTER_BOUND('',#40452,.T.); #22094=FACE_OUTER_BOUND('',#40453,.T.); #22095=FACE_OUTER_BOUND('',#40455,.T.); #22096=FACE_OUTER_BOUND('',#40457,.T.); #22097=FACE_OUTER_BOUND('',#40458,.T.); #22098=FACE_OUTER_BOUND('',#40459,.T.); #22099=FACE_OUTER_BOUND('',#40461,.T.); #22100=FACE_OUTER_BOUND('',#40463,.T.); #22101=FACE_OUTER_BOUND('',#40464,.T.); #22102=FACE_OUTER_BOUND('',#40465,.T.); #22103=FACE_OUTER_BOUND('',#40467,.T.); #22104=FACE_OUTER_BOUND('',#40469,.T.); #22105=FACE_OUTER_BOUND('',#40470,.T.); #22106=FACE_OUTER_BOUND('',#40471,.T.); #22107=FACE_OUTER_BOUND('',#40473,.T.); #22108=FACE_OUTER_BOUND('',#40475,.T.); #22109=FACE_OUTER_BOUND('',#40476,.T.); #22110=FACE_OUTER_BOUND('',#40477,.T.); #22111=FACE_OUTER_BOUND('',#40479,.T.); #22112=FACE_OUTER_BOUND('',#40481,.T.); #22113=FACE_OUTER_BOUND('',#40482,.T.); #22114=FACE_OUTER_BOUND('',#40483,.T.); #22115=FACE_OUTER_BOUND('',#40485,.T.); #22116=FACE_OUTER_BOUND('',#40487,.T.); #22117=FACE_OUTER_BOUND('',#40488,.T.); #22118=FACE_OUTER_BOUND('',#40489,.T.); #22119=FACE_OUTER_BOUND('',#40491,.T.); #22120=FACE_OUTER_BOUND('',#40493,.T.); #22121=FACE_OUTER_BOUND('',#40494,.T.); #22122=FACE_OUTER_BOUND('',#40495,.T.); #22123=FACE_OUTER_BOUND('',#40497,.T.); #22124=FACE_OUTER_BOUND('',#40499,.T.); #22125=FACE_OUTER_BOUND('',#40500,.T.); #22126=FACE_OUTER_BOUND('',#40501,.T.); #22127=FACE_OUTER_BOUND('',#40503,.T.); #22128=FACE_OUTER_BOUND('',#40505,.T.); #22129=FACE_OUTER_BOUND('',#40506,.T.); #22130=FACE_OUTER_BOUND('',#40507,.T.); #22131=FACE_OUTER_BOUND('',#40509,.T.); #22132=FACE_OUTER_BOUND('',#40511,.T.); #22133=FACE_OUTER_BOUND('',#40512,.T.); #22134=FACE_OUTER_BOUND('',#40513,.T.); #22135=FACE_OUTER_BOUND('',#40515,.T.); #22136=FACE_OUTER_BOUND('',#40517,.T.); #22137=FACE_OUTER_BOUND('',#40518,.T.); #22138=FACE_OUTER_BOUND('',#40519,.T.); #22139=FACE_OUTER_BOUND('',#40521,.T.); #22140=FACE_OUTER_BOUND('',#40523,.T.); #22141=FACE_OUTER_BOUND('',#40524,.T.); #22142=FACE_OUTER_BOUND('',#40525,.T.); #22143=FACE_OUTER_BOUND('',#40527,.T.); #22144=FACE_OUTER_BOUND('',#40529,.T.); #22145=FACE_OUTER_BOUND('',#40530,.T.); #22146=FACE_OUTER_BOUND('',#40531,.T.); #22147=FACE_OUTER_BOUND('',#40533,.T.); #22148=FACE_OUTER_BOUND('',#40535,.T.); #22149=FACE_OUTER_BOUND('',#40536,.T.); #22150=FACE_OUTER_BOUND('',#40537,.T.); #22151=FACE_OUTER_BOUND('',#40539,.T.); #22152=FACE_OUTER_BOUND('',#40541,.T.); #22153=FACE_OUTER_BOUND('',#40542,.T.); #22154=FACE_OUTER_BOUND('',#40543,.T.); #22155=FACE_OUTER_BOUND('',#40545,.T.); #22156=FACE_OUTER_BOUND('',#40547,.T.); #22157=FACE_OUTER_BOUND('',#40548,.T.); #22158=FACE_OUTER_BOUND('',#40549,.T.); #22159=FACE_OUTER_BOUND('',#40551,.T.); #22160=FACE_OUTER_BOUND('',#40553,.T.); #22161=FACE_OUTER_BOUND('',#40554,.T.); #22162=FACE_OUTER_BOUND('',#40555,.T.); #22163=FACE_OUTER_BOUND('',#40557,.T.); #22164=FACE_OUTER_BOUND('',#40559,.T.); #22165=FACE_OUTER_BOUND('',#40560,.T.); #22166=FACE_OUTER_BOUND('',#40561,.T.); #22167=FACE_OUTER_BOUND('',#40563,.T.); #22168=FACE_OUTER_BOUND('',#40565,.T.); #22169=FACE_OUTER_BOUND('',#40566,.T.); #22170=FACE_OUTER_BOUND('',#40567,.T.); #22171=FACE_OUTER_BOUND('',#40569,.T.); #22172=FACE_OUTER_BOUND('',#40571,.T.); #22173=FACE_OUTER_BOUND('',#40572,.T.); #22174=FACE_OUTER_BOUND('',#40573,.T.); #22175=FACE_OUTER_BOUND('',#40575,.T.); #22176=FACE_OUTER_BOUND('',#40577,.T.); #22177=FACE_OUTER_BOUND('',#40578,.T.); #22178=FACE_OUTER_BOUND('',#40579,.T.); #22179=FACE_OUTER_BOUND('',#40581,.T.); #22180=FACE_OUTER_BOUND('',#40583,.T.); #22181=FACE_OUTER_BOUND('',#40584,.T.); #22182=FACE_OUTER_BOUND('',#40585,.T.); #22183=FACE_OUTER_BOUND('',#40587,.T.); #22184=FACE_OUTER_BOUND('',#40589,.T.); #22185=FACE_OUTER_BOUND('',#40590,.T.); #22186=FACE_OUTER_BOUND('',#40591,.T.); #22187=FACE_OUTER_BOUND('',#40593,.T.); #22188=FACE_OUTER_BOUND('',#40595,.T.); #22189=FACE_OUTER_BOUND('',#40596,.T.); #22190=FACE_OUTER_BOUND('',#40597,.T.); #22191=FACE_OUTER_BOUND('',#40599,.T.); #22192=FACE_OUTER_BOUND('',#40601,.T.); #22193=FACE_OUTER_BOUND('',#40602,.T.); #22194=FACE_OUTER_BOUND('',#40603,.T.); #22195=FACE_OUTER_BOUND('',#40605,.T.); #22196=FACE_OUTER_BOUND('',#40607,.T.); #22197=FACE_OUTER_BOUND('',#40608,.T.); #22198=FACE_OUTER_BOUND('',#40609,.T.); #22199=FACE_OUTER_BOUND('',#40611,.T.); #22200=FACE_OUTER_BOUND('',#40613,.T.); #22201=FACE_OUTER_BOUND('',#40614,.T.); #22202=FACE_OUTER_BOUND('',#40615,.T.); #22203=FACE_OUTER_BOUND('',#40617,.T.); #22204=FACE_OUTER_BOUND('',#40619,.T.); #22205=FACE_OUTER_BOUND('',#40620,.T.); #22206=FACE_OUTER_BOUND('',#40621,.T.); #22207=FACE_OUTER_BOUND('',#40623,.T.); #22208=FACE_OUTER_BOUND('',#40625,.T.); #22209=FACE_OUTER_BOUND('',#40626,.T.); #22210=FACE_OUTER_BOUND('',#40627,.T.); #22211=FACE_OUTER_BOUND('',#40629,.T.); #22212=FACE_OUTER_BOUND('',#40631,.T.); #22213=FACE_OUTER_BOUND('',#40632,.T.); #22214=FACE_OUTER_BOUND('',#40633,.T.); #22215=FACE_OUTER_BOUND('',#40635,.T.); #22216=FACE_OUTER_BOUND('',#40637,.T.); #22217=FACE_OUTER_BOUND('',#40638,.T.); #22218=FACE_OUTER_BOUND('',#40639,.T.); #22219=FACE_OUTER_BOUND('',#40641,.T.); #22220=FACE_OUTER_BOUND('',#40643,.T.); #22221=FACE_OUTER_BOUND('',#40644,.T.); #22222=FACE_OUTER_BOUND('',#40645,.T.); #22223=FACE_OUTER_BOUND('',#40647,.T.); #22224=FACE_OUTER_BOUND('',#40649,.T.); #22225=FACE_OUTER_BOUND('',#40650,.T.); #22226=FACE_OUTER_BOUND('',#40651,.T.); #22227=FACE_OUTER_BOUND('',#40653,.T.); #22228=FACE_OUTER_BOUND('',#40655,.T.); #22229=FACE_OUTER_BOUND('',#40656,.T.); #22230=FACE_OUTER_BOUND('',#40657,.T.); #22231=FACE_OUTER_BOUND('',#40659,.T.); #22232=FACE_OUTER_BOUND('',#40661,.T.); #22233=FACE_OUTER_BOUND('',#40662,.T.); #22234=FACE_OUTER_BOUND('',#40663,.T.); #22235=FACE_OUTER_BOUND('',#40665,.T.); #22236=FACE_OUTER_BOUND('',#40667,.T.); #22237=FACE_OUTER_BOUND('',#40668,.T.); #22238=FACE_OUTER_BOUND('',#40669,.T.); #22239=FACE_OUTER_BOUND('',#40671,.T.); #22240=FACE_OUTER_BOUND('',#40673,.T.); #22241=FACE_OUTER_BOUND('',#40674,.T.); #22242=FACE_OUTER_BOUND('',#40675,.T.); #22243=FACE_OUTER_BOUND('',#40677,.T.); #22244=FACE_OUTER_BOUND('',#40679,.T.); #22245=FACE_OUTER_BOUND('',#40680,.T.); #22246=FACE_OUTER_BOUND('',#40681,.T.); #22247=FACE_OUTER_BOUND('',#40683,.T.); #22248=FACE_OUTER_BOUND('',#40685,.T.); #22249=FACE_OUTER_BOUND('',#40686,.T.); #22250=FACE_OUTER_BOUND('',#40687,.T.); #22251=FACE_OUTER_BOUND('',#40689,.T.); #22252=FACE_OUTER_BOUND('',#40691,.T.); #22253=FACE_OUTER_BOUND('',#40692,.T.); #22254=FACE_OUTER_BOUND('',#40693,.T.); #22255=FACE_OUTER_BOUND('',#40695,.T.); #22256=FACE_OUTER_BOUND('',#40697,.T.); #22257=FACE_OUTER_BOUND('',#40698,.T.); #22258=FACE_OUTER_BOUND('',#40699,.T.); #22259=FACE_OUTER_BOUND('',#40701,.T.); #22260=FACE_OUTER_BOUND('',#40703,.T.); #22261=FACE_OUTER_BOUND('',#40704,.T.); #22262=FACE_OUTER_BOUND('',#40705,.T.); #22263=FACE_OUTER_BOUND('',#40707,.T.); #22264=FACE_OUTER_BOUND('',#40709,.T.); #22265=FACE_OUTER_BOUND('',#40710,.T.); #22266=FACE_OUTER_BOUND('',#40711,.T.); #22267=FACE_OUTER_BOUND('',#40713,.T.); #22268=FACE_OUTER_BOUND('',#40715,.T.); #22269=FACE_OUTER_BOUND('',#40716,.T.); #22270=FACE_OUTER_BOUND('',#40717,.T.); #22271=FACE_OUTER_BOUND('',#40719,.T.); #22272=FACE_OUTER_BOUND('',#40721,.T.); #22273=FACE_OUTER_BOUND('',#40722,.T.); #22274=FACE_OUTER_BOUND('',#40723,.T.); #22275=FACE_OUTER_BOUND('',#40725,.T.); #22276=FACE_OUTER_BOUND('',#40727,.T.); #22277=FACE_OUTER_BOUND('',#40728,.T.); #22278=FACE_OUTER_BOUND('',#40729,.T.); #22279=FACE_OUTER_BOUND('',#40731,.T.); #22280=FACE_OUTER_BOUND('',#40733,.T.); #22281=FACE_OUTER_BOUND('',#40734,.T.); #22282=FACE_OUTER_BOUND('',#40735,.T.); #22283=FACE_OUTER_BOUND('',#40737,.T.); #22284=FACE_OUTER_BOUND('',#40739,.T.); #22285=FACE_OUTER_BOUND('',#40740,.T.); #22286=FACE_OUTER_BOUND('',#40741,.T.); #22287=FACE_OUTER_BOUND('',#40743,.T.); #22288=FACE_OUTER_BOUND('',#40745,.T.); #22289=FACE_OUTER_BOUND('',#40746,.T.); #22290=FACE_OUTER_BOUND('',#40747,.T.); #22291=FACE_OUTER_BOUND('',#40749,.T.); #22292=FACE_OUTER_BOUND('',#40751,.T.); #22293=FACE_OUTER_BOUND('',#40752,.T.); #22294=FACE_OUTER_BOUND('',#40753,.T.); #22295=FACE_OUTER_BOUND('',#40755,.T.); #22296=FACE_OUTER_BOUND('',#40757,.T.); #22297=FACE_OUTER_BOUND('',#40758,.T.); #22298=FACE_OUTER_BOUND('',#40759,.T.); #22299=FACE_OUTER_BOUND('',#40761,.T.); #22300=FACE_OUTER_BOUND('',#40763,.T.); #22301=FACE_OUTER_BOUND('',#40764,.T.); #22302=FACE_OUTER_BOUND('',#40765,.T.); #22303=FACE_OUTER_BOUND('',#40767,.T.); #22304=FACE_OUTER_BOUND('',#40769,.T.); #22305=FACE_OUTER_BOUND('',#40770,.T.); #22306=FACE_OUTER_BOUND('',#40771,.T.); #22307=FACE_OUTER_BOUND('',#40773,.T.); #22308=FACE_OUTER_BOUND('',#40775,.T.); #22309=FACE_OUTER_BOUND('',#40776,.T.); #22310=FACE_OUTER_BOUND('',#40777,.T.); #22311=FACE_OUTER_BOUND('',#40779,.T.); #22312=FACE_OUTER_BOUND('',#40781,.T.); #22313=FACE_OUTER_BOUND('',#40782,.T.); #22314=FACE_OUTER_BOUND('',#40783,.T.); #22315=FACE_OUTER_BOUND('',#40785,.T.); #22316=FACE_OUTER_BOUND('',#40787,.T.); #22317=FACE_OUTER_BOUND('',#40788,.T.); #22318=FACE_OUTER_BOUND('',#40789,.T.); #22319=FACE_OUTER_BOUND('',#40791,.T.); #22320=FACE_OUTER_BOUND('',#40793,.T.); #22321=FACE_OUTER_BOUND('',#40794,.T.); #22322=FACE_OUTER_BOUND('',#40795,.T.); #22323=FACE_OUTER_BOUND('',#40797,.T.); #22324=FACE_OUTER_BOUND('',#40799,.T.); #22325=FACE_OUTER_BOUND('',#40800,.T.); #22326=FACE_OUTER_BOUND('',#40801,.T.); #22327=FACE_OUTER_BOUND('',#40803,.T.); #22328=FACE_OUTER_BOUND('',#40805,.T.); #22329=FACE_OUTER_BOUND('',#40806,.T.); #22330=FACE_OUTER_BOUND('',#40807,.T.); #22331=FACE_OUTER_BOUND('',#40809,.T.); #22332=FACE_OUTER_BOUND('',#40811,.T.); #22333=FACE_OUTER_BOUND('',#40812,.T.); #22334=FACE_OUTER_BOUND('',#40813,.T.); #22335=FACE_OUTER_BOUND('',#40815,.T.); #22336=FACE_OUTER_BOUND('',#40817,.T.); #22337=FACE_OUTER_BOUND('',#40818,.T.); #22338=FACE_OUTER_BOUND('',#40819,.T.); #22339=FACE_OUTER_BOUND('',#40821,.T.); #22340=FACE_OUTER_BOUND('',#40823,.T.); #22341=FACE_OUTER_BOUND('',#40824,.T.); #22342=FACE_OUTER_BOUND('',#40825,.T.); #22343=FACE_OUTER_BOUND('',#40827,.T.); #22344=FACE_OUTER_BOUND('',#40829,.T.); #22345=FACE_OUTER_BOUND('',#40830,.T.); #22346=FACE_OUTER_BOUND('',#40831,.T.); #22347=FACE_OUTER_BOUND('',#40833,.T.); #22348=FACE_OUTER_BOUND('',#40835,.T.); #22349=FACE_OUTER_BOUND('',#40836,.T.); #22350=FACE_OUTER_BOUND('',#40837,.T.); #22351=FACE_OUTER_BOUND('',#40839,.T.); #22352=FACE_OUTER_BOUND('',#40841,.T.); #22353=FACE_OUTER_BOUND('',#40842,.T.); #22354=FACE_OUTER_BOUND('',#40843,.T.); #22355=FACE_OUTER_BOUND('',#40845,.T.); #22356=FACE_OUTER_BOUND('',#40847,.T.); #22357=FACE_OUTER_BOUND('',#40848,.T.); #22358=FACE_OUTER_BOUND('',#40849,.T.); #22359=FACE_OUTER_BOUND('',#40851,.T.); #22360=FACE_OUTER_BOUND('',#40853,.T.); #22361=FACE_OUTER_BOUND('',#40854,.T.); #22362=FACE_OUTER_BOUND('',#40855,.T.); #22363=FACE_OUTER_BOUND('',#40857,.T.); #22364=FACE_OUTER_BOUND('',#40859,.T.); #22365=FACE_OUTER_BOUND('',#40860,.T.); #22366=FACE_OUTER_BOUND('',#40861,.T.); #22367=FACE_OUTER_BOUND('',#40863,.T.); #22368=FACE_OUTER_BOUND('',#40865,.T.); #22369=FACE_OUTER_BOUND('',#40866,.T.); #22370=FACE_OUTER_BOUND('',#40867,.T.); #22371=FACE_OUTER_BOUND('',#40869,.T.); #22372=FACE_OUTER_BOUND('',#40871,.T.); #22373=FACE_OUTER_BOUND('',#40872,.T.); #22374=FACE_OUTER_BOUND('',#40873,.T.); #22375=FACE_OUTER_BOUND('',#40875,.T.); #22376=FACE_OUTER_BOUND('',#40877,.T.); #22377=FACE_OUTER_BOUND('',#40878,.T.); #22378=FACE_OUTER_BOUND('',#40879,.T.); #22379=FACE_OUTER_BOUND('',#40881,.T.); #22380=FACE_OUTER_BOUND('',#40883,.T.); #22381=FACE_OUTER_BOUND('',#40884,.T.); #22382=FACE_OUTER_BOUND('',#40885,.T.); #22383=FACE_OUTER_BOUND('',#40887,.T.); #22384=FACE_OUTER_BOUND('',#40889,.T.); #22385=FACE_OUTER_BOUND('',#40890,.T.); #22386=FACE_OUTER_BOUND('',#40891,.T.); #22387=FACE_OUTER_BOUND('',#40893,.T.); #22388=FACE_OUTER_BOUND('',#40895,.T.); #22389=FACE_OUTER_BOUND('',#40896,.T.); #22390=FACE_OUTER_BOUND('',#40897,.T.); #22391=FACE_OUTER_BOUND('',#40899,.T.); #22392=FACE_OUTER_BOUND('',#40901,.T.); #22393=FACE_OUTER_BOUND('',#40902,.T.); #22394=FACE_OUTER_BOUND('',#40903,.T.); #22395=FACE_OUTER_BOUND('',#40905,.T.); #22396=FACE_OUTER_BOUND('',#40907,.T.); #22397=FACE_OUTER_BOUND('',#40908,.T.); #22398=FACE_OUTER_BOUND('',#40909,.T.); #22399=FACE_OUTER_BOUND('',#40911,.T.); #22400=FACE_OUTER_BOUND('',#40913,.T.); #22401=FACE_OUTER_BOUND('',#40914,.T.); #22402=FACE_OUTER_BOUND('',#40915,.T.); #22403=FACE_OUTER_BOUND('',#40917,.T.); #22404=FACE_OUTER_BOUND('',#40919,.T.); #22405=FACE_OUTER_BOUND('',#40920,.T.); #22406=FACE_OUTER_BOUND('',#40921,.T.); #22407=FACE_OUTER_BOUND('',#40923,.T.); #22408=FACE_OUTER_BOUND('',#40925,.T.); #22409=FACE_OUTER_BOUND('',#40926,.T.); #22410=FACE_OUTER_BOUND('',#40927,.T.); #22411=FACE_OUTER_BOUND('',#40929,.T.); #22412=FACE_OUTER_BOUND('',#40931,.T.); #22413=FACE_OUTER_BOUND('',#40932,.T.); #22414=FACE_OUTER_BOUND('',#40933,.T.); #22415=FACE_OUTER_BOUND('',#40935,.T.); #22416=FACE_OUTER_BOUND('',#40937,.T.); #22417=FACE_OUTER_BOUND('',#40938,.T.); #22418=FACE_OUTER_BOUND('',#40939,.T.); #22419=FACE_OUTER_BOUND('',#40941,.T.); #22420=FACE_OUTER_BOUND('',#40943,.T.); #22421=FACE_OUTER_BOUND('',#40944,.T.); #22422=FACE_OUTER_BOUND('',#40945,.T.); #22423=FACE_OUTER_BOUND('',#40947,.T.); #22424=FACE_OUTER_BOUND('',#40949,.T.); #22425=FACE_OUTER_BOUND('',#40950,.T.); #22426=FACE_OUTER_BOUND('',#40951,.T.); #22427=FACE_OUTER_BOUND('',#40953,.T.); #22428=FACE_OUTER_BOUND('',#40955,.T.); #22429=FACE_OUTER_BOUND('',#40956,.T.); #22430=FACE_OUTER_BOUND('',#40957,.T.); #22431=FACE_OUTER_BOUND('',#40959,.T.); #22432=FACE_OUTER_BOUND('',#40961,.T.); #22433=FACE_OUTER_BOUND('',#40962,.T.); #22434=FACE_OUTER_BOUND('',#40963,.T.); #22435=FACE_OUTER_BOUND('',#40965,.T.); #22436=FACE_OUTER_BOUND('',#40967,.T.); #22437=FACE_OUTER_BOUND('',#40968,.T.); #22438=FACE_OUTER_BOUND('',#40969,.T.); #22439=FACE_OUTER_BOUND('',#40971,.T.); #22440=FACE_OUTER_BOUND('',#40973,.T.); #22441=FACE_OUTER_BOUND('',#40974,.T.); #22442=FACE_OUTER_BOUND('',#40975,.T.); #22443=FACE_OUTER_BOUND('',#40977,.T.); #22444=FACE_OUTER_BOUND('',#40979,.T.); #22445=FACE_OUTER_BOUND('',#40980,.T.); #22446=FACE_OUTER_BOUND('',#40981,.T.); #22447=FACE_OUTER_BOUND('',#40983,.T.); #22448=FACE_OUTER_BOUND('',#40985,.T.); #22449=FACE_OUTER_BOUND('',#40986,.T.); #22450=FACE_OUTER_BOUND('',#40987,.T.); #22451=FACE_OUTER_BOUND('',#40989,.T.); #22452=FACE_OUTER_BOUND('',#40991,.T.); #22453=FACE_OUTER_BOUND('',#40992,.T.); #22454=FACE_OUTER_BOUND('',#40993,.T.); #22455=FACE_OUTER_BOUND('',#40995,.T.); #22456=FACE_OUTER_BOUND('',#40997,.T.); #22457=FACE_OUTER_BOUND('',#40998,.T.); #22458=FACE_OUTER_BOUND('',#40999,.T.); #22459=FACE_OUTER_BOUND('',#41001,.T.); #22460=FACE_OUTER_BOUND('',#41003,.T.); #22461=FACE_OUTER_BOUND('',#41004,.T.); #22462=FACE_OUTER_BOUND('',#41005,.T.); #22463=FACE_OUTER_BOUND('',#41007,.T.); #22464=FACE_OUTER_BOUND('',#41009,.T.); #22465=FACE_OUTER_BOUND('',#41010,.T.); #22466=FACE_OUTER_BOUND('',#41011,.T.); #22467=FACE_OUTER_BOUND('',#41013,.T.); #22468=FACE_OUTER_BOUND('',#41015,.T.); #22469=FACE_OUTER_BOUND('',#41016,.T.); #22470=FACE_OUTER_BOUND('',#41017,.T.); #22471=FACE_OUTER_BOUND('',#41019,.T.); #22472=FACE_OUTER_BOUND('',#41021,.T.); #22473=FACE_OUTER_BOUND('',#41022,.T.); #22474=FACE_OUTER_BOUND('',#41023,.T.); #22475=FACE_OUTER_BOUND('',#41025,.T.); #22476=FACE_OUTER_BOUND('',#41027,.T.); #22477=FACE_OUTER_BOUND('',#41028,.T.); #22478=FACE_OUTER_BOUND('',#41029,.T.); #22479=FACE_OUTER_BOUND('',#41031,.T.); #22480=FACE_OUTER_BOUND('',#41033,.T.); #22481=FACE_OUTER_BOUND('',#41034,.T.); #22482=FACE_OUTER_BOUND('',#41035,.T.); #22483=FACE_OUTER_BOUND('',#41037,.T.); #22484=FACE_OUTER_BOUND('',#41039,.T.); #22485=FACE_OUTER_BOUND('',#41040,.T.); #22486=FACE_OUTER_BOUND('',#41041,.T.); #22487=FACE_OUTER_BOUND('',#41043,.T.); #22488=FACE_OUTER_BOUND('',#41045,.T.); #22489=FACE_OUTER_BOUND('',#41046,.T.); #22490=FACE_OUTER_BOUND('',#41047,.T.); #22491=FACE_OUTER_BOUND('',#41049,.T.); #22492=FACE_OUTER_BOUND('',#41051,.T.); #22493=FACE_OUTER_BOUND('',#41052,.T.); #22494=FACE_OUTER_BOUND('',#41053,.T.); #22495=FACE_OUTER_BOUND('',#41055,.T.); #22496=FACE_OUTER_BOUND('',#41057,.T.); #22497=FACE_OUTER_BOUND('',#41058,.T.); #22498=FACE_OUTER_BOUND('',#41059,.T.); #22499=FACE_OUTER_BOUND('',#41061,.T.); #22500=FACE_OUTER_BOUND('',#41063,.T.); #22501=FACE_OUTER_BOUND('',#41064,.T.); #22502=FACE_OUTER_BOUND('',#41065,.T.); #22503=FACE_OUTER_BOUND('',#41067,.T.); #22504=FACE_OUTER_BOUND('',#41069,.T.); #22505=FACE_OUTER_BOUND('',#41070,.T.); #22506=FACE_OUTER_BOUND('',#41071,.T.); #22507=FACE_OUTER_BOUND('',#41073,.T.); #22508=FACE_OUTER_BOUND('',#41075,.T.); #22509=FACE_OUTER_BOUND('',#41076,.T.); #22510=FACE_OUTER_BOUND('',#41077,.T.); #22511=FACE_OUTER_BOUND('',#41079,.T.); #22512=FACE_OUTER_BOUND('',#41081,.T.); #22513=FACE_OUTER_BOUND('',#41082,.T.); #22514=FACE_OUTER_BOUND('',#41083,.T.); #22515=FACE_OUTER_BOUND('',#41085,.T.); #22516=FACE_OUTER_BOUND('',#41087,.T.); #22517=FACE_OUTER_BOUND('',#41088,.T.); #22518=FACE_OUTER_BOUND('',#41089,.T.); #22519=FACE_OUTER_BOUND('',#41091,.T.); #22520=FACE_OUTER_BOUND('',#41093,.T.); #22521=FACE_OUTER_BOUND('',#41094,.T.); #22522=FACE_OUTER_BOUND('',#41095,.T.); #22523=FACE_OUTER_BOUND('',#41097,.T.); #22524=FACE_OUTER_BOUND('',#41099,.T.); #22525=FACE_OUTER_BOUND('',#41100,.T.); #22526=FACE_OUTER_BOUND('',#41101,.T.); #22527=FACE_OUTER_BOUND('',#41103,.T.); #22528=FACE_OUTER_BOUND('',#41105,.T.); #22529=FACE_OUTER_BOUND('',#41106,.T.); #22530=FACE_OUTER_BOUND('',#41107,.T.); #22531=FACE_OUTER_BOUND('',#41109,.T.); #22532=FACE_OUTER_BOUND('',#41111,.T.); #22533=FACE_OUTER_BOUND('',#41112,.T.); #22534=FACE_OUTER_BOUND('',#41113,.T.); #22535=FACE_OUTER_BOUND('',#41115,.T.); #22536=FACE_OUTER_BOUND('',#41117,.T.); #22537=FACE_OUTER_BOUND('',#41118,.T.); #22538=FACE_OUTER_BOUND('',#41119,.T.); #22539=FACE_OUTER_BOUND('',#41121,.T.); #22540=FACE_OUTER_BOUND('',#41123,.T.); #22541=FACE_OUTER_BOUND('',#41124,.T.); #22542=FACE_OUTER_BOUND('',#41125,.T.); #22543=FACE_OUTER_BOUND('',#41127,.T.); #22544=FACE_OUTER_BOUND('',#41129,.T.); #22545=FACE_OUTER_BOUND('',#41130,.T.); #22546=FACE_OUTER_BOUND('',#41131,.T.); #22547=FACE_OUTER_BOUND('',#41133,.T.); #22548=FACE_OUTER_BOUND('',#41135,.T.); #22549=FACE_OUTER_BOUND('',#41136,.T.); #22550=FACE_OUTER_BOUND('',#41137,.T.); #22551=FACE_OUTER_BOUND('',#41139,.T.); #22552=FACE_OUTER_BOUND('',#41141,.T.); #22553=FACE_OUTER_BOUND('',#41142,.T.); #22554=FACE_OUTER_BOUND('',#41143,.T.); #22555=FACE_OUTER_BOUND('',#41145,.T.); #22556=FACE_OUTER_BOUND('',#41147,.T.); #22557=FACE_OUTER_BOUND('',#41148,.T.); #22558=FACE_OUTER_BOUND('',#41149,.T.); #22559=FACE_OUTER_BOUND('',#41151,.T.); #22560=FACE_OUTER_BOUND('',#41153,.T.); #22561=FACE_OUTER_BOUND('',#41154,.T.); #22562=FACE_OUTER_BOUND('',#41155,.T.); #22563=FACE_OUTER_BOUND('',#41157,.T.); #22564=FACE_OUTER_BOUND('',#41159,.T.); #22565=FACE_OUTER_BOUND('',#41160,.T.); #22566=FACE_OUTER_BOUND('',#41161,.T.); #22567=FACE_OUTER_BOUND('',#41163,.T.); #22568=FACE_OUTER_BOUND('',#41165,.T.); #22569=FACE_OUTER_BOUND('',#41166,.T.); #22570=FACE_OUTER_BOUND('',#41167,.T.); #22571=FACE_OUTER_BOUND('',#41169,.T.); #22572=FACE_OUTER_BOUND('',#41171,.T.); #22573=FACE_OUTER_BOUND('',#41172,.T.); #22574=FACE_OUTER_BOUND('',#41173,.T.); #22575=FACE_OUTER_BOUND('',#41175,.T.); #22576=FACE_OUTER_BOUND('',#41177,.T.); #22577=FACE_OUTER_BOUND('',#41178,.T.); #22578=FACE_OUTER_BOUND('',#41179,.T.); #22579=FACE_OUTER_BOUND('',#41181,.T.); #22580=FACE_OUTER_BOUND('',#41183,.T.); #22581=FACE_OUTER_BOUND('',#41184,.T.); #22582=FACE_OUTER_BOUND('',#41185,.T.); #22583=FACE_OUTER_BOUND('',#41187,.T.); #22584=FACE_OUTER_BOUND('',#41189,.T.); #22585=FACE_OUTER_BOUND('',#41190,.T.); #22586=FACE_OUTER_BOUND('',#41191,.T.); #22587=FACE_OUTER_BOUND('',#41193,.T.); #22588=FACE_OUTER_BOUND('',#41195,.T.); #22589=FACE_OUTER_BOUND('',#41196,.T.); #22590=FACE_OUTER_BOUND('',#41197,.T.); #22591=FACE_OUTER_BOUND('',#41199,.T.); #22592=FACE_OUTER_BOUND('',#41201,.T.); #22593=FACE_OUTER_BOUND('',#41202,.T.); #22594=FACE_OUTER_BOUND('',#41203,.T.); #22595=FACE_OUTER_BOUND('',#41205,.T.); #22596=FACE_OUTER_BOUND('',#41207,.T.); #22597=FACE_OUTER_BOUND('',#41208,.T.); #22598=FACE_OUTER_BOUND('',#41209,.T.); #22599=FACE_OUTER_BOUND('',#41211,.T.); #22600=FACE_OUTER_BOUND('',#41213,.T.); #22601=FACE_OUTER_BOUND('',#41214,.T.); #22602=FACE_OUTER_BOUND('',#41215,.T.); #22603=FACE_OUTER_BOUND('',#41217,.T.); #22604=FACE_OUTER_BOUND('',#41219,.T.); #22605=FACE_OUTER_BOUND('',#41220,.T.); #22606=FACE_OUTER_BOUND('',#41221,.T.); #22607=FACE_OUTER_BOUND('',#41223,.T.); #22608=FACE_OUTER_BOUND('',#41225,.T.); #22609=FACE_OUTER_BOUND('',#41226,.T.); #22610=FACE_OUTER_BOUND('',#41227,.T.); #22611=FACE_OUTER_BOUND('',#41229,.T.); #22612=FACE_OUTER_BOUND('',#41231,.T.); #22613=FACE_OUTER_BOUND('',#41232,.T.); #22614=FACE_OUTER_BOUND('',#41233,.T.); #22615=FACE_OUTER_BOUND('',#41235,.T.); #22616=FACE_OUTER_BOUND('',#41237,.T.); #22617=FACE_OUTER_BOUND('',#41238,.T.); #22618=FACE_OUTER_BOUND('',#41239,.T.); #22619=FACE_OUTER_BOUND('',#41241,.T.); #22620=FACE_OUTER_BOUND('',#41243,.T.); #22621=FACE_OUTER_BOUND('',#41244,.T.); #22622=FACE_OUTER_BOUND('',#41245,.T.); #22623=FACE_OUTER_BOUND('',#41247,.T.); #22624=FACE_OUTER_BOUND('',#41249,.T.); #22625=FACE_OUTER_BOUND('',#41250,.T.); #22626=FACE_OUTER_BOUND('',#41251,.T.); #22627=FACE_OUTER_BOUND('',#41253,.T.); #22628=FACE_OUTER_BOUND('',#41255,.T.); #22629=FACE_OUTER_BOUND('',#41256,.T.); #22630=FACE_OUTER_BOUND('',#41257,.T.); #22631=FACE_OUTER_BOUND('',#41259,.T.); #22632=FACE_OUTER_BOUND('',#41261,.T.); #22633=FACE_OUTER_BOUND('',#41262,.T.); #22634=FACE_OUTER_BOUND('',#41263,.T.); #22635=FACE_OUTER_BOUND('',#41265,.T.); #22636=FACE_OUTER_BOUND('',#41267,.T.); #22637=FACE_OUTER_BOUND('',#41268,.T.); #22638=FACE_OUTER_BOUND('',#41269,.T.); #22639=FACE_OUTER_BOUND('',#41271,.T.); #22640=FACE_OUTER_BOUND('',#41273,.T.); #22641=FACE_OUTER_BOUND('',#41274,.T.); #22642=FACE_OUTER_BOUND('',#41275,.T.); #22643=FACE_OUTER_BOUND('',#41277,.T.); #22644=FACE_OUTER_BOUND('',#41279,.T.); #22645=FACE_OUTER_BOUND('',#41280,.T.); #22646=FACE_OUTER_BOUND('',#41281,.T.); #22647=FACE_OUTER_BOUND('',#41283,.T.); #22648=FACE_OUTER_BOUND('',#41285,.T.); #22649=FACE_OUTER_BOUND('',#41286,.T.); #22650=FACE_OUTER_BOUND('',#41287,.T.); #22651=FACE_OUTER_BOUND('',#41289,.T.); #22652=FACE_OUTER_BOUND('',#41291,.T.); #22653=FACE_OUTER_BOUND('',#41292,.T.); #22654=FACE_OUTER_BOUND('',#41293,.T.); #22655=FACE_OUTER_BOUND('',#41295,.T.); #22656=FACE_OUTER_BOUND('',#41297,.T.); #22657=FACE_OUTER_BOUND('',#41298,.T.); #22658=FACE_OUTER_BOUND('',#41299,.T.); #22659=FACE_OUTER_BOUND('',#41301,.T.); #22660=FACE_OUTER_BOUND('',#41303,.T.); #22661=FACE_OUTER_BOUND('',#41304,.T.); #22662=FACE_OUTER_BOUND('',#41305,.T.); #22663=FACE_OUTER_BOUND('',#41307,.T.); #22664=FACE_OUTER_BOUND('',#41309,.T.); #22665=FACE_OUTER_BOUND('',#41310,.T.); #22666=FACE_OUTER_BOUND('',#41311,.T.); #22667=FACE_OUTER_BOUND('',#41313,.T.); #22668=FACE_OUTER_BOUND('',#41315,.T.); #22669=FACE_OUTER_BOUND('',#41316,.T.); #22670=FACE_OUTER_BOUND('',#41317,.T.); #22671=FACE_OUTER_BOUND('',#41319,.T.); #22672=FACE_OUTER_BOUND('',#41321,.T.); #22673=FACE_OUTER_BOUND('',#41322,.T.); #22674=FACE_OUTER_BOUND('',#41323,.T.); #22675=FACE_OUTER_BOUND('',#41325,.T.); #22676=FACE_OUTER_BOUND('',#41327,.T.); #22677=FACE_OUTER_BOUND('',#41328,.T.); #22678=FACE_OUTER_BOUND('',#41329,.T.); #22679=FACE_OUTER_BOUND('',#41331,.T.); #22680=FACE_OUTER_BOUND('',#41333,.T.); #22681=FACE_OUTER_BOUND('',#41334,.T.); #22682=FACE_OUTER_BOUND('',#41335,.T.); #22683=FACE_OUTER_BOUND('',#41337,.T.); #22684=FACE_OUTER_BOUND('',#41339,.T.); #22685=FACE_OUTER_BOUND('',#41340,.T.); #22686=FACE_OUTER_BOUND('',#41341,.T.); #22687=FACE_OUTER_BOUND('',#41343,.T.); #22688=FACE_OUTER_BOUND('',#41345,.T.); #22689=FACE_OUTER_BOUND('',#41346,.T.); #22690=FACE_OUTER_BOUND('',#41347,.T.); #22691=FACE_OUTER_BOUND('',#41349,.T.); #22692=FACE_OUTER_BOUND('',#41351,.T.); #22693=FACE_OUTER_BOUND('',#41352,.T.); #22694=FACE_OUTER_BOUND('',#41353,.T.); #22695=FACE_OUTER_BOUND('',#41355,.T.); #22696=FACE_OUTER_BOUND('',#41357,.T.); #22697=FACE_OUTER_BOUND('',#41358,.T.); #22698=FACE_OUTER_BOUND('',#41359,.T.); #22699=FACE_OUTER_BOUND('',#41361,.T.); #22700=FACE_OUTER_BOUND('',#41363,.T.); #22701=FACE_OUTER_BOUND('',#41364,.T.); #22702=FACE_OUTER_BOUND('',#41365,.T.); #22703=FACE_OUTER_BOUND('',#41367,.T.); #22704=FACE_OUTER_BOUND('',#41369,.T.); #22705=FACE_OUTER_BOUND('',#41370,.T.); #22706=FACE_OUTER_BOUND('',#41371,.T.); #22707=FACE_OUTER_BOUND('',#41373,.T.); #22708=FACE_OUTER_BOUND('',#41375,.T.); #22709=FACE_OUTER_BOUND('',#41376,.T.); #22710=FACE_OUTER_BOUND('',#41377,.T.); #22711=FACE_OUTER_BOUND('',#41379,.T.); #22712=FACE_OUTER_BOUND('',#41381,.T.); #22713=FACE_OUTER_BOUND('',#41382,.T.); #22714=FACE_OUTER_BOUND('',#41383,.T.); #22715=FACE_OUTER_BOUND('',#41385,.T.); #22716=FACE_OUTER_BOUND('',#41387,.T.); #22717=FACE_OUTER_BOUND('',#41388,.T.); #22718=FACE_OUTER_BOUND('',#41389,.T.); #22719=FACE_OUTER_BOUND('',#41391,.T.); #22720=FACE_OUTER_BOUND('',#41393,.T.); #22721=FACE_OUTER_BOUND('',#41394,.T.); #22722=FACE_OUTER_BOUND('',#41395,.T.); #22723=FACE_OUTER_BOUND('',#41397,.T.); #22724=FACE_OUTER_BOUND('',#41399,.T.); #22725=FACE_OUTER_BOUND('',#41400,.T.); #22726=FACE_OUTER_BOUND('',#41401,.T.); #22727=FACE_OUTER_BOUND('',#41403,.T.); #22728=FACE_OUTER_BOUND('',#41405,.T.); #22729=FACE_OUTER_BOUND('',#41406,.T.); #22730=FACE_OUTER_BOUND('',#41407,.T.); #22731=FACE_OUTER_BOUND('',#41409,.T.); #22732=FACE_OUTER_BOUND('',#41411,.T.); #22733=FACE_OUTER_BOUND('',#41412,.T.); #22734=FACE_OUTER_BOUND('',#41413,.T.); #22735=FACE_OUTER_BOUND('',#41415,.T.); #22736=FACE_OUTER_BOUND('',#41417,.T.); #22737=FACE_OUTER_BOUND('',#41418,.T.); #22738=FACE_OUTER_BOUND('',#41419,.T.); #22739=FACE_OUTER_BOUND('',#41421,.T.); #22740=FACE_OUTER_BOUND('',#41423,.T.); #22741=FACE_OUTER_BOUND('',#41424,.T.); #22742=FACE_OUTER_BOUND('',#41425,.T.); #22743=FACE_OUTER_BOUND('',#41427,.T.); #22744=FACE_OUTER_BOUND('',#41429,.T.); #22745=FACE_OUTER_BOUND('',#41430,.T.); #22746=FACE_OUTER_BOUND('',#41431,.T.); #22747=FACE_OUTER_BOUND('',#41433,.T.); #22748=FACE_OUTER_BOUND('',#41435,.T.); #22749=FACE_OUTER_BOUND('',#41436,.T.); #22750=FACE_OUTER_BOUND('',#41437,.T.); #22751=FACE_OUTER_BOUND('',#41439,.T.); #22752=FACE_OUTER_BOUND('',#41441,.T.); #22753=FACE_OUTER_BOUND('',#41442,.T.); #22754=FACE_OUTER_BOUND('',#41443,.T.); #22755=FACE_OUTER_BOUND('',#41445,.T.); #22756=FACE_OUTER_BOUND('',#41447,.T.); #22757=FACE_OUTER_BOUND('',#41448,.T.); #22758=FACE_OUTER_BOUND('',#41449,.T.); #22759=FACE_OUTER_BOUND('',#41451,.T.); #22760=FACE_OUTER_BOUND('',#41453,.T.); #22761=FACE_OUTER_BOUND('',#41454,.T.); #22762=FACE_OUTER_BOUND('',#41455,.T.); #22763=FACE_OUTER_BOUND('',#41457,.T.); #22764=FACE_OUTER_BOUND('',#41459,.T.); #22765=FACE_OUTER_BOUND('',#41460,.T.); #22766=FACE_OUTER_BOUND('',#41461,.T.); #22767=FACE_OUTER_BOUND('',#41463,.T.); #22768=FACE_OUTER_BOUND('',#41465,.T.); #22769=FACE_OUTER_BOUND('',#41466,.T.); #22770=FACE_OUTER_BOUND('',#41467,.T.); #22771=FACE_OUTER_BOUND('',#41469,.T.); #22772=FACE_OUTER_BOUND('',#41471,.T.); #22773=FACE_OUTER_BOUND('',#41472,.T.); #22774=FACE_OUTER_BOUND('',#41473,.T.); #22775=FACE_OUTER_BOUND('',#41475,.T.); #22776=FACE_OUTER_BOUND('',#41477,.T.); #22777=FACE_OUTER_BOUND('',#41478,.T.); #22778=FACE_OUTER_BOUND('',#41479,.T.); #22779=FACE_OUTER_BOUND('',#41481,.T.); #22780=FACE_OUTER_BOUND('',#41483,.T.); #22781=FACE_OUTER_BOUND('',#41484,.T.); #22782=FACE_OUTER_BOUND('',#41485,.T.); #22783=FACE_OUTER_BOUND('',#41487,.T.); #22784=FACE_OUTER_BOUND('',#41489,.T.); #22785=FACE_OUTER_BOUND('',#41490,.T.); #22786=FACE_OUTER_BOUND('',#41491,.T.); #22787=FACE_OUTER_BOUND('',#41493,.T.); #22788=FACE_OUTER_BOUND('',#41495,.T.); #22789=FACE_OUTER_BOUND('',#41496,.T.); #22790=FACE_OUTER_BOUND('',#41497,.T.); #22791=FACE_OUTER_BOUND('',#41499,.T.); #22792=FACE_OUTER_BOUND('',#41501,.T.); #22793=FACE_OUTER_BOUND('',#41502,.T.); #22794=FACE_OUTER_BOUND('',#41503,.T.); #22795=FACE_OUTER_BOUND('',#41505,.T.); #22796=FACE_OUTER_BOUND('',#41507,.T.); #22797=FACE_OUTER_BOUND('',#41508,.T.); #22798=FACE_OUTER_BOUND('',#41509,.T.); #22799=FACE_OUTER_BOUND('',#41511,.T.); #22800=FACE_OUTER_BOUND('',#41513,.T.); #22801=FACE_OUTER_BOUND('',#41514,.T.); #22802=FACE_OUTER_BOUND('',#41515,.T.); #22803=FACE_OUTER_BOUND('',#41517,.T.); #22804=FACE_OUTER_BOUND('',#41519,.T.); #22805=FACE_OUTER_BOUND('',#41520,.T.); #22806=FACE_OUTER_BOUND('',#41521,.T.); #22807=FACE_OUTER_BOUND('',#41522,.T.); #22808=FACE_OUTER_BOUND('',#41523,.T.); #22809=FACE_OUTER_BOUND('',#41524,.T.); #22810=FACE_OUTER_BOUND('',#41525,.T.); #22811=FACE_OUTER_BOUND('',#41526,.T.); #22812=FACE_OUTER_BOUND('',#41527,.T.); #22813=FACE_OUTER_BOUND('',#41529,.T.); #22814=FACE_OUTER_BOUND('',#41531,.T.); #22815=FACE_OUTER_BOUND('',#41532,.T.); #22816=FACE_OUTER_BOUND('',#41533,.T.); #22817=FACE_OUTER_BOUND('',#41535,.T.); #22818=FACE_OUTER_BOUND('',#41537,.T.); #22819=FACE_OUTER_BOUND('',#41538,.T.); #22820=FACE_OUTER_BOUND('',#41539,.T.); #22821=FACE_OUTER_BOUND('',#41540,.T.); #22822=FACE_OUTER_BOUND('',#41541,.T.); #22823=FACE_OUTER_BOUND('',#41542,.T.); #22824=FACE_OUTER_BOUND('',#41543,.T.); #22825=FACE_OUTER_BOUND('',#41544,.T.); #22826=FACE_OUTER_BOUND('',#41545,.T.); #22827=FACE_OUTER_BOUND('',#41547,.T.); #22828=FACE_OUTER_BOUND('',#41549,.T.); #22829=FACE_OUTER_BOUND('',#41550,.T.); #22830=FACE_OUTER_BOUND('',#41551,.T.); #22831=FACE_OUTER_BOUND('',#41553,.T.); #22832=FACE_OUTER_BOUND('',#41555,.T.); #22833=FACE_OUTER_BOUND('',#41556,.T.); #22834=FACE_OUTER_BOUND('',#41557,.T.); #22835=FACE_OUTER_BOUND('',#41558,.T.); #22836=FACE_OUTER_BOUND('',#41559,.T.); #22837=FACE_OUTER_BOUND('',#41560,.T.); #22838=FACE_OUTER_BOUND('',#41561,.T.); #22839=FACE_OUTER_BOUND('',#41562,.T.); #22840=FACE_OUTER_BOUND('',#41563,.T.); #22841=FACE_OUTER_BOUND('',#41565,.T.); #22842=FACE_OUTER_BOUND('',#41567,.T.); #22843=FACE_OUTER_BOUND('',#41568,.T.); #22844=FACE_OUTER_BOUND('',#41569,.T.); #22845=FACE_OUTER_BOUND('',#41571,.T.); #22846=FACE_OUTER_BOUND('',#41573,.T.); #22847=FACE_OUTER_BOUND('',#41574,.T.); #22848=FACE_OUTER_BOUND('',#41575,.T.); #22849=FACE_OUTER_BOUND('',#41576,.T.); #22850=FACE_OUTER_BOUND('',#41577,.T.); #22851=FACE_OUTER_BOUND('',#41578,.T.); #22852=FACE_OUTER_BOUND('',#41579,.T.); #22853=FACE_OUTER_BOUND('',#41580,.T.); #22854=FACE_OUTER_BOUND('',#41581,.T.); #22855=FACE_OUTER_BOUND('',#41583,.T.); #22856=FACE_OUTER_BOUND('',#41585,.T.); #22857=FACE_OUTER_BOUND('',#41586,.T.); #22858=FACE_OUTER_BOUND('',#41587,.T.); #22859=FACE_OUTER_BOUND('',#41589,.T.); #22860=FACE_OUTER_BOUND('',#41591,.T.); #22861=FACE_OUTER_BOUND('',#41592,.T.); #22862=FACE_OUTER_BOUND('',#41593,.T.); #22863=FACE_OUTER_BOUND('',#41595,.T.); #22864=FACE_OUTER_BOUND('',#41597,.T.); #22865=FACE_OUTER_BOUND('',#41598,.T.); #22866=FACE_OUTER_BOUND('',#41599,.T.); #22867=FACE_OUTER_BOUND('',#41601,.T.); #22868=FACE_OUTER_BOUND('',#41603,.T.); #22869=FACE_OUTER_BOUND('',#41604,.T.); #22870=FACE_OUTER_BOUND('',#41605,.T.); #22871=FACE_OUTER_BOUND('',#41607,.T.); #22872=FACE_OUTER_BOUND('',#41609,.T.); #22873=FACE_OUTER_BOUND('',#41610,.T.); #22874=FACE_OUTER_BOUND('',#41611,.T.); #22875=FACE_OUTER_BOUND('',#41613,.T.); #22876=FACE_OUTER_BOUND('',#41615,.T.); #22877=FACE_OUTER_BOUND('',#41616,.T.); #22878=FACE_OUTER_BOUND('',#41617,.T.); #22879=FACE_OUTER_BOUND('',#41619,.T.); #22880=FACE_OUTER_BOUND('',#41621,.T.); #22881=FACE_OUTER_BOUND('',#41622,.T.); #22882=FACE_OUTER_BOUND('',#41623,.T.); #22883=FACE_OUTER_BOUND('',#41625,.T.); #22884=FACE_OUTER_BOUND('',#41627,.T.); #22885=FACE_OUTER_BOUND('',#41628,.T.); #22886=FACE_OUTER_BOUND('',#41629,.T.); #22887=FACE_OUTER_BOUND('',#41631,.T.); #22888=FACE_OUTER_BOUND('',#41633,.T.); #22889=FACE_OUTER_BOUND('',#41634,.T.); #22890=FACE_OUTER_BOUND('',#41635,.T.); #22891=FACE_OUTER_BOUND('',#41637,.T.); #22892=FACE_OUTER_BOUND('',#41639,.T.); #22893=FACE_OUTER_BOUND('',#41640,.T.); #22894=FACE_OUTER_BOUND('',#41641,.T.); #22895=FACE_OUTER_BOUND('',#41643,.T.); #22896=FACE_OUTER_BOUND('',#41645,.T.); #22897=FACE_OUTER_BOUND('',#41646,.T.); #22898=FACE_OUTER_BOUND('',#41647,.T.); #22899=FACE_OUTER_BOUND('',#41649,.T.); #22900=FACE_OUTER_BOUND('',#41651,.T.); #22901=FACE_OUTER_BOUND('',#41652,.T.); #22902=FACE_OUTER_BOUND('',#41653,.T.); #22903=FACE_OUTER_BOUND('',#41654,.T.); #22904=FACE_OUTER_BOUND('',#41655,.T.); #22905=FACE_OUTER_BOUND('',#41656,.T.); #22906=FACE_OUTER_BOUND('',#41657,.T.); #22907=FACE_OUTER_BOUND('',#41658,.T.); #22908=FACE_OUTER_BOUND('',#41659,.T.); #22909=FACE_OUTER_BOUND('',#41661,.T.); #22910=FACE_OUTER_BOUND('',#41663,.T.); #22911=FACE_OUTER_BOUND('',#41664,.T.); #22912=FACE_OUTER_BOUND('',#41665,.T.); #22913=FACE_OUTER_BOUND('',#41667,.T.); #22914=FACE_OUTER_BOUND('',#41669,.T.); #22915=FACE_OUTER_BOUND('',#41670,.T.); #22916=FACE_OUTER_BOUND('',#41671,.T.); #22917=FACE_OUTER_BOUND('',#41672,.T.); #22918=FACE_OUTER_BOUND('',#41673,.T.); #22919=FACE_OUTER_BOUND('',#41674,.T.); #22920=FACE_OUTER_BOUND('',#41675,.T.); #22921=FACE_OUTER_BOUND('',#41676,.T.); #22922=FACE_OUTER_BOUND('',#41677,.T.); #22923=FACE_OUTER_BOUND('',#41679,.T.); #22924=FACE_OUTER_BOUND('',#41681,.T.); #22925=FACE_OUTER_BOUND('',#41682,.T.); #22926=FACE_OUTER_BOUND('',#41683,.T.); #22927=FACE_OUTER_BOUND('',#41685,.T.); #22928=FACE_OUTER_BOUND('',#41687,.T.); #22929=FACE_OUTER_BOUND('',#41688,.T.); #22930=FACE_OUTER_BOUND('',#41689,.T.); #22931=FACE_OUTER_BOUND('',#41691,.T.); #22932=FACE_OUTER_BOUND('',#41693,.T.); #22933=FACE_OUTER_BOUND('',#41694,.T.); #22934=FACE_OUTER_BOUND('',#41695,.T.); #22935=FACE_OUTER_BOUND('',#41697,.T.); #22936=FACE_OUTER_BOUND('',#41699,.T.); #22937=FACE_OUTER_BOUND('',#41700,.T.); #22938=FACE_OUTER_BOUND('',#41701,.T.); #22939=FACE_OUTER_BOUND('',#41703,.T.); #22940=FACE_OUTER_BOUND('',#41705,.T.); #22941=FACE_OUTER_BOUND('',#41706,.T.); #22942=FACE_OUTER_BOUND('',#41707,.T.); #22943=FACE_OUTER_BOUND('',#41709,.T.); #22944=FACE_OUTER_BOUND('',#41711,.T.); #22945=FACE_OUTER_BOUND('',#41712,.T.); #22946=FACE_OUTER_BOUND('',#41713,.T.); #22947=FACE_OUTER_BOUND('',#41715,.T.); #22948=FACE_OUTER_BOUND('',#41717,.T.); #22949=FACE_OUTER_BOUND('',#41718,.T.); #22950=FACE_OUTER_BOUND('',#41719,.T.); #22951=FACE_OUTER_BOUND('',#41721,.T.); #22952=FACE_OUTER_BOUND('',#41723,.T.); #22953=FACE_OUTER_BOUND('',#41724,.T.); #22954=FACE_OUTER_BOUND('',#41725,.T.); #22955=FACE_OUTER_BOUND('',#41727,.T.); #22956=FACE_OUTER_BOUND('',#41729,.T.); #22957=FACE_OUTER_BOUND('',#41730,.T.); #22958=FACE_OUTER_BOUND('',#41731,.T.); #22959=FACE_OUTER_BOUND('',#41733,.T.); #22960=FACE_OUTER_BOUND('',#41735,.T.); #22961=FACE_OUTER_BOUND('',#41736,.T.); #22962=FACE_OUTER_BOUND('',#41737,.T.); #22963=FACE_OUTER_BOUND('',#41739,.T.); #22964=FACE_OUTER_BOUND('',#41741,.T.); #22965=FACE_OUTER_BOUND('',#41742,.T.); #22966=FACE_OUTER_BOUND('',#41743,.T.); #22967=FACE_OUTER_BOUND('',#41745,.T.); #22968=FACE_OUTER_BOUND('',#41747,.T.); #22969=FACE_OUTER_BOUND('',#41748,.T.); #22970=FACE_OUTER_BOUND('',#41749,.T.); #22971=FACE_OUTER_BOUND('',#41751,.T.); #22972=FACE_OUTER_BOUND('',#41753,.T.); #22973=FACE_OUTER_BOUND('',#41754,.T.); #22974=FACE_OUTER_BOUND('',#41755,.T.); #22975=FACE_OUTER_BOUND('',#41757,.T.); #22976=FACE_OUTER_BOUND('',#41759,.T.); #22977=FACE_OUTER_BOUND('',#41760,.T.); #22978=FACE_OUTER_BOUND('',#41761,.T.); #22979=FACE_OUTER_BOUND('',#41763,.T.); #22980=FACE_OUTER_BOUND('',#41765,.T.); #22981=FACE_OUTER_BOUND('',#41766,.T.); #22982=FACE_OUTER_BOUND('',#41767,.T.); #22983=FACE_OUTER_BOUND('',#41769,.T.); #22984=FACE_OUTER_BOUND('',#41771,.T.); #22985=FACE_OUTER_BOUND('',#41772,.T.); #22986=FACE_OUTER_BOUND('',#41773,.T.); #22987=FACE_OUTER_BOUND('',#41775,.T.); #22988=FACE_OUTER_BOUND('',#41777,.T.); #22989=FACE_OUTER_BOUND('',#41778,.T.); #22990=FACE_OUTER_BOUND('',#41779,.T.); #22991=FACE_OUTER_BOUND('',#41781,.T.); #22992=FACE_OUTER_BOUND('',#41783,.T.); #22993=FACE_OUTER_BOUND('',#41784,.T.); #22994=FACE_OUTER_BOUND('',#41785,.T.); #22995=FACE_OUTER_BOUND('',#41787,.T.); #22996=FACE_OUTER_BOUND('',#41789,.T.); #22997=FACE_OUTER_BOUND('',#41790,.T.); #22998=FACE_OUTER_BOUND('',#41791,.T.); #22999=FACE_OUTER_BOUND('',#41793,.T.); #23000=FACE_OUTER_BOUND('',#41795,.T.); #23001=FACE_OUTER_BOUND('',#41796,.T.); #23002=FACE_OUTER_BOUND('',#41797,.T.); #23003=FACE_OUTER_BOUND('',#41799,.T.); #23004=FACE_OUTER_BOUND('',#41801,.T.); #23005=FACE_OUTER_BOUND('',#41802,.T.); #23006=FACE_OUTER_BOUND('',#41803,.T.); #23007=FACE_OUTER_BOUND('',#41805,.T.); #23008=FACE_OUTER_BOUND('',#41807,.T.); #23009=FACE_OUTER_BOUND('',#41808,.T.); #23010=FACE_OUTER_BOUND('',#41809,.T.); #23011=FACE_OUTER_BOUND('',#41811,.T.); #23012=FACE_OUTER_BOUND('',#41813,.T.); #23013=FACE_OUTER_BOUND('',#41814,.T.); #23014=FACE_OUTER_BOUND('',#41815,.T.); #23015=FACE_OUTER_BOUND('',#41817,.T.); #23016=FACE_OUTER_BOUND('',#41819,.T.); #23017=FACE_OUTER_BOUND('',#41820,.T.); #23018=FACE_OUTER_BOUND('',#41821,.T.); #23019=FACE_OUTER_BOUND('',#41823,.T.); #23020=FACE_OUTER_BOUND('',#41825,.T.); #23021=FACE_OUTER_BOUND('',#41826,.T.); #23022=FACE_OUTER_BOUND('',#41827,.T.); #23023=FACE_OUTER_BOUND('',#41829,.T.); #23024=FACE_OUTER_BOUND('',#41831,.T.); #23025=FACE_OUTER_BOUND('',#41832,.T.); #23026=FACE_OUTER_BOUND('',#41833,.T.); #23027=FACE_OUTER_BOUND('',#41835,.T.); #23028=FACE_OUTER_BOUND('',#41837,.T.); #23029=FACE_OUTER_BOUND('',#41838,.T.); #23030=FACE_OUTER_BOUND('',#41839,.T.); #23031=FACE_OUTER_BOUND('',#41841,.T.); #23032=FACE_OUTER_BOUND('',#41843,.T.); #23033=FACE_OUTER_BOUND('',#41844,.T.); #23034=FACE_OUTER_BOUND('',#41845,.T.); #23035=FACE_OUTER_BOUND('',#41847,.T.); #23036=FACE_OUTER_BOUND('',#41849,.T.); #23037=FACE_OUTER_BOUND('',#41850,.T.); #23038=FACE_OUTER_BOUND('',#41851,.T.); #23039=FACE_OUTER_BOUND('',#41853,.T.); #23040=FACE_OUTER_BOUND('',#41855,.T.); #23041=FACE_OUTER_BOUND('',#41856,.T.); #23042=FACE_OUTER_BOUND('',#41857,.T.); #23043=FACE_OUTER_BOUND('',#41859,.T.); #23044=FACE_OUTER_BOUND('',#41861,.T.); #23045=FACE_OUTER_BOUND('',#41862,.T.); #23046=FACE_OUTER_BOUND('',#41863,.T.); #23047=FACE_OUTER_BOUND('',#41865,.T.); #23048=FACE_OUTER_BOUND('',#41867,.T.); #23049=FACE_OUTER_BOUND('',#41868,.T.); #23050=FACE_OUTER_BOUND('',#41869,.T.); #23051=FACE_OUTER_BOUND('',#41871,.T.); #23052=FACE_OUTER_BOUND('',#41873,.T.); #23053=FACE_OUTER_BOUND('',#41874,.T.); #23054=FACE_OUTER_BOUND('',#41875,.T.); #23055=FACE_OUTER_BOUND('',#41877,.T.); #23056=FACE_OUTER_BOUND('',#41879,.T.); #23057=FACE_OUTER_BOUND('',#41880,.T.); #23058=FACE_OUTER_BOUND('',#41881,.T.); #23059=FACE_OUTER_BOUND('',#41883,.T.); #23060=FACE_OUTER_BOUND('',#41885,.T.); #23061=FACE_OUTER_BOUND('',#41886,.T.); #23062=FACE_OUTER_BOUND('',#41887,.T.); #23063=FACE_OUTER_BOUND('',#41889,.T.); #23064=FACE_OUTER_BOUND('',#41891,.T.); #23065=FACE_OUTER_BOUND('',#41892,.T.); #23066=FACE_OUTER_BOUND('',#41893,.T.); #23067=FACE_OUTER_BOUND('',#41895,.T.); #23068=FACE_OUTER_BOUND('',#41897,.T.); #23069=FACE_OUTER_BOUND('',#41898,.T.); #23070=FACE_OUTER_BOUND('',#41899,.T.); #23071=FACE_OUTER_BOUND('',#41901,.T.); #23072=FACE_OUTER_BOUND('',#41903,.T.); #23073=FACE_OUTER_BOUND('',#41904,.T.); #23074=FACE_OUTER_BOUND('',#41905,.T.); #23075=FACE_OUTER_BOUND('',#41906,.T.); #23076=FACE_OUTER_BOUND('',#41907,.T.); #23077=FACE_OUTER_BOUND('',#41909,.T.); #23078=FACE_OUTER_BOUND('',#41911,.T.); #23079=FACE_OUTER_BOUND('',#41912,.T.); #23080=FACE_OUTER_BOUND('',#41913,.T.); #23081=FACE_OUTER_BOUND('',#41914,.T.); #23082=FACE_OUTER_BOUND('',#41915,.T.); #23083=FACE_OUTER_BOUND('',#41916,.T.); #23084=FACE_OUTER_BOUND('',#41917,.T.); #23085=FACE_OUTER_BOUND('',#41918,.T.); #23086=FACE_OUTER_BOUND('',#41919,.T.); #23087=FACE_OUTER_BOUND('',#41920,.T.); #23088=FACE_OUTER_BOUND('',#41921,.T.); #23089=FACE_OUTER_BOUND('',#41922,.T.); #23090=FACE_OUTER_BOUND('',#41923,.T.); #23091=FACE_OUTER_BOUND('',#41924,.T.); #23092=FACE_OUTER_BOUND('',#41925,.T.); #23093=FACE_OUTER_BOUND('',#41926,.T.); #23094=FACE_OUTER_BOUND('',#41927,.T.); #23095=FACE_OUTER_BOUND('',#41928,.T.); #23096=FACE_OUTER_BOUND('',#41929,.T.); #23097=FACE_OUTER_BOUND('',#41930,.T.); #23098=FACE_OUTER_BOUND('',#41931,.T.); #23099=FACE_OUTER_BOUND('',#41932,.T.); #23100=FACE_OUTER_BOUND('',#41933,.T.); #23101=FACE_OUTER_BOUND('',#41934,.T.); #23102=FACE_OUTER_BOUND('',#41935,.T.); #23103=FACE_OUTER_BOUND('',#41936,.T.); #23104=FACE_OUTER_BOUND('',#41937,.T.); #23105=FACE_OUTER_BOUND('',#41938,.T.); #23106=FACE_OUTER_BOUND('',#41939,.T.); #23107=FACE_OUTER_BOUND('',#41940,.T.); #23108=FACE_OUTER_BOUND('',#41941,.T.); #23109=FACE_OUTER_BOUND('',#41942,.T.); #23110=FACE_OUTER_BOUND('',#41943,.T.); #23111=FACE_OUTER_BOUND('',#41944,.T.); #23112=FACE_OUTER_BOUND('',#41945,.T.); #23113=FACE_OUTER_BOUND('',#41946,.T.); #23114=FACE_OUTER_BOUND('',#41947,.T.); #23115=FACE_OUTER_BOUND('',#41948,.T.); #23116=FACE_OUTER_BOUND('',#41949,.T.); #23117=FACE_OUTER_BOUND('',#41950,.T.); #23118=FACE_OUTER_BOUND('',#41951,.T.); #23119=FACE_OUTER_BOUND('',#41952,.T.); #23120=FACE_OUTER_BOUND('',#41953,.T.); #23121=FACE_OUTER_BOUND('',#41954,.T.); #23122=FACE_OUTER_BOUND('',#41955,.T.); #23123=FACE_OUTER_BOUND('',#41956,.T.); #23124=FACE_OUTER_BOUND('',#41957,.T.); #23125=FACE_OUTER_BOUND('',#41958,.T.); #23126=FACE_OUTER_BOUND('',#41959,.T.); #23127=FACE_OUTER_BOUND('',#41960,.T.); #23128=FACE_OUTER_BOUND('',#41961,.T.); #23129=FACE_OUTER_BOUND('',#41962,.T.); #23130=FACE_OUTER_BOUND('',#41963,.T.); #23131=FACE_OUTER_BOUND('',#41964,.T.); #23132=FACE_OUTER_BOUND('',#41965,.T.); #23133=FACE_OUTER_BOUND('',#41966,.T.); #23134=FACE_OUTER_BOUND('',#41967,.T.); #23135=FACE_OUTER_BOUND('',#41968,.T.); #23136=FACE_OUTER_BOUND('',#41969,.T.); #23137=FACE_OUTER_BOUND('',#41970,.T.); #23138=FACE_OUTER_BOUND('',#41971,.T.); #23139=FACE_OUTER_BOUND('',#41972,.T.); #23140=FACE_OUTER_BOUND('',#41973,.T.); #23141=FACE_OUTER_BOUND('',#41974,.T.); #23142=FACE_OUTER_BOUND('',#41975,.T.); #23143=FACE_OUTER_BOUND('',#41976,.T.); #23144=FACE_OUTER_BOUND('',#41977,.T.); #23145=FACE_OUTER_BOUND('',#41978,.T.); #23146=FACE_OUTER_BOUND('',#41979,.T.); #23147=FACE_OUTER_BOUND('',#41980,.T.); #23148=FACE_OUTER_BOUND('',#41981,.T.); #23149=FACE_OUTER_BOUND('',#41982,.T.); #23150=FACE_OUTER_BOUND('',#41983,.T.); #23151=FACE_OUTER_BOUND('',#41984,.T.); #23152=FACE_OUTER_BOUND('',#41985,.T.); #23153=FACE_OUTER_BOUND('',#41986,.T.); #23154=FACE_OUTER_BOUND('',#41987,.T.); #23155=FACE_OUTER_BOUND('',#41988,.T.); #23156=FACE_OUTER_BOUND('',#41989,.T.); #23157=FACE_OUTER_BOUND('',#41990,.T.); #23158=FACE_OUTER_BOUND('',#41991,.T.); #23159=FACE_OUTER_BOUND('',#41993,.T.); #23160=FACE_OUTER_BOUND('',#41995,.T.); #23161=FACE_OUTER_BOUND('',#41996,.T.); #23162=FACE_OUTER_BOUND('',#41997,.T.); #23163=FACE_OUTER_BOUND('',#41998,.T.); #23164=FACE_OUTER_BOUND('',#41999,.T.); #23165=FACE_OUTER_BOUND('',#42000,.T.); #23166=FACE_OUTER_BOUND('',#42001,.T.); #23167=FACE_OUTER_BOUND('',#42002,.T.); #23168=FACE_OUTER_BOUND('',#42003,.T.); #23169=FACE_OUTER_BOUND('',#42004,.T.); #23170=FACE_OUTER_BOUND('',#42005,.T.); #23171=FACE_OUTER_BOUND('',#42006,.T.); #23172=FACE_OUTER_BOUND('',#42008,.T.); #23173=FACE_OUTER_BOUND('',#42010,.T.); #23174=FACE_OUTER_BOUND('',#42011,.T.); #23175=FACE_OUTER_BOUND('',#42012,.T.); #23176=FACE_OUTER_BOUND('',#42013,.T.); #23177=FACE_OUTER_BOUND('',#42014,.T.); #23178=FACE_OUTER_BOUND('',#42015,.T.); #23179=FACE_OUTER_BOUND('',#42016,.T.); #23180=FACE_OUTER_BOUND('',#42017,.T.); #23181=FACE_OUTER_BOUND('',#42018,.T.); #23182=FACE_OUTER_BOUND('',#42019,.T.); #23183=FACE_OUTER_BOUND('',#42020,.T.); #23184=FACE_OUTER_BOUND('',#42021,.T.); #23185=FACE_OUTER_BOUND('',#42022,.T.); #23186=FACE_OUTER_BOUND('',#42023,.T.); #23187=FACE_OUTER_BOUND('',#42024,.T.); #23188=FACE_OUTER_BOUND('',#42025,.T.); #23189=FACE_OUTER_BOUND('',#42026,.T.); #23190=FACE_OUTER_BOUND('',#42027,.T.); #23191=FACE_OUTER_BOUND('',#42028,.T.); #23192=FACE_OUTER_BOUND('',#42029,.T.); #23193=FACE_OUTER_BOUND('',#42030,.T.); #23194=FACE_OUTER_BOUND('',#42031,.T.); #23195=FACE_OUTER_BOUND('',#42032,.T.); #23196=FACE_OUTER_BOUND('',#42033,.T.); #23197=FACE_OUTER_BOUND('',#42034,.T.); #23198=FACE_OUTER_BOUND('',#42035,.T.); #23199=FACE_OUTER_BOUND('',#42036,.T.); #23200=FACE_OUTER_BOUND('',#42037,.T.); #23201=FACE_OUTER_BOUND('',#42038,.T.); #23202=FACE_OUTER_BOUND('',#42039,.T.); #23203=FACE_OUTER_BOUND('',#42040,.T.); #23204=FACE_OUTER_BOUND('',#42041,.T.); #23205=FACE_OUTER_BOUND('',#42042,.T.); #23206=FACE_OUTER_BOUND('',#42043,.T.); #23207=FACE_OUTER_BOUND('',#42044,.T.); #23208=FACE_OUTER_BOUND('',#42045,.T.); #23209=FACE_OUTER_BOUND('',#42046,.T.); #23210=FACE_OUTER_BOUND('',#42047,.T.); #23211=FACE_OUTER_BOUND('',#42048,.T.); #23212=FACE_OUTER_BOUND('',#42049,.T.); #23213=FACE_OUTER_BOUND('',#42050,.T.); #23214=FACE_OUTER_BOUND('',#42051,.T.); #23215=FACE_OUTER_BOUND('',#42052,.T.); #23216=FACE_OUTER_BOUND('',#42053,.T.); #23217=FACE_OUTER_BOUND('',#42054,.T.); #23218=FACE_OUTER_BOUND('',#42055,.T.); #23219=FACE_OUTER_BOUND('',#42056,.T.); #23220=FACE_OUTER_BOUND('',#42057,.T.); #23221=FACE_OUTER_BOUND('',#42058,.T.); #23222=FACE_OUTER_BOUND('',#42059,.T.); #23223=FACE_OUTER_BOUND('',#42060,.T.); #23224=FACE_OUTER_BOUND('',#42061,.T.); #23225=FACE_OUTER_BOUND('',#42062,.T.); #23226=FACE_OUTER_BOUND('',#42063,.T.); #23227=FACE_OUTER_BOUND('',#42064,.T.); #23228=FACE_OUTER_BOUND('',#42065,.T.); #23229=FACE_OUTER_BOUND('',#42066,.T.); #23230=FACE_OUTER_BOUND('',#42067,.T.); #23231=FACE_OUTER_BOUND('',#42068,.T.); #23232=FACE_OUTER_BOUND('',#42069,.T.); #23233=FACE_OUTER_BOUND('',#42070,.T.); #23234=FACE_OUTER_BOUND('',#42071,.T.); #23235=FACE_OUTER_BOUND('',#42072,.T.); #23236=FACE_OUTER_BOUND('',#42073,.T.); #23237=FACE_OUTER_BOUND('',#42074,.T.); #23238=FACE_OUTER_BOUND('',#42075,.T.); #23239=FACE_OUTER_BOUND('',#42076,.T.); #23240=FACE_OUTER_BOUND('',#42077,.T.); #23241=FACE_OUTER_BOUND('',#42078,.T.); #23242=FACE_OUTER_BOUND('',#42079,.T.); #23243=FACE_OUTER_BOUND('',#42080,.T.); #23244=FACE_OUTER_BOUND('',#42081,.T.); #23245=FACE_OUTER_BOUND('',#42082,.T.); #23246=FACE_OUTER_BOUND('',#42083,.T.); #23247=FACE_OUTER_BOUND('',#42084,.T.); #23248=FACE_OUTER_BOUND('',#42085,.T.); #23249=FACE_OUTER_BOUND('',#42086,.T.); #23250=FACE_OUTER_BOUND('',#42087,.T.); #23251=FACE_OUTER_BOUND('',#42088,.T.); #23252=FACE_OUTER_BOUND('',#42089,.T.); #23253=FACE_OUTER_BOUND('',#42090,.T.); #23254=FACE_OUTER_BOUND('',#42091,.T.); #23255=FACE_OUTER_BOUND('',#42092,.T.); #23256=FACE_OUTER_BOUND('',#42093,.T.); #23257=FACE_OUTER_BOUND('',#42094,.T.); #23258=FACE_OUTER_BOUND('',#42095,.T.); #23259=FACE_OUTER_BOUND('',#42096,.T.); #23260=FACE_OUTER_BOUND('',#42097,.T.); #23261=FACE_OUTER_BOUND('',#42098,.T.); #23262=FACE_OUTER_BOUND('',#42099,.T.); #23263=FACE_OUTER_BOUND('',#42100,.T.); #23264=FACE_OUTER_BOUND('',#42101,.T.); #23265=FACE_OUTER_BOUND('',#42102,.T.); #23266=FACE_OUTER_BOUND('',#42103,.T.); #23267=FACE_OUTER_BOUND('',#42104,.T.); #23268=FACE_OUTER_BOUND('',#42105,.T.); #23269=FACE_OUTER_BOUND('',#42106,.T.); #23270=FACE_OUTER_BOUND('',#42107,.T.); #23271=FACE_OUTER_BOUND('',#42108,.T.); #23272=FACE_OUTER_BOUND('',#42109,.T.); #23273=FACE_OUTER_BOUND('',#42110,.T.); #23274=FACE_OUTER_BOUND('',#42111,.T.); #23275=FACE_OUTER_BOUND('',#42112,.T.); #23276=FACE_OUTER_BOUND('',#42113,.T.); #23277=FACE_OUTER_BOUND('',#42114,.T.); #23278=FACE_OUTER_BOUND('',#42115,.T.); #23279=FACE_OUTER_BOUND('',#42116,.T.); #23280=FACE_OUTER_BOUND('',#42117,.T.); #23281=FACE_OUTER_BOUND('',#42118,.T.); #23282=FACE_OUTER_BOUND('',#42119,.T.); #23283=FACE_OUTER_BOUND('',#42120,.T.); #23284=FACE_OUTER_BOUND('',#42121,.T.); #23285=FACE_OUTER_BOUND('',#42122,.T.); #23286=FACE_OUTER_BOUND('',#42123,.T.); #23287=FACE_OUTER_BOUND('',#42124,.T.); #23288=FACE_OUTER_BOUND('',#42125,.T.); #23289=FACE_OUTER_BOUND('',#42126,.T.); #23290=FACE_OUTER_BOUND('',#42127,.T.); #23291=FACE_OUTER_BOUND('',#42128,.T.); #23292=FACE_OUTER_BOUND('',#42129,.T.); #23293=FACE_OUTER_BOUND('',#42130,.T.); #23294=FACE_OUTER_BOUND('',#42131,.T.); #23295=FACE_OUTER_BOUND('',#42133,.T.); #23296=FACE_OUTER_BOUND('',#42135,.T.); #23297=FACE_OUTER_BOUND('',#42136,.T.); #23298=FACE_OUTER_BOUND('',#42137,.T.); #23299=FACE_OUTER_BOUND('',#42138,.T.); #23300=FACE_OUTER_BOUND('',#42139,.T.); #23301=FACE_OUTER_BOUND('',#42140,.T.); #23302=FACE_OUTER_BOUND('',#42141,.T.); #23303=FACE_OUTER_BOUND('',#42142,.T.); #23304=FACE_OUTER_BOUND('',#42143,.T.); #23305=FACE_OUTER_BOUND('',#42144,.T.); #23306=FACE_OUTER_BOUND('',#42145,.T.); #23307=FACE_OUTER_BOUND('',#42146,.T.); #23308=FACE_OUTER_BOUND('',#42147,.T.); #23309=FACE_OUTER_BOUND('',#42148,.T.); #23310=FACE_OUTER_BOUND('',#42149,.T.); #23311=FACE_OUTER_BOUND('',#42150,.T.); #23312=FACE_OUTER_BOUND('',#42151,.T.); #23313=FACE_OUTER_BOUND('',#42152,.T.); #23314=FACE_OUTER_BOUND('',#42154,.T.); #23315=FACE_OUTER_BOUND('',#42156,.T.); #23316=FACE_OUTER_BOUND('',#42157,.T.); #23317=FACE_OUTER_BOUND('',#42158,.T.); #23318=FACE_OUTER_BOUND('',#42159,.T.); #23319=FACE_OUTER_BOUND('',#42160,.T.); #23320=FACE_OUTER_BOUND('',#42161,.T.); #23321=FACE_OUTER_BOUND('',#42162,.T.); #23322=FACE_OUTER_BOUND('',#42163,.T.); #23323=FACE_OUTER_BOUND('',#42164,.T.); #23324=FACE_OUTER_BOUND('',#42165,.T.); #23325=FACE_OUTER_BOUND('',#42166,.T.); #23326=FACE_OUTER_BOUND('',#42167,.T.); #23327=FACE_OUTER_BOUND('',#42168,.T.); #23328=FACE_OUTER_BOUND('',#42169,.T.); #23329=FACE_OUTER_BOUND('',#42171,.T.); #23330=FACE_OUTER_BOUND('',#42173,.T.); #23331=FACE_OUTER_BOUND('',#42174,.T.); #23332=FACE_OUTER_BOUND('',#42175,.T.); #23333=FACE_OUTER_BOUND('',#42176,.T.); #23334=FACE_OUTER_BOUND('',#42177,.T.); #23335=FACE_OUTER_BOUND('',#42178,.T.); #23336=FACE_OUTER_BOUND('',#42179,.T.); #23337=FACE_OUTER_BOUND('',#42180,.T.); #23338=FACE_OUTER_BOUND('',#42181,.T.); #23339=FACE_OUTER_BOUND('',#42182,.T.); #23340=FACE_OUTER_BOUND('',#42183,.T.); #23341=FACE_OUTER_BOUND('',#42184,.T.); #23342=FACE_OUTER_BOUND('',#42185,.T.); #23343=FACE_OUTER_BOUND('',#42186,.T.); #23344=FACE_OUTER_BOUND('',#42187,.T.); #23345=FACE_OUTER_BOUND('',#42188,.T.); #23346=FACE_OUTER_BOUND('',#42190,.T.); #23347=FACE_OUTER_BOUND('',#42192,.T.); #23348=FACE_OUTER_BOUND('',#42193,.T.); #23349=FACE_OUTER_BOUND('',#42194,.T.); #23350=FACE_OUTER_BOUND('',#42195,.T.); #23351=FACE_OUTER_BOUND('',#42196,.T.); #23352=FACE_OUTER_BOUND('',#42197,.T.); #23353=FACE_OUTER_BOUND('',#42198,.T.); #23354=FACE_OUTER_BOUND('',#42199,.T.); #23355=FACE_OUTER_BOUND('',#42200,.T.); #23356=FACE_OUTER_BOUND('',#42201,.T.); #23357=FACE_OUTER_BOUND('',#42202,.T.); #23358=FACE_OUTER_BOUND('',#42205,.T.); #23359=FACE_OUTER_BOUND('',#42208,.T.); #23360=FACE_OUTER_BOUND('',#42209,.T.); #23361=FACE_OUTER_BOUND('',#42210,.T.); #23362=FACE_OUTER_BOUND('',#42211,.T.); #23363=FACE_OUTER_BOUND('',#42212,.T.); #23364=FACE_OUTER_BOUND('',#42213,.T.); #23365=FACE_OUTER_BOUND('',#42214,.T.); #23366=FACE_OUTER_BOUND('',#42215,.T.); #23367=FACE_OUTER_BOUND('',#42216,.T.); #23368=FACE_OUTER_BOUND('',#42217,.T.); #23369=FACE_OUTER_BOUND('',#42218,.T.); #23370=FACE_OUTER_BOUND('',#42219,.T.); #23371=FACE_OUTER_BOUND('',#42220,.T.); #23372=FACE_OUTER_BOUND('',#42221,.T.); #23373=FACE_OUTER_BOUND('',#42222,.T.); #23374=FACE_OUTER_BOUND('',#42223,.T.); #23375=FACE_OUTER_BOUND('',#42224,.T.); #23376=FACE_OUTER_BOUND('',#42225,.T.); #23377=FACE_OUTER_BOUND('',#42227,.T.); #23378=FACE_OUTER_BOUND('',#42229,.T.); #23379=FACE_OUTER_BOUND('',#42230,.T.); #23380=FACE_OUTER_BOUND('',#42231,.T.); #23381=FACE_OUTER_BOUND('',#42232,.T.); #23382=FACE_OUTER_BOUND('',#42233,.T.); #23383=FACE_OUTER_BOUND('',#42234,.T.); #23384=FACE_OUTER_BOUND('',#42235,.T.); #23385=FACE_OUTER_BOUND('',#42236,.T.); #23386=FACE_OUTER_BOUND('',#42237,.T.); #23387=FACE_OUTER_BOUND('',#42238,.T.); #23388=FACE_OUTER_BOUND('',#42239,.T.); #23389=FACE_OUTER_BOUND('',#42240,.T.); #23390=FACE_OUTER_BOUND('',#42241,.T.); #23391=FACE_OUTER_BOUND('',#42242,.T.); #23392=FACE_OUTER_BOUND('',#42243,.T.); #23393=FACE_OUTER_BOUND('',#42244,.T.); #23394=FACE_OUTER_BOUND('',#42245,.T.); #23395=FACE_OUTER_BOUND('',#42246,.T.); #23396=FACE_OUTER_BOUND('',#42247,.T.); #23397=FACE_OUTER_BOUND('',#42248,.T.); #23398=FACE_OUTER_BOUND('',#42249,.T.); #23399=FACE_OUTER_BOUND('',#42250,.T.); #23400=FACE_OUTER_BOUND('',#42251,.T.); #23401=FACE_OUTER_BOUND('',#42252,.T.); #23402=FACE_OUTER_BOUND('',#42254,.T.); #23403=FACE_OUTER_BOUND('',#42256,.T.); #23404=FACE_OUTER_BOUND('',#42257,.T.); #23405=FACE_OUTER_BOUND('',#42258,.T.); #23406=FACE_OUTER_BOUND('',#42259,.T.); #23407=FACE_OUTER_BOUND('',#42260,.T.); #23408=FACE_OUTER_BOUND('',#42261,.T.); #23409=FACE_OUTER_BOUND('',#42262,.T.); #23410=FACE_OUTER_BOUND('',#42263,.T.); #23411=FACE_OUTER_BOUND('',#42264,.T.); #23412=FACE_OUTER_BOUND('',#42265,.T.); #23413=FACE_OUTER_BOUND('',#42266,.T.); #23414=FACE_OUTER_BOUND('',#42267,.T.); #23415=FACE_OUTER_BOUND('',#42268,.T.); #23416=FACE_OUTER_BOUND('',#42269,.T.); #23417=FACE_OUTER_BOUND('',#42270,.T.); #23418=FACE_OUTER_BOUND('',#42271,.T.); #23419=FACE_OUTER_BOUND('',#42272,.T.); #23420=FACE_OUTER_BOUND('',#42273,.T.); #23421=FACE_OUTER_BOUND('',#42274,.T.); #23422=FACE_OUTER_BOUND('',#42275,.T.); #23423=FACE_OUTER_BOUND('',#42276,.T.); #23424=FACE_OUTER_BOUND('',#42277,.T.); #23425=FACE_OUTER_BOUND('',#42278,.T.); #23426=FACE_OUTER_BOUND('',#42279,.T.); #23427=FACE_OUTER_BOUND('',#42280,.T.); #23428=FACE_OUTER_BOUND('',#42281,.T.); #23429=FACE_OUTER_BOUND('',#42282,.T.); #23430=FACE_OUTER_BOUND('',#42283,.T.); #23431=FACE_OUTER_BOUND('',#42284,.T.); #23432=FACE_OUTER_BOUND('',#42285,.T.); #23433=FACE_OUTER_BOUND('',#42286,.T.); #23434=FACE_OUTER_BOUND('',#42287,.T.); #23435=FACE_OUTER_BOUND('',#42288,.T.); #23436=FACE_OUTER_BOUND('',#42289,.T.); #23437=FACE_OUTER_BOUND('',#42290,.T.); #23438=FACE_OUTER_BOUND('',#42291,.T.); #23439=FACE_OUTER_BOUND('',#42292,.T.); #23440=FACE_OUTER_BOUND('',#42293,.T.); #23441=FACE_OUTER_BOUND('',#42294,.T.); #23442=FACE_OUTER_BOUND('',#42295,.T.); #23443=FACE_OUTER_BOUND('',#42296,.T.); #23444=FACE_OUTER_BOUND('',#42297,.T.); #23445=FACE_OUTER_BOUND('',#42298,.T.); #23446=FACE_OUTER_BOUND('',#42299,.T.); #23447=FACE_OUTER_BOUND('',#42300,.T.); #23448=FACE_OUTER_BOUND('',#42301,.T.); #23449=FACE_OUTER_BOUND('',#42302,.T.); #23450=FACE_OUTER_BOUND('',#42303,.T.); #23451=FACE_OUTER_BOUND('',#42304,.T.); #23452=FACE_OUTER_BOUND('',#42305,.T.); #23453=FACE_OUTER_BOUND('',#42306,.T.); #23454=FACE_OUTER_BOUND('',#42307,.T.); #23455=FACE_OUTER_BOUND('',#42308,.T.); #23456=FACE_OUTER_BOUND('',#42309,.T.); #23457=FACE_OUTER_BOUND('',#42311,.T.); #23458=FACE_OUTER_BOUND('',#42313,.T.); #23459=FACE_OUTER_BOUND('',#42314,.T.); #23460=FACE_OUTER_BOUND('',#42315,.T.); #23461=FACE_OUTER_BOUND('',#42316,.T.); #23462=FACE_OUTER_BOUND('',#42317,.T.); #23463=FACE_OUTER_BOUND('',#42318,.T.); #23464=FACE_OUTER_BOUND('',#42319,.T.); #23465=FACE_OUTER_BOUND('',#42320,.T.); #23466=FACE_OUTER_BOUND('',#42321,.T.); #23467=FACE_OUTER_BOUND('',#42322,.T.); #23468=FACE_OUTER_BOUND('',#42323,.T.); #23469=FACE_OUTER_BOUND('',#42324,.T.); #23470=FACE_OUTER_BOUND('',#42325,.T.); #23471=FACE_OUTER_BOUND('',#42327,.T.); #23472=FACE_OUTER_BOUND('',#42329,.T.); #23473=FACE_OUTER_BOUND('',#42330,.T.); #23474=FACE_OUTER_BOUND('',#42331,.T.); #23475=FACE_OUTER_BOUND('',#42332,.T.); #23476=FACE_OUTER_BOUND('',#42333,.T.); #23477=FACE_OUTER_BOUND('',#42334,.T.); #23478=FACE_OUTER_BOUND('',#42335,.T.); #23479=FACE_OUTER_BOUND('',#42336,.T.); #23480=FACE_OUTER_BOUND('',#42337,.T.); #23481=FACE_OUTER_BOUND('',#42338,.T.); #23482=FACE_OUTER_BOUND('',#42339,.T.); #23483=FACE_OUTER_BOUND('',#42340,.T.); #23484=FACE_OUTER_BOUND('',#42341,.T.); #23485=FACE_OUTER_BOUND('',#42342,.T.); #23486=FACE_OUTER_BOUND('',#42343,.T.); #23487=FACE_OUTER_BOUND('',#42344,.T.); #23488=FACE_OUTER_BOUND('',#42345,.T.); #23489=FACE_OUTER_BOUND('',#42346,.T.); #23490=FACE_OUTER_BOUND('',#42347,.T.); #23491=FACE_OUTER_BOUND('',#42348,.T.); #23492=FACE_OUTER_BOUND('',#42349,.T.); #23493=FACE_OUTER_BOUND('',#42350,.T.); #23494=FACE_OUTER_BOUND('',#42351,.T.); #23495=FACE_OUTER_BOUND('',#42352,.T.); #23496=FACE_OUTER_BOUND('',#42353,.T.); #23497=FACE_OUTER_BOUND('',#42354,.T.); #23498=FACE_OUTER_BOUND('',#42355,.T.); #23499=FACE_OUTER_BOUND('',#42356,.T.); #23500=FACE_OUTER_BOUND('',#42357,.T.); #23501=FACE_OUTER_BOUND('',#42358,.T.); #23502=FACE_OUTER_BOUND('',#42359,.T.); #23503=FACE_OUTER_BOUND('',#42360,.T.); #23504=FACE_OUTER_BOUND('',#42361,.T.); #23505=FACE_OUTER_BOUND('',#42362,.T.); #23506=FACE_OUTER_BOUND('',#42363,.T.); #23507=FACE_OUTER_BOUND('',#42364,.T.); #23508=FACE_OUTER_BOUND('',#42365,.T.); #23509=FACE_OUTER_BOUND('',#42366,.T.); #23510=FACE_OUTER_BOUND('',#42367,.T.); #23511=FACE_OUTER_BOUND('',#42368,.T.); #23512=FACE_OUTER_BOUND('',#42369,.T.); #23513=FACE_OUTER_BOUND('',#42370,.T.); #23514=FACE_OUTER_BOUND('',#42371,.T.); #23515=FACE_OUTER_BOUND('',#42372,.T.); #23516=FACE_OUTER_BOUND('',#42373,.T.); #23517=FACE_OUTER_BOUND('',#42374,.T.); #23518=FACE_OUTER_BOUND('',#42375,.T.); #23519=FACE_OUTER_BOUND('',#42376,.T.); #23520=FACE_OUTER_BOUND('',#42377,.T.); #23521=FACE_OUTER_BOUND('',#42378,.T.); #23522=FACE_OUTER_BOUND('',#42379,.T.); #23523=FACE_OUTER_BOUND('',#42380,.T.); #23524=FACE_OUTER_BOUND('',#42381,.T.); #23525=FACE_OUTER_BOUND('',#42382,.T.); #23526=FACE_OUTER_BOUND('',#42383,.T.); #23527=FACE_OUTER_BOUND('',#42384,.T.); #23528=FACE_OUTER_BOUND('',#42385,.T.); #23529=FACE_OUTER_BOUND('',#42386,.T.); #23530=FACE_OUTER_BOUND('',#42387,.T.); #23531=FACE_OUTER_BOUND('',#42388,.T.); #23532=FACE_OUTER_BOUND('',#42389,.T.); #23533=FACE_OUTER_BOUND('',#42390,.T.); #23534=FACE_OUTER_BOUND('',#42391,.T.); #23535=FACE_OUTER_BOUND('',#42392,.T.); #23536=FACE_OUTER_BOUND('',#42393,.T.); #23537=FACE_OUTER_BOUND('',#42394,.T.); #23538=FACE_OUTER_BOUND('',#42395,.T.); #23539=FACE_OUTER_BOUND('',#42396,.T.); #23540=FACE_OUTER_BOUND('',#42397,.T.); #23541=FACE_OUTER_BOUND('',#42398,.T.); #23542=FACE_OUTER_BOUND('',#42399,.T.); #23543=FACE_OUTER_BOUND('',#42400,.T.); #23544=FACE_OUTER_BOUND('',#42401,.T.); #23545=FACE_OUTER_BOUND('',#42402,.T.); #23546=FACE_OUTER_BOUND('',#42403,.T.); #23547=FACE_OUTER_BOUND('',#42404,.T.); #23548=FACE_OUTER_BOUND('',#42405,.T.); #23549=FACE_OUTER_BOUND('',#42406,.T.); #23550=FACE_OUTER_BOUND('',#42407,.T.); #23551=FACE_OUTER_BOUND('',#42408,.T.); #23552=FACE_OUTER_BOUND('',#42409,.T.); #23553=FACE_OUTER_BOUND('',#42410,.T.); #23554=FACE_OUTER_BOUND('',#42411,.T.); #23555=FACE_OUTER_BOUND('',#42412,.T.); #23556=FACE_OUTER_BOUND('',#42413,.T.); #23557=FACE_OUTER_BOUND('',#42414,.T.); #23558=FACE_OUTER_BOUND('',#42415,.T.); #23559=FACE_OUTER_BOUND('',#42416,.T.); #23560=FACE_OUTER_BOUND('',#42417,.T.); #23561=FACE_OUTER_BOUND('',#42418,.T.); #23562=FACE_OUTER_BOUND('',#42419,.T.); #23563=FACE_OUTER_BOUND('',#42420,.T.); #23564=FACE_OUTER_BOUND('',#42421,.T.); #23565=FACE_OUTER_BOUND('',#42422,.T.); #23566=FACE_OUTER_BOUND('',#42423,.T.); #23567=FACE_OUTER_BOUND('',#42424,.T.); #23568=FACE_OUTER_BOUND('',#42425,.T.); #23569=FACE_OUTER_BOUND('',#42426,.T.); #23570=FACE_OUTER_BOUND('',#42427,.T.); #23571=FACE_OUTER_BOUND('',#42428,.T.); #23572=FACE_OUTER_BOUND('',#42429,.T.); #23573=FACE_OUTER_BOUND('',#42430,.T.); #23574=FACE_OUTER_BOUND('',#42431,.T.); #23575=FACE_OUTER_BOUND('',#42432,.T.); #23576=FACE_OUTER_BOUND('',#42433,.T.); #23577=FACE_OUTER_BOUND('',#42434,.T.); #23578=FACE_OUTER_BOUND('',#42435,.T.); #23579=FACE_OUTER_BOUND('',#42436,.T.); #23580=FACE_OUTER_BOUND('',#42437,.T.); #23581=FACE_OUTER_BOUND('',#42438,.T.); #23582=FACE_OUTER_BOUND('',#42439,.T.); #23583=FACE_OUTER_BOUND('',#42440,.T.); #23584=FACE_OUTER_BOUND('',#42441,.T.); #23585=FACE_OUTER_BOUND('',#42442,.T.); #23586=FACE_OUTER_BOUND('',#42443,.T.); #23587=FACE_OUTER_BOUND('',#42444,.T.); #23588=FACE_OUTER_BOUND('',#42445,.T.); #23589=FACE_OUTER_BOUND('',#42446,.T.); #23590=FACE_OUTER_BOUND('',#42447,.T.); #23591=FACE_OUTER_BOUND('',#42448,.T.); #23592=FACE_OUTER_BOUND('',#42449,.T.); #23593=FACE_OUTER_BOUND('',#42450,.T.); #23594=FACE_OUTER_BOUND('',#42451,.T.); #23595=FACE_OUTER_BOUND('',#42453,.T.); #23596=FACE_OUTER_BOUND('',#42455,.T.); #23597=FACE_OUTER_BOUND('',#42456,.T.); #23598=FACE_OUTER_BOUND('',#42457,.T.); #23599=FACE_OUTER_BOUND('',#42458,.T.); #23600=FACE_OUTER_BOUND('',#42459,.T.); #23601=FACE_OUTER_BOUND('',#42460,.T.); #23602=FACE_OUTER_BOUND('',#42461,.T.); #23603=FACE_OUTER_BOUND('',#42462,.T.); #23604=FACE_OUTER_BOUND('',#42463,.T.); #23605=FACE_OUTER_BOUND('',#42464,.T.); #23606=FACE_OUTER_BOUND('',#42465,.T.); #23607=FACE_OUTER_BOUND('',#42466,.T.); #23608=FACE_OUTER_BOUND('',#42467,.T.); #23609=FACE_OUTER_BOUND('',#42468,.T.); #23610=FACE_OUTER_BOUND('',#42469,.T.); #23611=FACE_OUTER_BOUND('',#42470,.T.); #23612=FACE_OUTER_BOUND('',#42471,.T.); #23613=FACE_OUTER_BOUND('',#42472,.T.); #23614=FACE_OUTER_BOUND('',#42474,.T.); #23615=FACE_OUTER_BOUND('',#42476,.T.); #23616=FACE_OUTER_BOUND('',#42477,.T.); #23617=FACE_OUTER_BOUND('',#42478,.T.); #23618=FACE_OUTER_BOUND('',#42479,.T.); #23619=FACE_OUTER_BOUND('',#42480,.T.); #23620=FACE_OUTER_BOUND('',#42481,.T.); #23621=FACE_OUTER_BOUND('',#42482,.T.); #23622=FACE_OUTER_BOUND('',#42483,.T.); #23623=FACE_OUTER_BOUND('',#42484,.T.); #23624=FACE_OUTER_BOUND('',#42485,.T.); #23625=FACE_OUTER_BOUND('',#42486,.T.); #23626=FACE_OUTER_BOUND('',#42487,.T.); #23627=FACE_OUTER_BOUND('',#42488,.T.); #23628=FACE_OUTER_BOUND('',#42489,.T.); #23629=FACE_OUTER_BOUND('',#42490,.T.); #23630=FACE_OUTER_BOUND('',#42492,.T.); #23631=FACE_OUTER_BOUND('',#42494,.T.); #23632=FACE_OUTER_BOUND('',#42495,.T.); #23633=FACE_OUTER_BOUND('',#42496,.T.); #23634=FACE_OUTER_BOUND('',#42497,.T.); #23635=FACE_OUTER_BOUND('',#42498,.T.); #23636=FACE_OUTER_BOUND('',#42499,.T.); #23637=FACE_OUTER_BOUND('',#42500,.T.); #23638=FACE_OUTER_BOUND('',#42501,.T.); #23639=FACE_OUTER_BOUND('',#42502,.T.); #23640=FACE_OUTER_BOUND('',#42503,.T.); #23641=FACE_OUTER_BOUND('',#42504,.T.); #23642=FACE_OUTER_BOUND('',#42505,.T.); #23643=FACE_OUTER_BOUND('',#42506,.T.); #23644=FACE_OUTER_BOUND('',#42507,.T.); #23645=FACE_OUTER_BOUND('',#42508,.T.); #23646=FACE_OUTER_BOUND('',#42509,.T.); #23647=FACE_OUTER_BOUND('',#42510,.T.); #23648=FACE_OUTER_BOUND('',#42511,.T.); #23649=FACE_OUTER_BOUND('',#42512,.T.); #23650=FACE_OUTER_BOUND('',#42513,.T.); #23651=FACE_OUTER_BOUND('',#42515,.T.); #23652=FACE_OUTER_BOUND('',#42517,.T.); #23653=FACE_OUTER_BOUND('',#42518,.T.); #23654=FACE_OUTER_BOUND('',#42519,.T.); #23655=FACE_OUTER_BOUND('',#42520,.T.); #23656=FACE_OUTER_BOUND('',#42521,.T.); #23657=FACE_OUTER_BOUND('',#42522,.T.); #23658=FACE_OUTER_BOUND('',#42523,.T.); #23659=FACE_OUTER_BOUND('',#42524,.T.); #23660=FACE_OUTER_BOUND('',#42525,.T.); #23661=FACE_OUTER_BOUND('',#42526,.T.); #23662=FACE_OUTER_BOUND('',#42527,.T.); #23663=FACE_OUTER_BOUND('',#42528,.T.); #23664=FACE_OUTER_BOUND('',#42529,.T.); #23665=FACE_OUTER_BOUND('',#42530,.T.); #23666=FACE_OUTER_BOUND('',#42531,.T.); #23667=FACE_OUTER_BOUND('',#42533,.T.); #23668=FACE_OUTER_BOUND('',#42535,.T.); #23669=FACE_OUTER_BOUND('',#42536,.T.); #23670=FACE_OUTER_BOUND('',#42537,.T.); #23671=FACE_OUTER_BOUND('',#42538,.T.); #23672=FACE_OUTER_BOUND('',#42539,.T.); #23673=FACE_OUTER_BOUND('',#42540,.T.); #23674=FACE_OUTER_BOUND('',#42541,.T.); #23675=FACE_OUTER_BOUND('',#42542,.T.); #23676=FACE_OUTER_BOUND('',#42543,.T.); #23677=FACE_OUTER_BOUND('',#42544,.T.); #23678=FACE_OUTER_BOUND('',#42545,.T.); #23679=FACE_OUTER_BOUND('',#42546,.T.); #23680=FACE_OUTER_BOUND('',#42547,.T.); #23681=FACE_OUTER_BOUND('',#42548,.T.); #23682=FACE_OUTER_BOUND('',#42549,.T.); #23683=FACE_OUTER_BOUND('',#42550,.T.); #23684=FACE_OUTER_BOUND('',#42551,.T.); #23685=FACE_OUTER_BOUND('',#42552,.T.); #23686=FACE_OUTER_BOUND('',#42553,.T.); #23687=FACE_OUTER_BOUND('',#42554,.T.); #23688=FACE_OUTER_BOUND('',#42556,.T.); #23689=FACE_OUTER_BOUND('',#42558,.T.); #23690=FACE_OUTER_BOUND('',#42559,.T.); #23691=FACE_OUTER_BOUND('',#42560,.T.); #23692=FACE_OUTER_BOUND('',#42561,.T.); #23693=FACE_OUTER_BOUND('',#42562,.T.); #23694=FACE_OUTER_BOUND('',#42563,.T.); #23695=FACE_OUTER_BOUND('',#42564,.T.); #23696=FACE_OUTER_BOUND('',#42565,.T.); #23697=FACE_OUTER_BOUND('',#42566,.T.); #23698=FACE_OUTER_BOUND('',#42567,.T.); #23699=FACE_OUTER_BOUND('',#42568,.T.); #23700=FACE_OUTER_BOUND('',#42569,.T.); #23701=FACE_OUTER_BOUND('',#42570,.T.); #23702=FACE_OUTER_BOUND('',#42572,.T.); #23703=FACE_OUTER_BOUND('',#42574,.T.); #23704=FACE_OUTER_BOUND('',#42575,.T.); #23705=FACE_OUTER_BOUND('',#42576,.T.); #23706=FACE_OUTER_BOUND('',#42577,.T.); #23707=FACE_OUTER_BOUND('',#42578,.T.); #23708=FACE_OUTER_BOUND('',#42579,.T.); #23709=FACE_OUTER_BOUND('',#42580,.T.); #23710=FACE_OUTER_BOUND('',#42581,.T.); #23711=FACE_OUTER_BOUND('',#42582,.T.); #23712=FACE_OUTER_BOUND('',#42583,.T.); #23713=FACE_OUTER_BOUND('',#42584,.T.); #23714=FACE_OUTER_BOUND('',#42585,.T.); #23715=FACE_OUTER_BOUND('',#42586,.T.); #23716=FACE_OUTER_BOUND('',#42588,.T.); #23717=FACE_OUTER_BOUND('',#42590,.T.); #23718=FACE_OUTER_BOUND('',#42591,.T.); #23719=FACE_OUTER_BOUND('',#42592,.T.); #23720=FACE_OUTER_BOUND('',#42593,.T.); #23721=FACE_OUTER_BOUND('',#42594,.T.); #23722=FACE_OUTER_BOUND('',#42595,.T.); #23723=FACE_OUTER_BOUND('',#42596,.T.); #23724=FACE_OUTER_BOUND('',#42597,.T.); #23725=FACE_OUTER_BOUND('',#42598,.T.); #23726=FACE_OUTER_BOUND('',#42599,.T.); #23727=FACE_OUTER_BOUND('',#42600,.T.); #23728=FACE_OUTER_BOUND('',#42601,.T.); #23729=FACE_OUTER_BOUND('',#42602,.T.); #23730=FACE_OUTER_BOUND('',#42603,.T.); #23731=FACE_OUTER_BOUND('',#42604,.T.); #23732=FACE_OUTER_BOUND('',#42605,.T.); #23733=FACE_OUTER_BOUND('',#42606,.T.); #23734=FACE_OUTER_BOUND('',#42607,.T.); #23735=FACE_OUTER_BOUND('',#42608,.T.); #23736=FACE_OUTER_BOUND('',#42609,.T.); #23737=FACE_OUTER_BOUND('',#42610,.T.); #23738=FACE_OUTER_BOUND('',#42611,.T.); #23739=FACE_OUTER_BOUND('',#42612,.T.); #23740=FACE_OUTER_BOUND('',#42613,.T.); #23741=FACE_OUTER_BOUND('',#42614,.T.); #23742=FACE_OUTER_BOUND('',#42616,.T.); #23743=FACE_OUTER_BOUND('',#42618,.T.); #23744=FACE_OUTER_BOUND('',#42619,.T.); #23745=FACE_OUTER_BOUND('',#42620,.T.); #23746=FACE_OUTER_BOUND('',#42621,.T.); #23747=FACE_OUTER_BOUND('',#42622,.T.); #23748=FACE_OUTER_BOUND('',#42623,.T.); #23749=FACE_OUTER_BOUND('',#42624,.T.); #23750=FACE_OUTER_BOUND('',#42625,.T.); #23751=FACE_OUTER_BOUND('',#42626,.T.); #23752=FACE_OUTER_BOUND('',#42627,.T.); #23753=FACE_OUTER_BOUND('',#42628,.T.); #23754=FACE_OUTER_BOUND('',#42629,.T.); #23755=FACE_OUTER_BOUND('',#42630,.T.); #23756=FACE_OUTER_BOUND('',#42631,.T.); #23757=FACE_OUTER_BOUND('',#42632,.T.); #23758=FACE_OUTER_BOUND('',#42633,.T.); #23759=FACE_OUTER_BOUND('',#42634,.T.); #23760=FACE_OUTER_BOUND('',#42635,.T.); #23761=FACE_OUTER_BOUND('',#42636,.T.); #23762=FACE_OUTER_BOUND('',#42638,.T.); #23763=FACE_OUTER_BOUND('',#42640,.T.); #23764=FACE_OUTER_BOUND('',#42641,.T.); #23765=FACE_OUTER_BOUND('',#42642,.T.); #23766=FACE_OUTER_BOUND('',#42643,.T.); #23767=FACE_OUTER_BOUND('',#42644,.T.); #23768=FACE_OUTER_BOUND('',#42645,.T.); #23769=FACE_OUTER_BOUND('',#42646,.T.); #23770=FACE_OUTER_BOUND('',#42647,.T.); #23771=FACE_OUTER_BOUND('',#42648,.T.); #23772=FACE_OUTER_BOUND('',#42649,.T.); #23773=FACE_OUTER_BOUND('',#42650,.T.); #23774=FACE_OUTER_BOUND('',#42651,.T.); #23775=FACE_OUTER_BOUND('',#42652,.T.); #23776=FACE_OUTER_BOUND('',#42653,.T.); #23777=FACE_OUTER_BOUND('',#42654,.T.); #23778=FACE_OUTER_BOUND('',#42655,.T.); #23779=FACE_OUTER_BOUND('',#42656,.T.); #23780=FACE_OUTER_BOUND('',#42657,.T.); #23781=FACE_OUTER_BOUND('',#42658,.T.); #23782=FACE_OUTER_BOUND('',#42659,.T.); #23783=FACE_OUTER_BOUND('',#42660,.T.); #23784=FACE_OUTER_BOUND('',#42661,.T.); #23785=FACE_OUTER_BOUND('',#42662,.T.); #23786=FACE_OUTER_BOUND('',#42663,.T.); #23787=FACE_OUTER_BOUND('',#42664,.T.); #23788=FACE_OUTER_BOUND('',#42666,.T.); #23789=FACE_OUTER_BOUND('',#42668,.T.); #23790=FACE_OUTER_BOUND('',#42669,.T.); #23791=FACE_OUTER_BOUND('',#42670,.T.); #23792=FACE_OUTER_BOUND('',#42671,.T.); #23793=FACE_OUTER_BOUND('',#42672,.T.); #23794=FACE_OUTER_BOUND('',#42673,.T.); #23795=FACE_OUTER_BOUND('',#42674,.T.); #23796=FACE_OUTER_BOUND('',#42675,.T.); #23797=FACE_OUTER_BOUND('',#42676,.T.); #23798=FACE_OUTER_BOUND('',#42677,.T.); #23799=FACE_OUTER_BOUND('',#42678,.T.); #23800=FACE_OUTER_BOUND('',#42679,.T.); #23801=FACE_OUTER_BOUND('',#42680,.T.); #23802=FACE_OUTER_BOUND('',#42681,.T.); #23803=FACE_OUTER_BOUND('',#42682,.T.); #23804=FACE_OUTER_BOUND('',#42683,.T.); #23805=FACE_OUTER_BOUND('',#42684,.T.); #23806=FACE_OUTER_BOUND('',#42685,.T.); #23807=FACE_OUTER_BOUND('',#42686,.T.); #23808=FACE_OUTER_BOUND('',#42687,.T.); #23809=FACE_OUTER_BOUND('',#42688,.T.); #23810=FACE_OUTER_BOUND('',#42689,.T.); #23811=FACE_OUTER_BOUND('',#42690,.T.); #23812=FACE_OUTER_BOUND('',#42691,.T.); #23813=FACE_OUTER_BOUND('',#42692,.T.); #23814=FACE_OUTER_BOUND('',#42693,.T.); #23815=FACE_OUTER_BOUND('',#42694,.T.); #23816=FACE_OUTER_BOUND('',#42695,.T.); #23817=FACE_OUTER_BOUND('',#42696,.T.); #23818=FACE_OUTER_BOUND('',#42697,.T.); #23819=FACE_OUTER_BOUND('',#42698,.T.); #23820=FACE_OUTER_BOUND('',#42699,.T.); #23821=FACE_OUTER_BOUND('',#42700,.T.); #23822=FACE_OUTER_BOUND('',#42701,.T.); #23823=FACE_OUTER_BOUND('',#42702,.T.); #23824=FACE_OUTER_BOUND('',#42703,.T.); #23825=FACE_OUTER_BOUND('',#42704,.T.); #23826=FACE_OUTER_BOUND('',#42707,.T.); #23827=FACE_OUTER_BOUND('',#42710,.T.); #23828=FACE_OUTER_BOUND('',#42711,.T.); #23829=FACE_OUTER_BOUND('',#42712,.T.); #23830=FACE_OUTER_BOUND('',#42713,.T.); #23831=FACE_OUTER_BOUND('',#42714,.T.); #23832=FACE_OUTER_BOUND('',#42715,.T.); #23833=FACE_OUTER_BOUND('',#42717,.T.); #23834=FACE_OUTER_BOUND('',#42719,.T.); #23835=FACE_OUTER_BOUND('',#42720,.T.); #23836=FACE_OUTER_BOUND('',#42721,.T.); #23837=FACE_OUTER_BOUND('',#42722,.T.); #23838=FACE_OUTER_BOUND('',#42723,.T.); #23839=FACE_OUTER_BOUND('',#42724,.T.); #23840=FACE_OUTER_BOUND('',#42726,.T.); #23841=FACE_OUTER_BOUND('',#42728,.T.); #23842=FACE_OUTER_BOUND('',#42729,.T.); #23843=FACE_OUTER_BOUND('',#42730,.T.); #23844=FACE_OUTER_BOUND('',#42731,.T.); #23845=FACE_OUTER_BOUND('',#42732,.T.); #23846=FACE_OUTER_BOUND('',#42733,.T.); #23847=FACE_OUTER_BOUND('',#42734,.T.); #23848=FACE_OUTER_BOUND('',#42735,.T.); #23849=FACE_OUTER_BOUND('',#42736,.T.); #23850=FACE_OUTER_BOUND('',#42737,.T.); #23851=FACE_OUTER_BOUND('',#42738,.T.); #23852=FACE_OUTER_BOUND('',#42739,.T.); #23853=FACE_OUTER_BOUND('',#42740,.T.); #23854=FACE_OUTER_BOUND('',#42741,.T.); #23855=FACE_OUTER_BOUND('',#42742,.T.); #23856=FACE_OUTER_BOUND('',#42743,.T.); #23857=FACE_OUTER_BOUND('',#42744,.T.); #23858=FACE_OUTER_BOUND('',#42745,.T.); #23859=FACE_OUTER_BOUND('',#42746,.T.); #23860=FACE_OUTER_BOUND('',#42747,.T.); #23861=FACE_OUTER_BOUND('',#42748,.T.); #23862=FACE_OUTER_BOUND('',#42749,.T.); #23863=FACE_OUTER_BOUND('',#42750,.T.); #23864=FACE_OUTER_BOUND('',#42751,.T.); #23865=FACE_OUTER_BOUND('',#42752,.T.); #23866=FACE_OUTER_BOUND('',#42753,.T.); #23867=FACE_OUTER_BOUND('',#42754,.T.); #23868=FACE_OUTER_BOUND('',#42755,.T.); #23869=FACE_OUTER_BOUND('',#42756,.T.); #23870=FACE_OUTER_BOUND('',#42757,.T.); #23871=FACE_OUTER_BOUND('',#42758,.T.); #23872=FACE_OUTER_BOUND('',#42759,.T.); #23873=FACE_OUTER_BOUND('',#42760,.T.); #23874=FACE_OUTER_BOUND('',#42761,.T.); #23875=FACE_OUTER_BOUND('',#42762,.T.); #23876=FACE_OUTER_BOUND('',#42763,.T.); #23877=FACE_OUTER_BOUND('',#42764,.T.); #23878=FACE_OUTER_BOUND('',#42765,.T.); #23879=FACE_OUTER_BOUND('',#42766,.T.); #23880=FACE_OUTER_BOUND('',#42767,.T.); #23881=FACE_OUTER_BOUND('',#42768,.T.); #23882=FACE_OUTER_BOUND('',#42769,.T.); #23883=FACE_OUTER_BOUND('',#42770,.T.); #23884=FACE_OUTER_BOUND('',#42771,.T.); #23885=FACE_OUTER_BOUND('',#42772,.T.); #23886=FACE_OUTER_BOUND('',#42774,.T.); #23887=FACE_OUTER_BOUND('',#42776,.T.); #23888=FACE_OUTER_BOUND('',#42777,.T.); #23889=FACE_OUTER_BOUND('',#42778,.T.); #23890=FACE_OUTER_BOUND('',#42779,.T.); #23891=FACE_OUTER_BOUND('',#42780,.T.); #23892=FACE_OUTER_BOUND('',#42781,.T.); #23893=FACE_OUTER_BOUND('',#42782,.T.); #23894=FACE_OUTER_BOUND('',#42783,.T.); #23895=FACE_OUTER_BOUND('',#42784,.T.); #23896=FACE_OUTER_BOUND('',#42785,.T.); #23897=FACE_OUTER_BOUND('',#42786,.T.); #23898=FACE_OUTER_BOUND('',#42787,.T.); #23899=FACE_OUTER_BOUND('',#42788,.T.); #23900=FACE_OUTER_BOUND('',#42789,.T.); #23901=FACE_OUTER_BOUND('',#42790,.T.); #23902=FACE_OUTER_BOUND('',#42791,.T.); #23903=FACE_OUTER_BOUND('',#42792,.T.); #23904=FACE_OUTER_BOUND('',#42793,.T.); #23905=FACE_OUTER_BOUND('',#42794,.T.); #23906=FACE_OUTER_BOUND('',#42795,.T.); #23907=FACE_OUTER_BOUND('',#42796,.T.); #23908=FACE_OUTER_BOUND('',#42797,.T.); #23909=FACE_OUTER_BOUND('',#42798,.T.); #23910=FACE_OUTER_BOUND('',#42800,.T.); #23911=FACE_OUTER_BOUND('',#42802,.T.); #23912=FACE_OUTER_BOUND('',#42803,.T.); #23913=FACE_OUTER_BOUND('',#42804,.T.); #23914=FACE_OUTER_BOUND('',#42805,.T.); #23915=FACE_OUTER_BOUND('',#42806,.T.); #23916=FACE_OUTER_BOUND('',#42807,.T.); #23917=FACE_OUTER_BOUND('',#42809,.T.); #23918=FACE_OUTER_BOUND('',#42811,.T.); #23919=FACE_OUTER_BOUND('',#42812,.T.); #23920=FACE_OUTER_BOUND('',#42813,.T.); #23921=FACE_OUTER_BOUND('',#42814,.T.); #23922=FACE_OUTER_BOUND('',#42815,.T.); #23923=FACE_OUTER_BOUND('',#42816,.T.); #23924=FACE_OUTER_BOUND('',#42818,.T.); #23925=FACE_OUTER_BOUND('',#42820,.T.); #23926=FACE_OUTER_BOUND('',#42821,.T.); #23927=FACE_OUTER_BOUND('',#42822,.T.); #23928=FACE_OUTER_BOUND('',#42823,.T.); #23929=FACE_OUTER_BOUND('',#42824,.T.); #23930=FACE_OUTER_BOUND('',#42825,.T.); #23931=FACE_OUTER_BOUND('',#42826,.T.); #23932=FACE_OUTER_BOUND('',#42827,.T.); #23933=FACE_OUTER_BOUND('',#42828,.T.); #23934=FACE_OUTER_BOUND('',#42829,.T.); #23935=FACE_OUTER_BOUND('',#42830,.T.); #23936=FACE_OUTER_BOUND('',#42831,.T.); #23937=FACE_OUTER_BOUND('',#42832,.T.); #23938=FACE_OUTER_BOUND('',#42833,.T.); #23939=FACE_OUTER_BOUND('',#42834,.T.); #23940=FACE_OUTER_BOUND('',#42835,.T.); #23941=FACE_OUTER_BOUND('',#42837,.T.); #23942=FACE_OUTER_BOUND('',#42839,.T.); #23943=FACE_OUTER_BOUND('',#42840,.T.); #23944=FACE_OUTER_BOUND('',#42841,.T.); #23945=FACE_OUTER_BOUND('',#42842,.T.); #23946=FACE_OUTER_BOUND('',#42843,.T.); #23947=FACE_OUTER_BOUND('',#42845,.T.); #23948=FACE_OUTER_BOUND('',#42847,.T.); #23949=FACE_OUTER_BOUND('',#42848,.T.); #23950=FACE_OUTER_BOUND('',#42849,.T.); #23951=FACE_OUTER_BOUND('',#42850,.T.); #23952=FACE_OUTER_BOUND('',#42851,.T.); #23953=FACE_OUTER_BOUND('',#42852,.T.); #23954=FACE_OUTER_BOUND('',#42853,.T.); #23955=FACE_OUTER_BOUND('',#42854,.T.); #23956=FACE_OUTER_BOUND('',#42855,.T.); #23957=FACE_OUTER_BOUND('',#42856,.T.); #23958=FACE_OUTER_BOUND('',#42859,.T.); #23959=FACE_OUTER_BOUND('',#42862,.T.); #23960=FACE_OUTER_BOUND('',#42863,.T.); #23961=FACE_OUTER_BOUND('',#42864,.T.); #23962=FACE_OUTER_BOUND('',#42865,.T.); #23963=FACE_OUTER_BOUND('',#42866,.T.); #23964=FACE_OUTER_BOUND('',#42868,.T.); #23965=FACE_OUTER_BOUND('',#42870,.T.); #23966=FACE_OUTER_BOUND('',#42871,.T.); #23967=FACE_OUTER_BOUND('',#42872,.T.); #23968=FACE_OUTER_BOUND('',#42873,.T.); #23969=FACE_OUTER_BOUND('',#42874,.T.); #23970=FACE_OUTER_BOUND('',#42875,.T.); #23971=FACE_OUTER_BOUND('',#42877,.T.); #23972=FACE_OUTER_BOUND('',#42879,.T.); #23973=FACE_OUTER_BOUND('',#42880,.T.); #23974=FACE_OUTER_BOUND('',#42881,.T.); #23975=FACE_OUTER_BOUND('',#42882,.T.); #23976=FACE_OUTER_BOUND('',#42883,.T.); #23977=FACE_OUTER_BOUND('',#42884,.T.); #23978=FACE_OUTER_BOUND('',#42885,.T.); #23979=FACE_OUTER_BOUND('',#42886,.T.); #23980=FACE_OUTER_BOUND('',#42887,.T.); #23981=FACE_OUTER_BOUND('',#42888,.T.); #23982=FACE_OUTER_BOUND('',#42890,.T.); #23983=FACE_OUTER_BOUND('',#42892,.T.); #23984=FACE_OUTER_BOUND('',#42893,.T.); #23985=FACE_OUTER_BOUND('',#42894,.T.); #23986=FACE_OUTER_BOUND('',#42895,.T.); #23987=FACE_OUTER_BOUND('',#42896,.T.); #23988=FACE_OUTER_BOUND('',#42898,.T.); #23989=FACE_OUTER_BOUND('',#42900,.T.); #23990=FACE_OUTER_BOUND('',#42901,.T.); #23991=FACE_OUTER_BOUND('',#42902,.T.); #23992=FACE_OUTER_BOUND('',#42903,.T.); #23993=FACE_OUTER_BOUND('',#42904,.T.); #23994=FACE_OUTER_BOUND('',#42905,.T.); #23995=FACE_OUTER_BOUND('',#42906,.T.); #23996=FACE_OUTER_BOUND('',#42907,.T.); #23997=FACE_OUTER_BOUND('',#42908,.T.); #23998=FACE_OUTER_BOUND('',#42909,.T.); #23999=FACE_OUTER_BOUND('',#42910,.T.); #24000=FACE_OUTER_BOUND('',#42911,.T.); #24001=FACE_OUTER_BOUND('',#42912,.T.); #24002=FACE_OUTER_BOUND('',#42913,.T.); #24003=FACE_OUTER_BOUND('',#42914,.T.); #24004=FACE_OUTER_BOUND('',#42915,.T.); #24005=FACE_OUTER_BOUND('',#42916,.T.); #24006=FACE_OUTER_BOUND('',#42917,.T.); #24007=FACE_OUTER_BOUND('',#42918,.T.); #24008=FACE_OUTER_BOUND('',#42919,.T.); #24009=FACE_OUTER_BOUND('',#42920,.T.); #24010=FACE_OUTER_BOUND('',#42921,.T.); #24011=FACE_OUTER_BOUND('',#42922,.T.); #24012=FACE_OUTER_BOUND('',#42923,.T.); #24013=FACE_OUTER_BOUND('',#42924,.T.); #24014=FACE_OUTER_BOUND('',#42925,.T.); #24015=FACE_OUTER_BOUND('',#42926,.T.); #24016=FACE_OUTER_BOUND('',#42927,.T.); #24017=FACE_OUTER_BOUND('',#42928,.T.); #24018=FACE_OUTER_BOUND('',#42929,.T.); #24019=FACE_OUTER_BOUND('',#42930,.T.); #24020=FACE_OUTER_BOUND('',#42931,.T.); #24021=FACE_OUTER_BOUND('',#42932,.T.); #24022=FACE_OUTER_BOUND('',#42933,.T.); #24023=FACE_OUTER_BOUND('',#42934,.T.); #24024=FACE_OUTER_BOUND('',#42935,.T.); #24025=FACE_OUTER_BOUND('',#42936,.T.); #24026=FACE_OUTER_BOUND('',#42937,.T.); #24027=FACE_OUTER_BOUND('',#42938,.T.); #24028=FACE_OUTER_BOUND('',#42939,.T.); #24029=FACE_OUTER_BOUND('',#42940,.T.); #24030=FACE_OUTER_BOUND('',#42941,.T.); #24031=FACE_OUTER_BOUND('',#42942,.T.); #24032=FACE_OUTER_BOUND('',#42943,.T.); #24033=FACE_OUTER_BOUND('',#42944,.T.); #24034=FACE_OUTER_BOUND('',#42946,.T.); #24035=FACE_OUTER_BOUND('',#42948,.T.); #24036=FACE_OUTER_BOUND('',#42949,.T.); #24037=FACE_OUTER_BOUND('',#42950,.T.); #24038=FACE_OUTER_BOUND('',#42951,.T.); #24039=FACE_OUTER_BOUND('',#42952,.T.); #24040=FACE_OUTER_BOUND('',#42953,.T.); #24041=FACE_OUTER_BOUND('',#42954,.T.); #24042=FACE_OUTER_BOUND('',#42955,.T.); #24043=FACE_OUTER_BOUND('',#42956,.T.); #24044=FACE_OUTER_BOUND('',#42957,.T.); #24045=FACE_OUTER_BOUND('',#42958,.T.); #24046=FACE_OUTER_BOUND('',#42959,.T.); #24047=FACE_OUTER_BOUND('',#42960,.T.); #24048=FACE_OUTER_BOUND('',#42961,.T.); #24049=FACE_OUTER_BOUND('',#42962,.T.); #24050=FACE_OUTER_BOUND('',#42963,.T.); #24051=FACE_OUTER_BOUND('',#42964,.T.); #24052=FACE_OUTER_BOUND('',#42965,.T.); #24053=FACE_OUTER_BOUND('',#42966,.T.); #24054=FACE_OUTER_BOUND('',#42967,.T.); #24055=FACE_OUTER_BOUND('',#42968,.T.); #24056=FACE_OUTER_BOUND('',#42969,.T.); #24057=FACE_OUTER_BOUND('',#42970,.T.); #24058=FACE_OUTER_BOUND('',#42972,.T.); #24059=FACE_OUTER_BOUND('',#42974,.T.); #24060=FACE_OUTER_BOUND('',#42975,.T.); #24061=FACE_OUTER_BOUND('',#42976,.T.); #24062=FACE_OUTER_BOUND('',#42977,.T.); #24063=FACE_OUTER_BOUND('',#42978,.T.); #24064=FACE_OUTER_BOUND('',#42979,.T.); #24065=FACE_OUTER_BOUND('',#42980,.T.); #24066=FACE_OUTER_BOUND('',#42981,.T.); #24067=FACE_OUTER_BOUND('',#42982,.T.); #24068=FACE_OUTER_BOUND('',#42983,.T.); #24069=FACE_OUTER_BOUND('',#42984,.T.); #24070=FACE_OUTER_BOUND('',#42985,.T.); #24071=FACE_OUTER_BOUND('',#42986,.T.); #24072=FACE_OUTER_BOUND('',#42987,.T.); #24073=FACE_OUTER_BOUND('',#42988,.T.); #24074=FACE_OUTER_BOUND('',#42989,.T.); #24075=FACE_OUTER_BOUND('',#42990,.T.); #24076=FACE_OUTER_BOUND('',#42991,.T.); #24077=FACE_OUTER_BOUND('',#42992,.T.); #24078=FACE_OUTER_BOUND('',#42993,.T.); #24079=FACE_OUTER_BOUND('',#42994,.T.); #24080=FACE_OUTER_BOUND('',#42995,.T.); #24081=FACE_OUTER_BOUND('',#42996,.T.); #24082=FACE_OUTER_BOUND('',#42997,.T.); #24083=FACE_OUTER_BOUND('',#42998,.T.); #24084=FACE_OUTER_BOUND('',#42999,.T.); #24085=FACE_OUTER_BOUND('',#43000,.T.); #24086=FACE_OUTER_BOUND('',#43001,.T.); #24087=FACE_OUTER_BOUND('',#43002,.T.); #24088=FACE_OUTER_BOUND('',#43003,.T.); #24089=FACE_OUTER_BOUND('',#43004,.T.); #24090=FACE_OUTER_BOUND('',#43005,.T.); #24091=FACE_OUTER_BOUND('',#43006,.T.); #24092=FACE_OUTER_BOUND('',#43007,.T.); #24093=FACE_OUTER_BOUND('',#43008,.T.); #24094=FACE_OUTER_BOUND('',#43009,.T.); #24095=FACE_OUTER_BOUND('',#43010,.T.); #24096=FACE_OUTER_BOUND('',#43011,.T.); #24097=FACE_OUTER_BOUND('',#43012,.T.); #24098=FACE_OUTER_BOUND('',#43013,.T.); #24099=FACE_OUTER_BOUND('',#43014,.T.); #24100=FACE_OUTER_BOUND('',#43015,.T.); #24101=FACE_OUTER_BOUND('',#43016,.T.); #24102=FACE_OUTER_BOUND('',#43017,.T.); #24103=FACE_OUTER_BOUND('',#43018,.T.); #24104=FACE_OUTER_BOUND('',#43019,.T.); #24105=FACE_OUTER_BOUND('',#43020,.T.); #24106=FACE_OUTER_BOUND('',#43022,.T.); #24107=FACE_OUTER_BOUND('',#43024,.T.); #24108=FACE_OUTER_BOUND('',#43025,.T.); #24109=FACE_OUTER_BOUND('',#43026,.T.); #24110=FACE_OUTER_BOUND('',#43027,.T.); #24111=FACE_OUTER_BOUND('',#43028,.T.); #24112=FACE_OUTER_BOUND('',#43029,.T.); #24113=FACE_OUTER_BOUND('',#43030,.T.); #24114=FACE_OUTER_BOUND('',#43031,.T.); #24115=FACE_OUTER_BOUND('',#43032,.T.); #24116=FACE_OUTER_BOUND('',#43033,.T.); #24117=FACE_OUTER_BOUND('',#43034,.T.); #24118=FACE_OUTER_BOUND('',#43035,.T.); #24119=FACE_OUTER_BOUND('',#43036,.T.); #24120=FACE_OUTER_BOUND('',#43037,.T.); #24121=FACE_OUTER_BOUND('',#43038,.T.); #24122=FACE_OUTER_BOUND('',#43039,.T.); #24123=FACE_OUTER_BOUND('',#43040,.T.); #24124=FACE_OUTER_BOUND('',#43041,.T.); #24125=FACE_OUTER_BOUND('',#43042,.T.); #24126=FACE_OUTER_BOUND('',#43043,.T.); #24127=FACE_OUTER_BOUND('',#43044,.T.); #24128=FACE_OUTER_BOUND('',#43045,.T.); #24129=FACE_OUTER_BOUND('',#43046,.T.); #24130=FACE_OUTER_BOUND('',#43047,.T.); #24131=FACE_OUTER_BOUND('',#43049,.T.); #24132=FACE_OUTER_BOUND('',#43051,.T.); #24133=FACE_OUTER_BOUND('',#43052,.T.); #24134=FACE_OUTER_BOUND('',#43053,.T.); #24135=FACE_OUTER_BOUND('',#43054,.T.); #24136=FACE_OUTER_BOUND('',#43055,.T.); #24137=FACE_OUTER_BOUND('',#43056,.T.); #24138=FACE_OUTER_BOUND('',#43057,.T.); #24139=FACE_OUTER_BOUND('',#43058,.T.); #24140=FACE_OUTER_BOUND('',#43059,.T.); #24141=FACE_OUTER_BOUND('',#43060,.T.); #24142=FACE_OUTER_BOUND('',#43061,.T.); #24143=FACE_OUTER_BOUND('',#43062,.T.); #24144=FACE_OUTER_BOUND('',#43063,.T.); #24145=FACE_OUTER_BOUND('',#43064,.T.); #24146=FACE_OUTER_BOUND('',#43066,.T.); #24147=FACE_OUTER_BOUND('',#43068,.T.); #24148=FACE_OUTER_BOUND('',#43069,.T.); #24149=FACE_OUTER_BOUND('',#43070,.T.); #24150=FACE_OUTER_BOUND('',#43071,.T.); #24151=FACE_OUTER_BOUND('',#43072,.T.); #24152=FACE_OUTER_BOUND('',#43073,.T.); #24153=FACE_OUTER_BOUND('',#43074,.T.); #24154=FACE_OUTER_BOUND('',#43075,.T.); #24155=FACE_OUTER_BOUND('',#43076,.T.); #24156=FACE_OUTER_BOUND('',#43077,.T.); #24157=FACE_OUTER_BOUND('',#43078,.T.); #24158=FACE_OUTER_BOUND('',#43079,.T.); #24159=FACE_OUTER_BOUND('',#43080,.T.); #24160=FACE_OUTER_BOUND('',#43081,.T.); #24161=FACE_OUTER_BOUND('',#43082,.T.); #24162=FACE_OUTER_BOUND('',#43083,.T.); #24163=FACE_OUTER_BOUND('',#43084,.T.); #24164=FACE_OUTER_BOUND('',#43085,.T.); #24165=FACE_OUTER_BOUND('',#43086,.T.); #24166=FACE_OUTER_BOUND('',#43087,.T.); #24167=FACE_OUTER_BOUND('',#43088,.T.); #24168=FACE_OUTER_BOUND('',#43089,.T.); #24169=FACE_OUTER_BOUND('',#43090,.T.); #24170=FACE_OUTER_BOUND('',#43091,.T.); #24171=FACE_OUTER_BOUND('',#43092,.T.); #24172=FACE_OUTER_BOUND('',#43093,.T.); #24173=FACE_OUTER_BOUND('',#43094,.T.); #24174=FACE_OUTER_BOUND('',#43095,.T.); #24175=FACE_OUTER_BOUND('',#43096,.T.); #24176=FACE_OUTER_BOUND('',#43097,.T.); #24177=FACE_OUTER_BOUND('',#43098,.T.); #24178=FACE_OUTER_BOUND('',#43099,.T.); #24179=FACE_OUTER_BOUND('',#43100,.T.); #24180=FACE_OUTER_BOUND('',#43101,.T.); #24181=FACE_OUTER_BOUND('',#43102,.T.); #24182=FACE_OUTER_BOUND('',#43103,.T.); #24183=FACE_OUTER_BOUND('',#43104,.T.); #24184=FACE_OUTER_BOUND('',#43105,.T.); #24185=FACE_OUTER_BOUND('',#43106,.T.); #24186=FACE_OUTER_BOUND('',#43107,.T.); #24187=FACE_OUTER_BOUND('',#43108,.T.); #24188=FACE_OUTER_BOUND('',#43109,.T.); #24189=FACE_OUTER_BOUND('',#43110,.T.); #24190=FACE_OUTER_BOUND('',#43111,.T.); #24191=FACE_OUTER_BOUND('',#43112,.T.); #24192=FACE_OUTER_BOUND('',#43113,.T.); #24193=FACE_OUTER_BOUND('',#43114,.T.); #24194=FACE_OUTER_BOUND('',#43115,.T.); #24195=FACE_OUTER_BOUND('',#43116,.T.); #24196=FACE_OUTER_BOUND('',#43117,.T.); #24197=FACE_OUTER_BOUND('',#43119,.T.); #24198=FACE_OUTER_BOUND('',#43121,.T.); #24199=FACE_OUTER_BOUND('',#43122,.T.); #24200=FACE_OUTER_BOUND('',#43123,.T.); #24201=FACE_OUTER_BOUND('',#43124,.T.); #24202=FACE_OUTER_BOUND('',#43125,.T.); #24203=FACE_OUTER_BOUND('',#43126,.T.); #24204=FACE_OUTER_BOUND('',#43127,.T.); #24205=FACE_OUTER_BOUND('',#43128,.T.); #24206=FACE_OUTER_BOUND('',#43129,.T.); #24207=FACE_OUTER_BOUND('',#43130,.T.); #24208=FACE_OUTER_BOUND('',#43131,.T.); #24209=FACE_OUTER_BOUND('',#43132,.T.); #24210=FACE_OUTER_BOUND('',#43133,.T.); #24211=FACE_OUTER_BOUND('',#43134,.T.); #24212=FACE_OUTER_BOUND('',#43135,.T.); #24213=FACE_OUTER_BOUND('',#43136,.T.); #24214=FACE_OUTER_BOUND('',#43137,.T.); #24215=FACE_OUTER_BOUND('',#43138,.T.); #24216=FACE_OUTER_BOUND('',#43139,.T.); #24217=FACE_OUTER_BOUND('',#43140,.T.); #24218=FACE_OUTER_BOUND('',#43141,.T.); #24219=FACE_OUTER_BOUND('',#43142,.T.); #24220=FACE_OUTER_BOUND('',#43143,.T.); #24221=FACE_OUTER_BOUND('',#43144,.T.); #24222=FACE_OUTER_BOUND('',#43147,.T.); #24223=FACE_OUTER_BOUND('',#43150,.T.); #24224=FACE_OUTER_BOUND('',#43151,.T.); #24225=FACE_OUTER_BOUND('',#43152,.T.); #24226=FACE_OUTER_BOUND('',#43153,.T.); #24227=FACE_OUTER_BOUND('',#43154,.T.); #24228=FACE_OUTER_BOUND('',#43155,.T.); #24229=FACE_OUTER_BOUND('',#43156,.T.); #24230=FACE_OUTER_BOUND('',#43157,.T.); #24231=FACE_OUTER_BOUND('',#43158,.T.); #24232=FACE_OUTER_BOUND('',#43159,.T.); #24233=FACE_OUTER_BOUND('',#43160,.T.); #24234=FACE_OUTER_BOUND('',#43161,.T.); #24235=FACE_OUTER_BOUND('',#43162,.T.); #24236=FACE_OUTER_BOUND('',#43163,.T.); #24237=FACE_OUTER_BOUND('',#43164,.T.); #24238=FACE_OUTER_BOUND('',#43165,.T.); #24239=FACE_OUTER_BOUND('',#43166,.T.); #24240=FACE_OUTER_BOUND('',#43167,.T.); #24241=FACE_OUTER_BOUND('',#43168,.T.); #24242=FACE_OUTER_BOUND('',#43169,.T.); #24243=FACE_OUTER_BOUND('',#43170,.T.); #24244=FACE_OUTER_BOUND('',#43171,.T.); #24245=FACE_OUTER_BOUND('',#43172,.T.); #24246=FACE_OUTER_BOUND('',#43173,.T.); #24247=FACE_OUTER_BOUND('',#43174,.T.); #24248=FACE_OUTER_BOUND('',#43175,.T.); #24249=FACE_OUTER_BOUND('',#43176,.T.); #24250=FACE_OUTER_BOUND('',#43177,.T.); #24251=FACE_OUTER_BOUND('',#43178,.T.); #24252=FACE_OUTER_BOUND('',#43179,.T.); #24253=FACE_OUTER_BOUND('',#43180,.T.); #24254=FACE_OUTER_BOUND('',#43181,.T.); #24255=FACE_OUTER_BOUND('',#43182,.T.); #24256=FACE_OUTER_BOUND('',#43183,.T.); #24257=FACE_OUTER_BOUND('',#43184,.T.); #24258=FACE_OUTER_BOUND('',#43185,.T.); #24259=FACE_OUTER_BOUND('',#43186,.T.); #24260=FACE_OUTER_BOUND('',#43187,.T.); #24261=FACE_OUTER_BOUND('',#43188,.T.); #24262=FACE_OUTER_BOUND('',#43189,.T.); #24263=FACE_OUTER_BOUND('',#43190,.T.); #24264=FACE_OUTER_BOUND('',#43191,.T.); #24265=FACE_OUTER_BOUND('',#43192,.T.); #24266=FACE_OUTER_BOUND('',#43193,.T.); #24267=FACE_OUTER_BOUND('',#43194,.T.); #24268=FACE_OUTER_BOUND('',#43195,.T.); #24269=FACE_OUTER_BOUND('',#43196,.T.); #24270=FACE_OUTER_BOUND('',#43197,.T.); #24271=FACE_OUTER_BOUND('',#43198,.T.); #24272=FACE_OUTER_BOUND('',#43199,.T.); #24273=FACE_OUTER_BOUND('',#43200,.T.); #24274=FACE_OUTER_BOUND('',#43201,.T.); #24275=FACE_OUTER_BOUND('',#43202,.T.); #24276=FACE_OUTER_BOUND('',#43203,.T.); #24277=FACE_OUTER_BOUND('',#43204,.T.); #24278=FACE_OUTER_BOUND('',#43205,.T.); #24279=FACE_OUTER_BOUND('',#43206,.T.); #24280=FACE_OUTER_BOUND('',#43207,.T.); #24281=FACE_OUTER_BOUND('',#43208,.T.); #24282=FACE_OUTER_BOUND('',#43209,.T.); #24283=FACE_OUTER_BOUND('',#43210,.T.); #24284=FACE_OUTER_BOUND('',#43211,.T.); #24285=FACE_OUTER_BOUND('',#43212,.T.); #24286=FACE_OUTER_BOUND('',#43213,.T.); #24287=FACE_OUTER_BOUND('',#43214,.T.); #24288=FACE_OUTER_BOUND('',#43215,.T.); #24289=FACE_OUTER_BOUND('',#43216,.T.); #24290=FACE_OUTER_BOUND('',#43217,.T.); #24291=FACE_OUTER_BOUND('',#43218,.T.); #24292=FACE_OUTER_BOUND('',#43219,.T.); #24293=FACE_OUTER_BOUND('',#43220,.T.); #24294=FACE_OUTER_BOUND('',#43221,.T.); #24295=FACE_OUTER_BOUND('',#43222,.T.); #24296=FACE_OUTER_BOUND('',#43223,.T.); #24297=FACE_OUTER_BOUND('',#43224,.T.); #24298=FACE_OUTER_BOUND('',#43225,.T.); #24299=FACE_OUTER_BOUND('',#43226,.T.); #24300=FACE_OUTER_BOUND('',#43227,.T.); #24301=FACE_OUTER_BOUND('',#43228,.T.); #24302=FACE_OUTER_BOUND('',#43229,.T.); #24303=FACE_OUTER_BOUND('',#43230,.T.); #24304=FACE_OUTER_BOUND('',#43231,.T.); #24305=FACE_OUTER_BOUND('',#43232,.T.); #24306=FACE_OUTER_BOUND('',#43233,.T.); #24307=FACE_OUTER_BOUND('',#43234,.T.); #24308=FACE_OUTER_BOUND('',#43235,.T.); #24309=FACE_OUTER_BOUND('',#43236,.T.); #24310=FACE_OUTER_BOUND('',#43237,.T.); #24311=FACE_OUTER_BOUND('',#43238,.T.); #24312=FACE_OUTER_BOUND('',#43239,.T.); #24313=FACE_OUTER_BOUND('',#43240,.T.); #24314=FACE_OUTER_BOUND('',#43241,.T.); #24315=FACE_OUTER_BOUND('',#43242,.T.); #24316=FACE_OUTER_BOUND('',#43243,.T.); #24317=FACE_OUTER_BOUND('',#43244,.T.); #24318=FACE_OUTER_BOUND('',#43245,.T.); #24319=FACE_OUTER_BOUND('',#43246,.T.); #24320=FACE_OUTER_BOUND('',#43247,.T.); #24321=FACE_OUTER_BOUND('',#43248,.T.); #24322=FACE_OUTER_BOUND('',#43249,.T.); #24323=FACE_OUTER_BOUND('',#43250,.T.); #24324=FACE_OUTER_BOUND('',#43251,.T.); #24325=FACE_OUTER_BOUND('',#43252,.T.); #24326=FACE_OUTER_BOUND('',#43253,.T.); #24327=FACE_OUTER_BOUND('',#43254,.T.); #24328=FACE_OUTER_BOUND('',#43255,.T.); #24329=FACE_OUTER_BOUND('',#43256,.T.); #24330=FACE_OUTER_BOUND('',#43257,.T.); #24331=FACE_OUTER_BOUND('',#43258,.T.); #24332=FACE_OUTER_BOUND('',#43259,.T.); #24333=FACE_OUTER_BOUND('',#43260,.T.); #24334=FACE_OUTER_BOUND('',#43261,.T.); #24335=FACE_OUTER_BOUND('',#43262,.T.); #24336=FACE_OUTER_BOUND('',#43263,.T.); #24337=FACE_OUTER_BOUND('',#43264,.T.); #24338=FACE_OUTER_BOUND('',#43265,.T.); #24339=FACE_OUTER_BOUND('',#43266,.T.); #24340=FACE_OUTER_BOUND('',#43267,.T.); #24341=FACE_OUTER_BOUND('',#43268,.T.); #24342=FACE_OUTER_BOUND('',#43269,.T.); #24343=FACE_OUTER_BOUND('',#43270,.T.); #24344=FACE_OUTER_BOUND('',#43271,.T.); #24345=FACE_OUTER_BOUND('',#43272,.T.); #24346=FACE_OUTER_BOUND('',#43273,.T.); #24347=FACE_OUTER_BOUND('',#43274,.T.); #24348=FACE_OUTER_BOUND('',#43275,.T.); #24349=FACE_OUTER_BOUND('',#43276,.T.); #24350=FACE_OUTER_BOUND('',#43277,.T.); #24351=FACE_OUTER_BOUND('',#43292,.T.); #24352=FACE_OUTER_BOUND('',#43307,.T.); #24353=FACE_OUTER_BOUND('',#43308,.T.); #24354=FACE_OUTER_BOUND('',#43309,.T.); #24355=FACE_OUTER_BOUND('',#43310,.T.); #24356=FACE_OUTER_BOUND('',#43311,.T.); #24357=FACE_OUTER_BOUND('',#43313,.T.); #24358=FACE_OUTER_BOUND('',#43315,.T.); #24359=FACE_OUTER_BOUND('',#43316,.T.); #24360=FACE_OUTER_BOUND('',#43317,.T.); #24361=FACE_OUTER_BOUND('',#43318,.T.); #24362=FACE_OUTER_BOUND('',#43319,.T.); #24363=FACE_OUTER_BOUND('',#43320,.T.); #24364=FACE_OUTER_BOUND('',#43321,.T.); #24365=FACE_OUTER_BOUND('',#43322,.T.); #24366=FACE_OUTER_BOUND('',#43323,.T.); #24367=FACE_OUTER_BOUND('',#43324,.T.); #24368=FACE_OUTER_BOUND('',#43325,.T.); #24369=FACE_OUTER_BOUND('',#43326,.T.); #24370=FACE_OUTER_BOUND('',#43327,.T.); #24371=FACE_OUTER_BOUND('',#43328,.T.); #24372=FACE_OUTER_BOUND('',#43329,.T.); #24373=FACE_OUTER_BOUND('',#43330,.T.); #24374=FACE_OUTER_BOUND('',#43331,.T.); #24375=FACE_OUTER_BOUND('',#43332,.T.); #24376=FACE_OUTER_BOUND('',#43333,.T.); #24377=FACE_OUTER_BOUND('',#43334,.T.); #24378=FACE_OUTER_BOUND('',#43335,.T.); #24379=FACE_OUTER_BOUND('',#43336,.T.); #24380=FACE_OUTER_BOUND('',#43337,.T.); #24381=FACE_OUTER_BOUND('',#43338,.T.); #24382=FACE_OUTER_BOUND('',#43339,.T.); #24383=FACE_OUTER_BOUND('',#43340,.T.); #24384=FACE_OUTER_BOUND('',#43341,.T.); #24385=FACE_OUTER_BOUND('',#43342,.T.); #24386=FACE_OUTER_BOUND('',#43343,.T.); #24387=FACE_OUTER_BOUND('',#43344,.T.); #24388=FACE_OUTER_BOUND('',#43345,.T.); #24389=FACE_OUTER_BOUND('',#43346,.T.); #24390=FACE_OUTER_BOUND('',#43347,.T.); #24391=FACE_OUTER_BOUND('',#43348,.T.); #24392=FACE_OUTER_BOUND('',#43349,.T.); #24393=FACE_OUTER_BOUND('',#43350,.T.); #24394=FACE_OUTER_BOUND('',#43351,.T.); #24395=FACE_OUTER_BOUND('',#43352,.T.); #24396=FACE_OUTER_BOUND('',#43353,.T.); #24397=FACE_OUTER_BOUND('',#43354,.T.); #24398=FACE_OUTER_BOUND('',#43355,.T.); #24399=FACE_OUTER_BOUND('',#43356,.T.); #24400=FACE_OUTER_BOUND('',#43357,.T.); #24401=FACE_OUTER_BOUND('',#43358,.T.); #24402=FACE_OUTER_BOUND('',#43359,.T.); #24403=FACE_OUTER_BOUND('',#43360,.T.); #24404=FACE_OUTER_BOUND('',#43361,.T.); #24405=FACE_OUTER_BOUND('',#43362,.T.); #24406=FACE_OUTER_BOUND('',#43363,.T.); #24407=FACE_OUTER_BOUND('',#43364,.T.); #24408=FACE_OUTER_BOUND('',#43365,.T.); #24409=FACE_OUTER_BOUND('',#43366,.T.); #24410=FACE_OUTER_BOUND('',#43367,.T.); #24411=FACE_OUTER_BOUND('',#43368,.T.); #24412=FACE_OUTER_BOUND('',#43369,.T.); #24413=FACE_OUTER_BOUND('',#43370,.T.); #24414=FACE_OUTER_BOUND('',#43371,.T.); #24415=FACE_OUTER_BOUND('',#43372,.T.); #24416=FACE_OUTER_BOUND('',#43373,.T.); #24417=FACE_OUTER_BOUND('',#43374,.T.); #24418=FACE_OUTER_BOUND('',#43375,.T.); #24419=FACE_OUTER_BOUND('',#43376,.T.); #24420=FACE_OUTER_BOUND('',#43377,.T.); #24421=FACE_OUTER_BOUND('',#43378,.T.); #24422=FACE_OUTER_BOUND('',#43379,.T.); #24423=FACE_OUTER_BOUND('',#43380,.T.); #24424=FACE_OUTER_BOUND('',#43381,.T.); #24425=FACE_OUTER_BOUND('',#43382,.T.); #24426=FACE_OUTER_BOUND('',#43383,.T.); #24427=FACE_OUTER_BOUND('',#43384,.T.); #24428=FACE_OUTER_BOUND('',#43385,.T.); #24429=FACE_OUTER_BOUND('',#43386,.T.); #24430=FACE_OUTER_BOUND('',#43387,.T.); #24431=FACE_OUTER_BOUND('',#43388,.T.); #24432=FACE_OUTER_BOUND('',#43389,.T.); #24433=FACE_OUTER_BOUND('',#43390,.T.); #24434=FACE_OUTER_BOUND('',#43391,.T.); #24435=FACE_OUTER_BOUND('',#43392,.T.); #24436=FACE_OUTER_BOUND('',#43393,.T.); #24437=FACE_OUTER_BOUND('',#43394,.T.); #24438=FACE_OUTER_BOUND('',#43395,.T.); #24439=FACE_OUTER_BOUND('',#43396,.T.); #24440=FACE_OUTER_BOUND('',#43397,.T.); #24441=FACE_OUTER_BOUND('',#43398,.T.); #24442=FACE_OUTER_BOUND('',#43399,.T.); #24443=FACE_OUTER_BOUND('',#43400,.T.); #24444=FACE_OUTER_BOUND('',#43401,.T.); #24445=FACE_OUTER_BOUND('',#43402,.T.); #24446=FACE_OUTER_BOUND('',#43403,.T.); #24447=FACE_OUTER_BOUND('',#43404,.T.); #24448=FACE_OUTER_BOUND('',#43405,.T.); #24449=FACE_OUTER_BOUND('',#43406,.T.); #24450=FACE_OUTER_BOUND('',#43407,.T.); #24451=FACE_OUTER_BOUND('',#43408,.T.); #24452=FACE_OUTER_BOUND('',#43409,.T.); #24453=FACE_OUTER_BOUND('',#43410,.T.); #24454=FACE_OUTER_BOUND('',#43411,.T.); #24455=FACE_OUTER_BOUND('',#43412,.T.); #24456=FACE_OUTER_BOUND('',#43413,.T.); #24457=FACE_OUTER_BOUND('',#43414,.T.); #24458=FACE_OUTER_BOUND('',#43415,.T.); #24459=FACE_OUTER_BOUND('',#43416,.T.); #24460=FACE_OUTER_BOUND('',#43417,.T.); #24461=FACE_OUTER_BOUND('',#43418,.T.); #24462=FACE_OUTER_BOUND('',#43419,.T.); #24463=FACE_OUTER_BOUND('',#43420,.T.); #24464=FACE_OUTER_BOUND('',#43421,.T.); #24465=FACE_OUTER_BOUND('',#43422,.T.); #24466=FACE_OUTER_BOUND('',#43423,.T.); #24467=FACE_OUTER_BOUND('',#43424,.T.); #24468=FACE_OUTER_BOUND('',#43425,.T.); #24469=FACE_OUTER_BOUND('',#43426,.T.); #24470=FACE_OUTER_BOUND('',#43427,.T.); #24471=FACE_OUTER_BOUND('',#43428,.T.); #24472=FACE_OUTER_BOUND('',#43429,.T.); #24473=FACE_OUTER_BOUND('',#43430,.T.); #24474=FACE_OUTER_BOUND('',#43431,.T.); #24475=FACE_OUTER_BOUND('',#43432,.T.); #24476=FACE_OUTER_BOUND('',#43433,.T.); #24477=FACE_OUTER_BOUND('',#43434,.T.); #24478=FACE_OUTER_BOUND('',#43435,.T.); #24479=FACE_OUTER_BOUND('',#43436,.T.); #24480=FACE_OUTER_BOUND('',#43437,.T.); #24481=FACE_OUTER_BOUND('',#43438,.T.); #24482=FACE_OUTER_BOUND('',#43439,.T.); #24483=FACE_OUTER_BOUND('',#43440,.T.); #24484=FACE_OUTER_BOUND('',#43441,.T.); #24485=FACE_OUTER_BOUND('',#43442,.T.); #24486=FACE_OUTER_BOUND('',#43443,.T.); #24487=FACE_OUTER_BOUND('',#43444,.T.); #24488=FACE_OUTER_BOUND('',#43445,.T.); #24489=FACE_OUTER_BOUND('',#43446,.T.); #24490=FACE_OUTER_BOUND('',#43447,.T.); #24491=FACE_OUTER_BOUND('',#43448,.T.); #24492=FACE_OUTER_BOUND('',#43449,.T.); #24493=FACE_OUTER_BOUND('',#43450,.T.); #24494=FACE_OUTER_BOUND('',#43451,.T.); #24495=FACE_OUTER_BOUND('',#43452,.T.); #24496=FACE_OUTER_BOUND('',#43453,.T.); #24497=FACE_OUTER_BOUND('',#43454,.T.); #24498=FACE_OUTER_BOUND('',#43455,.T.); #24499=FACE_OUTER_BOUND('',#43456,.T.); #24500=FACE_OUTER_BOUND('',#43457,.T.); #24501=FACE_OUTER_BOUND('',#43458,.T.); #24502=FACE_OUTER_BOUND('',#43459,.T.); #24503=FACE_OUTER_BOUND('',#43471,.T.); #24504=FACE_OUTER_BOUND('',#43483,.T.); #24505=FACE_OUTER_BOUND('',#43484,.T.); #24506=FACE_OUTER_BOUND('',#43485,.T.); #24507=FACE_OUTER_BOUND('',#43486,.T.); #24508=FACE_OUTER_BOUND('',#43487,.T.); #24509=FACE_OUTER_BOUND('',#43488,.T.); #24510=FACE_OUTER_BOUND('',#43489,.T.); #24511=FACE_OUTER_BOUND('',#43490,.T.); #24512=FACE_OUTER_BOUND('',#43491,.T.); #24513=FACE_OUTER_BOUND('',#43492,.T.); #24514=FACE_OUTER_BOUND('',#43494,.T.); #24515=FACE_OUTER_BOUND('',#43496,.T.); #24516=FACE_OUTER_BOUND('',#43497,.T.); #24517=FACE_OUTER_BOUND('',#43498,.T.); #24518=FACE_OUTER_BOUND('',#43499,.T.); #24519=FACE_OUTER_BOUND('',#43500,.T.); #24520=FACE_OUTER_BOUND('',#43501,.T.); #24521=FACE_OUTER_BOUND('',#43502,.T.); #24522=FACE_OUTER_BOUND('',#43503,.T.); #24523=FACE_OUTER_BOUND('',#43504,.T.); #24524=FACE_OUTER_BOUND('',#43505,.T.); #24525=FACE_OUTER_BOUND('',#43506,.T.); #24526=FACE_OUTER_BOUND('',#43507,.T.); #24527=FACE_OUTER_BOUND('',#43508,.T.); #24528=FACE_OUTER_BOUND('',#43509,.T.); #24529=FACE_OUTER_BOUND('',#43510,.T.); #24530=FACE_OUTER_BOUND('',#43511,.T.); #24531=FACE_OUTER_BOUND('',#43512,.T.); #24532=FACE_OUTER_BOUND('',#43513,.T.); #24533=FACE_OUTER_BOUND('',#43514,.T.); #24534=FACE_OUTER_BOUND('',#43515,.T.); #24535=FACE_OUTER_BOUND('',#43516,.T.); #24536=FACE_OUTER_BOUND('',#43517,.T.); #24537=FACE_OUTER_BOUND('',#43518,.T.); #24538=FACE_OUTER_BOUND('',#43519,.T.); #24539=FACE_OUTER_BOUND('',#43520,.T.); #24540=FACE_OUTER_BOUND('',#43521,.T.); #24541=FACE_OUTER_BOUND('',#43522,.T.); #24542=FACE_OUTER_BOUND('',#43523,.T.); #24543=FACE_OUTER_BOUND('',#43524,.T.); #24544=FACE_OUTER_BOUND('',#43525,.T.); #24545=FACE_OUTER_BOUND('',#43526,.T.); #24546=FACE_OUTER_BOUND('',#43527,.T.); #24547=FACE_OUTER_BOUND('',#43528,.T.); #24548=FACE_OUTER_BOUND('',#43529,.T.); #24549=FACE_OUTER_BOUND('',#43530,.T.); #24550=FACE_OUTER_BOUND('',#43531,.T.); #24551=FACE_OUTER_BOUND('',#43532,.T.); #24552=FACE_OUTER_BOUND('',#43533,.T.); #24553=FACE_OUTER_BOUND('',#43534,.T.); #24554=FACE_OUTER_BOUND('',#43535,.T.); #24555=FACE_OUTER_BOUND('',#43536,.T.); #24556=FACE_OUTER_BOUND('',#43537,.T.); #24557=FACE_OUTER_BOUND('',#43538,.T.); #24558=FACE_OUTER_BOUND('',#43539,.T.); #24559=FACE_OUTER_BOUND('',#43540,.T.); #24560=FACE_OUTER_BOUND('',#43541,.T.); #24561=FACE_OUTER_BOUND('',#43542,.T.); #24562=FACE_OUTER_BOUND('',#43543,.T.); #24563=FACE_OUTER_BOUND('',#43544,.T.); #24564=FACE_OUTER_BOUND('',#43545,.T.); #24565=FACE_OUTER_BOUND('',#43546,.T.); #24566=FACE_OUTER_BOUND('',#43547,.T.); #24567=FACE_OUTER_BOUND('',#43548,.T.); #24568=FACE_OUTER_BOUND('',#43549,.T.); #24569=FACE_OUTER_BOUND('',#43550,.T.); #24570=FACE_OUTER_BOUND('',#43551,.T.); #24571=FACE_OUTER_BOUND('',#43552,.T.); #24572=FACE_OUTER_BOUND('',#43553,.T.); #24573=FACE_OUTER_BOUND('',#43554,.T.); #24574=FACE_OUTER_BOUND('',#43555,.T.); #24575=FACE_OUTER_BOUND('',#43556,.T.); #24576=FACE_OUTER_BOUND('',#43557,.T.); #24577=FACE_OUTER_BOUND('',#43558,.T.); #24578=FACE_OUTER_BOUND('',#43559,.T.); #24579=FACE_OUTER_BOUND('',#43560,.T.); #24580=FACE_OUTER_BOUND('',#43561,.T.); #24581=FACE_OUTER_BOUND('',#43562,.T.); #24582=FACE_OUTER_BOUND('',#43563,.T.); #24583=FACE_OUTER_BOUND('',#43564,.T.); #24584=FACE_OUTER_BOUND('',#43565,.T.); #24585=FACE_OUTER_BOUND('',#43566,.T.); #24586=FACE_OUTER_BOUND('',#43567,.T.); #24587=FACE_OUTER_BOUND('',#43568,.T.); #24588=FACE_OUTER_BOUND('',#43569,.T.); #24589=FACE_OUTER_BOUND('',#43570,.T.); #24590=FACE_OUTER_BOUND('',#43571,.T.); #24591=FACE_OUTER_BOUND('',#43572,.T.); #24592=FACE_OUTER_BOUND('',#43573,.T.); #24593=FACE_OUTER_BOUND('',#43574,.T.); #24594=FACE_OUTER_BOUND('',#43575,.T.); #24595=FACE_OUTER_BOUND('',#43576,.T.); #24596=FACE_OUTER_BOUND('',#43577,.T.); #24597=FACE_OUTER_BOUND('',#43578,.T.); #24598=FACE_OUTER_BOUND('',#43579,.T.); #24599=FACE_OUTER_BOUND('',#43580,.T.); #24600=FACE_OUTER_BOUND('',#43581,.T.); #24601=FACE_OUTER_BOUND('',#43582,.T.); #24602=FACE_OUTER_BOUND('',#43583,.T.); #24603=FACE_OUTER_BOUND('',#43584,.T.); #24604=FACE_OUTER_BOUND('',#43585,.T.); #24605=FACE_OUTER_BOUND('',#43586,.T.); #24606=FACE_OUTER_BOUND('',#43587,.T.); #24607=FACE_OUTER_BOUND('',#43588,.T.); #24608=FACE_OUTER_BOUND('',#43589,.T.); #24609=FACE_OUTER_BOUND('',#43590,.T.); #24610=FACE_OUTER_BOUND('',#43591,.T.); #24611=FACE_OUTER_BOUND('',#43592,.T.); #24612=FACE_OUTER_BOUND('',#43593,.T.); #24613=FACE_OUTER_BOUND('',#43594,.T.); #24614=FACE_OUTER_BOUND('',#43595,.T.); #24615=FACE_OUTER_BOUND('',#43596,.T.); #24616=FACE_OUTER_BOUND('',#43597,.T.); #24617=FACE_OUTER_BOUND('',#43598,.T.); #24618=FACE_OUTER_BOUND('',#43599,.T.); #24619=FACE_OUTER_BOUND('',#43600,.T.); #24620=FACE_OUTER_BOUND('',#43601,.T.); #24621=FACE_OUTER_BOUND('',#43602,.T.); #24622=FACE_OUTER_BOUND('',#43603,.T.); #24623=FACE_OUTER_BOUND('',#43604,.T.); #24624=FACE_OUTER_BOUND('',#43605,.T.); #24625=FACE_OUTER_BOUND('',#43606,.T.); #24626=FACE_OUTER_BOUND('',#43607,.T.); #24627=FACE_OUTER_BOUND('',#43608,.T.); #24628=FACE_OUTER_BOUND('',#43609,.T.); #24629=FACE_OUTER_BOUND('',#43610,.T.); #24630=FACE_OUTER_BOUND('',#43611,.T.); #24631=FACE_OUTER_BOUND('',#43612,.T.); #24632=FACE_OUTER_BOUND('',#43613,.T.); #24633=FACE_OUTER_BOUND('',#43614,.T.); #24634=FACE_OUTER_BOUND('',#43615,.T.); #24635=FACE_OUTER_BOUND('',#43616,.T.); #24636=FACE_OUTER_BOUND('',#43617,.T.); #24637=FACE_OUTER_BOUND('',#43618,.T.); #24638=FACE_OUTER_BOUND('',#43619,.T.); #24639=FACE_OUTER_BOUND('',#43620,.T.); #24640=FACE_OUTER_BOUND('',#43621,.T.); #24641=FACE_OUTER_BOUND('',#43622,.T.); #24642=FACE_OUTER_BOUND('',#43623,.T.); #24643=FACE_OUTER_BOUND('',#43624,.T.); #24644=FACE_OUTER_BOUND('',#43625,.T.); #24645=FACE_OUTER_BOUND('',#43626,.T.); #24646=FACE_OUTER_BOUND('',#43627,.T.); #24647=FACE_OUTER_BOUND('',#43628,.T.); #24648=FACE_OUTER_BOUND('',#43629,.T.); #24649=FACE_OUTER_BOUND('',#43630,.T.); #24650=FACE_OUTER_BOUND('',#43631,.T.); #24651=FACE_OUTER_BOUND('',#43632,.T.); #24652=FACE_OUTER_BOUND('',#43633,.T.); #24653=FACE_OUTER_BOUND('',#43634,.T.); #24654=FACE_OUTER_BOUND('',#43635,.T.); #24655=FACE_OUTER_BOUND('',#43636,.T.); #24656=FACE_OUTER_BOUND('',#43637,.T.); #24657=FACE_OUTER_BOUND('',#43638,.T.); #24658=FACE_OUTER_BOUND('',#43639,.T.); #24659=FACE_OUTER_BOUND('',#43640,.T.); #24660=FACE_OUTER_BOUND('',#43641,.T.); #24661=FACE_OUTER_BOUND('',#43642,.T.); #24662=FACE_OUTER_BOUND('',#43643,.T.); #24663=FACE_OUTER_BOUND('',#43644,.T.); #24664=FACE_OUTER_BOUND('',#43645,.T.); #24665=FACE_OUTER_BOUND('',#43646,.T.); #24666=FACE_OUTER_BOUND('',#43647,.T.); #24667=FACE_OUTER_BOUND('',#43648,.T.); #24668=FACE_OUTER_BOUND('',#43649,.T.); #24669=FACE_OUTER_BOUND('',#43650,.T.); #24670=FACE_OUTER_BOUND('',#43651,.T.); #24671=FACE_OUTER_BOUND('',#43652,.T.); #24672=FACE_OUTER_BOUND('',#43653,.T.); #24673=FACE_OUTER_BOUND('',#43654,.T.); #24674=FACE_OUTER_BOUND('',#43655,.T.); #24675=FACE_OUTER_BOUND('',#43656,.T.); #24676=FACE_OUTER_BOUND('',#43657,.T.); #24677=FACE_OUTER_BOUND('',#43658,.T.); #24678=FACE_OUTER_BOUND('',#43659,.T.); #24679=FACE_OUTER_BOUND('',#43660,.T.); #24680=FACE_OUTER_BOUND('',#43661,.T.); #24681=FACE_OUTER_BOUND('',#43662,.T.); #24682=FACE_OUTER_BOUND('',#43663,.T.); #24683=FACE_OUTER_BOUND('',#43664,.T.); #24684=FACE_OUTER_BOUND('',#43665,.T.); #24685=FACE_OUTER_BOUND('',#43666,.T.); #24686=FACE_OUTER_BOUND('',#43667,.T.); #24687=FACE_OUTER_BOUND('',#43668,.T.); #24688=FACE_OUTER_BOUND('',#43669,.T.); #24689=FACE_OUTER_BOUND('',#43670,.T.); #24690=FACE_OUTER_BOUND('',#43671,.T.); #24691=FACE_OUTER_BOUND('',#43672,.T.); #24692=FACE_OUTER_BOUND('',#43673,.T.); #24693=FACE_OUTER_BOUND('',#43674,.T.); #24694=FACE_OUTER_BOUND('',#43675,.T.); #24695=FACE_OUTER_BOUND('',#43676,.T.); #24696=FACE_OUTER_BOUND('',#43677,.T.); #24697=FACE_OUTER_BOUND('',#43678,.T.); #24698=FACE_OUTER_BOUND('',#43682,.T.); #24699=FACE_OUTER_BOUND('',#43686,.T.); #24700=FACE_OUTER_BOUND('',#43687,.T.); #24701=FACE_OUTER_BOUND('',#43688,.T.); #24702=FACE_OUTER_BOUND('',#43689,.T.); #24703=FACE_OUTER_BOUND('',#43690,.T.); #24704=FACE_OUTER_BOUND('',#43691,.T.); #24705=FACE_OUTER_BOUND('',#43692,.T.); #24706=FACE_OUTER_BOUND('',#43693,.T.); #24707=FACE_OUTER_BOUND('',#43694,.T.); #24708=FACE_OUTER_BOUND('',#43695,.T.); #24709=FACE_OUTER_BOUND('',#43696,.T.); #24710=FACE_OUTER_BOUND('',#43697,.T.); #24711=FACE_OUTER_BOUND('',#43698,.T.); #24712=FACE_OUTER_BOUND('',#43699,.T.); #24713=FACE_OUTER_BOUND('',#43700,.T.); #24714=FACE_OUTER_BOUND('',#43701,.T.); #24715=FACE_OUTER_BOUND('',#43702,.T.); #24716=FACE_OUTER_BOUND('',#43703,.T.); #24717=FACE_OUTER_BOUND('',#43704,.T.); #24718=FACE_OUTER_BOUND('',#43705,.T.); #24719=FACE_OUTER_BOUND('',#43706,.T.); #24720=FACE_OUTER_BOUND('',#43707,.T.); #24721=FACE_OUTER_BOUND('',#43708,.T.); #24722=FACE_OUTER_BOUND('',#43709,.T.); #24723=FACE_OUTER_BOUND('',#43710,.T.); #24724=FACE_OUTER_BOUND('',#43711,.T.); #24725=FACE_OUTER_BOUND('',#43712,.T.); #24726=FACE_OUTER_BOUND('',#43713,.T.); #24727=FACE_OUTER_BOUND('',#43714,.T.); #24728=FACE_OUTER_BOUND('',#43715,.T.); #24729=FACE_OUTER_BOUND('',#43716,.T.); #24730=FACE_OUTER_BOUND('',#43717,.T.); #24731=FACE_OUTER_BOUND('',#43718,.T.); #24732=FACE_OUTER_BOUND('',#43719,.T.); #24733=FACE_OUTER_BOUND('',#43720,.T.); #24734=FACE_OUTER_BOUND('',#43721,.T.); #24735=FACE_OUTER_BOUND('',#43722,.T.); #24736=FACE_OUTER_BOUND('',#43723,.T.); #24737=FACE_OUTER_BOUND('',#43724,.T.); #24738=FACE_OUTER_BOUND('',#43725,.T.); #24739=FACE_OUTER_BOUND('',#43726,.T.); #24740=FACE_OUTER_BOUND('',#43727,.T.); #24741=FACE_OUTER_BOUND('',#43728,.T.); #24742=FACE_OUTER_BOUND('',#43729,.T.); #24743=FACE_OUTER_BOUND('',#43730,.T.); #24744=FACE_OUTER_BOUND('',#43731,.T.); #24745=FACE_OUTER_BOUND('',#43732,.T.); #24746=FACE_OUTER_BOUND('',#43733,.T.); #24747=FACE_OUTER_BOUND('',#43734,.T.); #24748=FACE_OUTER_BOUND('',#43735,.T.); #24749=FACE_OUTER_BOUND('',#43736,.T.); #24750=FACE_OUTER_BOUND('',#43737,.T.); #24751=FACE_OUTER_BOUND('',#43738,.T.); #24752=FACE_OUTER_BOUND('',#43739,.T.); #24753=FACE_OUTER_BOUND('',#43740,.T.); #24754=FACE_OUTER_BOUND('',#43741,.T.); #24755=FACE_OUTER_BOUND('',#43742,.T.); #24756=FACE_OUTER_BOUND('',#43743,.T.); #24757=FACE_OUTER_BOUND('',#43744,.T.); #24758=FACE_OUTER_BOUND('',#43745,.T.); #24759=FACE_OUTER_BOUND('',#43746,.T.); #24760=FACE_OUTER_BOUND('',#43747,.T.); #24761=FACE_OUTER_BOUND('',#43748,.T.); #24762=FACE_OUTER_BOUND('',#43749,.T.); #24763=FACE_OUTER_BOUND('',#43750,.T.); #24764=FACE_OUTER_BOUND('',#43751,.T.); #24765=FACE_OUTER_BOUND('',#43752,.T.); #24766=FACE_OUTER_BOUND('',#43753,.T.); #24767=FACE_OUTER_BOUND('',#43754,.T.); #24768=FACE_OUTER_BOUND('',#43755,.T.); #24769=FACE_OUTER_BOUND('',#43756,.T.); #24770=FACE_OUTER_BOUND('',#43757,.T.); #24771=FACE_OUTER_BOUND('',#43758,.T.); #24772=FACE_OUTER_BOUND('',#43759,.T.); #24773=FACE_OUTER_BOUND('',#43760,.T.); #24774=FACE_OUTER_BOUND('',#43761,.T.); #24775=FACE_OUTER_BOUND('',#43762,.T.); #24776=FACE_OUTER_BOUND('',#43763,.T.); #24777=FACE_OUTER_BOUND('',#43764,.T.); #24778=FACE_OUTER_BOUND('',#43765,.T.); #24779=FACE_OUTER_BOUND('',#43766,.T.); #24780=FACE_OUTER_BOUND('',#43767,.T.); #24781=FACE_OUTER_BOUND('',#43768,.T.); #24782=FACE_OUTER_BOUND('',#43769,.T.); #24783=FACE_OUTER_BOUND('',#43770,.T.); #24784=FACE_OUTER_BOUND('',#43771,.T.); #24785=FACE_OUTER_BOUND('',#43772,.T.); #24786=FACE_OUTER_BOUND('',#43773,.T.); #24787=FACE_OUTER_BOUND('',#43774,.T.); #24788=FACE_OUTER_BOUND('',#43775,.T.); #24789=FACE_OUTER_BOUND('',#43776,.T.); #24790=FACE_OUTER_BOUND('',#43777,.T.); #24791=FACE_OUTER_BOUND('',#43778,.T.); #24792=FACE_OUTER_BOUND('',#43779,.T.); #24793=FACE_OUTER_BOUND('',#43780,.T.); #24794=FACE_OUTER_BOUND('',#43781,.T.); #24795=FACE_OUTER_BOUND('',#43782,.T.); #24796=FACE_OUTER_BOUND('',#43783,.T.); #24797=FACE_OUTER_BOUND('',#43784,.T.); #24798=FACE_OUTER_BOUND('',#43785,.T.); #24799=FACE_OUTER_BOUND('',#43786,.T.); #24800=FACE_OUTER_BOUND('',#43787,.T.); #24801=FACE_OUTER_BOUND('',#43788,.T.); #24802=FACE_OUTER_BOUND('',#43789,.T.); #24803=FACE_OUTER_BOUND('',#43790,.T.); #24804=FACE_OUTER_BOUND('',#43791,.T.); #24805=FACE_OUTER_BOUND('',#43792,.T.); #24806=FACE_OUTER_BOUND('',#43793,.T.); #24807=FACE_OUTER_BOUND('',#43794,.T.); #24808=FACE_OUTER_BOUND('',#43795,.T.); #24809=FACE_OUTER_BOUND('',#43796,.T.); #24810=FACE_OUTER_BOUND('',#43797,.T.); #24811=FACE_OUTER_BOUND('',#43798,.T.); #24812=FACE_OUTER_BOUND('',#43799,.T.); #24813=FACE_OUTER_BOUND('',#43800,.T.); #24814=FACE_OUTER_BOUND('',#43801,.T.); #24815=FACE_OUTER_BOUND('',#43802,.T.); #24816=FACE_OUTER_BOUND('',#43803,.T.); #24817=FACE_OUTER_BOUND('',#43804,.T.); #24818=FACE_OUTER_BOUND('',#43805,.T.); #24819=FACE_OUTER_BOUND('',#43806,.T.); #24820=FACE_OUTER_BOUND('',#43807,.T.); #24821=FACE_OUTER_BOUND('',#43808,.T.); #24822=FACE_OUTER_BOUND('',#43809,.T.); #24823=FACE_OUTER_BOUND('',#43810,.T.); #24824=FACE_OUTER_BOUND('',#43811,.T.); #24825=FACE_OUTER_BOUND('',#43812,.T.); #24826=FACE_OUTER_BOUND('',#43813,.T.); #24827=FACE_OUTER_BOUND('',#43814,.T.); #24828=FACE_OUTER_BOUND('',#43815,.T.); #24829=FACE_OUTER_BOUND('',#43816,.T.); #24830=FACE_OUTER_BOUND('',#43817,.T.); #24831=FACE_OUTER_BOUND('',#43818,.T.); #24832=FACE_OUTER_BOUND('',#43819,.T.); #24833=FACE_OUTER_BOUND('',#43820,.T.); #24834=FACE_OUTER_BOUND('',#43821,.T.); #24835=FACE_OUTER_BOUND('',#43822,.T.); #24836=FACE_OUTER_BOUND('',#43823,.T.); #24837=FACE_OUTER_BOUND('',#43824,.T.); #24838=FACE_OUTER_BOUND('',#43825,.T.); #24839=FACE_OUTER_BOUND('',#43826,.T.); #24840=FACE_OUTER_BOUND('',#43827,.T.); #24841=FACE_OUTER_BOUND('',#43828,.T.); #24842=FACE_OUTER_BOUND('',#43829,.T.); #24843=FACE_OUTER_BOUND('',#43830,.T.); #24844=FACE_OUTER_BOUND('',#43831,.T.); #24845=FACE_OUTER_BOUND('',#43832,.T.); #24846=FACE_OUTER_BOUND('',#43833,.T.); #24847=FACE_OUTER_BOUND('',#43834,.T.); #24848=FACE_OUTER_BOUND('',#43835,.T.); #24849=FACE_OUTER_BOUND('',#43836,.T.); #24850=FACE_OUTER_BOUND('',#43837,.T.); #24851=FACE_OUTER_BOUND('',#43838,.T.); #24852=FACE_OUTER_BOUND('',#43839,.T.); #24853=FACE_OUTER_BOUND('',#43840,.T.); #24854=FACE_OUTER_BOUND('',#43841,.T.); #24855=FACE_OUTER_BOUND('',#43842,.T.); #24856=FACE_OUTER_BOUND('',#43843,.T.); #24857=FACE_OUTER_BOUND('',#43844,.T.); #24858=FACE_OUTER_BOUND('',#43845,.T.); #24859=FACE_OUTER_BOUND('',#43846,.T.); #24860=FACE_OUTER_BOUND('',#43847,.T.); #24861=FACE_OUTER_BOUND('',#43848,.T.); #24862=FACE_OUTER_BOUND('',#43849,.T.); #24863=FACE_OUTER_BOUND('',#43850,.T.); #24864=FACE_OUTER_BOUND('',#43851,.T.); #24865=FACE_OUTER_BOUND('',#43852,.T.); #24866=FACE_OUTER_BOUND('',#43853,.T.); #24867=FACE_OUTER_BOUND('',#43854,.T.); #24868=FACE_OUTER_BOUND('',#43855,.T.); #24869=FACE_OUTER_BOUND('',#43856,.T.); #24870=FACE_OUTER_BOUND('',#43857,.T.); #24871=FACE_OUTER_BOUND('',#43858,.T.); #24872=FACE_OUTER_BOUND('',#43859,.T.); #24873=FACE_OUTER_BOUND('',#43860,.T.); #24874=FACE_OUTER_BOUND('',#43861,.T.); #24875=FACE_OUTER_BOUND('',#43862,.T.); #24876=FACE_OUTER_BOUND('',#43863,.T.); #24877=FACE_OUTER_BOUND('',#43864,.T.); #24878=FACE_OUTER_BOUND('',#43865,.T.); #24879=FACE_OUTER_BOUND('',#43866,.T.); #24880=FACE_OUTER_BOUND('',#43867,.T.); #24881=FACE_OUTER_BOUND('',#43868,.T.); #24882=FACE_OUTER_BOUND('',#43869,.T.); #24883=FACE_OUTER_BOUND('',#43870,.T.); #24884=FACE_OUTER_BOUND('',#43871,.T.); #24885=FACE_OUTER_BOUND('',#43872,.T.); #24886=FACE_OUTER_BOUND('',#43873,.T.); #24887=FACE_OUTER_BOUND('',#43874,.T.); #24888=FACE_OUTER_BOUND('',#43875,.T.); #24889=FACE_OUTER_BOUND('',#43876,.T.); #24890=FACE_OUTER_BOUND('',#43877,.T.); #24891=FACE_OUTER_BOUND('',#43878,.T.); #24892=FACE_OUTER_BOUND('',#43879,.T.); #24893=FACE_OUTER_BOUND('',#43880,.T.); #24894=FACE_OUTER_BOUND('',#43881,.T.); #24895=FACE_OUTER_BOUND('',#43882,.T.); #24896=FACE_OUTER_BOUND('',#43883,.T.); #24897=FACE_OUTER_BOUND('',#43884,.T.); #24898=FACE_OUTER_BOUND('',#43885,.T.); #24899=FACE_OUTER_BOUND('',#43886,.T.); #24900=FACE_OUTER_BOUND('',#43887,.T.); #24901=FACE_OUTER_BOUND('',#43888,.T.); #24902=FACE_OUTER_BOUND('',#43889,.T.); #24903=FACE_OUTER_BOUND('',#43890,.T.); #24904=FACE_OUTER_BOUND('',#43891,.T.); #24905=FACE_OUTER_BOUND('',#43892,.T.); #24906=FACE_OUTER_BOUND('',#43893,.T.); #24907=FACE_OUTER_BOUND('',#43894,.T.); #24908=FACE_OUTER_BOUND('',#43895,.T.); #24909=FACE_OUTER_BOUND('',#43896,.T.); #24910=FACE_OUTER_BOUND('',#43897,.T.); #24911=FACE_OUTER_BOUND('',#43898,.T.); #24912=FACE_OUTER_BOUND('',#43899,.T.); #24913=FACE_OUTER_BOUND('',#43900,.T.); #24914=FACE_OUTER_BOUND('',#43901,.T.); #24915=FACE_OUTER_BOUND('',#43902,.T.); #24916=FACE_OUTER_BOUND('',#43903,.T.); #24917=FACE_OUTER_BOUND('',#43904,.T.); #24918=FACE_OUTER_BOUND('',#43905,.T.); #24919=FACE_OUTER_BOUND('',#43906,.T.); #24920=FACE_OUTER_BOUND('',#43907,.T.); #24921=FACE_OUTER_BOUND('',#43908,.T.); #24922=FACE_OUTER_BOUND('',#43909,.T.); #24923=FACE_OUTER_BOUND('',#43910,.T.); #24924=FACE_OUTER_BOUND('',#43911,.T.); #24925=FACE_OUTER_BOUND('',#43912,.T.); #24926=FACE_OUTER_BOUND('',#43913,.T.); #24927=FACE_OUTER_BOUND('',#43914,.T.); #24928=FACE_OUTER_BOUND('',#43915,.T.); #24929=FACE_OUTER_BOUND('',#43916,.T.); #24930=FACE_OUTER_BOUND('',#43917,.T.); #24931=FACE_OUTER_BOUND('',#43918,.T.); #24932=FACE_OUTER_BOUND('',#43919,.T.); #24933=FACE_OUTER_BOUND('',#43920,.T.); #24934=FACE_OUTER_BOUND('',#43921,.T.); #24935=FACE_OUTER_BOUND('',#43922,.T.); #24936=FACE_OUTER_BOUND('',#43923,.T.); #24937=FACE_OUTER_BOUND('',#43924,.T.); #24938=FACE_OUTER_BOUND('',#43925,.T.); #24939=FACE_OUTER_BOUND('',#43926,.T.); #24940=FACE_OUTER_BOUND('',#43927,.T.); #24941=FACE_OUTER_BOUND('',#43928,.T.); #24942=FACE_OUTER_BOUND('',#43929,.T.); #24943=FACE_OUTER_BOUND('',#43930,.T.); #24944=FACE_OUTER_BOUND('',#43931,.T.); #24945=FACE_OUTER_BOUND('',#43932,.T.); #24946=FACE_OUTER_BOUND('',#43933,.T.); #24947=FACE_OUTER_BOUND('',#43934,.T.); #24948=FACE_OUTER_BOUND('',#43935,.T.); #24949=FACE_OUTER_BOUND('',#43936,.T.); #24950=FACE_OUTER_BOUND('',#43937,.T.); #24951=FACE_OUTER_BOUND('',#43938,.T.); #24952=FACE_OUTER_BOUND('',#43939,.T.); #24953=FACE_OUTER_BOUND('',#43940,.T.); #24954=FACE_OUTER_BOUND('',#43941,.T.); #24955=FACE_OUTER_BOUND('',#43942,.T.); #24956=FACE_OUTER_BOUND('',#43943,.T.); #24957=FACE_OUTER_BOUND('',#43944,.T.); #24958=FACE_OUTER_BOUND('',#43945,.T.); #24959=FACE_OUTER_BOUND('',#43946,.T.); #24960=FACE_OUTER_BOUND('',#43947,.T.); #24961=FACE_OUTER_BOUND('',#43948,.T.); #24962=FACE_OUTER_BOUND('',#43949,.T.); #24963=FACE_OUTER_BOUND('',#43950,.T.); #24964=FACE_OUTER_BOUND('',#43951,.T.); #24965=FACE_OUTER_BOUND('',#43952,.T.); #24966=FACE_OUTER_BOUND('',#43953,.T.); #24967=FACE_OUTER_BOUND('',#43954,.T.); #24968=FACE_OUTER_BOUND('',#43955,.T.); #24969=FACE_OUTER_BOUND('',#43956,.T.); #24970=FACE_OUTER_BOUND('',#43957,.T.); #24971=FACE_OUTER_BOUND('',#43958,.T.); #24972=FACE_OUTER_BOUND('',#43959,.T.); #24973=FACE_OUTER_BOUND('',#43960,.T.); #24974=FACE_OUTER_BOUND('',#43961,.T.); #24975=FACE_OUTER_BOUND('',#43962,.T.); #24976=FACE_OUTER_BOUND('',#43963,.T.); #24977=FACE_OUTER_BOUND('',#43964,.T.); #24978=FACE_OUTER_BOUND('',#43965,.T.); #24979=FACE_OUTER_BOUND('',#43966,.T.); #24980=FACE_OUTER_BOUND('',#43967,.T.); #24981=FACE_OUTER_BOUND('',#43968,.T.); #24982=FACE_OUTER_BOUND('',#43969,.T.); #24983=FACE_OUTER_BOUND('',#43970,.T.); #24984=FACE_OUTER_BOUND('',#43971,.T.); #24985=FACE_OUTER_BOUND('',#43972,.T.); #24986=FACE_OUTER_BOUND('',#43973,.T.); #24987=FACE_OUTER_BOUND('',#43974,.T.); #24988=FACE_OUTER_BOUND('',#43975,.T.); #24989=FACE_OUTER_BOUND('',#43976,.T.); #24990=FACE_OUTER_BOUND('',#43977,.T.); #24991=FACE_OUTER_BOUND('',#43978,.T.); #24992=FACE_OUTER_BOUND('',#43979,.T.); #24993=FACE_OUTER_BOUND('',#43980,.T.); #24994=FACE_OUTER_BOUND('',#43981,.T.); #24995=FACE_OUTER_BOUND('',#43982,.T.); #24996=FACE_OUTER_BOUND('',#43983,.T.); #24997=FACE_OUTER_BOUND('',#43984,.T.); #24998=FACE_OUTER_BOUND('',#43985,.T.); #24999=FACE_OUTER_BOUND('',#43986,.T.); #25000=FACE_OUTER_BOUND('',#43987,.T.); #25001=FACE_OUTER_BOUND('',#43988,.T.); #25002=FACE_OUTER_BOUND('',#43989,.T.); #25003=FACE_OUTER_BOUND('',#43990,.T.); #25004=FACE_OUTER_BOUND('',#43991,.T.); #25005=FACE_OUTER_BOUND('',#43992,.T.); #25006=FACE_OUTER_BOUND('',#43993,.T.); #25007=FACE_OUTER_BOUND('',#43994,.T.); #25008=FACE_OUTER_BOUND('',#43995,.T.); #25009=FACE_OUTER_BOUND('',#43996,.T.); #25010=FACE_OUTER_BOUND('',#43997,.T.); #25011=FACE_OUTER_BOUND('',#43998,.T.); #25012=FACE_OUTER_BOUND('',#43999,.T.); #25013=FACE_OUTER_BOUND('',#44000,.T.); #25014=FACE_OUTER_BOUND('',#44001,.T.); #25015=FACE_OUTER_BOUND('',#44002,.T.); #25016=FACE_OUTER_BOUND('',#44003,.T.); #25017=FACE_OUTER_BOUND('',#44004,.T.); #25018=FACE_OUTER_BOUND('',#44005,.T.); #25019=FACE_OUTER_BOUND('',#44006,.T.); #25020=FACE_OUTER_BOUND('',#44007,.T.); #25021=FACE_OUTER_BOUND('',#44008,.T.); #25022=FACE_OUTER_BOUND('',#44009,.T.); #25023=FACE_OUTER_BOUND('',#44010,.T.); #25024=FACE_OUTER_BOUND('',#44011,.T.); #25025=FACE_OUTER_BOUND('',#44012,.T.); #25026=FACE_OUTER_BOUND('',#44013,.T.); #25027=FACE_OUTER_BOUND('',#44014,.T.); #25028=FACE_OUTER_BOUND('',#44015,.T.); #25029=FACE_OUTER_BOUND('',#44016,.T.); #25030=FACE_OUTER_BOUND('',#44017,.T.); #25031=FACE_OUTER_BOUND('',#44018,.T.); #25032=FACE_OUTER_BOUND('',#44019,.T.); #25033=FACE_OUTER_BOUND('',#44020,.T.); #25034=FACE_OUTER_BOUND('',#44021,.T.); #25035=FACE_OUTER_BOUND('',#44022,.T.); #25036=FACE_OUTER_BOUND('',#44023,.T.); #25037=FACE_OUTER_BOUND('',#44024,.T.); #25038=FACE_OUTER_BOUND('',#44025,.T.); #25039=FACE_OUTER_BOUND('',#44026,.T.); #25040=FACE_OUTER_BOUND('',#44027,.T.); #25041=FACE_OUTER_BOUND('',#44028,.T.); #25042=FACE_OUTER_BOUND('',#44029,.T.); #25043=FACE_OUTER_BOUND('',#44030,.T.); #25044=FACE_OUTER_BOUND('',#44031,.T.); #25045=FACE_OUTER_BOUND('',#44032,.T.); #25046=FACE_OUTER_BOUND('',#44033,.T.); #25047=FACE_OUTER_BOUND('',#44034,.T.); #25048=FACE_OUTER_BOUND('',#44035,.T.); #25049=FACE_OUTER_BOUND('',#44036,.T.); #25050=FACE_OUTER_BOUND('',#44037,.T.); #25051=FACE_OUTER_BOUND('',#44038,.T.); #25052=FACE_OUTER_BOUND('',#44039,.T.); #25053=FACE_OUTER_BOUND('',#44040,.T.); #25054=FACE_OUTER_BOUND('',#44041,.T.); #25055=FACE_OUTER_BOUND('',#44042,.T.); #25056=FACE_OUTER_BOUND('',#44043,.T.); #25057=FACE_OUTER_BOUND('',#44044,.T.); #25058=FACE_OUTER_BOUND('',#44045,.T.); #25059=FACE_OUTER_BOUND('',#44046,.T.); #25060=FACE_OUTER_BOUND('',#44047,.T.); #25061=FACE_OUTER_BOUND('',#44048,.T.); #25062=FACE_OUTER_BOUND('',#44049,.T.); #25063=FACE_OUTER_BOUND('',#44050,.T.); #25064=FACE_OUTER_BOUND('',#44051,.T.); #25065=FACE_OUTER_BOUND('',#44052,.T.); #25066=FACE_OUTER_BOUND('',#44053,.T.); #25067=FACE_OUTER_BOUND('',#44054,.T.); #25068=FACE_OUTER_BOUND('',#44055,.T.); #25069=FACE_OUTER_BOUND('',#44056,.T.); #25070=FACE_OUTER_BOUND('',#44057,.T.); #25071=FACE_OUTER_BOUND('',#44058,.T.); #25072=FACE_OUTER_BOUND('',#44059,.T.); #25073=FACE_OUTER_BOUND('',#44060,.T.); #25074=FACE_OUTER_BOUND('',#44061,.T.); #25075=FACE_OUTER_BOUND('',#44062,.T.); #25076=FACE_OUTER_BOUND('',#44063,.T.); #25077=FACE_OUTER_BOUND('',#44064,.T.); #25078=FACE_OUTER_BOUND('',#44065,.T.); #25079=FACE_OUTER_BOUND('',#44066,.T.); #25080=FACE_OUTER_BOUND('',#44067,.T.); #25081=FACE_OUTER_BOUND('',#44068,.T.); #25082=FACE_OUTER_BOUND('',#44069,.T.); #25083=FACE_OUTER_BOUND('',#44070,.T.); #25084=FACE_OUTER_BOUND('',#44071,.T.); #25085=FACE_OUTER_BOUND('',#44072,.T.); #25086=FACE_OUTER_BOUND('',#44073,.T.); #25087=FACE_OUTER_BOUND('',#44074,.T.); #25088=FACE_OUTER_BOUND('',#44075,.T.); #25089=FACE_OUTER_BOUND('',#44076,.T.); #25090=FACE_OUTER_BOUND('',#44077,.T.); #25091=FACE_OUTER_BOUND('',#44078,.T.); #25092=FACE_OUTER_BOUND('',#44079,.T.); #25093=FACE_OUTER_BOUND('',#44080,.T.); #25094=FACE_OUTER_BOUND('',#44081,.T.); #25095=FACE_OUTER_BOUND('',#44082,.T.); #25096=FACE_OUTER_BOUND('',#44083,.T.); #25097=FACE_OUTER_BOUND('',#44084,.T.); #25098=FACE_OUTER_BOUND('',#44085,.T.); #25099=FACE_OUTER_BOUND('',#44086,.T.); #25100=FACE_OUTER_BOUND('',#44087,.T.); #25101=FACE_OUTER_BOUND('',#44088,.T.); #25102=FACE_OUTER_BOUND('',#44089,.T.); #25103=FACE_OUTER_BOUND('',#44090,.T.); #25104=FACE_OUTER_BOUND('',#44091,.T.); #25105=FACE_OUTER_BOUND('',#44092,.T.); #25106=FACE_OUTER_BOUND('',#44093,.T.); #25107=FACE_OUTER_BOUND('',#44094,.T.); #25108=FACE_OUTER_BOUND('',#44095,.T.); #25109=FACE_OUTER_BOUND('',#44096,.T.); #25110=FACE_OUTER_BOUND('',#44097,.T.); #25111=FACE_OUTER_BOUND('',#44098,.T.); #25112=FACE_OUTER_BOUND('',#44099,.T.); #25113=FACE_OUTER_BOUND('',#44100,.T.); #25114=FACE_OUTER_BOUND('',#44101,.T.); #25115=FACE_OUTER_BOUND('',#44102,.T.); #25116=FACE_OUTER_BOUND('',#44103,.T.); #25117=FACE_OUTER_BOUND('',#44104,.T.); #25118=FACE_OUTER_BOUND('',#44105,.T.); #25119=FACE_OUTER_BOUND('',#44106,.T.); #25120=FACE_OUTER_BOUND('',#44107,.T.); #25121=FACE_OUTER_BOUND('',#44108,.T.); #25122=FACE_OUTER_BOUND('',#44109,.T.); #25123=FACE_OUTER_BOUND('',#44110,.T.); #25124=FACE_OUTER_BOUND('',#44111,.T.); #25125=FACE_OUTER_BOUND('',#44112,.T.); #25126=FACE_OUTER_BOUND('',#44113,.T.); #25127=FACE_OUTER_BOUND('',#44114,.T.); #25128=FACE_OUTER_BOUND('',#44115,.T.); #25129=FACE_OUTER_BOUND('',#44116,.T.); #25130=FACE_OUTER_BOUND('',#44117,.T.); #25131=FACE_OUTER_BOUND('',#44118,.T.); #25132=FACE_OUTER_BOUND('',#44119,.T.); #25133=FACE_OUTER_BOUND('',#44120,.T.); #25134=FACE_OUTER_BOUND('',#44121,.T.); #25135=FACE_OUTER_BOUND('',#44122,.T.); #25136=FACE_OUTER_BOUND('',#44123,.T.); #25137=FACE_OUTER_BOUND('',#44124,.T.); #25138=FACE_OUTER_BOUND('',#44125,.T.); #25139=FACE_OUTER_BOUND('',#44126,.T.); #25140=FACE_OUTER_BOUND('',#44127,.T.); #25141=FACE_OUTER_BOUND('',#44128,.T.); #25142=FACE_OUTER_BOUND('',#44129,.T.); #25143=FACE_OUTER_BOUND('',#44130,.T.); #25144=FACE_OUTER_BOUND('',#44131,.T.); #25145=FACE_OUTER_BOUND('',#44132,.T.); #25146=FACE_OUTER_BOUND('',#44133,.T.); #25147=FACE_OUTER_BOUND('',#44134,.T.); #25148=FACE_OUTER_BOUND('',#44135,.T.); #25149=FACE_OUTER_BOUND('',#44136,.T.); #25150=FACE_OUTER_BOUND('',#44137,.T.); #25151=FACE_OUTER_BOUND('',#44138,.T.); #25152=FACE_OUTER_BOUND('',#44139,.T.); #25153=FACE_OUTER_BOUND('',#44140,.T.); #25154=FACE_OUTER_BOUND('',#44141,.T.); #25155=FACE_OUTER_BOUND('',#44142,.T.); #25156=FACE_OUTER_BOUND('',#44143,.T.); #25157=FACE_OUTER_BOUND('',#44144,.T.); #25158=FACE_OUTER_BOUND('',#44145,.T.); #25159=FACE_OUTER_BOUND('',#44146,.T.); #25160=FACE_OUTER_BOUND('',#44147,.T.); #25161=FACE_OUTER_BOUND('',#44148,.T.); #25162=FACE_OUTER_BOUND('',#44149,.T.); #25163=FACE_OUTER_BOUND('',#44150,.T.); #25164=FACE_OUTER_BOUND('',#44151,.T.); #25165=FACE_OUTER_BOUND('',#44152,.T.); #25166=FACE_OUTER_BOUND('',#44153,.T.); #25167=FACE_OUTER_BOUND('',#44154,.T.); #25168=FACE_OUTER_BOUND('',#44155,.T.); #25169=FACE_OUTER_BOUND('',#44156,.T.); #25170=FACE_OUTER_BOUND('',#44157,.T.); #25171=FACE_OUTER_BOUND('',#44158,.T.); #25172=FACE_OUTER_BOUND('',#44159,.T.); #25173=FACE_OUTER_BOUND('',#44160,.T.); #25174=FACE_OUTER_BOUND('',#44161,.T.); #25175=FACE_OUTER_BOUND('',#44162,.T.); #25176=FACE_OUTER_BOUND('',#44163,.T.); #25177=FACE_OUTER_BOUND('',#44164,.T.); #25178=FACE_OUTER_BOUND('',#44165,.T.); #25179=FACE_OUTER_BOUND('',#44166,.T.); #25180=FACE_OUTER_BOUND('',#44167,.T.); #25181=FACE_OUTER_BOUND('',#44168,.T.); #25182=FACE_OUTER_BOUND('',#44169,.T.); #25183=FACE_OUTER_BOUND('',#44170,.T.); #25184=FACE_OUTER_BOUND('',#44171,.T.); #25185=FACE_OUTER_BOUND('',#44172,.T.); #25186=FACE_OUTER_BOUND('',#44173,.T.); #25187=FACE_OUTER_BOUND('',#44174,.T.); #25188=FACE_OUTER_BOUND('',#44175,.T.); #25189=FACE_OUTER_BOUND('',#44176,.T.); #25190=FACE_OUTER_BOUND('',#44177,.T.); #25191=FACE_OUTER_BOUND('',#44178,.T.); #25192=FACE_OUTER_BOUND('',#44179,.T.); #25193=FACE_OUTER_BOUND('',#44180,.T.); #25194=FACE_OUTER_BOUND('',#44181,.T.); #25195=FACE_OUTER_BOUND('',#44182,.T.); #25196=FACE_OUTER_BOUND('',#44183,.T.); #25197=FACE_OUTER_BOUND('',#44184,.T.); #25198=FACE_OUTER_BOUND('',#44185,.T.); #25199=FACE_OUTER_BOUND('',#44186,.T.); #25200=FACE_OUTER_BOUND('',#44187,.T.); #25201=FACE_OUTER_BOUND('',#44188,.T.); #25202=FACE_OUTER_BOUND('',#44189,.T.); #25203=FACE_OUTER_BOUND('',#44190,.T.); #25204=FACE_OUTER_BOUND('',#44191,.T.); #25205=FACE_OUTER_BOUND('',#44192,.T.); #25206=FACE_OUTER_BOUND('',#44193,.T.); #25207=FACE_OUTER_BOUND('',#44194,.T.); #25208=FACE_OUTER_BOUND('',#44195,.T.); #25209=FACE_OUTER_BOUND('',#44196,.T.); #25210=FACE_OUTER_BOUND('',#44197,.T.); #25211=FACE_OUTER_BOUND('',#44198,.T.); #25212=FACE_OUTER_BOUND('',#44199,.T.); #25213=FACE_OUTER_BOUND('',#44200,.T.); #25214=FACE_OUTER_BOUND('',#44201,.T.); #25215=FACE_OUTER_BOUND('',#44202,.T.); #25216=FACE_OUTER_BOUND('',#44203,.T.); #25217=FACE_OUTER_BOUND('',#44204,.T.); #25218=FACE_OUTER_BOUND('',#44205,.T.); #25219=FACE_OUTER_BOUND('',#44206,.T.); #25220=FACE_OUTER_BOUND('',#44207,.T.); #25221=FACE_OUTER_BOUND('',#44208,.T.); #25222=FACE_OUTER_BOUND('',#44209,.T.); #25223=FACE_OUTER_BOUND('',#44210,.T.); #25224=FACE_OUTER_BOUND('',#44211,.T.); #25225=FACE_OUTER_BOUND('',#44212,.T.); #25226=FACE_OUTER_BOUND('',#44213,.T.); #25227=FACE_OUTER_BOUND('',#44214,.T.); #25228=FACE_OUTER_BOUND('',#44215,.T.); #25229=FACE_OUTER_BOUND('',#44216,.T.); #25230=FACE_OUTER_BOUND('',#44217,.T.); #25231=FACE_OUTER_BOUND('',#44218,.T.); #25232=FACE_OUTER_BOUND('',#44219,.T.); #25233=FACE_OUTER_BOUND('',#44220,.T.); #25234=FACE_OUTER_BOUND('',#44221,.T.); #25235=FACE_OUTER_BOUND('',#44222,.T.); #25236=FACE_OUTER_BOUND('',#44223,.T.); #25237=FACE_OUTER_BOUND('',#44224,.T.); #25238=FACE_OUTER_BOUND('',#44225,.T.); #25239=FACE_OUTER_BOUND('',#44226,.T.); #25240=FACE_OUTER_BOUND('',#44227,.T.); #25241=FACE_OUTER_BOUND('',#44228,.T.); #25242=FACE_OUTER_BOUND('',#44229,.T.); #25243=FACE_OUTER_BOUND('',#44230,.T.); #25244=FACE_OUTER_BOUND('',#44231,.T.); #25245=FACE_OUTER_BOUND('',#44232,.T.); #25246=FACE_OUTER_BOUND('',#44233,.T.); #25247=FACE_OUTER_BOUND('',#44234,.T.); #25248=FACE_OUTER_BOUND('',#44235,.T.); #25249=FACE_OUTER_BOUND('',#44236,.T.); #25250=FACE_OUTER_BOUND('',#44237,.T.); #25251=FACE_OUTER_BOUND('',#44238,.T.); #25252=FACE_OUTER_BOUND('',#44239,.T.); #25253=FACE_OUTER_BOUND('',#44240,.T.); #25254=FACE_OUTER_BOUND('',#44241,.T.); #25255=FACE_OUTER_BOUND('',#44242,.T.); #25256=FACE_OUTER_BOUND('',#44243,.T.); #25257=FACE_OUTER_BOUND('',#44244,.T.); #25258=FACE_OUTER_BOUND('',#44245,.T.); #25259=FACE_OUTER_BOUND('',#44246,.T.); #25260=FACE_OUTER_BOUND('',#44247,.T.); #25261=FACE_OUTER_BOUND('',#44248,.T.); #25262=FACE_OUTER_BOUND('',#44249,.T.); #25263=FACE_OUTER_BOUND('',#44250,.T.); #25264=FACE_OUTER_BOUND('',#44251,.T.); #25265=FACE_OUTER_BOUND('',#44252,.T.); #25266=FACE_OUTER_BOUND('',#44253,.T.); #25267=FACE_OUTER_BOUND('',#44254,.T.); #25268=FACE_OUTER_BOUND('',#44255,.T.); #25269=FACE_OUTER_BOUND('',#44256,.T.); #25270=FACE_OUTER_BOUND('',#44257,.T.); #25271=FACE_OUTER_BOUND('',#44258,.T.); #25272=FACE_OUTER_BOUND('',#44259,.T.); #25273=FACE_OUTER_BOUND('',#44260,.T.); #25274=FACE_OUTER_BOUND('',#44261,.T.); #25275=FACE_OUTER_BOUND('',#44262,.T.); #25276=FACE_OUTER_BOUND('',#44263,.T.); #25277=FACE_OUTER_BOUND('',#44264,.T.); #25278=FACE_OUTER_BOUND('',#44265,.T.); #25279=FACE_OUTER_BOUND('',#44266,.T.); #25280=FACE_OUTER_BOUND('',#44267,.T.); #25281=FACE_OUTER_BOUND('',#44268,.T.); #25282=FACE_OUTER_BOUND('',#44269,.T.); #25283=FACE_OUTER_BOUND('',#44270,.T.); #25284=FACE_OUTER_BOUND('',#44271,.T.); #25285=FACE_OUTER_BOUND('',#44272,.T.); #25286=FACE_OUTER_BOUND('',#44273,.T.); #25287=FACE_OUTER_BOUND('',#44274,.T.); #25288=FACE_OUTER_BOUND('',#44275,.T.); #25289=FACE_OUTER_BOUND('',#44276,.T.); #25290=FACE_OUTER_BOUND('',#44277,.T.); #25291=FACE_OUTER_BOUND('',#44278,.T.); #25292=FACE_OUTER_BOUND('',#44279,.T.); #25293=FACE_OUTER_BOUND('',#44280,.T.); #25294=FACE_OUTER_BOUND('',#44281,.T.); #25295=FACE_OUTER_BOUND('',#44282,.T.); #25296=FACE_OUTER_BOUND('',#44283,.T.); #25297=FACE_OUTER_BOUND('',#44284,.T.); #25298=FACE_OUTER_BOUND('',#44285,.T.); #25299=FACE_OUTER_BOUND('',#44286,.T.); #25300=FACE_OUTER_BOUND('',#44287,.T.); #25301=FACE_OUTER_BOUND('',#44288,.T.); #25302=FACE_OUTER_BOUND('',#44289,.T.); #25303=FACE_OUTER_BOUND('',#44290,.T.); #25304=FACE_OUTER_BOUND('',#44291,.T.); #25305=FACE_OUTER_BOUND('',#44292,.T.); #25306=FACE_OUTER_BOUND('',#44293,.T.); #25307=FACE_OUTER_BOUND('',#44294,.T.); #25308=FACE_OUTER_BOUND('',#44295,.T.); #25309=FACE_OUTER_BOUND('',#44296,.T.); #25310=FACE_OUTER_BOUND('',#44297,.T.); #25311=FACE_OUTER_BOUND('',#44298,.T.); #25312=FACE_OUTER_BOUND('',#44299,.T.); #25313=FACE_OUTER_BOUND('',#44300,.T.); #25314=FACE_OUTER_BOUND('',#44301,.T.); #25315=FACE_OUTER_BOUND('',#44302,.T.); #25316=FACE_OUTER_BOUND('',#44303,.T.); #25317=FACE_OUTER_BOUND('',#44304,.T.); #25318=FACE_OUTER_BOUND('',#44305,.T.); #25319=FACE_OUTER_BOUND('',#44306,.T.); #25320=FACE_OUTER_BOUND('',#44307,.T.); #25321=FACE_OUTER_BOUND('',#44308,.T.); #25322=FACE_OUTER_BOUND('',#44309,.T.); #25323=FACE_OUTER_BOUND('',#44310,.T.); #25324=FACE_OUTER_BOUND('',#44311,.T.); #25325=FACE_OUTER_BOUND('',#44312,.T.); #25326=FACE_OUTER_BOUND('',#44313,.T.); #25327=FACE_OUTER_BOUND('',#44314,.T.); #25328=FACE_OUTER_BOUND('',#44315,.T.); #25329=FACE_OUTER_BOUND('',#44316,.T.); #25330=FACE_OUTER_BOUND('',#44317,.T.); #25331=FACE_OUTER_BOUND('',#44318,.T.); #25332=FACE_OUTER_BOUND('',#44319,.T.); #25333=FACE_OUTER_BOUND('',#44320,.T.); #25334=FACE_OUTER_BOUND('',#44321,.T.); #25335=FACE_OUTER_BOUND('',#44322,.T.); #25336=FACE_OUTER_BOUND('',#44323,.T.); #25337=FACE_OUTER_BOUND('',#44324,.T.); #25338=FACE_OUTER_BOUND('',#44325,.T.); #25339=FACE_OUTER_BOUND('',#44326,.T.); #25340=FACE_OUTER_BOUND('',#44327,.T.); #25341=FACE_OUTER_BOUND('',#44328,.T.); #25342=FACE_OUTER_BOUND('',#44329,.T.); #25343=FACE_OUTER_BOUND('',#44330,.T.); #25344=FACE_OUTER_BOUND('',#44331,.T.); #25345=FACE_OUTER_BOUND('',#44332,.T.); #25346=FACE_OUTER_BOUND('',#44333,.T.); #25347=FACE_OUTER_BOUND('',#44334,.T.); #25348=FACE_OUTER_BOUND('',#44335,.T.); #25349=FACE_OUTER_BOUND('',#44336,.T.); #25350=FACE_OUTER_BOUND('',#44337,.T.); #25351=FACE_OUTER_BOUND('',#44338,.T.); #25352=FACE_OUTER_BOUND('',#44339,.T.); #25353=FACE_OUTER_BOUND('',#44340,.T.); #25354=FACE_OUTER_BOUND('',#44341,.T.); #25355=FACE_OUTER_BOUND('',#44342,.T.); #25356=FACE_OUTER_BOUND('',#44343,.T.); #25357=FACE_OUTER_BOUND('',#44344,.T.); #25358=FACE_OUTER_BOUND('',#44345,.T.); #25359=FACE_OUTER_BOUND('',#44346,.T.); #25360=FACE_OUTER_BOUND('',#44347,.T.); #25361=FACE_OUTER_BOUND('',#44348,.T.); #25362=FACE_OUTER_BOUND('',#44349,.T.); #25363=FACE_OUTER_BOUND('',#44350,.T.); #25364=FACE_OUTER_BOUND('',#44351,.T.); #25365=FACE_OUTER_BOUND('',#44352,.T.); #25366=FACE_OUTER_BOUND('',#44353,.T.); #25367=FACE_OUTER_BOUND('',#44354,.T.); #25368=FACE_OUTER_BOUND('',#44355,.T.); #25369=FACE_OUTER_BOUND('',#44356,.T.); #25370=FACE_OUTER_BOUND('',#44357,.T.); #25371=FACE_OUTER_BOUND('',#44358,.T.); #25372=FACE_OUTER_BOUND('',#44359,.T.); #25373=FACE_OUTER_BOUND('',#44360,.T.); #25374=FACE_OUTER_BOUND('',#44361,.T.); #25375=FACE_OUTER_BOUND('',#44362,.T.); #25376=FACE_OUTER_BOUND('',#44363,.T.); #25377=FACE_OUTER_BOUND('',#44364,.T.); #25378=FACE_OUTER_BOUND('',#44365,.T.); #25379=FACE_OUTER_BOUND('',#44366,.T.); #25380=FACE_OUTER_BOUND('',#44367,.T.); #25381=FACE_OUTER_BOUND('',#44368,.T.); #25382=FACE_OUTER_BOUND('',#44369,.T.); #25383=FACE_OUTER_BOUND('',#44370,.T.); #25384=FACE_OUTER_BOUND('',#44371,.T.); #25385=FACE_OUTER_BOUND('',#44372,.T.); #25386=FACE_OUTER_BOUND('',#44373,.T.); #25387=FACE_OUTER_BOUND('',#44374,.T.); #25388=FACE_OUTER_BOUND('',#44375,.T.); #25389=FACE_OUTER_BOUND('',#44376,.T.); #25390=FACE_OUTER_BOUND('',#44377,.T.); #25391=FACE_OUTER_BOUND('',#44378,.T.); #25392=FACE_OUTER_BOUND('',#44379,.T.); #25393=FACE_OUTER_BOUND('',#44380,.T.); #25394=FACE_OUTER_BOUND('',#44381,.T.); #25395=FACE_OUTER_BOUND('',#44382,.T.); #25396=FACE_OUTER_BOUND('',#44383,.T.); #25397=FACE_OUTER_BOUND('',#44384,.T.); #25398=FACE_OUTER_BOUND('',#44385,.T.); #25399=FACE_OUTER_BOUND('',#44386,.T.); #25400=FACE_OUTER_BOUND('',#44387,.T.); #25401=FACE_OUTER_BOUND('',#44388,.T.); #25402=FACE_OUTER_BOUND('',#44389,.T.); #25403=FACE_OUTER_BOUND('',#44390,.T.); #25404=FACE_OUTER_BOUND('',#44391,.T.); #25405=FACE_OUTER_BOUND('',#44392,.T.); #25406=FACE_OUTER_BOUND('',#44393,.T.); #25407=FACE_OUTER_BOUND('',#44394,.T.); #25408=FACE_OUTER_BOUND('',#44395,.T.); #25409=FACE_OUTER_BOUND('',#44396,.T.); #25410=FACE_OUTER_BOUND('',#44397,.T.); #25411=FACE_OUTER_BOUND('',#44398,.T.); #25412=FACE_OUTER_BOUND('',#44399,.T.); #25413=FACE_OUTER_BOUND('',#44400,.T.); #25414=FACE_OUTER_BOUND('',#44401,.T.); #25415=FACE_OUTER_BOUND('',#44402,.T.); #25416=FACE_OUTER_BOUND('',#44403,.T.); #25417=FACE_OUTER_BOUND('',#44404,.T.); #25418=FACE_OUTER_BOUND('',#44405,.T.); #25419=FACE_OUTER_BOUND('',#44406,.T.); #25420=FACE_OUTER_BOUND('',#44407,.T.); #25421=FACE_OUTER_BOUND('',#44408,.T.); #25422=FACE_OUTER_BOUND('',#44409,.T.); #25423=FACE_OUTER_BOUND('',#44410,.T.); #25424=FACE_OUTER_BOUND('',#44411,.T.); #25425=FACE_OUTER_BOUND('',#44412,.T.); #25426=FACE_OUTER_BOUND('',#44413,.T.); #25427=FACE_OUTER_BOUND('',#44414,.T.); #25428=FACE_OUTER_BOUND('',#44415,.T.); #25429=FACE_OUTER_BOUND('',#44416,.T.); #25430=FACE_OUTER_BOUND('',#44417,.T.); #25431=FACE_OUTER_BOUND('',#44418,.T.); #25432=FACE_OUTER_BOUND('',#44419,.T.); #25433=FACE_OUTER_BOUND('',#44420,.T.); #25434=FACE_OUTER_BOUND('',#44421,.T.); #25435=FACE_OUTER_BOUND('',#44422,.T.); #25436=FACE_OUTER_BOUND('',#44423,.T.); #25437=FACE_OUTER_BOUND('',#44424,.T.); #25438=FACE_OUTER_BOUND('',#44425,.T.); #25439=FACE_OUTER_BOUND('',#44426,.T.); #25440=FACE_OUTER_BOUND('',#44427,.T.); #25441=FACE_OUTER_BOUND('',#44428,.T.); #25442=FACE_OUTER_BOUND('',#44429,.T.); #25443=FACE_OUTER_BOUND('',#44430,.T.); #25444=FACE_OUTER_BOUND('',#44431,.T.); #25445=FACE_OUTER_BOUND('',#44432,.T.); #25446=FACE_OUTER_BOUND('',#44433,.T.); #25447=FACE_OUTER_BOUND('',#44434,.T.); #25448=FACE_OUTER_BOUND('',#44435,.T.); #25449=FACE_OUTER_BOUND('',#44436,.T.); #25450=FACE_OUTER_BOUND('',#44437,.T.); #25451=FACE_OUTER_BOUND('',#44438,.T.); #25452=FACE_OUTER_BOUND('',#44439,.T.); #25453=FACE_OUTER_BOUND('',#44440,.T.); #25454=FACE_OUTER_BOUND('',#44441,.T.); #25455=FACE_OUTER_BOUND('',#44442,.T.); #25456=FACE_OUTER_BOUND('',#44443,.T.); #25457=FACE_OUTER_BOUND('',#44444,.T.); #25458=FACE_OUTER_BOUND('',#44445,.T.); #25459=FACE_OUTER_BOUND('',#44446,.T.); #25460=FACE_OUTER_BOUND('',#44447,.T.); #25461=FACE_OUTER_BOUND('',#44448,.T.); #25462=FACE_OUTER_BOUND('',#44449,.T.); #25463=FACE_OUTER_BOUND('',#44450,.T.); #25464=FACE_OUTER_BOUND('',#44451,.T.); #25465=FACE_OUTER_BOUND('',#44452,.T.); #25466=FACE_OUTER_BOUND('',#44453,.T.); #25467=FACE_OUTER_BOUND('',#44454,.T.); #25468=FACE_OUTER_BOUND('',#44455,.T.); #25469=FACE_OUTER_BOUND('',#44456,.T.); #25470=FACE_OUTER_BOUND('',#44457,.T.); #25471=FACE_OUTER_BOUND('',#44458,.T.); #25472=FACE_OUTER_BOUND('',#44459,.T.); #25473=FACE_OUTER_BOUND('',#44460,.T.); #25474=FACE_OUTER_BOUND('',#44461,.T.); #25475=FACE_OUTER_BOUND('',#44462,.T.); #25476=FACE_OUTER_BOUND('',#44463,.T.); #25477=FACE_OUTER_BOUND('',#44464,.T.); #25478=FACE_OUTER_BOUND('',#44465,.T.); #25479=FACE_OUTER_BOUND('',#44466,.T.); #25480=FACE_OUTER_BOUND('',#44467,.T.); #25481=FACE_OUTER_BOUND('',#44468,.T.); #25482=FACE_OUTER_BOUND('',#44469,.T.); #25483=FACE_OUTER_BOUND('',#44470,.T.); #25484=FACE_OUTER_BOUND('',#44471,.T.); #25485=FACE_OUTER_BOUND('',#44472,.T.); #25486=FACE_OUTER_BOUND('',#44473,.T.); #25487=FACE_OUTER_BOUND('',#44474,.T.); #25488=FACE_OUTER_BOUND('',#44475,.T.); #25489=FACE_OUTER_BOUND('',#44476,.T.); #25490=FACE_OUTER_BOUND('',#44477,.T.); #25491=FACE_OUTER_BOUND('',#44478,.T.); #25492=FACE_OUTER_BOUND('',#44479,.T.); #25493=FACE_OUTER_BOUND('',#44480,.T.); #25494=FACE_OUTER_BOUND('',#44481,.T.); #25495=FACE_OUTER_BOUND('',#44482,.T.); #25496=FACE_OUTER_BOUND('',#44483,.T.); #25497=FACE_OUTER_BOUND('',#44484,.T.); #25498=FACE_OUTER_BOUND('',#44485,.T.); #25499=FACE_OUTER_BOUND('',#44486,.T.); #25500=FACE_OUTER_BOUND('',#44487,.T.); #25501=FACE_OUTER_BOUND('',#44488,.T.); #25502=FACE_OUTER_BOUND('',#44489,.T.); #25503=FACE_OUTER_BOUND('',#44490,.T.); #25504=FACE_OUTER_BOUND('',#44491,.T.); #25505=FACE_OUTER_BOUND('',#44492,.T.); #25506=FACE_OUTER_BOUND('',#44493,.T.); #25507=FACE_OUTER_BOUND('',#44494,.T.); #25508=FACE_OUTER_BOUND('',#44495,.T.); #25509=FACE_OUTER_BOUND('',#44496,.T.); #25510=FACE_OUTER_BOUND('',#44497,.T.); #25511=FACE_OUTER_BOUND('',#44498,.T.); #25512=FACE_OUTER_BOUND('',#44499,.T.); #25513=FACE_OUTER_BOUND('',#44500,.T.); #25514=FACE_OUTER_BOUND('',#44501,.T.); #25515=FACE_OUTER_BOUND('',#44502,.T.); #25516=FACE_OUTER_BOUND('',#44503,.T.); #25517=FACE_OUTER_BOUND('',#44504,.T.); #25518=FACE_OUTER_BOUND('',#44505,.T.); #25519=FACE_OUTER_BOUND('',#44506,.T.); #25520=FACE_OUTER_BOUND('',#44507,.T.); #25521=FACE_OUTER_BOUND('',#44508,.T.); #25522=FACE_OUTER_BOUND('',#44509,.T.); #25523=FACE_OUTER_BOUND('',#44510,.T.); #25524=FACE_OUTER_BOUND('',#44511,.T.); #25525=FACE_OUTER_BOUND('',#44512,.T.); #25526=FACE_OUTER_BOUND('',#44513,.T.); #25527=FACE_OUTER_BOUND('',#44514,.T.); #25528=FACE_OUTER_BOUND('',#44515,.T.); #25529=FACE_OUTER_BOUND('',#44516,.T.); #25530=FACE_OUTER_BOUND('',#44517,.T.); #25531=FACE_OUTER_BOUND('',#44518,.T.); #25532=FACE_OUTER_BOUND('',#44519,.T.); #25533=FACE_OUTER_BOUND('',#44520,.T.); #25534=FACE_OUTER_BOUND('',#44521,.T.); #25535=FACE_OUTER_BOUND('',#44522,.T.); #25536=FACE_OUTER_BOUND('',#44523,.T.); #25537=FACE_OUTER_BOUND('',#44524,.T.); #25538=FACE_OUTER_BOUND('',#44525,.T.); #25539=FACE_OUTER_BOUND('',#44526,.T.); #25540=FACE_OUTER_BOUND('',#44527,.T.); #25541=FACE_OUTER_BOUND('',#44528,.T.); #25542=FACE_OUTER_BOUND('',#44529,.T.); #25543=FACE_OUTER_BOUND('',#44530,.T.); #25544=FACE_OUTER_BOUND('',#44531,.T.); #25545=FACE_OUTER_BOUND('',#44532,.T.); #25546=FACE_OUTER_BOUND('',#44533,.T.); #25547=FACE_OUTER_BOUND('',#44534,.T.); #25548=FACE_OUTER_BOUND('',#44535,.T.); #25549=FACE_OUTER_BOUND('',#44536,.T.); #25550=FACE_OUTER_BOUND('',#44537,.T.); #25551=FACE_OUTER_BOUND('',#44538,.T.); #25552=FACE_OUTER_BOUND('',#44539,.T.); #25553=FACE_OUTER_BOUND('',#44540,.T.); #25554=FACE_OUTER_BOUND('',#44541,.T.); #25555=FACE_OUTER_BOUND('',#44542,.T.); #25556=FACE_OUTER_BOUND('',#44543,.T.); #25557=FACE_OUTER_BOUND('',#44544,.T.); #25558=FACE_OUTER_BOUND('',#44545,.T.); #25559=FACE_OUTER_BOUND('',#44546,.T.); #25560=FACE_OUTER_BOUND('',#44547,.T.); #25561=FACE_OUTER_BOUND('',#44548,.T.); #25562=FACE_OUTER_BOUND('',#44549,.T.); #25563=FACE_OUTER_BOUND('',#44550,.T.); #25564=FACE_OUTER_BOUND('',#44551,.T.); #25565=FACE_OUTER_BOUND('',#44552,.T.); #25566=FACE_OUTER_BOUND('',#44553,.T.); #25567=FACE_OUTER_BOUND('',#44554,.T.); #25568=FACE_OUTER_BOUND('',#44555,.T.); #25569=FACE_OUTER_BOUND('',#44556,.T.); #25570=FACE_OUTER_BOUND('',#44557,.T.); #25571=FACE_OUTER_BOUND('',#44558,.T.); #25572=FACE_OUTER_BOUND('',#44559,.T.); #25573=FACE_OUTER_BOUND('',#44560,.T.); #25574=FACE_OUTER_BOUND('',#44561,.T.); #25575=FACE_OUTER_BOUND('',#44562,.T.); #25576=FACE_OUTER_BOUND('',#44563,.T.); #25577=FACE_OUTER_BOUND('',#44564,.T.); #25578=FACE_OUTER_BOUND('',#44565,.T.); #25579=FACE_OUTER_BOUND('',#44566,.T.); #25580=FACE_OUTER_BOUND('',#44567,.T.); #25581=FACE_OUTER_BOUND('',#44568,.T.); #25582=FACE_OUTER_BOUND('',#44569,.T.); #25583=FACE_OUTER_BOUND('',#44570,.T.); #25584=FACE_OUTER_BOUND('',#44571,.T.); #25585=FACE_OUTER_BOUND('',#44572,.T.); #25586=FACE_OUTER_BOUND('',#44573,.T.); #25587=FACE_OUTER_BOUND('',#44574,.T.); #25588=FACE_OUTER_BOUND('',#44575,.T.); #25589=FACE_OUTER_BOUND('',#44576,.T.); #25590=FACE_OUTER_BOUND('',#44577,.T.); #25591=FACE_OUTER_BOUND('',#44578,.T.); #25592=FACE_OUTER_BOUND('',#44579,.T.); #25593=FACE_OUTER_BOUND('',#44580,.T.); #25594=FACE_OUTER_BOUND('',#44581,.T.); #25595=FACE_OUTER_BOUND('',#44582,.T.); #25596=FACE_OUTER_BOUND('',#44583,.T.); #25597=FACE_OUTER_BOUND('',#44584,.T.); #25598=FACE_OUTER_BOUND('',#44585,.T.); #25599=FACE_OUTER_BOUND('',#44586,.T.); #25600=FACE_OUTER_BOUND('',#44587,.T.); #25601=FACE_OUTER_BOUND('',#44588,.T.); #25602=FACE_OUTER_BOUND('',#44589,.T.); #25603=FACE_OUTER_BOUND('',#44590,.T.); #25604=FACE_OUTER_BOUND('',#44591,.T.); #25605=FACE_OUTER_BOUND('',#44592,.T.); #25606=FACE_OUTER_BOUND('',#44593,.T.); #25607=FACE_OUTER_BOUND('',#44594,.T.); #25608=FACE_OUTER_BOUND('',#44595,.T.); #25609=FACE_OUTER_BOUND('',#44596,.T.); #25610=FACE_OUTER_BOUND('',#44597,.T.); #25611=FACE_OUTER_BOUND('',#44598,.T.); #25612=FACE_OUTER_BOUND('',#44599,.T.); #25613=FACE_OUTER_BOUND('',#44600,.T.); #25614=FACE_OUTER_BOUND('',#44601,.T.); #25615=FACE_OUTER_BOUND('',#44602,.T.); #25616=FACE_OUTER_BOUND('',#44603,.T.); #25617=FACE_OUTER_BOUND('',#44604,.T.); #25618=FACE_OUTER_BOUND('',#44605,.T.); #25619=FACE_OUTER_BOUND('',#44606,.T.); #25620=FACE_OUTER_BOUND('',#44607,.T.); #25621=FACE_OUTER_BOUND('',#44608,.T.); #25622=FACE_OUTER_BOUND('',#44609,.T.); #25623=FACE_OUTER_BOUND('',#44610,.T.); #25624=FACE_OUTER_BOUND('',#44611,.T.); #25625=FACE_OUTER_BOUND('',#44612,.T.); #25626=FACE_OUTER_BOUND('',#44613,.T.); #25627=FACE_OUTER_BOUND('',#44614,.T.); #25628=FACE_OUTER_BOUND('',#44615,.T.); #25629=FACE_OUTER_BOUND('',#44616,.T.); #25630=FACE_OUTER_BOUND('',#44617,.T.); #25631=FACE_OUTER_BOUND('',#44618,.T.); #25632=FACE_OUTER_BOUND('',#44619,.T.); #25633=FACE_OUTER_BOUND('',#44620,.T.); #25634=FACE_OUTER_BOUND('',#44621,.T.); #25635=FACE_OUTER_BOUND('',#44622,.T.); #25636=FACE_OUTER_BOUND('',#44623,.T.); #25637=FACE_OUTER_BOUND('',#44624,.T.); #25638=FACE_OUTER_BOUND('',#44625,.T.); #25639=FACE_OUTER_BOUND('',#44626,.T.); #25640=FACE_OUTER_BOUND('',#44627,.T.); #25641=FACE_OUTER_BOUND('',#44628,.T.); #25642=FACE_OUTER_BOUND('',#44629,.T.); #25643=FACE_OUTER_BOUND('',#44630,.T.); #25644=FACE_OUTER_BOUND('',#44631,.T.); #25645=FACE_OUTER_BOUND('',#44632,.T.); #25646=FACE_OUTER_BOUND('',#44633,.T.); #25647=FACE_OUTER_BOUND('',#44634,.T.); #25648=FACE_OUTER_BOUND('',#44635,.T.); #25649=FACE_OUTER_BOUND('',#44636,.T.); #25650=FACE_OUTER_BOUND('',#44637,.T.); #25651=FACE_OUTER_BOUND('',#44638,.T.); #25652=FACE_OUTER_BOUND('',#44639,.T.); #25653=FACE_OUTER_BOUND('',#44640,.T.); #25654=FACE_OUTER_BOUND('',#44641,.T.); #25655=FACE_OUTER_BOUND('',#44642,.T.); #25656=FACE_OUTER_BOUND('',#44643,.T.); #25657=FACE_OUTER_BOUND('',#44644,.T.); #25658=FACE_OUTER_BOUND('',#44645,.T.); #25659=FACE_OUTER_BOUND('',#44646,.T.); #25660=FACE_OUTER_BOUND('',#44647,.T.); #25661=FACE_OUTER_BOUND('',#44648,.T.); #25662=FACE_OUTER_BOUND('',#44649,.T.); #25663=FACE_OUTER_BOUND('',#44650,.T.); #25664=FACE_OUTER_BOUND('',#44651,.T.); #25665=FACE_OUTER_BOUND('',#44652,.T.); #25666=FACE_OUTER_BOUND('',#44653,.T.); #25667=FACE_OUTER_BOUND('',#44654,.T.); #25668=FACE_OUTER_BOUND('',#44655,.T.); #25669=FACE_OUTER_BOUND('',#44656,.T.); #25670=FACE_OUTER_BOUND('',#44657,.T.); #25671=FACE_OUTER_BOUND('',#44658,.T.); #25672=FACE_OUTER_BOUND('',#44659,.T.); #25673=FACE_OUTER_BOUND('',#44660,.T.); #25674=FACE_OUTER_BOUND('',#44730,.T.); #25675=FACE_OUTER_BOUND('',#44800,.T.); #25676=FACE_OUTER_BOUND('',#44801,.T.); #25677=FACE_OUTER_BOUND('',#44802,.T.); #25678=FACE_OUTER_BOUND('',#44803,.T.); #25679=FACE_OUTER_BOUND('',#44804,.T.); #25680=FACE_OUTER_BOUND('',#44805,.T.); #25681=FACE_OUTER_BOUND('',#44806,.T.); #25682=FACE_OUTER_BOUND('',#44807,.T.); #25683=FACE_OUTER_BOUND('',#44808,.T.); #25684=FACE_OUTER_BOUND('',#44809,.T.); #25685=FACE_OUTER_BOUND('',#44810,.T.); #25686=FACE_OUTER_BOUND('',#44811,.T.); #25687=FACE_OUTER_BOUND('',#44812,.T.); #25688=FACE_OUTER_BOUND('',#44813,.T.); #25689=FACE_OUTER_BOUND('',#44814,.T.); #25690=FACE_OUTER_BOUND('',#44815,.T.); #25691=FACE_OUTER_BOUND('',#44816,.T.); #25692=FACE_OUTER_BOUND('',#44817,.T.); #25693=FACE_OUTER_BOUND('',#44818,.T.); #25694=FACE_OUTER_BOUND('',#44819,.T.); #25695=FACE_OUTER_BOUND('',#44820,.T.); #25696=FACE_OUTER_BOUND('',#44821,.T.); #25697=FACE_OUTER_BOUND('',#44822,.T.); #25698=FACE_OUTER_BOUND('',#44823,.T.); #25699=FACE_OUTER_BOUND('',#44824,.T.); #25700=FACE_OUTER_BOUND('',#44825,.T.); #25701=FACE_OUTER_BOUND('',#44826,.T.); #25702=FACE_OUTER_BOUND('',#44827,.T.); #25703=FACE_OUTER_BOUND('',#44828,.T.); #25704=FACE_OUTER_BOUND('',#44829,.T.); #25705=FACE_OUTER_BOUND('',#44830,.T.); #25706=FACE_OUTER_BOUND('',#44831,.T.); #25707=FACE_OUTER_BOUND('',#44832,.T.); #25708=FACE_OUTER_BOUND('',#44833,.T.); #25709=FACE_OUTER_BOUND('',#44834,.T.); #25710=FACE_OUTER_BOUND('',#44835,.T.); #25711=FACE_OUTER_BOUND('',#44836,.T.); #25712=FACE_OUTER_BOUND('',#44837,.T.); #25713=FACE_OUTER_BOUND('',#44838,.T.); #25714=FACE_OUTER_BOUND('',#44839,.T.); #25715=FACE_OUTER_BOUND('',#44840,.T.); #25716=FACE_OUTER_BOUND('',#44841,.T.); #25717=FACE_OUTER_BOUND('',#44842,.T.); #25718=FACE_OUTER_BOUND('',#44843,.T.); #25719=FACE_OUTER_BOUND('',#44844,.T.); #25720=FACE_OUTER_BOUND('',#44845,.T.); #25721=FACE_OUTER_BOUND('',#44846,.T.); #25722=FACE_OUTER_BOUND('',#44847,.T.); #25723=FACE_OUTER_BOUND('',#44848,.T.); #25724=FACE_OUTER_BOUND('',#44849,.T.); #25725=FACE_OUTER_BOUND('',#44850,.T.); #25726=FACE_OUTER_BOUND('',#44851,.T.); #25727=FACE_OUTER_BOUND('',#44852,.T.); #25728=FACE_OUTER_BOUND('',#44853,.T.); #25729=FACE_OUTER_BOUND('',#44854,.T.); #25730=FACE_OUTER_BOUND('',#44855,.T.); #25731=FACE_OUTER_BOUND('',#44856,.T.); #25732=FACE_OUTER_BOUND('',#44857,.T.); #25733=FACE_OUTER_BOUND('',#44858,.T.); #25734=FACE_OUTER_BOUND('',#44859,.T.); #25735=FACE_OUTER_BOUND('',#44860,.T.); #25736=FACE_OUTER_BOUND('',#44861,.T.); #25737=FACE_OUTER_BOUND('',#44862,.T.); #25738=FACE_OUTER_BOUND('',#44863,.T.); #25739=FACE_OUTER_BOUND('',#44864,.T.); #25740=FACE_OUTER_BOUND('',#44865,.T.); #25741=FACE_OUTER_BOUND('',#44866,.T.); #25742=FACE_OUTER_BOUND('',#44867,.T.); #25743=FACE_OUTER_BOUND('',#44868,.T.); #25744=FACE_OUTER_BOUND('',#44869,.T.); #25745=FACE_OUTER_BOUND('',#44870,.T.); #25746=FACE_OUTER_BOUND('',#44871,.T.); #25747=FACE_OUTER_BOUND('',#44872,.T.); #25748=FACE_OUTER_BOUND('',#44873,.T.); #25749=FACE_OUTER_BOUND('',#44874,.T.); #25750=FACE_OUTER_BOUND('',#44875,.T.); #25751=FACE_OUTER_BOUND('',#44876,.T.); #25752=FACE_OUTER_BOUND('',#44877,.T.); #25753=FACE_OUTER_BOUND('',#44878,.T.); #25754=FACE_OUTER_BOUND('',#44879,.T.); #25755=FACE_OUTER_BOUND('',#44880,.T.); #25756=FACE_OUTER_BOUND('',#44881,.T.); #25757=FACE_OUTER_BOUND('',#44882,.T.); #25758=FACE_OUTER_BOUND('',#44883,.T.); #25759=FACE_OUTER_BOUND('',#44884,.T.); #25760=FACE_OUTER_BOUND('',#44885,.T.); #25761=FACE_OUTER_BOUND('',#44886,.T.); #25762=FACE_OUTER_BOUND('',#44887,.T.); #25763=FACE_OUTER_BOUND('',#44888,.T.); #25764=FACE_OUTER_BOUND('',#44889,.T.); #25765=FACE_OUTER_BOUND('',#44890,.T.); #25766=FACE_OUTER_BOUND('',#44891,.T.); #25767=FACE_OUTER_BOUND('',#44892,.T.); #25768=FACE_OUTER_BOUND('',#44893,.T.); #25769=FACE_OUTER_BOUND('',#44894,.T.); #25770=FACE_OUTER_BOUND('',#44895,.T.); #25771=FACE_OUTER_BOUND('',#44896,.T.); #25772=FACE_OUTER_BOUND('',#44897,.T.); #25773=FACE_OUTER_BOUND('',#44898,.T.); #25774=FACE_OUTER_BOUND('',#44899,.T.); #25775=FACE_OUTER_BOUND('',#44900,.T.); #25776=FACE_OUTER_BOUND('',#44901,.T.); #25777=FACE_OUTER_BOUND('',#44902,.T.); #25778=FACE_OUTER_BOUND('',#44903,.T.); #25779=FACE_OUTER_BOUND('',#44904,.T.); #25780=FACE_OUTER_BOUND('',#44905,.T.); #25781=FACE_OUTER_BOUND('',#44906,.T.); #25782=FACE_OUTER_BOUND('',#44907,.T.); #25783=FACE_OUTER_BOUND('',#44908,.T.); #25784=FACE_OUTER_BOUND('',#44909,.T.); #25785=FACE_OUTER_BOUND('',#44910,.T.); #25786=FACE_OUTER_BOUND('',#44911,.T.); #25787=FACE_OUTER_BOUND('',#44912,.T.); #25788=FACE_OUTER_BOUND('',#44913,.T.); #25789=FACE_OUTER_BOUND('',#44914,.T.); #25790=FACE_OUTER_BOUND('',#44915,.T.); #25791=FACE_OUTER_BOUND('',#44916,.T.); #25792=FACE_OUTER_BOUND('',#44917,.T.); #25793=FACE_OUTER_BOUND('',#44918,.T.); #25794=FACE_OUTER_BOUND('',#44919,.T.); #25795=FACE_OUTER_BOUND('',#44920,.T.); #25796=FACE_OUTER_BOUND('',#44921,.T.); #25797=FACE_OUTER_BOUND('',#44922,.T.); #25798=FACE_OUTER_BOUND('',#44923,.T.); #25799=FACE_OUTER_BOUND('',#44924,.T.); #25800=FACE_OUTER_BOUND('',#44925,.T.); #25801=FACE_OUTER_BOUND('',#44926,.T.); #25802=FACE_OUTER_BOUND('',#44927,.T.); #25803=FACE_OUTER_BOUND('',#44928,.T.); #25804=FACE_OUTER_BOUND('',#44929,.T.); #25805=FACE_OUTER_BOUND('',#44930,.T.); #25806=FACE_OUTER_BOUND('',#44931,.T.); #25807=FACE_OUTER_BOUND('',#44932,.T.); #25808=FACE_OUTER_BOUND('',#44933,.T.); #25809=FACE_OUTER_BOUND('',#44934,.T.); #25810=FACE_OUTER_BOUND('',#44935,.T.); #25811=FACE_OUTER_BOUND('',#44936,.T.); #25812=FACE_OUTER_BOUND('',#44937,.T.); #25813=FACE_OUTER_BOUND('',#44938,.T.); #25814=FACE_OUTER_BOUND('',#44939,.T.); #25815=FACE_OUTER_BOUND('',#44940,.T.); #25816=FACE_OUTER_BOUND('',#44941,.T.); #25817=FACE_OUTER_BOUND('',#44942,.T.); #25818=FACE_OUTER_BOUND('',#44943,.T.); #25819=FACE_OUTER_BOUND('',#44944,.T.); #25820=FACE_OUTER_BOUND('',#44945,.T.); #25821=FACE_OUTER_BOUND('',#44946,.T.); #25822=FACE_OUTER_BOUND('',#44947,.T.); #25823=FACE_OUTER_BOUND('',#44948,.T.); #25824=FACE_OUTER_BOUND('',#44949,.T.); #25825=FACE_OUTER_BOUND('',#44950,.T.); #25826=FACE_OUTER_BOUND('',#44951,.T.); #25827=FACE_OUTER_BOUND('',#44952,.T.); #25828=FACE_OUTER_BOUND('',#44953,.T.); #25829=FACE_OUTER_BOUND('',#44954,.T.); #25830=FACE_OUTER_BOUND('',#44955,.T.); #25831=FACE_OUTER_BOUND('',#44956,.T.); #25832=FACE_OUTER_BOUND('',#44957,.T.); #25833=FACE_OUTER_BOUND('',#44958,.T.); #25834=FACE_OUTER_BOUND('',#44959,.T.); #25835=FACE_OUTER_BOUND('',#44960,.T.); #25836=FACE_OUTER_BOUND('',#44961,.T.); #25837=FACE_OUTER_BOUND('',#44962,.T.); #25838=FACE_OUTER_BOUND('',#44963,.T.); #25839=FACE_OUTER_BOUND('',#44964,.T.); #25840=FACE_OUTER_BOUND('',#44965,.T.); #25841=FACE_OUTER_BOUND('',#44966,.T.); #25842=FACE_OUTER_BOUND('',#44967,.T.); #25843=FACE_OUTER_BOUND('',#44968,.T.); #25844=FACE_OUTER_BOUND('',#44969,.T.); #25845=FACE_OUTER_BOUND('',#44970,.T.); #25846=FACE_OUTER_BOUND('',#44971,.T.); #25847=FACE_OUTER_BOUND('',#44972,.T.); #25848=FACE_OUTER_BOUND('',#44973,.T.); #25849=FACE_OUTER_BOUND('',#44974,.T.); #25850=FACE_OUTER_BOUND('',#44975,.T.); #25851=FACE_OUTER_BOUND('',#44976,.T.); #25852=FACE_OUTER_BOUND('',#44977,.T.); #25853=FACE_OUTER_BOUND('',#44978,.T.); #25854=FACE_OUTER_BOUND('',#44979,.T.); #25855=FACE_OUTER_BOUND('',#44980,.T.); #25856=FACE_OUTER_BOUND('',#44981,.T.); #25857=FACE_OUTER_BOUND('',#44982,.T.); #25858=FACE_OUTER_BOUND('',#44983,.T.); #25859=FACE_OUTER_BOUND('',#44984,.T.); #25860=FACE_OUTER_BOUND('',#44985,.T.); #25861=FACE_OUTER_BOUND('',#44986,.T.); #25862=FACE_OUTER_BOUND('',#44987,.T.); #25863=FACE_OUTER_BOUND('',#44988,.T.); #25864=FACE_OUTER_BOUND('',#44989,.T.); #25865=FACE_OUTER_BOUND('',#44990,.T.); #25866=FACE_OUTER_BOUND('',#44991,.T.); #25867=FACE_OUTER_BOUND('',#44992,.T.); #25868=FACE_OUTER_BOUND('',#44993,.T.); #25869=FACE_OUTER_BOUND('',#44994,.T.); #25870=FACE_OUTER_BOUND('',#44995,.T.); #25871=FACE_OUTER_BOUND('',#44996,.T.); #25872=FACE_OUTER_BOUND('',#44997,.T.); #25873=FACE_OUTER_BOUND('',#44998,.T.); #25874=FACE_OUTER_BOUND('',#44999,.T.); #25875=FACE_OUTER_BOUND('',#45000,.T.); #25876=FACE_OUTER_BOUND('',#45001,.T.); #25877=FACE_OUTER_BOUND('',#45002,.T.); #25878=FACE_OUTER_BOUND('',#45003,.T.); #25879=FACE_OUTER_BOUND('',#45004,.T.); #25880=FACE_OUTER_BOUND('',#45005,.T.); #25881=FACE_OUTER_BOUND('',#45006,.T.); #25882=FACE_OUTER_BOUND('',#45007,.T.); #25883=FACE_OUTER_BOUND('',#45008,.T.); #25884=FACE_OUTER_BOUND('',#45009,.T.); #25885=FACE_OUTER_BOUND('',#45010,.T.); #25886=FACE_OUTER_BOUND('',#45011,.T.); #25887=FACE_OUTER_BOUND('',#45012,.T.); #25888=FACE_OUTER_BOUND('',#45013,.T.); #25889=FACE_OUTER_BOUND('',#45014,.T.); #25890=FACE_OUTER_BOUND('',#45015,.T.); #25891=FACE_OUTER_BOUND('',#45016,.T.); #25892=FACE_OUTER_BOUND('',#45017,.T.); #25893=FACE_OUTER_BOUND('',#45018,.T.); #25894=FACE_OUTER_BOUND('',#45019,.T.); #25895=FACE_OUTER_BOUND('',#45020,.T.); #25896=FACE_OUTER_BOUND('',#45021,.T.); #25897=FACE_OUTER_BOUND('',#45022,.T.); #25898=FACE_OUTER_BOUND('',#45023,.T.); #25899=FACE_OUTER_BOUND('',#45024,.T.); #25900=FACE_OUTER_BOUND('',#45025,.T.); #25901=FACE_OUTER_BOUND('',#45026,.T.); #25902=FACE_OUTER_BOUND('',#45027,.T.); #25903=FACE_OUTER_BOUND('',#45028,.T.); #25904=FACE_OUTER_BOUND('',#45029,.T.); #25905=FACE_OUTER_BOUND('',#45030,.T.); #25906=FACE_OUTER_BOUND('',#45031,.T.); #25907=FACE_OUTER_BOUND('',#45032,.T.); #25908=FACE_OUTER_BOUND('',#45033,.T.); #25909=FACE_OUTER_BOUND('',#45034,.T.); #25910=FACE_OUTER_BOUND('',#45035,.T.); #25911=FACE_OUTER_BOUND('',#45036,.T.); #25912=FACE_OUTER_BOUND('',#45037,.T.); #25913=FACE_OUTER_BOUND('',#45038,.T.); #25914=FACE_OUTER_BOUND('',#45039,.T.); #25915=FACE_OUTER_BOUND('',#45040,.T.); #25916=FACE_OUTER_BOUND('',#45041,.T.); #25917=FACE_OUTER_BOUND('',#45042,.T.); #25918=FACE_OUTER_BOUND('',#45043,.T.); #25919=FACE_OUTER_BOUND('',#45044,.T.); #25920=FACE_OUTER_BOUND('',#45045,.T.); #25921=FACE_OUTER_BOUND('',#45046,.T.); #25922=FACE_OUTER_BOUND('',#45047,.T.); #25923=FACE_OUTER_BOUND('',#45048,.T.); #25924=FACE_OUTER_BOUND('',#45049,.T.); #25925=FACE_OUTER_BOUND('',#45050,.T.); #25926=FACE_OUTER_BOUND('',#45051,.T.); #25927=FACE_OUTER_BOUND('',#45052,.T.); #25928=FACE_OUTER_BOUND('',#45053,.T.); #25929=FACE_OUTER_BOUND('',#45054,.T.); #25930=FACE_OUTER_BOUND('',#45055,.T.); #25931=FACE_OUTER_BOUND('',#45056,.T.); #25932=FACE_OUTER_BOUND('',#45057,.T.); #25933=FACE_OUTER_BOUND('',#45058,.T.); #25934=FACE_OUTER_BOUND('',#45059,.T.); #25935=FACE_OUTER_BOUND('',#45060,.T.); #25936=FACE_OUTER_BOUND('',#45061,.T.); #25937=FACE_OUTER_BOUND('',#45062,.T.); #25938=FACE_OUTER_BOUND('',#45063,.T.); #25939=FACE_OUTER_BOUND('',#45064,.T.); #25940=FACE_OUTER_BOUND('',#45065,.T.); #25941=FACE_OUTER_BOUND('',#45066,.T.); #25942=FACE_OUTER_BOUND('',#45067,.T.); #25943=FACE_OUTER_BOUND('',#45068,.T.); #25944=FACE_OUTER_BOUND('',#45069,.T.); #25945=FACE_OUTER_BOUND('',#45070,.T.); #25946=FACE_OUTER_BOUND('',#45071,.T.); #25947=FACE_OUTER_BOUND('',#45072,.T.); #25948=FACE_OUTER_BOUND('',#45073,.T.); #25949=FACE_OUTER_BOUND('',#45074,.T.); #25950=FACE_OUTER_BOUND('',#45075,.T.); #25951=FACE_OUTER_BOUND('',#45076,.T.); #25952=FACE_OUTER_BOUND('',#45077,.T.); #25953=FACE_OUTER_BOUND('',#45078,.T.); #25954=FACE_OUTER_BOUND('',#45093,.T.); #25955=FACE_OUTER_BOUND('',#45108,.T.); #25956=FACE_OUTER_BOUND('',#45109,.T.); #25957=FACE_OUTER_BOUND('',#45110,.T.); #25958=FACE_OUTER_BOUND('',#45111,.T.); #25959=FACE_OUTER_BOUND('',#45112,.T.); #25960=FACE_OUTER_BOUND('',#45113,.T.); #25961=FACE_OUTER_BOUND('',#45114,.T.); #25962=FACE_OUTER_BOUND('',#45115,.T.); #25963=FACE_OUTER_BOUND('',#45116,.T.); #25964=FACE_OUTER_BOUND('',#45117,.T.); #25965=FACE_OUTER_BOUND('',#45118,.T.); #25966=FACE_OUTER_BOUND('',#45119,.T.); #25967=FACE_OUTER_BOUND('',#45120,.T.); #25968=FACE_OUTER_BOUND('',#45121,.T.); #25969=FACE_OUTER_BOUND('',#45122,.T.); #25970=FACE_OUTER_BOUND('',#45123,.T.); #25971=FACE_OUTER_BOUND('',#45124,.T.); #25972=FACE_OUTER_BOUND('',#45125,.T.); #25973=FACE_OUTER_BOUND('',#45126,.T.); #25974=FACE_OUTER_BOUND('',#45127,.T.); #25975=FACE_OUTER_BOUND('',#45128,.T.); #25976=FACE_OUTER_BOUND('',#45129,.T.); #25977=FACE_OUTER_BOUND('',#45130,.T.); #25978=FACE_OUTER_BOUND('',#45131,.T.); #25979=FACE_OUTER_BOUND('',#45132,.T.); #25980=FACE_OUTER_BOUND('',#45133,.T.); #25981=FACE_OUTER_BOUND('',#45134,.T.); #25982=FACE_OUTER_BOUND('',#45135,.T.); #25983=FACE_OUTER_BOUND('',#45136,.T.); #25984=FACE_OUTER_BOUND('',#45137,.T.); #25985=FACE_OUTER_BOUND('',#45138,.T.); #25986=FACE_OUTER_BOUND('',#45139,.T.); #25987=FACE_OUTER_BOUND('',#45140,.T.); #25988=FACE_OUTER_BOUND('',#45141,.T.); #25989=FACE_OUTER_BOUND('',#45142,.T.); #25990=FACE_OUTER_BOUND('',#45143,.T.); #25991=FACE_OUTER_BOUND('',#45144,.T.); #25992=FACE_OUTER_BOUND('',#45145,.T.); #25993=FACE_OUTER_BOUND('',#45146,.T.); #25994=FACE_OUTER_BOUND('',#45147,.T.); #25995=FACE_OUTER_BOUND('',#45148,.T.); #25996=FACE_OUTER_BOUND('',#45149,.T.); #25997=FACE_OUTER_BOUND('',#45150,.T.); #25998=FACE_OUTER_BOUND('',#45151,.T.); #25999=FACE_OUTER_BOUND('',#45152,.T.); #26000=FACE_OUTER_BOUND('',#45153,.T.); #26001=FACE_OUTER_BOUND('',#45154,.T.); #26002=FACE_OUTER_BOUND('',#45155,.T.); #26003=FACE_OUTER_BOUND('',#45156,.T.); #26004=FACE_OUTER_BOUND('',#45157,.T.); #26005=FACE_OUTER_BOUND('',#45158,.T.); #26006=FACE_OUTER_BOUND('',#45159,.T.); #26007=FACE_OUTER_BOUND('',#45160,.T.); #26008=FACE_OUTER_BOUND('',#45161,.T.); #26009=FACE_OUTER_BOUND('',#45162,.T.); #26010=FACE_OUTER_BOUND('',#45163,.T.); #26011=FACE_OUTER_BOUND('',#45164,.T.); #26012=FACE_OUTER_BOUND('',#45165,.T.); #26013=FACE_OUTER_BOUND('',#45166,.T.); #26014=FACE_OUTER_BOUND('',#45167,.T.); #26015=FACE_OUTER_BOUND('',#45168,.T.); #26016=FACE_OUTER_BOUND('',#45169,.T.); #26017=FACE_OUTER_BOUND('',#45170,.T.); #26018=FACE_OUTER_BOUND('',#45171,.T.); #26019=FACE_OUTER_BOUND('',#45172,.T.); #26020=FACE_OUTER_BOUND('',#45173,.T.); #26021=FACE_OUTER_BOUND('',#45174,.T.); #26022=FACE_OUTER_BOUND('',#45175,.T.); #26023=FACE_OUTER_BOUND('',#45176,.T.); #26024=FACE_OUTER_BOUND('',#45177,.T.); #26025=FACE_OUTER_BOUND('',#45178,.T.); #26026=FACE_OUTER_BOUND('',#45179,.T.); #26027=FACE_OUTER_BOUND('',#45180,.T.); #26028=FACE_OUTER_BOUND('',#45181,.T.); #26029=FACE_OUTER_BOUND('',#45182,.T.); #26030=FACE_OUTER_BOUND('',#45183,.T.); #26031=FACE_OUTER_BOUND('',#45184,.T.); #26032=FACE_OUTER_BOUND('',#45185,.T.); #26033=FACE_OUTER_BOUND('',#45186,.T.); #26034=FACE_OUTER_BOUND('',#45187,.T.); #26035=FACE_OUTER_BOUND('',#45188,.T.); #26036=FACE_OUTER_BOUND('',#45189,.T.); #26037=FACE_OUTER_BOUND('',#45190,.T.); #26038=FACE_OUTER_BOUND('',#45191,.T.); #26039=FACE_OUTER_BOUND('',#45192,.T.); #26040=FACE_OUTER_BOUND('',#45193,.T.); #26041=FACE_OUTER_BOUND('',#45194,.T.); #26042=FACE_OUTER_BOUND('',#45195,.T.); #26043=FACE_OUTER_BOUND('',#45196,.T.); #26044=FACE_OUTER_BOUND('',#45197,.T.); #26045=FACE_OUTER_BOUND('',#45198,.T.); #26046=FACE_OUTER_BOUND('',#45199,.T.); #26047=FACE_OUTER_BOUND('',#45200,.T.); #26048=FACE_OUTER_BOUND('',#45201,.T.); #26049=FACE_OUTER_BOUND('',#45202,.T.); #26050=FACE_OUTER_BOUND('',#45203,.T.); #26051=FACE_OUTER_BOUND('',#45204,.T.); #26052=FACE_OUTER_BOUND('',#45205,.T.); #26053=FACE_OUTER_BOUND('',#45206,.T.); #26054=FACE_OUTER_BOUND('',#45207,.T.); #26055=FACE_OUTER_BOUND('',#45208,.T.); #26056=FACE_OUTER_BOUND('',#45209,.T.); #26057=FACE_OUTER_BOUND('',#45210,.T.); #26058=FACE_OUTER_BOUND('',#45211,.T.); #26059=FACE_OUTER_BOUND('',#45212,.T.); #26060=FACE_OUTER_BOUND('',#45213,.T.); #26061=FACE_OUTER_BOUND('',#45214,.T.); #26062=FACE_OUTER_BOUND('',#45215,.T.); #26063=FACE_OUTER_BOUND('',#45216,.T.); #26064=FACE_OUTER_BOUND('',#45217,.T.); #26065=FACE_OUTER_BOUND('',#45218,.T.); #26066=FACE_OUTER_BOUND('',#45219,.T.); #26067=FACE_OUTER_BOUND('',#45220,.T.); #26068=FACE_OUTER_BOUND('',#45221,.T.); #26069=FACE_OUTER_BOUND('',#45222,.T.); #26070=FACE_OUTER_BOUND('',#45223,.T.); #26071=FACE_OUTER_BOUND('',#45224,.T.); #26072=FACE_OUTER_BOUND('',#45225,.T.); #26073=FACE_OUTER_BOUND('',#45226,.T.); #26074=FACE_OUTER_BOUND('',#45227,.T.); #26075=FACE_OUTER_BOUND('',#45228,.T.); #26076=FACE_OUTER_BOUND('',#45229,.T.); #26077=FACE_OUTER_BOUND('',#45230,.T.); #26078=FACE_OUTER_BOUND('',#45231,.T.); #26079=FACE_OUTER_BOUND('',#45232,.T.); #26080=FACE_OUTER_BOUND('',#45233,.T.); #26081=FACE_OUTER_BOUND('',#45234,.T.); #26082=FACE_OUTER_BOUND('',#45235,.T.); #26083=FACE_OUTER_BOUND('',#45236,.T.); #26084=FACE_OUTER_BOUND('',#45237,.T.); #26085=FACE_OUTER_BOUND('',#45238,.T.); #26086=FACE_OUTER_BOUND('',#45239,.T.); #26087=FACE_OUTER_BOUND('',#45240,.T.); #26088=FACE_OUTER_BOUND('',#45241,.T.); #26089=FACE_OUTER_BOUND('',#45242,.T.); #26090=FACE_OUTER_BOUND('',#45243,.T.); #26091=FACE_OUTER_BOUND('',#45244,.T.); #26092=FACE_OUTER_BOUND('',#45245,.T.); #26093=FACE_OUTER_BOUND('',#45246,.T.); #26094=FACE_OUTER_BOUND('',#45247,.T.); #26095=FACE_OUTER_BOUND('',#45248,.T.); #26096=FACE_OUTER_BOUND('',#45249,.T.); #26097=FACE_OUTER_BOUND('',#45250,.T.); #26098=FACE_OUTER_BOUND('',#45251,.T.); #26099=FACE_OUTER_BOUND('',#45252,.T.); #26100=FACE_OUTER_BOUND('',#45253,.T.); #26101=FACE_OUTER_BOUND('',#45254,.T.); #26102=FACE_OUTER_BOUND('',#45255,.T.); #26103=FACE_OUTER_BOUND('',#45256,.T.); #26104=FACE_OUTER_BOUND('',#45257,.T.); #26105=FACE_OUTER_BOUND('',#45258,.T.); #26106=FACE_OUTER_BOUND('',#45259,.T.); #26107=FACE_OUTER_BOUND('',#45260,.T.); #26108=FACE_OUTER_BOUND('',#45261,.T.); #26109=FACE_OUTER_BOUND('',#45262,.T.); #26110=FACE_OUTER_BOUND('',#45263,.T.); #26111=FACE_OUTER_BOUND('',#45264,.T.); #26112=FACE_OUTER_BOUND('',#45265,.T.); #26113=FACE_OUTER_BOUND('',#45266,.T.); #26114=FACE_OUTER_BOUND('',#45267,.T.); #26115=FACE_OUTER_BOUND('',#45268,.T.); #26116=FACE_OUTER_BOUND('',#45269,.T.); #26117=FACE_OUTER_BOUND('',#45270,.T.); #26118=FACE_OUTER_BOUND('',#45271,.T.); #26119=FACE_OUTER_BOUND('',#45272,.T.); #26120=FACE_OUTER_BOUND('',#45273,.T.); #26121=FACE_OUTER_BOUND('',#45274,.T.); #26122=FACE_OUTER_BOUND('',#45275,.T.); #26123=FACE_OUTER_BOUND('',#45276,.T.); #26124=FACE_OUTER_BOUND('',#45277,.T.); #26125=FACE_OUTER_BOUND('',#45278,.T.); #26126=FACE_OUTER_BOUND('',#45279,.T.); #26127=FACE_OUTER_BOUND('',#45280,.T.); #26128=FACE_OUTER_BOUND('',#45281,.T.); #26129=FACE_OUTER_BOUND('',#45282,.T.); #26130=FACE_OUTER_BOUND('',#45283,.T.); #26131=FACE_OUTER_BOUND('',#45284,.T.); #26132=FACE_OUTER_BOUND('',#45285,.T.); #26133=FACE_OUTER_BOUND('',#45286,.T.); #26134=FACE_OUTER_BOUND('',#45287,.T.); #26135=FACE_OUTER_BOUND('',#45288,.T.); #26136=FACE_OUTER_BOUND('',#45289,.T.); #26137=FACE_OUTER_BOUND('',#45290,.T.); #26138=FACE_OUTER_BOUND('',#45291,.T.); #26139=FACE_OUTER_BOUND('',#45292,.T.); #26140=FACE_OUTER_BOUND('',#45293,.T.); #26141=FACE_OUTER_BOUND('',#45294,.T.); #26142=FACE_OUTER_BOUND('',#45295,.T.); #26143=FACE_OUTER_BOUND('',#45296,.T.); #26144=FACE_OUTER_BOUND('',#45297,.T.); #26145=FACE_OUTER_BOUND('',#45298,.T.); #26146=FACE_OUTER_BOUND('',#45299,.T.); #26147=FACE_OUTER_BOUND('',#45300,.T.); #26148=FACE_OUTER_BOUND('',#45301,.T.); #26149=FACE_OUTER_BOUND('',#45302,.T.); #26150=FACE_OUTER_BOUND('',#45303,.T.); #26151=FACE_OUTER_BOUND('',#45304,.T.); #26152=FACE_OUTER_BOUND('',#45305,.T.); #26153=FACE_OUTER_BOUND('',#45306,.T.); #26154=FACE_OUTER_BOUND('',#45307,.T.); #26155=FACE_OUTER_BOUND('',#45308,.T.); #26156=FACE_OUTER_BOUND('',#45309,.T.); #26157=FACE_OUTER_BOUND('',#45310,.T.); #26158=FACE_OUTER_BOUND('',#45311,.T.); #26159=FACE_OUTER_BOUND('',#45312,.T.); #26160=FACE_OUTER_BOUND('',#45313,.T.); #26161=FACE_OUTER_BOUND('',#45314,.T.); #26162=FACE_OUTER_BOUND('',#45315,.T.); #26163=FACE_OUTER_BOUND('',#45316,.T.); #26164=FACE_OUTER_BOUND('',#45317,.T.); #26165=FACE_OUTER_BOUND('',#45318,.T.); #26166=FACE_OUTER_BOUND('',#45319,.T.); #26167=FACE_OUTER_BOUND('',#45320,.T.); #26168=FACE_OUTER_BOUND('',#45321,.T.); #26169=FACE_OUTER_BOUND('',#45322,.T.); #26170=FACE_OUTER_BOUND('',#45323,.T.); #26171=FACE_OUTER_BOUND('',#45324,.T.); #26172=FACE_OUTER_BOUND('',#45325,.T.); #26173=FACE_OUTER_BOUND('',#45326,.T.); #26174=FACE_OUTER_BOUND('',#45327,.T.); #26175=FACE_OUTER_BOUND('',#45328,.T.); #26176=FACE_OUTER_BOUND('',#45329,.T.); #26177=FACE_OUTER_BOUND('',#45330,.T.); #26178=FACE_OUTER_BOUND('',#45331,.T.); #26179=FACE_OUTER_BOUND('',#45332,.T.); #26180=FACE_OUTER_BOUND('',#45333,.T.); #26181=FACE_OUTER_BOUND('',#45334,.T.); #26182=FACE_OUTER_BOUND('',#45335,.T.); #26183=FACE_OUTER_BOUND('',#45336,.T.); #26184=FACE_OUTER_BOUND('',#45337,.T.); #26185=FACE_OUTER_BOUND('',#45338,.T.); #26186=FACE_OUTER_BOUND('',#45339,.T.); #26187=FACE_OUTER_BOUND('',#45340,.T.); #26188=FACE_OUTER_BOUND('',#45341,.T.); #26189=FACE_OUTER_BOUND('',#45342,.T.); #26190=FACE_OUTER_BOUND('',#45343,.T.); #26191=FACE_OUTER_BOUND('',#45344,.T.); #26192=FACE_OUTER_BOUND('',#45345,.T.); #26193=FACE_OUTER_BOUND('',#45346,.T.); #26194=FACE_OUTER_BOUND('',#45347,.T.); #26195=FACE_OUTER_BOUND('',#45348,.T.); #26196=FACE_OUTER_BOUND('',#45349,.T.); #26197=FACE_OUTER_BOUND('',#45350,.T.); #26198=FACE_OUTER_BOUND('',#45351,.T.); #26199=FACE_OUTER_BOUND('',#45352,.T.); #26200=FACE_OUTER_BOUND('',#45353,.T.); #26201=FACE_OUTER_BOUND('',#45354,.T.); #26202=FACE_OUTER_BOUND('',#45355,.T.); #26203=FACE_OUTER_BOUND('',#45356,.T.); #26204=FACE_OUTER_BOUND('',#45357,.T.); #26205=FACE_OUTER_BOUND('',#45358,.T.); #26206=FACE_OUTER_BOUND('',#45359,.T.); #26207=FACE_OUTER_BOUND('',#45360,.T.); #26208=FACE_OUTER_BOUND('',#45361,.T.); #26209=FACE_OUTER_BOUND('',#45362,.T.); #26210=FACE_OUTER_BOUND('',#45363,.T.); #26211=FACE_OUTER_BOUND('',#45364,.T.); #26212=FACE_OUTER_BOUND('',#45365,.T.); #26213=FACE_OUTER_BOUND('',#45366,.T.); #26214=FACE_OUTER_BOUND('',#45367,.T.); #26215=FACE_OUTER_BOUND('',#45368,.T.); #26216=FACE_OUTER_BOUND('',#45369,.T.); #26217=FACE_OUTER_BOUND('',#45370,.T.); #26218=FACE_OUTER_BOUND('',#45371,.T.); #26219=FACE_OUTER_BOUND('',#45372,.T.); #26220=FACE_OUTER_BOUND('',#45373,.T.); #26221=FACE_OUTER_BOUND('',#45374,.T.); #26222=FACE_OUTER_BOUND('',#45375,.T.); #26223=FACE_OUTER_BOUND('',#45376,.T.); #26224=FACE_OUTER_BOUND('',#45377,.T.); #26225=FACE_OUTER_BOUND('',#45378,.T.); #26226=FACE_OUTER_BOUND('',#45379,.T.); #26227=FACE_OUTER_BOUND('',#45380,.T.); #26228=FACE_OUTER_BOUND('',#45381,.T.); #26229=FACE_OUTER_BOUND('',#45382,.T.); #26230=FACE_OUTER_BOUND('',#45383,.T.); #26231=FACE_OUTER_BOUND('',#45384,.T.); #26232=FACE_OUTER_BOUND('',#45385,.T.); #26233=FACE_OUTER_BOUND('',#45386,.T.); #26234=FACE_OUTER_BOUND('',#45387,.T.); #26235=FACE_OUTER_BOUND('',#45388,.T.); #26236=FACE_OUTER_BOUND('',#45389,.T.); #26237=FACE_OUTER_BOUND('',#45390,.T.); #26238=FACE_OUTER_BOUND('',#45391,.T.); #26239=FACE_OUTER_BOUND('',#45392,.T.); #26240=FACE_OUTER_BOUND('',#45393,.T.); #26241=FACE_OUTER_BOUND('',#45394,.T.); #26242=FACE_OUTER_BOUND('',#45395,.T.); #26243=FACE_OUTER_BOUND('',#45396,.T.); #26244=FACE_OUTER_BOUND('',#45397,.T.); #26245=FACE_OUTER_BOUND('',#45398,.T.); #26246=FACE_OUTER_BOUND('',#45399,.T.); #26247=FACE_OUTER_BOUND('',#45400,.T.); #26248=FACE_OUTER_BOUND('',#45401,.T.); #26249=FACE_OUTER_BOUND('',#45402,.T.); #26250=FACE_OUTER_BOUND('',#45403,.T.); #26251=FACE_OUTER_BOUND('',#45404,.T.); #26252=FACE_OUTER_BOUND('',#45405,.T.); #26253=FACE_OUTER_BOUND('',#45406,.T.); #26254=FACE_OUTER_BOUND('',#45407,.T.); #26255=FACE_OUTER_BOUND('',#45408,.T.); #26256=FACE_OUTER_BOUND('',#45409,.T.); #26257=FACE_OUTER_BOUND('',#45410,.T.); #26258=FACE_OUTER_BOUND('',#45411,.T.); #26259=FACE_OUTER_BOUND('',#45412,.T.); #26260=FACE_OUTER_BOUND('',#45413,.T.); #26261=FACE_OUTER_BOUND('',#45414,.T.); #26262=FACE_OUTER_BOUND('',#45415,.T.); #26263=FACE_OUTER_BOUND('',#45416,.T.); #26264=FACE_OUTER_BOUND('',#45417,.T.); #26265=FACE_OUTER_BOUND('',#45418,.T.); #26266=FACE_OUTER_BOUND('',#45419,.T.); #26267=FACE_OUTER_BOUND('',#45420,.T.); #26268=FACE_OUTER_BOUND('',#45421,.T.); #26269=FACE_OUTER_BOUND('',#45422,.T.); #26270=FACE_OUTER_BOUND('',#45423,.T.); #26271=FACE_OUTER_BOUND('',#45424,.T.); #26272=FACE_OUTER_BOUND('',#45425,.T.); #26273=FACE_OUTER_BOUND('',#45426,.T.); #26274=FACE_OUTER_BOUND('',#45427,.T.); #26275=FACE_OUTER_BOUND('',#45428,.T.); #26276=FACE_OUTER_BOUND('',#45429,.T.); #26277=FACE_OUTER_BOUND('',#45430,.T.); #26278=FACE_OUTER_BOUND('',#45431,.T.); #26279=FACE_OUTER_BOUND('',#45432,.T.); #26280=FACE_OUTER_BOUND('',#45433,.T.); #26281=FACE_OUTER_BOUND('',#45434,.T.); #26282=FACE_OUTER_BOUND('',#45435,.T.); #26283=FACE_OUTER_BOUND('',#45436,.T.); #26284=FACE_OUTER_BOUND('',#45437,.T.); #26285=FACE_OUTER_BOUND('',#45438,.T.); #26286=FACE_OUTER_BOUND('',#45439,.T.); #26287=FACE_OUTER_BOUND('',#45440,.T.); #26288=FACE_OUTER_BOUND('',#45441,.T.); #26289=FACE_OUTER_BOUND('',#45442,.T.); #26290=FACE_OUTER_BOUND('',#45443,.T.); #26291=FACE_OUTER_BOUND('',#45444,.T.); #26292=FACE_OUTER_BOUND('',#45445,.T.); #26293=FACE_OUTER_BOUND('',#45446,.T.); #26294=FACE_OUTER_BOUND('',#45447,.T.); #26295=FACE_OUTER_BOUND('',#45448,.T.); #26296=FACE_OUTER_BOUND('',#45449,.T.); #26297=FACE_OUTER_BOUND('',#45450,.T.); #26298=FACE_OUTER_BOUND('',#45451,.T.); #26299=FACE_OUTER_BOUND('',#45452,.T.); #26300=FACE_OUTER_BOUND('',#45453,.T.); #26301=FACE_OUTER_BOUND('',#45454,.T.); #26302=FACE_OUTER_BOUND('',#45455,.T.); #26303=FACE_OUTER_BOUND('',#45456,.T.); #26304=FACE_OUTER_BOUND('',#45457,.T.); #26305=FACE_OUTER_BOUND('',#45458,.T.); #26306=FACE_OUTER_BOUND('',#45459,.T.); #26307=FACE_OUTER_BOUND('',#45460,.T.); #26308=FACE_OUTER_BOUND('',#45461,.T.); #26309=FACE_OUTER_BOUND('',#45462,.T.); #26310=FACE_OUTER_BOUND('',#45463,.T.); #26311=FACE_OUTER_BOUND('',#45464,.T.); #26312=FACE_OUTER_BOUND('',#45465,.T.); #26313=FACE_OUTER_BOUND('',#45466,.T.); #26314=FACE_OUTER_BOUND('',#45467,.T.); #26315=FACE_OUTER_BOUND('',#45468,.T.); #26316=FACE_OUTER_BOUND('',#45469,.T.); #26317=FACE_OUTER_BOUND('',#45470,.T.); #26318=FACE_OUTER_BOUND('',#45471,.T.); #26319=FACE_OUTER_BOUND('',#45472,.T.); #26320=FACE_OUTER_BOUND('',#45473,.T.); #26321=FACE_OUTER_BOUND('',#45474,.T.); #26322=FACE_OUTER_BOUND('',#45475,.T.); #26323=FACE_OUTER_BOUND('',#45476,.T.); #26324=FACE_OUTER_BOUND('',#45477,.T.); #26325=FACE_OUTER_BOUND('',#45478,.T.); #26326=FACE_OUTER_BOUND('',#45479,.T.); #26327=FACE_OUTER_BOUND('',#45480,.T.); #26328=FACE_OUTER_BOUND('',#45481,.T.); #26329=FACE_OUTER_BOUND('',#45482,.T.); #26330=FACE_OUTER_BOUND('',#45483,.T.); #26331=FACE_OUTER_BOUND('',#45484,.T.); #26332=FACE_OUTER_BOUND('',#45485,.T.); #26333=FACE_OUTER_BOUND('',#45486,.T.); #26334=FACE_OUTER_BOUND('',#45487,.T.); #26335=FACE_OUTER_BOUND('',#45488,.T.); #26336=FACE_OUTER_BOUND('',#45489,.T.); #26337=FACE_OUTER_BOUND('',#45490,.T.); #26338=FACE_OUTER_BOUND('',#45491,.T.); #26339=FACE_OUTER_BOUND('',#45492,.T.); #26340=FACE_OUTER_BOUND('',#45493,.T.); #26341=FACE_OUTER_BOUND('',#45494,.T.); #26342=FACE_OUTER_BOUND('',#45495,.T.); #26343=FACE_OUTER_BOUND('',#45496,.T.); #26344=FACE_OUTER_BOUND('',#45497,.T.); #26345=FACE_OUTER_BOUND('',#45498,.T.); #26346=FACE_OUTER_BOUND('',#45548,.T.); #26347=FACE_OUTER_BOUND('',#45598,.T.); #26348=FACE_OUTER_BOUND('',#45599,.T.); #26349=FACE_OUTER_BOUND('',#45600,.T.); #26350=FACE_OUTER_BOUND('',#45601,.T.); #26351=FACE_OUTER_BOUND('',#45602,.T.); #26352=FACE_OUTER_BOUND('',#45603,.T.); #26353=FACE_OUTER_BOUND('',#45604,.T.); #26354=FACE_OUTER_BOUND('',#45605,.T.); #26355=FACE_OUTER_BOUND('',#45606,.T.); #26356=FACE_OUTER_BOUND('',#45607,.T.); #26357=FACE_OUTER_BOUND('',#45608,.T.); #26358=FACE_OUTER_BOUND('',#45609,.T.); #26359=FACE_OUTER_BOUND('',#45610,.T.); #26360=FACE_OUTER_BOUND('',#45611,.T.); #26361=FACE_OUTER_BOUND('',#45612,.T.); #26362=FACE_OUTER_BOUND('',#45613,.T.); #26363=FACE_OUTER_BOUND('',#45614,.T.); #26364=FACE_OUTER_BOUND('',#45615,.T.); #26365=FACE_OUTER_BOUND('',#45616,.T.); #26366=FACE_OUTER_BOUND('',#45617,.T.); #26367=FACE_OUTER_BOUND('',#45618,.T.); #26368=FACE_OUTER_BOUND('',#45619,.T.); #26369=FACE_OUTER_BOUND('',#45620,.T.); #26370=FACE_OUTER_BOUND('',#45621,.T.); #26371=FACE_OUTER_BOUND('',#45622,.T.); #26372=FACE_OUTER_BOUND('',#45623,.T.); #26373=FACE_OUTER_BOUND('',#45624,.T.); #26374=FACE_OUTER_BOUND('',#45625,.T.); #26375=FACE_OUTER_BOUND('',#45626,.T.); #26376=FACE_OUTER_BOUND('',#45627,.T.); #26377=FACE_OUTER_BOUND('',#45628,.T.); #26378=FACE_OUTER_BOUND('',#45629,.T.); #26379=FACE_OUTER_BOUND('',#45630,.T.); #26380=FACE_OUTER_BOUND('',#45631,.T.); #26381=FACE_OUTER_BOUND('',#45632,.T.); #26382=FACE_OUTER_BOUND('',#45633,.T.); #26383=FACE_OUTER_BOUND('',#45634,.T.); #26384=FACE_OUTER_BOUND('',#45635,.T.); #26385=FACE_OUTER_BOUND('',#45636,.T.); #26386=FACE_OUTER_BOUND('',#45637,.T.); #26387=FACE_OUTER_BOUND('',#45638,.T.); #26388=FACE_OUTER_BOUND('',#45639,.T.); #26389=FACE_OUTER_BOUND('',#45640,.T.); #26390=FACE_OUTER_BOUND('',#45641,.T.); #26391=FACE_OUTER_BOUND('',#45642,.T.); #26392=FACE_OUTER_BOUND('',#45643,.T.); #26393=FACE_OUTER_BOUND('',#45644,.T.); #26394=FACE_OUTER_BOUND('',#45645,.T.); #26395=FACE_OUTER_BOUND('',#45646,.T.); #26396=FACE_OUTER_BOUND('',#45647,.T.); #26397=FACE_OUTER_BOUND('',#45648,.T.); #26398=FACE_OUTER_BOUND('',#45649,.T.); #26399=FACE_OUTER_BOUND('',#45650,.T.); #26400=FACE_OUTER_BOUND('',#45651,.T.); #26401=FACE_OUTER_BOUND('',#45652,.T.); #26402=FACE_OUTER_BOUND('',#45653,.T.); #26403=FACE_OUTER_BOUND('',#45654,.T.); #26404=FACE_OUTER_BOUND('',#45655,.T.); #26405=FACE_OUTER_BOUND('',#45656,.T.); #26406=FACE_OUTER_BOUND('',#45657,.T.); #26407=FACE_OUTER_BOUND('',#45658,.T.); #26408=FACE_OUTER_BOUND('',#45659,.T.); #26409=FACE_OUTER_BOUND('',#45660,.T.); #26410=FACE_OUTER_BOUND('',#45661,.T.); #26411=FACE_OUTER_BOUND('',#45662,.T.); #26412=FACE_OUTER_BOUND('',#45663,.T.); #26413=FACE_OUTER_BOUND('',#45664,.T.); #26414=FACE_OUTER_BOUND('',#45665,.T.); #26415=FACE_OUTER_BOUND('',#45666,.T.); #26416=FACE_OUTER_BOUND('',#45667,.T.); #26417=FACE_OUTER_BOUND('',#45668,.T.); #26418=FACE_OUTER_BOUND('',#45669,.T.); #26419=FACE_OUTER_BOUND('',#45670,.T.); #26420=FACE_OUTER_BOUND('',#45671,.T.); #26421=FACE_OUTER_BOUND('',#45672,.T.); #26422=FACE_OUTER_BOUND('',#45673,.T.); #26423=FACE_OUTER_BOUND('',#45674,.T.); #26424=FACE_OUTER_BOUND('',#45675,.T.); #26425=FACE_OUTER_BOUND('',#45676,.T.); #26426=FACE_OUTER_BOUND('',#45677,.T.); #26427=FACE_OUTER_BOUND('',#45678,.T.); #26428=FACE_OUTER_BOUND('',#45679,.T.); #26429=FACE_OUTER_BOUND('',#45680,.T.); #26430=FACE_OUTER_BOUND('',#45681,.T.); #26431=FACE_OUTER_BOUND('',#45682,.T.); #26432=FACE_OUTER_BOUND('',#45683,.T.); #26433=FACE_OUTER_BOUND('',#45684,.T.); #26434=FACE_OUTER_BOUND('',#45685,.T.); #26435=FACE_OUTER_BOUND('',#45686,.T.); #26436=FACE_OUTER_BOUND('',#45687,.T.); #26437=FACE_OUTER_BOUND('',#45688,.T.); #26438=FACE_OUTER_BOUND('',#45689,.T.); #26439=FACE_OUTER_BOUND('',#45690,.T.); #26440=FACE_OUTER_BOUND('',#45691,.T.); #26441=FACE_OUTER_BOUND('',#45692,.T.); #26442=FACE_OUTER_BOUND('',#45693,.T.); #26443=FACE_OUTER_BOUND('',#45694,.T.); #26444=FACE_OUTER_BOUND('',#45695,.T.); #26445=FACE_OUTER_BOUND('',#45696,.T.); #26446=FACE_OUTER_BOUND('',#45697,.T.); #26447=FACE_OUTER_BOUND('',#45698,.T.); #26448=FACE_OUTER_BOUND('',#45699,.T.); #26449=FACE_OUTER_BOUND('',#45700,.T.); #26450=FACE_OUTER_BOUND('',#45701,.T.); #26451=FACE_OUTER_BOUND('',#45702,.T.); #26452=FACE_OUTER_BOUND('',#45703,.T.); #26453=FACE_OUTER_BOUND('',#45704,.T.); #26454=FACE_OUTER_BOUND('',#45705,.T.); #26455=FACE_OUTER_BOUND('',#45706,.T.); #26456=FACE_OUTER_BOUND('',#45707,.T.); #26457=FACE_OUTER_BOUND('',#45708,.T.); #26458=FACE_OUTER_BOUND('',#45709,.T.); #26459=FACE_OUTER_BOUND('',#45710,.T.); #26460=FACE_OUTER_BOUND('',#45711,.T.); #26461=FACE_OUTER_BOUND('',#45712,.T.); #26462=FACE_OUTER_BOUND('',#45713,.T.); #26463=FACE_OUTER_BOUND('',#45714,.T.); #26464=FACE_OUTER_BOUND('',#45715,.T.); #26465=FACE_OUTER_BOUND('',#45716,.T.); #26466=FACE_OUTER_BOUND('',#45717,.T.); #26467=FACE_OUTER_BOUND('',#45718,.T.); #26468=FACE_OUTER_BOUND('',#45719,.T.); #26469=FACE_OUTER_BOUND('',#45720,.T.); #26470=FACE_OUTER_BOUND('',#45721,.T.); #26471=FACE_OUTER_BOUND('',#45722,.T.); #26472=FACE_OUTER_BOUND('',#45723,.T.); #26473=FACE_OUTER_BOUND('',#45724,.T.); #26474=FACE_OUTER_BOUND('',#45725,.T.); #26475=FACE_OUTER_BOUND('',#45726,.T.); #26476=FACE_OUTER_BOUND('',#45727,.T.); #26477=FACE_OUTER_BOUND('',#45728,.T.); #26478=FACE_OUTER_BOUND('',#45729,.T.); #26479=FACE_OUTER_BOUND('',#45730,.T.); #26480=FACE_OUTER_BOUND('',#45731,.T.); #26481=FACE_OUTER_BOUND('',#45732,.T.); #26482=FACE_OUTER_BOUND('',#45733,.T.); #26483=FACE_OUTER_BOUND('',#45734,.T.); #26484=FACE_OUTER_BOUND('',#45735,.T.); #26485=FACE_OUTER_BOUND('',#45736,.T.); #26486=FACE_OUTER_BOUND('',#45737,.T.); #26487=FACE_OUTER_BOUND('',#45738,.T.); #26488=FACE_OUTER_BOUND('',#45739,.T.); #26489=FACE_OUTER_BOUND('',#45740,.T.); #26490=FACE_OUTER_BOUND('',#45741,.T.); #26491=FACE_OUTER_BOUND('',#45742,.T.); #26492=FACE_OUTER_BOUND('',#45743,.T.); #26493=FACE_OUTER_BOUND('',#45744,.T.); #26494=FACE_OUTER_BOUND('',#45745,.T.); #26495=FACE_OUTER_BOUND('',#45746,.T.); #26496=FACE_OUTER_BOUND('',#45747,.T.); #26497=FACE_OUTER_BOUND('',#45748,.T.); #26498=FACE_OUTER_BOUND('',#45749,.T.); #26499=FACE_OUTER_BOUND('',#45750,.T.); #26500=FACE_OUTER_BOUND('',#45751,.T.); #26501=FACE_OUTER_BOUND('',#45752,.T.); #26502=FACE_OUTER_BOUND('',#45753,.T.); #26503=FACE_OUTER_BOUND('',#45754,.T.); #26504=FACE_OUTER_BOUND('',#45755,.T.); #26505=FACE_OUTER_BOUND('',#45756,.T.); #26506=FACE_OUTER_BOUND('',#45757,.T.); #26507=FACE_OUTER_BOUND('',#45758,.T.); #26508=FACE_OUTER_BOUND('',#45759,.T.); #26509=FACE_OUTER_BOUND('',#45760,.T.); #26510=FACE_OUTER_BOUND('',#45761,.T.); #26511=FACE_OUTER_BOUND('',#45762,.T.); #26512=FACE_OUTER_BOUND('',#45763,.T.); #26513=FACE_OUTER_BOUND('',#45764,.T.); #26514=FACE_OUTER_BOUND('',#45765,.T.); #26515=FACE_OUTER_BOUND('',#45766,.T.); #26516=FACE_OUTER_BOUND('',#45767,.T.); #26517=FACE_OUTER_BOUND('',#45768,.T.); #26518=FACE_OUTER_BOUND('',#45769,.T.); #26519=FACE_OUTER_BOUND('',#45770,.T.); #26520=FACE_OUTER_BOUND('',#45771,.T.); #26521=FACE_OUTER_BOUND('',#45772,.T.); #26522=FACE_OUTER_BOUND('',#45773,.T.); #26523=FACE_OUTER_BOUND('',#45774,.T.); #26524=FACE_OUTER_BOUND('',#45775,.T.); #26525=FACE_OUTER_BOUND('',#45776,.T.); #26526=FACE_OUTER_BOUND('',#45777,.T.); #26527=FACE_OUTER_BOUND('',#45778,.T.); #26528=FACE_OUTER_BOUND('',#45779,.T.); #26529=FACE_OUTER_BOUND('',#45780,.T.); #26530=FACE_OUTER_BOUND('',#45781,.T.); #26531=FACE_OUTER_BOUND('',#45782,.T.); #26532=FACE_OUTER_BOUND('',#45783,.T.); #26533=FACE_OUTER_BOUND('',#45784,.T.); #26534=FACE_OUTER_BOUND('',#45785,.T.); #26535=FACE_OUTER_BOUND('',#45786,.T.); #26536=FACE_OUTER_BOUND('',#45787,.T.); #26537=FACE_OUTER_BOUND('',#45788,.T.); #26538=FACE_OUTER_BOUND('',#45789,.T.); #26539=FACE_OUTER_BOUND('',#45790,.T.); #26540=FACE_OUTER_BOUND('',#45791,.T.); #26541=FACE_OUTER_BOUND('',#45792,.T.); #26542=FACE_OUTER_BOUND('',#45793,.T.); #26543=FACE_OUTER_BOUND('',#45794,.T.); #26544=FACE_OUTER_BOUND('',#45795,.T.); #26545=FACE_OUTER_BOUND('',#45796,.T.); #26546=FACE_OUTER_BOUND('',#45797,.T.); #26547=FACE_OUTER_BOUND('',#45798,.T.); #26548=FACE_OUTER_BOUND('',#45799,.T.); #26549=FACE_OUTER_BOUND('',#45800,.T.); #26550=FACE_OUTER_BOUND('',#45801,.T.); #26551=FACE_OUTER_BOUND('',#45802,.T.); #26552=FACE_OUTER_BOUND('',#45803,.T.); #26553=FACE_OUTER_BOUND('',#45804,.T.); #26554=FACE_OUTER_BOUND('',#45805,.T.); #26555=FACE_OUTER_BOUND('',#45806,.T.); #26556=FACE_OUTER_BOUND('',#45807,.T.); #26557=FACE_OUTER_BOUND('',#45808,.T.); #26558=FACE_OUTER_BOUND('',#45809,.T.); #26559=FACE_OUTER_BOUND('',#45810,.T.); #26560=FACE_OUTER_BOUND('',#45811,.T.); #26561=FACE_OUTER_BOUND('',#45812,.T.); #26562=FACE_OUTER_BOUND('',#45813,.T.); #26563=FACE_OUTER_BOUND('',#45814,.T.); #26564=FACE_OUTER_BOUND('',#45815,.T.); #26565=FACE_OUTER_BOUND('',#45816,.T.); #26566=FACE_OUTER_BOUND('',#45817,.T.); #26567=FACE_OUTER_BOUND('',#45818,.T.); #26568=FACE_OUTER_BOUND('',#45819,.T.); #26569=FACE_OUTER_BOUND('',#45820,.T.); #26570=FACE_OUTER_BOUND('',#45821,.T.); #26571=FACE_OUTER_BOUND('',#45822,.T.); #26572=FACE_OUTER_BOUND('',#45823,.T.); #26573=FACE_OUTER_BOUND('',#45824,.T.); #26574=FACE_OUTER_BOUND('',#45825,.T.); #26575=FACE_OUTER_BOUND('',#45826,.T.); #26576=FACE_OUTER_BOUND('',#45827,.T.); #26577=FACE_OUTER_BOUND('',#45828,.T.); #26578=FACE_OUTER_BOUND('',#45829,.T.); #26579=FACE_OUTER_BOUND('',#45830,.T.); #26580=FACE_OUTER_BOUND('',#45831,.T.); #26581=FACE_OUTER_BOUND('',#45832,.T.); #26582=FACE_OUTER_BOUND('',#45833,.T.); #26583=FACE_OUTER_BOUND('',#45834,.T.); #26584=FACE_OUTER_BOUND('',#45835,.T.); #26585=FACE_OUTER_BOUND('',#45836,.T.); #26586=FACE_OUTER_BOUND('',#45837,.T.); #26587=FACE_OUTER_BOUND('',#45838,.T.); #26588=FACE_OUTER_BOUND('',#45839,.T.); #26589=FACE_OUTER_BOUND('',#45840,.T.); #26590=FACE_OUTER_BOUND('',#45841,.T.); #26591=FACE_OUTER_BOUND('',#45842,.T.); #26592=FACE_OUTER_BOUND('',#45843,.T.); #26593=FACE_OUTER_BOUND('',#45847,.T.); #26594=FACE_OUTER_BOUND('',#45851,.T.); #26595=FACE_OUTER_BOUND('',#45852,.T.); #26596=FACE_OUTER_BOUND('',#45853,.T.); #26597=FACE_OUTER_BOUND('',#45854,.T.); #26598=FACE_OUTER_BOUND('',#45855,.T.); #26599=FACE_OUTER_BOUND('',#45856,.T.); #26600=FACE_OUTER_BOUND('',#45857,.T.); #26601=FACE_OUTER_BOUND('',#45858,.T.); #26602=FACE_OUTER_BOUND('',#45859,.T.); #26603=FACE_OUTER_BOUND('',#45860,.T.); #26604=FACE_OUTER_BOUND('',#45861,.T.); #26605=FACE_OUTER_BOUND('',#45862,.T.); #26606=FACE_OUTER_BOUND('',#45863,.T.); #26607=FACE_OUTER_BOUND('',#45864,.T.); #26608=FACE_OUTER_BOUND('',#45865,.T.); #26609=FACE_OUTER_BOUND('',#45866,.T.); #26610=FACE_OUTER_BOUND('',#45867,.T.); #26611=FACE_OUTER_BOUND('',#45868,.T.); #26612=FACE_OUTER_BOUND('',#45869,.T.); #26613=FACE_OUTER_BOUND('',#45870,.T.); #26614=FACE_OUTER_BOUND('',#45871,.T.); #26615=FACE_OUTER_BOUND('',#45872,.T.); #26616=FACE_OUTER_BOUND('',#45873,.T.); #26617=FACE_OUTER_BOUND('',#45874,.T.); #26618=FACE_OUTER_BOUND('',#45875,.T.); #26619=FACE_OUTER_BOUND('',#45876,.T.); #26620=FACE_OUTER_BOUND('',#45877,.T.); #26621=FACE_OUTER_BOUND('',#45878,.T.); #26622=FACE_OUTER_BOUND('',#45879,.T.); #26623=FACE_OUTER_BOUND('',#45880,.T.); #26624=FACE_OUTER_BOUND('',#45881,.T.); #26625=FACE_OUTER_BOUND('',#45882,.T.); #26626=FACE_OUTER_BOUND('',#45883,.T.); #26627=FACE_OUTER_BOUND('',#45884,.T.); #26628=FACE_OUTER_BOUND('',#45885,.T.); #26629=FACE_OUTER_BOUND('',#45886,.T.); #26630=FACE_OUTER_BOUND('',#45887,.T.); #26631=FACE_OUTER_BOUND('',#45888,.T.); #26632=FACE_OUTER_BOUND('',#45889,.T.); #26633=FACE_OUTER_BOUND('',#45890,.T.); #26634=FACE_OUTER_BOUND('',#45891,.T.); #26635=FACE_OUTER_BOUND('',#45892,.T.); #26636=FACE_OUTER_BOUND('',#45893,.T.); #26637=FACE_OUTER_BOUND('',#45894,.T.); #26638=FACE_OUTER_BOUND('',#45895,.T.); #26639=FACE_OUTER_BOUND('',#45896,.T.); #26640=FACE_OUTER_BOUND('',#45897,.T.); #26641=FACE_OUTER_BOUND('',#45898,.T.); #26642=FACE_OUTER_BOUND('',#45899,.T.); #26643=FACE_OUTER_BOUND('',#45900,.T.); #26644=FACE_OUTER_BOUND('',#45901,.T.); #26645=FACE_OUTER_BOUND('',#45902,.T.); #26646=FACE_OUTER_BOUND('',#45903,.T.); #26647=FACE_OUTER_BOUND('',#45904,.T.); #26648=FACE_OUTER_BOUND('',#45905,.T.); #26649=FACE_OUTER_BOUND('',#45906,.T.); #26650=FACE_OUTER_BOUND('',#45907,.T.); #26651=FACE_OUTER_BOUND('',#45908,.T.); #26652=FACE_OUTER_BOUND('',#45909,.T.); #26653=FACE_OUTER_BOUND('',#45910,.T.); #26654=FACE_OUTER_BOUND('',#45911,.T.); #26655=FACE_OUTER_BOUND('',#45912,.T.); #26656=FACE_OUTER_BOUND('',#45913,.T.); #26657=FACE_OUTER_BOUND('',#45914,.T.); #26658=FACE_OUTER_BOUND('',#45915,.T.); #26659=FACE_OUTER_BOUND('',#45916,.T.); #26660=FACE_OUTER_BOUND('',#45917,.T.); #26661=FACE_OUTER_BOUND('',#45918,.T.); #26662=FACE_OUTER_BOUND('',#45919,.T.); #26663=FACE_OUTER_BOUND('',#45920,.T.); #26664=FACE_OUTER_BOUND('',#45921,.T.); #26665=FACE_OUTER_BOUND('',#45922,.T.); #26666=FACE_OUTER_BOUND('',#45923,.T.); #26667=FACE_OUTER_BOUND('',#45924,.T.); #26668=FACE_OUTER_BOUND('',#45925,.T.); #26669=FACE_OUTER_BOUND('',#45926,.T.); #26670=FACE_OUTER_BOUND('',#45927,.T.); #26671=FACE_OUTER_BOUND('',#45928,.T.); #26672=FACE_OUTER_BOUND('',#45929,.T.); #26673=FACE_OUTER_BOUND('',#45930,.T.); #26674=FACE_OUTER_BOUND('',#45931,.T.); #26675=FACE_OUTER_BOUND('',#45932,.T.); #26676=FACE_OUTER_BOUND('',#45933,.T.); #26677=FACE_OUTER_BOUND('',#45934,.T.); #26678=FACE_OUTER_BOUND('',#45935,.T.); #26679=FACE_OUTER_BOUND('',#45936,.T.); #26680=FACE_OUTER_BOUND('',#45937,.T.); #26681=FACE_OUTER_BOUND('',#45938,.T.); #26682=FACE_OUTER_BOUND('',#45939,.T.); #26683=FACE_OUTER_BOUND('',#45940,.T.); #26684=FACE_OUTER_BOUND('',#45941,.T.); #26685=FACE_OUTER_BOUND('',#45942,.T.); #26686=FACE_OUTER_BOUND('',#45943,.T.); #26687=FACE_OUTER_BOUND('',#45944,.T.); #26688=FACE_OUTER_BOUND('',#45945,.T.); #26689=FACE_OUTER_BOUND('',#45946,.T.); #26690=FACE_OUTER_BOUND('',#45947,.T.); #26691=FACE_OUTER_BOUND('',#45948,.T.); #26692=FACE_OUTER_BOUND('',#45949,.T.); #26693=FACE_OUTER_BOUND('',#45950,.T.); #26694=FACE_OUTER_BOUND('',#45951,.T.); #26695=FACE_OUTER_BOUND('',#45952,.T.); #26696=FACE_OUTER_BOUND('',#45953,.T.); #26697=FACE_OUTER_BOUND('',#45954,.T.); #26698=FACE_OUTER_BOUND('',#45955,.T.); #26699=FACE_OUTER_BOUND('',#45956,.T.); #26700=FACE_OUTER_BOUND('',#45957,.T.); #26701=FACE_OUTER_BOUND('',#45958,.T.); #26702=FACE_OUTER_BOUND('',#45959,.T.); #26703=FACE_OUTER_BOUND('',#45960,.T.); #26704=FACE_OUTER_BOUND('',#45961,.T.); #26705=FACE_OUTER_BOUND('',#45962,.T.); #26706=FACE_OUTER_BOUND('',#45963,.T.); #26707=FACE_OUTER_BOUND('',#45964,.T.); #26708=FACE_OUTER_BOUND('',#45965,.T.); #26709=FACE_OUTER_BOUND('',#45966,.T.); #26710=FACE_OUTER_BOUND('',#45967,.T.); #26711=FACE_OUTER_BOUND('',#45968,.T.); #26712=FACE_OUTER_BOUND('',#45969,.T.); #26713=FACE_OUTER_BOUND('',#45970,.T.); #26714=FACE_OUTER_BOUND('',#45971,.T.); #26715=FACE_OUTER_BOUND('',#45972,.T.); #26716=FACE_OUTER_BOUND('',#45973,.T.); #26717=FACE_OUTER_BOUND('',#45974,.T.); #26718=FACE_OUTER_BOUND('',#45975,.T.); #26719=FACE_OUTER_BOUND('',#45976,.T.); #26720=FACE_OUTER_BOUND('',#45977,.T.); #26721=FACE_OUTER_BOUND('',#45978,.T.); #26722=FACE_OUTER_BOUND('',#45979,.T.); #26723=FACE_OUTER_BOUND('',#45980,.T.); #26724=FACE_OUTER_BOUND('',#45981,.T.); #26725=FACE_OUTER_BOUND('',#45982,.T.); #26726=FACE_OUTER_BOUND('',#45983,.T.); #26727=FACE_OUTER_BOUND('',#45984,.T.); #26728=FACE_OUTER_BOUND('',#45985,.T.); #26729=FACE_OUTER_BOUND('',#45986,.T.); #26730=FACE_OUTER_BOUND('',#45987,.T.); #26731=FACE_OUTER_BOUND('',#45988,.T.); #26732=FACE_OUTER_BOUND('',#45989,.T.); #26733=FACE_OUTER_BOUND('',#45990,.T.); #26734=FACE_OUTER_BOUND('',#45991,.T.); #26735=FACE_OUTER_BOUND('',#45992,.T.); #26736=FACE_OUTER_BOUND('',#45993,.T.); #26737=FACE_OUTER_BOUND('',#45994,.T.); #26738=FACE_OUTER_BOUND('',#45995,.T.); #26739=FACE_OUTER_BOUND('',#45996,.T.); #26740=FACE_OUTER_BOUND('',#45997,.T.); #26741=FACE_OUTER_BOUND('',#45998,.T.); #26742=FACE_OUTER_BOUND('',#45999,.T.); #26743=FACE_OUTER_BOUND('',#46000,.T.); #26744=FACE_OUTER_BOUND('',#46001,.T.); #26745=FACE_OUTER_BOUND('',#46002,.T.); #26746=FACE_OUTER_BOUND('',#46003,.T.); #26747=FACE_OUTER_BOUND('',#46004,.T.); #26748=FACE_OUTER_BOUND('',#46005,.T.); #26749=FACE_OUTER_BOUND('',#46006,.T.); #26750=FACE_OUTER_BOUND('',#46007,.T.); #26751=FACE_OUTER_BOUND('',#46008,.T.); #26752=FACE_OUTER_BOUND('',#46009,.T.); #26753=FACE_OUTER_BOUND('',#46010,.T.); #26754=FACE_OUTER_BOUND('',#46011,.T.); #26755=FACE_OUTER_BOUND('',#46012,.T.); #26756=FACE_OUTER_BOUND('',#46013,.T.); #26757=FACE_OUTER_BOUND('',#46014,.T.); #26758=FACE_OUTER_BOUND('',#46015,.T.); #26759=FACE_OUTER_BOUND('',#46016,.T.); #26760=FACE_OUTER_BOUND('',#46017,.T.); #26761=FACE_OUTER_BOUND('',#46018,.T.); #26762=FACE_OUTER_BOUND('',#46019,.T.); #26763=FACE_OUTER_BOUND('',#46020,.T.); #26764=FACE_OUTER_BOUND('',#46021,.T.); #26765=FACE_OUTER_BOUND('',#46022,.T.); #26766=FACE_OUTER_BOUND('',#46023,.T.); #26767=FACE_OUTER_BOUND('',#46024,.T.); #26768=FACE_OUTER_BOUND('',#46025,.T.); #26769=FACE_OUTER_BOUND('',#46026,.T.); #26770=FACE_OUTER_BOUND('',#46027,.T.); #26771=FACE_OUTER_BOUND('',#46028,.T.); #26772=FACE_OUTER_BOUND('',#46029,.T.); #26773=FACE_OUTER_BOUND('',#46030,.T.); #26774=FACE_OUTER_BOUND('',#46031,.T.); #26775=FACE_OUTER_BOUND('',#46032,.T.); #26776=FACE_OUTER_BOUND('',#46033,.T.); #26777=FACE_OUTER_BOUND('',#46034,.T.); #26778=FACE_OUTER_BOUND('',#46035,.T.); #26779=FACE_OUTER_BOUND('',#46036,.T.); #26780=FACE_OUTER_BOUND('',#46037,.T.); #26781=FACE_OUTER_BOUND('',#46038,.T.); #26782=FACE_OUTER_BOUND('',#46039,.T.); #26783=FACE_OUTER_BOUND('',#46040,.T.); #26784=FACE_OUTER_BOUND('',#46041,.T.); #26785=FACE_OUTER_BOUND('',#46042,.T.); #26786=FACE_OUTER_BOUND('',#46043,.T.); #26787=FACE_OUTER_BOUND('',#46044,.T.); #26788=FACE_OUTER_BOUND('',#46045,.T.); #26789=FACE_OUTER_BOUND('',#46046,.T.); #26790=FACE_OUTER_BOUND('',#46047,.T.); #26791=FACE_OUTER_BOUND('',#46048,.T.); #26792=FACE_OUTER_BOUND('',#46049,.T.); #26793=FACE_OUTER_BOUND('',#46050,.T.); #26794=FACE_OUTER_BOUND('',#46051,.T.); #26795=FACE_OUTER_BOUND('',#46052,.T.); #26796=FACE_OUTER_BOUND('',#46053,.T.); #26797=FACE_OUTER_BOUND('',#46054,.T.); #26798=FACE_OUTER_BOUND('',#46055,.T.); #26799=FACE_OUTER_BOUND('',#46056,.T.); #26800=FACE_OUTER_BOUND('',#46057,.T.); #26801=FACE_OUTER_BOUND('',#46058,.T.); #26802=FACE_OUTER_BOUND('',#46059,.T.); #26803=FACE_OUTER_BOUND('',#46060,.T.); #26804=FACE_OUTER_BOUND('',#46061,.T.); #26805=FACE_OUTER_BOUND('',#46062,.T.); #26806=FACE_OUTER_BOUND('',#46063,.T.); #26807=FACE_OUTER_BOUND('',#46064,.T.); #26808=FACE_OUTER_BOUND('',#46065,.T.); #26809=FACE_OUTER_BOUND('',#46066,.T.); #26810=FACE_OUTER_BOUND('',#46067,.T.); #26811=FACE_OUTER_BOUND('',#46068,.T.); #26812=FACE_OUTER_BOUND('',#46069,.T.); #26813=FACE_OUTER_BOUND('',#46070,.T.); #26814=FACE_OUTER_BOUND('',#46071,.T.); #26815=FACE_OUTER_BOUND('',#46072,.T.); #26816=FACE_OUTER_BOUND('',#46073,.T.); #26817=FACE_OUTER_BOUND('',#46074,.T.); #26818=FACE_OUTER_BOUND('',#46075,.T.); #26819=FACE_OUTER_BOUND('',#46076,.T.); #26820=FACE_OUTER_BOUND('',#46077,.T.); #26821=FACE_OUTER_BOUND('',#46078,.T.); #26822=FACE_OUTER_BOUND('',#46079,.T.); #26823=FACE_OUTER_BOUND('',#46080,.T.); #26824=FACE_OUTER_BOUND('',#46081,.T.); #26825=FACE_OUTER_BOUND('',#46082,.T.); #26826=FACE_OUTER_BOUND('',#46083,.T.); #26827=FACE_OUTER_BOUND('',#46084,.T.); #26828=FACE_OUTER_BOUND('',#46085,.T.); #26829=FACE_OUTER_BOUND('',#46086,.T.); #26830=FACE_OUTER_BOUND('',#46087,.T.); #26831=FACE_OUTER_BOUND('',#46088,.T.); #26832=FACE_OUTER_BOUND('',#46089,.T.); #26833=FACE_OUTER_BOUND('',#46090,.T.); #26834=FACE_OUTER_BOUND('',#46091,.T.); #26835=FACE_OUTER_BOUND('',#46092,.T.); #26836=FACE_OUTER_BOUND('',#46093,.T.); #26837=FACE_OUTER_BOUND('',#46094,.T.); #26838=FACE_OUTER_BOUND('',#46095,.T.); #26839=FACE_OUTER_BOUND('',#46096,.T.); #26840=FACE_OUTER_BOUND('',#46097,.T.); #26841=FACE_OUTER_BOUND('',#46098,.T.); #26842=FACE_OUTER_BOUND('',#46099,.T.); #26843=FACE_OUTER_BOUND('',#46100,.T.); #26844=FACE_OUTER_BOUND('',#46101,.T.); #26845=FACE_OUTER_BOUND('',#46102,.T.); #26846=FACE_OUTER_BOUND('',#46103,.T.); #26847=FACE_OUTER_BOUND('',#46104,.T.); #26848=FACE_OUTER_BOUND('',#46105,.T.); #26849=FACE_OUTER_BOUND('',#46106,.T.); #26850=FACE_OUTER_BOUND('',#46107,.T.); #26851=FACE_OUTER_BOUND('',#46108,.T.); #26852=FACE_OUTER_BOUND('',#46109,.T.); #26853=FACE_OUTER_BOUND('',#46110,.T.); #26854=FACE_OUTER_BOUND('',#46111,.T.); #26855=FACE_OUTER_BOUND('',#46112,.T.); #26856=FACE_OUTER_BOUND('',#46113,.T.); #26857=FACE_OUTER_BOUND('',#46114,.T.); #26858=FACE_OUTER_BOUND('',#46115,.T.); #26859=FACE_OUTER_BOUND('',#46116,.T.); #26860=FACE_OUTER_BOUND('',#46117,.T.); #26861=FACE_OUTER_BOUND('',#46118,.T.); #26862=FACE_OUTER_BOUND('',#46119,.T.); #26863=FACE_OUTER_BOUND('',#46120,.T.); #26864=FACE_OUTER_BOUND('',#46121,.T.); #26865=FACE_OUTER_BOUND('',#46122,.T.); #26866=FACE_OUTER_BOUND('',#46123,.T.); #26867=FACE_OUTER_BOUND('',#46124,.T.); #26868=FACE_OUTER_BOUND('',#46125,.T.); #26869=FACE_OUTER_BOUND('',#46126,.T.); #26870=FACE_OUTER_BOUND('',#46127,.T.); #26871=FACE_OUTER_BOUND('',#46128,.T.); #26872=FACE_OUTER_BOUND('',#46129,.T.); #26873=FACE_OUTER_BOUND('',#46130,.T.); #26874=FACE_OUTER_BOUND('',#46131,.T.); #26875=FACE_OUTER_BOUND('',#46132,.T.); #26876=FACE_OUTER_BOUND('',#46133,.T.); #26877=FACE_OUTER_BOUND('',#46134,.T.); #26878=FACE_OUTER_BOUND('',#46135,.T.); #26879=FACE_OUTER_BOUND('',#46136,.T.); #26880=FACE_OUTER_BOUND('',#46137,.T.); #26881=FACE_OUTER_BOUND('',#46138,.T.); #26882=FACE_OUTER_BOUND('',#46139,.T.); #26883=FACE_OUTER_BOUND('',#46140,.T.); #26884=FACE_OUTER_BOUND('',#46141,.T.); #26885=FACE_OUTER_BOUND('',#46142,.T.); #26886=FACE_OUTER_BOUND('',#46143,.T.); #26887=FACE_OUTER_BOUND('',#46144,.T.); #26888=FACE_OUTER_BOUND('',#46145,.T.); #26889=FACE_OUTER_BOUND('',#46146,.T.); #26890=FACE_OUTER_BOUND('',#46147,.T.); #26891=FACE_OUTER_BOUND('',#46148,.T.); #26892=FACE_OUTER_BOUND('',#46149,.T.); #26893=FACE_OUTER_BOUND('',#46150,.T.); #26894=FACE_OUTER_BOUND('',#46151,.T.); #26895=FACE_OUTER_BOUND('',#46152,.T.); #26896=FACE_OUTER_BOUND('',#46153,.T.); #26897=FACE_OUTER_BOUND('',#46154,.T.); #26898=FACE_OUTER_BOUND('',#46155,.T.); #26899=FACE_OUTER_BOUND('',#46156,.T.); #26900=FACE_OUTER_BOUND('',#46157,.T.); #26901=FACE_OUTER_BOUND('',#46158,.T.); #26902=FACE_OUTER_BOUND('',#46159,.T.); #26903=FACE_OUTER_BOUND('',#46160,.T.); #26904=FACE_OUTER_BOUND('',#46161,.T.); #26905=FACE_OUTER_BOUND('',#46162,.T.); #26906=FACE_OUTER_BOUND('',#46163,.T.); #26907=FACE_OUTER_BOUND('',#46164,.T.); #26908=FACE_OUTER_BOUND('',#46165,.T.); #26909=FACE_OUTER_BOUND('',#46166,.T.); #26910=FACE_OUTER_BOUND('',#46167,.T.); #26911=FACE_OUTER_BOUND('',#46168,.T.); #26912=FACE_OUTER_BOUND('',#46169,.T.); #26913=FACE_OUTER_BOUND('',#46170,.T.); #26914=FACE_OUTER_BOUND('',#46171,.T.); #26915=FACE_OUTER_BOUND('',#46172,.T.); #26916=FACE_OUTER_BOUND('',#46173,.T.); #26917=FACE_OUTER_BOUND('',#46174,.T.); #26918=FACE_OUTER_BOUND('',#46175,.T.); #26919=FACE_OUTER_BOUND('',#46176,.T.); #26920=FACE_OUTER_BOUND('',#46177,.T.); #26921=FACE_OUTER_BOUND('',#46178,.T.); #26922=FACE_OUTER_BOUND('',#46179,.T.); #26923=FACE_OUTER_BOUND('',#46180,.T.); #26924=FACE_OUTER_BOUND('',#46181,.T.); #26925=FACE_OUTER_BOUND('',#46182,.T.); #26926=FACE_OUTER_BOUND('',#46183,.T.); #26927=FACE_OUTER_BOUND('',#46184,.T.); #26928=FACE_OUTER_BOUND('',#46185,.T.); #26929=FACE_OUTER_BOUND('',#46186,.T.); #26930=FACE_OUTER_BOUND('',#46187,.T.); #26931=FACE_OUTER_BOUND('',#46188,.T.); #26932=FACE_OUTER_BOUND('',#46189,.T.); #26933=FACE_OUTER_BOUND('',#46190,.T.); #26934=FACE_OUTER_BOUND('',#46191,.T.); #26935=FACE_OUTER_BOUND('',#46192,.T.); #26936=FACE_OUTER_BOUND('',#46193,.T.); #26937=FACE_OUTER_BOUND('',#46194,.T.); #26938=FACE_OUTER_BOUND('',#46195,.T.); #26939=FACE_OUTER_BOUND('',#46196,.T.); #26940=FACE_OUTER_BOUND('',#46197,.T.); #26941=FACE_OUTER_BOUND('',#46198,.T.); #26942=FACE_OUTER_BOUND('',#46199,.T.); #26943=FACE_OUTER_BOUND('',#46200,.T.); #26944=FACE_OUTER_BOUND('',#46201,.T.); #26945=FACE_OUTER_BOUND('',#46202,.T.); #26946=FACE_OUTER_BOUND('',#46203,.T.); #26947=FACE_OUTER_BOUND('',#46204,.T.); #26948=FACE_OUTER_BOUND('',#46205,.T.); #26949=FACE_OUTER_BOUND('',#46206,.T.); #26950=FACE_OUTER_BOUND('',#46207,.T.); #26951=FACE_OUTER_BOUND('',#46208,.T.); #26952=FACE_OUTER_BOUND('',#46209,.T.); #26953=FACE_OUTER_BOUND('',#46210,.T.); #26954=FACE_OUTER_BOUND('',#46211,.T.); #26955=FACE_OUTER_BOUND('',#46212,.T.); #26956=FACE_OUTER_BOUND('',#46213,.T.); #26957=FACE_OUTER_BOUND('',#46214,.T.); #26958=FACE_OUTER_BOUND('',#46215,.T.); #26959=FACE_OUTER_BOUND('',#46216,.T.); #26960=FACE_OUTER_BOUND('',#46217,.T.); #26961=FACE_OUTER_BOUND('',#46218,.T.); #26962=FACE_OUTER_BOUND('',#46219,.T.); #26963=FACE_OUTER_BOUND('',#46220,.T.); #26964=FACE_OUTER_BOUND('',#46221,.T.); #26965=FACE_OUTER_BOUND('',#46222,.T.); #26966=FACE_OUTER_BOUND('',#46223,.T.); #26967=FACE_OUTER_BOUND('',#46224,.T.); #26968=FACE_OUTER_BOUND('',#46225,.T.); #26969=FACE_OUTER_BOUND('',#46226,.T.); #26970=FACE_OUTER_BOUND('',#46227,.T.); #26971=FACE_OUTER_BOUND('',#46228,.T.); #26972=FACE_OUTER_BOUND('',#46229,.T.); #26973=FACE_OUTER_BOUND('',#46230,.T.); #26974=FACE_OUTER_BOUND('',#46231,.T.); #26975=FACE_OUTER_BOUND('',#46232,.T.); #26976=FACE_OUTER_BOUND('',#46233,.T.); #26977=FACE_OUTER_BOUND('',#46234,.T.); #26978=FACE_OUTER_BOUND('',#46235,.T.); #26979=FACE_OUTER_BOUND('',#46236,.T.); #26980=FACE_OUTER_BOUND('',#46237,.T.); #26981=FACE_OUTER_BOUND('',#46238,.T.); #26982=FACE_OUTER_BOUND('',#46239,.T.); #26983=FACE_OUTER_BOUND('',#46240,.T.); #26984=FACE_OUTER_BOUND('',#46241,.T.); #26985=FACE_OUTER_BOUND('',#46242,.T.); #26986=FACE_OUTER_BOUND('',#46243,.T.); #26987=FACE_OUTER_BOUND('',#46244,.T.); #26988=FACE_OUTER_BOUND('',#46245,.T.); #26989=FACE_OUTER_BOUND('',#46246,.T.); #26990=FACE_OUTER_BOUND('',#46247,.T.); #26991=FACE_OUTER_BOUND('',#46248,.T.); #26992=FACE_OUTER_BOUND('',#46249,.T.); #26993=FACE_OUTER_BOUND('',#46250,.T.); #26994=FACE_OUTER_BOUND('',#46251,.T.); #26995=FACE_OUTER_BOUND('',#46252,.T.); #26996=FACE_OUTER_BOUND('',#46253,.T.); #26997=FACE_OUTER_BOUND('',#46254,.T.); #26998=FACE_OUTER_BOUND('',#46255,.T.); #26999=FACE_OUTER_BOUND('',#46256,.T.); #27000=FACE_OUTER_BOUND('',#46257,.T.); #27001=FACE_OUTER_BOUND('',#46258,.T.); #27002=FACE_OUTER_BOUND('',#46259,.T.); #27003=FACE_OUTER_BOUND('',#46260,.T.); #27004=FACE_OUTER_BOUND('',#46261,.T.); #27005=FACE_OUTER_BOUND('',#46262,.T.); #27006=FACE_OUTER_BOUND('',#46263,.T.); #27007=FACE_OUTER_BOUND('',#46264,.T.); #27008=FACE_OUTER_BOUND('',#46265,.T.); #27009=FACE_OUTER_BOUND('',#46266,.T.); #27010=FACE_OUTER_BOUND('',#46267,.T.); #27011=FACE_OUTER_BOUND('',#46268,.T.); #27012=FACE_OUTER_BOUND('',#46269,.T.); #27013=FACE_OUTER_BOUND('',#46270,.T.); #27014=FACE_OUTER_BOUND('',#46271,.T.); #27015=FACE_OUTER_BOUND('',#46272,.T.); #27016=FACE_OUTER_BOUND('',#46273,.T.); #27017=FACE_OUTER_BOUND('',#46274,.T.); #27018=FACE_OUTER_BOUND('',#46275,.T.); #27019=FACE_OUTER_BOUND('',#46276,.T.); #27020=FACE_OUTER_BOUND('',#46277,.T.); #27021=FACE_OUTER_BOUND('',#46278,.T.); #27022=FACE_OUTER_BOUND('',#46279,.T.); #27023=FACE_OUTER_BOUND('',#46280,.T.); #27024=FACE_OUTER_BOUND('',#46281,.T.); #27025=FACE_OUTER_BOUND('',#46282,.T.); #27026=FACE_OUTER_BOUND('',#46283,.T.); #27027=FACE_OUTER_BOUND('',#46284,.T.); #27028=FACE_OUTER_BOUND('',#46285,.T.); #27029=FACE_OUTER_BOUND('',#46286,.T.); #27030=FACE_OUTER_BOUND('',#46287,.T.); #27031=FACE_OUTER_BOUND('',#46288,.T.); #27032=FACE_OUTER_BOUND('',#46289,.T.); #27033=FACE_OUTER_BOUND('',#46290,.T.); #27034=FACE_OUTER_BOUND('',#46291,.T.); #27035=FACE_OUTER_BOUND('',#46292,.T.); #27036=FACE_OUTER_BOUND('',#46293,.T.); #27037=FACE_OUTER_BOUND('',#46294,.T.); #27038=FACE_OUTER_BOUND('',#46295,.T.); #27039=FACE_OUTER_BOUND('',#46296,.T.); #27040=FACE_OUTER_BOUND('',#46297,.T.); #27041=FACE_OUTER_BOUND('',#46298,.T.); #27042=FACE_OUTER_BOUND('',#46299,.T.); #27043=FACE_OUTER_BOUND('',#46300,.T.); #27044=FACE_OUTER_BOUND('',#46301,.T.); #27045=FACE_OUTER_BOUND('',#46302,.T.); #27046=FACE_OUTER_BOUND('',#46303,.T.); #27047=FACE_OUTER_BOUND('',#46304,.T.); #27048=FACE_OUTER_BOUND('',#46305,.T.); #27049=FACE_OUTER_BOUND('',#46306,.T.); #27050=FACE_OUTER_BOUND('',#46307,.T.); #27051=FACE_OUTER_BOUND('',#46308,.T.); #27052=FACE_OUTER_BOUND('',#46309,.T.); #27053=FACE_OUTER_BOUND('',#46310,.T.); #27054=FACE_OUTER_BOUND('',#46311,.T.); #27055=FACE_OUTER_BOUND('',#46312,.T.); #27056=FACE_OUTER_BOUND('',#46313,.T.); #27057=FACE_OUTER_BOUND('',#46314,.T.); #27058=FACE_OUTER_BOUND('',#46315,.T.); #27059=FACE_OUTER_BOUND('',#46316,.T.); #27060=FACE_OUTER_BOUND('',#46317,.T.); #27061=FACE_OUTER_BOUND('',#46318,.T.); #27062=FACE_OUTER_BOUND('',#46319,.T.); #27063=FACE_OUTER_BOUND('',#46320,.T.); #27064=FACE_OUTER_BOUND('',#46321,.T.); #27065=FACE_OUTER_BOUND('',#46322,.T.); #27066=FACE_OUTER_BOUND('',#46323,.T.); #27067=FACE_OUTER_BOUND('',#46324,.T.); #27068=FACE_OUTER_BOUND('',#46325,.T.); #27069=FACE_OUTER_BOUND('',#46326,.T.); #27070=FACE_OUTER_BOUND('',#46327,.T.); #27071=FACE_OUTER_BOUND('',#46328,.T.); #27072=FACE_OUTER_BOUND('',#46329,.T.); #27073=FACE_OUTER_BOUND('',#46330,.T.); #27074=FACE_OUTER_BOUND('',#46331,.T.); #27075=FACE_OUTER_BOUND('',#46332,.T.); #27076=FACE_OUTER_BOUND('',#46333,.T.); #27077=FACE_OUTER_BOUND('',#46334,.T.); #27078=FACE_OUTER_BOUND('',#46335,.T.); #27079=FACE_OUTER_BOUND('',#46336,.T.); #27080=FACE_OUTER_BOUND('',#46337,.T.); #27081=FACE_OUTER_BOUND('',#46338,.T.); #27082=FACE_OUTER_BOUND('',#46339,.T.); #27083=FACE_OUTER_BOUND('',#46340,.T.); #27084=FACE_OUTER_BOUND('',#46341,.T.); #27085=FACE_OUTER_BOUND('',#46342,.T.); #27086=FACE_OUTER_BOUND('',#46343,.T.); #27087=FACE_OUTER_BOUND('',#46344,.T.); #27088=FACE_OUTER_BOUND('',#46345,.T.); #27089=FACE_OUTER_BOUND('',#46346,.T.); #27090=FACE_OUTER_BOUND('',#46347,.T.); #27091=FACE_OUTER_BOUND('',#46348,.T.); #27092=FACE_OUTER_BOUND('',#46349,.T.); #27093=FACE_OUTER_BOUND('',#46350,.T.); #27094=FACE_OUTER_BOUND('',#46351,.T.); #27095=FACE_OUTER_BOUND('',#46352,.T.); #27096=FACE_OUTER_BOUND('',#46353,.T.); #27097=FACE_OUTER_BOUND('',#46354,.T.); #27098=FACE_OUTER_BOUND('',#46355,.T.); #27099=FACE_OUTER_BOUND('',#46356,.T.); #27100=FACE_OUTER_BOUND('',#46357,.T.); #27101=FACE_OUTER_BOUND('',#46358,.T.); #27102=FACE_OUTER_BOUND('',#46359,.T.); #27103=FACE_OUTER_BOUND('',#46360,.T.); #27104=FACE_OUTER_BOUND('',#46361,.T.); #27105=FACE_OUTER_BOUND('',#46362,.T.); #27106=FACE_OUTER_BOUND('',#46363,.T.); #27107=FACE_OUTER_BOUND('',#46364,.T.); #27108=FACE_OUTER_BOUND('',#46365,.T.); #27109=FACE_OUTER_BOUND('',#46366,.T.); #27110=FACE_OUTER_BOUND('',#46367,.T.); #27111=FACE_OUTER_BOUND('',#46368,.T.); #27112=FACE_OUTER_BOUND('',#46369,.T.); #27113=FACE_OUTER_BOUND('',#46370,.T.); #27114=FACE_OUTER_BOUND('',#46371,.T.); #27115=FACE_OUTER_BOUND('',#46372,.T.); #27116=FACE_OUTER_BOUND('',#46373,.T.); #27117=FACE_OUTER_BOUND('',#46374,.T.); #27118=FACE_OUTER_BOUND('',#46375,.T.); #27119=FACE_OUTER_BOUND('',#46376,.T.); #27120=FACE_OUTER_BOUND('',#46377,.T.); #27121=FACE_OUTER_BOUND('',#46378,.T.); #27122=FACE_OUTER_BOUND('',#46379,.T.); #27123=FACE_OUTER_BOUND('',#46380,.T.); #27124=FACE_OUTER_BOUND('',#46381,.T.); #27125=FACE_OUTER_BOUND('',#46382,.T.); #27126=FACE_OUTER_BOUND('',#46383,.T.); #27127=FACE_OUTER_BOUND('',#46384,.T.); #27128=FACE_OUTER_BOUND('',#46385,.T.); #27129=FACE_OUTER_BOUND('',#46386,.T.); #27130=FACE_OUTER_BOUND('',#46387,.T.); #27131=FACE_OUTER_BOUND('',#46388,.T.); #27132=FACE_OUTER_BOUND('',#46389,.T.); #27133=FACE_OUTER_BOUND('',#46390,.T.); #27134=FACE_OUTER_BOUND('',#46391,.T.); #27135=FACE_OUTER_BOUND('',#46392,.T.); #27136=FACE_OUTER_BOUND('',#46393,.T.); #27137=FACE_OUTER_BOUND('',#46394,.T.); #27138=FACE_OUTER_BOUND('',#46395,.T.); #27139=FACE_OUTER_BOUND('',#46396,.T.); #27140=FACE_OUTER_BOUND('',#46397,.T.); #27141=FACE_OUTER_BOUND('',#46398,.T.); #27142=FACE_OUTER_BOUND('',#46399,.T.); #27143=FACE_OUTER_BOUND('',#46400,.T.); #27144=FACE_OUTER_BOUND('',#46401,.T.); #27145=FACE_OUTER_BOUND('',#46402,.T.); #27146=FACE_OUTER_BOUND('',#46403,.T.); #27147=FACE_OUTER_BOUND('',#46404,.T.); #27148=FACE_OUTER_BOUND('',#46405,.T.); #27149=FACE_OUTER_BOUND('',#46406,.T.); #27150=FACE_OUTER_BOUND('',#46407,.T.); #27151=FACE_OUTER_BOUND('',#46408,.T.); #27152=FACE_OUTER_BOUND('',#46409,.T.); #27153=FACE_OUTER_BOUND('',#46410,.T.); #27154=FACE_OUTER_BOUND('',#46411,.T.); #27155=FACE_OUTER_BOUND('',#46412,.T.); #27156=FACE_OUTER_BOUND('',#46413,.T.); #27157=FACE_OUTER_BOUND('',#46414,.T.); #27158=FACE_OUTER_BOUND('',#46415,.T.); #27159=FACE_OUTER_BOUND('',#46416,.T.); #27160=FACE_OUTER_BOUND('',#46417,.T.); #27161=FACE_OUTER_BOUND('',#46418,.T.); #27162=FACE_OUTER_BOUND('',#46419,.T.); #27163=FACE_OUTER_BOUND('',#46420,.T.); #27164=FACE_OUTER_BOUND('',#46421,.T.); #27165=FACE_OUTER_BOUND('',#46422,.T.); #27166=FACE_OUTER_BOUND('',#46423,.T.); #27167=FACE_OUTER_BOUND('',#46424,.T.); #27168=FACE_OUTER_BOUND('',#46425,.T.); #27169=FACE_OUTER_BOUND('',#46426,.T.); #27170=FACE_OUTER_BOUND('',#46427,.T.); #27171=FACE_OUTER_BOUND('',#46428,.T.); #27172=FACE_OUTER_BOUND('',#46429,.T.); #27173=FACE_OUTER_BOUND('',#46430,.T.); #27174=FACE_OUTER_BOUND('',#46431,.T.); #27175=FACE_OUTER_BOUND('',#46432,.T.); #27176=FACE_OUTER_BOUND('',#46433,.T.); #27177=FACE_OUTER_BOUND('',#46434,.T.); #27178=FACE_OUTER_BOUND('',#46435,.T.); #27179=FACE_OUTER_BOUND('',#46436,.T.); #27180=FACE_OUTER_BOUND('',#46437,.T.); #27181=FACE_OUTER_BOUND('',#46438,.T.); #27182=FACE_OUTER_BOUND('',#46439,.T.); #27183=FACE_OUTER_BOUND('',#46440,.T.); #27184=FACE_OUTER_BOUND('',#46441,.T.); #27185=FACE_OUTER_BOUND('',#46442,.T.); #27186=FACE_OUTER_BOUND('',#46443,.T.); #27187=FACE_OUTER_BOUND('',#46444,.T.); #27188=FACE_OUTER_BOUND('',#46445,.T.); #27189=FACE_OUTER_BOUND('',#46446,.T.); #27190=FACE_OUTER_BOUND('',#46447,.T.); #27191=FACE_OUTER_BOUND('',#46448,.T.); #27192=FACE_OUTER_BOUND('',#46449,.T.); #27193=FACE_OUTER_BOUND('',#46450,.T.); #27194=FACE_OUTER_BOUND('',#46451,.T.); #27195=FACE_OUTER_BOUND('',#46452,.T.); #27196=FACE_OUTER_BOUND('',#46453,.T.); #27197=FACE_OUTER_BOUND('',#46454,.T.); #27198=FACE_OUTER_BOUND('',#46455,.T.); #27199=FACE_OUTER_BOUND('',#46456,.T.); #27200=FACE_OUTER_BOUND('',#46457,.T.); #27201=FACE_OUTER_BOUND('',#46458,.T.); #27202=FACE_OUTER_BOUND('',#46459,.T.); #27203=FACE_OUTER_BOUND('',#46460,.T.); #27204=FACE_OUTER_BOUND('',#46461,.T.); #27205=FACE_OUTER_BOUND('',#46462,.T.); #27206=FACE_OUTER_BOUND('',#46463,.T.); #27207=FACE_OUTER_BOUND('',#46464,.T.); #27208=FACE_OUTER_BOUND('',#46465,.T.); #27209=FACE_OUTER_BOUND('',#46466,.T.); #27210=FACE_OUTER_BOUND('',#46467,.T.); #27211=FACE_OUTER_BOUND('',#46468,.T.); #27212=FACE_OUTER_BOUND('',#46469,.T.); #27213=FACE_OUTER_BOUND('',#46470,.T.); #27214=FACE_OUTER_BOUND('',#46471,.T.); #27215=FACE_OUTER_BOUND('',#46472,.T.); #27216=FACE_OUTER_BOUND('',#46473,.T.); #27217=FACE_OUTER_BOUND('',#46474,.T.); #27218=FACE_OUTER_BOUND('',#46475,.T.); #27219=FACE_OUTER_BOUND('',#46476,.T.); #27220=FACE_OUTER_BOUND('',#46477,.T.); #27221=FACE_OUTER_BOUND('',#46478,.T.); #27222=FACE_OUTER_BOUND('',#46479,.T.); #27223=FACE_OUTER_BOUND('',#46480,.T.); #27224=FACE_OUTER_BOUND('',#46481,.T.); #27225=FACE_OUTER_BOUND('',#46482,.T.); #27226=FACE_OUTER_BOUND('',#46483,.T.); #27227=FACE_OUTER_BOUND('',#46484,.T.); #27228=FACE_OUTER_BOUND('',#46485,.T.); #27229=FACE_OUTER_BOUND('',#46486,.T.); #27230=FACE_OUTER_BOUND('',#46487,.T.); #27231=FACE_OUTER_BOUND('',#46488,.T.); #27232=FACE_OUTER_BOUND('',#46489,.T.); #27233=FACE_OUTER_BOUND('',#46490,.T.); #27234=FACE_OUTER_BOUND('',#46491,.T.); #27235=FACE_OUTER_BOUND('',#46492,.T.); #27236=FACE_OUTER_BOUND('',#46493,.T.); #27237=FACE_OUTER_BOUND('',#46494,.T.); #27238=FACE_OUTER_BOUND('',#46495,.T.); #27239=FACE_OUTER_BOUND('',#46496,.T.); #27240=FACE_OUTER_BOUND('',#46497,.T.); #27241=FACE_OUTER_BOUND('',#46498,.T.); #27242=FACE_OUTER_BOUND('',#46499,.T.); #27243=FACE_OUTER_BOUND('',#46500,.T.); #27244=FACE_OUTER_BOUND('',#46501,.T.); #27245=FACE_OUTER_BOUND('',#46502,.T.); #27246=FACE_OUTER_BOUND('',#46503,.T.); #27247=FACE_OUTER_BOUND('',#46504,.T.); #27248=FACE_OUTER_BOUND('',#46505,.T.); #27249=FACE_OUTER_BOUND('',#46506,.T.); #27250=FACE_OUTER_BOUND('',#46507,.T.); #27251=FACE_OUTER_BOUND('',#46508,.T.); #27252=FACE_OUTER_BOUND('',#46509,.T.); #27253=FACE_OUTER_BOUND('',#46510,.T.); #27254=FACE_OUTER_BOUND('',#46511,.T.); #27255=FACE_OUTER_BOUND('',#46512,.T.); #27256=FACE_OUTER_BOUND('',#46513,.T.); #27257=FACE_OUTER_BOUND('',#46514,.T.); #27258=FACE_OUTER_BOUND('',#46515,.T.); #27259=FACE_OUTER_BOUND('',#46516,.T.); #27260=FACE_OUTER_BOUND('',#46517,.T.); #27261=FACE_OUTER_BOUND('',#46518,.T.); #27262=FACE_OUTER_BOUND('',#46519,.T.); #27263=FACE_OUTER_BOUND('',#46520,.T.); #27264=FACE_OUTER_BOUND('',#46521,.T.); #27265=FACE_OUTER_BOUND('',#46522,.T.); #27266=FACE_OUTER_BOUND('',#46523,.T.); #27267=FACE_OUTER_BOUND('',#46524,.T.); #27268=FACE_OUTER_BOUND('',#46525,.T.); #27269=FACE_OUTER_BOUND('',#46526,.T.); #27270=FACE_OUTER_BOUND('',#46527,.T.); #27271=FACE_OUTER_BOUND('',#46528,.T.); #27272=FACE_OUTER_BOUND('',#46531,.T.); #27273=FACE_OUTER_BOUND('',#46534,.T.); #27274=FACE_OUTER_BOUND('',#46535,.T.); #27275=FACE_OUTER_BOUND('',#46536,.T.); #27276=FACE_OUTER_BOUND('',#46537,.T.); #27277=FACE_OUTER_BOUND('',#46538,.T.); #27278=FACE_OUTER_BOUND('',#46539,.T.); #27279=FACE_OUTER_BOUND('',#46540,.T.); #27280=FACE_OUTER_BOUND('',#46541,.T.); #27281=FACE_OUTER_BOUND('',#46542,.T.); #27282=FACE_OUTER_BOUND('',#46543,.T.); #27283=FACE_OUTER_BOUND('',#46544,.T.); #27284=FACE_OUTER_BOUND('',#46545,.T.); #27285=FACE_OUTER_BOUND('',#46546,.T.); #27286=FACE_OUTER_BOUND('',#46547,.T.); #27287=FACE_OUTER_BOUND('',#46548,.T.); #27288=FACE_OUTER_BOUND('',#46549,.T.); #27289=FACE_OUTER_BOUND('',#46550,.T.); #27290=FACE_OUTER_BOUND('',#46551,.T.); #27291=FACE_OUTER_BOUND('',#46552,.T.); #27292=FACE_OUTER_BOUND('',#46553,.T.); #27293=FACE_OUTER_BOUND('',#46554,.T.); #27294=FACE_OUTER_BOUND('',#46555,.T.); #27295=FACE_OUTER_BOUND('',#46556,.T.); #27296=FACE_OUTER_BOUND('',#46557,.T.); #27297=FACE_OUTER_BOUND('',#46558,.T.); #27298=FACE_OUTER_BOUND('',#46559,.T.); #27299=FACE_OUTER_BOUND('',#46560,.T.); #27300=FACE_OUTER_BOUND('',#46561,.T.); #27301=FACE_OUTER_BOUND('',#46562,.T.); #27302=FACE_OUTER_BOUND('',#46563,.T.); #27303=FACE_OUTER_BOUND('',#46564,.T.); #27304=FACE_OUTER_BOUND('',#46565,.T.); #27305=FACE_OUTER_BOUND('',#46566,.T.); #27306=FACE_OUTER_BOUND('',#46567,.T.); #27307=FACE_OUTER_BOUND('',#46568,.T.); #27308=FACE_OUTER_BOUND('',#46569,.T.); #27309=FACE_OUTER_BOUND('',#46570,.T.); #27310=FACE_OUTER_BOUND('',#46571,.T.); #27311=FACE_OUTER_BOUND('',#46572,.T.); #27312=FACE_OUTER_BOUND('',#46573,.T.); #27313=FACE_OUTER_BOUND('',#46574,.T.); #27314=FACE_OUTER_BOUND('',#46575,.T.); #27315=FACE_OUTER_BOUND('',#46576,.T.); #27316=FACE_OUTER_BOUND('',#46577,.T.); #27317=FACE_OUTER_BOUND('',#46578,.T.); #27318=FACE_OUTER_BOUND('',#46579,.T.); #27319=FACE_OUTER_BOUND('',#46580,.T.); #27320=FACE_OUTER_BOUND('',#46581,.T.); #27321=FACE_OUTER_BOUND('',#46582,.T.); #27322=FACE_OUTER_BOUND('',#46583,.T.); #27323=FACE_OUTER_BOUND('',#46584,.T.); #27324=FACE_OUTER_BOUND('',#46585,.T.); #27325=FACE_OUTER_BOUND('',#46586,.T.); #27326=FACE_OUTER_BOUND('',#46587,.T.); #27327=FACE_OUTER_BOUND('',#46588,.T.); #27328=FACE_OUTER_BOUND('',#46589,.T.); #27329=FACE_OUTER_BOUND('',#46590,.T.); #27330=FACE_OUTER_BOUND('',#46591,.T.); #27331=FACE_OUTER_BOUND('',#46592,.T.); #27332=FACE_OUTER_BOUND('',#46593,.T.); #27333=FACE_OUTER_BOUND('',#46594,.T.); #27334=FACE_OUTER_BOUND('',#46595,.T.); #27335=FACE_OUTER_BOUND('',#46596,.T.); #27336=FACE_OUTER_BOUND('',#46597,.T.); #27337=FACE_OUTER_BOUND('',#46598,.T.); #27338=FACE_OUTER_BOUND('',#46599,.T.); #27339=FACE_OUTER_BOUND('',#46600,.T.); #27340=FACE_OUTER_BOUND('',#46601,.T.); #27341=FACE_OUTER_BOUND('',#46602,.T.); #27342=FACE_OUTER_BOUND('',#46603,.T.); #27343=FACE_OUTER_BOUND('',#46604,.T.); #27344=FACE_OUTER_BOUND('',#46605,.T.); #27345=FACE_OUTER_BOUND('',#46606,.T.); #27346=FACE_OUTER_BOUND('',#46607,.T.); #27347=FACE_OUTER_BOUND('',#46608,.T.); #27348=FACE_OUTER_BOUND('',#46609,.T.); #27349=FACE_OUTER_BOUND('',#46610,.T.); #27350=FACE_OUTER_BOUND('',#46611,.T.); #27351=FACE_OUTER_BOUND('',#46612,.T.); #27352=FACE_OUTER_BOUND('',#46613,.T.); #27353=FACE_OUTER_BOUND('',#46614,.T.); #27354=FACE_OUTER_BOUND('',#46615,.T.); #27355=FACE_OUTER_BOUND('',#46616,.T.); #27356=FACE_OUTER_BOUND('',#46617,.T.); #27357=FACE_OUTER_BOUND('',#46618,.T.); #27358=FACE_OUTER_BOUND('',#46619,.T.); #27359=FACE_OUTER_BOUND('',#46620,.T.); #27360=FACE_OUTER_BOUND('',#46621,.T.); #27361=FACE_OUTER_BOUND('',#46622,.T.); #27362=FACE_OUTER_BOUND('',#46638,.T.); #27363=FACE_OUTER_BOUND('',#46654,.T.); #27364=FACE_OUTER_BOUND('',#46655,.T.); #27365=FACE_OUTER_BOUND('',#46656,.T.); #27366=FACE_OUTER_BOUND('',#46657,.T.); #27367=FACE_OUTER_BOUND('',#46658,.T.); #27368=FACE_OUTER_BOUND('',#46659,.T.); #27369=FACE_OUTER_BOUND('',#46660,.T.); #27370=FACE_OUTER_BOUND('',#46661,.T.); #27371=FACE_OUTER_BOUND('',#46662,.T.); #27372=FACE_OUTER_BOUND('',#46663,.T.); #27373=FACE_OUTER_BOUND('',#46664,.T.); #27374=FACE_OUTER_BOUND('',#46665,.T.); #27375=FACE_OUTER_BOUND('',#46666,.T.); #27376=FACE_OUTER_BOUND('',#46667,.T.); #27377=FACE_OUTER_BOUND('',#46668,.T.); #27378=FACE_OUTER_BOUND('',#46669,.T.); #27379=FACE_OUTER_BOUND('',#46670,.T.); #27380=FACE_OUTER_BOUND('',#46671,.T.); #27381=FACE_OUTER_BOUND('',#46672,.T.); #27382=FACE_OUTER_BOUND('',#46673,.T.); #27383=FACE_OUTER_BOUND('',#46674,.T.); #27384=FACE_OUTER_BOUND('',#46675,.T.); #27385=FACE_OUTER_BOUND('',#46676,.T.); #27386=FACE_OUTER_BOUND('',#46677,.T.); #27387=FACE_OUTER_BOUND('',#46678,.T.); #27388=FACE_OUTER_BOUND('',#46679,.T.); #27389=FACE_OUTER_BOUND('',#46680,.T.); #27390=FACE_OUTER_BOUND('',#46681,.T.); #27391=FACE_OUTER_BOUND('',#46682,.T.); #27392=FACE_OUTER_BOUND('',#46683,.T.); #27393=FACE_OUTER_BOUND('',#46684,.T.); #27394=FACE_OUTER_BOUND('',#46685,.T.); #27395=FACE_OUTER_BOUND('',#46686,.T.); #27396=FACE_OUTER_BOUND('',#46687,.T.); #27397=FACE_OUTER_BOUND('',#46688,.T.); #27398=FACE_OUTER_BOUND('',#46689,.T.); #27399=FACE_OUTER_BOUND('',#46690,.T.); #27400=FACE_OUTER_BOUND('',#46691,.T.); #27401=FACE_OUTER_BOUND('',#46692,.T.); #27402=FACE_OUTER_BOUND('',#46693,.T.); #27403=FACE_OUTER_BOUND('',#46694,.T.); #27404=FACE_OUTER_BOUND('',#46695,.T.); #27405=FACE_OUTER_BOUND('',#46696,.T.); #27406=FACE_OUTER_BOUND('',#46697,.T.); #27407=FACE_OUTER_BOUND('',#46699,.T.); #27408=FACE_OUTER_BOUND('',#46701,.T.); #27409=FACE_OUTER_BOUND('',#46702,.T.); #27410=FACE_OUTER_BOUND('',#46703,.T.); #27411=FACE_OUTER_BOUND('',#46704,.T.); #27412=FACE_OUTER_BOUND('',#46705,.T.); #27413=FACE_OUTER_BOUND('',#46706,.T.); #27414=FACE_OUTER_BOUND('',#46707,.T.); #27415=FACE_OUTER_BOUND('',#46708,.T.); #27416=FACE_OUTER_BOUND('',#46709,.T.); #27417=FACE_OUTER_BOUND('',#46710,.T.); #27418=FACE_OUTER_BOUND('',#46711,.T.); #27419=FACE_OUTER_BOUND('',#46712,.T.); #27420=FACE_OUTER_BOUND('',#46713,.T.); #27421=FACE_OUTER_BOUND('',#46714,.T.); #27422=FACE_OUTER_BOUND('',#46715,.T.); #27423=FACE_OUTER_BOUND('',#46716,.T.); #27424=FACE_OUTER_BOUND('',#46717,.T.); #27425=FACE_OUTER_BOUND('',#46718,.T.); #27426=FACE_OUTER_BOUND('',#46719,.T.); #27427=FACE_OUTER_BOUND('',#46720,.T.); #27428=FACE_OUTER_BOUND('',#46721,.T.); #27429=FACE_OUTER_BOUND('',#46722,.T.); #27430=FACE_OUTER_BOUND('',#46723,.T.); #27431=FACE_OUTER_BOUND('',#46724,.T.); #27432=FACE_OUTER_BOUND('',#46725,.T.); #27433=FACE_OUTER_BOUND('',#46726,.T.); #27434=FACE_OUTER_BOUND('',#46727,.T.); #27435=FACE_OUTER_BOUND('',#46728,.T.); #27436=FACE_OUTER_BOUND('',#46729,.T.); #27437=FACE_OUTER_BOUND('',#46730,.T.); #27438=FACE_OUTER_BOUND('',#46731,.T.); #27439=FACE_OUTER_BOUND('',#46732,.T.); #27440=FACE_OUTER_BOUND('',#46733,.T.); #27441=FACE_OUTER_BOUND('',#46734,.T.); #27442=FACE_OUTER_BOUND('',#46735,.T.); #27443=FACE_OUTER_BOUND('',#46736,.T.); #27444=FACE_OUTER_BOUND('',#46737,.T.); #27445=FACE_OUTER_BOUND('',#46738,.T.); #27446=FACE_OUTER_BOUND('',#46739,.T.); #27447=FACE_OUTER_BOUND('',#46740,.T.); #27448=FACE_OUTER_BOUND('',#46741,.T.); #27449=FACE_OUTER_BOUND('',#46742,.T.); #27450=FACE_OUTER_BOUND('',#46743,.T.); #27451=FACE_OUTER_BOUND('',#46744,.T.); #27452=FACE_OUTER_BOUND('',#46745,.T.); #27453=FACE_OUTER_BOUND('',#46746,.T.); #27454=FACE_OUTER_BOUND('',#46747,.T.); #27455=FACE_OUTER_BOUND('',#46748,.T.); #27456=FACE_OUTER_BOUND('',#46749,.T.); #27457=FACE_OUTER_BOUND('',#46750,.T.); #27458=FACE_OUTER_BOUND('',#46751,.T.); #27459=FACE_OUTER_BOUND('',#46752,.T.); #27460=FACE_OUTER_BOUND('',#46753,.T.); #27461=FACE_OUTER_BOUND('',#46754,.T.); #27462=FACE_OUTER_BOUND('',#46755,.T.); #27463=FACE_OUTER_BOUND('',#46756,.T.); #27464=FACE_OUTER_BOUND('',#46757,.T.); #27465=FACE_OUTER_BOUND('',#46758,.T.); #27466=FACE_OUTER_BOUND('',#46759,.T.); #27467=FACE_OUTER_BOUND('',#46760,.T.); #27468=FACE_OUTER_BOUND('',#46761,.T.); #27469=FACE_OUTER_BOUND('',#46762,.T.); #27470=FACE_OUTER_BOUND('',#46763,.T.); #27471=FACE_OUTER_BOUND('',#46764,.T.); #27472=FACE_OUTER_BOUND('',#46765,.T.); #27473=FACE_OUTER_BOUND('',#46781,.T.); #27474=FACE_OUTER_BOUND('',#46797,.T.); #27475=FACE_OUTER_BOUND('',#46798,.T.); #27476=FACE_OUTER_BOUND('',#46799,.T.); #27477=FACE_OUTER_BOUND('',#46800,.T.); #27478=FACE_OUTER_BOUND('',#46801,.T.); #27479=FACE_OUTER_BOUND('',#46802,.T.); #27480=FACE_OUTER_BOUND('',#46803,.T.); #27481=FACE_OUTER_BOUND('',#46804,.T.); #27482=FACE_OUTER_BOUND('',#46805,.T.); #27483=FACE_OUTER_BOUND('',#46806,.T.); #27484=FACE_OUTER_BOUND('',#46807,.T.); #27485=FACE_OUTER_BOUND('',#46808,.T.); #27486=FACE_OUTER_BOUND('',#46809,.T.); #27487=FACE_OUTER_BOUND('',#46810,.T.); #27488=FACE_OUTER_BOUND('',#46811,.T.); #27489=FACE_OUTER_BOUND('',#46812,.T.); #27490=FACE_OUTER_BOUND('',#46813,.T.); #27491=FACE_OUTER_BOUND('',#46814,.T.); #27492=FACE_OUTER_BOUND('',#46815,.T.); #27493=FACE_OUTER_BOUND('',#46816,.T.); #27494=FACE_OUTER_BOUND('',#46817,.T.); #27495=FACE_OUTER_BOUND('',#46818,.T.); #27496=FACE_OUTER_BOUND('',#46819,.T.); #27497=FACE_OUTER_BOUND('',#46820,.T.); #27498=FACE_OUTER_BOUND('',#46821,.T.); #27499=FACE_OUTER_BOUND('',#46822,.T.); #27500=FACE_OUTER_BOUND('',#46823,.T.); #27501=FACE_OUTER_BOUND('',#46824,.T.); #27502=FACE_OUTER_BOUND('',#46825,.T.); #27503=FACE_OUTER_BOUND('',#46826,.T.); #27504=FACE_OUTER_BOUND('',#46827,.T.); #27505=FACE_OUTER_BOUND('',#46828,.T.); #27506=FACE_OUTER_BOUND('',#46829,.T.); #27507=FACE_OUTER_BOUND('',#46830,.T.); #27508=FACE_OUTER_BOUND('',#46831,.T.); #27509=FACE_OUTER_BOUND('',#46832,.T.); #27510=FACE_OUTER_BOUND('',#46833,.T.); #27511=FACE_OUTER_BOUND('',#46834,.T.); #27512=FACE_OUTER_BOUND('',#46835,.T.); #27513=FACE_OUTER_BOUND('',#46836,.T.); #27514=FACE_OUTER_BOUND('',#46837,.T.); #27515=FACE_OUTER_BOUND('',#46838,.T.); #27516=FACE_OUTER_BOUND('',#46839,.T.); #27517=FACE_OUTER_BOUND('',#46840,.T.); #27518=FACE_OUTER_BOUND('',#46842,.T.); #27519=FACE_OUTER_BOUND('',#46844,.T.); #27520=FACE_OUTER_BOUND('',#46845,.T.); #27521=FACE_OUTER_BOUND('',#46846,.T.); #27522=FACE_OUTER_BOUND('',#46847,.T.); #27523=FACE_OUTER_BOUND('',#46848,.T.); #27524=FACE_OUTER_BOUND('',#46849,.T.); #27525=FACE_OUTER_BOUND('',#46850,.T.); #27526=FACE_OUTER_BOUND('',#46851,.T.); #27527=FACE_OUTER_BOUND('',#46852,.T.); #27528=FACE_OUTER_BOUND('',#46853,.T.); #27529=FACE_OUTER_BOUND('',#46854,.T.); #27530=FACE_OUTER_BOUND('',#46855,.T.); #27531=FACE_OUTER_BOUND('',#46856,.T.); #27532=FACE_OUTER_BOUND('',#46857,.T.); #27533=FACE_OUTER_BOUND('',#46858,.T.); #27534=FACE_OUTER_BOUND('',#46859,.T.); #27535=FACE_OUTER_BOUND('',#46860,.T.); #27536=FACE_OUTER_BOUND('',#46861,.T.); #27537=FACE_OUTER_BOUND('',#46862,.T.); #27538=FACE_OUTER_BOUND('',#46863,.T.); #27539=FACE_OUTER_BOUND('',#46864,.T.); #27540=FACE_OUTER_BOUND('',#46865,.T.); #27541=FACE_OUTER_BOUND('',#46866,.T.); #27542=FACE_OUTER_BOUND('',#46867,.T.); #27543=FACE_OUTER_BOUND('',#46868,.T.); #27544=FACE_OUTER_BOUND('',#46869,.T.); #27545=FACE_OUTER_BOUND('',#46870,.T.); #27546=FACE_OUTER_BOUND('',#46871,.T.); #27547=FACE_OUTER_BOUND('',#46872,.T.); #27548=FACE_OUTER_BOUND('',#46873,.T.); #27549=FACE_OUTER_BOUND('',#46874,.T.); #27550=FACE_OUTER_BOUND('',#46875,.T.); #27551=FACE_OUTER_BOUND('',#46876,.T.); #27552=FACE_OUTER_BOUND('',#46877,.T.); #27553=FACE_OUTER_BOUND('',#46878,.T.); #27554=FACE_OUTER_BOUND('',#46879,.T.); #27555=FACE_OUTER_BOUND('',#46880,.T.); #27556=FACE_OUTER_BOUND('',#46881,.T.); #27557=FACE_OUTER_BOUND('',#46882,.T.); #27558=FACE_OUTER_BOUND('',#46883,.T.); #27559=FACE_OUTER_BOUND('',#46884,.T.); #27560=FACE_OUTER_BOUND('',#46885,.T.); #27561=FACE_OUTER_BOUND('',#46886,.T.); #27562=FACE_OUTER_BOUND('',#46887,.T.); #27563=FACE_OUTER_BOUND('',#46888,.T.); #27564=FACE_OUTER_BOUND('',#46889,.T.); #27565=FACE_OUTER_BOUND('',#46890,.T.); #27566=FACE_OUTER_BOUND('',#46891,.T.); #27567=FACE_OUTER_BOUND('',#46892,.T.); #27568=FACE_OUTER_BOUND('',#46893,.T.); #27569=FACE_OUTER_BOUND('',#46894,.T.); #27570=FACE_OUTER_BOUND('',#46895,.T.); #27571=FACE_OUTER_BOUND('',#46896,.T.); #27572=FACE_OUTER_BOUND('',#46897,.T.); #27573=FACE_OUTER_BOUND('',#46898,.T.); #27574=FACE_OUTER_BOUND('',#46899,.T.); #27575=FACE_OUTER_BOUND('',#46900,.T.); #27576=FACE_OUTER_BOUND('',#46901,.T.); #27577=FACE_OUTER_BOUND('',#46902,.T.); #27578=FACE_OUTER_BOUND('',#46903,.T.); #27579=FACE_OUTER_BOUND('',#46904,.T.); #27580=FACE_OUTER_BOUND('',#46905,.T.); #27581=FACE_OUTER_BOUND('',#46906,.T.); #27582=FACE_OUTER_BOUND('',#46907,.T.); #27583=FACE_OUTER_BOUND('',#46908,.T.); #27584=FACE_OUTER_BOUND('',#46909,.T.); #27585=FACE_OUTER_BOUND('',#46910,.T.); #27586=FACE_OUTER_BOUND('',#46911,.T.); #27587=FACE_OUTER_BOUND('',#46912,.T.); #27588=FACE_OUTER_BOUND('',#46913,.T.); #27589=FACE_OUTER_BOUND('',#46914,.T.); #27590=FACE_OUTER_BOUND('',#46915,.T.); #27591=FACE_OUTER_BOUND('',#46916,.T.); #27592=FACE_OUTER_BOUND('',#46917,.T.); #27593=FACE_OUTER_BOUND('',#46918,.T.); #27594=FACE_OUTER_BOUND('',#46919,.T.); #27595=FACE_OUTER_BOUND('',#46920,.T.); #27596=FACE_OUTER_BOUND('',#46921,.T.); #27597=FACE_OUTER_BOUND('',#46922,.T.); #27598=FACE_OUTER_BOUND('',#46923,.T.); #27599=FACE_OUTER_BOUND('',#46924,.T.); #27600=FACE_OUTER_BOUND('',#46925,.T.); #27601=FACE_OUTER_BOUND('',#46926,.T.); #27602=FACE_OUTER_BOUND('',#46927,.T.); #27603=FACE_OUTER_BOUND('',#46928,.T.); #27604=FACE_OUTER_BOUND('',#46929,.T.); #27605=FACE_OUTER_BOUND('',#46930,.T.); #27606=FACE_OUTER_BOUND('',#46931,.T.); #27607=FACE_OUTER_BOUND('',#46932,.T.); #27608=FACE_OUTER_BOUND('',#46933,.T.); #27609=FACE_OUTER_BOUND('',#46934,.T.); #27610=FACE_OUTER_BOUND('',#46935,.T.); #27611=FACE_OUTER_BOUND('',#46936,.T.); #27612=FACE_OUTER_BOUND('',#46937,.T.); #27613=FACE_OUTER_BOUND('',#46938,.T.); #27614=FACE_OUTER_BOUND('',#46939,.T.); #27615=FACE_OUTER_BOUND('',#46940,.T.); #27616=FACE_OUTER_BOUND('',#46941,.T.); #27617=FACE_OUTER_BOUND('',#46942,.T.); #27618=FACE_OUTER_BOUND('',#46943,.T.); #27619=FACE_OUTER_BOUND('',#46944,.T.); #27620=FACE_OUTER_BOUND('',#46945,.T.); #27621=FACE_OUTER_BOUND('',#46946,.T.); #27622=FACE_OUTER_BOUND('',#46947,.T.); #27623=FACE_OUTER_BOUND('',#46948,.T.); #27624=FACE_OUTER_BOUND('',#46949,.T.); #27625=FACE_OUTER_BOUND('',#46950,.T.); #27626=FACE_OUTER_BOUND('',#46951,.T.); #27627=FACE_OUTER_BOUND('',#46952,.T.); #27628=FACE_OUTER_BOUND('',#46953,.T.); #27629=FACE_OUTER_BOUND('',#46954,.T.); #27630=FACE_OUTER_BOUND('',#46955,.T.); #27631=FACE_OUTER_BOUND('',#46956,.T.); #27632=FACE_OUTER_BOUND('',#46957,.T.); #27633=FACE_OUTER_BOUND('',#46958,.T.); #27634=FACE_OUTER_BOUND('',#46959,.T.); #27635=FACE_OUTER_BOUND('',#46960,.T.); #27636=FACE_OUTER_BOUND('',#46992,.T.); #27637=FACE_OUTER_BOUND('',#47024,.T.); #27638=FACE_OUTER_BOUND('',#47025,.T.); #27639=FACE_OUTER_BOUND('',#47026,.T.); #27640=FACE_OUTER_BOUND('',#47027,.T.); #27641=FACE_OUTER_BOUND('',#47028,.T.); #27642=FACE_OUTER_BOUND('',#47029,.T.); #27643=FACE_OUTER_BOUND('',#47030,.T.); #27644=FACE_OUTER_BOUND('',#47031,.T.); #27645=FACE_OUTER_BOUND('',#47032,.T.); #27646=FACE_OUTER_BOUND('',#47033,.T.); #27647=FACE_OUTER_BOUND('',#47034,.T.); #27648=FACE_OUTER_BOUND('',#47035,.T.); #27649=FACE_OUTER_BOUND('',#47036,.T.); #27650=FACE_OUTER_BOUND('',#47037,.T.); #27651=FACE_OUTER_BOUND('',#47038,.T.); #27652=FACE_OUTER_BOUND('',#47039,.T.); #27653=FACE_OUTER_BOUND('',#47040,.T.); #27654=FACE_OUTER_BOUND('',#47041,.T.); #27655=FACE_OUTER_BOUND('',#47042,.T.); #27656=FACE_OUTER_BOUND('',#47043,.T.); #27657=FACE_OUTER_BOUND('',#47044,.T.); #27658=FACE_OUTER_BOUND('',#47045,.T.); #27659=FACE_OUTER_BOUND('',#47046,.T.); #27660=FACE_OUTER_BOUND('',#47048,.T.); #27661=FACE_OUTER_BOUND('',#47050,.T.); #27662=FACE_OUTER_BOUND('',#47051,.T.); #27663=FACE_OUTER_BOUND('',#47052,.T.); #27664=FACE_OUTER_BOUND('',#47053,.T.); #27665=FACE_OUTER_BOUND('',#47054,.T.); #27666=FACE_OUTER_BOUND('',#47055,.T.); #27667=FACE_OUTER_BOUND('',#47056,.T.); #27668=FACE_OUTER_BOUND('',#47057,.T.); #27669=FACE_OUTER_BOUND('',#47058,.T.); #27670=FACE_OUTER_BOUND('',#47059,.T.); #27671=FACE_OUTER_BOUND('',#47060,.T.); #27672=FACE_OUTER_BOUND('',#47061,.T.); #27673=FACE_OUTER_BOUND('',#47062,.T.); #27674=FACE_OUTER_BOUND('',#47063,.T.); #27675=FACE_OUTER_BOUND('',#47064,.T.); #27676=FACE_OUTER_BOUND('',#47065,.T.); #27677=FACE_OUTER_BOUND('',#47066,.T.); #27678=FACE_OUTER_BOUND('',#47067,.T.); #27679=FACE_OUTER_BOUND('',#47068,.T.); #27680=FACE_OUTER_BOUND('',#47069,.T.); #27681=FACE_OUTER_BOUND('',#47070,.T.); #27682=FACE_OUTER_BOUND('',#47071,.T.); #27683=FACE_OUTER_BOUND('',#47072,.T.); #27684=FACE_OUTER_BOUND('',#47073,.T.); #27685=FACE_OUTER_BOUND('',#47074,.T.); #27686=FACE_OUTER_BOUND('',#47075,.T.); #27687=FACE_OUTER_BOUND('',#47076,.T.); #27688=FACE_OUTER_BOUND('',#47077,.T.); #27689=FACE_OUTER_BOUND('',#47078,.T.); #27690=FACE_OUTER_BOUND('',#47079,.T.); #27691=FACE_OUTER_BOUND('',#47080,.T.); #27692=FACE_OUTER_BOUND('',#47081,.T.); #27693=FACE_OUTER_BOUND('',#47082,.T.); #27694=FACE_OUTER_BOUND('',#47083,.T.); #27695=FACE_OUTER_BOUND('',#47084,.T.); #27696=FACE_OUTER_BOUND('',#47085,.T.); #27697=FACE_OUTER_BOUND('',#47086,.T.); #27698=FACE_OUTER_BOUND('',#47087,.T.); #27699=FACE_OUTER_BOUND('',#47088,.T.); #27700=FACE_OUTER_BOUND('',#47089,.T.); #27701=FACE_OUTER_BOUND('',#47090,.T.); #27702=FACE_OUTER_BOUND('',#47091,.T.); #27703=FACE_OUTER_BOUND('',#47092,.T.); #27704=FACE_OUTER_BOUND('',#47093,.T.); #27705=FACE_OUTER_BOUND('',#47094,.T.); #27706=FACE_OUTER_BOUND('',#47095,.T.); #27707=FACE_OUTER_BOUND('',#47096,.T.); #27708=FACE_OUTER_BOUND('',#47097,.T.); #27709=FACE_OUTER_BOUND('',#47098,.T.); #27710=FACE_OUTER_BOUND('',#47099,.T.); #27711=FACE_OUTER_BOUND('',#47100,.T.); #27712=FACE_OUTER_BOUND('',#47101,.T.); #27713=FACE_OUTER_BOUND('',#47102,.T.); #27714=FACE_OUTER_BOUND('',#47103,.T.); #27715=FACE_OUTER_BOUND('',#47104,.T.); #27716=FACE_OUTER_BOUND('',#47105,.T.); #27717=FACE_OUTER_BOUND('',#47106,.T.); #27718=FACE_OUTER_BOUND('',#47107,.T.); #27719=FACE_OUTER_BOUND('',#47108,.T.); #27720=FACE_OUTER_BOUND('',#47109,.T.); #27721=FACE_OUTER_BOUND('',#47110,.T.); #27722=FACE_OUTER_BOUND('',#47111,.T.); #27723=FACE_OUTER_BOUND('',#47112,.T.); #27724=FACE_OUTER_BOUND('',#47113,.T.); #27725=FACE_OUTER_BOUND('',#47114,.T.); #27726=FACE_OUTER_BOUND('',#47115,.T.); #27727=FACE_OUTER_BOUND('',#47116,.T.); #27728=FACE_OUTER_BOUND('',#47117,.T.); #27729=FACE_OUTER_BOUND('',#47118,.T.); #27730=FACE_OUTER_BOUND('',#47119,.T.); #27731=FACE_OUTER_BOUND('',#47120,.T.); #27732=FACE_OUTER_BOUND('',#47121,.T.); #27733=FACE_OUTER_BOUND('',#47122,.T.); #27734=FACE_OUTER_BOUND('',#47123,.T.); #27735=FACE_OUTER_BOUND('',#47124,.T.); #27736=FACE_OUTER_BOUND('',#47125,.T.); #27737=FACE_OUTER_BOUND('',#47126,.T.); #27738=FACE_OUTER_BOUND('',#47127,.T.); #27739=FACE_OUTER_BOUND('',#47128,.T.); #27740=FACE_OUTER_BOUND('',#47129,.T.); #27741=FACE_OUTER_BOUND('',#47130,.T.); #27742=FACE_OUTER_BOUND('',#47131,.T.); #27743=FACE_OUTER_BOUND('',#47132,.T.); #27744=FACE_OUTER_BOUND('',#47133,.T.); #27745=FACE_OUTER_BOUND('',#47134,.T.); #27746=FACE_OUTER_BOUND('',#47135,.T.); #27747=FACE_OUTER_BOUND('',#47136,.T.); #27748=FACE_OUTER_BOUND('',#47137,.T.); #27749=FACE_OUTER_BOUND('',#47138,.T.); #27750=FACE_OUTER_BOUND('',#47139,.T.); #27751=FACE_OUTER_BOUND('',#47140,.T.); #27752=FACE_OUTER_BOUND('',#47141,.T.); #27753=FACE_OUTER_BOUND('',#47142,.T.); #27754=FACE_OUTER_BOUND('',#47143,.T.); #27755=FACE_OUTER_BOUND('',#47144,.T.); #27756=FACE_OUTER_BOUND('',#47145,.T.); #27757=FACE_OUTER_BOUND('',#47146,.T.); #27758=FACE_OUTER_BOUND('',#47147,.T.); #27759=FACE_OUTER_BOUND('',#47148,.T.); #27760=FACE_OUTER_BOUND('',#47149,.T.); #27761=FACE_OUTER_BOUND('',#47150,.T.); #27762=FACE_OUTER_BOUND('',#47151,.T.); #27763=FACE_OUTER_BOUND('',#47152,.T.); #27764=FACE_OUTER_BOUND('',#47153,.T.); #27765=FACE_OUTER_BOUND('',#47154,.T.); #27766=FACE_OUTER_BOUND('',#47155,.T.); #27767=FACE_OUTER_BOUND('',#47156,.T.); #27768=FACE_OUTER_BOUND('',#47157,.T.); #27769=FACE_OUTER_BOUND('',#47158,.T.); #27770=FACE_OUTER_BOUND('',#47159,.T.); #27771=FACE_OUTER_BOUND('',#47160,.T.); #27772=FACE_OUTER_BOUND('',#47161,.T.); #27773=FACE_OUTER_BOUND('',#47193,.T.); #27774=FACE_OUTER_BOUND('',#47225,.T.); #27775=FACE_OUTER_BOUND('',#47226,.T.); #27776=FACE_OUTER_BOUND('',#47227,.T.); #27777=FACE_OUTER_BOUND('',#47228,.T.); #27778=FACE_OUTER_BOUND('',#47229,.T.); #27779=FACE_OUTER_BOUND('',#47230,.T.); #27780=FACE_OUTER_BOUND('',#47231,.T.); #27781=FACE_OUTER_BOUND('',#47232,.T.); #27782=FACE_OUTER_BOUND('',#47233,.T.); #27783=FACE_OUTER_BOUND('',#47234,.T.); #27784=FACE_OUTER_BOUND('',#47235,.T.); #27785=FACE_OUTER_BOUND('',#47236,.T.); #27786=FACE_OUTER_BOUND('',#47237,.T.); #27787=FACE_OUTER_BOUND('',#47238,.T.); #27788=FACE_OUTER_BOUND('',#47239,.T.); #27789=FACE_OUTER_BOUND('',#47240,.T.); #27790=FACE_OUTER_BOUND('',#47241,.T.); #27791=FACE_OUTER_BOUND('',#47242,.T.); #27792=FACE_OUTER_BOUND('',#47243,.T.); #27793=FACE_OUTER_BOUND('',#47244,.T.); #27794=FACE_OUTER_BOUND('',#47245,.T.); #27795=FACE_OUTER_BOUND('',#47246,.T.); #27796=FACE_OUTER_BOUND('',#47247,.T.); #27797=FACE_OUTER_BOUND('',#47249,.T.); #27798=FACE_OUTER_BOUND('',#47251,.T.); #27799=FACE_OUTER_BOUND('',#47252,.T.); #27800=FACE_OUTER_BOUND('',#47253,.T.); #27801=FACE_OUTER_BOUND('',#47254,.T.); #27802=FACE_OUTER_BOUND('',#47255,.T.); #27803=FACE_OUTER_BOUND('',#47256,.T.); #27804=FACE_OUTER_BOUND('',#47257,.T.); #27805=FACE_OUTER_BOUND('',#47258,.T.); #27806=FACE_OUTER_BOUND('',#47259,.T.); #27807=FACE_OUTER_BOUND('',#47260,.T.); #27808=FACE_OUTER_BOUND('',#47261,.T.); #27809=FACE_OUTER_BOUND('',#47262,.T.); #27810=FACE_OUTER_BOUND('',#47263,.T.); #27811=FACE_OUTER_BOUND('',#47264,.T.); #27812=FACE_OUTER_BOUND('',#47265,.T.); #27813=FACE_OUTER_BOUND('',#47266,.T.); #27814=FACE_OUTER_BOUND('',#47267,.T.); #27815=FACE_OUTER_BOUND('',#47268,.T.); #27816=FACE_OUTER_BOUND('',#47269,.T.); #27817=FACE_OUTER_BOUND('',#47270,.T.); #27818=FACE_OUTER_BOUND('',#47271,.T.); #27819=FACE_OUTER_BOUND('',#47272,.T.); #27820=FACE_OUTER_BOUND('',#47273,.T.); #27821=FACE_OUTER_BOUND('',#47274,.T.); #27822=FACE_OUTER_BOUND('',#47275,.T.); #27823=FACE_OUTER_BOUND('',#47276,.T.); #27824=FACE_OUTER_BOUND('',#47277,.T.); #27825=FACE_OUTER_BOUND('',#47278,.T.); #27826=FACE_OUTER_BOUND('',#47279,.T.); #27827=FACE_OUTER_BOUND('',#47280,.T.); #27828=FACE_OUTER_BOUND('',#47281,.T.); #27829=FACE_OUTER_BOUND('',#47282,.T.); #27830=FACE_OUTER_BOUND('',#47283,.T.); #27831=FACE_OUTER_BOUND('',#47284,.T.); #27832=FACE_OUTER_BOUND('',#47285,.T.); #27833=FACE_OUTER_BOUND('',#47286,.T.); #27834=FACE_OUTER_BOUND('',#47287,.T.); #27835=FACE_OUTER_BOUND('',#47288,.T.); #27836=FACE_OUTER_BOUND('',#47289,.T.); #27837=FACE_OUTER_BOUND('',#47290,.T.); #27838=FACE_OUTER_BOUND('',#47291,.T.); #27839=FACE_OUTER_BOUND('',#47292,.T.); #27840=FACE_OUTER_BOUND('',#47293,.T.); #27841=FACE_OUTER_BOUND('',#47294,.T.); #27842=FACE_OUTER_BOUND('',#47295,.T.); #27843=FACE_OUTER_BOUND('',#47296,.T.); #27844=FACE_OUTER_BOUND('',#47297,.T.); #27845=FACE_OUTER_BOUND('',#47298,.T.); #27846=FACE_OUTER_BOUND('',#47299,.T.); #27847=FACE_OUTER_BOUND('',#47300,.T.); #27848=FACE_OUTER_BOUND('',#47301,.T.); #27849=FACE_OUTER_BOUND('',#47302,.T.); #27850=FACE_OUTER_BOUND('',#47303,.T.); #27851=FACE_OUTER_BOUND('',#47304,.T.); #27852=FACE_OUTER_BOUND('',#47305,.T.); #27853=FACE_OUTER_BOUND('',#47306,.T.); #27854=FACE_OUTER_BOUND('',#47307,.T.); #27855=FACE_OUTER_BOUND('',#47308,.T.); #27856=FACE_OUTER_BOUND('',#47309,.T.); #27857=FACE_OUTER_BOUND('',#47310,.T.); #27858=FACE_OUTER_BOUND('',#47311,.T.); #27859=FACE_OUTER_BOUND('',#47312,.T.); #27860=FACE_OUTER_BOUND('',#47313,.T.); #27861=FACE_OUTER_BOUND('',#47314,.T.); #27862=FACE_OUTER_BOUND('',#47315,.T.); #27863=FACE_OUTER_BOUND('',#47316,.T.); #27864=FACE_OUTER_BOUND('',#47317,.T.); #27865=FACE_OUTER_BOUND('',#47318,.T.); #27866=FACE_OUTER_BOUND('',#47319,.T.); #27867=FACE_OUTER_BOUND('',#47320,.T.); #27868=FACE_OUTER_BOUND('',#47321,.T.); #27869=FACE_OUTER_BOUND('',#47322,.T.); #27870=FACE_OUTER_BOUND('',#47323,.T.); #27871=FACE_OUTER_BOUND('',#47324,.T.); #27872=FACE_OUTER_BOUND('',#47325,.T.); #27873=FACE_OUTER_BOUND('',#47326,.T.); #27874=FACE_OUTER_BOUND('',#47327,.T.); #27875=FACE_OUTER_BOUND('',#47328,.T.); #27876=FACE_OUTER_BOUND('',#47329,.T.); #27877=FACE_OUTER_BOUND('',#47330,.T.); #27878=FACE_OUTER_BOUND('',#47331,.T.); #27879=FACE_OUTER_BOUND('',#47332,.T.); #27880=FACE_OUTER_BOUND('',#47333,.T.); #27881=FACE_OUTER_BOUND('',#47334,.T.); #27882=FACE_OUTER_BOUND('',#47335,.T.); #27883=FACE_OUTER_BOUND('',#47336,.T.); #27884=FACE_OUTER_BOUND('',#47337,.T.); #27885=FACE_OUTER_BOUND('',#47338,.T.); #27886=FACE_OUTER_BOUND('',#47339,.T.); #27887=FACE_OUTER_BOUND('',#47340,.T.); #27888=FACE_OUTER_BOUND('',#47341,.T.); #27889=FACE_OUTER_BOUND('',#47342,.T.); #27890=FACE_OUTER_BOUND('',#47343,.T.); #27891=FACE_OUTER_BOUND('',#47344,.T.); #27892=FACE_OUTER_BOUND('',#47345,.T.); #27893=FACE_OUTER_BOUND('',#47346,.T.); #27894=FACE_OUTER_BOUND('',#47347,.T.); #27895=FACE_OUTER_BOUND('',#47348,.T.); #27896=FACE_OUTER_BOUND('',#47349,.T.); #27897=FACE_OUTER_BOUND('',#47350,.T.); #27898=FACE_OUTER_BOUND('',#47351,.T.); #27899=FACE_OUTER_BOUND('',#47352,.T.); #27900=FACE_OUTER_BOUND('',#47353,.T.); #27901=FACE_OUTER_BOUND('',#47354,.T.); #27902=FACE_OUTER_BOUND('',#47355,.T.); #27903=FACE_OUTER_BOUND('',#47356,.T.); #27904=FACE_OUTER_BOUND('',#47357,.T.); #27905=FACE_OUTER_BOUND('',#47358,.T.); #27906=FACE_OUTER_BOUND('',#47359,.T.); #27907=FACE_OUTER_BOUND('',#47360,.T.); #27908=FACE_OUTER_BOUND('',#47361,.T.); #27909=FACE_OUTER_BOUND('',#47362,.T.); #27910=FACE_OUTER_BOUND('',#47363,.T.); #27911=FACE_OUTER_BOUND('',#47364,.T.); #27912=FACE_OUTER_BOUND('',#47365,.T.); #27913=FACE_OUTER_BOUND('',#47366,.T.); #27914=FACE_OUTER_BOUND('',#47367,.T.); #27915=FACE_OUTER_BOUND('',#47368,.T.); #27916=FACE_OUTER_BOUND('',#47369,.T.); #27917=FACE_OUTER_BOUND('',#47370,.T.); #27918=FACE_OUTER_BOUND('',#47371,.T.); #27919=FACE_OUTER_BOUND('',#47372,.T.); #27920=FACE_OUTER_BOUND('',#47373,.T.); #27921=FACE_OUTER_BOUND('',#47374,.T.); #27922=FACE_OUTER_BOUND('',#47375,.T.); #27923=FACE_OUTER_BOUND('',#47376,.T.); #27924=FACE_OUTER_BOUND('',#47377,.T.); #27925=FACE_OUTER_BOUND('',#47378,.T.); #27926=FACE_OUTER_BOUND('',#47379,.T.); #27927=FACE_OUTER_BOUND('',#47380,.T.); #27928=FACE_OUTER_BOUND('',#47381,.T.); #27929=FACE_OUTER_BOUND('',#47382,.T.); #27930=FACE_OUTER_BOUND('',#47383,.T.); #27931=FACE_OUTER_BOUND('',#47384,.T.); #27932=FACE_OUTER_BOUND('',#47385,.T.); #27933=FACE_OUTER_BOUND('',#47386,.T.); #27934=FACE_OUTER_BOUND('',#47387,.T.); #27935=FACE_OUTER_BOUND('',#47388,.T.); #27936=FACE_OUTER_BOUND('',#47389,.T.); #27937=FACE_OUTER_BOUND('',#47390,.T.); #27938=FACE_OUTER_BOUND('',#47391,.T.); #27939=FACE_OUTER_BOUND('',#47392,.T.); #27940=FACE_OUTER_BOUND('',#47393,.T.); #27941=FACE_OUTER_BOUND('',#47394,.T.); #27942=FACE_OUTER_BOUND('',#47395,.T.); #27943=FACE_OUTER_BOUND('',#47396,.T.); #27944=FACE_OUTER_BOUND('',#47397,.T.); #27945=FACE_OUTER_BOUND('',#47398,.T.); #27946=FACE_OUTER_BOUND('',#47399,.T.); #27947=FACE_OUTER_BOUND('',#47400,.T.); #27948=FACE_OUTER_BOUND('',#47401,.T.); #27949=FACE_OUTER_BOUND('',#47402,.T.); #27950=FACE_OUTER_BOUND('',#47403,.T.); #27951=FACE_OUTER_BOUND('',#47404,.T.); #27952=FACE_OUTER_BOUND('',#47405,.T.); #27953=FACE_OUTER_BOUND('',#47406,.T.); #27954=FACE_OUTER_BOUND('',#47407,.T.); #27955=FACE_OUTER_BOUND('',#47408,.T.); #27956=FACE_OUTER_BOUND('',#47409,.T.); #27957=FACE_OUTER_BOUND('',#47410,.T.); #27958=FACE_OUTER_BOUND('',#47411,.T.); #27959=FACE_OUTER_BOUND('',#47412,.T.); #27960=FACE_OUTER_BOUND('',#47413,.T.); #27961=FACE_OUTER_BOUND('',#47414,.T.); #27962=FACE_OUTER_BOUND('',#47415,.T.); #27963=FACE_OUTER_BOUND('',#47416,.T.); #27964=FACE_OUTER_BOUND('',#47417,.T.); #27965=FACE_OUTER_BOUND('',#47418,.T.); #27966=FACE_OUTER_BOUND('',#47419,.T.); #27967=FACE_OUTER_BOUND('',#47420,.T.); #27968=FACE_OUTER_BOUND('',#47421,.T.); #27969=FACE_OUTER_BOUND('',#47422,.T.); #27970=FACE_OUTER_BOUND('',#47423,.T.); #27971=FACE_OUTER_BOUND('',#47424,.T.); #27972=FACE_OUTER_BOUND('',#47425,.T.); #27973=FACE_OUTER_BOUND('',#47426,.T.); #27974=FACE_OUTER_BOUND('',#47427,.T.); #27975=FACE_OUTER_BOUND('',#47428,.T.); #27976=FACE_OUTER_BOUND('',#47429,.T.); #27977=FACE_OUTER_BOUND('',#47430,.T.); #27978=FACE_OUTER_BOUND('',#47431,.T.); #27979=FACE_OUTER_BOUND('',#47432,.T.); #27980=FACE_OUTER_BOUND('',#47433,.T.); #27981=FACE_OUTER_BOUND('',#47434,.T.); #27982=FACE_OUTER_BOUND('',#47435,.T.); #27983=FACE_OUTER_BOUND('',#47436,.T.); #27984=FACE_OUTER_BOUND('',#47437,.T.); #27985=FACE_OUTER_BOUND('',#47438,.T.); #27986=FACE_OUTER_BOUND('',#47439,.T.); #27987=FACE_OUTER_BOUND('',#47440,.T.); #27988=FACE_OUTER_BOUND('',#47441,.T.); #27989=FACE_OUTER_BOUND('',#47442,.T.); #27990=FACE_OUTER_BOUND('',#47443,.T.); #27991=FACE_OUTER_BOUND('',#47444,.T.); #27992=FACE_OUTER_BOUND('',#47445,.T.); #27993=FACE_OUTER_BOUND('',#47446,.T.); #27994=FACE_OUTER_BOUND('',#47447,.T.); #27995=FACE_OUTER_BOUND('',#47448,.T.); #27996=FACE_OUTER_BOUND('',#47449,.T.); #27997=FACE_OUTER_BOUND('',#47450,.T.); #27998=FACE_OUTER_BOUND('',#47451,.T.); #27999=FACE_OUTER_BOUND('',#47452,.T.); #28000=FACE_OUTER_BOUND('',#47453,.T.); #28001=FACE_OUTER_BOUND('',#47454,.T.); #28002=FACE_OUTER_BOUND('',#47455,.T.); #28003=FACE_OUTER_BOUND('',#47456,.T.); #28004=FACE_OUTER_BOUND('',#47457,.T.); #28005=FACE_OUTER_BOUND('',#47458,.T.); #28006=FACE_OUTER_BOUND('',#47459,.T.); #28007=FACE_OUTER_BOUND('',#47460,.T.); #28008=FACE_OUTER_BOUND('',#47461,.T.); #28009=FACE_OUTER_BOUND('',#47462,.T.); #28010=FACE_OUTER_BOUND('',#47463,.T.); #28011=FACE_OUTER_BOUND('',#47464,.T.); #28012=FACE_OUTER_BOUND('',#47465,.T.); #28013=FACE_OUTER_BOUND('',#47466,.T.); #28014=FACE_OUTER_BOUND('',#47467,.T.); #28015=FACE_OUTER_BOUND('',#47468,.T.); #28016=FACE_OUTER_BOUND('',#47469,.T.); #28017=FACE_OUTER_BOUND('',#47470,.T.); #28018=FACE_OUTER_BOUND('',#47471,.T.); #28019=FACE_OUTER_BOUND('',#47472,.T.); #28020=FACE_OUTER_BOUND('',#47473,.T.); #28021=FACE_OUTER_BOUND('',#47474,.T.); #28022=FACE_OUTER_BOUND('',#47475,.T.); #28023=FACE_OUTER_BOUND('',#47476,.T.); #28024=FACE_OUTER_BOUND('',#47477,.T.); #28025=FACE_OUTER_BOUND('',#47478,.T.); #28026=FACE_OUTER_BOUND('',#47479,.T.); #28027=FACE_OUTER_BOUND('',#47480,.T.); #28028=FACE_OUTER_BOUND('',#47481,.T.); #28029=FACE_OUTER_BOUND('',#47482,.T.); #28030=FACE_OUTER_BOUND('',#47483,.T.); #28031=FACE_OUTER_BOUND('',#47484,.T.); #28032=FACE_OUTER_BOUND('',#47485,.T.); #28033=FACE_OUTER_BOUND('',#47486,.T.); #28034=FACE_OUTER_BOUND('',#47487,.T.); #28035=FACE_OUTER_BOUND('',#47488,.T.); #28036=FACE_OUTER_BOUND('',#47489,.T.); #28037=FACE_OUTER_BOUND('',#47490,.T.); #28038=FACE_OUTER_BOUND('',#47491,.T.); #28039=FACE_OUTER_BOUND('',#47492,.T.); #28040=FACE_OUTER_BOUND('',#47493,.T.); #28041=FACE_OUTER_BOUND('',#47494,.T.); #28042=FACE_OUTER_BOUND('',#47495,.T.); #28043=FACE_OUTER_BOUND('',#47496,.T.); #28044=FACE_OUTER_BOUND('',#47497,.T.); #28045=FACE_OUTER_BOUND('',#47498,.T.); #28046=FACE_OUTER_BOUND('',#47499,.T.); #28047=FACE_OUTER_BOUND('',#47500,.T.); #28048=FACE_OUTER_BOUND('',#47501,.T.); #28049=FACE_OUTER_BOUND('',#47502,.T.); #28050=FACE_OUTER_BOUND('',#47503,.T.); #28051=FACE_OUTER_BOUND('',#47504,.T.); #28052=FACE_OUTER_BOUND('',#47505,.T.); #28053=FACE_OUTER_BOUND('',#47506,.T.); #28054=FACE_OUTER_BOUND('',#47507,.T.); #28055=FACE_OUTER_BOUND('',#47508,.T.); #28056=FACE_OUTER_BOUND('',#47509,.T.); #28057=FACE_OUTER_BOUND('',#47510,.T.); #28058=FACE_OUTER_BOUND('',#47511,.T.); #28059=FACE_OUTER_BOUND('',#47512,.T.); #28060=FACE_OUTER_BOUND('',#47513,.T.); #28061=FACE_OUTER_BOUND('',#47514,.T.); #28062=FACE_OUTER_BOUND('',#47515,.T.); #28063=FACE_OUTER_BOUND('',#47516,.T.); #28064=FACE_OUTER_BOUND('',#47517,.T.); #28065=FACE_OUTER_BOUND('',#47518,.T.); #28066=FACE_OUTER_BOUND('',#47519,.T.); #28067=FACE_OUTER_BOUND('',#47520,.T.); #28068=FACE_OUTER_BOUND('',#47521,.T.); #28069=FACE_OUTER_BOUND('',#47522,.T.); #28070=FACE_OUTER_BOUND('',#47523,.T.); #28071=FACE_OUTER_BOUND('',#47524,.T.); #28072=FACE_OUTER_BOUND('',#47525,.T.); #28073=FACE_OUTER_BOUND('',#47526,.T.); #28074=FACE_OUTER_BOUND('',#47527,.T.); #28075=FACE_OUTER_BOUND('',#47528,.T.); #28076=FACE_OUTER_BOUND('',#47529,.T.); #28077=FACE_OUTER_BOUND('',#47530,.T.); #28078=FACE_OUTER_BOUND('',#47531,.T.); #28079=FACE_OUTER_BOUND('',#47532,.T.); #28080=FACE_OUTER_BOUND('',#47533,.T.); #28081=FACE_OUTER_BOUND('',#47534,.T.); #28082=FACE_OUTER_BOUND('',#47535,.T.); #28083=FACE_OUTER_BOUND('',#47536,.T.); #28084=FACE_OUTER_BOUND('',#47537,.T.); #28085=FACE_OUTER_BOUND('',#47538,.T.); #28086=FACE_OUTER_BOUND('',#47539,.T.); #28087=FACE_OUTER_BOUND('',#47540,.T.); #28088=FACE_OUTER_BOUND('',#47541,.T.); #28089=FACE_OUTER_BOUND('',#47542,.T.); #28090=FACE_OUTER_BOUND('',#47543,.T.); #28091=FACE_OUTER_BOUND('',#47544,.T.); #28092=FACE_OUTER_BOUND('',#47545,.T.); #28093=FACE_OUTER_BOUND('',#47546,.T.); #28094=FACE_OUTER_BOUND('',#47547,.T.); #28095=FACE_OUTER_BOUND('',#47548,.T.); #28096=FACE_OUTER_BOUND('',#47549,.T.); #28097=FACE_OUTER_BOUND('',#47550,.T.); #28098=FACE_OUTER_BOUND('',#47551,.T.); #28099=FACE_OUTER_BOUND('',#47552,.T.); #28100=FACE_OUTER_BOUND('',#47553,.T.); #28101=FACE_OUTER_BOUND('',#47554,.T.); #28102=FACE_OUTER_BOUND('',#47555,.T.); #28103=FACE_OUTER_BOUND('',#47556,.T.); #28104=FACE_OUTER_BOUND('',#47557,.T.); #28105=FACE_OUTER_BOUND('',#47558,.T.); #28106=FACE_OUTER_BOUND('',#47559,.T.); #28107=FACE_OUTER_BOUND('',#47560,.T.); #28108=FACE_OUTER_BOUND('',#47561,.T.); #28109=FACE_OUTER_BOUND('',#47562,.T.); #28110=FACE_OUTER_BOUND('',#47563,.T.); #28111=FACE_OUTER_BOUND('',#47564,.T.); #28112=FACE_OUTER_BOUND('',#47565,.T.); #28113=FACE_OUTER_BOUND('',#47566,.T.); #28114=FACE_OUTER_BOUND('',#47567,.T.); #28115=FACE_OUTER_BOUND('',#47568,.T.); #28116=FACE_OUTER_BOUND('',#47569,.T.); #28117=FACE_OUTER_BOUND('',#47570,.T.); #28118=FACE_OUTER_BOUND('',#47571,.T.); #28119=FACE_OUTER_BOUND('',#47572,.T.); #28120=FACE_OUTER_BOUND('',#47573,.T.); #28121=FACE_OUTER_BOUND('',#47574,.T.); #28122=FACE_OUTER_BOUND('',#47575,.T.); #28123=FACE_OUTER_BOUND('',#47576,.T.); #28124=FACE_OUTER_BOUND('',#47577,.T.); #28125=FACE_OUTER_BOUND('',#47578,.T.); #28126=FACE_OUTER_BOUND('',#47579,.T.); #28127=FACE_OUTER_BOUND('',#47580,.T.); #28128=FACE_OUTER_BOUND('',#47581,.T.); #28129=FACE_OUTER_BOUND('',#47582,.T.); #28130=FACE_OUTER_BOUND('',#47600,.T.); #28131=FACE_OUTER_BOUND('',#47618,.T.); #28132=FACE_OUTER_BOUND('',#47619,.T.); #28133=FACE_OUTER_BOUND('',#47620,.T.); #28134=FACE_OUTER_BOUND('',#47621,.T.); #28135=FACE_OUTER_BOUND('',#47622,.T.); #28136=FACE_OUTER_BOUND('',#47623,.T.); #28137=FACE_OUTER_BOUND('',#47624,.T.); #28138=FACE_OUTER_BOUND('',#47625,.T.); #28139=FACE_OUTER_BOUND('',#47626,.T.); #28140=FACE_OUTER_BOUND('',#47627,.T.); #28141=FACE_OUTER_BOUND('',#47628,.T.); #28142=FACE_OUTER_BOUND('',#47629,.T.); #28143=FACE_OUTER_BOUND('',#47630,.T.); #28144=FACE_OUTER_BOUND('',#47631,.T.); #28145=FACE_OUTER_BOUND('',#47632,.T.); #28146=FACE_OUTER_BOUND('',#47633,.T.); #28147=FACE_OUTER_BOUND('',#47634,.T.); #28148=FACE_OUTER_BOUND('',#47635,.T.); #28149=FACE_OUTER_BOUND('',#47636,.T.); #28150=FACE_OUTER_BOUND('',#47637,.T.); #28151=FACE_OUTER_BOUND('',#47638,.T.); #28152=FACE_OUTER_BOUND('',#47639,.T.); #28153=FACE_OUTER_BOUND('',#47640,.T.); #28154=FACE_OUTER_BOUND('',#47641,.T.); #28155=FACE_OUTER_BOUND('',#47642,.T.); #28156=FACE_OUTER_BOUND('',#47643,.T.); #28157=FACE_OUTER_BOUND('',#47644,.T.); #28158=FACE_OUTER_BOUND('',#47645,.T.); #28159=FACE_OUTER_BOUND('',#47646,.T.); #28160=FACE_OUTER_BOUND('',#47647,.T.); #28161=FACE_OUTER_BOUND('',#47648,.T.); #28162=FACE_OUTER_BOUND('',#47649,.T.); #28163=FACE_OUTER_BOUND('',#47650,.T.); #28164=FACE_OUTER_BOUND('',#47651,.T.); #28165=FACE_OUTER_BOUND('',#47652,.T.); #28166=FACE_OUTER_BOUND('',#47653,.T.); #28167=FACE_OUTER_BOUND('',#47654,.T.); #28168=FACE_OUTER_BOUND('',#47655,.T.); #28169=FACE_OUTER_BOUND('',#47656,.T.); #28170=FACE_OUTER_BOUND('',#47657,.T.); #28171=FACE_OUTER_BOUND('',#47658,.T.); #28172=FACE_OUTER_BOUND('',#47659,.T.); #28173=FACE_OUTER_BOUND('',#47660,.T.); #28174=FACE_OUTER_BOUND('',#47661,.T.); #28175=FACE_OUTER_BOUND('',#47662,.T.); #28176=FACE_OUTER_BOUND('',#47663,.T.); #28177=FACE_OUTER_BOUND('',#47664,.T.); #28178=FACE_OUTER_BOUND('',#47665,.T.); #28179=FACE_OUTER_BOUND('',#47666,.T.); #28180=FACE_OUTER_BOUND('',#47667,.T.); #28181=FACE_OUTER_BOUND('',#47668,.T.); #28182=FACE_OUTER_BOUND('',#47669,.T.); #28183=FACE_OUTER_BOUND('',#47670,.T.); #28184=FACE_OUTER_BOUND('',#47671,.T.); #28185=FACE_OUTER_BOUND('',#47672,.T.); #28186=FACE_OUTER_BOUND('',#47673,.T.); #28187=FACE_OUTER_BOUND('',#47674,.T.); #28188=FACE_OUTER_BOUND('',#47675,.T.); #28189=FACE_OUTER_BOUND('',#47676,.T.); #28190=FACE_OUTER_BOUND('',#47677,.T.); #28191=FACE_OUTER_BOUND('',#47678,.T.); #28192=FACE_OUTER_BOUND('',#47679,.T.); #28193=FACE_OUTER_BOUND('',#47680,.T.); #28194=FACE_OUTER_BOUND('',#47681,.T.); #28195=FACE_OUTER_BOUND('',#47682,.T.); #28196=FACE_OUTER_BOUND('',#47683,.T.); #28197=FACE_OUTER_BOUND('',#47684,.T.); #28198=FACE_OUTER_BOUND('',#47685,.T.); #28199=FACE_OUTER_BOUND('',#47686,.T.); #28200=FACE_OUTER_BOUND('',#47687,.T.); #28201=FACE_OUTER_BOUND('',#47688,.T.); #28202=FACE_OUTER_BOUND('',#47689,.T.); #28203=FACE_OUTER_BOUND('',#47690,.T.); #28204=FACE_OUTER_BOUND('',#47691,.T.); #28205=FACE_OUTER_BOUND('',#47692,.T.); #28206=FACE_OUTER_BOUND('',#47693,.T.); #28207=FACE_OUTER_BOUND('',#47694,.T.); #28208=FACE_OUTER_BOUND('',#47695,.T.); #28209=FACE_OUTER_BOUND('',#47696,.T.); #28210=FACE_OUTER_BOUND('',#47697,.T.); #28211=FACE_OUTER_BOUND('',#47698,.T.); #28212=FACE_OUTER_BOUND('',#47699,.T.); #28213=FACE_OUTER_BOUND('',#47700,.T.); #28214=FACE_OUTER_BOUND('',#47701,.T.); #28215=FACE_OUTER_BOUND('',#47702,.T.); #28216=FACE_OUTER_BOUND('',#47703,.T.); #28217=FACE_OUTER_BOUND('',#47704,.T.); #28218=FACE_OUTER_BOUND('',#47705,.T.); #28219=FACE_OUTER_BOUND('',#47706,.T.); #28220=FACE_OUTER_BOUND('',#47707,.T.); #28221=FACE_OUTER_BOUND('',#47708,.T.); #28222=FACE_OUTER_BOUND('',#47709,.T.); #28223=FACE_OUTER_BOUND('',#47710,.T.); #28224=FACE_OUTER_BOUND('',#47711,.T.); #28225=FACE_OUTER_BOUND('',#47712,.T.); #28226=FACE_OUTER_BOUND('',#47713,.T.); #28227=FACE_OUTER_BOUND('',#47714,.T.); #28228=FACE_OUTER_BOUND('',#47715,.T.); #28229=FACE_OUTER_BOUND('',#47716,.T.); #28230=FACE_OUTER_BOUND('',#47717,.T.); #28231=FACE_OUTER_BOUND('',#47718,.T.); #28232=FACE_OUTER_BOUND('',#47719,.T.); #28233=FACE_OUTER_BOUND('',#47720,.T.); #28234=FACE_OUTER_BOUND('',#47721,.T.); #28235=FACE_OUTER_BOUND('',#47722,.T.); #28236=FACE_OUTER_BOUND('',#47723,.T.); #28237=FACE_OUTER_BOUND('',#47724,.T.); #28238=FACE_OUTER_BOUND('',#47725,.T.); #28239=FACE_OUTER_BOUND('',#47726,.T.); #28240=FACE_OUTER_BOUND('',#47727,.T.); #28241=FACE_OUTER_BOUND('',#47728,.T.); #28242=FACE_OUTER_BOUND('',#47729,.T.); #28243=FACE_OUTER_BOUND('',#47730,.T.); #28244=FACE_OUTER_BOUND('',#47731,.T.); #28245=FACE_OUTER_BOUND('',#47732,.T.); #28246=FACE_OUTER_BOUND('',#47733,.T.); #28247=FACE_OUTER_BOUND('',#47734,.T.); #28248=FACE_OUTER_BOUND('',#47735,.T.); #28249=FACE_OUTER_BOUND('',#47736,.T.); #28250=FACE_OUTER_BOUND('',#47737,.T.); #28251=FACE_OUTER_BOUND('',#47738,.T.); #28252=FACE_OUTER_BOUND('',#47739,.T.); #28253=FACE_OUTER_BOUND('',#47740,.T.); #28254=FACE_OUTER_BOUND('',#47741,.T.); #28255=FACE_OUTER_BOUND('',#47742,.T.); #28256=FACE_OUTER_BOUND('',#47743,.T.); #28257=FACE_OUTER_BOUND('',#47744,.T.); #28258=FACE_OUTER_BOUND('',#47745,.T.); #28259=FACE_OUTER_BOUND('',#47746,.T.); #28260=FACE_OUTER_BOUND('',#47747,.T.); #28261=FACE_OUTER_BOUND('',#47748,.T.); #28262=FACE_OUTER_BOUND('',#47749,.T.); #28263=FACE_OUTER_BOUND('',#47750,.T.); #28264=FACE_OUTER_BOUND('',#47751,.T.); #28265=FACE_OUTER_BOUND('',#47752,.T.); #28266=FACE_OUTER_BOUND('',#47753,.T.); #28267=FACE_OUTER_BOUND('',#47754,.T.); #28268=FACE_OUTER_BOUND('',#47755,.T.); #28269=FACE_OUTER_BOUND('',#47756,.T.); #28270=FACE_OUTER_BOUND('',#47757,.T.); #28271=FACE_OUTER_BOUND('',#47758,.T.); #28272=FACE_OUTER_BOUND('',#47759,.T.); #28273=FACE_OUTER_BOUND('',#47760,.T.); #28274=FACE_OUTER_BOUND('',#47761,.T.); #28275=FACE_OUTER_BOUND('',#47762,.T.); #28276=FACE_OUTER_BOUND('',#47763,.T.); #28277=FACE_OUTER_BOUND('',#47764,.T.); #28278=FACE_OUTER_BOUND('',#47765,.T.); #28279=FACE_OUTER_BOUND('',#47766,.T.); #28280=FACE_OUTER_BOUND('',#47767,.T.); #28281=FACE_OUTER_BOUND('',#47768,.T.); #28282=FACE_OUTER_BOUND('',#47769,.T.); #28283=FACE_OUTER_BOUND('',#47770,.T.); #28284=FACE_OUTER_BOUND('',#47771,.T.); #28285=FACE_OUTER_BOUND('',#47772,.T.); #28286=FACE_OUTER_BOUND('',#47773,.T.); #28287=FACE_OUTER_BOUND('',#47774,.T.); #28288=FACE_OUTER_BOUND('',#47775,.T.); #28289=FACE_OUTER_BOUND('',#47776,.T.); #28290=FACE_OUTER_BOUND('',#47777,.T.); #28291=FACE_OUTER_BOUND('',#47778,.T.); #28292=FACE_OUTER_BOUND('',#47779,.T.); #28293=FACE_OUTER_BOUND('',#47781,.T.); #28294=FACE_OUTER_BOUND('',#47783,.T.); #28295=FACE_OUTER_BOUND('',#47784,.T.); #28296=FACE_OUTER_BOUND('',#47785,.T.); #28297=FACE_OUTER_BOUND('',#47786,.T.); #28298=FACE_OUTER_BOUND('',#47787,.T.); #28299=FACE_OUTER_BOUND('',#47788,.T.); #28300=FACE_OUTER_BOUND('',#47789,.T.); #28301=FACE_OUTER_BOUND('',#47790,.T.); #28302=FACE_OUTER_BOUND('',#47791,.T.); #28303=FACE_OUTER_BOUND('',#47792,.T.); #28304=FACE_OUTER_BOUND('',#47793,.T.); #28305=FACE_OUTER_BOUND('',#47794,.T.); #28306=FACE_OUTER_BOUND('',#47795,.T.); #28307=FACE_OUTER_BOUND('',#47796,.T.); #28308=FACE_OUTER_BOUND('',#47797,.T.); #28309=FACE_OUTER_BOUND('',#47798,.T.); #28310=FACE_OUTER_BOUND('',#47799,.T.); #28311=FACE_OUTER_BOUND('',#47800,.T.); #28312=FACE_OUTER_BOUND('',#47801,.T.); #28313=FACE_OUTER_BOUND('',#47802,.T.); #28314=FACE_OUTER_BOUND('',#47803,.T.); #28315=FACE_OUTER_BOUND('',#47804,.T.); #28316=FACE_OUTER_BOUND('',#47805,.T.); #28317=FACE_OUTER_BOUND('',#47806,.T.); #28318=FACE_OUTER_BOUND('',#47807,.T.); #28319=FACE_OUTER_BOUND('',#47808,.T.); #28320=FACE_OUTER_BOUND('',#47809,.T.); #28321=FACE_OUTER_BOUND('',#47810,.T.); #28322=FACE_OUTER_BOUND('',#47811,.T.); #28323=FACE_OUTER_BOUND('',#47812,.T.); #28324=FACE_OUTER_BOUND('',#47813,.T.); #28325=FACE_OUTER_BOUND('',#47814,.T.); #28326=FACE_OUTER_BOUND('',#47815,.T.); #28327=FACE_OUTER_BOUND('',#47816,.T.); #28328=FACE_OUTER_BOUND('',#47817,.T.); #28329=FACE_OUTER_BOUND('',#47818,.T.); #28330=FACE_OUTER_BOUND('',#47819,.T.); #28331=FACE_OUTER_BOUND('',#47820,.T.); #28332=FACE_OUTER_BOUND('',#47821,.T.); #28333=FACE_OUTER_BOUND('',#47822,.T.); #28334=FACE_OUTER_BOUND('',#47823,.T.); #28335=FACE_OUTER_BOUND('',#47824,.T.); #28336=FACE_OUTER_BOUND('',#47825,.T.); #28337=FACE_OUTER_BOUND('',#47826,.T.); #28338=FACE_OUTER_BOUND('',#47827,.T.); #28339=FACE_OUTER_BOUND('',#47828,.T.); #28340=FACE_OUTER_BOUND('',#47829,.T.); #28341=FACE_OUTER_BOUND('',#47830,.T.); #28342=FACE_OUTER_BOUND('',#47831,.T.); #28343=FACE_OUTER_BOUND('',#47832,.T.); #28344=FACE_OUTER_BOUND('',#47833,.T.); #28345=FACE_OUTER_BOUND('',#47834,.T.); #28346=FACE_OUTER_BOUND('',#47835,.T.); #28347=FACE_OUTER_BOUND('',#47836,.T.); #28348=FACE_OUTER_BOUND('',#47837,.T.); #28349=FACE_OUTER_BOUND('',#47838,.T.); #28350=FACE_OUTER_BOUND('',#47839,.T.); #28351=FACE_OUTER_BOUND('',#47840,.T.); #28352=FACE_OUTER_BOUND('',#47841,.T.); #28353=FACE_OUTER_BOUND('',#47842,.T.); #28354=FACE_OUTER_BOUND('',#47843,.T.); #28355=FACE_OUTER_BOUND('',#47844,.T.); #28356=FACE_OUTER_BOUND('',#47845,.T.); #28357=FACE_OUTER_BOUND('',#47846,.T.); #28358=FACE_OUTER_BOUND('',#47847,.T.); #28359=FACE_OUTER_BOUND('',#47848,.T.); #28360=FACE_OUTER_BOUND('',#47849,.T.); #28361=FACE_OUTER_BOUND('',#47850,.T.); #28362=FACE_OUTER_BOUND('',#47851,.T.); #28363=FACE_OUTER_BOUND('',#47852,.T.); #28364=FACE_OUTER_BOUND('',#47853,.T.); #28365=FACE_OUTER_BOUND('',#47854,.T.); #28366=FACE_OUTER_BOUND('',#47855,.T.); #28367=FACE_OUTER_BOUND('',#47856,.T.); #28368=FACE_OUTER_BOUND('',#47857,.T.); #28369=FACE_OUTER_BOUND('',#47858,.T.); #28370=FACE_OUTER_BOUND('',#47859,.T.); #28371=FACE_OUTER_BOUND('',#47860,.T.); #28372=FACE_OUTER_BOUND('',#47861,.T.); #28373=FACE_OUTER_BOUND('',#47862,.T.); #28374=FACE_OUTER_BOUND('',#47863,.T.); #28375=FACE_OUTER_BOUND('',#47864,.T.); #28376=FACE_OUTER_BOUND('',#47865,.T.); #28377=FACE_OUTER_BOUND('',#47866,.T.); #28378=FACE_OUTER_BOUND('',#47867,.T.); #28379=FACE_OUTER_BOUND('',#47868,.T.); #28380=FACE_OUTER_BOUND('',#47869,.T.); #28381=FACE_OUTER_BOUND('',#47870,.T.); #28382=FACE_OUTER_BOUND('',#47871,.T.); #28383=FACE_OUTER_BOUND('',#47872,.T.); #28384=FACE_OUTER_BOUND('',#47873,.T.); #28385=FACE_OUTER_BOUND('',#47874,.T.); #28386=FACE_OUTER_BOUND('',#47875,.T.); #28387=FACE_OUTER_BOUND('',#47876,.T.); #28388=FACE_OUTER_BOUND('',#47877,.T.); #28389=FACE_OUTER_BOUND('',#47878,.T.); #28390=FACE_OUTER_BOUND('',#47879,.T.); #28391=FACE_OUTER_BOUND('',#47880,.T.); #28392=FACE_OUTER_BOUND('',#47881,.T.); #28393=FACE_OUTER_BOUND('',#47882,.T.); #28394=FACE_OUTER_BOUND('',#47883,.T.); #28395=FACE_OUTER_BOUND('',#47884,.T.); #28396=FACE_OUTER_BOUND('',#47885,.T.); #28397=FACE_OUTER_BOUND('',#47886,.T.); #28398=FACE_OUTER_BOUND('',#47887,.T.); #28399=FACE_OUTER_BOUND('',#47888,.T.); #28400=FACE_OUTER_BOUND('',#47889,.T.); #28401=FACE_OUTER_BOUND('',#47890,.T.); #28402=FACE_OUTER_BOUND('',#47891,.T.); #28403=FACE_OUTER_BOUND('',#47892,.T.); #28404=FACE_OUTER_BOUND('',#47893,.T.); #28405=FACE_OUTER_BOUND('',#47894,.T.); #28406=FACE_OUTER_BOUND('',#47895,.T.); #28407=FACE_OUTER_BOUND('',#47896,.T.); #28408=FACE_OUTER_BOUND('',#47897,.T.); #28409=FACE_OUTER_BOUND('',#47898,.T.); #28410=FACE_OUTER_BOUND('',#47899,.T.); #28411=FACE_OUTER_BOUND('',#47900,.T.); #28412=FACE_OUTER_BOUND('',#47901,.T.); #28413=FACE_OUTER_BOUND('',#47902,.T.); #28414=FACE_OUTER_BOUND('',#47903,.T.); #28415=FACE_OUTER_BOUND('',#47904,.T.); #28416=FACE_OUTER_BOUND('',#47905,.T.); #28417=FACE_OUTER_BOUND('',#47906,.T.); #28418=FACE_OUTER_BOUND('',#47907,.T.); #28419=FACE_OUTER_BOUND('',#47908,.T.); #28420=FACE_OUTER_BOUND('',#47909,.T.); #28421=FACE_OUTER_BOUND('',#47910,.T.); #28422=FACE_OUTER_BOUND('',#47911,.T.); #28423=FACE_OUTER_BOUND('',#47912,.T.); #28424=FACE_OUTER_BOUND('',#47913,.T.); #28425=FACE_OUTER_BOUND('',#47914,.T.); #28426=FACE_OUTER_BOUND('',#47915,.T.); #28427=FACE_OUTER_BOUND('',#47916,.T.); #28428=FACE_OUTER_BOUND('',#47917,.T.); #28429=FACE_OUTER_BOUND('',#47918,.T.); #28430=FACE_OUTER_BOUND('',#47919,.T.); #28431=FACE_OUTER_BOUND('',#47932,.T.); #28432=FACE_OUTER_BOUND('',#47945,.T.); #28433=FACE_OUTER_BOUND('',#47946,.T.); #28434=FACE_OUTER_BOUND('',#47947,.T.); #28435=FACE_OUTER_BOUND('',#47948,.T.); #28436=FACE_OUTER_BOUND('',#47949,.T.); #28437=FACE_OUTER_BOUND('',#47951,.T.); #28438=FACE_OUTER_BOUND('',#47953,.T.); #28439=FACE_OUTER_BOUND('',#47954,.T.); #28440=FACE_OUTER_BOUND('',#47955,.T.); #28441=FACE_OUTER_BOUND('',#47956,.T.); #28442=FACE_OUTER_BOUND('',#47957,.T.); #28443=FACE_OUTER_BOUND('',#47959,.T.); #28444=FACE_OUTER_BOUND('',#47961,.T.); #28445=FACE_OUTER_BOUND('',#47962,.T.); #28446=FACE_OUTER_BOUND('',#47963,.T.); #28447=FACE_OUTER_BOUND('',#47964,.T.); #28448=FACE_OUTER_BOUND('',#47965,.T.); #28449=FACE_OUTER_BOUND('',#47967,.T.); #28450=FACE_OUTER_BOUND('',#47969,.T.); #28451=FACE_OUTER_BOUND('',#47970,.T.); #28452=FACE_OUTER_BOUND('',#47971,.T.); #28453=FACE_OUTER_BOUND('',#47972,.T.); #28454=FACE_OUTER_BOUND('',#47973,.T.); #28455=FACE_OUTER_BOUND('',#47974,.T.); #28456=FACE_OUTER_BOUND('',#47975,.T.); #28457=FACE_OUTER_BOUND('',#47976,.T.); #28458=FACE_OUTER_BOUND('',#47977,.T.); #28459=FACE_OUTER_BOUND('',#47978,.T.); #28460=FACE_OUTER_BOUND('',#47980,.T.); #28461=FACE_OUTER_BOUND('',#47982,.T.); #28462=FACE_OUTER_BOUND('',#47983,.T.); #28463=FACE_OUTER_BOUND('',#47984,.T.); #28464=FACE_OUTER_BOUND('',#47985,.T.); #28465=FACE_OUTER_BOUND('',#47986,.T.); #28466=FACE_OUTER_BOUND('',#47988,.T.); #28467=FACE_OUTER_BOUND('',#47990,.T.); #28468=FACE_OUTER_BOUND('',#47991,.T.); #28469=FACE_OUTER_BOUND('',#47992,.T.); #28470=FACE_OUTER_BOUND('',#47993,.T.); #28471=FACE_OUTER_BOUND('',#47994,.T.); #28472=FACE_OUTER_BOUND('',#47995,.T.); #28473=FACE_OUTER_BOUND('',#47997,.T.); #28474=FACE_OUTER_BOUND('',#47999,.T.); #28475=FACE_OUTER_BOUND('',#48000,.T.); #28476=FACE_OUTER_BOUND('',#48001,.T.); #28477=FACE_OUTER_BOUND('',#48002,.T.); #28478=FACE_OUTER_BOUND('',#48003,.T.); #28479=FACE_OUTER_BOUND('',#48005,.T.); #28480=FACE_OUTER_BOUND('',#48007,.T.); #28481=FACE_OUTER_BOUND('',#48008,.T.); #28482=FACE_OUTER_BOUND('',#48009,.T.); #28483=FACE_OUTER_BOUND('',#48010,.T.); #28484=FACE_OUTER_BOUND('',#48011,.T.); #28485=FACE_OUTER_BOUND('',#48012,.T.); #28486=FACE_OUTER_BOUND('',#48013,.T.); #28487=FACE_OUTER_BOUND('',#48014,.T.); #28488=FACE_OUTER_BOUND('',#48015,.T.); #28489=FACE_OUTER_BOUND('',#48016,.T.); #28490=FACE_OUTER_BOUND('',#48017,.T.); #28491=FACE_OUTER_BOUND('',#48018,.T.); #28492=FACE_OUTER_BOUND('',#48019,.T.); #28493=FACE_OUTER_BOUND('',#48020,.T.); #28494=FACE_OUTER_BOUND('',#48021,.T.); #28495=FACE_OUTER_BOUND('',#48022,.T.); #28496=FACE_OUTER_BOUND('',#48023,.T.); #28497=FACE_OUTER_BOUND('',#48024,.T.); #28498=FACE_OUTER_BOUND('',#48025,.T.); #28499=FACE_OUTER_BOUND('',#48026,.T.); #28500=FACE_OUTER_BOUND('',#48027,.T.); #28501=FACE_OUTER_BOUND('',#48028,.T.); #28502=FACE_OUTER_BOUND('',#48029,.T.); #28503=FACE_OUTER_BOUND('',#48030,.T.); #28504=FACE_OUTER_BOUND('',#48031,.T.); #28505=FACE_OUTER_BOUND('',#48032,.T.); #28506=FACE_OUTER_BOUND('',#48033,.T.); #28507=FACE_OUTER_BOUND('',#48034,.T.); #28508=FACE_OUTER_BOUND('',#48035,.T.); #28509=FACE_OUTER_BOUND('',#48036,.T.); #28510=FACE_OUTER_BOUND('',#48037,.T.); #28511=FACE_OUTER_BOUND('',#48038,.T.); #28512=FACE_OUTER_BOUND('',#48039,.T.); #28513=FACE_OUTER_BOUND('',#48040,.T.); #28514=FACE_OUTER_BOUND('',#48041,.T.); #28515=FACE_OUTER_BOUND('',#48042,.T.); #28516=FACE_OUTER_BOUND('',#48043,.T.); #28517=FACE_OUTER_BOUND('',#48044,.T.); #28518=FACE_OUTER_BOUND('',#48045,.T.); #28519=FACE_OUTER_BOUND('',#48046,.T.); #28520=FACE_OUTER_BOUND('',#48047,.T.); #28521=FACE_OUTER_BOUND('',#48048,.T.); #28522=FACE_OUTER_BOUND('',#48049,.T.); #28523=FACE_OUTER_BOUND('',#48050,.T.); #28524=FACE_OUTER_BOUND('',#48051,.T.); #28525=FACE_OUTER_BOUND('',#48052,.T.); #28526=FACE_OUTER_BOUND('',#48053,.T.); #28527=FACE_OUTER_BOUND('',#48054,.T.); #28528=FACE_OUTER_BOUND('',#48055,.T.); #28529=FACE_OUTER_BOUND('',#48056,.T.); #28530=FACE_OUTER_BOUND('',#48057,.T.); #28531=FACE_OUTER_BOUND('',#48058,.T.); #28532=FACE_OUTER_BOUND('',#48059,.T.); #28533=FACE_OUTER_BOUND('',#48060,.T.); #28534=FACE_OUTER_BOUND('',#48061,.T.); #28535=FACE_OUTER_BOUND('',#48062,.T.); #28536=FACE_OUTER_BOUND('',#48063,.T.); #28537=FACE_OUTER_BOUND('',#48064,.T.); #28538=FACE_OUTER_BOUND('',#48065,.T.); #28539=FACE_OUTER_BOUND('',#48066,.T.); #28540=FACE_OUTER_BOUND('',#48067,.T.); #28541=FACE_OUTER_BOUND('',#48069,.T.); #28542=FACE_OUTER_BOUND('',#48071,.T.); #28543=FACE_OUTER_BOUND('',#48072,.T.); #28544=FACE_OUTER_BOUND('',#48073,.T.); #28545=FACE_OUTER_BOUND('',#48074,.T.); #28546=FACE_OUTER_BOUND('',#48075,.T.); #28547=FACE_OUTER_BOUND('',#48077,.T.); #28548=FACE_OUTER_BOUND('',#48079,.T.); #28549=FACE_OUTER_BOUND('',#48080,.T.); #28550=FACE_OUTER_BOUND('',#48081,.T.); #28551=FACE_OUTER_BOUND('',#48082,.T.); #28552=FACE_OUTER_BOUND('',#48083,.T.); #28553=FACE_OUTER_BOUND('',#48084,.T.); #28554=FACE_OUTER_BOUND('',#48085,.T.); #28555=FACE_OUTER_BOUND('',#48086,.T.); #28556=FACE_OUTER_BOUND('',#48087,.T.); #28557=FACE_OUTER_BOUND('',#48088,.T.); #28558=FACE_OUTER_BOUND('',#48089,.T.); #28559=FACE_OUTER_BOUND('',#48090,.T.); #28560=FACE_OUTER_BOUND('',#48091,.T.); #28561=FACE_OUTER_BOUND('',#48092,.T.); #28562=FACE_OUTER_BOUND('',#48093,.T.); #28563=FACE_OUTER_BOUND('',#48094,.T.); #28564=FACE_OUTER_BOUND('',#48095,.T.); #28565=FACE_OUTER_BOUND('',#48096,.T.); #28566=FACE_OUTER_BOUND('',#48097,.T.); #28567=FACE_OUTER_BOUND('',#48098,.T.); #28568=FACE_OUTER_BOUND('',#48099,.T.); #28569=FACE_OUTER_BOUND('',#48100,.T.); #28570=FACE_OUTER_BOUND('',#48101,.T.); #28571=FACE_OUTER_BOUND('',#48102,.T.); #28572=FACE_OUTER_BOUND('',#48103,.T.); #28573=FACE_OUTER_BOUND('',#48104,.T.); #28574=FACE_OUTER_BOUND('',#48105,.T.); #28575=FACE_OUTER_BOUND('',#48106,.T.); #28576=FACE_OUTER_BOUND('',#48107,.T.); #28577=FACE_OUTER_BOUND('',#48108,.T.); #28578=FACE_OUTER_BOUND('',#48109,.T.); #28579=FACE_OUTER_BOUND('',#48110,.T.); #28580=FACE_OUTER_BOUND('',#48111,.T.); #28581=FACE_OUTER_BOUND('',#48112,.T.); #28582=FACE_OUTER_BOUND('',#48113,.T.); #28583=FACE_OUTER_BOUND('',#48114,.T.); #28584=FACE_OUTER_BOUND('',#48115,.T.); #28585=FACE_OUTER_BOUND('',#48116,.T.); #28586=FACE_OUTER_BOUND('',#48117,.T.); #28587=FACE_OUTER_BOUND('',#48118,.T.); #28588=FACE_OUTER_BOUND('',#48119,.T.); #28589=FACE_OUTER_BOUND('',#48120,.T.); #28590=FACE_OUTER_BOUND('',#48121,.T.); #28591=FACE_OUTER_BOUND('',#48122,.T.); #28592=FACE_OUTER_BOUND('',#48123,.T.); #28593=FACE_OUTER_BOUND('',#48124,.T.); #28594=FACE_OUTER_BOUND('',#48125,.T.); #28595=FACE_OUTER_BOUND('',#48126,.T.); #28596=FACE_OUTER_BOUND('',#48127,.T.); #28597=FACE_OUTER_BOUND('',#48128,.T.); #28598=FACE_OUTER_BOUND('',#48129,.T.); #28599=FACE_OUTER_BOUND('',#48130,.T.); #28600=FACE_OUTER_BOUND('',#48131,.T.); #28601=FACE_OUTER_BOUND('',#48132,.T.); #28602=FACE_OUTER_BOUND('',#48133,.T.); #28603=FACE_OUTER_BOUND('',#48134,.T.); #28604=FACE_OUTER_BOUND('',#48135,.T.); #28605=FACE_OUTER_BOUND('',#48136,.T.); #28606=FACE_OUTER_BOUND('',#48137,.T.); #28607=FACE_OUTER_BOUND('',#48138,.T.); #28608=FACE_OUTER_BOUND('',#48139,.T.); #28609=FACE_OUTER_BOUND('',#48141,.T.); #28610=FACE_OUTER_BOUND('',#48143,.T.); #28611=FACE_OUTER_BOUND('',#48144,.T.); #28612=FACE_OUTER_BOUND('',#48145,.T.); #28613=FACE_OUTER_BOUND('',#48146,.T.); #28614=FACE_OUTER_BOUND('',#48147,.T.); #28615=FACE_OUTER_BOUND('',#48148,.T.); #28616=FACE_OUTER_BOUND('',#48149,.T.); #28617=FACE_OUTER_BOUND('',#48150,.T.); #28618=FACE_OUTER_BOUND('',#48151,.T.); #28619=FACE_OUTER_BOUND('',#48152,.T.); #28620=FACE_OUTER_BOUND('',#48153,.T.); #28621=FACE_OUTER_BOUND('',#48154,.T.); #28622=FACE_OUTER_BOUND('',#48155,.T.); #28623=FACE_OUTER_BOUND('',#48156,.T.); #28624=FACE_OUTER_BOUND('',#48157,.T.); #28625=FACE_OUTER_BOUND('',#48158,.T.); #28626=FACE_OUTER_BOUND('',#48159,.T.); #28627=FACE_OUTER_BOUND('',#48160,.T.); #28628=FACE_OUTER_BOUND('',#48161,.T.); #28629=FACE_OUTER_BOUND('',#48162,.T.); #28630=FACE_OUTER_BOUND('',#48163,.T.); #28631=FACE_OUTER_BOUND('',#48164,.T.); #28632=FACE_OUTER_BOUND('',#48165,.T.); #28633=FACE_OUTER_BOUND('',#48166,.T.); #28634=FACE_OUTER_BOUND('',#48167,.T.); #28635=FACE_OUTER_BOUND('',#48168,.T.); #28636=FACE_OUTER_BOUND('',#48169,.T.); #28637=FACE_OUTER_BOUND('',#48170,.T.); #28638=FACE_OUTER_BOUND('',#48171,.T.); #28639=FACE_OUTER_BOUND('',#48172,.T.); #28640=FACE_OUTER_BOUND('',#48173,.T.); #28641=FACE_OUTER_BOUND('',#48174,.T.); #28642=FACE_OUTER_BOUND('',#48175,.T.); #28643=FACE_OUTER_BOUND('',#48176,.T.); #28644=FACE_OUTER_BOUND('',#48177,.T.); #28645=FACE_OUTER_BOUND('',#48178,.T.); #28646=FACE_OUTER_BOUND('',#48179,.T.); #28647=FACE_OUTER_BOUND('',#48180,.T.); #28648=FACE_OUTER_BOUND('',#48181,.T.); #28649=FACE_OUTER_BOUND('',#48182,.T.); #28650=FACE_OUTER_BOUND('',#48183,.T.); #28651=FACE_OUTER_BOUND('',#48184,.T.); #28652=FACE_OUTER_BOUND('',#48185,.T.); #28653=FACE_OUTER_BOUND('',#48186,.T.); #28654=FACE_OUTER_BOUND('',#48187,.T.); #28655=FACE_OUTER_BOUND('',#48188,.T.); #28656=FACE_OUTER_BOUND('',#48189,.T.); #28657=FACE_OUTER_BOUND('',#48190,.T.); #28658=FACE_OUTER_BOUND('',#48191,.T.); #28659=FACE_OUTER_BOUND('',#48192,.T.); #28660=FACE_OUTER_BOUND('',#48193,.T.); #28661=FACE_OUTER_BOUND('',#48194,.T.); #28662=FACE_OUTER_BOUND('',#48195,.T.); #28663=FACE_OUTER_BOUND('',#48196,.T.); #28664=FACE_OUTER_BOUND('',#48197,.T.); #28665=FACE_OUTER_BOUND('',#48198,.T.); #28666=FACE_OUTER_BOUND('',#48199,.T.); #28667=FACE_OUTER_BOUND('',#48200,.T.); #28668=FACE_OUTER_BOUND('',#48201,.T.); #28669=FACE_OUTER_BOUND('',#48202,.T.); #28670=FACE_OUTER_BOUND('',#48203,.T.); #28671=FACE_OUTER_BOUND('',#48204,.T.); #28672=FACE_OUTER_BOUND('',#48205,.T.); #28673=FACE_OUTER_BOUND('',#48206,.T.); #28674=FACE_OUTER_BOUND('',#48207,.T.); #28675=FACE_OUTER_BOUND('',#48208,.T.); #28676=FACE_OUTER_BOUND('',#48209,.T.); #28677=FACE_OUTER_BOUND('',#48210,.T.); #28678=FACE_OUTER_BOUND('',#48211,.T.); #28679=FACE_OUTER_BOUND('',#48212,.T.); #28680=FACE_OUTER_BOUND('',#48213,.T.); #28681=FACE_OUTER_BOUND('',#48214,.T.); #28682=FACE_OUTER_BOUND('',#48215,.T.); #28683=FACE_OUTER_BOUND('',#48216,.T.); #28684=FACE_OUTER_BOUND('',#48217,.T.); #28685=FACE_OUTER_BOUND('',#48218,.T.); #28686=FACE_OUTER_BOUND('',#48219,.T.); #28687=FACE_OUTER_BOUND('',#48221,.T.); #28688=FACE_OUTER_BOUND('',#48223,.T.); #28689=FACE_OUTER_BOUND('',#48224,.T.); #28690=FACE_OUTER_BOUND('',#48225,.T.); #28691=FACE_OUTER_BOUND('',#48226,.T.); #28692=FACE_OUTER_BOUND('',#48227,.T.); #28693=FACE_OUTER_BOUND('',#48228,.T.); #28694=FACE_OUTER_BOUND('',#48229,.T.); #28695=FACE_OUTER_BOUND('',#48230,.T.); #28696=FACE_OUTER_BOUND('',#48231,.T.); #28697=FACE_OUTER_BOUND('',#48232,.T.); #28698=FACE_OUTER_BOUND('',#48233,.T.); #28699=FACE_OUTER_BOUND('',#48234,.T.); #28700=FACE_OUTER_BOUND('',#48235,.T.); #28701=FACE_OUTER_BOUND('',#48236,.T.); #28702=FACE_OUTER_BOUND('',#48237,.T.); #28703=FACE_OUTER_BOUND('',#48238,.T.); #28704=FACE_OUTER_BOUND('',#48239,.T.); #28705=FACE_OUTER_BOUND('',#48240,.T.); #28706=FACE_OUTER_BOUND('',#48241,.T.); #28707=FACE_OUTER_BOUND('',#48242,.T.); #28708=FACE_OUTER_BOUND('',#48243,.T.); #28709=FACE_OUTER_BOUND('',#48244,.T.); #28710=FACE_OUTER_BOUND('',#48245,.T.); #28711=FACE_OUTER_BOUND('',#48246,.T.); #28712=FACE_OUTER_BOUND('',#48247,.T.); #28713=FACE_OUTER_BOUND('',#48248,.T.); #28714=FACE_OUTER_BOUND('',#48249,.T.); #28715=FACE_OUTER_BOUND('',#48250,.T.); #28716=FACE_OUTER_BOUND('',#48251,.T.); #28717=FACE_OUTER_BOUND('',#48252,.T.); #28718=FACE_OUTER_BOUND('',#48253,.T.); #28719=FACE_OUTER_BOUND('',#48254,.T.); #28720=FACE_OUTER_BOUND('',#48255,.T.); #28721=FACE_OUTER_BOUND('',#48256,.T.); #28722=FACE_OUTER_BOUND('',#48257,.T.); #28723=FACE_OUTER_BOUND('',#48258,.T.); #28724=FACE_OUTER_BOUND('',#48259,.T.); #28725=FACE_OUTER_BOUND('',#48260,.T.); #28726=FACE_OUTER_BOUND('',#48261,.T.); #28727=FACE_OUTER_BOUND('',#48262,.T.); #28728=FACE_OUTER_BOUND('',#48263,.T.); #28729=FACE_OUTER_BOUND('',#48264,.T.); #28730=FACE_OUTER_BOUND('',#48265,.T.); #28731=FACE_OUTER_BOUND('',#48266,.T.); #28732=FACE_OUTER_BOUND('',#48267,.T.); #28733=FACE_OUTER_BOUND('',#48268,.T.); #28734=FACE_OUTER_BOUND('',#48269,.T.); #28735=FACE_OUTER_BOUND('',#48270,.T.); #28736=FACE_OUTER_BOUND('',#48271,.T.); #28737=FACE_OUTER_BOUND('',#48272,.T.); #28738=FACE_OUTER_BOUND('',#48273,.T.); #28739=FACE_OUTER_BOUND('',#48274,.T.); #28740=FACE_OUTER_BOUND('',#48275,.T.); #28741=FACE_OUTER_BOUND('',#48276,.T.); #28742=FACE_OUTER_BOUND('',#48277,.T.); #28743=FACE_OUTER_BOUND('',#48278,.T.); #28744=FACE_OUTER_BOUND('',#48279,.T.); #28745=FACE_OUTER_BOUND('',#48280,.T.); #28746=FACE_OUTER_BOUND('',#48281,.T.); #28747=FACE_OUTER_BOUND('',#48282,.T.); #28748=FACE_OUTER_BOUND('',#48283,.T.); #28749=FACE_OUTER_BOUND('',#48285,.T.); #28750=FACE_OUTER_BOUND('',#48287,.T.); #28751=FACE_OUTER_BOUND('',#48288,.T.); #28752=FACE_OUTER_BOUND('',#48289,.T.); #28753=FACE_OUTER_BOUND('',#48290,.T.); #28754=FACE_OUTER_BOUND('',#48291,.T.); #28755=FACE_OUTER_BOUND('',#48293,.T.); #28756=FACE_OUTER_BOUND('',#48295,.T.); #28757=FACE_OUTER_BOUND('',#48296,.T.); #28758=FACE_OUTER_BOUND('',#48297,.T.); #28759=FACE_OUTER_BOUND('',#48298,.T.); #28760=FACE_OUTER_BOUND('',#48299,.T.); #28761=FACE_OUTER_BOUND('',#48300,.T.); #28762=FACE_OUTER_BOUND('',#48301,.T.); #28763=FACE_OUTER_BOUND('',#48302,.T.); #28764=FACE_OUTER_BOUND('',#48303,.T.); #28765=FACE_OUTER_BOUND('',#48304,.T.); #28766=FACE_OUTER_BOUND('',#48305,.T.); #28767=FACE_OUTER_BOUND('',#48306,.T.); #28768=FACE_OUTER_BOUND('',#48307,.T.); #28769=FACE_OUTER_BOUND('',#48308,.T.); #28770=FACE_OUTER_BOUND('',#48309,.T.); #28771=FACE_OUTER_BOUND('',#48310,.T.); #28772=FACE_OUTER_BOUND('',#48311,.T.); #28773=FACE_OUTER_BOUND('',#48312,.T.); #28774=FACE_OUTER_BOUND('',#48313,.T.); #28775=FACE_OUTER_BOUND('',#48314,.T.); #28776=FACE_OUTER_BOUND('',#48315,.T.); #28777=FACE_OUTER_BOUND('',#48316,.T.); #28778=FACE_OUTER_BOUND('',#48317,.T.); #28779=FACE_OUTER_BOUND('',#48318,.T.); #28780=FACE_OUTER_BOUND('',#48319,.T.); #28781=FACE_OUTER_BOUND('',#48320,.T.); #28782=FACE_OUTER_BOUND('',#48321,.T.); #28783=FACE_OUTER_BOUND('',#48322,.T.); #28784=FACE_OUTER_BOUND('',#48323,.T.); #28785=FACE_OUTER_BOUND('',#48324,.T.); #28786=FACE_OUTER_BOUND('',#48325,.T.); #28787=FACE_OUTER_BOUND('',#48326,.T.); #28788=FACE_OUTER_BOUND('',#48327,.T.); #28789=FACE_OUTER_BOUND('',#48328,.T.); #28790=FACE_OUTER_BOUND('',#48329,.T.); #28791=FACE_OUTER_BOUND('',#48330,.T.); #28792=FACE_OUTER_BOUND('',#48331,.T.); #28793=FACE_OUTER_BOUND('',#48332,.T.); #28794=FACE_OUTER_BOUND('',#48333,.T.); #28795=FACE_OUTER_BOUND('',#48334,.T.); #28796=FACE_OUTER_BOUND('',#48335,.T.); #28797=FACE_OUTER_BOUND('',#48336,.T.); #28798=FACE_OUTER_BOUND('',#48337,.T.); #28799=FACE_OUTER_BOUND('',#48338,.T.); #28800=FACE_OUTER_BOUND('',#48339,.T.); #28801=FACE_OUTER_BOUND('',#48340,.T.); #28802=FACE_OUTER_BOUND('',#48341,.T.); #28803=FACE_OUTER_BOUND('',#48342,.T.); #28804=FACE_OUTER_BOUND('',#48343,.T.); #28805=FACE_OUTER_BOUND('',#48344,.T.); #28806=FACE_OUTER_BOUND('',#48345,.T.); #28807=FACE_OUTER_BOUND('',#48346,.T.); #28808=FACE_OUTER_BOUND('',#48347,.T.); #28809=FACE_OUTER_BOUND('',#48348,.T.); #28810=FACE_OUTER_BOUND('',#48349,.T.); #28811=FACE_OUTER_BOUND('',#48350,.T.); #28812=FACE_OUTER_BOUND('',#48351,.T.); #28813=FACE_OUTER_BOUND('',#48352,.T.); #28814=FACE_OUTER_BOUND('',#48353,.T.); #28815=FACE_OUTER_BOUND('',#48354,.T.); #28816=FACE_OUTER_BOUND('',#48355,.T.); #28817=FACE_OUTER_BOUND('',#48357,.T.); #28818=FACE_OUTER_BOUND('',#48359,.T.); #28819=FACE_OUTER_BOUND('',#48360,.T.); #28820=FACE_OUTER_BOUND('',#48361,.T.); #28821=FACE_OUTER_BOUND('',#48362,.T.); #28822=FACE_OUTER_BOUND('',#48363,.T.); #28823=FACE_OUTER_BOUND('',#48364,.T.); #28824=FACE_OUTER_BOUND('',#48365,.T.); #28825=FACE_OUTER_BOUND('',#48366,.T.); #28826=FACE_OUTER_BOUND('',#48367,.T.); #28827=FACE_OUTER_BOUND('',#48368,.T.); #28828=FACE_OUTER_BOUND('',#48370,.T.); #28829=FACE_OUTER_BOUND('',#48372,.T.); #28830=FACE_OUTER_BOUND('',#48373,.T.); #28831=FACE_OUTER_BOUND('',#48374,.T.); #28832=FACE_OUTER_BOUND('',#48375,.T.); #28833=FACE_OUTER_BOUND('',#48376,.T.); #28834=FACE_OUTER_BOUND('',#48378,.T.); #28835=FACE_OUTER_BOUND('',#48380,.T.); #28836=FACE_OUTER_BOUND('',#48381,.T.); #28837=FACE_OUTER_BOUND('',#48382,.T.); #28838=FACE_OUTER_BOUND('',#48383,.T.); #28839=FACE_OUTER_BOUND('',#48384,.T.); #28840=FACE_OUTER_BOUND('',#48385,.T.); #28841=FACE_OUTER_BOUND('',#48386,.T.); #28842=FACE_OUTER_BOUND('',#48387,.T.); #28843=FACE_OUTER_BOUND('',#48388,.T.); #28844=FACE_OUTER_BOUND('',#48389,.T.); #28845=FACE_OUTER_BOUND('',#48390,.T.); #28846=FACE_OUTER_BOUND('',#48391,.T.); #28847=FACE_OUTER_BOUND('',#48392,.T.); #28848=FACE_OUTER_BOUND('',#48393,.T.); #28849=FACE_OUTER_BOUND('',#48394,.T.); #28850=FACE_OUTER_BOUND('',#48395,.T.); #28851=FACE_OUTER_BOUND('',#48396,.T.); #28852=FACE_OUTER_BOUND('',#48397,.T.); #28853=FACE_OUTER_BOUND('',#48398,.T.); #28854=FACE_OUTER_BOUND('',#48399,.T.); #28855=FACE_OUTER_BOUND('',#48400,.T.); #28856=FACE_OUTER_BOUND('',#48401,.T.); #28857=FACE_OUTER_BOUND('',#48402,.T.); #28858=FACE_OUTER_BOUND('',#48403,.T.); #28859=FACE_OUTER_BOUND('',#48404,.T.); #28860=FACE_OUTER_BOUND('',#48405,.T.); #28861=FACE_OUTER_BOUND('',#48406,.T.); #28862=FACE_OUTER_BOUND('',#48407,.T.); #28863=FACE_OUTER_BOUND('',#48408,.T.); #28864=FACE_OUTER_BOUND('',#48409,.T.); #28865=FACE_OUTER_BOUND('',#48410,.T.); #28866=FACE_OUTER_BOUND('',#48411,.T.); #28867=FACE_OUTER_BOUND('',#48412,.T.); #28868=FACE_OUTER_BOUND('',#48413,.T.); #28869=FACE_OUTER_BOUND('',#48414,.T.); #28870=FACE_OUTER_BOUND('',#48415,.T.); #28871=FACE_OUTER_BOUND('',#48416,.T.); #28872=FACE_OUTER_BOUND('',#48417,.T.); #28873=FACE_OUTER_BOUND('',#48418,.T.); #28874=FACE_OUTER_BOUND('',#48419,.T.); #28875=FACE_OUTER_BOUND('',#48420,.T.); #28876=FACE_OUTER_BOUND('',#48421,.T.); #28877=FACE_OUTER_BOUND('',#48422,.T.); #28878=FACE_OUTER_BOUND('',#48423,.T.); #28879=FACE_OUTER_BOUND('',#48424,.T.); #28880=FACE_OUTER_BOUND('',#48425,.T.); #28881=FACE_OUTER_BOUND('',#48426,.T.); #28882=FACE_OUTER_BOUND('',#48427,.T.); #28883=FACE_OUTER_BOUND('',#48428,.T.); #28884=FACE_OUTER_BOUND('',#48429,.T.); #28885=FACE_OUTER_BOUND('',#48430,.T.); #28886=FACE_OUTER_BOUND('',#48431,.T.); #28887=FACE_OUTER_BOUND('',#48432,.T.); #28888=FACE_OUTER_BOUND('',#48433,.T.); #28889=FACE_OUTER_BOUND('',#48434,.T.); #28890=FACE_OUTER_BOUND('',#48435,.T.); #28891=FACE_OUTER_BOUND('',#48436,.T.); #28892=FACE_OUTER_BOUND('',#48437,.T.); #28893=FACE_OUTER_BOUND('',#48438,.T.); #28894=FACE_OUTER_BOUND('',#48439,.T.); #28895=FACE_OUTER_BOUND('',#48440,.T.); #28896=FACE_OUTER_BOUND('',#48441,.T.); #28897=FACE_OUTER_BOUND('',#48442,.T.); #28898=FACE_OUTER_BOUND('',#48443,.T.); #28899=FACE_OUTER_BOUND('',#48444,.T.); #28900=FACE_OUTER_BOUND('',#48445,.T.); #28901=FACE_OUTER_BOUND('',#48446,.T.); #28902=FACE_OUTER_BOUND('',#48447,.T.); #28903=FACE_OUTER_BOUND('',#48448,.T.); #28904=FACE_OUTER_BOUND('',#48449,.T.); #28905=FACE_OUTER_BOUND('',#48450,.T.); #28906=FACE_OUTER_BOUND('',#48451,.T.); #28907=FACE_OUTER_BOUND('',#48452,.T.); #28908=FACE_OUTER_BOUND('',#48453,.T.); #28909=FACE_OUTER_BOUND('',#48454,.T.); #28910=FACE_OUTER_BOUND('',#48455,.T.); #28911=FACE_OUTER_BOUND('',#48456,.T.); #28912=FACE_OUTER_BOUND('',#48458,.T.); #28913=FACE_OUTER_BOUND('',#48460,.T.); #28914=FACE_OUTER_BOUND('',#48461,.T.); #28915=FACE_OUTER_BOUND('',#48462,.T.); #28916=FACE_OUTER_BOUND('',#48463,.T.); #28917=FACE_OUTER_BOUND('',#48464,.T.); #28918=FACE_OUTER_BOUND('',#48465,.T.); #28919=FACE_OUTER_BOUND('',#48466,.T.); #28920=FACE_OUTER_BOUND('',#48467,.T.); #28921=FACE_OUTER_BOUND('',#48468,.T.); #28922=FACE_OUTER_BOUND('',#48469,.T.); #28923=FACE_OUTER_BOUND('',#48471,.T.); #28924=FACE_OUTER_BOUND('',#48473,.T.); #28925=FACE_OUTER_BOUND('',#48474,.T.); #28926=FACE_OUTER_BOUND('',#48475,.T.); #28927=FACE_OUTER_BOUND('',#48476,.T.); #28928=FACE_OUTER_BOUND('',#48477,.T.); #28929=FACE_OUTER_BOUND('',#48479,.T.); #28930=FACE_OUTER_BOUND('',#48481,.T.); #28931=FACE_OUTER_BOUND('',#48482,.T.); #28932=FACE_OUTER_BOUND('',#48483,.T.); #28933=FACE_OUTER_BOUND('',#48484,.T.); #28934=FACE_OUTER_BOUND('',#48485,.T.); #28935=FACE_OUTER_BOUND('',#48487,.T.); #28936=FACE_OUTER_BOUND('',#48489,.T.); #28937=FACE_OUTER_BOUND('',#48490,.T.); #28938=FACE_OUTER_BOUND('',#48491,.T.); #28939=FACE_OUTER_BOUND('',#48492,.T.); #28940=FACE_OUTER_BOUND('',#48493,.T.); #28941=FACE_OUTER_BOUND('',#48495,.T.); #28942=FACE_OUTER_BOUND('',#48497,.T.); #28943=FACE_OUTER_BOUND('',#48498,.T.); #28944=FACE_OUTER_BOUND('',#48499,.T.); #28945=FACE_OUTER_BOUND('',#48500,.T.); #28946=FACE_OUTER_BOUND('',#48501,.T.); #28947=FACE_OUTER_BOUND('',#48502,.T.); #28948=FACE_OUTER_BOUND('',#48504,.T.); #28949=FACE_OUTER_BOUND('',#48506,.T.); #28950=FACE_OUTER_BOUND('',#48507,.T.); #28951=FACE_OUTER_BOUND('',#48508,.T.); #28952=FACE_OUTER_BOUND('',#48509,.T.); #28953=FACE_OUTER_BOUND('',#48510,.T.); #28954=FACE_OUTER_BOUND('',#48511,.T.); #28955=FACE_OUTER_BOUND('',#48512,.T.); #28956=FACE_OUTER_BOUND('',#48513,.T.); #28957=FACE_OUTER_BOUND('',#48514,.T.); #28958=FACE_OUTER_BOUND('',#48515,.T.); #28959=FACE_OUTER_BOUND('',#48517,.T.); #28960=FACE_OUTER_BOUND('',#48519,.T.); #28961=FACE_OUTER_BOUND('',#48520,.T.); #28962=FACE_OUTER_BOUND('',#48521,.T.); #28963=FACE_OUTER_BOUND('',#48522,.T.); #28964=FACE_OUTER_BOUND('',#48523,.T.); #28965=FACE_OUTER_BOUND('',#48525,.T.); #28966=FACE_OUTER_BOUND('',#48527,.T.); #28967=FACE_OUTER_BOUND('',#48528,.T.); #28968=FACE_OUTER_BOUND('',#48529,.T.); #28969=FACE_OUTER_BOUND('',#48530,.T.); #28970=FACE_OUTER_BOUND('',#48531,.T.); #28971=FACE_OUTER_BOUND('',#48533,.T.); #28972=FACE_OUTER_BOUND('',#48535,.T.); #28973=FACE_OUTER_BOUND('',#48536,.T.); #28974=FACE_OUTER_BOUND('',#48537,.T.); #28975=FACE_OUTER_BOUND('',#48538,.T.); #28976=FACE_OUTER_BOUND('',#48539,.T.); #28977=FACE_OUTER_BOUND('',#48540,.T.); #28978=FACE_OUTER_BOUND('',#48541,.T.); #28979=FACE_OUTER_BOUND('',#48542,.T.); #28980=FACE_OUTER_BOUND('',#48543,.T.); #28981=FACE_OUTER_BOUND('',#48544,.T.); #28982=FACE_OUTER_BOUND('',#48545,.T.); #28983=FACE_OUTER_BOUND('',#48546,.T.); #28984=FACE_OUTER_BOUND('',#48547,.T.); #28985=FACE_OUTER_BOUND('',#48548,.T.); #28986=FACE_OUTER_BOUND('',#48549,.T.); #28987=FACE_OUTER_BOUND('',#48550,.T.); #28988=FACE_OUTER_BOUND('',#48551,.T.); #28989=FACE_OUTER_BOUND('',#48552,.T.); #28990=FACE_OUTER_BOUND('',#48553,.T.); #28991=FACE_OUTER_BOUND('',#48554,.T.); #28992=FACE_OUTER_BOUND('',#48555,.T.); #28993=FACE_OUTER_BOUND('',#48556,.T.); #28994=FACE_OUTER_BOUND('',#48557,.T.); #28995=FACE_OUTER_BOUND('',#48558,.T.); #28996=FACE_OUTER_BOUND('',#48559,.T.); #28997=FACE_OUTER_BOUND('',#48560,.T.); #28998=FACE_OUTER_BOUND('',#48561,.T.); #28999=FACE_OUTER_BOUND('',#48562,.T.); #29000=FACE_OUTER_BOUND('',#48563,.T.); #29001=FACE_OUTER_BOUND('',#48564,.T.); #29002=FACE_OUTER_BOUND('',#48565,.T.); #29003=FACE_OUTER_BOUND('',#48566,.T.); #29004=FACE_OUTER_BOUND('',#48567,.T.); #29005=FACE_OUTER_BOUND('',#48568,.T.); #29006=FACE_OUTER_BOUND('',#48569,.T.); #29007=FACE_OUTER_BOUND('',#48570,.T.); #29008=FACE_OUTER_BOUND('',#48571,.T.); #29009=FACE_OUTER_BOUND('',#48572,.T.); #29010=FACE_OUTER_BOUND('',#48573,.T.); #29011=FACE_OUTER_BOUND('',#48574,.T.); #29012=FACE_OUTER_BOUND('',#48575,.T.); #29013=FACE_OUTER_BOUND('',#48576,.T.); #29014=FACE_OUTER_BOUND('',#48577,.T.); #29015=FACE_OUTER_BOUND('',#48578,.T.); #29016=FACE_OUTER_BOUND('',#48579,.T.); #29017=FACE_OUTER_BOUND('',#48580,.T.); #29018=FACE_OUTER_BOUND('',#48581,.T.); #29019=FACE_OUTER_BOUND('',#48582,.T.); #29020=FACE_OUTER_BOUND('',#48583,.T.); #29021=FACE_OUTER_BOUND('',#48584,.T.); #29022=FACE_OUTER_BOUND('',#48585,.T.); #29023=FACE_OUTER_BOUND('',#48586,.T.); #29024=FACE_OUTER_BOUND('',#48587,.T.); #29025=FACE_OUTER_BOUND('',#48588,.T.); #29026=FACE_OUTER_BOUND('',#48589,.T.); #29027=FACE_OUTER_BOUND('',#48590,.T.); #29028=FACE_OUTER_BOUND('',#48591,.T.); #29029=FACE_OUTER_BOUND('',#48592,.T.); #29030=FACE_OUTER_BOUND('',#48593,.T.); #29031=FACE_OUTER_BOUND('',#48594,.T.); #29032=FACE_OUTER_BOUND('',#48595,.T.); #29033=FACE_OUTER_BOUND('',#48596,.T.); #29034=FACE_OUTER_BOUND('',#48597,.T.); #29035=FACE_OUTER_BOUND('',#48598,.T.); #29036=FACE_OUTER_BOUND('',#48599,.T.); #29037=FACE_OUTER_BOUND('',#48600,.T.); #29038=FACE_OUTER_BOUND('',#48601,.T.); #29039=FACE_OUTER_BOUND('',#48602,.T.); #29040=FACE_OUTER_BOUND('',#48603,.T.); #29041=FACE_OUTER_BOUND('',#48604,.T.); #29042=FACE_OUTER_BOUND('',#48605,.T.); #29043=FACE_OUTER_BOUND('',#48606,.T.); #29044=FACE_OUTER_BOUND('',#48607,.T.); #29045=FACE_OUTER_BOUND('',#48608,.T.); #29046=FACE_OUTER_BOUND('',#48609,.T.); #29047=FACE_OUTER_BOUND('',#48610,.T.); #29048=FACE_OUTER_BOUND('',#48611,.T.); #29049=FACE_OUTER_BOUND('',#48612,.T.); #29050=FACE_OUTER_BOUND('',#48613,.T.); #29051=FACE_OUTER_BOUND('',#48614,.T.); #29052=FACE_OUTER_BOUND('',#48615,.T.); #29053=FACE_OUTER_BOUND('',#48616,.T.); #29054=FACE_OUTER_BOUND('',#48617,.T.); #29055=FACE_OUTER_BOUND('',#48618,.T.); #29056=FACE_OUTER_BOUND('',#48619,.T.); #29057=FACE_OUTER_BOUND('',#48620,.T.); #29058=FACE_OUTER_BOUND('',#48621,.T.); #29059=FACE_OUTER_BOUND('',#48622,.T.); #29060=FACE_OUTER_BOUND('',#48623,.T.); #29061=FACE_OUTER_BOUND('',#48624,.T.); #29062=FACE_OUTER_BOUND('',#48625,.T.); #29063=FACE_OUTER_BOUND('',#48626,.T.); #29064=FACE_OUTER_BOUND('',#48627,.T.); #29065=FACE_OUTER_BOUND('',#48628,.T.); #29066=FACE_OUTER_BOUND('',#48629,.T.); #29067=FACE_OUTER_BOUND('',#48636,.T.); #29068=FACE_OUTER_BOUND('',#48643,.T.); #29069=FACE_OUTER_BOUND('',#48644,.T.); #29070=FACE_OUTER_BOUND('',#48645,.T.); #29071=FACE_OUTER_BOUND('',#48646,.T.); #29072=FACE_OUTER_BOUND('',#48647,.T.); #29073=FACE_OUTER_BOUND('',#48648,.T.); #29074=FACE_OUTER_BOUND('',#48649,.T.); #29075=FACE_OUTER_BOUND('',#48650,.T.); #29076=FACE_OUTER_BOUND('',#48651,.T.); #29077=FACE_OUTER_BOUND('',#48652,.T.); #29078=FACE_OUTER_BOUND('',#48653,.T.); #29079=FACE_OUTER_BOUND('',#48654,.T.); #29080=FACE_OUTER_BOUND('',#48655,.T.); #29081=FACE_OUTER_BOUND('',#48656,.T.); #29082=FACE_OUTER_BOUND('',#48657,.T.); #29083=FACE_OUTER_BOUND('',#48658,.T.); #29084=FACE_OUTER_BOUND('',#48659,.T.); #29085=FACE_OUTER_BOUND('',#48660,.T.); #29086=FACE_OUTER_BOUND('',#48661,.T.); #29087=FACE_OUTER_BOUND('',#48662,.T.); #29088=FACE_OUTER_BOUND('',#48663,.T.); #29089=FACE_OUTER_BOUND('',#48664,.T.); #29090=FACE_OUTER_BOUND('',#48665,.T.); #29091=FACE_OUTER_BOUND('',#48666,.T.); #29092=FACE_OUTER_BOUND('',#48667,.T.); #29093=FACE_OUTER_BOUND('',#48668,.T.); #29094=FACE_OUTER_BOUND('',#48669,.T.); #29095=FACE_OUTER_BOUND('',#48670,.T.); #29096=FACE_OUTER_BOUND('',#48671,.T.); #29097=FACE_OUTER_BOUND('',#48672,.T.); #29098=FACE_OUTER_BOUND('',#48673,.T.); #29099=FACE_OUTER_BOUND('',#48674,.T.); #29100=FACE_OUTER_BOUND('',#48675,.T.); #29101=FACE_OUTER_BOUND('',#48676,.T.); #29102=FACE_OUTER_BOUND('',#48677,.T.); #29103=FACE_OUTER_BOUND('',#48678,.T.); #29104=FACE_OUTER_BOUND('',#48679,.T.); #29105=FACE_OUTER_BOUND('',#48680,.T.); #29106=FACE_OUTER_BOUND('',#48681,.T.); #29107=FACE_OUTER_BOUND('',#48682,.T.); #29108=FACE_OUTER_BOUND('',#48683,.T.); #29109=FACE_OUTER_BOUND('',#48684,.T.); #29110=FACE_OUTER_BOUND('',#48685,.T.); #29111=FACE_OUTER_BOUND('',#48686,.T.); #29112=FACE_OUTER_BOUND('',#48687,.T.); #29113=FACE_OUTER_BOUND('',#48688,.T.); #29114=FACE_OUTER_BOUND('',#48689,.T.); #29115=FACE_OUTER_BOUND('',#48690,.T.); #29116=FACE_OUTER_BOUND('',#48691,.T.); #29117=FACE_OUTER_BOUND('',#48692,.T.); #29118=FACE_OUTER_BOUND('',#48693,.T.); #29119=FACE_OUTER_BOUND('',#48694,.T.); #29120=FACE_OUTER_BOUND('',#48695,.T.); #29121=FACE_OUTER_BOUND('',#48696,.T.); #29122=FACE_OUTER_BOUND('',#48697,.T.); #29123=FACE_OUTER_BOUND('',#48698,.T.); #29124=FACE_OUTER_BOUND('',#48699,.T.); #29125=FACE_OUTER_BOUND('',#48700,.T.); #29126=FACE_OUTER_BOUND('',#48701,.T.); #29127=FACE_OUTER_BOUND('',#48702,.T.); #29128=FACE_OUTER_BOUND('',#48703,.T.); #29129=FACE_OUTER_BOUND('',#48704,.T.); #29130=FACE_OUTER_BOUND('',#48705,.T.); #29131=FACE_OUTER_BOUND('',#48706,.T.); #29132=FACE_OUTER_BOUND('',#48707,.T.); #29133=FACE_OUTER_BOUND('',#48708,.T.); #29134=FACE_OUTER_BOUND('',#48709,.T.); #29135=FACE_OUTER_BOUND('',#48710,.T.); #29136=FACE_OUTER_BOUND('',#48711,.T.); #29137=FACE_OUTER_BOUND('',#48712,.T.); #29138=FACE_OUTER_BOUND('',#48713,.T.); #29139=FACE_OUTER_BOUND('',#48714,.T.); #29140=FACE_OUTER_BOUND('',#48715,.T.); #29141=FACE_OUTER_BOUND('',#48716,.T.); #29142=FACE_OUTER_BOUND('',#48717,.T.); #29143=FACE_OUTER_BOUND('',#48718,.T.); #29144=FACE_OUTER_BOUND('',#48719,.T.); #29145=FACE_OUTER_BOUND('',#48720,.T.); #29146=FACE_OUTER_BOUND('',#48721,.T.); #29147=FACE_OUTER_BOUND('',#48722,.T.); #29148=FACE_OUTER_BOUND('',#48723,.T.); #29149=FACE_OUTER_BOUND('',#48724,.T.); #29150=FACE_OUTER_BOUND('',#48725,.T.); #29151=FACE_OUTER_BOUND('',#48726,.T.); #29152=FACE_OUTER_BOUND('',#48727,.T.); #29153=FACE_OUTER_BOUND('',#48728,.T.); #29154=FACE_OUTER_BOUND('',#48729,.T.); #29155=FACE_OUTER_BOUND('',#48730,.T.); #29156=FACE_OUTER_BOUND('',#48731,.T.); #29157=FACE_OUTER_BOUND('',#48732,.T.); #29158=FACE_OUTER_BOUND('',#48733,.T.); #29159=FACE_OUTER_BOUND('',#48734,.T.); #29160=FACE_OUTER_BOUND('',#48735,.T.); #29161=FACE_OUTER_BOUND('',#48736,.T.); #29162=FACE_OUTER_BOUND('',#48737,.T.); #29163=FACE_OUTER_BOUND('',#48738,.T.); #29164=FACE_OUTER_BOUND('',#48739,.T.); #29165=FACE_OUTER_BOUND('',#48740,.T.); #29166=FACE_OUTER_BOUND('',#48741,.T.); #29167=FACE_OUTER_BOUND('',#48742,.T.); #29168=FACE_OUTER_BOUND('',#48743,.T.); #29169=FACE_OUTER_BOUND('',#48744,.T.); #29170=FACE_OUTER_BOUND('',#48745,.T.); #29171=FACE_OUTER_BOUND('',#48746,.T.); #29172=FACE_OUTER_BOUND('',#48747,.T.); #29173=FACE_OUTER_BOUND('',#48748,.T.); #29174=FACE_OUTER_BOUND('',#48749,.T.); #29175=FACE_OUTER_BOUND('',#48750,.T.); #29176=FACE_OUTER_BOUND('',#48751,.T.); #29177=FACE_OUTER_BOUND('',#48752,.T.); #29178=FACE_OUTER_BOUND('',#48753,.T.); #29179=FACE_OUTER_BOUND('',#48754,.T.); #29180=FACE_OUTER_BOUND('',#48755,.T.); #29181=FACE_OUTER_BOUND('',#48756,.T.); #29182=FACE_OUTER_BOUND('',#48757,.T.); #29183=FACE_OUTER_BOUND('',#48758,.T.); #29184=FACE_OUTER_BOUND('',#48759,.T.); #29185=FACE_OUTER_BOUND('',#48760,.T.); #29186=FACE_OUTER_BOUND('',#48761,.T.); #29187=FACE_OUTER_BOUND('',#48762,.T.); #29188=FACE_OUTER_BOUND('',#48763,.T.); #29189=FACE_OUTER_BOUND('',#48764,.T.); #29190=FACE_OUTER_BOUND('',#48765,.T.); #29191=FACE_OUTER_BOUND('',#48766,.T.); #29192=FACE_OUTER_BOUND('',#48767,.T.); #29193=FACE_OUTER_BOUND('',#48768,.T.); #29194=FACE_OUTER_BOUND('',#48769,.T.); #29195=FACE_OUTER_BOUND('',#48770,.T.); #29196=FACE_OUTER_BOUND('',#48771,.T.); #29197=FACE_OUTER_BOUND('',#48772,.T.); #29198=FACE_OUTER_BOUND('',#48773,.T.); #29199=FACE_OUTER_BOUND('',#48774,.T.); #29200=FACE_OUTER_BOUND('',#48775,.T.); #29201=FACE_OUTER_BOUND('',#48776,.T.); #29202=FACE_OUTER_BOUND('',#48777,.T.); #29203=FACE_OUTER_BOUND('',#48778,.T.); #29204=FACE_OUTER_BOUND('',#48779,.T.); #29205=FACE_OUTER_BOUND('',#48780,.T.); #29206=FACE_OUTER_BOUND('',#48781,.T.); #29207=FACE_OUTER_BOUND('',#48782,.T.); #29208=FACE_OUTER_BOUND('',#48783,.T.); #29209=FACE_OUTER_BOUND('',#48784,.T.); #29210=FACE_OUTER_BOUND('',#48785,.T.); #29211=FACE_OUTER_BOUND('',#48786,.T.); #29212=FACE_OUTER_BOUND('',#48787,.T.); #29213=FACE_OUTER_BOUND('',#48788,.T.); #29214=FACE_OUTER_BOUND('',#48789,.T.); #29215=FACE_OUTER_BOUND('',#48790,.T.); #29216=FACE_OUTER_BOUND('',#48791,.T.); #29217=FACE_OUTER_BOUND('',#48798,.T.); #29218=FACE_OUTER_BOUND('',#48805,.T.); #29219=FACE_OUTER_BOUND('',#48806,.T.); #29220=FACE_OUTER_BOUND('',#48807,.T.); #29221=FACE_OUTER_BOUND('',#48808,.T.); #29222=FACE_OUTER_BOUND('',#48809,.T.); #29223=FACE_OUTER_BOUND('',#48810,.T.); #29224=FACE_OUTER_BOUND('',#48811,.T.); #29225=FACE_OUTER_BOUND('',#48812,.T.); #29226=FACE_OUTER_BOUND('',#48813,.T.); #29227=FACE_OUTER_BOUND('',#48814,.T.); #29228=FACE_OUTER_BOUND('',#48815,.T.); #29229=FACE_OUTER_BOUND('',#48816,.T.); #29230=FACE_OUTER_BOUND('',#48817,.T.); #29231=FACE_OUTER_BOUND('',#48818,.T.); #29232=FACE_OUTER_BOUND('',#48819,.T.); #29233=FACE_OUTER_BOUND('',#48820,.T.); #29234=FACE_OUTER_BOUND('',#48821,.T.); #29235=FACE_OUTER_BOUND('',#48822,.T.); #29236=FACE_OUTER_BOUND('',#48823,.T.); #29237=FACE_OUTER_BOUND('',#48824,.T.); #29238=FACE_OUTER_BOUND('',#48825,.T.); #29239=FACE_OUTER_BOUND('',#48826,.T.); #29240=FACE_OUTER_BOUND('',#48827,.T.); #29241=FACE_OUTER_BOUND('',#48834,.T.); #29242=FACE_OUTER_BOUND('',#48841,.T.); #29243=FACE_OUTER_BOUND('',#48842,.T.); #29244=FACE_OUTER_BOUND('',#48843,.T.); #29245=FACE_OUTER_BOUND('',#48844,.T.); #29246=FACE_OUTER_BOUND('',#48845,.T.); #29247=FACE_OUTER_BOUND('',#48846,.T.); #29248=FACE_OUTER_BOUND('',#48847,.T.); #29249=FACE_OUTER_BOUND('',#48848,.T.); #29250=FACE_OUTER_BOUND('',#48849,.T.); #29251=FACE_OUTER_BOUND('',#48850,.T.); #29252=FACE_OUTER_BOUND('',#48851,.T.); #29253=FACE_OUTER_BOUND('',#48852,.T.); #29254=FACE_OUTER_BOUND('',#48853,.T.); #29255=FACE_OUTER_BOUND('',#48854,.T.); #29256=FACE_OUTER_BOUND('',#48855,.T.); #29257=FACE_OUTER_BOUND('',#48856,.T.); #29258=FACE_OUTER_BOUND('',#48857,.T.); #29259=FACE_OUTER_BOUND('',#48858,.T.); #29260=FACE_OUTER_BOUND('',#48859,.T.); #29261=FACE_OUTER_BOUND('',#48860,.T.); #29262=FACE_OUTER_BOUND('',#48861,.T.); #29263=FACE_OUTER_BOUND('',#48862,.T.); #29264=FACE_OUTER_BOUND('',#48863,.T.); #29265=FACE_OUTER_BOUND('',#48864,.T.); #29266=FACE_OUTER_BOUND('',#48865,.T.); #29267=FACE_OUTER_BOUND('',#48866,.T.); #29268=FACE_OUTER_BOUND('',#48867,.T.); #29269=FACE_OUTER_BOUND('',#48868,.T.); #29270=FACE_OUTER_BOUND('',#48869,.T.); #29271=FACE_OUTER_BOUND('',#48870,.T.); #29272=FACE_OUTER_BOUND('',#48871,.T.); #29273=FACE_OUTER_BOUND('',#48872,.T.); #29274=FACE_OUTER_BOUND('',#48873,.T.); #29275=FACE_OUTER_BOUND('',#48874,.T.); #29276=FACE_OUTER_BOUND('',#48875,.T.); #29277=FACE_OUTER_BOUND('',#48876,.T.); #29278=FACE_OUTER_BOUND('',#48877,.T.); #29279=FACE_OUTER_BOUND('',#48878,.T.); #29280=FACE_OUTER_BOUND('',#48879,.T.); #29281=FACE_OUTER_BOUND('',#48880,.T.); #29282=FACE_OUTER_BOUND('',#48881,.T.); #29283=FACE_OUTER_BOUND('',#48882,.T.); #29284=FACE_OUTER_BOUND('',#48883,.T.); #29285=FACE_OUTER_BOUND('',#48884,.T.); #29286=FACE_OUTER_BOUND('',#48885,.T.); #29287=FACE_OUTER_BOUND('',#48886,.T.); #29288=FACE_OUTER_BOUND('',#48887,.T.); #29289=FACE_OUTER_BOUND('',#48888,.T.); #29290=FACE_OUTER_BOUND('',#48889,.T.); #29291=FACE_OUTER_BOUND('',#48890,.T.); #29292=FACE_OUTER_BOUND('',#48891,.T.); #29293=FACE_OUTER_BOUND('',#48892,.T.); #29294=FACE_OUTER_BOUND('',#48893,.T.); #29295=FACE_OUTER_BOUND('',#48894,.T.); #29296=FACE_OUTER_BOUND('',#48895,.T.); #29297=FACE_OUTER_BOUND('',#48896,.T.); #29298=FACE_OUTER_BOUND('',#48897,.T.); #29299=FACE_OUTER_BOUND('',#48898,.T.); #29300=FACE_OUTER_BOUND('',#48899,.T.); #29301=FACE_OUTER_BOUND('',#48900,.T.); #29302=FACE_OUTER_BOUND('',#48901,.T.); #29303=FACE_OUTER_BOUND('',#48902,.T.); #29304=FACE_OUTER_BOUND('',#48903,.T.); #29305=FACE_OUTER_BOUND('',#48904,.T.); #29306=FACE_OUTER_BOUND('',#48905,.T.); #29307=FACE_OUTER_BOUND('',#48906,.T.); #29308=FACE_OUTER_BOUND('',#48907,.T.); #29309=FACE_OUTER_BOUND('',#48908,.T.); #29310=FACE_OUTER_BOUND('',#48909,.T.); #29311=FACE_OUTER_BOUND('',#48910,.T.); #29312=FACE_OUTER_BOUND('',#48911,.T.); #29313=FACE_OUTER_BOUND('',#48912,.T.); #29314=FACE_OUTER_BOUND('',#48913,.T.); #29315=FACE_OUTER_BOUND('',#48914,.T.); #29316=FACE_OUTER_BOUND('',#48915,.T.); #29317=FACE_OUTER_BOUND('',#48916,.T.); #29318=FACE_OUTER_BOUND('',#48917,.T.); #29319=FACE_OUTER_BOUND('',#48918,.T.); #29320=FACE_OUTER_BOUND('',#48919,.T.); #29321=FACE_OUTER_BOUND('',#48920,.T.); #29322=FACE_OUTER_BOUND('',#48921,.T.); #29323=FACE_OUTER_BOUND('',#48922,.T.); #29324=FACE_OUTER_BOUND('',#48923,.T.); #29325=FACE_OUTER_BOUND('',#48924,.T.); #29326=FACE_OUTER_BOUND('',#48925,.T.); #29327=FACE_OUTER_BOUND('',#48926,.T.); #29328=FACE_OUTER_BOUND('',#48927,.T.); #29329=FACE_OUTER_BOUND('',#48928,.T.); #29330=FACE_OUTER_BOUND('',#48929,.T.); #29331=FACE_OUTER_BOUND('',#48930,.T.); #29332=FACE_OUTER_BOUND('',#48931,.T.); #29333=FACE_OUTER_BOUND('',#48932,.T.); #29334=FACE_OUTER_BOUND('',#48933,.T.); #29335=FACE_OUTER_BOUND('',#48934,.T.); #29336=FACE_OUTER_BOUND('',#48935,.T.); #29337=FACE_OUTER_BOUND('',#48936,.T.); #29338=FACE_OUTER_BOUND('',#48937,.T.); #29339=FACE_OUTER_BOUND('',#48938,.T.); #29340=FACE_OUTER_BOUND('',#48939,.T.); #29341=FACE_OUTER_BOUND('',#48940,.T.); #29342=FACE_OUTER_BOUND('',#48941,.T.); #29343=FACE_OUTER_BOUND('',#48942,.T.); #29344=FACE_OUTER_BOUND('',#48943,.T.); #29345=FACE_OUTER_BOUND('',#48944,.T.); #29346=FACE_OUTER_BOUND('',#48945,.T.); #29347=FACE_OUTER_BOUND('',#48946,.T.); #29348=FACE_OUTER_BOUND('',#48947,.T.); #29349=FACE_OUTER_BOUND('',#48948,.T.); #29350=FACE_OUTER_BOUND('',#48949,.T.); #29351=FACE_OUTER_BOUND('',#48950,.T.); #29352=FACE_OUTER_BOUND('',#48951,.T.); #29353=FACE_OUTER_BOUND('',#48952,.T.); #29354=FACE_OUTER_BOUND('',#48953,.T.); #29355=FACE_OUTER_BOUND('',#48954,.T.); #29356=FACE_OUTER_BOUND('',#48955,.T.); #29357=FACE_OUTER_BOUND('',#48956,.T.); #29358=FACE_OUTER_BOUND('',#48957,.T.); #29359=FACE_OUTER_BOUND('',#48958,.T.); #29360=FACE_OUTER_BOUND('',#48959,.T.); #29361=FACE_OUTER_BOUND('',#48960,.T.); #29362=FACE_OUTER_BOUND('',#48961,.T.); #29363=FACE_OUTER_BOUND('',#48962,.T.); #29364=FACE_OUTER_BOUND('',#48963,.T.); #29365=FACE_OUTER_BOUND('',#48964,.T.); #29366=FACE_OUTER_BOUND('',#48965,.T.); #29367=FACE_OUTER_BOUND('',#48966,.T.); #29368=FACE_OUTER_BOUND('',#48967,.T.); #29369=FACE_OUTER_BOUND('',#48968,.T.); #29370=FACE_OUTER_BOUND('',#48969,.T.); #29371=FACE_OUTER_BOUND('',#48970,.T.); #29372=FACE_OUTER_BOUND('',#48971,.T.); #29373=FACE_OUTER_BOUND('',#48972,.T.); #29374=FACE_OUTER_BOUND('',#48973,.T.); #29375=FACE_OUTER_BOUND('',#48974,.T.); #29376=FACE_OUTER_BOUND('',#48975,.T.); #29377=FACE_OUTER_BOUND('',#48976,.T.); #29378=FACE_OUTER_BOUND('',#48977,.T.); #29379=FACE_OUTER_BOUND('',#48978,.T.); #29380=FACE_OUTER_BOUND('',#49028,.T.); #29381=FACE_OUTER_BOUND('',#49078,.T.); #29382=FACE_OUTER_BOUND('',#49079,.T.); #29383=FACE_OUTER_BOUND('',#49080,.T.); #29384=FACE_OUTER_BOUND('',#49081,.T.); #29385=FACE_OUTER_BOUND('',#49082,.T.); #29386=FACE_OUTER_BOUND('',#49083,.T.); #29387=FACE_OUTER_BOUND('',#49084,.T.); #29388=FACE_OUTER_BOUND('',#49085,.T.); #29389=FACE_OUTER_BOUND('',#49086,.T.); #29390=FACE_OUTER_BOUND('',#49087,.T.); #29391=FACE_OUTER_BOUND('',#49088,.T.); #29392=FACE_OUTER_BOUND('',#49089,.T.); #29393=FACE_OUTER_BOUND('',#49090,.T.); #29394=FACE_OUTER_BOUND('',#49091,.T.); #29395=FACE_OUTER_BOUND('',#49092,.T.); #29396=FACE_OUTER_BOUND('',#49093,.T.); #29397=FACE_OUTER_BOUND('',#49094,.T.); #29398=FACE_OUTER_BOUND('',#49095,.T.); #29399=FACE_OUTER_BOUND('',#49096,.T.); #29400=FACE_OUTER_BOUND('',#49097,.T.); #29401=FACE_OUTER_BOUND('',#49098,.T.); #29402=FACE_OUTER_BOUND('',#49099,.T.); #29403=FACE_OUTER_BOUND('',#49100,.T.); #29404=FACE_OUTER_BOUND('',#49101,.T.); #29405=FACE_OUTER_BOUND('',#49102,.T.); #29406=FACE_OUTER_BOUND('',#49103,.T.); #29407=FACE_OUTER_BOUND('',#49104,.T.); #29408=FACE_OUTER_BOUND('',#49105,.T.); #29409=FACE_OUTER_BOUND('',#49106,.T.); #29410=FACE_OUTER_BOUND('',#49107,.T.); #29411=FACE_OUTER_BOUND('',#49108,.T.); #29412=FACE_OUTER_BOUND('',#49109,.T.); #29413=FACE_OUTER_BOUND('',#49110,.T.); #29414=FACE_OUTER_BOUND('',#49111,.T.); #29415=FACE_OUTER_BOUND('',#49112,.T.); #29416=FACE_OUTER_BOUND('',#49113,.T.); #29417=FACE_OUTER_BOUND('',#49114,.T.); #29418=FACE_OUTER_BOUND('',#49115,.T.); #29419=FACE_OUTER_BOUND('',#49116,.T.); #29420=FACE_OUTER_BOUND('',#49117,.T.); #29421=FACE_OUTER_BOUND('',#49118,.T.); #29422=FACE_OUTER_BOUND('',#49119,.T.); #29423=FACE_OUTER_BOUND('',#49120,.T.); #29424=FACE_OUTER_BOUND('',#49121,.T.); #29425=FACE_OUTER_BOUND('',#49122,.T.); #29426=FACE_OUTER_BOUND('',#49123,.T.); #29427=FACE_OUTER_BOUND('',#49124,.T.); #29428=FACE_OUTER_BOUND('',#49125,.T.); #29429=FACE_OUTER_BOUND('',#49126,.T.); #29430=FACE_OUTER_BOUND('',#49127,.T.); #29431=FACE_OUTER_BOUND('',#49128,.T.); #29432=FACE_OUTER_BOUND('',#49129,.T.); #29433=FACE_OUTER_BOUND('',#49130,.T.); #29434=FACE_OUTER_BOUND('',#49131,.T.); #29435=FACE_OUTER_BOUND('',#49132,.T.); #29436=FACE_OUTER_BOUND('',#49133,.T.); #29437=FACE_OUTER_BOUND('',#49134,.T.); #29438=FACE_OUTER_BOUND('',#49135,.T.); #29439=FACE_OUTER_BOUND('',#49136,.T.); #29440=FACE_OUTER_BOUND('',#49137,.T.); #29441=FACE_OUTER_BOUND('',#49138,.T.); #29442=FACE_OUTER_BOUND('',#49139,.T.); #29443=FACE_OUTER_BOUND('',#49140,.T.); #29444=FACE_OUTER_BOUND('',#49141,.T.); #29445=FACE_OUTER_BOUND('',#49142,.T.); #29446=FACE_OUTER_BOUND('',#49143,.T.); #29447=FACE_OUTER_BOUND('',#49144,.T.); #29448=FACE_OUTER_BOUND('',#49145,.T.); #29449=FACE_OUTER_BOUND('',#49146,.T.); #29450=FACE_OUTER_BOUND('',#49147,.T.); #29451=FACE_OUTER_BOUND('',#49148,.T.); #29452=FACE_OUTER_BOUND('',#49149,.T.); #29453=FACE_OUTER_BOUND('',#49150,.T.); #29454=FACE_OUTER_BOUND('',#49151,.T.); #29455=FACE_OUTER_BOUND('',#49152,.T.); #29456=FACE_OUTER_BOUND('',#49153,.T.); #29457=FACE_OUTER_BOUND('',#49154,.T.); #29458=FACE_OUTER_BOUND('',#49155,.T.); #29459=FACE_OUTER_BOUND('',#49156,.T.); #29460=FACE_OUTER_BOUND('',#49157,.T.); #29461=FACE_OUTER_BOUND('',#49158,.T.); #29462=FACE_OUTER_BOUND('',#49159,.T.); #29463=FACE_OUTER_BOUND('',#49160,.T.); #29464=FACE_OUTER_BOUND('',#49161,.T.); #29465=FACE_OUTER_BOUND('',#49162,.T.); #29466=FACE_OUTER_BOUND('',#49163,.T.); #29467=FACE_OUTER_BOUND('',#49164,.T.); #29468=FACE_OUTER_BOUND('',#49165,.T.); #29469=FACE_OUTER_BOUND('',#49166,.T.); #29470=FACE_OUTER_BOUND('',#49167,.T.); #29471=FACE_OUTER_BOUND('',#49169,.T.); #29472=FACE_OUTER_BOUND('',#49171,.T.); #29473=FACE_OUTER_BOUND('',#49172,.T.); #29474=FACE_OUTER_BOUND('',#49173,.T.); #29475=FACE_OUTER_BOUND('',#49174,.T.); #29476=FACE_OUTER_BOUND('',#49175,.T.); #29477=FACE_OUTER_BOUND('',#49176,.T.); #29478=FACE_OUTER_BOUND('',#49177,.T.); #29479=FACE_OUTER_BOUND('',#49178,.T.); #29480=FACE_OUTER_BOUND('',#49179,.T.); #29481=FACE_OUTER_BOUND('',#49180,.T.); #29482=FACE_OUTER_BOUND('',#49181,.T.); #29483=FACE_OUTER_BOUND('',#49182,.T.); #29484=FACE_OUTER_BOUND('',#49183,.T.); #29485=FACE_OUTER_BOUND('',#49184,.T.); #29486=FACE_OUTER_BOUND('',#49185,.T.); #29487=FACE_OUTER_BOUND('',#49186,.T.); #29488=FACE_OUTER_BOUND('',#49187,.T.); #29489=FACE_OUTER_BOUND('',#49188,.T.); #29490=FACE_OUTER_BOUND('',#49190,.T.); #29491=FACE_OUTER_BOUND('',#49192,.T.); #29492=FACE_OUTER_BOUND('',#49193,.T.); #29493=FACE_OUTER_BOUND('',#49194,.T.); #29494=FACE_OUTER_BOUND('',#49195,.T.); #29495=FACE_OUTER_BOUND('',#49196,.T.); #29496=FACE_OUTER_BOUND('',#49197,.T.); #29497=FACE_OUTER_BOUND('',#49198,.T.); #29498=FACE_OUTER_BOUND('',#49199,.T.); #29499=FACE_OUTER_BOUND('',#49200,.T.); #29500=FACE_OUTER_BOUND('',#49201,.T.); #29501=FACE_OUTER_BOUND('',#49202,.T.); #29502=FACE_OUTER_BOUND('',#49203,.T.); #29503=FACE_OUTER_BOUND('',#49204,.T.); #29504=FACE_OUTER_BOUND('',#49205,.T.); #29505=FACE_OUTER_BOUND('',#49206,.T.); #29506=FACE_OUTER_BOUND('',#49207,.T.); #29507=FACE_OUTER_BOUND('',#49208,.T.); #29508=FACE_OUTER_BOUND('',#49209,.T.); #29509=FACE_OUTER_BOUND('',#49210,.T.); #29510=FACE_OUTER_BOUND('',#49211,.T.); #29511=FACE_OUTER_BOUND('',#49212,.T.); #29512=FACE_OUTER_BOUND('',#49213,.T.); #29513=FACE_OUTER_BOUND('',#49214,.T.); #29514=FACE_OUTER_BOUND('',#49215,.T.); #29515=FACE_OUTER_BOUND('',#49216,.T.); #29516=FACE_OUTER_BOUND('',#49217,.T.); #29517=FACE_OUTER_BOUND('',#49218,.T.); #29518=FACE_OUTER_BOUND('',#49219,.T.); #29519=FACE_OUTER_BOUND('',#49220,.T.); #29520=FACE_OUTER_BOUND('',#49221,.T.); #29521=FACE_OUTER_BOUND('',#49222,.T.); #29522=FACE_OUTER_BOUND('',#49223,.T.); #29523=FACE_OUTER_BOUND('',#49224,.T.); #29524=FACE_OUTER_BOUND('',#49225,.T.); #29525=FACE_OUTER_BOUND('',#49226,.T.); #29526=FACE_OUTER_BOUND('',#49227,.T.); #29527=FACE_OUTER_BOUND('',#49228,.T.); #29528=FACE_OUTER_BOUND('',#49229,.T.); #29529=FACE_OUTER_BOUND('',#49230,.T.); #29530=FACE_OUTER_BOUND('',#49231,.T.); #29531=FACE_OUTER_BOUND('',#49232,.T.); #29532=FACE_OUTER_BOUND('',#49233,.T.); #29533=FACE_OUTER_BOUND('',#49234,.T.); #29534=FACE_OUTER_BOUND('',#49235,.T.); #29535=FACE_OUTER_BOUND('',#49236,.T.); #29536=FACE_OUTER_BOUND('',#49237,.T.); #29537=FACE_OUTER_BOUND('',#49238,.T.); #29538=FACE_OUTER_BOUND('',#49239,.T.); #29539=FACE_OUTER_BOUND('',#49240,.T.); #29540=FACE_OUTER_BOUND('',#49241,.T.); #29541=FACE_OUTER_BOUND('',#49242,.T.); #29542=FACE_OUTER_BOUND('',#49243,.T.); #29543=FACE_OUTER_BOUND('',#49244,.T.); #29544=FACE_OUTER_BOUND('',#49245,.T.); #29545=FACE_OUTER_BOUND('',#49246,.T.); #29546=FACE_OUTER_BOUND('',#49247,.T.); #29547=FACE_OUTER_BOUND('',#49248,.T.); #29548=FACE_OUTER_BOUND('',#49249,.T.); #29549=FACE_OUTER_BOUND('',#49250,.T.); #29550=FACE_OUTER_BOUND('',#49251,.T.); #29551=FACE_OUTER_BOUND('',#49252,.T.); #29552=FACE_OUTER_BOUND('',#49253,.T.); #29553=FACE_OUTER_BOUND('',#49254,.T.); #29554=FACE_OUTER_BOUND('',#49255,.T.); #29555=FACE_OUTER_BOUND('',#49256,.T.); #29556=FACE_OUTER_BOUND('',#49257,.T.); #29557=FACE_OUTER_BOUND('',#49258,.T.); #29558=FACE_OUTER_BOUND('',#49259,.T.); #29559=FACE_OUTER_BOUND('',#49260,.T.); #29560=FACE_OUTER_BOUND('',#49261,.T.); #29561=FACE_OUTER_BOUND('',#49262,.T.); #29562=FACE_OUTER_BOUND('',#49263,.T.); #29563=FACE_OUTER_BOUND('',#49264,.T.); #29564=FACE_OUTER_BOUND('',#49265,.T.); #29565=FACE_OUTER_BOUND('',#49266,.T.); #29566=FACE_OUTER_BOUND('',#49267,.T.); #29567=FACE_OUTER_BOUND('',#49268,.T.); #29568=FACE_OUTER_BOUND('',#49269,.T.); #29569=FACE_OUTER_BOUND('',#49270,.T.); #29570=FACE_OUTER_BOUND('',#49271,.T.); #29571=FACE_OUTER_BOUND('',#49272,.T.); #29572=FACE_OUTER_BOUND('',#49273,.T.); #29573=FACE_OUTER_BOUND('',#49274,.T.); #29574=FACE_OUTER_BOUND('',#49275,.T.); #29575=FACE_OUTER_BOUND('',#49276,.T.); #29576=FACE_OUTER_BOUND('',#49278,.T.); #29577=FACE_OUTER_BOUND('',#49279,.T.); #29578=FACE_OUTER_BOUND('',#49281,.T.); #29579=FACE_OUTER_BOUND('',#49282,.T.); #29580=FACE_OUTER_BOUND('',#49283,.T.); #29581=FACE_OUTER_BOUND('',#49284,.T.); #29582=FACE_OUTER_BOUND('',#49285,.T.); #29583=FACE_OUTER_BOUND('',#49286,.T.); #29584=FACE_OUTER_BOUND('',#49287,.T.); #29585=FACE_OUTER_BOUND('',#49288,.T.); #29586=FACE_OUTER_BOUND('',#49289,.T.); #29587=FACE_OUTER_BOUND('',#49290,.T.); #29588=FACE_OUTER_BOUND('',#49291,.T.); #29589=FACE_OUTER_BOUND('',#49292,.T.); #29590=FACE_OUTER_BOUND('',#49294,.T.); #29591=FACE_OUTER_BOUND('',#49296,.T.); #29592=FACE_OUTER_BOUND('',#49297,.T.); #29593=FACE_OUTER_BOUND('',#49298,.T.); #29594=FACE_OUTER_BOUND('',#49299,.T.); #29595=FACE_OUTER_BOUND('',#49300,.T.); #29596=FACE_OUTER_BOUND('',#49301,.T.); #29597=FACE_OUTER_BOUND('',#49302,.T.); #29598=FACE_OUTER_BOUND('',#49303,.T.); #29599=FACE_OUTER_BOUND('',#49304,.T.); #29600=FACE_OUTER_BOUND('',#49305,.T.); #29601=FACE_OUTER_BOUND('',#49306,.T.); #29602=FACE_OUTER_BOUND('',#49307,.T.); #29603=FACE_OUTER_BOUND('',#49308,.T.); #29604=FACE_OUTER_BOUND('',#49309,.T.); #29605=FACE_OUTER_BOUND('',#49310,.T.); #29606=FACE_OUTER_BOUND('',#49311,.T.); #29607=FACE_OUTER_BOUND('',#49312,.T.); #29608=FACE_OUTER_BOUND('',#49313,.T.); #29609=FACE_OUTER_BOUND('',#49314,.T.); #29610=FACE_OUTER_BOUND('',#49315,.T.); #29611=FACE_OUTER_BOUND('',#49316,.T.); #29612=FACE_OUTER_BOUND('',#49317,.T.); #29613=FACE_OUTER_BOUND('',#49318,.T.); #29614=FACE_OUTER_BOUND('',#49319,.T.); #29615=FACE_OUTER_BOUND('',#49321,.T.); #29616=FACE_OUTER_BOUND('',#49323,.T.); #29617=FACE_OUTER_BOUND('',#49324,.T.); #29618=FACE_OUTER_BOUND('',#49325,.T.); #29619=FACE_OUTER_BOUND('',#49326,.T.); #29620=FACE_OUTER_BOUND('',#49327,.T.); #29621=FACE_OUTER_BOUND('',#49328,.T.); #29622=FACE_OUTER_BOUND('',#49330,.T.); #29623=FACE_OUTER_BOUND('',#49332,.T.); #29624=FACE_OUTER_BOUND('',#49333,.T.); #29625=FACE_OUTER_BOUND('',#49334,.T.); #29626=FACE_OUTER_BOUND('',#49335,.T.); #29627=FACE_OUTER_BOUND('',#49336,.T.); #29628=FACE_OUTER_BOUND('',#49337,.T.); #29629=FACE_OUTER_BOUND('',#49338,.T.); #29630=FACE_OUTER_BOUND('',#49339,.T.); #29631=FACE_OUTER_BOUND('',#49340,.T.); #29632=FACE_OUTER_BOUND('',#49341,.T.); #29633=FACE_OUTER_BOUND('',#49342,.T.); #29634=FACE_OUTER_BOUND('',#49343,.T.); #29635=FACE_OUTER_BOUND('',#49344,.T.); #29636=FACE_OUTER_BOUND('',#49345,.T.); #29637=FACE_OUTER_BOUND('',#49346,.T.); #29638=FACE_OUTER_BOUND('',#49347,.T.); #29639=FACE_OUTER_BOUND('',#49348,.T.); #29640=FACE_OUTER_BOUND('',#49349,.T.); #29641=FACE_OUTER_BOUND('',#49350,.T.); #29642=FACE_OUTER_BOUND('',#49351,.T.); #29643=FACE_OUTER_BOUND('',#49352,.T.); #29644=FACE_OUTER_BOUND('',#49353,.T.); #29645=FACE_OUTER_BOUND('',#49354,.T.); #29646=FACE_OUTER_BOUND('',#49355,.T.); #29647=FACE_OUTER_BOUND('',#49356,.T.); #29648=FACE_OUTER_BOUND('',#49357,.T.); #29649=FACE_OUTER_BOUND('',#49358,.T.); #29650=FACE_OUTER_BOUND('',#49359,.T.); #29651=FACE_OUTER_BOUND('',#49360,.T.); #29652=FACE_OUTER_BOUND('',#49361,.T.); #29653=FACE_OUTER_BOUND('',#49362,.T.); #29654=FACE_OUTER_BOUND('',#49365,.T.); #29655=FACE_OUTER_BOUND('',#49366,.T.); #29656=FACE_OUTER_BOUND('',#49367,.T.); #29657=FACE_OUTER_BOUND('',#49370,.T.); #29658=FACE_OUTER_BOUND('',#49371,.T.); #29659=FACE_OUTER_BOUND('',#49372,.T.); #29660=FACE_OUTER_BOUND('',#49373,.T.); #29661=FACE_OUTER_BOUND('',#49374,.T.); #29662=FACE_OUTER_BOUND('',#49375,.T.); #29663=FACE_OUTER_BOUND('',#49376,.T.); #29664=FACE_OUTER_BOUND('',#49377,.T.); #29665=FACE_OUTER_BOUND('',#49378,.T.); #29666=FACE_OUTER_BOUND('',#49379,.T.); #29667=FACE_OUTER_BOUND('',#49380,.T.); #29668=FACE_OUTER_BOUND('',#49381,.T.); #29669=FACE_OUTER_BOUND('',#49382,.T.); #29670=FACE_OUTER_BOUND('',#49383,.T.); #29671=FACE_OUTER_BOUND('',#49384,.T.); #29672=FACE_OUTER_BOUND('',#49385,.T.); #29673=FACE_OUTER_BOUND('',#49386,.T.); #29674=FACE_OUTER_BOUND('',#49387,.T.); #29675=FACE_OUTER_BOUND('',#49388,.T.); #29676=FACE_OUTER_BOUND('',#49389,.T.); #29677=FACE_OUTER_BOUND('',#49390,.T.); #29678=FACE_OUTER_BOUND('',#49391,.T.); #29679=FACE_OUTER_BOUND('',#49392,.T.); #29680=FACE_OUTER_BOUND('',#49393,.T.); #29681=FACE_OUTER_BOUND('',#49394,.T.); #29682=FACE_OUTER_BOUND('',#49395,.T.); #29683=FACE_OUTER_BOUND('',#49396,.T.); #29684=FACE_OUTER_BOUND('',#49397,.T.); #29685=FACE_OUTER_BOUND('',#49398,.T.); #29686=FACE_OUTER_BOUND('',#49399,.T.); #29687=FACE_OUTER_BOUND('',#49400,.T.); #29688=FACE_OUTER_BOUND('',#49401,.T.); #29689=FACE_OUTER_BOUND('',#49402,.T.); #29690=FACE_OUTER_BOUND('',#49403,.T.); #29691=FACE_OUTER_BOUND('',#49404,.T.); #29692=FACE_OUTER_BOUND('',#49405,.T.); #29693=FACE_OUTER_BOUND('',#49406,.T.); #29694=FACE_OUTER_BOUND('',#49407,.T.); #29695=FACE_OUTER_BOUND('',#49408,.T.); #29696=FACE_OUTER_BOUND('',#49409,.T.); #29697=FACE_OUTER_BOUND('',#49410,.T.); #29698=FACE_OUTER_BOUND('',#49411,.T.); #29699=FACE_OUTER_BOUND('',#49412,.T.); #29700=FACE_OUTER_BOUND('',#49413,.T.); #29701=FACE_OUTER_BOUND('',#49414,.T.); #29702=FACE_OUTER_BOUND('',#49415,.T.); #29703=FACE_OUTER_BOUND('',#49416,.T.); #29704=FACE_OUTER_BOUND('',#49417,.T.); #29705=FACE_OUTER_BOUND('',#49418,.T.); #29706=FACE_OUTER_BOUND('',#49419,.T.); #29707=FACE_OUTER_BOUND('',#49420,.T.); #29708=FACE_OUTER_BOUND('',#49421,.T.); #29709=FACE_OUTER_BOUND('',#49422,.T.); #29710=FACE_OUTER_BOUND('',#49423,.T.); #29711=FACE_OUTER_BOUND('',#49424,.T.); #29712=FACE_OUTER_BOUND('',#49425,.T.); #29713=FACE_OUTER_BOUND('',#49426,.T.); #29714=FACE_OUTER_BOUND('',#49427,.T.); #29715=FACE_OUTER_BOUND('',#49428,.T.); #29716=FACE_OUTER_BOUND('',#49429,.T.); #29717=FACE_OUTER_BOUND('',#49430,.T.); #29718=FACE_OUTER_BOUND('',#49431,.T.); #29719=FACE_OUTER_BOUND('',#49432,.T.); #29720=FACE_OUTER_BOUND('',#49433,.T.); #29721=FACE_OUTER_BOUND('',#49434,.T.); #29722=FACE_OUTER_BOUND('',#49435,.T.); #29723=FACE_OUTER_BOUND('',#49436,.T.); #29724=FACE_OUTER_BOUND('',#49437,.T.); #29725=FACE_OUTER_BOUND('',#49438,.T.); #29726=FACE_OUTER_BOUND('',#49439,.T.); #29727=FACE_OUTER_BOUND('',#49440,.T.); #29728=FACE_OUTER_BOUND('',#49441,.T.); #29729=FACE_OUTER_BOUND('',#49442,.T.); #29730=FACE_OUTER_BOUND('',#49443,.T.); #29731=FACE_OUTER_BOUND('',#49444,.T.); #29732=FACE_OUTER_BOUND('',#49445,.T.); #29733=FACE_OUTER_BOUND('',#49446,.T.); #29734=FACE_OUTER_BOUND('',#49447,.T.); #29735=FACE_OUTER_BOUND('',#49448,.T.); #29736=FACE_OUTER_BOUND('',#49449,.T.); #29737=FACE_OUTER_BOUND('',#49450,.T.); #29738=FACE_OUTER_BOUND('',#49451,.T.); #29739=FACE_OUTER_BOUND('',#49452,.T.); #29740=FACE_OUTER_BOUND('',#49453,.T.); #29741=FACE_OUTER_BOUND('',#49454,.T.); #29742=FACE_OUTER_BOUND('',#49455,.T.); #29743=FACE_OUTER_BOUND('',#49456,.T.); #29744=FACE_OUTER_BOUND('',#49457,.T.); #29745=FACE_OUTER_BOUND('',#49458,.T.); #29746=FACE_OUTER_BOUND('',#49459,.T.); #29747=FACE_OUTER_BOUND('',#49460,.T.); #29748=FACE_OUTER_BOUND('',#49461,.T.); #29749=FACE_OUTER_BOUND('',#49462,.T.); #29750=FACE_OUTER_BOUND('',#49463,.T.); #29751=FACE_OUTER_BOUND('',#49464,.T.); #29752=FACE_OUTER_BOUND('',#49465,.T.); #29753=FACE_OUTER_BOUND('',#49466,.T.); #29754=FACE_OUTER_BOUND('',#49467,.T.); #29755=FACE_OUTER_BOUND('',#49468,.T.); #29756=FACE_OUTER_BOUND('',#49469,.T.); #29757=FACE_OUTER_BOUND('',#49470,.T.); #29758=FACE_OUTER_BOUND('',#49471,.T.); #29759=FACE_OUTER_BOUND('',#49472,.T.); #29760=FACE_OUTER_BOUND('',#49473,.T.); #29761=FACE_OUTER_BOUND('',#49474,.T.); #29762=FACE_OUTER_BOUND('',#49475,.T.); #29763=FACE_OUTER_BOUND('',#49476,.T.); #29764=FACE_OUTER_BOUND('',#49477,.T.); #29765=FACE_OUTER_BOUND('',#49478,.T.); #29766=FACE_OUTER_BOUND('',#49479,.T.); #29767=FACE_OUTER_BOUND('',#49480,.T.); #29768=FACE_OUTER_BOUND('',#49481,.T.); #29769=FACE_OUTER_BOUND('',#49482,.T.); #29770=FACE_OUTER_BOUND('',#49483,.T.); #29771=FACE_OUTER_BOUND('',#49484,.T.); #29772=FACE_OUTER_BOUND('',#49485,.T.); #29773=FACE_OUTER_BOUND('',#49486,.T.); #29774=FACE_OUTER_BOUND('',#49487,.T.); #29775=FACE_OUTER_BOUND('',#49488,.T.); #29776=FACE_OUTER_BOUND('',#49489,.T.); #29777=FACE_OUTER_BOUND('',#49490,.T.); #29778=FACE_OUTER_BOUND('',#49491,.T.); #29779=FACE_OUTER_BOUND('',#49492,.T.); #29780=FACE_OUTER_BOUND('',#49493,.T.); #29781=FACE_OUTER_BOUND('',#49494,.T.); #29782=FACE_OUTER_BOUND('',#49495,.T.); #29783=FACE_OUTER_BOUND('',#49496,.T.); #29784=FACE_OUTER_BOUND('',#49497,.T.); #29785=FACE_OUTER_BOUND('',#49498,.T.); #29786=FACE_OUTER_BOUND('',#49499,.T.); #29787=FACE_OUTER_BOUND('',#49500,.T.); #29788=FACE_OUTER_BOUND('',#49501,.T.); #29789=FACE_OUTER_BOUND('',#49502,.T.); #29790=FACE_OUTER_BOUND('',#49503,.T.); #29791=FACE_OUTER_BOUND('',#49504,.T.); #29792=FACE_OUTER_BOUND('',#49505,.T.); #29793=FACE_OUTER_BOUND('',#49506,.T.); #29794=FACE_OUTER_BOUND('',#49507,.T.); #29795=FACE_OUTER_BOUND('',#49508,.T.); #29796=FACE_OUTER_BOUND('',#49509,.T.); #29797=FACE_OUTER_BOUND('',#49510,.T.); #29798=FACE_OUTER_BOUND('',#49511,.T.); #29799=FACE_OUTER_BOUND('',#49512,.T.); #29800=FACE_OUTER_BOUND('',#49513,.T.); #29801=FACE_OUTER_BOUND('',#49514,.T.); #29802=FACE_OUTER_BOUND('',#49515,.T.); #29803=FACE_OUTER_BOUND('',#49516,.T.); #29804=FACE_OUTER_BOUND('',#49517,.T.); #29805=FACE_OUTER_BOUND('',#49518,.T.); #29806=FACE_OUTER_BOUND('',#49519,.T.); #29807=FACE_OUTER_BOUND('',#49520,.T.); #29808=FACE_OUTER_BOUND('',#49521,.T.); #29809=FACE_OUTER_BOUND('',#49522,.T.); #29810=FACE_OUTER_BOUND('',#49523,.T.); #29811=FACE_OUTER_BOUND('',#49524,.T.); #29812=FACE_OUTER_BOUND('',#49525,.T.); #29813=FACE_OUTER_BOUND('',#49526,.T.); #29814=FACE_OUTER_BOUND('',#49527,.T.); #29815=FACE_OUTER_BOUND('',#49528,.T.); #29816=FACE_OUTER_BOUND('',#49529,.T.); #29817=FACE_OUTER_BOUND('',#49530,.T.); #29818=FACE_OUTER_BOUND('',#49537,.T.); #29819=FACE_OUTER_BOUND('',#49544,.T.); #29820=FACE_OUTER_BOUND('',#49545,.T.); #29821=FACE_OUTER_BOUND('',#49546,.T.); #29822=FACE_OUTER_BOUND('',#49547,.T.); #29823=FACE_OUTER_BOUND('',#49548,.T.); #29824=FACE_OUTER_BOUND('',#49549,.T.); #29825=FACE_OUTER_BOUND('',#49550,.T.); #29826=FACE_OUTER_BOUND('',#49551,.T.); #29827=FACE_OUTER_BOUND('',#49552,.T.); #29828=FACE_OUTER_BOUND('',#49553,.T.); #29829=FACE_OUTER_BOUND('',#49554,.T.); #29830=FACE_OUTER_BOUND('',#49555,.T.); #29831=FACE_OUTER_BOUND('',#49556,.T.); #29832=FACE_OUTER_BOUND('',#49557,.T.); #29833=FACE_OUTER_BOUND('',#49558,.T.); #29834=FACE_OUTER_BOUND('',#49559,.T.); #29835=FACE_OUTER_BOUND('',#49560,.T.); #29836=FACE_OUTER_BOUND('',#49561,.T.); #29837=FACE_OUTER_BOUND('',#49562,.T.); #29838=FACE_OUTER_BOUND('',#49563,.T.); #29839=FACE_OUTER_BOUND('',#49564,.T.); #29840=FACE_OUTER_BOUND('',#49565,.T.); #29841=FACE_OUTER_BOUND('',#49566,.T.); #29842=FACE_OUTER_BOUND('',#49567,.T.); #29843=FACE_OUTER_BOUND('',#49568,.T.); #29844=FACE_OUTER_BOUND('',#49569,.T.); #29845=FACE_OUTER_BOUND('',#49570,.T.); #29846=FACE_OUTER_BOUND('',#49571,.T.); #29847=FACE_OUTER_BOUND('',#49572,.T.); #29848=FACE_OUTER_BOUND('',#49573,.T.); #29849=FACE_OUTER_BOUND('',#49574,.T.); #29850=FACE_OUTER_BOUND('',#49575,.T.); #29851=FACE_OUTER_BOUND('',#49576,.T.); #29852=FACE_OUTER_BOUND('',#49577,.T.); #29853=FACE_OUTER_BOUND('',#49578,.T.); #29854=FACE_OUTER_BOUND('',#49579,.T.); #29855=FACE_OUTER_BOUND('',#49580,.T.); #29856=FACE_OUTER_BOUND('',#49581,.T.); #29857=FACE_OUTER_BOUND('',#49582,.T.); #29858=FACE_OUTER_BOUND('',#49583,.T.); #29859=FACE_OUTER_BOUND('',#49584,.T.); #29860=FACE_OUTER_BOUND('',#49585,.T.); #29861=FACE_OUTER_BOUND('',#49586,.T.); #29862=FACE_OUTER_BOUND('',#49587,.T.); #29863=FACE_OUTER_BOUND('',#49588,.T.); #29864=FACE_OUTER_BOUND('',#49589,.T.); #29865=FACE_OUTER_BOUND('',#49590,.T.); #29866=FACE_OUTER_BOUND('',#49591,.T.); #29867=FACE_OUTER_BOUND('',#49592,.T.); #29868=FACE_OUTER_BOUND('',#49593,.T.); #29869=FACE_OUTER_BOUND('',#49594,.T.); #29870=FACE_OUTER_BOUND('',#49595,.T.); #29871=FACE_OUTER_BOUND('',#49596,.T.); #29872=FACE_OUTER_BOUND('',#49598,.T.); #29873=FACE_OUTER_BOUND('',#49600,.T.); #29874=FACE_OUTER_BOUND('',#49601,.T.); #29875=FACE_OUTER_BOUND('',#49602,.T.); #29876=FACE_OUTER_BOUND('',#49603,.T.); #29877=FACE_OUTER_BOUND('',#49604,.T.); #29878=FACE_OUTER_BOUND('',#49605,.T.); #29879=FACE_OUTER_BOUND('',#49606,.T.); #29880=FACE_OUTER_BOUND('',#49607,.T.); #29881=FACE_OUTER_BOUND('',#49608,.T.); #29882=FACE_OUTER_BOUND('',#49609,.T.); #29883=FACE_OUTER_BOUND('',#49610,.T.); #29884=FACE_OUTER_BOUND('',#49611,.T.); #29885=FACE_OUTER_BOUND('',#49612,.T.); #29886=FACE_OUTER_BOUND('',#49613,.T.); #29887=FACE_OUTER_BOUND('',#49614,.T.); #29888=FACE_OUTER_BOUND('',#49615,.T.); #29889=FACE_OUTER_BOUND('',#49616,.T.); #29890=FACE_OUTER_BOUND('',#49617,.T.); #29891=FACE_OUTER_BOUND('',#49618,.T.); #29892=FACE_OUTER_BOUND('',#49621,.T.); #29893=FACE_OUTER_BOUND('',#49624,.T.); #29894=FACE_OUTER_BOUND('',#49625,.T.); #29895=FACE_OUTER_BOUND('',#49626,.T.); #29896=FACE_OUTER_BOUND('',#49627,.T.); #29897=FACE_OUTER_BOUND('',#49628,.T.); #29898=FACE_OUTER_BOUND('',#49629,.T.); #29899=FACE_OUTER_BOUND('',#49630,.T.); #29900=FACE_OUTER_BOUND('',#49631,.T.); #29901=FACE_OUTER_BOUND('',#49632,.T.); #29902=FACE_OUTER_BOUND('',#49633,.T.); #29903=FACE_OUTER_BOUND('',#49634,.T.); #29904=FACE_OUTER_BOUND('',#49635,.T.); #29905=FACE_OUTER_BOUND('',#49636,.T.); #29906=FACE_OUTER_BOUND('',#49639,.T.); #29907=FACE_OUTER_BOUND('',#49642,.T.); #29908=FACE_OUTER_BOUND('',#49643,.T.); #29909=FACE_OUTER_BOUND('',#49644,.T.); #29910=FACE_OUTER_BOUND('',#49645,.T.); #29911=FACE_OUTER_BOUND('',#49646,.T.); #29912=FACE_OUTER_BOUND('',#49647,.T.); #29913=FACE_OUTER_BOUND('',#49648,.T.); #29914=FACE_OUTER_BOUND('',#49649,.T.); #29915=FACE_OUTER_BOUND('',#49650,.T.); #29916=FACE_OUTER_BOUND('',#49651,.T.); #29917=FACE_OUTER_BOUND('',#49652,.T.); #29918=FACE_OUTER_BOUND('',#49653,.T.); #29919=FACE_OUTER_BOUND('',#49654,.T.); #29920=FACE_OUTER_BOUND('',#49657,.T.); #29921=FACE_OUTER_BOUND('',#49660,.T.); #29922=FACE_OUTER_BOUND('',#49661,.T.); #29923=FACE_OUTER_BOUND('',#49662,.T.); #29924=FACE_OUTER_BOUND('',#49663,.T.); #29925=FACE_OUTER_BOUND('',#49664,.T.); #29926=FACE_OUTER_BOUND('',#49665,.T.); #29927=FACE_OUTER_BOUND('',#49666,.T.); #29928=FACE_OUTER_BOUND('',#49667,.T.); #29929=FACE_OUTER_BOUND('',#49668,.T.); #29930=FACE_OUTER_BOUND('',#49669,.T.); #29931=FACE_OUTER_BOUND('',#49670,.T.); #29932=FACE_OUTER_BOUND('',#49671,.T.); #29933=FACE_OUTER_BOUND('',#49672,.T.); #29934=FACE_OUTER_BOUND('',#49675,.T.); #29935=FACE_OUTER_BOUND('',#49678,.T.); #29936=FACE_OUTER_BOUND('',#49679,.T.); #29937=FACE_OUTER_BOUND('',#49680,.T.); #29938=FACE_OUTER_BOUND('',#49681,.T.); #29939=FACE_OUTER_BOUND('',#49682,.T.); #29940=FACE_OUTER_BOUND('',#49683,.T.); #29941=FACE_OUTER_BOUND('',#49684,.T.); #29942=FACE_OUTER_BOUND('',#49685,.T.); #29943=FACE_OUTER_BOUND('',#49686,.T.); #29944=FACE_OUTER_BOUND('',#49687,.T.); #29945=FACE_OUTER_BOUND('',#49688,.T.); #29946=FACE_OUTER_BOUND('',#49689,.T.); #29947=FACE_OUTER_BOUND('',#49690,.T.); #29948=FACE_OUTER_BOUND('',#49691,.T.); #29949=FACE_OUTER_BOUND('',#49692,.T.); #29950=FACE_OUTER_BOUND('',#49693,.T.); #29951=FACE_OUTER_BOUND('',#49694,.T.); #29952=FACE_OUTER_BOUND('',#49695,.T.); #29953=FACE_OUTER_BOUND('',#49696,.T.); #29954=FACE_OUTER_BOUND('',#49697,.T.); #29955=FACE_OUTER_BOUND('',#49698,.T.); #29956=FACE_OUTER_BOUND('',#49699,.T.); #29957=FACE_OUTER_BOUND('',#49700,.T.); #29958=FACE_OUTER_BOUND('',#49701,.T.); #29959=FACE_OUTER_BOUND('',#49702,.T.); #29960=FACE_OUTER_BOUND('',#49703,.T.); #29961=FACE_OUTER_BOUND('',#49704,.T.); #29962=FACE_OUTER_BOUND('',#49705,.T.); #29963=FACE_OUTER_BOUND('',#49706,.T.); #29964=FACE_OUTER_BOUND('',#49707,.T.); #29965=FACE_OUTER_BOUND('',#49708,.T.); #29966=FACE_OUTER_BOUND('',#49709,.T.); #29967=FACE_OUTER_BOUND('',#49710,.T.); #29968=FACE_OUTER_BOUND('',#49711,.T.); #29969=FACE_OUTER_BOUND('',#49712,.T.); #29970=FACE_OUTER_BOUND('',#49713,.T.); #29971=FACE_OUTER_BOUND('',#49714,.T.); #29972=FACE_OUTER_BOUND('',#49715,.T.); #29973=FACE_OUTER_BOUND('',#49716,.T.); #29974=FACE_OUTER_BOUND('',#49717,.T.); #29975=FACE_OUTER_BOUND('',#49718,.T.); #29976=FACE_OUTER_BOUND('',#49719,.T.); #29977=FACE_OUTER_BOUND('',#49720,.T.); #29978=FACE_OUTER_BOUND('',#49721,.T.); #29979=FACE_OUTER_BOUND('',#49722,.T.); #29980=FACE_OUTER_BOUND('',#49723,.T.); #29981=FACE_OUTER_BOUND('',#49724,.T.); #29982=FACE_OUTER_BOUND('',#49725,.T.); #29983=FACE_OUTER_BOUND('',#49726,.T.); #29984=FACE_OUTER_BOUND('',#49727,.T.); #29985=FACE_OUTER_BOUND('',#49728,.T.); #29986=FACE_OUTER_BOUND('',#49729,.T.); #29987=FACE_OUTER_BOUND('',#49730,.T.); #29988=FACE_OUTER_BOUND('',#49731,.T.); #29989=FACE_OUTER_BOUND('',#49732,.T.); #29990=FACE_OUTER_BOUND('',#49733,.T.); #29991=FACE_OUTER_BOUND('',#49734,.T.); #29992=FACE_OUTER_BOUND('',#49735,.T.); #29993=FACE_OUTER_BOUND('',#49736,.T.); #29994=FACE_OUTER_BOUND('',#49737,.T.); #29995=FACE_OUTER_BOUND('',#49738,.T.); #29996=FACE_OUTER_BOUND('',#49739,.T.); #29997=FACE_OUTER_BOUND('',#49740,.T.); #29998=FACE_OUTER_BOUND('',#49741,.T.); #29999=FACE_OUTER_BOUND('',#49742,.T.); #30000=FACE_OUTER_BOUND('',#49743,.T.); #30001=FACE_OUTER_BOUND('',#49744,.T.); #30002=FACE_OUTER_BOUND('',#49745,.T.); #30003=FACE_OUTER_BOUND('',#49746,.T.); #30004=FACE_OUTER_BOUND('',#49747,.T.); #30005=FACE_OUTER_BOUND('',#49748,.T.); #30006=FACE_OUTER_BOUND('',#49749,.T.); #30007=FACE_OUTER_BOUND('',#49750,.T.); #30008=FACE_OUTER_BOUND('',#49751,.T.); #30009=FACE_OUTER_BOUND('',#49752,.T.); #30010=FACE_OUTER_BOUND('',#49753,.T.); #30011=FACE_OUTER_BOUND('',#49754,.T.); #30012=FACE_OUTER_BOUND('',#49757,.T.); #30013=FACE_OUTER_BOUND('',#49760,.T.); #30014=FACE_OUTER_BOUND('',#49761,.T.); #30015=FACE_OUTER_BOUND('',#49762,.T.); #30016=FACE_OUTER_BOUND('',#49763,.T.); #30017=FACE_OUTER_BOUND('',#49764,.T.); #30018=FACE_OUTER_BOUND('',#49765,.T.); #30019=FACE_OUTER_BOUND('',#49766,.T.); #30020=FACE_OUTER_BOUND('',#49767,.T.); #30021=FACE_OUTER_BOUND('',#49768,.T.); #30022=FACE_OUTER_BOUND('',#49769,.T.); #30023=FACE_OUTER_BOUND('',#49770,.T.); #30024=FACE_OUTER_BOUND('',#49771,.T.); #30025=FACE_OUTER_BOUND('',#49773,.T.); #30026=FACE_OUTER_BOUND('',#49775,.T.); #30027=FACE_OUTER_BOUND('',#49776,.T.); #30028=FACE_OUTER_BOUND('',#49777,.T.); #30029=FACE_OUTER_BOUND('',#49778,.T.); #30030=FACE_OUTER_BOUND('',#49779,.T.); #30031=FACE_OUTER_BOUND('',#49780,.T.); #30032=FACE_OUTER_BOUND('',#49781,.T.); #30033=FACE_OUTER_BOUND('',#49782,.T.); #30034=FACE_OUTER_BOUND('',#49783,.T.); #30035=FACE_OUTER_BOUND('',#49784,.T.); #30036=FACE_OUTER_BOUND('',#49785,.T.); #30037=FACE_OUTER_BOUND('',#49786,.T.); #30038=FACE_OUTER_BOUND('',#49787,.T.); #30039=FACE_OUTER_BOUND('',#49788,.T.); #30040=FACE_OUTER_BOUND('',#49789,.T.); #30041=FACE_OUTER_BOUND('',#49791,.T.); #30042=FACE_OUTER_BOUND('',#49793,.T.); #30043=FACE_OUTER_BOUND('',#49794,.T.); #30044=FACE_OUTER_BOUND('',#49795,.T.); #30045=FACE_OUTER_BOUND('',#49796,.T.); #30046=FACE_OUTER_BOUND('',#49797,.T.); #30047=FACE_OUTER_BOUND('',#49798,.T.); #30048=FACE_OUTER_BOUND('',#49799,.T.); #30049=FACE_OUTER_BOUND('',#49800,.T.); #30050=FACE_OUTER_BOUND('',#49801,.T.); #30051=FACE_OUTER_BOUND('',#49802,.T.); #30052=FACE_OUTER_BOUND('',#49803,.T.); #30053=FACE_OUTER_BOUND('',#49804,.T.); #30054=FACE_OUTER_BOUND('',#49805,.T.); #30055=FACE_OUTER_BOUND('',#49806,.T.); #30056=FACE_OUTER_BOUND('',#49807,.T.); #30057=FACE_OUTER_BOUND('',#49808,.T.); #30058=FACE_OUTER_BOUND('',#49809,.T.); #30059=FACE_OUTER_BOUND('',#49810,.T.); #30060=FACE_OUTER_BOUND('',#49811,.T.); #30061=FACE_OUTER_BOUND('',#49812,.T.); #30062=FACE_OUTER_BOUND('',#49813,.T.); #30063=FACE_OUTER_BOUND('',#49814,.T.); #30064=FACE_OUTER_BOUND('',#49815,.T.); #30065=FACE_OUTER_BOUND('',#49816,.T.); #30066=FACE_OUTER_BOUND('',#49817,.T.); #30067=FACE_OUTER_BOUND('',#49818,.T.); #30068=FACE_OUTER_BOUND('',#49819,.T.); #30069=FACE_OUTER_BOUND('',#49820,.T.); #30070=FACE_OUTER_BOUND('',#49821,.T.); #30071=FACE_OUTER_BOUND('',#49822,.T.); #30072=FACE_OUTER_BOUND('',#49823,.T.); #30073=FACE_OUTER_BOUND('',#49824,.T.); #30074=FACE_OUTER_BOUND('',#49825,.T.); #30075=FACE_OUTER_BOUND('',#49826,.T.); #30076=FACE_OUTER_BOUND('',#49827,.T.); #30077=FACE_OUTER_BOUND('',#49828,.T.); #30078=FACE_OUTER_BOUND('',#49829,.T.); #30079=FACE_OUTER_BOUND('',#49830,.T.); #30080=FACE_OUTER_BOUND('',#49831,.T.); #30081=FACE_OUTER_BOUND('',#49832,.T.); #30082=FACE_OUTER_BOUND('',#49833,.T.); #30083=FACE_OUTER_BOUND('',#49834,.T.); #30084=FACE_OUTER_BOUND('',#49835,.T.); #30085=FACE_OUTER_BOUND('',#49836,.T.); #30086=FACE_OUTER_BOUND('',#49837,.T.); #30087=FACE_OUTER_BOUND('',#49838,.T.); #30088=FACE_OUTER_BOUND('',#49839,.T.); #30089=FACE_OUTER_BOUND('',#49842,.T.); #30090=FACE_OUTER_BOUND('',#49845,.T.); #30091=FACE_OUTER_BOUND('',#49846,.T.); #30092=FACE_OUTER_BOUND('',#49847,.T.); #30093=FACE_OUTER_BOUND('',#49848,.T.); #30094=FACE_OUTER_BOUND('',#49849,.T.); #30095=FACE_OUTER_BOUND('',#49850,.T.); #30096=FACE_OUTER_BOUND('',#49851,.T.); #30097=FACE_OUTER_BOUND('',#49852,.T.); #30098=FACE_OUTER_BOUND('',#49853,.T.); #30099=FACE_OUTER_BOUND('',#49854,.T.); #30100=FACE_OUTER_BOUND('',#49855,.T.); #30101=FACE_OUTER_BOUND('',#49856,.T.); #30102=FACE_OUTER_BOUND('',#49857,.T.); #30103=FACE_OUTER_BOUND('',#49858,.T.); #30104=FACE_OUTER_BOUND('',#49861,.T.); #30105=FACE_OUTER_BOUND('',#49864,.T.); #30106=FACE_OUTER_BOUND('',#49865,.T.); #30107=FACE_OUTER_BOUND('',#49866,.T.); #30108=FACE_OUTER_BOUND('',#49867,.T.); #30109=FACE_OUTER_BOUND('',#49868,.T.); #30110=FACE_OUTER_BOUND('',#49869,.T.); #30111=FACE_OUTER_BOUND('',#49870,.T.); #30112=FACE_OUTER_BOUND('',#49871,.T.); #30113=FACE_OUTER_BOUND('',#49872,.T.); #30114=FACE_OUTER_BOUND('',#49873,.T.); #30115=FACE_OUTER_BOUND('',#49874,.T.); #30116=FACE_OUTER_BOUND('',#49875,.T.); #30117=FACE_OUTER_BOUND('',#49876,.T.); #30118=FACE_OUTER_BOUND('',#49877,.T.); #30119=FACE_OUTER_BOUND('',#49878,.T.); #30120=FACE_OUTER_BOUND('',#49879,.T.); #30121=FACE_OUTER_BOUND('',#49880,.T.); #30122=FACE_OUTER_BOUND('',#49881,.T.); #30123=FACE_OUTER_BOUND('',#49882,.T.); #30124=FACE_OUTER_BOUND('',#49883,.T.); #30125=FACE_OUTER_BOUND('',#49884,.T.); #30126=FACE_OUTER_BOUND('',#49885,.T.); #30127=FACE_OUTER_BOUND('',#49886,.T.); #30128=FACE_OUTER_BOUND('',#49887,.T.); #30129=FACE_OUTER_BOUND('',#49888,.T.); #30130=FACE_OUTER_BOUND('',#49889,.T.); #30131=FACE_OUTER_BOUND('',#49891,.T.); #30132=FACE_OUTER_BOUND('',#49893,.T.); #30133=FACE_OUTER_BOUND('',#49894,.T.); #30134=FACE_OUTER_BOUND('',#49895,.T.); #30135=FACE_OUTER_BOUND('',#49896,.T.); #30136=FACE_OUTER_BOUND('',#49897,.T.); #30137=FACE_OUTER_BOUND('',#49898,.T.); #30138=FACE_OUTER_BOUND('',#49899,.T.); #30139=FACE_OUTER_BOUND('',#49900,.T.); #30140=FACE_OUTER_BOUND('',#49901,.T.); #30141=FACE_OUTER_BOUND('',#49902,.T.); #30142=FACE_OUTER_BOUND('',#49903,.T.); #30143=FACE_OUTER_BOUND('',#49904,.T.); #30144=FACE_OUTER_BOUND('',#49905,.T.); #30145=FACE_OUTER_BOUND('',#49906,.T.); #30146=FACE_OUTER_BOUND('',#49907,.T.); #30147=FACE_OUTER_BOUND('',#49908,.T.); #30148=FACE_OUTER_BOUND('',#49909,.T.); #30149=FACE_OUTER_BOUND('',#49910,.T.); #30150=FACE_OUTER_BOUND('',#49911,.T.); #30151=FACE_OUTER_BOUND('',#49912,.T.); #30152=FACE_OUTER_BOUND('',#49913,.T.); #30153=FACE_OUTER_BOUND('',#49914,.T.); #30154=FACE_OUTER_BOUND('',#49915,.T.); #30155=FACE_OUTER_BOUND('',#49916,.T.); #30156=FACE_OUTER_BOUND('',#49917,.T.); #30157=FACE_OUTER_BOUND('',#49918,.T.); #30158=FACE_OUTER_BOUND('',#49919,.T.); #30159=FACE_OUTER_BOUND('',#49920,.T.); #30160=FACE_OUTER_BOUND('',#49922,.T.); #30161=FACE_OUTER_BOUND('',#49924,.T.); #30162=FACE_OUTER_BOUND('',#49925,.T.); #30163=FACE_OUTER_BOUND('',#49926,.T.); #30164=FACE_OUTER_BOUND('',#49927,.T.); #30165=FACE_OUTER_BOUND('',#49928,.T.); #30166=FACE_OUTER_BOUND('',#49929,.T.); #30167=FACE_OUTER_BOUND('',#49930,.T.); #30168=FACE_OUTER_BOUND('',#49931,.T.); #30169=FACE_OUTER_BOUND('',#49932,.T.); #30170=FACE_OUTER_BOUND('',#49933,.T.); #30171=FACE_OUTER_BOUND('',#49934,.T.); #30172=FACE_OUTER_BOUND('',#49935,.T.); #30173=FACE_OUTER_BOUND('',#49936,.T.); #30174=FACE_OUTER_BOUND('',#49937,.T.); #30175=FACE_OUTER_BOUND('',#49938,.T.); #30176=FACE_OUTER_BOUND('',#49939,.T.); #30177=FACE_OUTER_BOUND('',#49940,.T.); #30178=FACE_OUTER_BOUND('',#49941,.T.); #30179=FACE_OUTER_BOUND('',#49942,.T.); #30180=FACE_OUTER_BOUND('',#49943,.T.); #30181=FACE_OUTER_BOUND('',#49944,.T.); #30182=FACE_OUTER_BOUND('',#49945,.T.); #30183=FACE_OUTER_BOUND('',#49946,.T.); #30184=FACE_OUTER_BOUND('',#49947,.T.); #30185=FACE_OUTER_BOUND('',#49948,.T.); #30186=FACE_OUTER_BOUND('',#49949,.T.); #30187=FACE_OUTER_BOUND('',#49950,.T.); #30188=FACE_OUTER_BOUND('',#49951,.T.); #30189=FACE_OUTER_BOUND('',#49952,.T.); #30190=FACE_OUTER_BOUND('',#49953,.T.); #30191=FACE_OUTER_BOUND('',#49954,.T.); #30192=FACE_OUTER_BOUND('',#49955,.T.); #30193=FACE_OUTER_BOUND('',#49956,.T.); #30194=FACE_OUTER_BOUND('',#49957,.T.); #30195=FACE_OUTER_BOUND('',#49958,.T.); #30196=FACE_OUTER_BOUND('',#49959,.T.); #30197=FACE_OUTER_BOUND('',#49960,.T.); #30198=FACE_OUTER_BOUND('',#49961,.T.); #30199=FACE_OUTER_BOUND('',#49962,.T.); #30200=FACE_OUTER_BOUND('',#49963,.T.); #30201=FACE_OUTER_BOUND('',#49964,.T.); #30202=FACE_OUTER_BOUND('',#49965,.T.); #30203=FACE_OUTER_BOUND('',#49966,.T.); #30204=FACE_OUTER_BOUND('',#49967,.T.); #30205=FACE_OUTER_BOUND('',#49968,.T.); #30206=FACE_OUTER_BOUND('',#49969,.T.); #30207=FACE_OUTER_BOUND('',#49970,.T.); #30208=FACE_OUTER_BOUND('',#49971,.T.); #30209=FACE_OUTER_BOUND('',#49972,.T.); #30210=FACE_OUTER_BOUND('',#49973,.T.); #30211=FACE_OUTER_BOUND('',#49974,.T.); #30212=FACE_OUTER_BOUND('',#49975,.T.); #30213=FACE_OUTER_BOUND('',#49976,.T.); #30214=FACE_OUTER_BOUND('',#49977,.T.); #30215=FACE_OUTER_BOUND('',#49978,.T.); #30216=FACE_OUTER_BOUND('',#49979,.T.); #30217=FACE_OUTER_BOUND('',#49980,.T.); #30218=FACE_OUTER_BOUND('',#49981,.T.); #30219=FACE_OUTER_BOUND('',#49982,.T.); #30220=FACE_OUTER_BOUND('',#49983,.T.); #30221=FACE_OUTER_BOUND('',#49984,.T.); #30222=FACE_OUTER_BOUND('',#49985,.T.); #30223=FACE_OUTER_BOUND('',#49986,.T.); #30224=FACE_OUTER_BOUND('',#49987,.T.); #30225=FACE_OUTER_BOUND('',#49990,.T.); #30226=FACE_OUTER_BOUND('',#49993,.T.); #30227=FACE_OUTER_BOUND('',#49994,.T.); #30228=FACE_OUTER_BOUND('',#49995,.T.); #30229=FACE_OUTER_BOUND('',#49996,.T.); #30230=FACE_OUTER_BOUND('',#49997,.T.); #30231=FACE_OUTER_BOUND('',#49998,.T.); #30232=FACE_OUTER_BOUND('',#49999,.T.); #30233=FACE_OUTER_BOUND('',#50000,.T.); #30234=FACE_OUTER_BOUND('',#50001,.T.); #30235=FACE_OUTER_BOUND('',#50002,.T.); #30236=FACE_OUTER_BOUND('',#50003,.T.); #30237=FACE_OUTER_BOUND('',#50006,.T.); #30238=FACE_OUTER_BOUND('',#50009,.T.); #30239=FACE_OUTER_BOUND('',#50010,.T.); #30240=FACE_OUTER_BOUND('',#50011,.T.); #30241=FACE_OUTER_BOUND('',#50012,.T.); #30242=FACE_OUTER_BOUND('',#50013,.T.); #30243=FACE_OUTER_BOUND('',#50014,.T.); #30244=FACE_OUTER_BOUND('',#50015,.T.); #30245=FACE_OUTER_BOUND('',#50016,.T.); #30246=FACE_OUTER_BOUND('',#50017,.T.); #30247=FACE_OUTER_BOUND('',#50018,.T.); #30248=FACE_OUTER_BOUND('',#50019,.T.); #30249=FACE_OUTER_BOUND('',#50022,.T.); #30250=FACE_OUTER_BOUND('',#50025,.T.); #30251=FACE_OUTER_BOUND('',#50026,.T.); #30252=FACE_OUTER_BOUND('',#50027,.T.); #30253=FACE_OUTER_BOUND('',#50028,.T.); #30254=FACE_OUTER_BOUND('',#50029,.T.); #30255=FACE_OUTER_BOUND('',#50030,.T.); #30256=FACE_OUTER_BOUND('',#50031,.T.); #30257=FACE_OUTER_BOUND('',#50032,.T.); #30258=FACE_OUTER_BOUND('',#50033,.T.); #30259=FACE_OUTER_BOUND('',#50034,.T.); #30260=FACE_OUTER_BOUND('',#50035,.T.); #30261=FACE_OUTER_BOUND('',#50038,.T.); #30262=FACE_OUTER_BOUND('',#50041,.T.); #30263=FACE_OUTER_BOUND('',#50042,.T.); #30264=FACE_OUTER_BOUND('',#50043,.T.); #30265=FACE_OUTER_BOUND('',#50044,.T.); #30266=FACE_OUTER_BOUND('',#50045,.T.); #30267=FACE_OUTER_BOUND('',#50046,.T.); #30268=FACE_OUTER_BOUND('',#50047,.T.); #30269=FACE_OUTER_BOUND('',#50048,.T.); #30270=FACE_OUTER_BOUND('',#50049,.T.); #30271=FACE_OUTER_BOUND('',#50050,.T.); #30272=FACE_OUTER_BOUND('',#50051,.T.); #30273=FACE_OUTER_BOUND('',#50052,.T.); #30274=FACE_OUTER_BOUND('',#50053,.T.); #30275=FACE_OUTER_BOUND('',#50054,.T.); #30276=FACE_OUTER_BOUND('',#50055,.T.); #30277=FACE_OUTER_BOUND('',#50056,.T.); #30278=FACE_OUTER_BOUND('',#50059,.T.); #30279=FACE_OUTER_BOUND('',#50062,.T.); #30280=FACE_OUTER_BOUND('',#50063,.T.); #30281=FACE_OUTER_BOUND('',#50064,.T.); #30282=FACE_OUTER_BOUND('',#50065,.T.); #30283=FACE_OUTER_BOUND('',#50066,.T.); #30284=FACE_OUTER_BOUND('',#50067,.T.); #30285=FACE_OUTER_BOUND('',#50069,.T.); #30286=FACE_OUTER_BOUND('',#50071,.T.); #30287=FACE_OUTER_BOUND('',#50072,.T.); #30288=FACE_OUTER_BOUND('',#50073,.T.); #30289=FACE_OUTER_BOUND('',#50074,.T.); #30290=FACE_OUTER_BOUND('',#50075,.T.); #30291=FACE_OUTER_BOUND('',#50076,.T.); #30292=FACE_OUTER_BOUND('',#50078,.T.); #30293=FACE_OUTER_BOUND('',#50080,.T.); #30294=FACE_OUTER_BOUND('',#50081,.T.); #30295=FACE_OUTER_BOUND('',#50082,.T.); #30296=FACE_OUTER_BOUND('',#50083,.T.); #30297=FACE_OUTER_BOUND('',#50084,.T.); #30298=FACE_OUTER_BOUND('',#50085,.T.); #30299=FACE_OUTER_BOUND('',#50087,.T.); #30300=FACE_OUTER_BOUND('',#50089,.T.); #30301=FACE_OUTER_BOUND('',#50090,.T.); #30302=FACE_OUTER_BOUND('',#50091,.T.); #30303=FACE_OUTER_BOUND('',#50092,.T.); #30304=FACE_OUTER_BOUND('',#50093,.T.); #30305=FACE_OUTER_BOUND('',#50094,.T.); #30306=FACE_OUTER_BOUND('',#50095,.T.); #30307=FACE_OUTER_BOUND('',#50096,.T.); #30308=FACE_OUTER_BOUND('',#50097,.T.); #30309=FACE_OUTER_BOUND('',#50098,.T.); #30310=FACE_OUTER_BOUND('',#50099,.T.); #30311=FACE_OUTER_BOUND('',#50100,.T.); #30312=FACE_OUTER_BOUND('',#50102,.T.); #30313=FACE_OUTER_BOUND('',#50104,.T.); #30314=FACE_OUTER_BOUND('',#50105,.T.); #30315=FACE_OUTER_BOUND('',#50106,.T.); #30316=FACE_OUTER_BOUND('',#50107,.T.); #30317=FACE_OUTER_BOUND('',#50108,.T.); #30318=FACE_OUTER_BOUND('',#50109,.T.); #30319=FACE_OUTER_BOUND('',#50110,.T.); #30320=FACE_OUTER_BOUND('',#50111,.T.); #30321=FACE_OUTER_BOUND('',#50112,.T.); #30322=FACE_OUTER_BOUND('',#50113,.T.); #30323=FACE_OUTER_BOUND('',#50116,.T.); #30324=FACE_OUTER_BOUND('',#50119,.T.); #30325=FACE_OUTER_BOUND('',#50120,.T.); #30326=FACE_OUTER_BOUND('',#50121,.T.); #30327=FACE_OUTER_BOUND('',#50122,.T.); #30328=FACE_OUTER_BOUND('',#50123,.T.); #30329=FACE_OUTER_BOUND('',#50124,.T.); #30330=FACE_OUTER_BOUND('',#50125,.T.); #30331=FACE_OUTER_BOUND('',#50126,.T.); #30332=FACE_OUTER_BOUND('',#50127,.T.); #30333=FACE_OUTER_BOUND('',#50128,.T.); #30334=FACE_OUTER_BOUND('',#50129,.T.); #30335=FACE_OUTER_BOUND('',#50130,.T.); #30336=FACE_OUTER_BOUND('',#50132,.T.); #30337=FACE_OUTER_BOUND('',#50134,.T.); #30338=FACE_OUTER_BOUND('',#50135,.T.); #30339=FACE_OUTER_BOUND('',#50136,.T.); #30340=FACE_OUTER_BOUND('',#50137,.T.); #30341=FACE_OUTER_BOUND('',#50138,.T.); #30342=FACE_OUTER_BOUND('',#50139,.T.); #30343=FACE_OUTER_BOUND('',#50140,.T.); #30344=FACE_OUTER_BOUND('',#50141,.T.); #30345=FACE_OUTER_BOUND('',#50142,.T.); #30346=FACE_OUTER_BOUND('',#50143,.T.); #30347=FACE_OUTER_BOUND('',#50146,.T.); #30348=FACE_OUTER_BOUND('',#50149,.T.); #30349=FACE_OUTER_BOUND('',#50150,.T.); #30350=FACE_OUTER_BOUND('',#50151,.T.); #30351=FACE_OUTER_BOUND('',#50152,.T.); #30352=FACE_OUTER_BOUND('',#50153,.T.); #30353=FACE_OUTER_BOUND('',#50154,.T.); #30354=FACE_OUTER_BOUND('',#50155,.T.); #30355=FACE_OUTER_BOUND('',#50156,.T.); #30356=FACE_OUTER_BOUND('',#50157,.T.); #30357=FACE_OUTER_BOUND('',#50158,.T.); #30358=FACE_OUTER_BOUND('',#50159,.T.); #30359=FACE_OUTER_BOUND('',#50160,.T.); #30360=FACE_OUTER_BOUND('',#50162,.T.); #30361=FACE_OUTER_BOUND('',#50164,.T.); #30362=FACE_OUTER_BOUND('',#50165,.T.); #30363=FACE_OUTER_BOUND('',#50166,.T.); #30364=FACE_OUTER_BOUND('',#50167,.T.); #30365=FACE_OUTER_BOUND('',#50168,.T.); #30366=FACE_OUTER_BOUND('',#50169,.T.); #30367=FACE_OUTER_BOUND('',#50170,.T.); #30368=FACE_OUTER_BOUND('',#50171,.T.); #30369=FACE_OUTER_BOUND('',#50172,.T.); #30370=FACE_OUTER_BOUND('',#50173,.T.); #30371=FACE_OUTER_BOUND('',#50174,.T.); #30372=FACE_OUTER_BOUND('',#50175,.T.); #30373=FACE_OUTER_BOUND('',#50177,.T.); #30374=FACE_OUTER_BOUND('',#50179,.T.); #30375=FACE_OUTER_BOUND('',#50180,.T.); #30376=FACE_OUTER_BOUND('',#50181,.T.); #30377=FACE_OUTER_BOUND('',#50182,.T.); #30378=FACE_OUTER_BOUND('',#50183,.T.); #30379=FACE_OUTER_BOUND('',#50184,.T.); #30380=FACE_OUTER_BOUND('',#50185,.T.); #30381=FACE_OUTER_BOUND('',#50186,.T.); #30382=FACE_OUTER_BOUND('',#50187,.T.); #30383=FACE_OUTER_BOUND('',#50188,.T.); #30384=FACE_OUTER_BOUND('',#50189,.T.); #30385=FACE_OUTER_BOUND('',#50190,.T.); #30386=FACE_OUTER_BOUND('',#50191,.T.); #30387=FACE_OUTER_BOUND('',#50192,.T.); #30388=FACE_OUTER_BOUND('',#50193,.T.); #30389=FACE_OUTER_BOUND('',#50194,.T.); #30390=FACE_OUTER_BOUND('',#50195,.T.); #30391=FACE_OUTER_BOUND('',#50196,.T.); #30392=FACE_OUTER_BOUND('',#50197,.T.); #30393=FACE_OUTER_BOUND('',#50199,.T.); #30394=FACE_OUTER_BOUND('',#50201,.T.); #30395=FACE_OUTER_BOUND('',#50202,.T.); #30396=FACE_OUTER_BOUND('',#50203,.T.); #30397=FACE_OUTER_BOUND('',#50204,.T.); #30398=FACE_OUTER_BOUND('',#50205,.T.); #30399=FACE_OUTER_BOUND('',#50206,.T.); #30400=FACE_OUTER_BOUND('',#50207,.T.); #30401=FACE_OUTER_BOUND('',#50208,.T.); #30402=FACE_OUTER_BOUND('',#50209,.T.); #30403=FACE_OUTER_BOUND('',#50210,.T.); #30404=FACE_OUTER_BOUND('',#50211,.T.); #30405=FACE_OUTER_BOUND('',#50212,.T.); #30406=FACE_OUTER_BOUND('',#50213,.T.); #30407=FACE_OUTER_BOUND('',#50214,.T.); #30408=FACE_OUTER_BOUND('',#50215,.T.); #30409=FACE_OUTER_BOUND('',#50216,.T.); #30410=FACE_OUTER_BOUND('',#50217,.T.); #30411=FACE_OUTER_BOUND('',#50219,.T.); #30412=FACE_OUTER_BOUND('',#50221,.T.); #30413=FACE_OUTER_BOUND('',#50222,.T.); #30414=FACE_OUTER_BOUND('',#50223,.T.); #30415=FACE_OUTER_BOUND('',#50224,.T.); #30416=FACE_OUTER_BOUND('',#50225,.T.); #30417=FACE_OUTER_BOUND('',#50227,.T.); #30418=FACE_OUTER_BOUND('',#50229,.T.); #30419=FACE_OUTER_BOUND('',#50230,.T.); #30420=FACE_OUTER_BOUND('',#50231,.T.); #30421=FACE_OUTER_BOUND('',#50232,.T.); #30422=FACE_OUTER_BOUND('',#50233,.T.); #30423=FACE_OUTER_BOUND('',#50234,.T.); #30424=FACE_OUTER_BOUND('',#50235,.T.); #30425=FACE_OUTER_BOUND('',#50236,.T.); #30426=FACE_OUTER_BOUND('',#50237,.T.); #30427=FACE_OUTER_BOUND('',#50238,.T.); #30428=FACE_OUTER_BOUND('',#50239,.T.); #30429=FACE_OUTER_BOUND('',#50240,.T.); #30430=FACE_OUTER_BOUND('',#50241,.T.); #30431=FACE_OUTER_BOUND('',#50242,.T.); #30432=FACE_OUTER_BOUND('',#50243,.T.); #30433=FACE_OUTER_BOUND('',#50244,.T.); #30434=FACE_OUTER_BOUND('',#50245,.T.); #30435=FACE_OUTER_BOUND('',#50246,.T.); #30436=FACE_OUTER_BOUND('',#50247,.T.); #30437=FACE_OUTER_BOUND('',#50248,.T.); #30438=FACE_OUTER_BOUND('',#50249,.T.); #30439=FACE_OUTER_BOUND('',#50250,.T.); #30440=FACE_OUTER_BOUND('',#50251,.T.); #30441=FACE_OUTER_BOUND('',#50253,.T.); #30442=FACE_OUTER_BOUND('',#50255,.T.); #30443=FACE_OUTER_BOUND('',#50256,.T.); #30444=FACE_OUTER_BOUND('',#50257,.T.); #30445=FACE_OUTER_BOUND('',#50258,.T.); #30446=FACE_OUTER_BOUND('',#50259,.T.); #30447=FACE_OUTER_BOUND('',#50260,.T.); #30448=FACE_OUTER_BOUND('',#50261,.T.); #30449=FACE_OUTER_BOUND('',#50262,.T.); #30450=FACE_OUTER_BOUND('',#50263,.T.); #30451=FACE_OUTER_BOUND('',#50264,.T.); #30452=FACE_OUTER_BOUND('',#50265,.T.); #30453=FACE_OUTER_BOUND('',#50266,.T.); #30454=FACE_OUTER_BOUND('',#50267,.T.); #30455=FACE_OUTER_BOUND('',#50268,.T.); #30456=FACE_OUTER_BOUND('',#50269,.T.); #30457=FACE_OUTER_BOUND('',#50270,.T.); #30458=FACE_OUTER_BOUND('',#50271,.T.); #30459=FACE_OUTER_BOUND('',#50273,.T.); #30460=FACE_OUTER_BOUND('',#50275,.T.); #30461=FACE_OUTER_BOUND('',#50276,.T.); #30462=FACE_OUTER_BOUND('',#50277,.T.); #30463=FACE_OUTER_BOUND('',#50278,.T.); #30464=FACE_OUTER_BOUND('',#50279,.T.); #30465=FACE_OUTER_BOUND('',#50280,.T.); #30466=FACE_OUTER_BOUND('',#50281,.T.); #30467=FACE_OUTER_BOUND('',#50282,.T.); #30468=FACE_OUTER_BOUND('',#50283,.T.); #30469=FACE_OUTER_BOUND('',#50284,.T.); #30470=FACE_OUTER_BOUND('',#50285,.T.); #30471=FACE_OUTER_BOUND('',#50286,.T.); #30472=FACE_OUTER_BOUND('',#50287,.T.); #30473=FACE_OUTER_BOUND('',#50288,.T.); #30474=FACE_OUTER_BOUND('',#50289,.T.); #30475=FACE_OUTER_BOUND('',#50290,.T.); #30476=FACE_OUTER_BOUND('',#50291,.T.); #30477=FACE_OUTER_BOUND('',#50292,.T.); #30478=FACE_OUTER_BOUND('',#50293,.T.); #30479=FACE_OUTER_BOUND('',#50294,.T.); #30480=FACE_OUTER_BOUND('',#50295,.T.); #30481=FACE_OUTER_BOUND('',#50296,.T.); #30482=FACE_OUTER_BOUND('',#50297,.T.); #30483=FACE_OUTER_BOUND('',#50298,.T.); #30484=FACE_OUTER_BOUND('',#50299,.T.); #30485=FACE_OUTER_BOUND('',#50300,.T.); #30486=FACE_OUTER_BOUND('',#50301,.T.); #30487=FACE_OUTER_BOUND('',#50302,.T.); #30488=FACE_OUTER_BOUND('',#50303,.T.); #30489=FACE_OUTER_BOUND('',#50304,.T.); #30490=FACE_OUTER_BOUND('',#50305,.T.); #30491=FACE_OUTER_BOUND('',#50306,.T.); #30492=FACE_OUTER_BOUND('',#50307,.T.); #30493=FACE_OUTER_BOUND('',#50308,.T.); #30494=FACE_OUTER_BOUND('',#50309,.T.); #30495=FACE_OUTER_BOUND('',#50310,.T.); #30496=FACE_OUTER_BOUND('',#50311,.T.); #30497=FACE_OUTER_BOUND('',#50312,.T.); #30498=FACE_OUTER_BOUND('',#50313,.T.); #30499=FACE_OUTER_BOUND('',#50314,.T.); #30500=FACE_OUTER_BOUND('',#50315,.T.); #30501=FACE_OUTER_BOUND('',#50316,.T.); #30502=FACE_OUTER_BOUND('',#50317,.T.); #30503=FACE_OUTER_BOUND('',#50318,.T.); #30504=FACE_OUTER_BOUND('',#50319,.T.); #30505=FACE_OUTER_BOUND('',#50320,.T.); #30506=FACE_OUTER_BOUND('',#50321,.T.); #30507=FACE_OUTER_BOUND('',#50322,.T.); #30508=FACE_OUTER_BOUND('',#50323,.T.); #30509=FACE_OUTER_BOUND('',#50324,.T.); #30510=FACE_OUTER_BOUND('',#50325,.T.); #30511=FACE_OUTER_BOUND('',#50326,.T.); #30512=FACE_OUTER_BOUND('',#50327,.T.); #30513=FACE_OUTER_BOUND('',#50328,.T.); #30514=FACE_OUTER_BOUND('',#50329,.T.); #30515=FACE_OUTER_BOUND('',#50330,.T.); #30516=FACE_OUTER_BOUND('',#50331,.T.); #30517=FACE_OUTER_BOUND('',#50332,.T.); #30518=FACE_OUTER_BOUND('',#50333,.T.); #30519=FACE_OUTER_BOUND('',#50334,.T.); #30520=FACE_OUTER_BOUND('',#50335,.T.); #30521=FACE_OUTER_BOUND('',#50336,.T.); #30522=FACE_OUTER_BOUND('',#50337,.T.); #30523=FACE_OUTER_BOUND('',#50338,.T.); #30524=FACE_OUTER_BOUND('',#50339,.T.); #30525=FACE_OUTER_BOUND('',#50340,.T.); #30526=FACE_OUTER_BOUND('',#50341,.T.); #30527=FACE_OUTER_BOUND('',#50342,.T.); #30528=FACE_OUTER_BOUND('',#50343,.T.); #30529=FACE_OUTER_BOUND('',#50344,.T.); #30530=FACE_OUTER_BOUND('',#50345,.T.); #30531=FACE_OUTER_BOUND('',#50346,.T.); #30532=FACE_OUTER_BOUND('',#50348,.T.); #30533=FACE_OUTER_BOUND('',#50350,.T.); #30534=FACE_OUTER_BOUND('',#50351,.T.); #30535=FACE_OUTER_BOUND('',#50352,.T.); #30536=FACE_OUTER_BOUND('',#50353,.T.); #30537=FACE_OUTER_BOUND('',#50354,.T.); #30538=FACE_OUTER_BOUND('',#50355,.T.); #30539=FACE_OUTER_BOUND('',#50356,.T.); #30540=FACE_OUTER_BOUND('',#50357,.T.); #30541=FACE_OUTER_BOUND('',#50358,.T.); #30542=FACE_OUTER_BOUND('',#50359,.T.); #30543=FACE_OUTER_BOUND('',#50362,.T.); #30544=FACE_OUTER_BOUND('',#50365,.T.); #30545=FACE_OUTER_BOUND('',#50366,.T.); #30546=FACE_OUTER_BOUND('',#50367,.T.); #30547=FACE_OUTER_BOUND('',#50368,.T.); #30548=FACE_OUTER_BOUND('',#50369,.T.); #30549=FACE_OUTER_BOUND('',#50370,.T.); #30550=FACE_OUTER_BOUND('',#50372,.T.); #30551=FACE_OUTER_BOUND('',#50374,.T.); #30552=FACE_OUTER_BOUND('',#50375,.T.); #30553=FACE_OUTER_BOUND('',#50376,.T.); #30554=FACE_OUTER_BOUND('',#50377,.T.); #30555=FACE_OUTER_BOUND('',#50378,.T.); #30556=FACE_OUTER_BOUND('',#50379,.T.); #30557=FACE_OUTER_BOUND('',#50380,.T.); #30558=FACE_OUTER_BOUND('',#50381,.T.); #30559=FACE_OUTER_BOUND('',#50382,.T.); #30560=FACE_OUTER_BOUND('',#50383,.T.); #30561=FACE_OUTER_BOUND('',#50384,.T.); #30562=FACE_OUTER_BOUND('',#50385,.T.); #30563=FACE_OUTER_BOUND('',#50386,.T.); #30564=FACE_OUTER_BOUND('',#50387,.T.); #30565=FACE_OUTER_BOUND('',#50388,.T.); #30566=FACE_OUTER_BOUND('',#50389,.T.); #30567=FACE_OUTER_BOUND('',#50390,.T.); #30568=FACE_OUTER_BOUND('',#50391,.T.); #30569=FACE_OUTER_BOUND('',#50392,.T.); #30570=FACE_OUTER_BOUND('',#50393,.T.); #30571=FACE_OUTER_BOUND('',#50394,.T.); #30572=FACE_OUTER_BOUND('',#50395,.T.); #30573=FACE_OUTER_BOUND('',#50396,.T.); #30574=FACE_OUTER_BOUND('',#50397,.T.); #30575=FACE_OUTER_BOUND('',#50398,.T.); #30576=FACE_OUTER_BOUND('',#50399,.T.); #30577=FACE_OUTER_BOUND('',#50402,.T.); #30578=FACE_OUTER_BOUND('',#50405,.T.); #30579=FACE_OUTER_BOUND('',#50406,.T.); #30580=FACE_OUTER_BOUND('',#50407,.T.); #30581=FACE_OUTER_BOUND('',#50408,.T.); #30582=FACE_OUTER_BOUND('',#50409,.T.); #30583=FACE_OUTER_BOUND('',#50410,.T.); #30584=FACE_OUTER_BOUND('',#50411,.T.); #30585=FACE_OUTER_BOUND('',#50412,.T.); #30586=FACE_OUTER_BOUND('',#50413,.T.); #30587=FACE_OUTER_BOUND('',#50414,.T.); #30588=FACE_OUTER_BOUND('',#50415,.T.); #30589=FACE_OUTER_BOUND('',#50418,.T.); #30590=FACE_OUTER_BOUND('',#50421,.T.); #30591=FACE_OUTER_BOUND('',#50422,.T.); #30592=FACE_OUTER_BOUND('',#50423,.T.); #30593=FACE_OUTER_BOUND('',#50424,.T.); #30594=FACE_OUTER_BOUND('',#50425,.T.); #30595=FACE_OUTER_BOUND('',#50426,.T.); #30596=FACE_OUTER_BOUND('',#50428,.T.); #30597=FACE_OUTER_BOUND('',#50430,.T.); #30598=FACE_OUTER_BOUND('',#50431,.T.); #30599=FACE_OUTER_BOUND('',#50432,.T.); #30600=FACE_OUTER_BOUND('',#50433,.T.); #30601=FACE_OUTER_BOUND('',#50434,.T.); #30602=FACE_OUTER_BOUND('',#50436,.T.); #30603=FACE_OUTER_BOUND('',#50438,.T.); #30604=FACE_OUTER_BOUND('',#50439,.T.); #30605=FACE_OUTER_BOUND('',#50440,.T.); #30606=FACE_OUTER_BOUND('',#50441,.T.); #30607=FACE_OUTER_BOUND('',#50442,.T.); #30608=FACE_OUTER_BOUND('',#50443,.T.); #30609=FACE_OUTER_BOUND('',#50444,.T.); #30610=FACE_OUTER_BOUND('',#50445,.T.); #30611=FACE_OUTER_BOUND('',#50446,.T.); #30612=FACE_OUTER_BOUND('',#50447,.T.); #30613=FACE_OUTER_BOUND('',#50448,.T.); #30614=FACE_OUTER_BOUND('',#50449,.T.); #30615=FACE_OUTER_BOUND('',#50450,.T.); #30616=FACE_OUTER_BOUND('',#50451,.T.); #30617=FACE_OUTER_BOUND('',#50452,.T.); #30618=FACE_OUTER_BOUND('',#50453,.T.); #30619=FACE_OUTER_BOUND('',#50454,.T.); #30620=FACE_OUTER_BOUND('',#50455,.T.); #30621=FACE_OUTER_BOUND('',#50456,.T.); #30622=FACE_OUTER_BOUND('',#50457,.T.); #30623=FACE_OUTER_BOUND('',#50458,.T.); #30624=FACE_OUTER_BOUND('',#50459,.T.); #30625=FACE_OUTER_BOUND('',#50460,.T.); #30626=FACE_OUTER_BOUND('',#50461,.T.); #30627=FACE_OUTER_BOUND('',#50462,.T.); #30628=FACE_OUTER_BOUND('',#50463,.T.); #30629=FACE_OUTER_BOUND('',#50464,.T.); #30630=FACE_OUTER_BOUND('',#50465,.T.); #30631=FACE_OUTER_BOUND('',#50466,.T.); #30632=FACE_OUTER_BOUND('',#50467,.T.); #30633=FACE_OUTER_BOUND('',#50468,.T.); #30634=FACE_OUTER_BOUND('',#50469,.T.); #30635=FACE_OUTER_BOUND('',#50470,.T.); #30636=FACE_OUTER_BOUND('',#50471,.T.); #30637=FACE_OUTER_BOUND('',#50472,.T.); #30638=FACE_OUTER_BOUND('',#50473,.T.); #30639=FACE_OUTER_BOUND('',#50474,.T.); #30640=FACE_OUTER_BOUND('',#50475,.T.); #30641=FACE_OUTER_BOUND('',#50476,.T.); #30642=FACE_OUTER_BOUND('',#50477,.T.); #30643=FACE_OUTER_BOUND('',#50478,.T.); #30644=FACE_OUTER_BOUND('',#50479,.T.); #30645=FACE_OUTER_BOUND('',#50480,.T.); #30646=FACE_OUTER_BOUND('',#50481,.T.); #30647=FACE_OUTER_BOUND('',#50482,.T.); #30648=FACE_OUTER_BOUND('',#50483,.T.); #30649=FACE_OUTER_BOUND('',#50484,.T.); #30650=FACE_OUTER_BOUND('',#50485,.T.); #30651=FACE_OUTER_BOUND('',#50486,.T.); #30652=FACE_OUTER_BOUND('',#50487,.T.); #30653=FACE_OUTER_BOUND('',#50488,.T.); #30654=FACE_OUTER_BOUND('',#50489,.T.); #30655=FACE_OUTER_BOUND('',#50490,.T.); #30656=FACE_OUTER_BOUND('',#50491,.T.); #30657=FACE_OUTER_BOUND('',#50492,.T.); #30658=FACE_OUTER_BOUND('',#50493,.T.); #30659=FACE_OUTER_BOUND('',#50494,.T.); #30660=FACE_OUTER_BOUND('',#50495,.T.); #30661=FACE_OUTER_BOUND('',#50496,.T.); #30662=FACE_OUTER_BOUND('',#50497,.T.); #30663=FACE_OUTER_BOUND('',#50498,.T.); #30664=FACE_OUTER_BOUND('',#50499,.T.); #30665=FACE_OUTER_BOUND('',#50500,.T.); #30666=FACE_OUTER_BOUND('',#50501,.T.); #30667=FACE_OUTER_BOUND('',#50502,.T.); #30668=FACE_OUTER_BOUND('',#50503,.T.); #30669=FACE_OUTER_BOUND('',#50504,.T.); #30670=FACE_OUTER_BOUND('',#50505,.T.); #30671=FACE_OUTER_BOUND('',#50506,.T.); #30672=FACE_OUTER_BOUND('',#50507,.T.); #30673=FACE_OUTER_BOUND('',#50508,.T.); #30674=FACE_OUTER_BOUND('',#50509,.T.); #30675=FACE_OUTER_BOUND('',#50510,.T.); #30676=FACE_OUTER_BOUND('',#50511,.T.); #30677=FACE_OUTER_BOUND('',#50512,.T.); #30678=FACE_OUTER_BOUND('',#50513,.T.); #30679=FACE_OUTER_BOUND('',#50514,.T.); #30680=FACE_OUTER_BOUND('',#50515,.T.); #30681=FACE_OUTER_BOUND('',#50516,.T.); #30682=FACE_OUTER_BOUND('',#50517,.T.); #30683=FACE_OUTER_BOUND('',#50518,.T.); #30684=FACE_OUTER_BOUND('',#50519,.T.); #30685=FACE_OUTER_BOUND('',#50520,.T.); #30686=FACE_OUTER_BOUND('',#50521,.T.); #30687=FACE_OUTER_BOUND('',#50522,.T.); #30688=FACE_OUTER_BOUND('',#50523,.T.); #30689=FACE_OUTER_BOUND('',#50524,.T.); #30690=FACE_OUTER_BOUND('',#50525,.T.); #30691=FACE_OUTER_BOUND('',#50526,.T.); #30692=FACE_OUTER_BOUND('',#50527,.T.); #30693=FACE_OUTER_BOUND('',#50528,.T.); #30694=FACE_OUTER_BOUND('',#50529,.T.); #30695=FACE_OUTER_BOUND('',#50530,.T.); #30696=FACE_OUTER_BOUND('',#50531,.T.); #30697=FACE_OUTER_BOUND('',#50532,.T.); #30698=FACE_OUTER_BOUND('',#50533,.T.); #30699=FACE_OUTER_BOUND('',#50534,.T.); #30700=FACE_OUTER_BOUND('',#50535,.T.); #30701=FACE_OUTER_BOUND('',#50536,.T.); #30702=FACE_OUTER_BOUND('',#50537,.T.); #30703=FACE_OUTER_BOUND('',#50538,.T.); #30704=FACE_OUTER_BOUND('',#50539,.T.); #30705=FACE_OUTER_BOUND('',#50540,.T.); #30706=FACE_OUTER_BOUND('',#50541,.T.); #30707=FACE_OUTER_BOUND('',#50542,.T.); #30708=FACE_OUTER_BOUND('',#50543,.T.); #30709=FACE_OUTER_BOUND('',#50544,.T.); #30710=FACE_OUTER_BOUND('',#50545,.T.); #30711=FACE_OUTER_BOUND('',#50546,.T.); #30712=FACE_OUTER_BOUND('',#50547,.T.); #30713=FACE_OUTER_BOUND('',#50548,.T.); #30714=FACE_OUTER_BOUND('',#50563,.T.); #30715=FACE_OUTER_BOUND('',#50578,.T.); #30716=FACE_OUTER_BOUND('',#50579,.T.); #30717=FACE_OUTER_BOUND('',#50580,.T.); #30718=FACE_OUTER_BOUND('',#50581,.T.); #30719=FACE_OUTER_BOUND('',#50582,.T.); #30720=FACE_OUTER_BOUND('',#50583,.T.); #30721=FACE_OUTER_BOUND('',#50584,.T.); #30722=FACE_OUTER_BOUND('',#50585,.T.); #30723=FACE_OUTER_BOUND('',#50586,.T.); #30724=FACE_OUTER_BOUND('',#50587,.T.); #30725=FACE_OUTER_BOUND('',#50588,.T.); #30726=FACE_OUTER_BOUND('',#50589,.T.); #30727=FACE_OUTER_BOUND('',#50590,.T.); #30728=FACE_OUTER_BOUND('',#50591,.T.); #30729=FACE_OUTER_BOUND('',#50592,.T.); #30730=FACE_OUTER_BOUND('',#50593,.T.); #30731=FACE_OUTER_BOUND('',#50594,.T.); #30732=FACE_OUTER_BOUND('',#50595,.T.); #30733=FACE_OUTER_BOUND('',#50596,.T.); #30734=FACE_OUTER_BOUND('',#50597,.T.); #30735=FACE_OUTER_BOUND('',#50598,.T.); #30736=FACE_OUTER_BOUND('',#50599,.T.); #30737=FACE_OUTER_BOUND('',#50600,.T.); #30738=FACE_OUTER_BOUND('',#50601,.T.); #30739=FACE_OUTER_BOUND('',#50602,.T.); #30740=FACE_OUTER_BOUND('',#50603,.T.); #30741=FACE_OUTER_BOUND('',#50604,.T.); #30742=FACE_OUTER_BOUND('',#50605,.T.); #30743=FACE_OUTER_BOUND('',#50606,.T.); #30744=FACE_OUTER_BOUND('',#50607,.T.); #30745=FACE_OUTER_BOUND('',#50608,.T.); #30746=FACE_OUTER_BOUND('',#50609,.T.); #30747=FACE_OUTER_BOUND('',#50610,.T.); #30748=FACE_OUTER_BOUND('',#50611,.T.); #30749=FACE_OUTER_BOUND('',#50612,.T.); #30750=FACE_OUTER_BOUND('',#50613,.T.); #30751=FACE_OUTER_BOUND('',#50614,.T.); #30752=FACE_OUTER_BOUND('',#50615,.T.); #30753=FACE_OUTER_BOUND('',#50616,.T.); #30754=FACE_OUTER_BOUND('',#50617,.T.); #30755=FACE_OUTER_BOUND('',#50618,.T.); #30756=FACE_OUTER_BOUND('',#50619,.T.); #30757=FACE_OUTER_BOUND('',#50620,.T.); #30758=FACE_OUTER_BOUND('',#50621,.T.); #30759=FACE_OUTER_BOUND('',#50622,.T.); #30760=FACE_OUTER_BOUND('',#50623,.T.); #30761=FACE_OUTER_BOUND('',#50624,.T.); #30762=FACE_OUTER_BOUND('',#50625,.T.); #30763=FACE_OUTER_BOUND('',#50626,.T.); #30764=FACE_OUTER_BOUND('',#50627,.T.); #30765=FACE_OUTER_BOUND('',#50628,.T.); #30766=FACE_OUTER_BOUND('',#50629,.T.); #30767=FACE_OUTER_BOUND('',#50630,.T.); #30768=FACE_OUTER_BOUND('',#50631,.T.); #30769=FACE_OUTER_BOUND('',#50632,.T.); #30770=FACE_OUTER_BOUND('',#50633,.T.); #30771=FACE_OUTER_BOUND('',#50634,.T.); #30772=FACE_OUTER_BOUND('',#50635,.T.); #30773=FACE_OUTER_BOUND('',#50636,.T.); #30774=FACE_OUTER_BOUND('',#50637,.T.); #30775=FACE_OUTER_BOUND('',#50638,.T.); #30776=FACE_OUTER_BOUND('',#50639,.T.); #30777=FACE_OUTER_BOUND('',#50640,.T.); #30778=FACE_OUTER_BOUND('',#50641,.T.); #30779=FACE_OUTER_BOUND('',#50642,.T.); #30780=FACE_OUTER_BOUND('',#50643,.T.); #30781=FACE_OUTER_BOUND('',#50644,.T.); #30782=FACE_OUTER_BOUND('',#50645,.T.); #30783=FACE_OUTER_BOUND('',#50646,.T.); #30784=FACE_OUTER_BOUND('',#50647,.T.); #30785=FACE_OUTER_BOUND('',#50648,.T.); #30786=FACE_OUTER_BOUND('',#50649,.T.); #30787=FACE_OUTER_BOUND('',#50650,.T.); #30788=FACE_OUTER_BOUND('',#50651,.T.); #30789=FACE_OUTER_BOUND('',#50652,.T.); #30790=FACE_OUTER_BOUND('',#50653,.T.); #30791=FACE_OUTER_BOUND('',#50654,.T.); #30792=FACE_OUTER_BOUND('',#50655,.T.); #30793=FACE_OUTER_BOUND('',#50656,.T.); #30794=FACE_OUTER_BOUND('',#50657,.T.); #30795=FACE_OUTER_BOUND('',#50658,.T.); #30796=FACE_OUTER_BOUND('',#50659,.T.); #30797=FACE_OUTER_BOUND('',#50660,.T.); #30798=FACE_OUTER_BOUND('',#50661,.T.); #30799=FACE_OUTER_BOUND('',#50662,.T.); #30800=FACE_OUTER_BOUND('',#50663,.T.); #30801=FACE_OUTER_BOUND('',#50664,.T.); #30802=FACE_OUTER_BOUND('',#50665,.T.); #30803=FACE_OUTER_BOUND('',#50666,.T.); #30804=FACE_OUTER_BOUND('',#50667,.T.); #30805=FACE_OUTER_BOUND('',#50668,.T.); #30806=FACE_OUTER_BOUND('',#50669,.T.); #30807=FACE_OUTER_BOUND('',#50670,.T.); #30808=FACE_OUTER_BOUND('',#50671,.T.); #30809=FACE_OUTER_BOUND('',#50672,.T.); #30810=FACE_OUTER_BOUND('',#50673,.T.); #30811=FACE_OUTER_BOUND('',#50674,.T.); #30812=FACE_OUTER_BOUND('',#50675,.T.); #30813=FACE_OUTER_BOUND('',#50676,.T.); #30814=FACE_OUTER_BOUND('',#50677,.T.); #30815=FACE_OUTER_BOUND('',#50678,.T.); #30816=FACE_OUTER_BOUND('',#50679,.T.); #30817=FACE_OUTER_BOUND('',#50680,.T.); #30818=FACE_OUTER_BOUND('',#50681,.T.); #30819=FACE_OUTER_BOUND('',#50682,.T.); #30820=FACE_OUTER_BOUND('',#50683,.T.); #30821=FACE_OUTER_BOUND('',#50684,.T.); #30822=FACE_OUTER_BOUND('',#50685,.T.); #30823=FACE_OUTER_BOUND('',#50686,.T.); #30824=FACE_OUTER_BOUND('',#50687,.T.); #30825=FACE_OUTER_BOUND('',#50688,.T.); #30826=FACE_OUTER_BOUND('',#50689,.T.); #30827=FACE_OUTER_BOUND('',#50690,.T.); #30828=FACE_OUTER_BOUND('',#50691,.T.); #30829=FACE_OUTER_BOUND('',#50692,.T.); #30830=FACE_OUTER_BOUND('',#50693,.T.); #30831=FACE_OUTER_BOUND('',#50694,.T.); #30832=FACE_OUTER_BOUND('',#50695,.T.); #30833=FACE_OUTER_BOUND('',#50696,.T.); #30834=FACE_OUTER_BOUND('',#50697,.T.); #30835=FACE_OUTER_BOUND('',#50698,.T.); #30836=FACE_OUTER_BOUND('',#50699,.T.); #30837=FACE_OUTER_BOUND('',#50700,.T.); #30838=FACE_OUTER_BOUND('',#50701,.T.); #30839=FACE_OUTER_BOUND('',#50702,.T.); #30840=FACE_OUTER_BOUND('',#50703,.T.); #30841=FACE_OUTER_BOUND('',#50704,.T.); #30842=FACE_OUTER_BOUND('',#50705,.T.); #30843=FACE_OUTER_BOUND('',#50706,.T.); #30844=FACE_OUTER_BOUND('',#50707,.T.); #30845=FACE_OUTER_BOUND('',#50708,.T.); #30846=FACE_OUTER_BOUND('',#50709,.T.); #30847=FACE_OUTER_BOUND('',#50710,.T.); #30848=FACE_OUTER_BOUND('',#50711,.T.); #30849=FACE_OUTER_BOUND('',#50712,.T.); #30850=FACE_OUTER_BOUND('',#50713,.T.); #30851=FACE_OUTER_BOUND('',#50714,.T.); #30852=FACE_OUTER_BOUND('',#50715,.T.); #30853=FACE_OUTER_BOUND('',#50716,.T.); #30854=FACE_OUTER_BOUND('',#50717,.T.); #30855=FACE_OUTER_BOUND('',#50718,.T.); #30856=FACE_OUTER_BOUND('',#50719,.T.); #30857=FACE_OUTER_BOUND('',#50720,.T.); #30858=FACE_OUTER_BOUND('',#50721,.T.); #30859=FACE_OUTER_BOUND('',#50722,.T.); #30860=FACE_OUTER_BOUND('',#50723,.T.); #30861=FACE_OUTER_BOUND('',#50724,.T.); #30862=FACE_OUTER_BOUND('',#50725,.T.); #30863=FACE_OUTER_BOUND('',#50726,.T.); #30864=FACE_OUTER_BOUND('',#50727,.T.); #30865=FACE_OUTER_BOUND('',#50728,.T.); #30866=FACE_OUTER_BOUND('',#50729,.T.); #30867=FACE_OUTER_BOUND('',#50730,.T.); #30868=FACE_OUTER_BOUND('',#50731,.T.); #30869=FACE_OUTER_BOUND('',#50732,.T.); #30870=FACE_OUTER_BOUND('',#50733,.T.); #30871=FACE_OUTER_BOUND('',#50734,.T.); #30872=FACE_OUTER_BOUND('',#50735,.T.); #30873=FACE_OUTER_BOUND('',#50736,.T.); #30874=FACE_OUTER_BOUND('',#50737,.T.); #30875=FACE_OUTER_BOUND('',#50738,.T.); #30876=FACE_OUTER_BOUND('',#50739,.T.); #30877=FACE_OUTER_BOUND('',#50740,.T.); #30878=FACE_OUTER_BOUND('',#50741,.T.); #30879=FACE_OUTER_BOUND('',#50742,.T.); #30880=FACE_OUTER_BOUND('',#50743,.T.); #30881=FACE_OUTER_BOUND('',#50744,.T.); #30882=FACE_OUTER_BOUND('',#50745,.T.); #30883=FACE_OUTER_BOUND('',#50746,.T.); #30884=FACE_OUTER_BOUND('',#50747,.T.); #30885=FACE_OUTER_BOUND('',#50748,.T.); #30886=FACE_OUTER_BOUND('',#50749,.T.); #30887=FACE_OUTER_BOUND('',#50750,.T.); #30888=FACE_OUTER_BOUND('',#50751,.T.); #30889=FACE_OUTER_BOUND('',#50752,.T.); #30890=FACE_OUTER_BOUND('',#50753,.T.); #30891=FACE_OUTER_BOUND('',#50754,.T.); #30892=FACE_OUTER_BOUND('',#50755,.T.); #30893=FACE_OUTER_BOUND('',#50756,.T.); #30894=FACE_OUTER_BOUND('',#50757,.T.); #30895=FACE_OUTER_BOUND('',#50758,.T.); #30896=FACE_OUTER_BOUND('',#50759,.T.); #30897=FACE_OUTER_BOUND('',#50760,.T.); #30898=FACE_OUTER_BOUND('',#50761,.T.); #30899=FACE_OUTER_BOUND('',#50762,.T.); #30900=FACE_OUTER_BOUND('',#50763,.T.); #30901=FACE_OUTER_BOUND('',#50764,.T.); #30902=FACE_OUTER_BOUND('',#50765,.T.); #30903=FACE_OUTER_BOUND('',#50766,.T.); #30904=FACE_OUTER_BOUND('',#50767,.T.); #30905=FACE_OUTER_BOUND('',#50768,.T.); #30906=FACE_OUTER_BOUND('',#50769,.T.); #30907=FACE_OUTER_BOUND('',#50770,.T.); #30908=FACE_OUTER_BOUND('',#50771,.T.); #30909=FACE_OUTER_BOUND('',#50772,.T.); #30910=FACE_OUTER_BOUND('',#50773,.T.); #30911=FACE_OUTER_BOUND('',#50774,.T.); #30912=FACE_OUTER_BOUND('',#50775,.T.); #30913=FACE_OUTER_BOUND('',#50776,.T.); #30914=FACE_OUTER_BOUND('',#50777,.T.); #30915=FACE_OUTER_BOUND('',#50778,.T.); #30916=FACE_OUTER_BOUND('',#50779,.T.); #30917=FACE_OUTER_BOUND('',#50780,.T.); #30918=FACE_OUTER_BOUND('',#50781,.T.); #30919=FACE_OUTER_BOUND('',#50782,.T.); #30920=FACE_OUTER_BOUND('',#50783,.T.); #30921=FACE_OUTER_BOUND('',#50784,.T.); #30922=FACE_OUTER_BOUND('',#50785,.T.); #30923=FACE_OUTER_BOUND('',#50786,.T.); #30924=FACE_OUTER_BOUND('',#50787,.T.); #30925=FACE_OUTER_BOUND('',#50788,.T.); #30926=FACE_OUTER_BOUND('',#50789,.T.); #30927=FACE_OUTER_BOUND('',#50790,.T.); #30928=FACE_OUTER_BOUND('',#50791,.T.); #30929=FACE_OUTER_BOUND('',#50792,.T.); #30930=FACE_OUTER_BOUND('',#50793,.T.); #30931=FACE_OUTER_BOUND('',#50794,.T.); #30932=FACE_OUTER_BOUND('',#50795,.T.); #30933=FACE_OUTER_BOUND('',#50796,.T.); #30934=FACE_OUTER_BOUND('',#50797,.T.); #30935=FACE_OUTER_BOUND('',#50798,.T.); #30936=FACE_OUTER_BOUND('',#50799,.T.); #30937=FACE_OUTER_BOUND('',#50800,.T.); #30938=FACE_OUTER_BOUND('',#50801,.T.); #30939=FACE_OUTER_BOUND('',#50802,.T.); #30940=FACE_OUTER_BOUND('',#50803,.T.); #30941=FACE_OUTER_BOUND('',#50804,.T.); #30942=FACE_OUTER_BOUND('',#50805,.T.); #30943=FACE_OUTER_BOUND('',#50806,.T.); #30944=FACE_OUTER_BOUND('',#50807,.T.); #30945=FACE_OUTER_BOUND('',#50808,.T.); #30946=FACE_OUTER_BOUND('',#50809,.T.); #30947=FACE_OUTER_BOUND('',#50810,.T.); #30948=FACE_OUTER_BOUND('',#50811,.T.); #30949=FACE_OUTER_BOUND('',#50812,.T.); #30950=FACE_OUTER_BOUND('',#50813,.T.); #30951=FACE_OUTER_BOUND('',#50814,.T.); #30952=FACE_OUTER_BOUND('',#50815,.T.); #30953=FACE_OUTER_BOUND('',#50816,.T.); #30954=FACE_OUTER_BOUND('',#50817,.T.); #30955=FACE_OUTER_BOUND('',#50818,.T.); #30956=FACE_OUTER_BOUND('',#50819,.T.); #30957=FACE_OUTER_BOUND('',#50820,.T.); #30958=FACE_OUTER_BOUND('',#50821,.T.); #30959=FACE_OUTER_BOUND('',#50822,.T.); #30960=FACE_OUTER_BOUND('',#50823,.T.); #30961=FACE_OUTER_BOUND('',#50824,.T.); #30962=FACE_OUTER_BOUND('',#50825,.T.); #30963=FACE_OUTER_BOUND('',#50826,.T.); #30964=FACE_OUTER_BOUND('',#50827,.T.); #30965=FACE_OUTER_BOUND('',#50828,.T.); #30966=FACE_OUTER_BOUND('',#50829,.T.); #30967=FACE_OUTER_BOUND('',#50830,.T.); #30968=FACE_OUTER_BOUND('',#50831,.T.); #30969=FACE_OUTER_BOUND('',#50832,.T.); #30970=FACE_OUTER_BOUND('',#50833,.T.); #30971=FACE_OUTER_BOUND('',#50834,.T.); #30972=FACE_OUTER_BOUND('',#50835,.T.); #30973=FACE_OUTER_BOUND('',#50836,.T.); #30974=FACE_OUTER_BOUND('',#50837,.T.); #30975=FACE_OUTER_BOUND('',#50838,.T.); #30976=FACE_OUTER_BOUND('',#50856,.T.); #30977=FACE_OUTER_BOUND('',#50874,.T.); #30978=FACE_OUTER_BOUND('',#50875,.T.); #30979=FACE_OUTER_BOUND('',#50876,.T.); #30980=FACE_OUTER_BOUND('',#50877,.T.); #30981=FACE_OUTER_BOUND('',#50878,.T.); #30982=FACE_OUTER_BOUND('',#50879,.T.); #30983=FACE_OUTER_BOUND('',#50880,.T.); #30984=FACE_OUTER_BOUND('',#50881,.T.); #30985=FACE_OUTER_BOUND('',#50882,.T.); #30986=FACE_OUTER_BOUND('',#50883,.T.); #30987=FACE_OUTER_BOUND('',#50884,.T.); #30988=FACE_OUTER_BOUND('',#50885,.T.); #30989=FACE_OUTER_BOUND('',#50886,.T.); #30990=FACE_OUTER_BOUND('',#50887,.T.); #30991=FACE_OUTER_BOUND('',#50888,.T.); #30992=FACE_OUTER_BOUND('',#50889,.T.); #30993=FACE_OUTER_BOUND('',#50890,.T.); #30994=FACE_OUTER_BOUND('',#50891,.T.); #30995=FACE_OUTER_BOUND('',#50892,.T.); #30996=FACE_OUTER_BOUND('',#50893,.T.); #30997=FACE_OUTER_BOUND('',#50894,.T.); #30998=FACE_OUTER_BOUND('',#50895,.T.); #30999=FACE_OUTER_BOUND('',#50896,.T.); #31000=FACE_OUTER_BOUND('',#50897,.T.); #31001=FACE_OUTER_BOUND('',#50898,.T.); #31002=FACE_OUTER_BOUND('',#50899,.T.); #31003=FACE_OUTER_BOUND('',#50900,.T.); #31004=FACE_OUTER_BOUND('',#50901,.T.); #31005=FACE_OUTER_BOUND('',#50902,.T.); #31006=FACE_OUTER_BOUND('',#50903,.T.); #31007=FACE_OUTER_BOUND('',#50904,.T.); #31008=FACE_OUTER_BOUND('',#50905,.T.); #31009=FACE_OUTER_BOUND('',#50906,.T.); #31010=FACE_OUTER_BOUND('',#50907,.T.); #31011=FACE_OUTER_BOUND('',#50908,.T.); #31012=FACE_OUTER_BOUND('',#50909,.T.); #31013=FACE_OUTER_BOUND('',#50910,.T.); #31014=FACE_OUTER_BOUND('',#50911,.T.); #31015=FACE_OUTER_BOUND('',#50912,.T.); #31016=FACE_OUTER_BOUND('',#50913,.T.); #31017=FACE_OUTER_BOUND('',#50914,.T.); #31018=FACE_OUTER_BOUND('',#50915,.T.); #31019=FACE_OUTER_BOUND('',#50916,.T.); #31020=FACE_OUTER_BOUND('',#50917,.T.); #31021=FACE_OUTER_BOUND('',#50918,.T.); #31022=FACE_OUTER_BOUND('',#50919,.T.); #31023=FACE_OUTER_BOUND('',#50920,.T.); #31024=FACE_OUTER_BOUND('',#50921,.T.); #31025=FACE_OUTER_BOUND('',#50922,.T.); #31026=FACE_OUTER_BOUND('',#50923,.T.); #31027=FACE_OUTER_BOUND('',#50924,.T.); #31028=FACE_OUTER_BOUND('',#50925,.T.); #31029=FACE_OUTER_BOUND('',#50926,.T.); #31030=FACE_OUTER_BOUND('',#50927,.T.); #31031=FACE_OUTER_BOUND('',#50928,.T.); #31032=FACE_OUTER_BOUND('',#50929,.T.); #31033=FACE_OUTER_BOUND('',#50930,.T.); #31034=FACE_OUTER_BOUND('',#50931,.T.); #31035=FACE_OUTER_BOUND('',#50932,.T.); #31036=FACE_OUTER_BOUND('',#50933,.T.); #31037=FACE_OUTER_BOUND('',#50934,.T.); #31038=FACE_OUTER_BOUND('',#50935,.T.); #31039=FACE_OUTER_BOUND('',#50936,.T.); #31040=FACE_OUTER_BOUND('',#50937,.T.); #31041=FACE_OUTER_BOUND('',#50938,.T.); #31042=FACE_OUTER_BOUND('',#50939,.T.); #31043=FACE_OUTER_BOUND('',#50940,.T.); #31044=FACE_OUTER_BOUND('',#50941,.T.); #31045=FACE_OUTER_BOUND('',#50942,.T.); #31046=FACE_OUTER_BOUND('',#50943,.T.); #31047=FACE_OUTER_BOUND('',#50944,.T.); #31048=FACE_OUTER_BOUND('',#50945,.T.); #31049=FACE_OUTER_BOUND('',#50946,.T.); #31050=FACE_OUTER_BOUND('',#50947,.T.); #31051=FACE_OUTER_BOUND('',#50948,.T.); #31052=FACE_OUTER_BOUND('',#50949,.T.); #31053=FACE_OUTER_BOUND('',#50950,.T.); #31054=FACE_OUTER_BOUND('',#50951,.T.); #31055=FACE_OUTER_BOUND('',#50952,.T.); #31056=FACE_OUTER_BOUND('',#50953,.T.); #31057=FACE_OUTER_BOUND('',#50954,.T.); #31058=FACE_OUTER_BOUND('',#50955,.T.); #31059=FACE_OUTER_BOUND('',#50956,.T.); #31060=FACE_OUTER_BOUND('',#50957,.T.); #31061=FACE_OUTER_BOUND('',#50958,.T.); #31062=FACE_OUTER_BOUND('',#50959,.T.); #31063=FACE_OUTER_BOUND('',#50960,.T.); #31064=FACE_OUTER_BOUND('',#50961,.T.); #31065=FACE_OUTER_BOUND('',#50962,.T.); #31066=FACE_OUTER_BOUND('',#50963,.T.); #31067=FACE_OUTER_BOUND('',#50964,.T.); #31068=FACE_OUTER_BOUND('',#50965,.T.); #31069=FACE_OUTER_BOUND('',#50966,.T.); #31070=FACE_OUTER_BOUND('',#50967,.T.); #31071=FACE_OUTER_BOUND('',#50968,.T.); #31072=FACE_OUTER_BOUND('',#50969,.T.); #31073=FACE_OUTER_BOUND('',#50970,.T.); #31074=FACE_OUTER_BOUND('',#50971,.T.); #31075=FACE_OUTER_BOUND('',#50972,.T.); #31076=FACE_OUTER_BOUND('',#50973,.T.); #31077=FACE_OUTER_BOUND('',#50974,.T.); #31078=FACE_OUTER_BOUND('',#50975,.T.); #31079=FACE_OUTER_BOUND('',#50976,.T.); #31080=FACE_OUTER_BOUND('',#50977,.T.); #31081=FACE_OUTER_BOUND('',#50978,.T.); #31082=FACE_OUTER_BOUND('',#50979,.T.); #31083=FACE_OUTER_BOUND('',#50980,.T.); #31084=FACE_OUTER_BOUND('',#50981,.T.); #31085=FACE_OUTER_BOUND('',#50982,.T.); #31086=FACE_OUTER_BOUND('',#50983,.T.); #31087=FACE_OUTER_BOUND('',#50984,.T.); #31088=FACE_OUTER_BOUND('',#50985,.T.); #31089=FACE_OUTER_BOUND('',#50986,.T.); #31090=FACE_OUTER_BOUND('',#50987,.T.); #31091=FACE_OUTER_BOUND('',#50988,.T.); #31092=FACE_OUTER_BOUND('',#50989,.T.); #31093=FACE_OUTER_BOUND('',#50990,.T.); #31094=FACE_OUTER_BOUND('',#50991,.T.); #31095=FACE_OUTER_BOUND('',#50992,.T.); #31096=FACE_OUTER_BOUND('',#50993,.T.); #31097=FACE_OUTER_BOUND('',#50994,.T.); #31098=FACE_OUTER_BOUND('',#50995,.T.); #31099=FACE_OUTER_BOUND('',#50996,.T.); #31100=FACE_OUTER_BOUND('',#50997,.T.); #31101=FACE_OUTER_BOUND('',#50998,.T.); #31102=FACE_OUTER_BOUND('',#50999,.T.); #31103=FACE_OUTER_BOUND('',#51000,.T.); #31104=FACE_OUTER_BOUND('',#51001,.T.); #31105=FACE_OUTER_BOUND('',#51002,.T.); #31106=FACE_OUTER_BOUND('',#51003,.T.); #31107=FACE_OUTER_BOUND('',#51004,.T.); #31108=FACE_OUTER_BOUND('',#51005,.T.); #31109=FACE_OUTER_BOUND('',#51006,.T.); #31110=FACE_OUTER_BOUND('',#51007,.T.); #31111=FACE_OUTER_BOUND('',#51008,.T.); #31112=FACE_OUTER_BOUND('',#51009,.T.); #31113=FACE_OUTER_BOUND('',#51010,.T.); #31114=FACE_OUTER_BOUND('',#51011,.T.); #31115=FACE_OUTER_BOUND('',#51012,.T.); #31116=FACE_OUTER_BOUND('',#51013,.T.); #31117=FACE_OUTER_BOUND('',#51014,.T.); #31118=FACE_OUTER_BOUND('',#51015,.T.); #31119=FACE_OUTER_BOUND('',#51016,.T.); #31120=FACE_OUTER_BOUND('',#51017,.T.); #31121=FACE_OUTER_BOUND('',#51018,.T.); #31122=FACE_OUTER_BOUND('',#51019,.T.); #31123=FACE_OUTER_BOUND('',#51021,.T.); #31124=FACE_OUTER_BOUND('',#51023,.T.); #31125=FACE_OUTER_BOUND('',#51024,.T.); #31126=FACE_OUTER_BOUND('',#51025,.T.); #31127=FACE_OUTER_BOUND('',#51026,.T.); #31128=FACE_OUTER_BOUND('',#51027,.T.); #31129=FACE_OUTER_BOUND('',#51028,.T.); #31130=FACE_OUTER_BOUND('',#51029,.T.); #31131=FACE_OUTER_BOUND('',#51030,.T.); #31132=FACE_OUTER_BOUND('',#51031,.T.); #31133=FACE_OUTER_BOUND('',#51032,.T.); #31134=FACE_OUTER_BOUND('',#51033,.T.); #31135=FACE_OUTER_BOUND('',#51034,.T.); #31136=FACE_OUTER_BOUND('',#51035,.T.); #31137=FACE_OUTER_BOUND('',#51036,.T.); #31138=FACE_OUTER_BOUND('',#51037,.T.); #31139=FACE_OUTER_BOUND('',#51038,.T.); #31140=FACE_OUTER_BOUND('',#51039,.T.); #31141=FACE_OUTER_BOUND('',#51040,.T.); #31142=FACE_OUTER_BOUND('',#51041,.T.); #31143=FACE_OUTER_BOUND('',#51042,.T.); #31144=FACE_OUTER_BOUND('',#51043,.T.); #31145=FACE_OUTER_BOUND('',#51044,.T.); #31146=FACE_OUTER_BOUND('',#51045,.T.); #31147=FACE_OUTER_BOUND('',#51046,.T.); #31148=FACE_OUTER_BOUND('',#51047,.T.); #31149=FACE_OUTER_BOUND('',#51048,.T.); #31150=FACE_OUTER_BOUND('',#51049,.T.); #31151=FACE_OUTER_BOUND('',#51050,.T.); #31152=FACE_OUTER_BOUND('',#51051,.T.); #31153=FACE_OUTER_BOUND('',#51052,.T.); #31154=FACE_OUTER_BOUND('',#51053,.T.); #31155=FACE_OUTER_BOUND('',#51054,.T.); #31156=FACE_OUTER_BOUND('',#51055,.T.); #31157=FACE_OUTER_BOUND('',#51056,.T.); #31158=FACE_OUTER_BOUND('',#51057,.T.); #31159=FACE_OUTER_BOUND('',#51058,.T.); #31160=FACE_OUTER_BOUND('',#51059,.T.); #31161=FACE_OUTER_BOUND('',#51060,.T.); #31162=FACE_OUTER_BOUND('',#51061,.T.); #31163=FACE_OUTER_BOUND('',#51062,.T.); #31164=FACE_OUTER_BOUND('',#51063,.T.); #31165=FACE_OUTER_BOUND('',#51064,.T.); #31166=FACE_OUTER_BOUND('',#51065,.T.); #31167=FACE_OUTER_BOUND('',#51066,.T.); #31168=FACE_OUTER_BOUND('',#51067,.T.); #31169=FACE_OUTER_BOUND('',#51068,.T.); #31170=FACE_OUTER_BOUND('',#51070,.T.); #31171=FACE_OUTER_BOUND('',#51072,.T.); #31172=FACE_OUTER_BOUND('',#51073,.T.); #31173=FACE_OUTER_BOUND('',#51074,.T.); #31174=FACE_OUTER_BOUND('',#51075,.T.); #31175=FACE_OUTER_BOUND('',#51076,.T.); #31176=FACE_OUTER_BOUND('',#51077,.T.); #31177=FACE_OUTER_BOUND('',#51078,.T.); #31178=FACE_OUTER_BOUND('',#51079,.T.); #31179=FACE_OUTER_BOUND('',#51080,.T.); #31180=FACE_OUTER_BOUND('',#51081,.T.); #31181=FACE_OUTER_BOUND('',#51082,.T.); #31182=FACE_OUTER_BOUND('',#51083,.T.); #31183=FACE_OUTER_BOUND('',#51084,.T.); #31184=FACE_OUTER_BOUND('',#51085,.T.); #31185=FACE_OUTER_BOUND('',#51086,.T.); #31186=FACE_OUTER_BOUND('',#51087,.T.); #31187=FACE_OUTER_BOUND('',#51088,.T.); #31188=FACE_OUTER_BOUND('',#51089,.T.); #31189=FACE_OUTER_BOUND('',#51090,.T.); #31190=FACE_OUTER_BOUND('',#51091,.T.); #31191=FACE_OUTER_BOUND('',#51092,.T.); #31192=FACE_OUTER_BOUND('',#51093,.T.); #31193=FACE_OUTER_BOUND('',#51094,.T.); #31194=FACE_OUTER_BOUND('',#51095,.T.); #31195=FACE_OUTER_BOUND('',#51096,.T.); #31196=FACE_OUTER_BOUND('',#51097,.T.); #31197=FACE_OUTER_BOUND('',#51098,.T.); #31198=FACE_OUTER_BOUND('',#51099,.T.); #31199=FACE_OUTER_BOUND('',#51100,.T.); #31200=FACE_OUTER_BOUND('',#51101,.T.); #31201=FACE_OUTER_BOUND('',#51102,.T.); #31202=FACE_OUTER_BOUND('',#51103,.T.); #31203=FACE_OUTER_BOUND('',#51104,.T.); #31204=FACE_OUTER_BOUND('',#51105,.T.); #31205=FACE_OUTER_BOUND('',#51106,.T.); #31206=FACE_OUTER_BOUND('',#51107,.T.); #31207=FACE_OUTER_BOUND('',#51108,.T.); #31208=FACE_OUTER_BOUND('',#51109,.T.); #31209=FACE_OUTER_BOUND('',#51110,.T.); #31210=FACE_OUTER_BOUND('',#51111,.T.); #31211=FACE_OUTER_BOUND('',#51112,.T.); #31212=FACE_OUTER_BOUND('',#51113,.T.); #31213=FACE_OUTER_BOUND('',#51114,.T.); #31214=FACE_OUTER_BOUND('',#51115,.T.); #31215=FACE_OUTER_BOUND('',#51116,.T.); #31216=FACE_OUTER_BOUND('',#51117,.T.); #31217=FACE_OUTER_BOUND('',#51118,.T.); #31218=FACE_OUTER_BOUND('',#51119,.T.); #31219=FACE_OUTER_BOUND('',#51120,.T.); #31220=FACE_OUTER_BOUND('',#51121,.T.); #31221=FACE_OUTER_BOUND('',#51122,.T.); #31222=FACE_OUTER_BOUND('',#51123,.T.); #31223=FACE_OUTER_BOUND('',#51124,.T.); #31224=FACE_OUTER_BOUND('',#51125,.T.); #31225=FACE_OUTER_BOUND('',#51126,.T.); #31226=FACE_OUTER_BOUND('',#51127,.T.); #31227=FACE_OUTER_BOUND('',#51128,.T.); #31228=FACE_OUTER_BOUND('',#51129,.T.); #31229=FACE_OUTER_BOUND('',#51130,.T.); #31230=FACE_OUTER_BOUND('',#51131,.T.); #31231=FACE_OUTER_BOUND('',#51132,.T.); #31232=FACE_OUTER_BOUND('',#51133,.T.); #31233=FACE_OUTER_BOUND('',#51134,.T.); #31234=FACE_OUTER_BOUND('',#51135,.T.); #31235=FACE_OUTER_BOUND('',#51136,.T.); #31236=FACE_OUTER_BOUND('',#51137,.T.); #31237=FACE_OUTER_BOUND('',#51138,.T.); #31238=FACE_OUTER_BOUND('',#51139,.T.); #31239=FACE_OUTER_BOUND('',#51140,.T.); #31240=FACE_OUTER_BOUND('',#51141,.T.); #31241=FACE_OUTER_BOUND('',#51142,.T.); #31242=FACE_OUTER_BOUND('',#51143,.T.); #31243=FACE_OUTER_BOUND('',#51144,.T.); #31244=FACE_OUTER_BOUND('',#51145,.T.); #31245=FACE_OUTER_BOUND('',#51146,.T.); #31246=FACE_OUTER_BOUND('',#51147,.T.); #31247=FACE_OUTER_BOUND('',#51148,.T.); #31248=FACE_OUTER_BOUND('',#51149,.T.); #31249=FACE_OUTER_BOUND('',#51150,.T.); #31250=FACE_OUTER_BOUND('',#51151,.T.); #31251=FACE_OUTER_BOUND('',#51152,.T.); #31252=FACE_OUTER_BOUND('',#51153,.T.); #31253=FACE_OUTER_BOUND('',#51154,.T.); #31254=FACE_OUTER_BOUND('',#51155,.T.); #31255=FACE_OUTER_BOUND('',#51156,.T.); #31256=FACE_OUTER_BOUND('',#51157,.T.); #31257=FACE_OUTER_BOUND('',#51158,.T.); #31258=FACE_OUTER_BOUND('',#51159,.T.); #31259=FACE_OUTER_BOUND('',#51160,.T.); #31260=FACE_OUTER_BOUND('',#51161,.T.); #31261=FACE_OUTER_BOUND('',#51162,.T.); #31262=FACE_OUTER_BOUND('',#51163,.T.); #31263=FACE_OUTER_BOUND('',#51164,.T.); #31264=FACE_OUTER_BOUND('',#51165,.T.); #31265=FACE_OUTER_BOUND('',#51166,.T.); #31266=FACE_OUTER_BOUND('',#51167,.T.); #31267=FACE_OUTER_BOUND('',#51168,.T.); #31268=FACE_OUTER_BOUND('',#51169,.T.); #31269=FACE_OUTER_BOUND('',#51170,.T.); #31270=FACE_OUTER_BOUND('',#51171,.T.); #31271=FACE_OUTER_BOUND('',#51172,.T.); #31272=FACE_OUTER_BOUND('',#51173,.T.); #31273=FACE_OUTER_BOUND('',#51174,.T.); #31274=FACE_OUTER_BOUND('',#51175,.T.); #31275=FACE_OUTER_BOUND('',#51176,.T.); #31276=FACE_OUTER_BOUND('',#51177,.T.); #31277=FACE_OUTER_BOUND('',#51178,.T.); #31278=FACE_OUTER_BOUND('',#51179,.T.); #31279=FACE_OUTER_BOUND('',#51180,.T.); #31280=FACE_OUTER_BOUND('',#51181,.T.); #31281=FACE_OUTER_BOUND('',#51182,.T.); #31282=FACE_OUTER_BOUND('',#51183,.T.); #31283=FACE_OUTER_BOUND('',#51184,.T.); #31284=FACE_OUTER_BOUND('',#51185,.T.); #31285=FACE_OUTER_BOUND('',#51186,.T.); #31286=FACE_OUTER_BOUND('',#51187,.T.); #31287=FACE_OUTER_BOUND('',#51188,.T.); #31288=FACE_OUTER_BOUND('',#51189,.T.); #31289=FACE_OUTER_BOUND('',#51190,.T.); #31290=FACE_OUTER_BOUND('',#51191,.T.); #31291=FACE_OUTER_BOUND('',#51192,.T.); #31292=FACE_OUTER_BOUND('',#51193,.T.); #31293=FACE_OUTER_BOUND('',#51194,.T.); #31294=FACE_OUTER_BOUND('',#51195,.T.); #31295=FACE_OUTER_BOUND('',#51196,.T.); #31296=FACE_OUTER_BOUND('',#51197,.T.); #31297=FACE_OUTER_BOUND('',#51198,.T.); #31298=FACE_OUTER_BOUND('',#51199,.T.); #31299=FACE_OUTER_BOUND('',#51200,.T.); #31300=FACE_OUTER_BOUND('',#51201,.T.); #31301=FACE_OUTER_BOUND('',#51202,.T.); #31302=FACE_OUTER_BOUND('',#51203,.T.); #31303=FACE_OUTER_BOUND('',#51204,.T.); #31304=FACE_OUTER_BOUND('',#51205,.T.); #31305=FACE_OUTER_BOUND('',#51206,.T.); #31306=FACE_OUTER_BOUND('',#51207,.T.); #31307=FACE_OUTER_BOUND('',#51208,.T.); #31308=FACE_OUTER_BOUND('',#51209,.T.); #31309=FACE_OUTER_BOUND('',#51210,.T.); #31310=FACE_OUTER_BOUND('',#51211,.T.); #31311=FACE_OUTER_BOUND('',#51212,.T.); #31312=FACE_OUTER_BOUND('',#51213,.T.); #31313=FACE_OUTER_BOUND('',#51214,.T.); #31314=FACE_OUTER_BOUND('',#51215,.T.); #31315=FACE_OUTER_BOUND('',#51216,.T.); #31316=FACE_OUTER_BOUND('',#51217,.T.); #31317=FACE_OUTER_BOUND('',#51218,.T.); #31318=FACE_OUTER_BOUND('',#51219,.T.); #31319=FACE_OUTER_BOUND('',#51220,.T.); #31320=FACE_OUTER_BOUND('',#51221,.T.); #31321=FACE_OUTER_BOUND('',#51222,.T.); #31322=FACE_OUTER_BOUND('',#51223,.T.); #31323=FACE_OUTER_BOUND('',#51224,.T.); #31324=FACE_OUTER_BOUND('',#51225,.T.); #31325=FACE_OUTER_BOUND('',#51226,.T.); #31326=FACE_OUTER_BOUND('',#51227,.T.); #31327=FACE_OUTER_BOUND('',#51228,.T.); #31328=FACE_OUTER_BOUND('',#51229,.T.); #31329=FACE_OUTER_BOUND('',#51230,.T.); #31330=FACE_OUTER_BOUND('',#51231,.T.); #31331=FACE_OUTER_BOUND('',#51232,.T.); #31332=FACE_OUTER_BOUND('',#51233,.T.); #31333=FACE_OUTER_BOUND('',#51234,.T.); #31334=FACE_OUTER_BOUND('',#51235,.T.); #31335=FACE_OUTER_BOUND('',#51236,.T.); #31336=FACE_OUTER_BOUND('',#51237,.T.); #31337=FACE_OUTER_BOUND('',#51238,.T.); #31338=FACE_OUTER_BOUND('',#51239,.T.); #31339=FACE_OUTER_BOUND('',#51240,.T.); #31340=FACE_OUTER_BOUND('',#51241,.T.); #31341=FACE_OUTER_BOUND('',#51242,.T.); #31342=FACE_OUTER_BOUND('',#51243,.T.); #31343=FACE_OUTER_BOUND('',#51244,.T.); #31344=FACE_OUTER_BOUND('',#51245,.T.); #31345=FACE_OUTER_BOUND('',#51246,.T.); #31346=FACE_OUTER_BOUND('',#51247,.T.); #31347=FACE_OUTER_BOUND('',#51248,.T.); #31348=FACE_OUTER_BOUND('',#51249,.T.); #31349=FACE_OUTER_BOUND('',#51250,.T.); #31350=FACE_OUTER_BOUND('',#51251,.T.); #31351=FACE_OUTER_BOUND('',#51252,.T.); #31352=FACE_OUTER_BOUND('',#51253,.T.); #31353=FACE_OUTER_BOUND('',#51254,.T.); #31354=FACE_OUTER_BOUND('',#51255,.T.); #31355=FACE_OUTER_BOUND('',#51256,.T.); #31356=FACE_OUTER_BOUND('',#51257,.T.); #31357=FACE_OUTER_BOUND('',#51258,.T.); #31358=FACE_OUTER_BOUND('',#51259,.T.); #31359=FACE_OUTER_BOUND('',#51260,.T.); #31360=FACE_OUTER_BOUND('',#51261,.T.); #31361=FACE_OUTER_BOUND('',#51262,.T.); #31362=FACE_OUTER_BOUND('',#51263,.T.); #31363=FACE_OUTER_BOUND('',#51264,.T.); #31364=FACE_OUTER_BOUND('',#51265,.T.); #31365=FACE_OUTER_BOUND('',#51266,.T.); #31366=FACE_OUTER_BOUND('',#51267,.T.); #31367=FACE_OUTER_BOUND('',#51268,.T.); #31368=FACE_OUTER_BOUND('',#51269,.T.); #31369=FACE_OUTER_BOUND('',#51270,.T.); #31370=FACE_OUTER_BOUND('',#51271,.T.); #31371=FACE_OUTER_BOUND('',#51272,.T.); #31372=FACE_OUTER_BOUND('',#51273,.T.); #31373=FACE_OUTER_BOUND('',#51274,.T.); #31374=FACE_OUTER_BOUND('',#51275,.T.); #31375=FACE_OUTER_BOUND('',#51276,.T.); #31376=FACE_OUTER_BOUND('',#51277,.T.); #31377=FACE_OUTER_BOUND('',#51278,.T.); #31378=FACE_OUTER_BOUND('',#51279,.T.); #31379=FACE_OUTER_BOUND('',#51280,.T.); #31380=FACE_OUTER_BOUND('',#51281,.T.); #31381=FACE_OUTER_BOUND('',#51282,.T.); #31382=FACE_OUTER_BOUND('',#51283,.T.); #31383=FACE_OUTER_BOUND('',#51284,.T.); #31384=FACE_OUTER_BOUND('',#51285,.T.); #31385=FACE_OUTER_BOUND('',#51286,.T.); #31386=FACE_OUTER_BOUND('',#51287,.T.); #31387=FACE_OUTER_BOUND('',#51288,.T.); #31388=FACE_OUTER_BOUND('',#51289,.T.); #31389=FACE_OUTER_BOUND('',#51290,.T.); #31390=FACE_OUTER_BOUND('',#51291,.T.); #31391=FACE_OUTER_BOUND('',#51292,.T.); #31392=FACE_OUTER_BOUND('',#51293,.T.); #31393=FACE_OUTER_BOUND('',#51294,.T.); #31394=FACE_OUTER_BOUND('',#51295,.T.); #31395=FACE_OUTER_BOUND('',#51296,.T.); #31396=FACE_OUTER_BOUND('',#51297,.T.); #31397=FACE_OUTER_BOUND('',#51298,.T.); #31398=FACE_OUTER_BOUND('',#51299,.T.); #31399=FACE_OUTER_BOUND('',#51300,.T.); #31400=FACE_OUTER_BOUND('',#51301,.T.); #31401=FACE_OUTER_BOUND('',#51302,.T.); #31402=FACE_OUTER_BOUND('',#51303,.T.); #31403=FACE_OUTER_BOUND('',#51304,.T.); #31404=FACE_OUTER_BOUND('',#51305,.T.); #31405=FACE_OUTER_BOUND('',#51306,.T.); #31406=FACE_OUTER_BOUND('',#51307,.T.); #31407=FACE_OUTER_BOUND('',#51308,.T.); #31408=FACE_OUTER_BOUND('',#51309,.T.); #31409=FACE_OUTER_BOUND('',#51310,.T.); #31410=FACE_OUTER_BOUND('',#51311,.T.); #31411=FACE_OUTER_BOUND('',#51312,.T.); #31412=FACE_OUTER_BOUND('',#51313,.T.); #31413=FACE_OUTER_BOUND('',#51314,.T.); #31414=FACE_OUTER_BOUND('',#51315,.T.); #31415=FACE_OUTER_BOUND('',#51316,.T.); #31416=FACE_OUTER_BOUND('',#51317,.T.); #31417=FACE_OUTER_BOUND('',#51318,.T.); #31418=FACE_OUTER_BOUND('',#51319,.T.); #31419=FACE_OUTER_BOUND('',#51320,.T.); #31420=FACE_OUTER_BOUND('',#51321,.T.); #31421=FACE_OUTER_BOUND('',#51322,.T.); #31422=FACE_OUTER_BOUND('',#51323,.T.); #31423=FACE_OUTER_BOUND('',#51324,.T.); #31424=FACE_OUTER_BOUND('',#51325,.T.); #31425=FACE_OUTER_BOUND('',#51326,.T.); #31426=FACE_OUTER_BOUND('',#51327,.T.); #31427=FACE_OUTER_BOUND('',#51328,.T.); #31428=FACE_OUTER_BOUND('',#51329,.T.); #31429=FACE_OUTER_BOUND('',#51330,.T.); #31430=FACE_OUTER_BOUND('',#51331,.T.); #31431=FACE_OUTER_BOUND('',#51332,.T.); #31432=FACE_OUTER_BOUND('',#51333,.T.); #31433=FACE_OUTER_BOUND('',#51334,.T.); #31434=FACE_OUTER_BOUND('',#51335,.T.); #31435=FACE_OUTER_BOUND('',#51336,.T.); #31436=FACE_OUTER_BOUND('',#51337,.T.); #31437=FACE_OUTER_BOUND('',#51338,.T.); #31438=FACE_OUTER_BOUND('',#51339,.T.); #31439=FACE_OUTER_BOUND('',#51340,.T.); #31440=FACE_OUTER_BOUND('',#51341,.T.); #31441=FACE_OUTER_BOUND('',#51342,.T.); #31442=FACE_OUTER_BOUND('',#51343,.T.); #31443=FACE_OUTER_BOUND('',#51344,.T.); #31444=FACE_OUTER_BOUND('',#51345,.T.); #31445=FACE_OUTER_BOUND('',#51346,.T.); #31446=FACE_OUTER_BOUND('',#51347,.T.); #31447=FACE_OUTER_BOUND('',#51348,.T.); #31448=FACE_OUTER_BOUND('',#51349,.T.); #31449=FACE_OUTER_BOUND('',#51350,.T.); #31450=FACE_OUTER_BOUND('',#51351,.T.); #31451=FACE_OUTER_BOUND('',#51352,.T.); #31452=FACE_OUTER_BOUND('',#51353,.T.); #31453=FACE_OUTER_BOUND('',#51354,.T.); #31454=FACE_OUTER_BOUND('',#51355,.T.); #31455=FACE_OUTER_BOUND('',#51356,.T.); #31456=FACE_OUTER_BOUND('',#51357,.T.); #31457=FACE_OUTER_BOUND('',#51358,.T.); #31458=FACE_OUTER_BOUND('',#51359,.T.); #31459=FACE_OUTER_BOUND('',#51360,.T.); #31460=FACE_OUTER_BOUND('',#51361,.T.); #31461=FACE_OUTER_BOUND('',#51362,.T.); #31462=FACE_OUTER_BOUND('',#51363,.T.); #31463=FACE_OUTER_BOUND('',#51364,.T.); #31464=FACE_OUTER_BOUND('',#51365,.T.); #31465=FACE_OUTER_BOUND('',#51366,.T.); #31466=FACE_OUTER_BOUND('',#51367,.T.); #31467=FACE_OUTER_BOUND('',#51368,.T.); #31468=FACE_OUTER_BOUND('',#51369,.T.); #31469=FACE_OUTER_BOUND('',#51370,.T.); #31470=FACE_OUTER_BOUND('',#51371,.T.); #31471=FACE_OUTER_BOUND('',#51372,.T.); #31472=FACE_OUTER_BOUND('',#51373,.T.); #31473=FACE_OUTER_BOUND('',#51374,.T.); #31474=FACE_OUTER_BOUND('',#51375,.T.); #31475=FACE_OUTER_BOUND('',#51376,.T.); #31476=FACE_OUTER_BOUND('',#51377,.T.); #31477=FACE_OUTER_BOUND('',#51378,.T.); #31478=FACE_OUTER_BOUND('',#51379,.T.); #31479=FACE_OUTER_BOUND('',#51380,.T.); #31480=FACE_OUTER_BOUND('',#51381,.T.); #31481=FACE_OUTER_BOUND('',#51382,.T.); #31482=FACE_OUTER_BOUND('',#51383,.T.); #31483=FACE_OUTER_BOUND('',#51384,.T.); #31484=FACE_OUTER_BOUND('',#51385,.T.); #31485=FACE_OUTER_BOUND('',#51386,.T.); #31486=FACE_OUTER_BOUND('',#51387,.T.); #31487=FACE_OUTER_BOUND('',#51388,.T.); #31488=FACE_OUTER_BOUND('',#51389,.T.); #31489=FACE_OUTER_BOUND('',#51390,.T.); #31490=FACE_OUTER_BOUND('',#51391,.T.); #31491=FACE_OUTER_BOUND('',#51392,.T.); #31492=FACE_OUTER_BOUND('',#51393,.T.); #31493=FACE_OUTER_BOUND('',#51394,.T.); #31494=FACE_OUTER_BOUND('',#51395,.T.); #31495=FACE_OUTER_BOUND('',#51396,.T.); #31496=FACE_OUTER_BOUND('',#51397,.T.); #31497=FACE_OUTER_BOUND('',#51398,.T.); #31498=FACE_OUTER_BOUND('',#51399,.T.); #31499=FACE_OUTER_BOUND('',#51400,.T.); #31500=FACE_OUTER_BOUND('',#51401,.T.); #31501=FACE_OUTER_BOUND('',#51402,.T.); #31502=FACE_OUTER_BOUND('',#51403,.T.); #31503=FACE_OUTER_BOUND('',#51404,.T.); #31504=FACE_OUTER_BOUND('',#51405,.T.); #31505=FACE_OUTER_BOUND('',#51406,.T.); #31506=FACE_OUTER_BOUND('',#51407,.T.); #31507=FACE_OUTER_BOUND('',#51408,.T.); #31508=FACE_OUTER_BOUND('',#51409,.T.); #31509=FACE_OUTER_BOUND('',#51410,.T.); #31510=FACE_OUTER_BOUND('',#51411,.T.); #31511=FACE_OUTER_BOUND('',#51412,.T.); #31512=FACE_OUTER_BOUND('',#51413,.T.); #31513=FACE_OUTER_BOUND('',#51414,.T.); #31514=FACE_OUTER_BOUND('',#51415,.T.); #31515=FACE_OUTER_BOUND('',#51416,.T.); #31516=FACE_OUTER_BOUND('',#51417,.T.); #31517=FACE_OUTER_BOUND('',#51418,.T.); #31518=FACE_OUTER_BOUND('',#51419,.T.); #31519=FACE_OUTER_BOUND('',#51420,.T.); #31520=FACE_OUTER_BOUND('',#51421,.T.); #31521=FACE_OUTER_BOUND('',#51422,.T.); #31522=FACE_OUTER_BOUND('',#51423,.T.); #31523=FACE_OUTER_BOUND('',#51424,.T.); #31524=FACE_OUTER_BOUND('',#51425,.T.); #31525=FACE_OUTER_BOUND('',#51426,.T.); #31526=FACE_OUTER_BOUND('',#51427,.T.); #31527=FACE_OUTER_BOUND('',#51428,.T.); #31528=FACE_OUTER_BOUND('',#51429,.T.); #31529=FACE_OUTER_BOUND('',#51430,.T.); #31530=FACE_OUTER_BOUND('',#51431,.T.); #31531=FACE_OUTER_BOUND('',#51432,.T.); #31532=FACE_OUTER_BOUND('',#51433,.T.); #31533=FACE_OUTER_BOUND('',#51434,.T.); #31534=FACE_OUTER_BOUND('',#51435,.T.); #31535=FACE_OUTER_BOUND('',#51436,.T.); #31536=FACE_OUTER_BOUND('',#51437,.T.); #31537=FACE_OUTER_BOUND('',#51438,.T.); #31538=FACE_OUTER_BOUND('',#51439,.T.); #31539=FACE_OUTER_BOUND('',#51440,.T.); #31540=FACE_OUTER_BOUND('',#51441,.T.); #31541=FACE_OUTER_BOUND('',#51442,.T.); #31542=FACE_OUTER_BOUND('',#51443,.T.); #31543=FACE_OUTER_BOUND('',#51444,.T.); #31544=FACE_OUTER_BOUND('',#51445,.T.); #31545=FACE_OUTER_BOUND('',#51446,.T.); #31546=FACE_OUTER_BOUND('',#51447,.T.); #31547=FACE_OUTER_BOUND('',#51448,.T.); #31548=FACE_OUTER_BOUND('',#51449,.T.); #31549=FACE_OUTER_BOUND('',#51450,.T.); #31550=FACE_OUTER_BOUND('',#51451,.T.); #31551=FACE_OUTER_BOUND('',#51452,.T.); #31552=FACE_OUTER_BOUND('',#51453,.T.); #31553=FACE_OUTER_BOUND('',#51454,.T.); #31554=FACE_OUTER_BOUND('',#51455,.T.); #31555=FACE_OUTER_BOUND('',#51456,.T.); #31556=FACE_OUTER_BOUND('',#51457,.T.); #31557=FACE_OUTER_BOUND('',#51458,.T.); #31558=FACE_OUTER_BOUND('',#51459,.T.); #31559=FACE_OUTER_BOUND('',#51460,.T.); #31560=FACE_OUTER_BOUND('',#51461,.T.); #31561=FACE_OUTER_BOUND('',#51462,.T.); #31562=FACE_OUTER_BOUND('',#51463,.T.); #31563=FACE_OUTER_BOUND('',#51464,.T.); #31564=FACE_OUTER_BOUND('',#51465,.T.); #31565=FACE_OUTER_BOUND('',#51466,.T.); #31566=FACE_OUTER_BOUND('',#51467,.T.); #31567=FACE_OUTER_BOUND('',#51468,.T.); #31568=FACE_OUTER_BOUND('',#51469,.T.); #31569=FACE_OUTER_BOUND('',#51470,.T.); #31570=FACE_OUTER_BOUND('',#51471,.T.); #31571=FACE_OUTER_BOUND('',#51472,.T.); #31572=FACE_OUTER_BOUND('',#51473,.T.); #31573=FACE_OUTER_BOUND('',#51474,.T.); #31574=FACE_OUTER_BOUND('',#51475,.T.); #31575=FACE_OUTER_BOUND('',#51476,.T.); #31576=FACE_OUTER_BOUND('',#51477,.T.); #31577=FACE_OUTER_BOUND('',#51478,.T.); #31578=FACE_OUTER_BOUND('',#51479,.T.); #31579=FACE_OUTER_BOUND('',#51480,.T.); #31580=FACE_OUTER_BOUND('',#51481,.T.); #31581=FACE_OUTER_BOUND('',#51482,.T.); #31582=FACE_OUTER_BOUND('',#51483,.T.); #31583=FACE_OUTER_BOUND('',#51484,.T.); #31584=FACE_OUTER_BOUND('',#51485,.T.); #31585=FACE_OUTER_BOUND('',#51486,.T.); #31586=FACE_OUTER_BOUND('',#51487,.T.); #31587=FACE_OUTER_BOUND('',#51488,.T.); #31588=FACE_OUTER_BOUND('',#51489,.T.); #31589=FACE_OUTER_BOUND('',#51490,.T.); #31590=FACE_OUTER_BOUND('',#51491,.T.); #31591=FACE_OUTER_BOUND('',#51492,.T.); #31592=FACE_OUTER_BOUND('',#51493,.T.); #31593=FACE_OUTER_BOUND('',#51494,.T.); #31594=FACE_OUTER_BOUND('',#51495,.T.); #31595=FACE_OUTER_BOUND('',#51496,.T.); #31596=FACE_OUTER_BOUND('',#51497,.T.); #31597=FACE_OUTER_BOUND('',#51498,.T.); #31598=FACE_OUTER_BOUND('',#51499,.T.); #31599=FACE_OUTER_BOUND('',#51500,.T.); #31600=FACE_OUTER_BOUND('',#51501,.T.); #31601=FACE_OUTER_BOUND('',#51502,.T.); #31602=FACE_OUTER_BOUND('',#51503,.T.); #31603=FACE_OUTER_BOUND('',#51504,.T.); #31604=FACE_OUTER_BOUND('',#51505,.T.); #31605=FACE_OUTER_BOUND('',#51506,.T.); #31606=FACE_OUTER_BOUND('',#51507,.T.); #31607=FACE_OUTER_BOUND('',#51508,.T.); #31608=FACE_OUTER_BOUND('',#51509,.T.); #31609=FACE_OUTER_BOUND('',#51510,.T.); #31610=FACE_OUTER_BOUND('',#51511,.T.); #31611=FACE_OUTER_BOUND('',#51512,.T.); #31612=FACE_OUTER_BOUND('',#51513,.T.); #31613=FACE_OUTER_BOUND('',#51514,.T.); #31614=FACE_OUTER_BOUND('',#51515,.T.); #31615=FACE_OUTER_BOUND('',#51516,.T.); #31616=FACE_OUTER_BOUND('',#51517,.T.); #31617=FACE_OUTER_BOUND('',#51518,.T.); #31618=FACE_OUTER_BOUND('',#51519,.T.); #31619=FACE_OUTER_BOUND('',#51520,.T.); #31620=FACE_OUTER_BOUND('',#51521,.T.); #31621=FACE_OUTER_BOUND('',#51522,.T.); #31622=FACE_OUTER_BOUND('',#51523,.T.); #31623=FACE_OUTER_BOUND('',#51524,.T.); #31624=FACE_OUTER_BOUND('',#51525,.T.); #31625=FACE_OUTER_BOUND('',#51526,.T.); #31626=FACE_OUTER_BOUND('',#51527,.T.); #31627=FACE_OUTER_BOUND('',#51528,.T.); #31628=FACE_OUTER_BOUND('',#51529,.T.); #31629=FACE_OUTER_BOUND('',#51530,.T.); #31630=FACE_OUTER_BOUND('',#51531,.T.); #31631=FACE_OUTER_BOUND('',#51532,.T.); #31632=FACE_OUTER_BOUND('',#51533,.T.); #31633=FACE_OUTER_BOUND('',#51534,.T.); #31634=FACE_OUTER_BOUND('',#51535,.T.); #31635=FACE_OUTER_BOUND('',#51536,.T.); #31636=FACE_OUTER_BOUND('',#51537,.T.); #31637=FACE_OUTER_BOUND('',#51538,.T.); #31638=FACE_OUTER_BOUND('',#51539,.T.); #31639=FACE_OUTER_BOUND('',#51540,.T.); #31640=FACE_OUTER_BOUND('',#51541,.T.); #31641=FACE_OUTER_BOUND('',#51542,.T.); #31642=FACE_OUTER_BOUND('',#51543,.T.); #31643=FACE_OUTER_BOUND('',#51544,.T.); #31644=FACE_OUTER_BOUND('',#51545,.T.); #31645=FACE_OUTER_BOUND('',#51546,.T.); #31646=FACE_OUTER_BOUND('',#51547,.T.); #31647=FACE_OUTER_BOUND('',#51548,.T.); #31648=FACE_OUTER_BOUND('',#51549,.T.); #31649=FACE_OUTER_BOUND('',#51550,.T.); #31650=FACE_OUTER_BOUND('',#51551,.T.); #31651=FACE_OUTER_BOUND('',#51552,.T.); #31652=FACE_OUTER_BOUND('',#51553,.T.); #31653=FACE_OUTER_BOUND('',#51554,.T.); #31654=FACE_OUTER_BOUND('',#51555,.T.); #31655=FACE_OUTER_BOUND('',#51556,.T.); #31656=FACE_OUTER_BOUND('',#51557,.T.); #31657=FACE_OUTER_BOUND('',#51558,.T.); #31658=FACE_OUTER_BOUND('',#51559,.T.); #31659=FACE_OUTER_BOUND('',#51560,.T.); #31660=FACE_OUTER_BOUND('',#51561,.T.); #31661=FACE_OUTER_BOUND('',#51562,.T.); #31662=FACE_OUTER_BOUND('',#51563,.T.); #31663=FACE_OUTER_BOUND('',#51564,.T.); #31664=FACE_OUTER_BOUND('',#51565,.T.); #31665=FACE_OUTER_BOUND('',#51566,.T.); #31666=FACE_OUTER_BOUND('',#51567,.T.); #31667=FACE_OUTER_BOUND('',#51568,.T.); #31668=FACE_OUTER_BOUND('',#51569,.T.); #31669=FACE_OUTER_BOUND('',#51570,.T.); #31670=FACE_OUTER_BOUND('',#51571,.T.); #31671=FACE_OUTER_BOUND('',#51572,.T.); #31672=FACE_OUTER_BOUND('',#51573,.T.); #31673=FACE_OUTER_BOUND('',#51574,.T.); #31674=FACE_OUTER_BOUND('',#51575,.T.); #31675=FACE_OUTER_BOUND('',#51576,.T.); #31676=FACE_OUTER_BOUND('',#51577,.T.); #31677=FACE_OUTER_BOUND('',#51578,.T.); #31678=FACE_OUTER_BOUND('',#51579,.T.); #31679=FACE_OUTER_BOUND('',#51580,.T.); #31680=FACE_OUTER_BOUND('',#51581,.T.); #31681=FACE_OUTER_BOUND('',#51582,.T.); #31682=FACE_OUTER_BOUND('',#51583,.T.); #31683=FACE_OUTER_BOUND('',#51584,.T.); #31684=FACE_OUTER_BOUND('',#51585,.T.); #31685=FACE_OUTER_BOUND('',#51586,.T.); #31686=FACE_OUTER_BOUND('',#51587,.T.); #31687=FACE_OUTER_BOUND('',#51588,.T.); #31688=FACE_OUTER_BOUND('',#51589,.T.); #31689=FACE_OUTER_BOUND('',#51590,.T.); #31690=FACE_OUTER_BOUND('',#51591,.T.); #31691=FACE_OUTER_BOUND('',#51592,.T.); #31692=FACE_OUTER_BOUND('',#51593,.T.); #31693=FACE_OUTER_BOUND('',#51594,.T.); #31694=FACE_OUTER_BOUND('',#51595,.T.); #31695=FACE_OUTER_BOUND('',#51596,.T.); #31696=FACE_OUTER_BOUND('',#51597,.T.); #31697=FACE_OUTER_BOUND('',#51598,.T.); #31698=FACE_OUTER_BOUND('',#51599,.T.); #31699=FACE_OUTER_BOUND('',#51600,.T.); #31700=FACE_OUTER_BOUND('',#51601,.T.); #31701=FACE_OUTER_BOUND('',#51602,.T.); #31702=FACE_OUTER_BOUND('',#51603,.T.); #31703=FACE_OUTER_BOUND('',#51604,.T.); #31704=FACE_OUTER_BOUND('',#51605,.T.); #31705=FACE_OUTER_BOUND('',#51606,.T.); #31706=FACE_OUTER_BOUND('',#51607,.T.); #31707=FACE_OUTER_BOUND('',#51608,.T.); #31708=FACE_OUTER_BOUND('',#51609,.T.); #31709=FACE_OUTER_BOUND('',#51610,.T.); #31710=FACE_OUTER_BOUND('',#51611,.T.); #31711=FACE_OUTER_BOUND('',#51612,.T.); #31712=FACE_OUTER_BOUND('',#51613,.T.); #31713=FACE_OUTER_BOUND('',#51614,.T.); #31714=FACE_OUTER_BOUND('',#51615,.T.); #31715=FACE_OUTER_BOUND('',#51616,.T.); #31716=FACE_OUTER_BOUND('',#51617,.T.); #31717=FACE_OUTER_BOUND('',#51618,.T.); #31718=FACE_OUTER_BOUND('',#51619,.T.); #31719=FACE_OUTER_BOUND('',#51620,.T.); #31720=FACE_OUTER_BOUND('',#51621,.T.); #31721=FACE_OUTER_BOUND('',#51622,.T.); #31722=FACE_OUTER_BOUND('',#51623,.T.); #31723=FACE_OUTER_BOUND('',#51624,.T.); #31724=FACE_OUTER_BOUND('',#51625,.T.); #31725=FACE_OUTER_BOUND('',#51626,.T.); #31726=FACE_OUTER_BOUND('',#51627,.T.); #31727=FACE_OUTER_BOUND('',#51628,.T.); #31728=FACE_OUTER_BOUND('',#51629,.T.); #31729=FACE_OUTER_BOUND('',#51630,.T.); #31730=FACE_OUTER_BOUND('',#51631,.T.); #31731=FACE_OUTER_BOUND('',#51632,.T.); #31732=FACE_OUTER_BOUND('',#51633,.T.); #31733=FACE_OUTER_BOUND('',#51634,.T.); #31734=FACE_OUTER_BOUND('',#51635,.T.); #31735=FACE_OUTER_BOUND('',#51636,.T.); #31736=FACE_OUTER_BOUND('',#51637,.T.); #31737=FACE_OUTER_BOUND('',#51638,.T.); #31738=FACE_OUTER_BOUND('',#51639,.T.); #31739=FACE_OUTER_BOUND('',#51640,.T.); #31740=FACE_OUTER_BOUND('',#51641,.T.); #31741=FACE_OUTER_BOUND('',#51642,.T.); #31742=FACE_OUTER_BOUND('',#51643,.T.); #31743=FACE_OUTER_BOUND('',#51644,.T.); #31744=FACE_OUTER_BOUND('',#51645,.T.); #31745=FACE_OUTER_BOUND('',#51646,.T.); #31746=FACE_OUTER_BOUND('',#51647,.T.); #31747=FACE_OUTER_BOUND('',#51648,.T.); #31748=FACE_OUTER_BOUND('',#51649,.T.); #31749=FACE_OUTER_BOUND('',#51650,.T.); #31750=FACE_OUTER_BOUND('',#51651,.T.); #31751=FACE_OUTER_BOUND('',#51652,.T.); #31752=FACE_OUTER_BOUND('',#51653,.T.); #31753=FACE_OUTER_BOUND('',#51654,.T.); #31754=FACE_OUTER_BOUND('',#51655,.T.); #31755=FACE_OUTER_BOUND('',#51656,.T.); #31756=FACE_OUTER_BOUND('',#51657,.T.); #31757=FACE_OUTER_BOUND('',#51658,.T.); #31758=FACE_OUTER_BOUND('',#51659,.T.); #31759=FACE_OUTER_BOUND('',#51660,.T.); #31760=FACE_OUTER_BOUND('',#51661,.T.); #31761=FACE_OUTER_BOUND('',#51662,.T.); #31762=FACE_OUTER_BOUND('',#51663,.T.); #31763=FACE_OUTER_BOUND('',#51664,.T.); #31764=FACE_OUTER_BOUND('',#51665,.T.); #31765=FACE_OUTER_BOUND('',#51666,.T.); #31766=FACE_OUTER_BOUND('',#51667,.T.); #31767=FACE_OUTER_BOUND('',#51668,.T.); #31768=FACE_OUTER_BOUND('',#51669,.T.); #31769=FACE_OUTER_BOUND('',#51670,.T.); #31770=FACE_OUTER_BOUND('',#51671,.T.); #31771=FACE_OUTER_BOUND('',#51672,.T.); #31772=FACE_OUTER_BOUND('',#51673,.T.); #31773=FACE_OUTER_BOUND('',#51674,.T.); #31774=FACE_OUTER_BOUND('',#51675,.T.); #31775=FACE_OUTER_BOUND('',#51676,.T.); #31776=FACE_OUTER_BOUND('',#51677,.T.); #31777=FACE_OUTER_BOUND('',#51678,.T.); #31778=FACE_OUTER_BOUND('',#51679,.T.); #31779=FACE_OUTER_BOUND('',#51680,.T.); #31780=FACE_OUTER_BOUND('',#51681,.T.); #31781=FACE_OUTER_BOUND('',#51682,.T.); #31782=FACE_OUTER_BOUND('',#51683,.T.); #31783=FACE_OUTER_BOUND('',#51684,.T.); #31784=FACE_OUTER_BOUND('',#51685,.T.); #31785=FACE_OUTER_BOUND('',#51686,.T.); #31786=FACE_OUTER_BOUND('',#51687,.T.); #31787=FACE_OUTER_BOUND('',#51688,.T.); #31788=FACE_OUTER_BOUND('',#51689,.T.); #31789=FACE_OUTER_BOUND('',#51690,.T.); #31790=FACE_OUTER_BOUND('',#51691,.T.); #31791=FACE_OUTER_BOUND('',#51692,.T.); #31792=FACE_OUTER_BOUND('',#51693,.T.); #31793=FACE_OUTER_BOUND('',#51694,.T.); #31794=FACE_OUTER_BOUND('',#51695,.T.); #31795=FACE_OUTER_BOUND('',#51696,.T.); #31796=FACE_OUTER_BOUND('',#51697,.T.); #31797=FACE_OUTER_BOUND('',#51698,.T.); #31798=FACE_OUTER_BOUND('',#51699,.T.); #31799=FACE_OUTER_BOUND('',#51700,.T.); #31800=FACE_OUTER_BOUND('',#51701,.T.); #31801=FACE_OUTER_BOUND('',#51702,.T.); #31802=FACE_OUTER_BOUND('',#51703,.T.); #31803=FACE_OUTER_BOUND('',#51704,.T.); #31804=FACE_OUTER_BOUND('',#51705,.T.); #31805=FACE_OUTER_BOUND('',#51706,.T.); #31806=FACE_OUTER_BOUND('',#51707,.T.); #31807=FACE_OUTER_BOUND('',#51708,.T.); #31808=FACE_OUTER_BOUND('',#51709,.T.); #31809=FACE_OUTER_BOUND('',#51710,.T.); #31810=FACE_OUTER_BOUND('',#51711,.T.); #31811=FACE_OUTER_BOUND('',#51712,.T.); #31812=FACE_OUTER_BOUND('',#51713,.T.); #31813=FACE_OUTER_BOUND('',#51714,.T.); #31814=FACE_OUTER_BOUND('',#51715,.T.); #31815=FACE_OUTER_BOUND('',#51716,.T.); #31816=FACE_OUTER_BOUND('',#51717,.T.); #31817=FACE_OUTER_BOUND('',#51718,.T.); #31818=FACE_OUTER_BOUND('',#51719,.T.); #31819=FACE_OUTER_BOUND('',#51720,.T.); #31820=FACE_OUTER_BOUND('',#51721,.T.); #31821=FACE_OUTER_BOUND('',#51722,.T.); #31822=FACE_OUTER_BOUND('',#51723,.T.); #31823=FACE_OUTER_BOUND('',#51724,.T.); #31824=FACE_OUTER_BOUND('',#51725,.T.); #31825=FACE_OUTER_BOUND('',#51726,.T.); #31826=FACE_OUTER_BOUND('',#51727,.T.); #31827=FACE_OUTER_BOUND('',#51728,.T.); #31828=FACE_OUTER_BOUND('',#51729,.T.); #31829=FACE_OUTER_BOUND('',#51730,.T.); #31830=FACE_OUTER_BOUND('',#51731,.T.); #31831=FACE_OUTER_BOUND('',#51732,.T.); #31832=FACE_OUTER_BOUND('',#51733,.T.); #31833=FACE_OUTER_BOUND('',#51734,.T.); #31834=FACE_OUTER_BOUND('',#51735,.T.); #31835=FACE_OUTER_BOUND('',#51736,.T.); #31836=FACE_OUTER_BOUND('',#51737,.T.); #31837=FACE_OUTER_BOUND('',#51738,.T.); #31838=FACE_OUTER_BOUND('',#51739,.T.); #31839=FACE_OUTER_BOUND('',#51740,.T.); #31840=FACE_OUTER_BOUND('',#51741,.T.); #31841=FACE_OUTER_BOUND('',#51742,.T.); #31842=FACE_OUTER_BOUND('',#51743,.T.); #31843=FACE_OUTER_BOUND('',#51744,.T.); #31844=FACE_OUTER_BOUND('',#51745,.T.); #31845=FACE_OUTER_BOUND('',#51746,.T.); #31846=FACE_OUTER_BOUND('',#51747,.T.); #31847=FACE_OUTER_BOUND('',#51748,.T.); #31848=FACE_OUTER_BOUND('',#51749,.T.); #31849=FACE_OUTER_BOUND('',#51750,.T.); #31850=FACE_OUTER_BOUND('',#51751,.T.); #31851=FACE_OUTER_BOUND('',#51752,.T.); #31852=FACE_OUTER_BOUND('',#51753,.T.); #31853=FACE_OUTER_BOUND('',#51754,.T.); #31854=FACE_OUTER_BOUND('',#51755,.T.); #31855=FACE_OUTER_BOUND('',#51756,.T.); #31856=FACE_OUTER_BOUND('',#51757,.T.); #31857=FACE_OUTER_BOUND('',#51758,.T.); #31858=FACE_OUTER_BOUND('',#51759,.T.); #31859=FACE_OUTER_BOUND('',#51760,.T.); #31860=FACE_OUTER_BOUND('',#51761,.T.); #31861=FACE_OUTER_BOUND('',#51762,.T.); #31862=FACE_OUTER_BOUND('',#51763,.T.); #31863=FACE_OUTER_BOUND('',#51764,.T.); #31864=FACE_OUTER_BOUND('',#51765,.T.); #31865=FACE_OUTER_BOUND('',#51766,.T.); #31866=FACE_OUTER_BOUND('',#51767,.T.); #31867=FACE_OUTER_BOUND('',#51768,.T.); #31868=FACE_OUTER_BOUND('',#51769,.T.); #31869=FACE_OUTER_BOUND('',#51770,.T.); #31870=FACE_OUTER_BOUND('',#51771,.T.); #31871=FACE_OUTER_BOUND('',#51772,.T.); #31872=FACE_OUTER_BOUND('',#51773,.T.); #31873=FACE_OUTER_BOUND('',#51774,.T.); #31874=FACE_OUTER_BOUND('',#51775,.T.); #31875=FACE_OUTER_BOUND('',#51776,.T.); #31876=FACE_OUTER_BOUND('',#51777,.T.); #31877=FACE_OUTER_BOUND('',#51778,.T.); #31878=FACE_OUTER_BOUND('',#51779,.T.); #31879=FACE_OUTER_BOUND('',#51780,.T.); #31880=FACE_OUTER_BOUND('',#51781,.T.); #31881=FACE_OUTER_BOUND('',#51782,.T.); #31882=FACE_OUTER_BOUND('',#51783,.T.); #31883=FACE_OUTER_BOUND('',#51784,.T.); #31884=FACE_OUTER_BOUND('',#51785,.T.); #31885=FACE_OUTER_BOUND('',#51786,.T.); #31886=FACE_OUTER_BOUND('',#51787,.T.); #31887=FACE_OUTER_BOUND('',#51788,.T.); #31888=FACE_OUTER_BOUND('',#51789,.T.); #31889=FACE_OUTER_BOUND('',#51790,.T.); #31890=FACE_OUTER_BOUND('',#51791,.T.); #31891=FACE_OUTER_BOUND('',#51792,.T.); #31892=FACE_OUTER_BOUND('',#51793,.T.); #31893=FACE_OUTER_BOUND('',#51794,.T.); #31894=FACE_OUTER_BOUND('',#51795,.T.); #31895=FACE_OUTER_BOUND('',#51796,.T.); #31896=FACE_OUTER_BOUND('',#51797,.T.); #31897=FACE_OUTER_BOUND('',#51798,.T.); #31898=FACE_OUTER_BOUND('',#51799,.T.); #31899=FACE_OUTER_BOUND('',#51800,.T.); #31900=FACE_OUTER_BOUND('',#51801,.T.); #31901=FACE_OUTER_BOUND('',#51802,.T.); #31902=FACE_OUTER_BOUND('',#51803,.T.); #31903=FACE_OUTER_BOUND('',#51804,.T.); #31904=FACE_OUTER_BOUND('',#51805,.T.); #31905=FACE_OUTER_BOUND('',#51806,.T.); #31906=FACE_OUTER_BOUND('',#51807,.T.); #31907=FACE_OUTER_BOUND('',#51808,.T.); #31908=FACE_OUTER_BOUND('',#51809,.T.); #31909=FACE_OUTER_BOUND('',#51810,.T.); #31910=FACE_OUTER_BOUND('',#51811,.T.); #31911=FACE_OUTER_BOUND('',#51812,.T.); #31912=FACE_OUTER_BOUND('',#51813,.T.); #31913=FACE_OUTER_BOUND('',#51814,.T.); #31914=FACE_OUTER_BOUND('',#51815,.T.); #31915=FACE_OUTER_BOUND('',#51816,.T.); #31916=FACE_OUTER_BOUND('',#51817,.T.); #31917=FACE_OUTER_BOUND('',#51818,.T.); #31918=FACE_OUTER_BOUND('',#51819,.T.); #31919=FACE_OUTER_BOUND('',#51820,.T.); #31920=FACE_OUTER_BOUND('',#51821,.T.); #31921=FACE_OUTER_BOUND('',#51822,.T.); #31922=FACE_OUTER_BOUND('',#51823,.T.); #31923=FACE_OUTER_BOUND('',#51824,.T.); #31924=FACE_OUTER_BOUND('',#51825,.T.); #31925=FACE_OUTER_BOUND('',#51826,.T.); #31926=FACE_OUTER_BOUND('',#51827,.T.); #31927=FACE_OUTER_BOUND('',#51828,.T.); #31928=FACE_OUTER_BOUND('',#51829,.T.); #31929=FACE_OUTER_BOUND('',#51830,.T.); #31930=FACE_OUTER_BOUND('',#51831,.T.); #31931=FACE_OUTER_BOUND('',#51832,.T.); #31932=FACE_OUTER_BOUND('',#51833,.T.); #31933=FACE_OUTER_BOUND('',#51834,.T.); #31934=FACE_OUTER_BOUND('',#51835,.T.); #31935=FACE_OUTER_BOUND('',#51836,.T.); #31936=FACE_OUTER_BOUND('',#51837,.T.); #31937=FACE_OUTER_BOUND('',#51838,.T.); #31938=FACE_OUTER_BOUND('',#51839,.T.); #31939=FACE_OUTER_BOUND('',#51840,.T.); #31940=FACE_OUTER_BOUND('',#51841,.T.); #31941=FACE_OUTER_BOUND('',#51842,.T.); #31942=FACE_OUTER_BOUND('',#51843,.T.); #31943=FACE_OUTER_BOUND('',#51844,.T.); #31944=FACE_OUTER_BOUND('',#51845,.T.); #31945=FACE_OUTER_BOUND('',#51846,.T.); #31946=FACE_OUTER_BOUND('',#51847,.T.); #31947=FACE_OUTER_BOUND('',#51848,.T.); #31948=FACE_OUTER_BOUND('',#51849,.T.); #31949=FACE_OUTER_BOUND('',#51850,.T.); #31950=FACE_OUTER_BOUND('',#51851,.T.); #31951=FACE_OUTER_BOUND('',#51852,.T.); #31952=FACE_OUTER_BOUND('',#51853,.T.); #31953=FACE_OUTER_BOUND('',#51854,.T.); #31954=FACE_OUTER_BOUND('',#51855,.T.); #31955=FACE_OUTER_BOUND('',#51856,.T.); #31956=FACE_OUTER_BOUND('',#51857,.T.); #31957=FACE_OUTER_BOUND('',#51858,.T.); #31958=FACE_OUTER_BOUND('',#51859,.T.); #31959=FACE_OUTER_BOUND('',#51860,.T.); #31960=FACE_OUTER_BOUND('',#51861,.T.); #31961=FACE_OUTER_BOUND('',#51862,.T.); #31962=FACE_OUTER_BOUND('',#51863,.T.); #31963=FACE_OUTER_BOUND('',#51864,.T.); #31964=FACE_OUTER_BOUND('',#51865,.T.); #31965=FACE_OUTER_BOUND('',#51866,.T.); #31966=FACE_OUTER_BOUND('',#51867,.T.); #31967=FACE_OUTER_BOUND('',#51868,.T.); #31968=FACE_OUTER_BOUND('',#51869,.T.); #31969=FACE_OUTER_BOUND('',#51870,.T.); #31970=FACE_OUTER_BOUND('',#51871,.T.); #31971=FACE_OUTER_BOUND('',#51872,.T.); #31972=FACE_OUTER_BOUND('',#51873,.T.); #31973=FACE_OUTER_BOUND('',#51874,.T.); #31974=FACE_OUTER_BOUND('',#51875,.T.); #31975=FACE_OUTER_BOUND('',#51876,.T.); #31976=FACE_OUTER_BOUND('',#51877,.T.); #31977=FACE_OUTER_BOUND('',#51878,.T.); #31978=FACE_OUTER_BOUND('',#51879,.T.); #31979=FACE_OUTER_BOUND('',#51880,.T.); #31980=FACE_OUTER_BOUND('',#51881,.T.); #31981=FACE_OUTER_BOUND('',#51882,.T.); #31982=FACE_OUTER_BOUND('',#51883,.T.); #31983=FACE_OUTER_BOUND('',#51884,.T.); #31984=FACE_OUTER_BOUND('',#51885,.T.); #31985=FACE_OUTER_BOUND('',#51886,.T.); #31986=FACE_OUTER_BOUND('',#51887,.T.); #31987=FACE_OUTER_BOUND('',#51888,.T.); #31988=FACE_OUTER_BOUND('',#51889,.T.); #31989=FACE_OUTER_BOUND('',#51890,.T.); #31990=FACE_OUTER_BOUND('',#51891,.T.); #31991=FACE_OUTER_BOUND('',#51892,.T.); #31992=FACE_OUTER_BOUND('',#51893,.T.); #31993=FACE_OUTER_BOUND('',#51894,.T.); #31994=FACE_OUTER_BOUND('',#51895,.T.); #31995=FACE_OUTER_BOUND('',#51896,.T.); #31996=FACE_OUTER_BOUND('',#51897,.T.); #31997=FACE_OUTER_BOUND('',#51898,.T.); #31998=FACE_OUTER_BOUND('',#51899,.T.); #31999=FACE_OUTER_BOUND('',#51900,.T.); #32000=FACE_OUTER_BOUND('',#51901,.T.); #32001=FACE_OUTER_BOUND('',#51902,.T.); #32002=FACE_OUTER_BOUND('',#51903,.T.); #32003=FACE_OUTER_BOUND('',#51904,.T.); #32004=FACE_OUTER_BOUND('',#51905,.T.); #32005=FACE_OUTER_BOUND('',#51906,.T.); #32006=FACE_OUTER_BOUND('',#51907,.T.); #32007=FACE_OUTER_BOUND('',#51908,.T.); #32008=FACE_OUTER_BOUND('',#51909,.T.); #32009=FACE_OUTER_BOUND('',#51910,.T.); #32010=FACE_OUTER_BOUND('',#51911,.T.); #32011=FACE_OUTER_BOUND('',#51912,.T.); #32012=FACE_OUTER_BOUND('',#51913,.T.); #32013=FACE_OUTER_BOUND('',#51914,.T.); #32014=FACE_OUTER_BOUND('',#51915,.T.); #32015=FACE_OUTER_BOUND('',#51916,.T.); #32016=FACE_OUTER_BOUND('',#51917,.T.); #32017=FACE_OUTER_BOUND('',#51918,.T.); #32018=FACE_OUTER_BOUND('',#51919,.T.); #32019=FACE_OUTER_BOUND('',#51920,.T.); #32020=FACE_OUTER_BOUND('',#51921,.T.); #32021=FACE_OUTER_BOUND('',#51922,.T.); #32022=FACE_OUTER_BOUND('',#51923,.T.); #32023=FACE_OUTER_BOUND('',#51924,.T.); #32024=FACE_OUTER_BOUND('',#51925,.T.); #32025=FACE_OUTER_BOUND('',#51926,.T.); #32026=FACE_OUTER_BOUND('',#51927,.T.); #32027=FACE_OUTER_BOUND('',#51928,.T.); #32028=FACE_OUTER_BOUND('',#51929,.T.); #32029=FACE_OUTER_BOUND('',#51930,.T.); #32030=FACE_OUTER_BOUND('',#51931,.T.); #32031=FACE_OUTER_BOUND('',#51932,.T.); #32032=FACE_OUTER_BOUND('',#51933,.T.); #32033=FACE_OUTER_BOUND('',#51934,.T.); #32034=FACE_OUTER_BOUND('',#51935,.T.); #32035=FACE_OUTER_BOUND('',#51936,.T.); #32036=FACE_OUTER_BOUND('',#51937,.T.); #32037=FACE_OUTER_BOUND('',#51938,.T.); #32038=FACE_OUTER_BOUND('',#51939,.T.); #32039=FACE_OUTER_BOUND('',#51940,.T.); #32040=FACE_OUTER_BOUND('',#51941,.T.); #32041=FACE_OUTER_BOUND('',#51942,.T.); #32042=FACE_OUTER_BOUND('',#51943,.T.); #32043=FACE_OUTER_BOUND('',#51944,.T.); #32044=FACE_OUTER_BOUND('',#51945,.T.); #32045=FACE_OUTER_BOUND('',#51946,.T.); #32046=FACE_OUTER_BOUND('',#51947,.T.); #32047=FACE_OUTER_BOUND('',#51948,.T.); #32048=FACE_OUTER_BOUND('',#51949,.T.); #32049=FACE_OUTER_BOUND('',#51950,.T.); #32050=FACE_OUTER_BOUND('',#51951,.T.); #32051=FACE_OUTER_BOUND('',#51952,.T.); #32052=FACE_OUTER_BOUND('',#51953,.T.); #32053=FACE_OUTER_BOUND('',#51954,.T.); #32054=FACE_OUTER_BOUND('',#51955,.T.); #32055=FACE_OUTER_BOUND('',#51956,.T.); #32056=FACE_OUTER_BOUND('',#51957,.T.); #32057=FACE_OUTER_BOUND('',#51958,.T.); #32058=FACE_OUTER_BOUND('',#51959,.T.); #32059=FACE_OUTER_BOUND('',#51960,.T.); #32060=FACE_OUTER_BOUND('',#51961,.T.); #32061=FACE_OUTER_BOUND('',#51962,.T.); #32062=FACE_OUTER_BOUND('',#51963,.T.); #32063=FACE_OUTER_BOUND('',#51964,.T.); #32064=FACE_OUTER_BOUND('',#51965,.T.); #32065=FACE_OUTER_BOUND('',#51966,.T.); #32066=FACE_OUTER_BOUND('',#51967,.T.); #32067=FACE_OUTER_BOUND('',#51968,.T.); #32068=FACE_OUTER_BOUND('',#51969,.T.); #32069=FACE_OUTER_BOUND('',#51970,.T.); #32070=FACE_OUTER_BOUND('',#51971,.T.); #32071=FACE_OUTER_BOUND('',#51972,.T.); #32072=FACE_OUTER_BOUND('',#51973,.T.); #32073=FACE_OUTER_BOUND('',#51974,.T.); #32074=FACE_OUTER_BOUND('',#51975,.T.); #32075=FACE_OUTER_BOUND('',#51976,.T.); #32076=FACE_OUTER_BOUND('',#51977,.T.); #32077=FACE_OUTER_BOUND('',#51978,.T.); #32078=FACE_OUTER_BOUND('',#51979,.T.); #32079=FACE_OUTER_BOUND('',#51980,.T.); #32080=FACE_OUTER_BOUND('',#51981,.T.); #32081=FACE_OUTER_BOUND('',#51982,.T.); #32082=FACE_OUTER_BOUND('',#51983,.T.); #32083=FACE_OUTER_BOUND('',#51984,.T.); #32084=FACE_OUTER_BOUND('',#51985,.T.); #32085=FACE_OUTER_BOUND('',#51986,.T.); #32086=FACE_OUTER_BOUND('',#51987,.T.); #32087=FACE_OUTER_BOUND('',#51988,.T.); #32088=FACE_OUTER_BOUND('',#51989,.T.); #32089=FACE_OUTER_BOUND('',#51990,.T.); #32090=FACE_OUTER_BOUND('',#51991,.T.); #32091=FACE_OUTER_BOUND('',#51992,.T.); #32092=FACE_OUTER_BOUND('',#51993,.T.); #32093=FACE_OUTER_BOUND('',#51994,.T.); #32094=FACE_OUTER_BOUND('',#51995,.T.); #32095=FACE_OUTER_BOUND('',#51996,.T.); #32096=FACE_OUTER_BOUND('',#51997,.T.); #32097=FACE_OUTER_BOUND('',#51998,.T.); #32098=FACE_OUTER_BOUND('',#51999,.T.); #32099=FACE_OUTER_BOUND('',#52000,.T.); #32100=FACE_OUTER_BOUND('',#52001,.T.); #32101=FACE_OUTER_BOUND('',#52002,.T.); #32102=FACE_OUTER_BOUND('',#52003,.T.); #32103=FACE_OUTER_BOUND('',#52004,.T.); #32104=FACE_OUTER_BOUND('',#52005,.T.); #32105=FACE_OUTER_BOUND('',#52006,.T.); #32106=FACE_OUTER_BOUND('',#52007,.T.); #32107=FACE_OUTER_BOUND('',#52008,.T.); #32108=FACE_OUTER_BOUND('',#52009,.T.); #32109=FACE_OUTER_BOUND('',#52010,.T.); #32110=FACE_OUTER_BOUND('',#52011,.T.); #32111=FACE_OUTER_BOUND('',#52012,.T.); #32112=FACE_OUTER_BOUND('',#52013,.T.); #32113=FACE_OUTER_BOUND('',#52014,.T.); #32114=FACE_OUTER_BOUND('',#52015,.T.); #32115=FACE_OUTER_BOUND('',#52016,.T.); #32116=FACE_OUTER_BOUND('',#52017,.T.); #32117=FACE_OUTER_BOUND('',#52018,.T.); #32118=FACE_OUTER_BOUND('',#52019,.T.); #32119=FACE_OUTER_BOUND('',#52020,.T.); #32120=FACE_OUTER_BOUND('',#52021,.T.); #32121=FACE_OUTER_BOUND('',#52022,.T.); #32122=FACE_OUTER_BOUND('',#52023,.T.); #32123=FACE_OUTER_BOUND('',#52024,.T.); #32124=FACE_OUTER_BOUND('',#52025,.T.); #32125=FACE_OUTER_BOUND('',#52026,.T.); #32126=FACE_OUTER_BOUND('',#52027,.T.); #32127=FACE_OUTER_BOUND('',#52028,.T.); #32128=FACE_OUTER_BOUND('',#52029,.T.); #32129=FACE_OUTER_BOUND('',#52030,.T.); #32130=FACE_OUTER_BOUND('',#52031,.T.); #32131=FACE_OUTER_BOUND('',#52032,.T.); #32132=FACE_OUTER_BOUND('',#52033,.T.); #32133=FACE_OUTER_BOUND('',#52034,.T.); #32134=FACE_OUTER_BOUND('',#52035,.T.); #32135=FACE_OUTER_BOUND('',#52036,.T.); #32136=FACE_OUTER_BOUND('',#52037,.T.); #32137=FACE_OUTER_BOUND('',#52038,.T.); #32138=FACE_OUTER_BOUND('',#52039,.T.); #32139=FACE_OUTER_BOUND('',#52040,.T.); #32140=FACE_OUTER_BOUND('',#52041,.T.); #32141=FACE_OUTER_BOUND('',#52042,.T.); #32142=FACE_OUTER_BOUND('',#52043,.T.); #32143=FACE_OUTER_BOUND('',#52044,.T.); #32144=FACE_OUTER_BOUND('',#52045,.T.); #32145=FACE_OUTER_BOUND('',#52046,.T.); #32146=FACE_OUTER_BOUND('',#52047,.T.); #32147=FACE_OUTER_BOUND('',#52048,.T.); #32148=FACE_OUTER_BOUND('',#52049,.T.); #32149=FACE_OUTER_BOUND('',#52050,.T.); #32150=FACE_OUTER_BOUND('',#52051,.T.); #32151=FACE_OUTER_BOUND('',#52052,.T.); #32152=FACE_OUTER_BOUND('',#52053,.T.); #32153=FACE_OUTER_BOUND('',#52054,.T.); #32154=FACE_OUTER_BOUND('',#52055,.T.); #32155=FACE_OUTER_BOUND('',#52056,.T.); #32156=FACE_OUTER_BOUND('',#52057,.T.); #32157=FACE_OUTER_BOUND('',#52058,.T.); #32158=FACE_OUTER_BOUND('',#52059,.T.); #32159=FACE_OUTER_BOUND('',#52060,.T.); #32160=FACE_OUTER_BOUND('',#52061,.T.); #32161=FACE_OUTER_BOUND('',#52062,.T.); #32162=FACE_OUTER_BOUND('',#52063,.T.); #32163=FACE_OUTER_BOUND('',#52064,.T.); #32164=FACE_OUTER_BOUND('',#52065,.T.); #32165=FACE_OUTER_BOUND('',#52066,.T.); #32166=FACE_OUTER_BOUND('',#52067,.T.); #32167=FACE_OUTER_BOUND('',#52068,.T.); #32168=FACE_OUTER_BOUND('',#52069,.T.); #32169=FACE_OUTER_BOUND('',#52070,.T.); #32170=FACE_OUTER_BOUND('',#52071,.T.); #32171=FACE_OUTER_BOUND('',#52072,.T.); #32172=FACE_OUTER_BOUND('',#52073,.T.); #32173=FACE_OUTER_BOUND('',#52074,.T.); #32174=FACE_OUTER_BOUND('',#52075,.T.); #32175=FACE_OUTER_BOUND('',#52076,.T.); #32176=FACE_OUTER_BOUND('',#52077,.T.); #32177=FACE_OUTER_BOUND('',#52078,.T.); #32178=FACE_OUTER_BOUND('',#52079,.T.); #32179=FACE_OUTER_BOUND('',#52080,.T.); #32180=FACE_OUTER_BOUND('',#52081,.T.); #32181=FACE_OUTER_BOUND('',#52082,.T.); #32182=FACE_OUTER_BOUND('',#52083,.T.); #32183=FACE_OUTER_BOUND('',#52084,.T.); #32184=FACE_OUTER_BOUND('',#52085,.T.); #32185=FACE_OUTER_BOUND('',#52086,.T.); #32186=FACE_OUTER_BOUND('',#52087,.T.); #32187=FACE_OUTER_BOUND('',#52088,.T.); #32188=FACE_OUTER_BOUND('',#52089,.T.); #32189=FACE_OUTER_BOUND('',#52090,.T.); #32190=FACE_OUTER_BOUND('',#52091,.T.); #32191=FACE_OUTER_BOUND('',#52092,.T.); #32192=FACE_OUTER_BOUND('',#52093,.T.); #32193=FACE_OUTER_BOUND('',#52094,.T.); #32194=FACE_OUTER_BOUND('',#52095,.T.); #32195=FACE_OUTER_BOUND('',#52096,.T.); #32196=FACE_OUTER_BOUND('',#52097,.T.); #32197=FACE_OUTER_BOUND('',#52098,.T.); #32198=FACE_OUTER_BOUND('',#52099,.T.); #32199=FACE_OUTER_BOUND('',#52100,.T.); #32200=FACE_OUTER_BOUND('',#52101,.T.); #32201=FACE_OUTER_BOUND('',#52102,.T.); #32202=FACE_OUTER_BOUND('',#52103,.T.); #32203=FACE_OUTER_BOUND('',#52104,.T.); #32204=FACE_OUTER_BOUND('',#52105,.T.); #32205=FACE_OUTER_BOUND('',#52106,.T.); #32206=FACE_OUTER_BOUND('',#52107,.T.); #32207=FACE_OUTER_BOUND('',#52108,.T.); #32208=FACE_OUTER_BOUND('',#52109,.T.); #32209=FACE_OUTER_BOUND('',#52110,.T.); #32210=FACE_OUTER_BOUND('',#52111,.T.); #32211=FACE_OUTER_BOUND('',#52112,.T.); #32212=FACE_OUTER_BOUND('',#52113,.T.); #32213=FACE_OUTER_BOUND('',#52114,.T.); #32214=FACE_OUTER_BOUND('',#52115,.T.); #32215=FACE_OUTER_BOUND('',#52116,.T.); #32216=FACE_OUTER_BOUND('',#52117,.T.); #32217=FACE_OUTER_BOUND('',#52118,.T.); #32218=FACE_OUTER_BOUND('',#52119,.T.); #32219=FACE_OUTER_BOUND('',#52120,.T.); #32220=FACE_OUTER_BOUND('',#52121,.T.); #32221=FACE_OUTER_BOUND('',#52122,.T.); #32222=FACE_OUTER_BOUND('',#52123,.T.); #32223=FACE_OUTER_BOUND('',#52124,.T.); #32224=FACE_OUTER_BOUND('',#52125,.T.); #32225=FACE_OUTER_BOUND('',#52126,.T.); #32226=FACE_OUTER_BOUND('',#52127,.T.); #32227=FACE_OUTER_BOUND('',#52128,.T.); #32228=FACE_OUTER_BOUND('',#52129,.T.); #32229=FACE_OUTER_BOUND('',#52130,.T.); #32230=FACE_OUTER_BOUND('',#52131,.T.); #32231=FACE_OUTER_BOUND('',#52132,.T.); #32232=FACE_OUTER_BOUND('',#52133,.T.); #32233=FACE_OUTER_BOUND('',#52134,.T.); #32234=FACE_OUTER_BOUND('',#52135,.T.); #32235=FACE_OUTER_BOUND('',#52136,.T.); #32236=FACE_OUTER_BOUND('',#52137,.T.); #32237=FACE_OUTER_BOUND('',#52138,.T.); #32238=FACE_OUTER_BOUND('',#52139,.T.); #32239=FACE_OUTER_BOUND('',#52140,.T.); #32240=FACE_OUTER_BOUND('',#52141,.T.); #32241=FACE_OUTER_BOUND('',#52142,.T.); #32242=FACE_OUTER_BOUND('',#52143,.T.); #32243=FACE_OUTER_BOUND('',#52144,.T.); #32244=FACE_OUTER_BOUND('',#52145,.T.); #32245=FACE_OUTER_BOUND('',#52146,.T.); #32246=FACE_OUTER_BOUND('',#52147,.T.); #32247=FACE_OUTER_BOUND('',#52148,.T.); #32248=FACE_OUTER_BOUND('',#52149,.T.); #32249=FACE_OUTER_BOUND('',#52150,.T.); #32250=FACE_OUTER_BOUND('',#52151,.T.); #32251=FACE_OUTER_BOUND('',#52152,.T.); #32252=FACE_OUTER_BOUND('',#52153,.T.); #32253=FACE_OUTER_BOUND('',#52154,.T.); #32254=FACE_OUTER_BOUND('',#52155,.T.); #32255=FACE_OUTER_BOUND('',#52156,.T.); #32256=FACE_OUTER_BOUND('',#52157,.T.); #32257=FACE_OUTER_BOUND('',#52158,.T.); #32258=FACE_OUTER_BOUND('',#52159,.T.); #32259=FACE_OUTER_BOUND('',#52160,.T.); #32260=FACE_OUTER_BOUND('',#52161,.T.); #32261=FACE_OUTER_BOUND('',#52162,.T.); #32262=FACE_OUTER_BOUND('',#52163,.T.); #32263=FACE_OUTER_BOUND('',#52164,.T.); #32264=FACE_OUTER_BOUND('',#52165,.T.); #32265=FACE_OUTER_BOUND('',#52166,.T.); #32266=FACE_OUTER_BOUND('',#52167,.T.); #32267=FACE_OUTER_BOUND('',#52168,.T.); #32268=FACE_OUTER_BOUND('',#52169,.T.); #32269=FACE_OUTER_BOUND('',#52170,.T.); #32270=FACE_OUTER_BOUND('',#52171,.T.); #32271=FACE_OUTER_BOUND('',#52172,.T.); #32272=FACE_OUTER_BOUND('',#52173,.T.); #32273=FACE_OUTER_BOUND('',#52174,.T.); #32274=FACE_OUTER_BOUND('',#52175,.T.); #32275=FACE_OUTER_BOUND('',#52176,.T.); #32276=FACE_OUTER_BOUND('',#52177,.T.); #32277=FACE_OUTER_BOUND('',#52178,.T.); #32278=FACE_OUTER_BOUND('',#52179,.T.); #32279=FACE_OUTER_BOUND('',#52180,.T.); #32280=FACE_OUTER_BOUND('',#52181,.T.); #32281=FACE_OUTER_BOUND('',#52182,.T.); #32282=FACE_OUTER_BOUND('',#52183,.T.); #32283=FACE_OUTER_BOUND('',#52184,.T.); #32284=FACE_OUTER_BOUND('',#52185,.T.); #32285=FACE_OUTER_BOUND('',#52186,.T.); #32286=FACE_OUTER_BOUND('',#52187,.T.); #32287=FACE_OUTER_BOUND('',#52188,.T.); #32288=FACE_OUTER_BOUND('',#52189,.T.); #32289=FACE_OUTER_BOUND('',#52190,.T.); #32290=FACE_OUTER_BOUND('',#52191,.T.); #32291=FACE_OUTER_BOUND('',#52192,.T.); #32292=FACE_OUTER_BOUND('',#52193,.T.); #32293=FACE_OUTER_BOUND('',#52194,.T.); #32294=FACE_OUTER_BOUND('',#52195,.T.); #32295=FACE_OUTER_BOUND('',#52196,.T.); #32296=FACE_OUTER_BOUND('',#52197,.T.); #32297=FACE_OUTER_BOUND('',#52198,.T.); #32298=FACE_OUTER_BOUND('',#52199,.T.); #32299=FACE_OUTER_BOUND('',#52200,.T.); #32300=FACE_OUTER_BOUND('',#52201,.T.); #32301=FACE_OUTER_BOUND('',#52202,.T.); #32302=FACE_OUTER_BOUND('',#52203,.T.); #32303=FACE_OUTER_BOUND('',#52204,.T.); #32304=FACE_OUTER_BOUND('',#52205,.T.); #32305=FACE_OUTER_BOUND('',#52206,.T.); #32306=FACE_OUTER_BOUND('',#52207,.T.); #32307=FACE_OUTER_BOUND('',#52208,.T.); #32308=FACE_OUTER_BOUND('',#52209,.T.); #32309=FACE_OUTER_BOUND('',#52210,.T.); #32310=FACE_OUTER_BOUND('',#52211,.T.); #32311=FACE_OUTER_BOUND('',#52212,.T.); #32312=FACE_OUTER_BOUND('',#52213,.T.); #32313=FACE_OUTER_BOUND('',#52214,.T.); #32314=FACE_OUTER_BOUND('',#52215,.T.); #32315=FACE_OUTER_BOUND('',#52216,.T.); #32316=FACE_OUTER_BOUND('',#52217,.T.); #32317=FACE_OUTER_BOUND('',#52218,.T.); #32318=FACE_OUTER_BOUND('',#52219,.T.); #32319=FACE_OUTER_BOUND('',#52220,.T.); #32320=FACE_OUTER_BOUND('',#52221,.T.); #32321=FACE_OUTER_BOUND('',#52222,.T.); #32322=FACE_OUTER_BOUND('',#52223,.T.); #32323=FACE_OUTER_BOUND('',#52224,.T.); #32324=FACE_OUTER_BOUND('',#52225,.T.); #32325=FACE_OUTER_BOUND('',#52226,.T.); #32326=FACE_OUTER_BOUND('',#52227,.T.); #32327=FACE_OUTER_BOUND('',#52228,.T.); #32328=FACE_OUTER_BOUND('',#52229,.T.); #32329=FACE_OUTER_BOUND('',#52230,.T.); #32330=FACE_OUTER_BOUND('',#52231,.T.); #32331=FACE_OUTER_BOUND('',#52232,.T.); #32332=FACE_OUTER_BOUND('',#52233,.T.); #32333=FACE_OUTER_BOUND('',#52234,.T.); #32334=FACE_OUTER_BOUND('',#52235,.T.); #32335=FACE_OUTER_BOUND('',#52236,.T.); #32336=FACE_OUTER_BOUND('',#52237,.T.); #32337=FACE_OUTER_BOUND('',#52238,.T.); #32338=FACE_OUTER_BOUND('',#52239,.T.); #32339=FACE_OUTER_BOUND('',#52240,.T.); #32340=FACE_OUTER_BOUND('',#52241,.T.); #32341=FACE_OUTER_BOUND('',#52242,.T.); #32342=FACE_OUTER_BOUND('',#52243,.T.); #32343=FACE_OUTER_BOUND('',#52244,.T.); #32344=FACE_OUTER_BOUND('',#52245,.T.); #32345=FACE_OUTER_BOUND('',#52246,.T.); #32346=FACE_OUTER_BOUND('',#52247,.T.); #32347=FACE_OUTER_BOUND('',#52248,.T.); #32348=FACE_OUTER_BOUND('',#52249,.T.); #32349=FACE_OUTER_BOUND('',#52250,.T.); #32350=FACE_OUTER_BOUND('',#52251,.T.); #32351=FACE_OUTER_BOUND('',#52252,.T.); #32352=FACE_OUTER_BOUND('',#52253,.T.); #32353=FACE_OUTER_BOUND('',#52254,.T.); #32354=FACE_OUTER_BOUND('',#52255,.T.); #32355=FACE_OUTER_BOUND('',#52256,.T.); #32356=FACE_OUTER_BOUND('',#52257,.T.); #32357=FACE_OUTER_BOUND('',#52258,.T.); #32358=FACE_OUTER_BOUND('',#52259,.T.); #32359=FACE_OUTER_BOUND('',#52260,.T.); #32360=FACE_OUTER_BOUND('',#52261,.T.); #32361=FACE_OUTER_BOUND('',#52262,.T.); #32362=FACE_OUTER_BOUND('',#52263,.T.); #32363=FACE_OUTER_BOUND('',#52264,.T.); #32364=FACE_OUTER_BOUND('',#52265,.T.); #32365=FACE_OUTER_BOUND('',#52266,.T.); #32366=FACE_OUTER_BOUND('',#52267,.T.); #32367=FACE_OUTER_BOUND('',#52268,.T.); #32368=FACE_OUTER_BOUND('',#52269,.T.); #32369=FACE_OUTER_BOUND('',#52270,.T.); #32370=FACE_OUTER_BOUND('',#52271,.T.); #32371=FACE_OUTER_BOUND('',#52272,.T.); #32372=FACE_OUTER_BOUND('',#52273,.T.); #32373=FACE_OUTER_BOUND('',#52274,.T.); #32374=FACE_OUTER_BOUND('',#52275,.T.); #32375=FACE_OUTER_BOUND('',#52276,.T.); #32376=FACE_OUTER_BOUND('',#52277,.T.); #32377=FACE_OUTER_BOUND('',#52278,.T.); #32378=FACE_OUTER_BOUND('',#52279,.T.); #32379=FACE_OUTER_BOUND('',#52280,.T.); #32380=FACE_OUTER_BOUND('',#52281,.T.); #32381=FACE_OUTER_BOUND('',#52282,.T.); #32382=FACE_OUTER_BOUND('',#52283,.T.); #32383=FACE_OUTER_BOUND('',#52284,.T.); #32384=FACE_OUTER_BOUND('',#52285,.T.); #32385=FACE_OUTER_BOUND('',#52286,.T.); #32386=FACE_OUTER_BOUND('',#52287,.T.); #32387=FACE_OUTER_BOUND('',#52288,.T.); #32388=FACE_OUTER_BOUND('',#52289,.T.); #32389=FACE_OUTER_BOUND('',#52290,.T.); #32390=FACE_OUTER_BOUND('',#52291,.T.); #32391=FACE_OUTER_BOUND('',#52292,.T.); #32392=FACE_OUTER_BOUND('',#52293,.T.); #32393=FACE_OUTER_BOUND('',#52294,.T.); #32394=FACE_OUTER_BOUND('',#52295,.T.); #32395=FACE_OUTER_BOUND('',#52296,.T.); #32396=FACE_OUTER_BOUND('',#52297,.T.); #32397=FACE_OUTER_BOUND('',#52298,.T.); #32398=FACE_OUTER_BOUND('',#52299,.T.); #32399=FACE_OUTER_BOUND('',#52300,.T.); #32400=FACE_OUTER_BOUND('',#52301,.T.); #32401=FACE_OUTER_BOUND('',#52302,.T.); #32402=FACE_OUTER_BOUND('',#52303,.T.); #32403=FACE_OUTER_BOUND('',#52304,.T.); #32404=FACE_OUTER_BOUND('',#52305,.T.); #32405=FACE_OUTER_BOUND('',#52306,.T.); #32406=FACE_OUTER_BOUND('',#52307,.T.); #32407=FACE_OUTER_BOUND('',#52308,.T.); #32408=FACE_OUTER_BOUND('',#52309,.T.); #32409=FACE_OUTER_BOUND('',#52310,.T.); #32410=FACE_OUTER_BOUND('',#52311,.T.); #32411=FACE_OUTER_BOUND('',#52312,.T.); #32412=FACE_OUTER_BOUND('',#52313,.T.); #32413=FACE_OUTER_BOUND('',#52314,.T.); #32414=FACE_OUTER_BOUND('',#52315,.T.); #32415=FACE_OUTER_BOUND('',#52316,.T.); #32416=FACE_OUTER_BOUND('',#52317,.T.); #32417=FACE_OUTER_BOUND('',#52318,.T.); #32418=FACE_OUTER_BOUND('',#52319,.T.); #32419=FACE_OUTER_BOUND('',#52320,.T.); #32420=FACE_OUTER_BOUND('',#52321,.T.); #32421=FACE_OUTER_BOUND('',#52322,.T.); #32422=FACE_OUTER_BOUND('',#52323,.T.); #32423=FACE_OUTER_BOUND('',#52324,.T.); #32424=FACE_OUTER_BOUND('',#52325,.T.); #32425=FACE_OUTER_BOUND('',#52326,.T.); #32426=FACE_OUTER_BOUND('',#52327,.T.); #32427=FACE_OUTER_BOUND('',#52328,.T.); #32428=FACE_OUTER_BOUND('',#52329,.T.); #32429=FACE_OUTER_BOUND('',#52330,.T.); #32430=FACE_OUTER_BOUND('',#52331,.T.); #32431=FACE_OUTER_BOUND('',#52332,.T.); #32432=FACE_OUTER_BOUND('',#52333,.T.); #32433=FACE_OUTER_BOUND('',#52334,.T.); #32434=FACE_OUTER_BOUND('',#52335,.T.); #32435=FACE_OUTER_BOUND('',#52336,.T.); #32436=FACE_OUTER_BOUND('',#52337,.T.); #32437=FACE_OUTER_BOUND('',#52338,.T.); #32438=FACE_OUTER_BOUND('',#52339,.T.); #32439=FACE_OUTER_BOUND('',#52340,.T.); #32440=FACE_OUTER_BOUND('',#52341,.T.); #32441=FACE_OUTER_BOUND('',#52342,.T.); #32442=FACE_OUTER_BOUND('',#52343,.T.); #32443=FACE_OUTER_BOUND('',#52344,.T.); #32444=FACE_OUTER_BOUND('',#52345,.T.); #32445=FACE_OUTER_BOUND('',#52346,.T.); #32446=FACE_OUTER_BOUND('',#52347,.T.); #32447=FACE_OUTER_BOUND('',#52348,.T.); #32448=FACE_OUTER_BOUND('',#52349,.T.); #32449=FACE_OUTER_BOUND('',#52350,.T.); #32450=FACE_OUTER_BOUND('',#52351,.T.); #32451=FACE_OUTER_BOUND('',#52352,.T.); #32452=FACE_OUTER_BOUND('',#52353,.T.); #32453=FACE_OUTER_BOUND('',#52354,.T.); #32454=FACE_OUTER_BOUND('',#52355,.T.); #32455=FACE_OUTER_BOUND('',#52356,.T.); #32456=FACE_OUTER_BOUND('',#52357,.T.); #32457=FACE_OUTER_BOUND('',#52358,.T.); #32458=FACE_OUTER_BOUND('',#52359,.T.); #32459=FACE_OUTER_BOUND('',#52360,.T.); #32460=FACE_OUTER_BOUND('',#52361,.T.); #32461=FACE_OUTER_BOUND('',#52362,.T.); #32462=FACE_OUTER_BOUND('',#52363,.T.); #32463=FACE_OUTER_BOUND('',#52364,.T.); #32464=FACE_OUTER_BOUND('',#52365,.T.); #32465=FACE_OUTER_BOUND('',#52366,.T.); #32466=FACE_OUTER_BOUND('',#52367,.T.); #32467=FACE_OUTER_BOUND('',#52368,.T.); #32468=FACE_OUTER_BOUND('',#52369,.T.); #32469=FACE_OUTER_BOUND('',#52370,.T.); #32470=FACE_OUTER_BOUND('',#52371,.T.); #32471=FACE_OUTER_BOUND('',#52372,.T.); #32472=FACE_OUTER_BOUND('',#52373,.T.); #32473=FACE_OUTER_BOUND('',#52374,.T.); #32474=FACE_OUTER_BOUND('',#52375,.T.); #32475=FACE_OUTER_BOUND('',#52376,.T.); #32476=FACE_OUTER_BOUND('',#52377,.T.); #32477=FACE_OUTER_BOUND('',#52378,.T.); #32478=FACE_OUTER_BOUND('',#52379,.T.); #32479=FACE_OUTER_BOUND('',#52380,.T.); #32480=FACE_OUTER_BOUND('',#52381,.T.); #32481=FACE_OUTER_BOUND('',#52382,.T.); #32482=FACE_OUTER_BOUND('',#52383,.T.); #32483=FACE_OUTER_BOUND('',#52384,.T.); #32484=FACE_OUTER_BOUND('',#52385,.T.); #32485=FACE_OUTER_BOUND('',#52386,.T.); #32486=FACE_OUTER_BOUND('',#52387,.T.); #32487=FACE_OUTER_BOUND('',#52388,.T.); #32488=FACE_OUTER_BOUND('',#52389,.T.); #32489=FACE_OUTER_BOUND('',#52390,.T.); #32490=FACE_OUTER_BOUND('',#52391,.T.); #32491=FACE_OUTER_BOUND('',#52392,.T.); #32492=FACE_OUTER_BOUND('',#52393,.T.); #32493=FACE_OUTER_BOUND('',#52394,.T.); #32494=FACE_OUTER_BOUND('',#52395,.T.); #32495=FACE_OUTER_BOUND('',#52396,.T.); #32496=FACE_OUTER_BOUND('',#52397,.T.); #32497=FACE_OUTER_BOUND('',#52398,.T.); #32498=FACE_OUTER_BOUND('',#52399,.T.); #32499=FACE_OUTER_BOUND('',#52400,.T.); #32500=FACE_OUTER_BOUND('',#52401,.T.); #32501=FACE_OUTER_BOUND('',#52402,.T.); #32502=FACE_OUTER_BOUND('',#52403,.T.); #32503=FACE_OUTER_BOUND('',#52404,.T.); #32504=FACE_OUTER_BOUND('',#52405,.T.); #32505=FACE_OUTER_BOUND('',#52406,.T.); #32506=FACE_OUTER_BOUND('',#52407,.T.); #32507=FACE_OUTER_BOUND('',#52408,.T.); #32508=FACE_OUTER_BOUND('',#52409,.T.); #32509=FACE_OUTER_BOUND('',#52410,.T.); #32510=FACE_OUTER_BOUND('',#52411,.T.); #32511=FACE_OUTER_BOUND('',#52412,.T.); #32512=FACE_OUTER_BOUND('',#52413,.T.); #32513=FACE_OUTER_BOUND('',#52414,.T.); #32514=FACE_OUTER_BOUND('',#52415,.T.); #32515=FACE_OUTER_BOUND('',#52416,.T.); #32516=FACE_OUTER_BOUND('',#52417,.T.); #32517=FACE_OUTER_BOUND('',#52418,.T.); #32518=FACE_OUTER_BOUND('',#52419,.T.); #32519=FACE_OUTER_BOUND('',#52420,.T.); #32520=FACE_OUTER_BOUND('',#52421,.T.); #32521=FACE_OUTER_BOUND('',#52422,.T.); #32522=FACE_OUTER_BOUND('',#52423,.T.); #32523=FACE_OUTER_BOUND('',#52424,.T.); #32524=FACE_OUTER_BOUND('',#52425,.T.); #32525=FACE_OUTER_BOUND('',#52426,.T.); #32526=FACE_OUTER_BOUND('',#52427,.T.); #32527=FACE_OUTER_BOUND('',#52428,.T.); #32528=FACE_OUTER_BOUND('',#52429,.T.); #32529=FACE_OUTER_BOUND('',#52430,.T.); #32530=FACE_OUTER_BOUND('',#52431,.T.); #32531=FACE_OUTER_BOUND('',#52432,.T.); #32532=FACE_OUTER_BOUND('',#52433,.T.); #32533=FACE_OUTER_BOUND('',#52434,.T.); #32534=FACE_OUTER_BOUND('',#52435,.T.); #32535=FACE_OUTER_BOUND('',#52436,.T.); #32536=FACE_OUTER_BOUND('',#52437,.T.); #32537=FACE_OUTER_BOUND('',#52438,.T.); #32538=FACE_OUTER_BOUND('',#52439,.T.); #32539=FACE_OUTER_BOUND('',#52440,.T.); #32540=FACE_OUTER_BOUND('',#52441,.T.); #32541=FACE_OUTER_BOUND('',#52442,.T.); #32542=FACE_OUTER_BOUND('',#52443,.T.); #32543=FACE_OUTER_BOUND('',#52444,.T.); #32544=FACE_OUTER_BOUND('',#52445,.T.); #32545=FACE_OUTER_BOUND('',#52446,.T.); #32546=FACE_OUTER_BOUND('',#52447,.T.); #32547=FACE_OUTER_BOUND('',#52448,.T.); #32548=FACE_OUTER_BOUND('',#52449,.T.); #32549=FACE_OUTER_BOUND('',#52450,.T.); #32550=FACE_OUTER_BOUND('',#52451,.T.); #32551=FACE_OUTER_BOUND('',#52452,.T.); #32552=FACE_OUTER_BOUND('',#52453,.T.); #32553=FACE_OUTER_BOUND('',#52454,.T.); #32554=FACE_OUTER_BOUND('',#52455,.T.); #32555=FACE_OUTER_BOUND('',#52456,.T.); #32556=FACE_OUTER_BOUND('',#52457,.T.); #32557=FACE_OUTER_BOUND('',#52458,.T.); #32558=FACE_OUTER_BOUND('',#52459,.T.); #32559=FACE_OUTER_BOUND('',#52460,.T.); #32560=FACE_OUTER_BOUND('',#52461,.T.); #32561=FACE_OUTER_BOUND('',#52462,.T.); #32562=FACE_OUTER_BOUND('',#52463,.T.); #32563=FACE_OUTER_BOUND('',#52464,.T.); #32564=FACE_OUTER_BOUND('',#52465,.T.); #32565=FACE_OUTER_BOUND('',#52466,.T.); #32566=FACE_OUTER_BOUND('',#52467,.T.); #32567=FACE_OUTER_BOUND('',#52468,.T.); #32568=FACE_OUTER_BOUND('',#52469,.T.); #32569=FACE_OUTER_BOUND('',#52470,.T.); #32570=FACE_OUTER_BOUND('',#52471,.T.); #32571=FACE_OUTER_BOUND('',#52472,.T.); #32572=FACE_OUTER_BOUND('',#52473,.T.); #32573=FACE_OUTER_BOUND('',#52474,.T.); #32574=FACE_OUTER_BOUND('',#52475,.T.); #32575=FACE_OUTER_BOUND('',#52476,.T.); #32576=FACE_OUTER_BOUND('',#52477,.T.); #32577=FACE_OUTER_BOUND('',#52478,.T.); #32578=FACE_OUTER_BOUND('',#52479,.T.); #32579=FACE_OUTER_BOUND('',#52480,.T.); #32580=FACE_OUTER_BOUND('',#52481,.T.); #32581=FACE_OUTER_BOUND('',#52482,.T.); #32582=FACE_OUTER_BOUND('',#52483,.T.); #32583=FACE_OUTER_BOUND('',#52484,.T.); #32584=FACE_OUTER_BOUND('',#52485,.T.); #32585=FACE_OUTER_BOUND('',#52486,.T.); #32586=FACE_OUTER_BOUND('',#52487,.T.); #32587=FACE_OUTER_BOUND('',#52488,.T.); #32588=FACE_OUTER_BOUND('',#52489,.T.); #32589=FACE_OUTER_BOUND('',#52490,.T.); #32590=FACE_OUTER_BOUND('',#52491,.T.); #32591=FACE_OUTER_BOUND('',#52492,.T.); #32592=FACE_OUTER_BOUND('',#52493,.T.); #32593=FACE_OUTER_BOUND('',#52494,.T.); #32594=FACE_OUTER_BOUND('',#52495,.T.); #32595=FACE_OUTER_BOUND('',#52496,.T.); #32596=FACE_OUTER_BOUND('',#52497,.T.); #32597=FACE_OUTER_BOUND('',#52498,.T.); #32598=FACE_OUTER_BOUND('',#52499,.T.); #32599=FACE_OUTER_BOUND('',#52500,.T.); #32600=FACE_OUTER_BOUND('',#52501,.T.); #32601=FACE_OUTER_BOUND('',#52502,.T.); #32602=FACE_OUTER_BOUND('',#52503,.T.); #32603=FACE_OUTER_BOUND('',#52504,.T.); #32604=FACE_OUTER_BOUND('',#52505,.T.); #32605=FACE_OUTER_BOUND('',#52506,.T.); #32606=FACE_OUTER_BOUND('',#52507,.T.); #32607=FACE_OUTER_BOUND('',#52508,.T.); #32608=FACE_OUTER_BOUND('',#52509,.T.); #32609=FACE_OUTER_BOUND('',#52510,.T.); #32610=FACE_OUTER_BOUND('',#52511,.T.); #32611=FACE_OUTER_BOUND('',#52512,.T.); #32612=FACE_OUTER_BOUND('',#52513,.T.); #32613=FACE_OUTER_BOUND('',#52514,.T.); #32614=FACE_OUTER_BOUND('',#52515,.T.); #32615=FACE_OUTER_BOUND('',#52516,.T.); #32616=FACE_OUTER_BOUND('',#52517,.T.); #32617=FACE_OUTER_BOUND('',#52518,.T.); #32618=FACE_OUTER_BOUND('',#52519,.T.); #32619=FACE_OUTER_BOUND('',#52520,.T.); #32620=FACE_OUTER_BOUND('',#52521,.T.); #32621=FACE_OUTER_BOUND('',#52522,.T.); #32622=FACE_OUTER_BOUND('',#52523,.T.); #32623=FACE_OUTER_BOUND('',#52524,.T.); #32624=FACE_OUTER_BOUND('',#52525,.T.); #32625=FACE_OUTER_BOUND('',#52526,.T.); #32626=FACE_OUTER_BOUND('',#52527,.T.); #32627=FACE_OUTER_BOUND('',#52528,.T.); #32628=FACE_OUTER_BOUND('',#52529,.T.); #32629=FACE_OUTER_BOUND('',#52530,.T.); #32630=FACE_OUTER_BOUND('',#52531,.T.); #32631=FACE_OUTER_BOUND('',#52532,.T.); #32632=FACE_OUTER_BOUND('',#52533,.T.); #32633=FACE_OUTER_BOUND('',#52534,.T.); #32634=FACE_OUTER_BOUND('',#52535,.T.); #32635=FACE_OUTER_BOUND('',#52536,.T.); #32636=FACE_OUTER_BOUND('',#52537,.T.); #32637=FACE_OUTER_BOUND('',#52538,.T.); #32638=FACE_OUTER_BOUND('',#52539,.T.); #32639=FACE_OUTER_BOUND('',#52540,.T.); #32640=FACE_OUTER_BOUND('',#52541,.T.); #32641=FACE_OUTER_BOUND('',#52542,.T.); #32642=FACE_OUTER_BOUND('',#52543,.T.); #32643=FACE_OUTER_BOUND('',#52544,.T.); #32644=FACE_OUTER_BOUND('',#52545,.T.); #32645=FACE_OUTER_BOUND('',#52546,.T.); #32646=FACE_OUTER_BOUND('',#52547,.T.); #32647=FACE_OUTER_BOUND('',#52548,.T.); #32648=FACE_OUTER_BOUND('',#52549,.T.); #32649=FACE_OUTER_BOUND('',#52550,.T.); #32650=FACE_OUTER_BOUND('',#52551,.T.); #32651=FACE_OUTER_BOUND('',#52552,.T.); #32652=FACE_OUTER_BOUND('',#52553,.T.); #32653=FACE_OUTER_BOUND('',#52554,.T.); #32654=FACE_OUTER_BOUND('',#52555,.T.); #32655=FACE_OUTER_BOUND('',#52556,.T.); #32656=FACE_OUTER_BOUND('',#52557,.T.); #32657=FACE_OUTER_BOUND('',#52558,.T.); #32658=FACE_OUTER_BOUND('',#52559,.T.); #32659=FACE_OUTER_BOUND('',#52560,.T.); #32660=FACE_OUTER_BOUND('',#52561,.T.); #32661=FACE_OUTER_BOUND('',#52562,.T.); #32662=FACE_OUTER_BOUND('',#52563,.T.); #32663=FACE_OUTER_BOUND('',#52564,.T.); #32664=FACE_OUTER_BOUND('',#52565,.T.); #32665=FACE_OUTER_BOUND('',#52566,.T.); #32666=FACE_OUTER_BOUND('',#52567,.T.); #32667=FACE_OUTER_BOUND('',#52568,.T.); #32668=FACE_OUTER_BOUND('',#52569,.T.); #32669=FACE_OUTER_BOUND('',#52570,.T.); #32670=FACE_OUTER_BOUND('',#52571,.T.); #32671=FACE_OUTER_BOUND('',#52572,.T.); #32672=FACE_OUTER_BOUND('',#52573,.T.); #32673=FACE_OUTER_BOUND('',#52574,.T.); #32674=FACE_OUTER_BOUND('',#52575,.T.); #32675=FACE_OUTER_BOUND('',#52576,.T.); #32676=FACE_OUTER_BOUND('',#52577,.T.); #32677=FACE_OUTER_BOUND('',#52578,.T.); #32678=FACE_OUTER_BOUND('',#52579,.T.); #32679=FACE_OUTER_BOUND('',#52580,.T.); #32680=FACE_OUTER_BOUND('',#52581,.T.); #32681=FACE_OUTER_BOUND('',#52582,.T.); #32682=FACE_OUTER_BOUND('',#52583,.T.); #32683=FACE_OUTER_BOUND('',#52584,.T.); #32684=FACE_OUTER_BOUND('',#52585,.T.); #32685=FACE_OUTER_BOUND('',#52586,.T.); #32686=FACE_OUTER_BOUND('',#52587,.T.); #32687=FACE_OUTER_BOUND('',#52588,.T.); #32688=FACE_OUTER_BOUND('',#52589,.T.); #32689=FACE_OUTER_BOUND('',#52590,.T.); #32690=FACE_OUTER_BOUND('',#52591,.T.); #32691=FACE_OUTER_BOUND('',#52592,.T.); #32692=FACE_OUTER_BOUND('',#52593,.T.); #32693=FACE_OUTER_BOUND('',#52594,.T.); #32694=FACE_OUTER_BOUND('',#52595,.T.); #32695=FACE_OUTER_BOUND('',#52596,.T.); #32696=FACE_OUTER_BOUND('',#52597,.T.); #32697=FACE_OUTER_BOUND('',#52598,.T.); #32698=FACE_OUTER_BOUND('',#52599,.T.); #32699=FACE_OUTER_BOUND('',#52600,.T.); #32700=FACE_OUTER_BOUND('',#52601,.T.); #32701=FACE_OUTER_BOUND('',#52602,.T.); #32702=FACE_OUTER_BOUND('',#52603,.T.); #32703=FACE_OUTER_BOUND('',#52604,.T.); #32704=FACE_OUTER_BOUND('',#52605,.T.); #32705=FACE_OUTER_BOUND('',#52606,.T.); #32706=FACE_OUTER_BOUND('',#52607,.T.); #32707=FACE_OUTER_BOUND('',#52608,.T.); #32708=FACE_OUTER_BOUND('',#52609,.T.); #32709=FACE_OUTER_BOUND('',#52610,.T.); #32710=FACE_OUTER_BOUND('',#52611,.T.); #32711=FACE_OUTER_BOUND('',#52612,.T.); #32712=FACE_OUTER_BOUND('',#52613,.T.); #32713=FACE_OUTER_BOUND('',#52614,.T.); #32714=FACE_OUTER_BOUND('',#52615,.T.); #32715=FACE_OUTER_BOUND('',#52616,.T.); #32716=FACE_OUTER_BOUND('',#52617,.T.); #32717=FACE_OUTER_BOUND('',#52618,.T.); #32718=FACE_OUTER_BOUND('',#52619,.T.); #32719=FACE_OUTER_BOUND('',#52620,.T.); #32720=FACE_OUTER_BOUND('',#52621,.T.); #32721=FACE_OUTER_BOUND('',#52622,.T.); #32722=FACE_OUTER_BOUND('',#52623,.T.); #32723=FACE_OUTER_BOUND('',#52624,.T.); #32724=FACE_OUTER_BOUND('',#52625,.T.); #32725=FACE_OUTER_BOUND('',#52626,.T.); #32726=FACE_OUTER_BOUND('',#52627,.T.); #32727=FACE_OUTER_BOUND('',#52628,.T.); #32728=FACE_OUTER_BOUND('',#52629,.T.); #32729=FACE_OUTER_BOUND('',#52630,.T.); #32730=FACE_OUTER_BOUND('',#52631,.T.); #32731=FACE_OUTER_BOUND('',#52632,.T.); #32732=FACE_OUTER_BOUND('',#52633,.T.); #32733=FACE_OUTER_BOUND('',#52634,.T.); #32734=FACE_OUTER_BOUND('',#52635,.T.); #32735=FACE_OUTER_BOUND('',#52636,.T.); #32736=FACE_OUTER_BOUND('',#52637,.T.); #32737=FACE_OUTER_BOUND('',#52638,.T.); #32738=FACE_OUTER_BOUND('',#52639,.T.); #32739=FACE_OUTER_BOUND('',#52640,.T.); #32740=FACE_OUTER_BOUND('',#52641,.T.); #32741=FACE_OUTER_BOUND('',#52642,.T.); #32742=FACE_OUTER_BOUND('',#52643,.T.); #32743=FACE_OUTER_BOUND('',#52644,.T.); #32744=FACE_OUTER_BOUND('',#52645,.T.); #32745=FACE_OUTER_BOUND('',#52646,.T.); #32746=FACE_OUTER_BOUND('',#52647,.T.); #32747=FACE_OUTER_BOUND('',#52648,.T.); #32748=FACE_OUTER_BOUND('',#52649,.T.); #32749=FACE_OUTER_BOUND('',#52650,.T.); #32750=FACE_OUTER_BOUND('',#52651,.T.); #32751=FACE_OUTER_BOUND('',#52652,.T.); #32752=FACE_OUTER_BOUND('',#52653,.T.); #32753=FACE_OUTER_BOUND('',#52799,.T.); #32754=FACE_OUTER_BOUND('',#52945,.T.); #32755=FACE_OUTER_BOUND('',#52946,.T.); #32756=FACE_OUTER_BOUND('',#52947,.T.); #32757=FACE_OUTER_BOUND('',#52948,.T.); #32758=FACE_OUTER_BOUND('',#52949,.T.); #32759=FACE_OUTER_BOUND('',#52950,.T.); #32760=FACE_OUTER_BOUND('',#52951,.T.); #32761=FACE_OUTER_BOUND('',#52952,.T.); #32762=FACE_OUTER_BOUND('',#52953,.T.); #32763=FACE_OUTER_BOUND('',#52954,.T.); #32764=FACE_OUTER_BOUND('',#52955,.T.); #32765=FACE_OUTER_BOUND('',#52956,.T.); #32766=FACE_OUTER_BOUND('',#52957,.T.); #32767=FACE_OUTER_BOUND('',#52958,.T.); #32768=FACE_OUTER_BOUND('',#52959,.T.); #32769=FACE_OUTER_BOUND('',#52960,.T.); #32770=FACE_OUTER_BOUND('',#52961,.T.); #32771=FACE_OUTER_BOUND('',#52962,.T.); #32772=FACE_OUTER_BOUND('',#52963,.T.); #32773=FACE_OUTER_BOUND('',#52964,.T.); #32774=FACE_OUTER_BOUND('',#52965,.T.); #32775=FACE_OUTER_BOUND('',#52966,.T.); #32776=FACE_OUTER_BOUND('',#52967,.T.); #32777=FACE_OUTER_BOUND('',#52968,.T.); #32778=FACE_OUTER_BOUND('',#52969,.T.); #32779=FACE_OUTER_BOUND('',#52970,.T.); #32780=FACE_OUTER_BOUND('',#52971,.T.); #32781=FACE_OUTER_BOUND('',#52972,.T.); #32782=FACE_OUTER_BOUND('',#52973,.T.); #32783=FACE_OUTER_BOUND('',#52974,.T.); #32784=FACE_OUTER_BOUND('',#52975,.T.); #32785=FACE_OUTER_BOUND('',#52976,.T.); #32786=FACE_OUTER_BOUND('',#52977,.T.); #32787=FACE_OUTER_BOUND('',#52978,.T.); #32788=FACE_OUTER_BOUND('',#52979,.T.); #32789=FACE_OUTER_BOUND('',#52980,.T.); #32790=FACE_OUTER_BOUND('',#52981,.T.); #32791=FACE_OUTER_BOUND('',#52982,.T.); #32792=FACE_OUTER_BOUND('',#52983,.T.); #32793=FACE_OUTER_BOUND('',#52984,.T.); #32794=FACE_OUTER_BOUND('',#52985,.T.); #32795=FACE_OUTER_BOUND('',#52986,.T.); #32796=FACE_OUTER_BOUND('',#52987,.T.); #32797=FACE_OUTER_BOUND('',#52988,.T.); #32798=FACE_OUTER_BOUND('',#52989,.T.); #32799=FACE_OUTER_BOUND('',#52990,.T.); #32800=FACE_OUTER_BOUND('',#52991,.T.); #32801=FACE_OUTER_BOUND('',#52992,.T.); #32802=FACE_OUTER_BOUND('',#52993,.T.); #32803=FACE_OUTER_BOUND('',#52994,.T.); #32804=FACE_OUTER_BOUND('',#52995,.T.); #32805=FACE_OUTER_BOUND('',#52996,.T.); #32806=FACE_OUTER_BOUND('',#52997,.T.); #32807=FACE_OUTER_BOUND('',#52998,.T.); #32808=FACE_OUTER_BOUND('',#52999,.T.); #32809=FACE_OUTER_BOUND('',#53000,.T.); #32810=FACE_OUTER_BOUND('',#53001,.T.); #32811=FACE_OUTER_BOUND('',#53002,.T.); #32812=FACE_OUTER_BOUND('',#53003,.T.); #32813=FACE_OUTER_BOUND('',#53004,.T.); #32814=FACE_OUTER_BOUND('',#53005,.T.); #32815=FACE_OUTER_BOUND('',#53006,.T.); #32816=FACE_OUTER_BOUND('',#53007,.T.); #32817=FACE_OUTER_BOUND('',#53008,.T.); #32818=FACE_OUTER_BOUND('',#53009,.T.); #32819=FACE_OUTER_BOUND('',#53010,.T.); #32820=FACE_OUTER_BOUND('',#53011,.T.); #32821=FACE_OUTER_BOUND('',#53012,.T.); #32822=FACE_OUTER_BOUND('',#53013,.T.); #32823=FACE_OUTER_BOUND('',#53014,.T.); #32824=FACE_OUTER_BOUND('',#53015,.T.); #32825=FACE_OUTER_BOUND('',#53016,.T.); #32826=FACE_OUTER_BOUND('',#53017,.T.); #32827=FACE_OUTER_BOUND('',#53018,.T.); #32828=FACE_OUTER_BOUND('',#53019,.T.); #32829=FACE_OUTER_BOUND('',#53020,.T.); #32830=FACE_OUTER_BOUND('',#53021,.T.); #32831=FACE_OUTER_BOUND('',#53022,.T.); #32832=FACE_OUTER_BOUND('',#53023,.T.); #32833=FACE_OUTER_BOUND('',#53024,.T.); #32834=FACE_OUTER_BOUND('',#53025,.T.); #32835=FACE_OUTER_BOUND('',#53026,.T.); #32836=FACE_OUTER_BOUND('',#53027,.T.); #32837=FACE_OUTER_BOUND('',#53028,.T.); #32838=FACE_OUTER_BOUND('',#53029,.T.); #32839=FACE_OUTER_BOUND('',#53030,.T.); #32840=FACE_OUTER_BOUND('',#53031,.T.); #32841=FACE_OUTER_BOUND('',#53032,.T.); #32842=FACE_OUTER_BOUND('',#53033,.T.); #32843=FACE_OUTER_BOUND('',#53034,.T.); #32844=FACE_OUTER_BOUND('',#53035,.T.); #32845=FACE_OUTER_BOUND('',#53036,.T.); #32846=FACE_OUTER_BOUND('',#53037,.T.); #32847=FACE_OUTER_BOUND('',#53038,.T.); #32848=FACE_OUTER_BOUND('',#53039,.T.); #32849=FACE_OUTER_BOUND('',#53040,.T.); #32850=FACE_OUTER_BOUND('',#53041,.T.); #32851=FACE_OUTER_BOUND('',#53042,.T.); #32852=FACE_OUTER_BOUND('',#53043,.T.); #32853=FACE_OUTER_BOUND('',#53044,.T.); #32854=FACE_OUTER_BOUND('',#53045,.T.); #32855=FACE_OUTER_BOUND('',#53046,.T.); #32856=FACE_OUTER_BOUND('',#53047,.T.); #32857=FACE_OUTER_BOUND('',#53048,.T.); #32858=FACE_OUTER_BOUND('',#53049,.T.); #32859=FACE_OUTER_BOUND('',#53050,.T.); #32860=FACE_OUTER_BOUND('',#53051,.T.); #32861=FACE_OUTER_BOUND('',#53052,.T.); #32862=FACE_OUTER_BOUND('',#53053,.T.); #32863=FACE_OUTER_BOUND('',#53054,.T.); #32864=FACE_OUTER_BOUND('',#53055,.T.); #32865=FACE_OUTER_BOUND('',#53056,.T.); #32866=FACE_OUTER_BOUND('',#53057,.T.); #32867=FACE_OUTER_BOUND('',#53058,.T.); #32868=FACE_OUTER_BOUND('',#53059,.T.); #32869=FACE_OUTER_BOUND('',#53060,.T.); #32870=FACE_OUTER_BOUND('',#53061,.T.); #32871=FACE_OUTER_BOUND('',#53062,.T.); #32872=FACE_OUTER_BOUND('',#53063,.T.); #32873=FACE_OUTER_BOUND('',#53064,.T.); #32874=FACE_OUTER_BOUND('',#53065,.T.); #32875=FACE_OUTER_BOUND('',#53066,.T.); #32876=FACE_OUTER_BOUND('',#53067,.T.); #32877=FACE_OUTER_BOUND('',#53068,.T.); #32878=FACE_OUTER_BOUND('',#53069,.T.); #32879=FACE_OUTER_BOUND('',#53070,.T.); #32880=FACE_OUTER_BOUND('',#53071,.T.); #32881=FACE_OUTER_BOUND('',#53072,.T.); #32882=FACE_OUTER_BOUND('',#53073,.T.); #32883=FACE_OUTER_BOUND('',#53074,.T.); #32884=FACE_OUTER_BOUND('',#53075,.T.); #32885=FACE_OUTER_BOUND('',#53076,.T.); #32886=FACE_OUTER_BOUND('',#53077,.T.); #32887=FACE_OUTER_BOUND('',#53078,.T.); #32888=FACE_OUTER_BOUND('',#53080,.T.); #32889=FACE_OUTER_BOUND('',#53082,.T.); #32890=FACE_OUTER_BOUND('',#53083,.T.); #32891=FACE_OUTER_BOUND('',#53084,.T.); #32892=FACE_OUTER_BOUND('',#53085,.T.); #32893=FACE_OUTER_BOUND('',#53086,.T.); #32894=FACE_OUTER_BOUND('',#53087,.T.); #32895=FACE_OUTER_BOUND('',#53088,.T.); #32896=FACE_OUTER_BOUND('',#53089,.T.); #32897=FACE_OUTER_BOUND('',#53090,.T.); #32898=FACE_OUTER_BOUND('',#53091,.T.); #32899=FACE_OUTER_BOUND('',#53092,.T.); #32900=FACE_OUTER_BOUND('',#53093,.T.); #32901=FACE_OUTER_BOUND('',#53094,.T.); #32902=FACE_OUTER_BOUND('',#53095,.T.); #32903=FACE_OUTER_BOUND('',#53096,.T.); #32904=FACE_OUTER_BOUND('',#53097,.T.); #32905=FACE_OUTER_BOUND('',#53098,.T.); #32906=FACE_OUTER_BOUND('',#53099,.T.); #32907=FACE_OUTER_BOUND('',#53100,.T.); #32908=FACE_OUTER_BOUND('',#53101,.T.); #32909=FACE_OUTER_BOUND('',#53102,.T.); #32910=FACE_OUTER_BOUND('',#53103,.T.); #32911=FACE_OUTER_BOUND('',#53104,.T.); #32912=FACE_OUTER_BOUND('',#53105,.T.); #32913=FACE_OUTER_BOUND('',#53106,.T.); #32914=FACE_OUTER_BOUND('',#53107,.T.); #32915=FACE_OUTER_BOUND('',#53108,.T.); #32916=FACE_OUTER_BOUND('',#53109,.T.); #32917=FACE_OUTER_BOUND('',#53110,.T.); #32918=FACE_OUTER_BOUND('',#53111,.T.); #32919=FACE_OUTER_BOUND('',#53112,.T.); #32920=FACE_OUTER_BOUND('',#53113,.T.); #32921=FACE_OUTER_BOUND('',#53114,.T.); #32922=FACE_OUTER_BOUND('',#53115,.T.); #32923=FACE_OUTER_BOUND('',#53116,.T.); #32924=FACE_OUTER_BOUND('',#53117,.T.); #32925=FACE_OUTER_BOUND('',#53118,.T.); #32926=FACE_OUTER_BOUND('',#53119,.T.); #32927=FACE_OUTER_BOUND('',#53120,.T.); #32928=FACE_OUTER_BOUND('',#53121,.T.); #32929=FACE_OUTER_BOUND('',#53122,.T.); #32930=FACE_OUTER_BOUND('',#53123,.T.); #32931=FACE_OUTER_BOUND('',#53124,.T.); #32932=FACE_OUTER_BOUND('',#53125,.T.); #32933=FACE_OUTER_BOUND('',#53126,.T.); #32934=FACE_OUTER_BOUND('',#53127,.T.); #32935=FACE_OUTER_BOUND('',#53128,.T.); #32936=FACE_OUTER_BOUND('',#53129,.T.); #32937=FACE_OUTER_BOUND('',#53130,.T.); #32938=FACE_OUTER_BOUND('',#53131,.T.); #32939=FACE_OUTER_BOUND('',#53132,.T.); #32940=FACE_OUTER_BOUND('',#53133,.T.); #32941=FACE_OUTER_BOUND('',#53134,.T.); #32942=FACE_OUTER_BOUND('',#53135,.T.); #32943=FACE_OUTER_BOUND('',#53136,.T.); #32944=FACE_OUTER_BOUND('',#53137,.T.); #32945=FACE_OUTER_BOUND('',#53138,.T.); #32946=FACE_OUTER_BOUND('',#53139,.T.); #32947=FACE_OUTER_BOUND('',#53140,.T.); #32948=FACE_OUTER_BOUND('',#53141,.T.); #32949=FACE_OUTER_BOUND('',#53142,.T.); #32950=FACE_OUTER_BOUND('',#53143,.T.); #32951=FACE_OUTER_BOUND('',#53144,.T.); #32952=FACE_OUTER_BOUND('',#53145,.T.); #32953=FACE_OUTER_BOUND('',#53146,.T.); #32954=FACE_OUTER_BOUND('',#53147,.T.); #32955=FACE_OUTER_BOUND('',#53148,.T.); #32956=FACE_OUTER_BOUND('',#53149,.T.); #32957=FACE_OUTER_BOUND('',#53150,.T.); #32958=FACE_OUTER_BOUND('',#53151,.T.); #32959=FACE_OUTER_BOUND('',#53152,.T.); #32960=FACE_OUTER_BOUND('',#53153,.T.); #32961=FACE_OUTER_BOUND('',#53154,.T.); #32962=FACE_OUTER_BOUND('',#53155,.T.); #32963=FACE_OUTER_BOUND('',#53156,.T.); #32964=FACE_OUTER_BOUND('',#53157,.T.); #32965=FACE_OUTER_BOUND('',#53158,.T.); #32966=FACE_OUTER_BOUND('',#53159,.T.); #32967=FACE_OUTER_BOUND('',#53160,.T.); #32968=FACE_OUTER_BOUND('',#53161,.T.); #32969=FACE_OUTER_BOUND('',#53162,.T.); #32970=FACE_OUTER_BOUND('',#53163,.T.); #32971=FACE_OUTER_BOUND('',#53164,.T.); #32972=FACE_OUTER_BOUND('',#53165,.T.); #32973=FACE_OUTER_BOUND('',#53167,.T.); #32974=FACE_OUTER_BOUND('',#53169,.T.); #32975=FACE_OUTER_BOUND('',#53170,.T.); #32976=FACE_OUTER_BOUND('',#53171,.T.); #32977=FACE_OUTER_BOUND('',#53172,.T.); #32978=FACE_OUTER_BOUND('',#53173,.T.); #32979=FACE_OUTER_BOUND('',#53174,.T.); #32980=FACE_OUTER_BOUND('',#53175,.T.); #32981=FACE_OUTER_BOUND('',#53176,.T.); #32982=FACE_OUTER_BOUND('',#53177,.T.); #32983=FACE_OUTER_BOUND('',#53178,.T.); #32984=FACE_OUTER_BOUND('',#53179,.T.); #32985=FACE_OUTER_BOUND('',#53180,.T.); #32986=FACE_OUTER_BOUND('',#53181,.T.); #32987=FACE_OUTER_BOUND('',#53182,.T.); #32988=FACE_OUTER_BOUND('',#53183,.T.); #32989=FACE_OUTER_BOUND('',#53184,.T.); #32990=FACE_OUTER_BOUND('',#53185,.T.); #32991=FACE_OUTER_BOUND('',#53186,.T.); #32992=FACE_OUTER_BOUND('',#53187,.T.); #32993=FACE_OUTER_BOUND('',#53188,.T.); #32994=FACE_OUTER_BOUND('',#53189,.T.); #32995=FACE_OUTER_BOUND('',#53190,.T.); #32996=FACE_OUTER_BOUND('',#53191,.T.); #32997=FACE_OUTER_BOUND('',#53192,.T.); #32998=FACE_OUTER_BOUND('',#53193,.T.); #32999=FACE_OUTER_BOUND('',#53194,.T.); #33000=FACE_OUTER_BOUND('',#53195,.T.); #33001=FACE_OUTER_BOUND('',#53196,.T.); #33002=FACE_OUTER_BOUND('',#53197,.T.); #33003=FACE_OUTER_BOUND('',#53198,.T.); #33004=FACE_OUTER_BOUND('',#53199,.T.); #33005=FACE_OUTER_BOUND('',#53200,.T.); #33006=FACE_OUTER_BOUND('',#53201,.T.); #33007=FACE_OUTER_BOUND('',#53202,.T.); #33008=FACE_OUTER_BOUND('',#53203,.T.); #33009=FACE_OUTER_BOUND('',#53204,.T.); #33010=FACE_OUTER_BOUND('',#53205,.T.); #33011=FACE_OUTER_BOUND('',#53206,.T.); #33012=FACE_OUTER_BOUND('',#53207,.T.); #33013=FACE_OUTER_BOUND('',#53208,.T.); #33014=FACE_OUTER_BOUND('',#53209,.T.); #33015=FACE_OUTER_BOUND('',#53210,.T.); #33016=FACE_OUTER_BOUND('',#53211,.T.); #33017=FACE_OUTER_BOUND('',#53212,.T.); #33018=FACE_OUTER_BOUND('',#53213,.T.); #33019=FACE_OUTER_BOUND('',#53214,.T.); #33020=FACE_OUTER_BOUND('',#53215,.T.); #33021=FACE_OUTER_BOUND('',#53216,.T.); #33022=FACE_OUTER_BOUND('',#53217,.T.); #33023=FACE_OUTER_BOUND('',#53218,.T.); #33024=FACE_OUTER_BOUND('',#53219,.T.); #33025=FACE_OUTER_BOUND('',#53220,.T.); #33026=FACE_OUTER_BOUND('',#53221,.T.); #33027=FACE_OUTER_BOUND('',#53222,.T.); #33028=FACE_OUTER_BOUND('',#53223,.T.); #33029=FACE_OUTER_BOUND('',#53224,.T.); #33030=FACE_OUTER_BOUND('',#53225,.T.); #33031=FACE_OUTER_BOUND('',#53226,.T.); #33032=FACE_OUTER_BOUND('',#53227,.T.); #33033=FACE_OUTER_BOUND('',#53228,.T.); #33034=FACE_OUTER_BOUND('',#53229,.T.); #33035=FACE_OUTER_BOUND('',#53230,.T.); #33036=FACE_OUTER_BOUND('',#53231,.T.); #33037=FACE_OUTER_BOUND('',#53232,.T.); #33038=FACE_OUTER_BOUND('',#53233,.T.); #33039=FACE_OUTER_BOUND('',#53234,.T.); #33040=FACE_OUTER_BOUND('',#53235,.T.); #33041=FACE_OUTER_BOUND('',#53236,.T.); #33042=FACE_OUTER_BOUND('',#53237,.T.); #33043=FACE_OUTER_BOUND('',#53238,.T.); #33044=FACE_OUTER_BOUND('',#53239,.T.); #33045=FACE_OUTER_BOUND('',#53240,.T.); #33046=FACE_OUTER_BOUND('',#53241,.T.); #33047=FACE_OUTER_BOUND('',#53242,.T.); #33048=FACE_OUTER_BOUND('',#53243,.T.); #33049=FACE_OUTER_BOUND('',#53244,.T.); #33050=FACE_OUTER_BOUND('',#53245,.T.); #33051=FACE_OUTER_BOUND('',#53246,.T.); #33052=FACE_OUTER_BOUND('',#53247,.T.); #33053=FACE_OUTER_BOUND('',#53248,.T.); #33054=FACE_OUTER_BOUND('',#53249,.T.); #33055=FACE_OUTER_BOUND('',#53250,.T.); #33056=FACE_OUTER_BOUND('',#53251,.T.); #33057=FACE_OUTER_BOUND('',#53252,.T.); #33058=FACE_OUTER_BOUND('',#53253,.T.); #33059=FACE_OUTER_BOUND('',#53254,.T.); #33060=FACE_OUTER_BOUND('',#53255,.T.); #33061=FACE_OUTER_BOUND('',#53256,.T.); #33062=FACE_OUTER_BOUND('',#53257,.T.); #33063=FACE_OUTER_BOUND('',#53258,.T.); #33064=FACE_OUTER_BOUND('',#53259,.T.); #33065=FACE_OUTER_BOUND('',#53260,.T.); #33066=FACE_OUTER_BOUND('',#53261,.T.); #33067=FACE_OUTER_BOUND('',#53262,.T.); #33068=FACE_OUTER_BOUND('',#53263,.T.); #33069=FACE_OUTER_BOUND('',#53264,.T.); #33070=FACE_OUTER_BOUND('',#53265,.T.); #33071=FACE_OUTER_BOUND('',#53266,.T.); #33072=FACE_OUTER_BOUND('',#53267,.T.); #33073=FACE_OUTER_BOUND('',#53268,.T.); #33074=FACE_OUTER_BOUND('',#53269,.T.); #33075=FACE_OUTER_BOUND('',#53270,.T.); #33076=FACE_OUTER_BOUND('',#53271,.T.); #33077=FACE_OUTER_BOUND('',#53272,.T.); #33078=FACE_OUTER_BOUND('',#53273,.T.); #33079=FACE_OUTER_BOUND('',#53274,.T.); #33080=FACE_OUTER_BOUND('',#53275,.T.); #33081=FACE_OUTER_BOUND('',#53276,.T.); #33082=FACE_OUTER_BOUND('',#53277,.T.); #33083=FACE_OUTER_BOUND('',#53278,.T.); #33084=FACE_OUTER_BOUND('',#53279,.T.); #33085=FACE_OUTER_BOUND('',#53280,.T.); #33086=FACE_OUTER_BOUND('',#53281,.T.); #33087=FACE_OUTER_BOUND('',#53282,.T.); #33088=FACE_OUTER_BOUND('',#53283,.T.); #33089=FACE_OUTER_BOUND('',#53284,.T.); #33090=FACE_OUTER_BOUND('',#53285,.T.); #33091=FACE_OUTER_BOUND('',#53286,.T.); #33092=FACE_OUTER_BOUND('',#53287,.T.); #33093=FACE_OUTER_BOUND('',#53288,.T.); #33094=FACE_OUTER_BOUND('',#53289,.T.); #33095=FACE_OUTER_BOUND('',#53290,.T.); #33096=FACE_OUTER_BOUND('',#53291,.T.); #33097=FACE_OUTER_BOUND('',#53292,.T.); #33098=FACE_OUTER_BOUND('',#53293,.T.); #33099=FACE_OUTER_BOUND('',#53294,.T.); #33100=FACE_OUTER_BOUND('',#53295,.T.); #33101=FACE_OUTER_BOUND('',#53296,.T.); #33102=FACE_OUTER_BOUND('',#53297,.T.); #33103=FACE_OUTER_BOUND('',#53298,.T.); #33104=FACE_OUTER_BOUND('',#53299,.T.); #33105=FACE_OUTER_BOUND('',#53300,.T.); #33106=FACE_OUTER_BOUND('',#53301,.T.); #33107=FACE_OUTER_BOUND('',#53302,.T.); #33108=FACE_OUTER_BOUND('',#53303,.T.); #33109=FACE_OUTER_BOUND('',#53304,.T.); #33110=FACE_OUTER_BOUND('',#53305,.T.); #33111=FACE_OUTER_BOUND('',#53306,.T.); #33112=FACE_OUTER_BOUND('',#53307,.T.); #33113=FACE_OUTER_BOUND('',#53308,.T.); #33114=FACE_OUTER_BOUND('',#53309,.T.); #33115=FACE_OUTER_BOUND('',#53310,.T.); #33116=FACE_OUTER_BOUND('',#53311,.T.); #33117=FACE_OUTER_BOUND('',#53312,.T.); #33118=FACE_OUTER_BOUND('',#53313,.T.); #33119=FACE_OUTER_BOUND('',#53314,.T.); #33120=FACE_OUTER_BOUND('',#53315,.T.); #33121=FACE_OUTER_BOUND('',#53316,.T.); #33122=FACE_OUTER_BOUND('',#53317,.T.); #33123=FACE_OUTER_BOUND('',#53318,.T.); #33124=FACE_OUTER_BOUND('',#53319,.T.); #33125=FACE_OUTER_BOUND('',#53320,.T.); #33126=FACE_OUTER_BOUND('',#53321,.T.); #33127=FACE_OUTER_BOUND('',#53322,.T.); #33128=FACE_OUTER_BOUND('',#53323,.T.); #33129=FACE_OUTER_BOUND('',#53324,.T.); #33130=FACE_OUTER_BOUND('',#53325,.T.); #33131=FACE_OUTER_BOUND('',#53326,.T.); #33132=FACE_OUTER_BOUND('',#53327,.T.); #33133=FACE_OUTER_BOUND('',#53328,.T.); #33134=FACE_OUTER_BOUND('',#53329,.T.); #33135=FACE_OUTER_BOUND('',#53330,.T.); #33136=FACE_OUTER_BOUND('',#53331,.T.); #33137=FACE_OUTER_BOUND('',#53332,.T.); #33138=FACE_OUTER_BOUND('',#53333,.T.); #33139=FACE_OUTER_BOUND('',#53334,.T.); #33140=FACE_OUTER_BOUND('',#53335,.T.); #33141=FACE_OUTER_BOUND('',#53336,.T.); #33142=FACE_OUTER_BOUND('',#53337,.T.); #33143=FACE_OUTER_BOUND('',#53338,.T.); #33144=FACE_OUTER_BOUND('',#53339,.T.); #33145=FACE_OUTER_BOUND('',#53340,.T.); #33146=FACE_OUTER_BOUND('',#53341,.T.); #33147=FACE_OUTER_BOUND('',#53342,.T.); #33148=FACE_OUTER_BOUND('',#53343,.T.); #33149=FACE_OUTER_BOUND('',#53344,.T.); #33150=FACE_OUTER_BOUND('',#53345,.T.); #33151=FACE_OUTER_BOUND('',#53346,.T.); #33152=FACE_OUTER_BOUND('',#53347,.T.); #33153=FACE_OUTER_BOUND('',#53348,.T.); #33154=FACE_OUTER_BOUND('',#53349,.T.); #33155=FACE_OUTER_BOUND('',#53350,.T.); #33156=FACE_OUTER_BOUND('',#53351,.T.); #33157=FACE_OUTER_BOUND('',#53352,.T.); #33158=FACE_OUTER_BOUND('',#53353,.T.); #33159=FACE_OUTER_BOUND('',#53354,.T.); #33160=FACE_OUTER_BOUND('',#53355,.T.); #33161=FACE_OUTER_BOUND('',#53356,.T.); #33162=FACE_OUTER_BOUND('',#53357,.T.); #33163=FACE_OUTER_BOUND('',#53358,.T.); #33164=FACE_OUTER_BOUND('',#53359,.T.); #33165=FACE_OUTER_BOUND('',#53360,.T.); #33166=FACE_OUTER_BOUND('',#53361,.T.); #33167=FACE_OUTER_BOUND('',#53362,.T.); #33168=FACE_OUTER_BOUND('',#53363,.T.); #33169=FACE_OUTER_BOUND('',#53364,.T.); #33170=FACE_OUTER_BOUND('',#53365,.T.); #33171=FACE_OUTER_BOUND('',#53366,.T.); #33172=FACE_OUTER_BOUND('',#53367,.T.); #33173=FACE_OUTER_BOUND('',#53368,.T.); #33174=FACE_OUTER_BOUND('',#53369,.T.); #33175=FACE_OUTER_BOUND('',#53370,.T.); #33176=FACE_OUTER_BOUND('',#53371,.T.); #33177=FACE_OUTER_BOUND('',#53372,.T.); #33178=FACE_OUTER_BOUND('',#53373,.T.); #33179=FACE_OUTER_BOUND('',#53374,.T.); #33180=FACE_OUTER_BOUND('',#53375,.T.); #33181=FACE_OUTER_BOUND('',#53376,.T.); #33182=FACE_OUTER_BOUND('',#53377,.T.); #33183=FACE_OUTER_BOUND('',#53378,.T.); #33184=FACE_OUTER_BOUND('',#53379,.T.); #33185=FACE_OUTER_BOUND('',#53380,.T.); #33186=FACE_OUTER_BOUND('',#53381,.T.); #33187=FACE_OUTER_BOUND('',#53382,.T.); #33188=FACE_OUTER_BOUND('',#53383,.T.); #33189=FACE_OUTER_BOUND('',#53384,.T.); #33190=FACE_OUTER_BOUND('',#53385,.T.); #33191=FACE_OUTER_BOUND('',#53386,.T.); #33192=FACE_OUTER_BOUND('',#53387,.T.); #33193=FACE_OUTER_BOUND('',#53388,.T.); #33194=FACE_OUTER_BOUND('',#53389,.T.); #33195=FACE_OUTER_BOUND('',#53390,.T.); #33196=FACE_OUTER_BOUND('',#53391,.T.); #33197=FACE_OUTER_BOUND('',#53392,.T.); #33198=FACE_OUTER_BOUND('',#53393,.T.); #33199=FACE_OUTER_BOUND('',#53394,.T.); #33200=FACE_OUTER_BOUND('',#53395,.T.); #33201=FACE_OUTER_BOUND('',#53396,.T.); #33202=FACE_OUTER_BOUND('',#53397,.T.); #33203=FACE_OUTER_BOUND('',#53398,.T.); #33204=FACE_OUTER_BOUND('',#53399,.T.); #33205=FACE_OUTER_BOUND('',#53400,.T.); #33206=FACE_OUTER_BOUND('',#53401,.T.); #33207=FACE_OUTER_BOUND('',#53402,.T.); #33208=FACE_OUTER_BOUND('',#53403,.T.); #33209=FACE_OUTER_BOUND('',#53404,.T.); #33210=FACE_OUTER_BOUND('',#53405,.T.); #33211=FACE_OUTER_BOUND('',#53406,.T.); #33212=FACE_OUTER_BOUND('',#53407,.T.); #33213=FACE_OUTER_BOUND('',#53424,.T.); #33214=FACE_OUTER_BOUND('',#53441,.T.); #33215=FACE_OUTER_BOUND('',#53442,.T.); #33216=FACE_OUTER_BOUND('',#53443,.T.); #33217=FACE_OUTER_BOUND('',#53444,.T.); #33218=FACE_OUTER_BOUND('',#53445,.T.); #33219=FACE_OUTER_BOUND('',#53446,.T.); #33220=FACE_OUTER_BOUND('',#53447,.T.); #33221=FACE_OUTER_BOUND('',#53448,.T.); #33222=FACE_OUTER_BOUND('',#53449,.T.); #33223=FACE_OUTER_BOUND('',#53450,.T.); #33224=FACE_OUTER_BOUND('',#53451,.T.); #33225=FACE_OUTER_BOUND('',#53452,.T.); #33226=FACE_OUTER_BOUND('',#53453,.T.); #33227=FACE_OUTER_BOUND('',#53454,.T.); #33228=FACE_OUTER_BOUND('',#53455,.T.); #33229=FACE_OUTER_BOUND('',#53456,.T.); #33230=FACE_OUTER_BOUND('',#53457,.T.); #33231=FACE_OUTER_BOUND('',#53458,.T.); #33232=FACE_OUTER_BOUND('',#53459,.T.); #33233=FACE_OUTER_BOUND('',#53460,.T.); #33234=FACE_OUTER_BOUND('',#53461,.T.); #33235=FACE_OUTER_BOUND('',#53462,.T.); #33236=FACE_OUTER_BOUND('',#53463,.T.); #33237=FACE_OUTER_BOUND('',#53464,.T.); #33238=FACE_OUTER_BOUND('',#53465,.T.); #33239=FACE_OUTER_BOUND('',#53466,.T.); #33240=FACE_OUTER_BOUND('',#53467,.T.); #33241=FACE_OUTER_BOUND('',#53468,.T.); #33242=FACE_OUTER_BOUND('',#53469,.T.); #33243=FACE_OUTER_BOUND('',#53470,.T.); #33244=FACE_OUTER_BOUND('',#53471,.T.); #33245=FACE_OUTER_BOUND('',#53472,.T.); #33246=FACE_OUTER_BOUND('',#53473,.T.); #33247=FACE_OUTER_BOUND('',#53474,.T.); #33248=FACE_OUTER_BOUND('',#53475,.T.); #33249=FACE_OUTER_BOUND('',#53476,.T.); #33250=FACE_OUTER_BOUND('',#53477,.T.); #33251=FACE_OUTER_BOUND('',#53478,.T.); #33252=FACE_OUTER_BOUND('',#53479,.T.); #33253=FACE_OUTER_BOUND('',#53480,.T.); #33254=FACE_OUTER_BOUND('',#53481,.T.); #33255=FACE_OUTER_BOUND('',#53482,.T.); #33256=FACE_OUTER_BOUND('',#53483,.T.); #33257=FACE_OUTER_BOUND('',#53484,.T.); #33258=FACE_OUTER_BOUND('',#53485,.T.); #33259=FACE_OUTER_BOUND('',#53486,.T.); #33260=FACE_OUTER_BOUND('',#53487,.T.); #33261=FACE_OUTER_BOUND('',#53488,.T.); #33262=FACE_OUTER_BOUND('',#53489,.T.); #33263=FACE_OUTER_BOUND('',#53490,.T.); #33264=FACE_OUTER_BOUND('',#53491,.T.); #33265=FACE_OUTER_BOUND('',#53492,.T.); #33266=FACE_OUTER_BOUND('',#53493,.T.); #33267=FACE_OUTER_BOUND('',#53494,.T.); #33268=FACE_OUTER_BOUND('',#53495,.T.); #33269=FACE_OUTER_BOUND('',#53496,.T.); #33270=FACE_OUTER_BOUND('',#53497,.T.); #33271=FACE_OUTER_BOUND('',#53498,.T.); #33272=FACE_OUTER_BOUND('',#53499,.T.); #33273=FACE_OUTER_BOUND('',#53500,.T.); #33274=FACE_OUTER_BOUND('',#53501,.T.); #33275=FACE_OUTER_BOUND('',#53502,.T.); #33276=FACE_OUTER_BOUND('',#53503,.T.); #33277=FACE_OUTER_BOUND('',#53504,.T.); #33278=FACE_OUTER_BOUND('',#53505,.T.); #33279=FACE_OUTER_BOUND('',#53506,.T.); #33280=FACE_OUTER_BOUND('',#53507,.T.); #33281=FACE_OUTER_BOUND('',#53508,.T.); #33282=FACE_OUTER_BOUND('',#53509,.T.); #33283=FACE_OUTER_BOUND('',#53510,.T.); #33284=FACE_OUTER_BOUND('',#53511,.T.); #33285=FACE_OUTER_BOUND('',#53512,.T.); #33286=FACE_OUTER_BOUND('',#53513,.T.); #33287=FACE_OUTER_BOUND('',#53514,.T.); #33288=FACE_OUTER_BOUND('',#53515,.T.); #33289=FACE_OUTER_BOUND('',#53516,.T.); #33290=FACE_OUTER_BOUND('',#53517,.T.); #33291=FACE_OUTER_BOUND('',#53518,.T.); #33292=FACE_OUTER_BOUND('',#53519,.T.); #33293=FACE_OUTER_BOUND('',#53520,.T.); #33294=FACE_OUTER_BOUND('',#53521,.T.); #33295=FACE_OUTER_BOUND('',#53522,.T.); #33296=FACE_OUTER_BOUND('',#53523,.T.); #33297=FACE_OUTER_BOUND('',#53524,.T.); #33298=FACE_OUTER_BOUND('',#53525,.T.); #33299=FACE_OUTER_BOUND('',#53526,.T.); #33300=FACE_OUTER_BOUND('',#53527,.T.); #33301=FACE_OUTER_BOUND('',#53544,.T.); #33302=FACE_OUTER_BOUND('',#53561,.T.); #33303=FACE_OUTER_BOUND('',#53562,.T.); #33304=FACE_OUTER_BOUND('',#53563,.T.); #33305=FACE_OUTER_BOUND('',#53564,.T.); #33306=FACE_OUTER_BOUND('',#53565,.T.); #33307=FACE_OUTER_BOUND('',#53566,.T.); #33308=FACE_OUTER_BOUND('',#53567,.T.); #33309=FACE_OUTER_BOUND('',#53568,.T.); #33310=FACE_OUTER_BOUND('',#53569,.T.); #33311=FACE_OUTER_BOUND('',#53570,.T.); #33312=FACE_OUTER_BOUND('',#53571,.T.); #33313=FACE_OUTER_BOUND('',#53572,.T.); #33314=FACE_OUTER_BOUND('',#53573,.T.); #33315=FACE_OUTER_BOUND('',#53574,.T.); #33316=FACE_OUTER_BOUND('',#53575,.T.); #33317=FACE_OUTER_BOUND('',#53576,.T.); #33318=FACE_OUTER_BOUND('',#53577,.T.); #33319=FACE_OUTER_BOUND('',#53578,.T.); #33320=FACE_OUTER_BOUND('',#53579,.T.); #33321=FACE_OUTER_BOUND('',#53580,.T.); #33322=FACE_OUTER_BOUND('',#53581,.T.); #33323=FACE_OUTER_BOUND('',#53582,.T.); #33324=FACE_OUTER_BOUND('',#53583,.T.); #33325=FACE_OUTER_BOUND('',#53584,.T.); #33326=FACE_OUTER_BOUND('',#53585,.T.); #33327=FACE_OUTER_BOUND('',#53586,.T.); #33328=FACE_OUTER_BOUND('',#53587,.T.); #33329=FACE_OUTER_BOUND('',#53588,.T.); #33330=FACE_OUTER_BOUND('',#53589,.T.); #33331=FACE_OUTER_BOUND('',#53590,.T.); #33332=FACE_OUTER_BOUND('',#53591,.T.); #33333=FACE_OUTER_BOUND('',#53592,.T.); #33334=FACE_OUTER_BOUND('',#53593,.T.); #33335=FACE_OUTER_BOUND('',#53594,.T.); #33336=FACE_OUTER_BOUND('',#53595,.T.); #33337=FACE_OUTER_BOUND('',#53596,.T.); #33338=FACE_OUTER_BOUND('',#53597,.T.); #33339=FACE_OUTER_BOUND('',#53598,.T.); #33340=FACE_OUTER_BOUND('',#53599,.T.); #33341=FACE_OUTER_BOUND('',#53600,.T.); #33342=FACE_OUTER_BOUND('',#53601,.T.); #33343=FACE_OUTER_BOUND('',#53602,.T.); #33344=FACE_OUTER_BOUND('',#53603,.T.); #33345=FACE_OUTER_BOUND('',#53604,.T.); #33346=FACE_OUTER_BOUND('',#53605,.T.); #33347=FACE_OUTER_BOUND('',#53606,.T.); #33348=FACE_OUTER_BOUND('',#53607,.T.); #33349=FACE_OUTER_BOUND('',#53608,.T.); #33350=FACE_OUTER_BOUND('',#53609,.T.); #33351=FACE_OUTER_BOUND('',#53610,.T.); #33352=FACE_OUTER_BOUND('',#53611,.T.); #33353=FACE_OUTER_BOUND('',#53612,.T.); #33354=FACE_OUTER_BOUND('',#53613,.T.); #33355=FACE_OUTER_BOUND('',#53614,.T.); #33356=FACE_OUTER_BOUND('',#53615,.T.); #33357=FACE_OUTER_BOUND('',#53616,.T.); #33358=FACE_OUTER_BOUND('',#53617,.T.); #33359=FACE_OUTER_BOUND('',#53618,.T.); #33360=FACE_OUTER_BOUND('',#53619,.T.); #33361=FACE_OUTER_BOUND('',#53620,.T.); #33362=FACE_OUTER_BOUND('',#53621,.T.); #33363=FACE_OUTER_BOUND('',#53622,.T.); #33364=FACE_OUTER_BOUND('',#53623,.T.); #33365=FACE_OUTER_BOUND('',#53624,.T.); #33366=FACE_OUTER_BOUND('',#53625,.T.); #33367=FACE_OUTER_BOUND('',#53626,.T.); #33368=FACE_OUTER_BOUND('',#53627,.T.); #33369=FACE_OUTER_BOUND('',#53628,.T.); #33370=FACE_OUTER_BOUND('',#53629,.T.); #33371=FACE_OUTER_BOUND('',#53630,.T.); #33372=FACE_OUTER_BOUND('',#53631,.T.); #33373=FACE_OUTER_BOUND('',#53632,.T.); #33374=FACE_OUTER_BOUND('',#53633,.T.); #33375=FACE_OUTER_BOUND('',#53634,.T.); #33376=FACE_OUTER_BOUND('',#53635,.T.); #33377=FACE_OUTER_BOUND('',#53636,.T.); #33378=FACE_OUTER_BOUND('',#53667,.T.); #33379=FACE_OUTER_BOUND('',#53698,.T.); #33380=FACE_OUTER_BOUND('',#53699,.T.); #33381=FACE_OUTER_BOUND('',#53700,.T.); #33382=FACE_OUTER_BOUND('',#53701,.T.); #33383=FACE_OUTER_BOUND('',#53702,.T.); #33384=FACE_OUTER_BOUND('',#53703,.T.); #33385=FACE_OUTER_BOUND('',#53704,.T.); #33386=FACE_OUTER_BOUND('',#53705,.T.); #33387=FACE_OUTER_BOUND('',#53706,.T.); #33388=FACE_OUTER_BOUND('',#53707,.T.); #33389=FACE_OUTER_BOUND('',#53710,.T.); #33390=FACE_OUTER_BOUND('',#53713,.T.); #33391=FACE_OUTER_BOUND('',#53714,.T.); #33392=FACE_OUTER_BOUND('',#53715,.T.); #33393=FACE_OUTER_BOUND('',#53716,.T.); #33394=FACE_OUTER_BOUND('',#53717,.T.); #33395=FACE_OUTER_BOUND('',#53718,.T.); #33396=FACE_OUTER_BOUND('',#53719,.T.); #33397=FACE_OUTER_BOUND('',#53720,.T.); #33398=FACE_OUTER_BOUND('',#53721,.T.); #33399=FACE_OUTER_BOUND('',#53722,.T.); #33400=FACE_OUTER_BOUND('',#53723,.T.); #33401=FACE_OUTER_BOUND('',#53724,.T.); #33402=FACE_OUTER_BOUND('',#53725,.T.); #33403=FACE_OUTER_BOUND('',#53726,.T.); #33404=FACE_OUTER_BOUND('',#53727,.T.); #33405=FACE_OUTER_BOUND('',#53728,.T.); #33406=FACE_OUTER_BOUND('',#53729,.T.); #33407=FACE_OUTER_BOUND('',#53730,.T.); #33408=FACE_OUTER_BOUND('',#53731,.T.); #33409=FACE_OUTER_BOUND('',#53732,.T.); #33410=FACE_OUTER_BOUND('',#53733,.T.); #33411=FACE_OUTER_BOUND('',#53734,.T.); #33412=FACE_OUTER_BOUND('',#53735,.T.); #33413=FACE_OUTER_BOUND('',#53736,.T.); #33414=FACE_OUTER_BOUND('',#53737,.T.); #33415=FACE_OUTER_BOUND('',#53738,.T.); #33416=FACE_OUTER_BOUND('',#53739,.T.); #33417=FACE_OUTER_BOUND('',#53740,.T.); #33418=FACE_OUTER_BOUND('',#53741,.T.); #33419=FACE_OUTER_BOUND('',#53742,.T.); #33420=FACE_OUTER_BOUND('',#53743,.T.); #33421=FACE_OUTER_BOUND('',#53744,.T.); #33422=FACE_OUTER_BOUND('',#53745,.T.); #33423=FACE_OUTER_BOUND('',#53746,.T.); #33424=FACE_OUTER_BOUND('',#53747,.T.); #33425=FACE_OUTER_BOUND('',#53748,.T.); #33426=FACE_OUTER_BOUND('',#53749,.T.); #33427=FACE_OUTER_BOUND('',#53750,.T.); #33428=FACE_OUTER_BOUND('',#53751,.T.); #33429=FACE_OUTER_BOUND('',#53752,.T.); #33430=FACE_OUTER_BOUND('',#53753,.T.); #33431=FACE_OUTER_BOUND('',#53754,.T.); #33432=FACE_OUTER_BOUND('',#53755,.T.); #33433=FACE_OUTER_BOUND('',#53756,.T.); #33434=FACE_OUTER_BOUND('',#53757,.T.); #33435=FACE_OUTER_BOUND('',#53758,.T.); #33436=FACE_OUTER_BOUND('',#53759,.T.); #33437=FACE_OUTER_BOUND('',#53760,.T.); #33438=FACE_OUTER_BOUND('',#53761,.T.); #33439=FACE_OUTER_BOUND('',#53762,.T.); #33440=FACE_OUTER_BOUND('',#53763,.T.); #33441=FACE_OUTER_BOUND('',#53764,.T.); #33442=FACE_OUTER_BOUND('',#53765,.T.); #33443=FACE_OUTER_BOUND('',#53766,.T.); #33444=FACE_OUTER_BOUND('',#53767,.T.); #33445=FACE_OUTER_BOUND('',#53768,.T.); #33446=FACE_OUTER_BOUND('',#53769,.T.); #33447=FACE_OUTER_BOUND('',#53770,.T.); #33448=FACE_OUTER_BOUND('',#53771,.T.); #33449=FACE_OUTER_BOUND('',#53772,.T.); #33450=FACE_OUTER_BOUND('',#53773,.T.); #33451=FACE_OUTER_BOUND('',#53774,.T.); #33452=FACE_OUTER_BOUND('',#53775,.T.); #33453=FACE_OUTER_BOUND('',#53776,.T.); #33454=FACE_OUTER_BOUND('',#53777,.T.); #33455=FACE_OUTER_BOUND('',#53778,.T.); #33456=FACE_OUTER_BOUND('',#53779,.T.); #33457=FACE_OUTER_BOUND('',#53780,.T.); #33458=FACE_OUTER_BOUND('',#53781,.T.); #33459=FACE_OUTER_BOUND('',#53782,.T.); #33460=FACE_OUTER_BOUND('',#53783,.T.); #33461=FACE_OUTER_BOUND('',#53784,.T.); #33462=FACE_OUTER_BOUND('',#53785,.T.); #33463=FACE_OUTER_BOUND('',#53786,.T.); #33464=FACE_OUTER_BOUND('',#53787,.T.); #33465=FACE_OUTER_BOUND('',#53788,.T.); #33466=FACE_OUTER_BOUND('',#53819,.T.); #33467=FACE_OUTER_BOUND('',#53850,.T.); #33468=FACE_OUTER_BOUND('',#53851,.T.); #33469=FACE_OUTER_BOUND('',#53852,.T.); #33470=FACE_OUTER_BOUND('',#53853,.T.); #33471=FACE_OUTER_BOUND('',#53854,.T.); #33472=FACE_OUTER_BOUND('',#53855,.T.); #33473=FACE_OUTER_BOUND('',#53856,.T.); #33474=FACE_OUTER_BOUND('',#53857,.T.); #33475=FACE_OUTER_BOUND('',#53858,.T.); #33476=FACE_OUTER_BOUND('',#53859,.T.); #33477=FACE_OUTER_BOUND('',#53862,.T.); #33478=FACE_OUTER_BOUND('',#53865,.T.); #33479=FACE_OUTER_BOUND('',#53866,.T.); #33480=FACE_OUTER_BOUND('',#53867,.T.); #33481=FACE_OUTER_BOUND('',#53868,.T.); #33482=FACE_OUTER_BOUND('',#53869,.T.); #33483=FACE_OUTER_BOUND('',#53870,.T.); #33484=FACE_OUTER_BOUND('',#53871,.T.); #33485=FACE_OUTER_BOUND('',#53872,.T.); #33486=FACE_OUTER_BOUND('',#53873,.T.); #33487=FACE_OUTER_BOUND('',#53874,.T.); #33488=FACE_OUTER_BOUND('',#53875,.T.); #33489=FACE_OUTER_BOUND('',#53876,.T.); #33490=FACE_OUTER_BOUND('',#53877,.T.); #33491=FACE_OUTER_BOUND('',#53878,.T.); #33492=FACE_OUTER_BOUND('',#53879,.T.); #33493=FACE_OUTER_BOUND('',#53880,.T.); #33494=FACE_OUTER_BOUND('',#53881,.T.); #33495=FACE_OUTER_BOUND('',#53882,.T.); #33496=FACE_OUTER_BOUND('',#53883,.T.); #33497=FACE_OUTER_BOUND('',#53884,.T.); #33498=FACE_OUTER_BOUND('',#53885,.T.); #33499=FACE_OUTER_BOUND('',#53886,.T.); #33500=FACE_OUTER_BOUND('',#53887,.T.); #33501=FACE_OUTER_BOUND('',#53888,.T.); #33502=FACE_OUTER_BOUND('',#53889,.T.); #33503=FACE_OUTER_BOUND('',#53890,.T.); #33504=FACE_OUTER_BOUND('',#53891,.T.); #33505=FACE_OUTER_BOUND('',#53892,.T.); #33506=FACE_OUTER_BOUND('',#53893,.T.); #33507=FACE_OUTER_BOUND('',#53894,.T.); #33508=FACE_OUTER_BOUND('',#53895,.T.); #33509=FACE_OUTER_BOUND('',#53896,.T.); #33510=FACE_OUTER_BOUND('',#53897,.T.); #33511=FACE_OUTER_BOUND('',#53898,.T.); #33512=FACE_OUTER_BOUND('',#53899,.T.); #33513=FACE_OUTER_BOUND('',#53900,.T.); #33514=FACE_OUTER_BOUND('',#53901,.T.); #33515=FACE_OUTER_BOUND('',#53902,.T.); #33516=FACE_OUTER_BOUND('',#53903,.T.); #33517=FACE_OUTER_BOUND('',#53904,.T.); #33518=FACE_OUTER_BOUND('',#53905,.T.); #33519=FACE_OUTER_BOUND('',#53906,.T.); #33520=FACE_OUTER_BOUND('',#53907,.T.); #33521=FACE_OUTER_BOUND('',#53908,.T.); #33522=FACE_OUTER_BOUND('',#53909,.T.); #33523=FACE_OUTER_BOUND('',#53910,.T.); #33524=FACE_OUTER_BOUND('',#53911,.T.); #33525=FACE_OUTER_BOUND('',#53912,.T.); #33526=FACE_OUTER_BOUND('',#53913,.T.); #33527=FACE_OUTER_BOUND('',#53914,.T.); #33528=FACE_OUTER_BOUND('',#53915,.T.); #33529=FACE_OUTER_BOUND('',#53916,.T.); #33530=FACE_OUTER_BOUND('',#53917,.T.); #33531=FACE_OUTER_BOUND('',#53918,.T.); #33532=FACE_OUTER_BOUND('',#53919,.T.); #33533=FACE_OUTER_BOUND('',#53920,.T.); #33534=FACE_OUTER_BOUND('',#53921,.T.); #33535=FACE_OUTER_BOUND('',#53922,.T.); #33536=FACE_OUTER_BOUND('',#53923,.T.); #33537=FACE_OUTER_BOUND('',#53924,.T.); #33538=FACE_OUTER_BOUND('',#53925,.T.); #33539=FACE_OUTER_BOUND('',#53926,.T.); #33540=FACE_OUTER_BOUND('',#53927,.T.); #33541=FACE_OUTER_BOUND('',#53928,.T.); #33542=FACE_OUTER_BOUND('',#53929,.T.); #33543=FACE_OUTER_BOUND('',#53930,.T.); #33544=FACE_OUTER_BOUND('',#53931,.T.); #33545=FACE_OUTER_BOUND('',#53932,.T.); #33546=FACE_OUTER_BOUND('',#53933,.T.); #33547=FACE_OUTER_BOUND('',#53934,.T.); #33548=FACE_OUTER_BOUND('',#53935,.T.); #33549=FACE_OUTER_BOUND('',#53936,.T.); #33550=FACE_OUTER_BOUND('',#53937,.T.); #33551=FACE_OUTER_BOUND('',#53938,.T.); #33552=FACE_OUTER_BOUND('',#53939,.T.); #33553=FACE_OUTER_BOUND('',#53940,.T.); #33554=FACE_OUTER_BOUND('',#53941,.T.); #33555=FACE_OUTER_BOUND('',#53942,.T.); #33556=FACE_OUTER_BOUND('',#53943,.T.); #33557=FACE_OUTER_BOUND('',#53944,.T.); #33558=FACE_OUTER_BOUND('',#53945,.T.); #33559=FACE_OUTER_BOUND('',#53946,.T.); #33560=FACE_OUTER_BOUND('',#53947,.T.); #33561=FACE_OUTER_BOUND('',#53948,.T.); #33562=FACE_OUTER_BOUND('',#53949,.T.); #33563=FACE_OUTER_BOUND('',#53950,.T.); #33564=FACE_OUTER_BOUND('',#53951,.T.); #33565=FACE_OUTER_BOUND('',#53952,.T.); #33566=FACE_OUTER_BOUND('',#53953,.T.); #33567=FACE_OUTER_BOUND('',#53954,.T.); #33568=FACE_OUTER_BOUND('',#53955,.T.); #33569=FACE_OUTER_BOUND('',#53956,.T.); #33570=FACE_OUTER_BOUND('',#53957,.T.); #33571=FACE_OUTER_BOUND('',#53958,.T.); #33572=FACE_OUTER_BOUND('',#53959,.T.); #33573=FACE_OUTER_BOUND('',#53960,.T.); #33574=FACE_OUTER_BOUND('',#53961,.T.); #33575=FACE_OUTER_BOUND('',#53962,.T.); #33576=FACE_OUTER_BOUND('',#53963,.T.); #33577=FACE_OUTER_BOUND('',#53964,.T.); #33578=FACE_OUTER_BOUND('',#53965,.T.); #33579=FACE_OUTER_BOUND('',#53966,.T.); #33580=FACE_OUTER_BOUND('',#53967,.T.); #33581=FACE_OUTER_BOUND('',#53968,.T.); #33582=FACE_OUTER_BOUND('',#53972,.T.); #33583=FACE_OUTER_BOUND('',#53976,.T.); #33584=FACE_OUTER_BOUND('',#53977,.T.); #33585=FACE_OUTER_BOUND('',#53978,.T.); #33586=FACE_OUTER_BOUND('',#53979,.T.); #33587=FACE_OUTER_BOUND('',#53980,.T.); #33588=FACE_OUTER_BOUND('',#53982,.T.); #33589=FACE_OUTER_BOUND('',#53984,.T.); #33590=FACE_OUTER_BOUND('',#53985,.T.); #33591=FACE_OUTER_BOUND('',#53986,.T.); #33592=FACE_OUTER_BOUND('',#53987,.T.); #33593=FACE_OUTER_BOUND('',#53988,.T.); #33594=FACE_OUTER_BOUND('',#53989,.T.); #33595=FACE_OUTER_BOUND('',#53990,.T.); #33596=FACE_OUTER_BOUND('',#53991,.T.); #33597=FACE_OUTER_BOUND('',#53992,.T.); #33598=FACE_OUTER_BOUND('',#53993,.T.); #33599=FACE_OUTER_BOUND('',#53994,.T.); #33600=FACE_OUTER_BOUND('',#53995,.T.); #33601=FACE_OUTER_BOUND('',#53996,.T.); #33602=FACE_OUTER_BOUND('',#53997,.T.); #33603=FACE_OUTER_BOUND('',#53998,.T.); #33604=FACE_OUTER_BOUND('',#53999,.T.); #33605=FACE_OUTER_BOUND('',#54000,.T.); #33606=FACE_OUTER_BOUND('',#54001,.T.); #33607=FACE_OUTER_BOUND('',#54002,.T.); #33608=FACE_OUTER_BOUND('',#54003,.T.); #33609=FACE_OUTER_BOUND('',#54004,.T.); #33610=FACE_OUTER_BOUND('',#54005,.T.); #33611=FACE_OUTER_BOUND('',#54006,.T.); #33612=FACE_OUTER_BOUND('',#54007,.T.); #33613=FACE_OUTER_BOUND('',#54008,.T.); #33614=FACE_OUTER_BOUND('',#54009,.T.); #33615=FACE_OUTER_BOUND('',#54010,.T.); #33616=FACE_OUTER_BOUND('',#54011,.T.); #33617=FACE_OUTER_BOUND('',#54012,.T.); #33618=FACE_OUTER_BOUND('',#54013,.T.); #33619=FACE_OUTER_BOUND('',#54014,.T.); #33620=FACE_OUTER_BOUND('',#54015,.T.); #33621=FACE_OUTER_BOUND('',#54016,.T.); #33622=FACE_OUTER_BOUND('',#54017,.T.); #33623=FACE_OUTER_BOUND('',#54018,.T.); #33624=FACE_OUTER_BOUND('',#54019,.T.); #33625=FACE_OUTER_BOUND('',#54020,.T.); #33626=FACE_OUTER_BOUND('',#54021,.T.); #33627=FACE_OUTER_BOUND('',#54022,.T.); #33628=FACE_OUTER_BOUND('',#54023,.T.); #33629=FACE_OUTER_BOUND('',#54024,.T.); #33630=FACE_OUTER_BOUND('',#54025,.T.); #33631=FACE_OUTER_BOUND('',#54026,.T.); #33632=FACE_OUTER_BOUND('',#54027,.T.); #33633=FACE_OUTER_BOUND('',#54028,.T.); #33634=FACE_OUTER_BOUND('',#54029,.T.); #33635=FACE_OUTER_BOUND('',#54030,.T.); #33636=FACE_OUTER_BOUND('',#54031,.T.); #33637=FACE_OUTER_BOUND('',#54032,.T.); #33638=FACE_OUTER_BOUND('',#54033,.T.); #33639=FACE_OUTER_BOUND('',#54034,.T.); #33640=FACE_OUTER_BOUND('',#54035,.T.); #33641=FACE_OUTER_BOUND('',#54036,.T.); #33642=FACE_OUTER_BOUND('',#54037,.T.); #33643=FACE_OUTER_BOUND('',#54038,.T.); #33644=FACE_OUTER_BOUND('',#54039,.T.); #33645=FACE_OUTER_BOUND('',#54040,.T.); #33646=FACE_OUTER_BOUND('',#54041,.T.); #33647=FACE_OUTER_BOUND('',#54042,.T.); #33648=FACE_OUTER_BOUND('',#54043,.T.); #33649=FACE_OUTER_BOUND('',#54044,.T.); #33650=FACE_OUTER_BOUND('',#54045,.T.); #33651=FACE_OUTER_BOUND('',#54046,.T.); #33652=FACE_OUTER_BOUND('',#54047,.T.); #33653=FACE_OUTER_BOUND('',#54048,.T.); #33654=FACE_OUTER_BOUND('',#54049,.T.); #33655=FACE_OUTER_BOUND('',#54050,.T.); #33656=FACE_OUTER_BOUND('',#54051,.T.); #33657=FACE_OUTER_BOUND('',#54052,.T.); #33658=FACE_OUTER_BOUND('',#54053,.T.); #33659=FACE_OUTER_BOUND('',#54054,.T.); #33660=FACE_OUTER_BOUND('',#54055,.T.); #33661=FACE_OUTER_BOUND('',#54056,.T.); #33662=FACE_OUTER_BOUND('',#54057,.T.); #33663=FACE_OUTER_BOUND('',#54058,.T.); #33664=FACE_OUTER_BOUND('',#54059,.T.); #33665=FACE_OUTER_BOUND('',#54060,.T.); #33666=FACE_OUTER_BOUND('',#54061,.T.); #33667=FACE_OUTER_BOUND('',#54062,.T.); #33668=FACE_OUTER_BOUND('',#54063,.T.); #33669=FACE_OUTER_BOUND('',#54064,.T.); #33670=FACE_OUTER_BOUND('',#54065,.T.); #33671=FACE_OUTER_BOUND('',#54066,.T.); #33672=FACE_OUTER_BOUND('',#54067,.T.); #33673=FACE_OUTER_BOUND('',#54068,.T.); #33674=FACE_OUTER_BOUND('',#54069,.T.); #33675=FACE_OUTER_BOUND('',#54070,.T.); #33676=FACE_OUTER_BOUND('',#54071,.T.); #33677=FACE_OUTER_BOUND('',#54072,.T.); #33678=FACE_OUTER_BOUND('',#54073,.T.); #33679=FACE_OUTER_BOUND('',#54074,.T.); #33680=FACE_OUTER_BOUND('',#54075,.T.); #33681=FACE_OUTER_BOUND('',#54076,.T.); #33682=FACE_OUTER_BOUND('',#54077,.T.); #33683=FACE_OUTER_BOUND('',#54078,.T.); #33684=FACE_OUTER_BOUND('',#54079,.T.); #33685=FACE_OUTER_BOUND('',#54080,.T.); #33686=FACE_OUTER_BOUND('',#54081,.T.); #33687=FACE_OUTER_BOUND('',#54082,.T.); #33688=FACE_OUTER_BOUND('',#54083,.T.); #33689=FACE_OUTER_BOUND('',#54084,.T.); #33690=FACE_OUTER_BOUND('',#54085,.T.); #33691=FACE_OUTER_BOUND('',#54086,.T.); #33692=FACE_OUTER_BOUND('',#54087,.T.); #33693=FACE_OUTER_BOUND('',#54088,.T.); #33694=FACE_OUTER_BOUND('',#54089,.T.); #33695=FACE_OUTER_BOUND('',#54090,.T.); #33696=FACE_OUTER_BOUND('',#54091,.T.); #33697=FACE_OUTER_BOUND('',#54092,.T.); #33698=FACE_OUTER_BOUND('',#54093,.T.); #33699=FACE_OUTER_BOUND('',#54094,.T.); #33700=FACE_OUTER_BOUND('',#54095,.T.); #33701=FACE_OUTER_BOUND('',#54096,.T.); #33702=FACE_OUTER_BOUND('',#54097,.T.); #33703=FACE_OUTER_BOUND('',#54098,.T.); #33704=FACE_OUTER_BOUND('',#54099,.T.); #33705=FACE_OUTER_BOUND('',#54100,.T.); #33706=FACE_OUTER_BOUND('',#54101,.T.); #33707=FACE_OUTER_BOUND('',#54102,.T.); #33708=FACE_OUTER_BOUND('',#54103,.T.); #33709=FACE_OUTER_BOUND('',#54104,.T.); #33710=FACE_OUTER_BOUND('',#54105,.T.); #33711=FACE_OUTER_BOUND('',#54106,.T.); #33712=FACE_OUTER_BOUND('',#54107,.T.); #33713=FACE_OUTER_BOUND('',#54108,.T.); #33714=FACE_OUTER_BOUND('',#54109,.T.); #33715=FACE_OUTER_BOUND('',#54110,.T.); #33716=FACE_OUTER_BOUND('',#54111,.T.); #33717=FACE_OUTER_BOUND('',#54112,.T.); #33718=FACE_OUTER_BOUND('',#54113,.T.); #33719=FACE_OUTER_BOUND('',#54114,.T.); #33720=FACE_OUTER_BOUND('',#54115,.T.); #33721=FACE_OUTER_BOUND('',#54116,.T.); #33722=FACE_OUTER_BOUND('',#54117,.T.); #33723=FACE_OUTER_BOUND('',#54118,.T.); #33724=FACE_OUTER_BOUND('',#54119,.T.); #33725=FACE_OUTER_BOUND('',#54120,.T.); #33726=FACE_OUTER_BOUND('',#54121,.T.); #33727=FACE_OUTER_BOUND('',#54122,.T.); #33728=FACE_OUTER_BOUND('',#54123,.T.); #33729=FACE_OUTER_BOUND('',#54124,.T.); #33730=FACE_OUTER_BOUND('',#54125,.T.); #33731=FACE_OUTER_BOUND('',#54126,.T.); #33732=FACE_OUTER_BOUND('',#54127,.T.); #33733=FACE_OUTER_BOUND('',#54128,.T.); #33734=FACE_OUTER_BOUND('',#54129,.T.); #33735=FACE_OUTER_BOUND('',#54130,.T.); #33736=FACE_OUTER_BOUND('',#54131,.T.); #33737=FACE_OUTER_BOUND('',#54132,.T.); #33738=FACE_OUTER_BOUND('',#54133,.T.); #33739=FACE_OUTER_BOUND('',#54134,.T.); #33740=FACE_OUTER_BOUND('',#54135,.T.); #33741=FACE_OUTER_BOUND('',#54136,.T.); #33742=FACE_OUTER_BOUND('',#54137,.T.); #33743=FACE_OUTER_BOUND('',#54138,.T.); #33744=FACE_OUTER_BOUND('',#54139,.T.); #33745=FACE_OUTER_BOUND('',#54140,.T.); #33746=FACE_OUTER_BOUND('',#54141,.T.); #33747=FACE_OUTER_BOUND('',#54142,.T.); #33748=FACE_OUTER_BOUND('',#54143,.T.); #33749=FACE_OUTER_BOUND('',#54144,.T.); #33750=FACE_OUTER_BOUND('',#54145,.T.); #33751=FACE_OUTER_BOUND('',#54146,.T.); #33752=FACE_OUTER_BOUND('',#54147,.T.); #33753=FACE_OUTER_BOUND('',#54148,.T.); #33754=FACE_OUTER_BOUND('',#54149,.T.); #33755=FACE_OUTER_BOUND('',#54150,.T.); #33756=FACE_OUTER_BOUND('',#54151,.T.); #33757=FACE_OUTER_BOUND('',#54152,.T.); #33758=FACE_OUTER_BOUND('',#54153,.T.); #33759=FACE_OUTER_BOUND('',#54154,.T.); #33760=FACE_OUTER_BOUND('',#54155,.T.); #33761=FACE_OUTER_BOUND('',#54156,.T.); #33762=FACE_OUTER_BOUND('',#54157,.T.); #33763=FACE_OUTER_BOUND('',#54158,.T.); #33764=FACE_OUTER_BOUND('',#54159,.T.); #33765=FACE_OUTER_BOUND('',#54160,.T.); #33766=FACE_OUTER_BOUND('',#54161,.T.); #33767=FACE_OUTER_BOUND('',#54162,.T.); #33768=FACE_OUTER_BOUND('',#54163,.T.); #33769=FACE_OUTER_BOUND('',#54164,.T.); #33770=FACE_OUTER_BOUND('',#54165,.T.); #33771=FACE_OUTER_BOUND('',#54166,.T.); #33772=FACE_OUTER_BOUND('',#54183,.T.); #33773=FACE_OUTER_BOUND('',#54200,.T.); #33774=FACE_OUTER_BOUND('',#54201,.T.); #33775=FACE_OUTER_BOUND('',#54202,.T.); #33776=FACE_OUTER_BOUND('',#54203,.T.); #33777=FACE_OUTER_BOUND('',#54204,.T.); #33778=FACE_OUTER_BOUND('',#54205,.T.); #33779=FACE_OUTER_BOUND('',#54206,.T.); #33780=FACE_OUTER_BOUND('',#54207,.T.); #33781=FACE_OUTER_BOUND('',#54208,.T.); #33782=FACE_OUTER_BOUND('',#54209,.T.); #33783=FACE_OUTER_BOUND('',#54210,.T.); #33784=FACE_OUTER_BOUND('',#54211,.T.); #33785=FACE_OUTER_BOUND('',#54212,.T.); #33786=FACE_OUTER_BOUND('',#54213,.T.); #33787=FACE_OUTER_BOUND('',#54214,.T.); #33788=FACE_OUTER_BOUND('',#54215,.T.); #33789=FACE_OUTER_BOUND('',#54216,.T.); #33790=FACE_OUTER_BOUND('',#54217,.T.); #33791=FACE_OUTER_BOUND('',#54218,.T.); #33792=FACE_OUTER_BOUND('',#54219,.T.); #33793=FACE_OUTER_BOUND('',#54220,.T.); #33794=FACE_OUTER_BOUND('',#54221,.T.); #33795=FACE_OUTER_BOUND('',#54222,.T.); #33796=FACE_OUTER_BOUND('',#54223,.T.); #33797=FACE_OUTER_BOUND('',#54224,.T.); #33798=FACE_OUTER_BOUND('',#54225,.T.); #33799=FACE_OUTER_BOUND('',#54226,.T.); #33800=FACE_OUTER_BOUND('',#54227,.T.); #33801=FACE_OUTER_BOUND('',#54228,.T.); #33802=FACE_OUTER_BOUND('',#54229,.T.); #33803=FACE_OUTER_BOUND('',#54230,.T.); #33804=FACE_OUTER_BOUND('',#54231,.T.); #33805=FACE_OUTER_BOUND('',#54232,.T.); #33806=FACE_OUTER_BOUND('',#54233,.T.); #33807=FACE_OUTER_BOUND('',#54234,.T.); #33808=FACE_OUTER_BOUND('',#54235,.T.); #33809=FACE_OUTER_BOUND('',#54236,.T.); #33810=FACE_OUTER_BOUND('',#54237,.T.); #33811=FACE_OUTER_BOUND('',#54238,.T.); #33812=FACE_OUTER_BOUND('',#54239,.T.); #33813=FACE_OUTER_BOUND('',#54240,.T.); #33814=FACE_OUTER_BOUND('',#54241,.T.); #33815=FACE_OUTER_BOUND('',#54242,.T.); #33816=FACE_OUTER_BOUND('',#54243,.T.); #33817=FACE_OUTER_BOUND('',#54246,.T.); #33818=FACE_OUTER_BOUND('',#54249,.T.); #33819=FACE_OUTER_BOUND('',#54250,.T.); #33820=FACE_OUTER_BOUND('',#54251,.T.); #33821=FACE_OUTER_BOUND('',#54252,.T.); #33822=FACE_OUTER_BOUND('',#54253,.T.); #33823=FACE_OUTER_BOUND('',#54255,.T.); #33824=FACE_OUTER_BOUND('',#54257,.T.); #33825=FACE_OUTER_BOUND('',#54258,.T.); #33826=FACE_OUTER_BOUND('',#54259,.T.); #33827=FACE_OUTER_BOUND('',#54260,.T.); #33828=FACE_OUTER_BOUND('',#54261,.T.); #33829=FACE_OUTER_BOUND('',#54262,.T.); #33830=FACE_OUTER_BOUND('',#54263,.T.); #33831=FACE_OUTER_BOUND('',#54264,.T.); #33832=FACE_OUTER_BOUND('',#54265,.T.); #33833=FACE_OUTER_BOUND('',#54266,.T.); #33834=FACE_OUTER_BOUND('',#54267,.T.); #33835=FACE_OUTER_BOUND('',#54268,.T.); #33836=FACE_OUTER_BOUND('',#54269,.T.); #33837=FACE_OUTER_BOUND('',#54270,.T.); #33838=FACE_OUTER_BOUND('',#54271,.T.); #33839=FACE_OUTER_BOUND('',#54272,.T.); #33840=FACE_OUTER_BOUND('',#54273,.T.); #33841=FACE_OUTER_BOUND('',#54274,.T.); #33842=FACE_OUTER_BOUND('',#54275,.T.); #33843=FACE_OUTER_BOUND('',#54276,.T.); #33844=FACE_OUTER_BOUND('',#54277,.T.); #33845=FACE_OUTER_BOUND('',#54278,.T.); #33846=FACE_OUTER_BOUND('',#54279,.T.); #33847=FACE_OUTER_BOUND('',#54280,.T.); #33848=FACE_OUTER_BOUND('',#54281,.T.); #33849=FACE_OUTER_BOUND('',#54282,.T.); #33850=FACE_OUTER_BOUND('',#54283,.T.); #33851=FACE_OUTER_BOUND('',#54284,.T.); #33852=FACE_OUTER_BOUND('',#54285,.T.); #33853=FACE_OUTER_BOUND('',#54286,.T.); #33854=FACE_OUTER_BOUND('',#54287,.T.); #33855=FACE_OUTER_BOUND('',#54288,.T.); #33856=FACE_OUTER_BOUND('',#54289,.T.); #33857=FACE_OUTER_BOUND('',#54290,.T.); #33858=FACE_OUTER_BOUND('',#54291,.T.); #33859=FACE_OUTER_BOUND('',#54302,.T.); #33860=FACE_OUTER_BOUND('',#54313,.T.); #33861=FACE_OUTER_BOUND('',#54314,.T.); #33862=FACE_OUTER_BOUND('',#54315,.T.); #33863=FACE_OUTER_BOUND('',#54316,.T.); #33864=FACE_OUTER_BOUND('',#54317,.T.); #33865=FACE_OUTER_BOUND('',#54319,.T.); #33866=FACE_OUTER_BOUND('',#54321,.T.); #33867=FACE_OUTER_BOUND('',#54322,.T.); #33868=FACE_OUTER_BOUND('',#54323,.T.); #33869=FACE_OUTER_BOUND('',#54324,.T.); #33870=FACE_OUTER_BOUND('',#54325,.T.); #33871=FACE_OUTER_BOUND('',#54327,.T.); #33872=FACE_OUTER_BOUND('',#54329,.T.); #33873=FACE_OUTER_BOUND('',#54330,.T.); #33874=FACE_OUTER_BOUND('',#54331,.T.); #33875=FACE_OUTER_BOUND('',#54332,.T.); #33876=FACE_OUTER_BOUND('',#54333,.T.); #33877=FACE_OUTER_BOUND('',#54335,.T.); #33878=FACE_OUTER_BOUND('',#54337,.T.); #33879=FACE_OUTER_BOUND('',#54338,.T.); #33880=FACE_OUTER_BOUND('',#54339,.T.); #33881=FACE_OUTER_BOUND('',#54340,.T.); #33882=FACE_OUTER_BOUND('',#54341,.T.); #33883=FACE_OUTER_BOUND('',#54342,.T.); #33884=FACE_OUTER_BOUND('',#54343,.T.); #33885=FACE_OUTER_BOUND('',#54344,.T.); #33886=FACE_OUTER_BOUND('',#54345,.T.); #33887=FACE_OUTER_BOUND('',#54346,.T.); #33888=FACE_OUTER_BOUND('',#54348,.T.); #33889=FACE_OUTER_BOUND('',#54350,.T.); #33890=FACE_OUTER_BOUND('',#54351,.T.); #33891=FACE_OUTER_BOUND('',#54352,.T.); #33892=FACE_OUTER_BOUND('',#54353,.T.); #33893=FACE_OUTER_BOUND('',#54354,.T.); #33894=FACE_OUTER_BOUND('',#54356,.T.); #33895=FACE_OUTER_BOUND('',#54358,.T.); #33896=FACE_OUTER_BOUND('',#54359,.T.); #33897=FACE_OUTER_BOUND('',#54360,.T.); #33898=FACE_OUTER_BOUND('',#54361,.T.); #33899=FACE_OUTER_BOUND('',#54362,.T.); #33900=FACE_OUTER_BOUND('',#54363,.T.); #33901=FACE_OUTER_BOUND('',#54365,.T.); #33902=FACE_OUTER_BOUND('',#54367,.T.); #33903=FACE_OUTER_BOUND('',#54368,.T.); #33904=FACE_OUTER_BOUND('',#54369,.T.); #33905=FACE_OUTER_BOUND('',#54370,.T.); #33906=FACE_OUTER_BOUND('',#54371,.T.); #33907=FACE_OUTER_BOUND('',#54373,.T.); #33908=FACE_OUTER_BOUND('',#54375,.T.); #33909=FACE_OUTER_BOUND('',#54376,.T.); #33910=FACE_OUTER_BOUND('',#54377,.T.); #33911=FACE_OUTER_BOUND('',#54378,.T.); #33912=FACE_OUTER_BOUND('',#54379,.T.); #33913=FACE_OUTER_BOUND('',#54380,.T.); #33914=FACE_OUTER_BOUND('',#54381,.T.); #33915=FACE_OUTER_BOUND('',#54382,.T.); #33916=FACE_OUTER_BOUND('',#54383,.T.); #33917=FACE_OUTER_BOUND('',#54384,.T.); #33918=FACE_OUTER_BOUND('',#54385,.T.); #33919=FACE_OUTER_BOUND('',#54386,.T.); #33920=FACE_OUTER_BOUND('',#54387,.T.); #33921=FACE_OUTER_BOUND('',#54388,.T.); #33922=FACE_OUTER_BOUND('',#54389,.T.); #33923=FACE_OUTER_BOUND('',#54390,.T.); #33924=FACE_OUTER_BOUND('',#54391,.T.); #33925=FACE_OUTER_BOUND('',#54392,.T.); #33926=FACE_OUTER_BOUND('',#54393,.T.); #33927=FACE_OUTER_BOUND('',#54394,.T.); #33928=FACE_OUTER_BOUND('',#54395,.T.); #33929=FACE_OUTER_BOUND('',#54396,.T.); #33930=FACE_OUTER_BOUND('',#54397,.T.); #33931=FACE_OUTER_BOUND('',#54398,.T.); #33932=FACE_OUTER_BOUND('',#54399,.T.); #33933=FACE_OUTER_BOUND('',#54400,.T.); #33934=FACE_OUTER_BOUND('',#54401,.T.); #33935=FACE_OUTER_BOUND('',#54402,.T.); #33936=FACE_OUTER_BOUND('',#54403,.T.); #33937=FACE_OUTER_BOUND('',#54404,.T.); #33938=FACE_OUTER_BOUND('',#54405,.T.); #33939=FACE_OUTER_BOUND('',#54406,.T.); #33940=FACE_OUTER_BOUND('',#54407,.T.); #33941=FACE_OUTER_BOUND('',#54408,.T.); #33942=FACE_OUTER_BOUND('',#54409,.T.); #33943=FACE_OUTER_BOUND('',#54410,.T.); #33944=FACE_OUTER_BOUND('',#54411,.T.); #33945=FACE_OUTER_BOUND('',#54412,.T.); #33946=FACE_OUTER_BOUND('',#54413,.T.); #33947=FACE_OUTER_BOUND('',#54414,.T.); #33948=FACE_OUTER_BOUND('',#54415,.T.); #33949=FACE_OUTER_BOUND('',#54416,.T.); #33950=FACE_OUTER_BOUND('',#54417,.T.); #33951=FACE_OUTER_BOUND('',#54418,.T.); #33952=FACE_OUTER_BOUND('',#54419,.T.); #33953=FACE_OUTER_BOUND('',#54420,.T.); #33954=FACE_OUTER_BOUND('',#54421,.T.); #33955=FACE_OUTER_BOUND('',#54422,.T.); #33956=FACE_OUTER_BOUND('',#54423,.T.); #33957=FACE_OUTER_BOUND('',#54424,.T.); #33958=FACE_OUTER_BOUND('',#54425,.T.); #33959=FACE_OUTER_BOUND('',#54426,.T.); #33960=FACE_OUTER_BOUND('',#54427,.T.); #33961=FACE_OUTER_BOUND('',#54428,.T.); #33962=FACE_OUTER_BOUND('',#54429,.T.); #33963=FACE_OUTER_BOUND('',#54430,.T.); #33964=FACE_OUTER_BOUND('',#54431,.T.); #33965=FACE_OUTER_BOUND('',#54432,.T.); #33966=FACE_OUTER_BOUND('',#54433,.T.); #33967=FACE_OUTER_BOUND('',#54434,.T.); #33968=FACE_OUTER_BOUND('',#54435,.T.); #33969=FACE_OUTER_BOUND('',#54437,.T.); #33970=FACE_OUTER_BOUND('',#54439,.T.); #33971=FACE_OUTER_BOUND('',#54440,.T.); #33972=FACE_OUTER_BOUND('',#54441,.T.); #33973=FACE_OUTER_BOUND('',#54442,.T.); #33974=FACE_OUTER_BOUND('',#54443,.T.); #33975=FACE_OUTER_BOUND('',#54445,.T.); #33976=FACE_OUTER_BOUND('',#54447,.T.); #33977=FACE_OUTER_BOUND('',#54448,.T.); #33978=FACE_OUTER_BOUND('',#54449,.T.); #33979=FACE_OUTER_BOUND('',#54450,.T.); #33980=FACE_OUTER_BOUND('',#54451,.T.); #33981=FACE_OUTER_BOUND('',#54452,.T.); #33982=FACE_OUTER_BOUND('',#54453,.T.); #33983=FACE_OUTER_BOUND('',#54454,.T.); #33984=FACE_OUTER_BOUND('',#54455,.T.); #33985=FACE_OUTER_BOUND('',#54456,.T.); #33986=FACE_OUTER_BOUND('',#54457,.T.); #33987=FACE_OUTER_BOUND('',#54458,.T.); #33988=FACE_OUTER_BOUND('',#54459,.T.); #33989=FACE_OUTER_BOUND('',#54460,.T.); #33990=FACE_OUTER_BOUND('',#54461,.T.); #33991=FACE_OUTER_BOUND('',#54462,.T.); #33992=FACE_OUTER_BOUND('',#54463,.T.); #33993=FACE_OUTER_BOUND('',#54464,.T.); #33994=FACE_OUTER_BOUND('',#54465,.T.); #33995=FACE_OUTER_BOUND('',#54466,.T.); #33996=FACE_OUTER_BOUND('',#54467,.T.); #33997=FACE_OUTER_BOUND('',#54468,.T.); #33998=FACE_OUTER_BOUND('',#54469,.T.); #33999=FACE_OUTER_BOUND('',#54470,.T.); #34000=FACE_OUTER_BOUND('',#54471,.T.); #34001=FACE_OUTER_BOUND('',#54472,.T.); #34002=FACE_OUTER_BOUND('',#54473,.T.); #34003=FACE_OUTER_BOUND('',#54474,.T.); #34004=FACE_OUTER_BOUND('',#54475,.T.); #34005=FACE_OUTER_BOUND('',#54476,.T.); #34006=FACE_OUTER_BOUND('',#54477,.T.); #34007=FACE_OUTER_BOUND('',#54478,.T.); #34008=FACE_OUTER_BOUND('',#54479,.T.); #34009=FACE_OUTER_BOUND('',#54480,.T.); #34010=FACE_OUTER_BOUND('',#54481,.T.); #34011=FACE_OUTER_BOUND('',#54482,.T.); #34012=FACE_OUTER_BOUND('',#54483,.T.); #34013=FACE_OUTER_BOUND('',#54484,.T.); #34014=FACE_OUTER_BOUND('',#54485,.T.); #34015=FACE_OUTER_BOUND('',#54486,.T.); #34016=FACE_OUTER_BOUND('',#54487,.T.); #34017=FACE_OUTER_BOUND('',#54488,.T.); #34018=FACE_OUTER_BOUND('',#54489,.T.); #34019=FACE_OUTER_BOUND('',#54490,.T.); #34020=FACE_OUTER_BOUND('',#54491,.T.); #34021=FACE_OUTER_BOUND('',#54492,.T.); #34022=FACE_OUTER_BOUND('',#54493,.T.); #34023=FACE_OUTER_BOUND('',#54494,.T.); #34024=FACE_OUTER_BOUND('',#54495,.T.); #34025=FACE_OUTER_BOUND('',#54496,.T.); #34026=FACE_OUTER_BOUND('',#54497,.T.); #34027=FACE_OUTER_BOUND('',#54498,.T.); #34028=FACE_OUTER_BOUND('',#54499,.T.); #34029=FACE_OUTER_BOUND('',#54500,.T.); #34030=FACE_OUTER_BOUND('',#54501,.T.); #34031=FACE_OUTER_BOUND('',#54502,.T.); #34032=FACE_OUTER_BOUND('',#54503,.T.); #34033=FACE_OUTER_BOUND('',#54504,.T.); #34034=FACE_OUTER_BOUND('',#54505,.T.); #34035=FACE_OUTER_BOUND('',#54506,.T.); #34036=FACE_OUTER_BOUND('',#54507,.T.); #34037=FACE_OUTER_BOUND('',#54509,.T.); #34038=FACE_OUTER_BOUND('',#54511,.T.); #34039=FACE_OUTER_BOUND('',#54512,.T.); #34040=FACE_OUTER_BOUND('',#54513,.T.); #34041=FACE_OUTER_BOUND('',#54514,.T.); #34042=FACE_OUTER_BOUND('',#54515,.T.); #34043=FACE_OUTER_BOUND('',#54516,.T.); #34044=FACE_OUTER_BOUND('',#54517,.T.); #34045=FACE_OUTER_BOUND('',#54518,.T.); #34046=FACE_OUTER_BOUND('',#54519,.T.); #34047=FACE_OUTER_BOUND('',#54520,.T.); #34048=FACE_OUTER_BOUND('',#54521,.T.); #34049=FACE_OUTER_BOUND('',#54522,.T.); #34050=FACE_OUTER_BOUND('',#54523,.T.); #34051=FACE_OUTER_BOUND('',#54524,.T.); #34052=FACE_OUTER_BOUND('',#54525,.T.); #34053=FACE_OUTER_BOUND('',#54526,.T.); #34054=FACE_OUTER_BOUND('',#54527,.T.); #34055=FACE_OUTER_BOUND('',#54528,.T.); #34056=FACE_OUTER_BOUND('',#54529,.T.); #34057=FACE_OUTER_BOUND('',#54530,.T.); #34058=FACE_OUTER_BOUND('',#54531,.T.); #34059=FACE_OUTER_BOUND('',#54532,.T.); #34060=FACE_OUTER_BOUND('',#54533,.T.); #34061=FACE_OUTER_BOUND('',#54534,.T.); #34062=FACE_OUTER_BOUND('',#54535,.T.); #34063=FACE_OUTER_BOUND('',#54536,.T.); #34064=FACE_OUTER_BOUND('',#54537,.T.); #34065=FACE_OUTER_BOUND('',#54538,.T.); #34066=FACE_OUTER_BOUND('',#54539,.T.); #34067=FACE_OUTER_BOUND('',#54540,.T.); #34068=FACE_OUTER_BOUND('',#54541,.T.); #34069=FACE_OUTER_BOUND('',#54542,.T.); #34070=FACE_OUTER_BOUND('',#54543,.T.); #34071=FACE_OUTER_BOUND('',#54544,.T.); #34072=FACE_OUTER_BOUND('',#54545,.T.); #34073=FACE_OUTER_BOUND('',#54546,.T.); #34074=FACE_OUTER_BOUND('',#54547,.T.); #34075=FACE_OUTER_BOUND('',#54548,.T.); #34076=FACE_OUTER_BOUND('',#54549,.T.); #34077=FACE_OUTER_BOUND('',#54550,.T.); #34078=FACE_OUTER_BOUND('',#54551,.T.); #34079=FACE_OUTER_BOUND('',#54552,.T.); #34080=FACE_OUTER_BOUND('',#54553,.T.); #34081=FACE_OUTER_BOUND('',#54554,.T.); #34082=FACE_OUTER_BOUND('',#54555,.T.); #34083=FACE_OUTER_BOUND('',#54556,.T.); #34084=FACE_OUTER_BOUND('',#54557,.T.); #34085=FACE_OUTER_BOUND('',#54558,.T.); #34086=FACE_OUTER_BOUND('',#54559,.T.); #34087=FACE_OUTER_BOUND('',#54560,.T.); #34088=FACE_OUTER_BOUND('',#54561,.T.); #34089=FACE_OUTER_BOUND('',#54562,.T.); #34090=FACE_OUTER_BOUND('',#54563,.T.); #34091=FACE_OUTER_BOUND('',#54564,.T.); #34092=FACE_OUTER_BOUND('',#54565,.T.); #34093=FACE_OUTER_BOUND('',#54566,.T.); #34094=FACE_OUTER_BOUND('',#54567,.T.); #34095=FACE_OUTER_BOUND('',#54568,.T.); #34096=FACE_OUTER_BOUND('',#54569,.T.); #34097=FACE_OUTER_BOUND('',#54570,.T.); #34098=FACE_OUTER_BOUND('',#54571,.T.); #34099=FACE_OUTER_BOUND('',#54572,.T.); #34100=FACE_OUTER_BOUND('',#54573,.T.); #34101=FACE_OUTER_BOUND('',#54574,.T.); #34102=FACE_OUTER_BOUND('',#54575,.T.); #34103=FACE_OUTER_BOUND('',#54576,.T.); #34104=FACE_OUTER_BOUND('',#54577,.T.); #34105=FACE_OUTER_BOUND('',#54578,.T.); #34106=FACE_OUTER_BOUND('',#54579,.T.); #34107=FACE_OUTER_BOUND('',#54580,.T.); #34108=FACE_OUTER_BOUND('',#54581,.T.); #34109=FACE_OUTER_BOUND('',#54582,.T.); #34110=FACE_OUTER_BOUND('',#54583,.T.); #34111=FACE_OUTER_BOUND('',#54584,.T.); #34112=FACE_OUTER_BOUND('',#54585,.T.); #34113=FACE_OUTER_BOUND('',#54586,.T.); #34114=FACE_OUTER_BOUND('',#54587,.T.); #34115=FACE_OUTER_BOUND('',#54589,.T.); #34116=FACE_OUTER_BOUND('',#54591,.T.); #34117=FACE_OUTER_BOUND('',#54592,.T.); #34118=FACE_OUTER_BOUND('',#54593,.T.); #34119=FACE_OUTER_BOUND('',#54594,.T.); #34120=FACE_OUTER_BOUND('',#54595,.T.); #34121=FACE_OUTER_BOUND('',#54596,.T.); #34122=FACE_OUTER_BOUND('',#54597,.T.); #34123=FACE_OUTER_BOUND('',#54598,.T.); #34124=FACE_OUTER_BOUND('',#54599,.T.); #34125=FACE_OUTER_BOUND('',#54600,.T.); #34126=FACE_OUTER_BOUND('',#54601,.T.); #34127=FACE_OUTER_BOUND('',#54602,.T.); #34128=FACE_OUTER_BOUND('',#54603,.T.); #34129=FACE_OUTER_BOUND('',#54604,.T.); #34130=FACE_OUTER_BOUND('',#54605,.T.); #34131=FACE_OUTER_BOUND('',#54606,.T.); #34132=FACE_OUTER_BOUND('',#54607,.T.); #34133=FACE_OUTER_BOUND('',#54608,.T.); #34134=FACE_OUTER_BOUND('',#54609,.T.); #34135=FACE_OUTER_BOUND('',#54610,.T.); #34136=FACE_OUTER_BOUND('',#54611,.T.); #34137=FACE_OUTER_BOUND('',#54612,.T.); #34138=FACE_OUTER_BOUND('',#54613,.T.); #34139=FACE_OUTER_BOUND('',#54614,.T.); #34140=FACE_OUTER_BOUND('',#54615,.T.); #34141=FACE_OUTER_BOUND('',#54616,.T.); #34142=FACE_OUTER_BOUND('',#54617,.T.); #34143=FACE_OUTER_BOUND('',#54618,.T.); #34144=FACE_OUTER_BOUND('',#54619,.T.); #34145=FACE_OUTER_BOUND('',#54620,.T.); #34146=FACE_OUTER_BOUND('',#54621,.T.); #34147=FACE_OUTER_BOUND('',#54622,.T.); #34148=FACE_OUTER_BOUND('',#54623,.T.); #34149=FACE_OUTER_BOUND('',#54624,.T.); #34150=FACE_OUTER_BOUND('',#54625,.T.); #34151=FACE_OUTER_BOUND('',#54626,.T.); #34152=FACE_OUTER_BOUND('',#54627,.T.); #34153=FACE_OUTER_BOUND('',#54628,.T.); #34154=FACE_OUTER_BOUND('',#54629,.T.); #34155=FACE_OUTER_BOUND('',#54630,.T.); #34156=FACE_OUTER_BOUND('',#54631,.T.); #34157=FACE_OUTER_BOUND('',#54632,.T.); #34158=FACE_OUTER_BOUND('',#54633,.T.); #34159=FACE_OUTER_BOUND('',#54634,.T.); #34160=FACE_OUTER_BOUND('',#54635,.T.); #34161=FACE_OUTER_BOUND('',#54636,.T.); #34162=FACE_OUTER_BOUND('',#54637,.T.); #34163=FACE_OUTER_BOUND('',#54638,.T.); #34164=FACE_OUTER_BOUND('',#54639,.T.); #34165=FACE_OUTER_BOUND('',#54640,.T.); #34166=FACE_OUTER_BOUND('',#54641,.T.); #34167=FACE_OUTER_BOUND('',#54642,.T.); #34168=FACE_OUTER_BOUND('',#54643,.T.); #34169=FACE_OUTER_BOUND('',#54644,.T.); #34170=FACE_OUTER_BOUND('',#54645,.T.); #34171=FACE_OUTER_BOUND('',#54646,.T.); #34172=FACE_OUTER_BOUND('',#54647,.T.); #34173=FACE_OUTER_BOUND('',#54648,.T.); #34174=FACE_OUTER_BOUND('',#54649,.T.); #34175=FACE_OUTER_BOUND('',#54650,.T.); #34176=FACE_OUTER_BOUND('',#54651,.T.); #34177=FACE_OUTER_BOUND('',#54653,.T.); #34178=FACE_OUTER_BOUND('',#54655,.T.); #34179=FACE_OUTER_BOUND('',#54656,.T.); #34180=FACE_OUTER_BOUND('',#54657,.T.); #34181=FACE_OUTER_BOUND('',#54658,.T.); #34182=FACE_OUTER_BOUND('',#54659,.T.); #34183=FACE_OUTER_BOUND('',#54661,.T.); #34184=FACE_OUTER_BOUND('',#54663,.T.); #34185=FACE_OUTER_BOUND('',#54664,.T.); #34186=FACE_OUTER_BOUND('',#54665,.T.); #34187=FACE_OUTER_BOUND('',#54666,.T.); #34188=FACE_OUTER_BOUND('',#54667,.T.); #34189=FACE_OUTER_BOUND('',#54668,.T.); #34190=FACE_OUTER_BOUND('',#54669,.T.); #34191=FACE_OUTER_BOUND('',#54670,.T.); #34192=FACE_OUTER_BOUND('',#54671,.T.); #34193=FACE_OUTER_BOUND('',#54672,.T.); #34194=FACE_OUTER_BOUND('',#54673,.T.); #34195=FACE_OUTER_BOUND('',#54674,.T.); #34196=FACE_OUTER_BOUND('',#54675,.T.); #34197=FACE_OUTER_BOUND('',#54676,.T.); #34198=FACE_OUTER_BOUND('',#54677,.T.); #34199=FACE_OUTER_BOUND('',#54678,.T.); #34200=FACE_OUTER_BOUND('',#54679,.T.); #34201=FACE_OUTER_BOUND('',#54680,.T.); #34202=FACE_OUTER_BOUND('',#54681,.T.); #34203=FACE_OUTER_BOUND('',#54682,.T.); #34204=FACE_OUTER_BOUND('',#54683,.T.); #34205=FACE_OUTER_BOUND('',#54684,.T.); #34206=FACE_OUTER_BOUND('',#54685,.T.); #34207=FACE_OUTER_BOUND('',#54686,.T.); #34208=FACE_OUTER_BOUND('',#54687,.T.); #34209=FACE_OUTER_BOUND('',#54688,.T.); #34210=FACE_OUTER_BOUND('',#54689,.T.); #34211=FACE_OUTER_BOUND('',#54690,.T.); #34212=FACE_OUTER_BOUND('',#54691,.T.); #34213=FACE_OUTER_BOUND('',#54692,.T.); #34214=FACE_OUTER_BOUND('',#54693,.T.); #34215=FACE_OUTER_BOUND('',#54694,.T.); #34216=FACE_OUTER_BOUND('',#54695,.T.); #34217=FACE_OUTER_BOUND('',#54696,.T.); #34218=FACE_OUTER_BOUND('',#54697,.T.); #34219=FACE_OUTER_BOUND('',#54698,.T.); #34220=FACE_OUTER_BOUND('',#54699,.T.); #34221=FACE_OUTER_BOUND('',#54700,.T.); #34222=FACE_OUTER_BOUND('',#54701,.T.); #34223=FACE_OUTER_BOUND('',#54702,.T.); #34224=FACE_OUTER_BOUND('',#54703,.T.); #34225=FACE_OUTER_BOUND('',#54704,.T.); #34226=FACE_OUTER_BOUND('',#54705,.T.); #34227=FACE_OUTER_BOUND('',#54706,.T.); #34228=FACE_OUTER_BOUND('',#54707,.T.); #34229=FACE_OUTER_BOUND('',#54708,.T.); #34230=FACE_OUTER_BOUND('',#54709,.T.); #34231=FACE_OUTER_BOUND('',#54710,.T.); #34232=FACE_OUTER_BOUND('',#54711,.T.); #34233=FACE_OUTER_BOUND('',#54712,.T.); #34234=FACE_OUTER_BOUND('',#54713,.T.); #34235=FACE_OUTER_BOUND('',#54714,.T.); #34236=FACE_OUTER_BOUND('',#54715,.T.); #34237=FACE_OUTER_BOUND('',#54716,.T.); #34238=FACE_OUTER_BOUND('',#54717,.T.); #34239=FACE_OUTER_BOUND('',#54718,.T.); #34240=FACE_OUTER_BOUND('',#54719,.T.); #34241=FACE_OUTER_BOUND('',#54720,.T.); #34242=FACE_OUTER_BOUND('',#54721,.T.); #34243=FACE_OUTER_BOUND('',#54722,.T.); #34244=FACE_OUTER_BOUND('',#54723,.T.); #34245=FACE_OUTER_BOUND('',#54725,.T.); #34246=FACE_OUTER_BOUND('',#54727,.T.); #34247=FACE_OUTER_BOUND('',#54728,.T.); #34248=FACE_OUTER_BOUND('',#54729,.T.); #34249=FACE_OUTER_BOUND('',#54730,.T.); #34250=FACE_OUTER_BOUND('',#54731,.T.); #34251=FACE_OUTER_BOUND('',#54732,.T.); #34252=FACE_OUTER_BOUND('',#54733,.T.); #34253=FACE_OUTER_BOUND('',#54734,.T.); #34254=FACE_OUTER_BOUND('',#54735,.T.); #34255=FACE_OUTER_BOUND('',#54736,.T.); #34256=FACE_OUTER_BOUND('',#54738,.T.); #34257=FACE_OUTER_BOUND('',#54740,.T.); #34258=FACE_OUTER_BOUND('',#54741,.T.); #34259=FACE_OUTER_BOUND('',#54742,.T.); #34260=FACE_OUTER_BOUND('',#54743,.T.); #34261=FACE_OUTER_BOUND('',#54744,.T.); #34262=FACE_OUTER_BOUND('',#54746,.T.); #34263=FACE_OUTER_BOUND('',#54748,.T.); #34264=FACE_OUTER_BOUND('',#54749,.T.); #34265=FACE_OUTER_BOUND('',#54750,.T.); #34266=FACE_OUTER_BOUND('',#54751,.T.); #34267=FACE_OUTER_BOUND('',#54752,.T.); #34268=FACE_OUTER_BOUND('',#54753,.T.); #34269=FACE_OUTER_BOUND('',#54754,.T.); #34270=FACE_OUTER_BOUND('',#54755,.T.); #34271=FACE_OUTER_BOUND('',#54756,.T.); #34272=FACE_OUTER_BOUND('',#54757,.T.); #34273=FACE_OUTER_BOUND('',#54758,.T.); #34274=FACE_OUTER_BOUND('',#54759,.T.); #34275=FACE_OUTER_BOUND('',#54760,.T.); #34276=FACE_OUTER_BOUND('',#54761,.T.); #34277=FACE_OUTER_BOUND('',#54762,.T.); #34278=FACE_OUTER_BOUND('',#54763,.T.); #34279=FACE_OUTER_BOUND('',#54764,.T.); #34280=FACE_OUTER_BOUND('',#54765,.T.); #34281=FACE_OUTER_BOUND('',#54766,.T.); #34282=FACE_OUTER_BOUND('',#54767,.T.); #34283=FACE_OUTER_BOUND('',#54768,.T.); #34284=FACE_OUTER_BOUND('',#54769,.T.); #34285=FACE_OUTER_BOUND('',#54770,.T.); #34286=FACE_OUTER_BOUND('',#54771,.T.); #34287=FACE_OUTER_BOUND('',#54772,.T.); #34288=FACE_OUTER_BOUND('',#54773,.T.); #34289=FACE_OUTER_BOUND('',#54774,.T.); #34290=FACE_OUTER_BOUND('',#54775,.T.); #34291=FACE_OUTER_BOUND('',#54776,.T.); #34292=FACE_OUTER_BOUND('',#54777,.T.); #34293=FACE_OUTER_BOUND('',#54778,.T.); #34294=FACE_OUTER_BOUND('',#54779,.T.); #34295=FACE_OUTER_BOUND('',#54780,.T.); #34296=FACE_OUTER_BOUND('',#54781,.T.); #34297=FACE_OUTER_BOUND('',#54782,.T.); #34298=FACE_OUTER_BOUND('',#54783,.T.); #34299=FACE_OUTER_BOUND('',#54784,.T.); #34300=FACE_OUTER_BOUND('',#54785,.T.); #34301=FACE_OUTER_BOUND('',#54786,.T.); #34302=FACE_OUTER_BOUND('',#54787,.T.); #34303=FACE_OUTER_BOUND('',#54788,.T.); #34304=FACE_OUTER_BOUND('',#54789,.T.); #34305=FACE_OUTER_BOUND('',#54790,.T.); #34306=FACE_OUTER_BOUND('',#54791,.T.); #34307=FACE_OUTER_BOUND('',#54792,.T.); #34308=FACE_OUTER_BOUND('',#54793,.T.); #34309=FACE_OUTER_BOUND('',#54794,.T.); #34310=FACE_OUTER_BOUND('',#54795,.T.); #34311=FACE_OUTER_BOUND('',#54796,.T.); #34312=FACE_OUTER_BOUND('',#54797,.T.); #34313=FACE_OUTER_BOUND('',#54798,.T.); #34314=FACE_OUTER_BOUND('',#54799,.T.); #34315=FACE_OUTER_BOUND('',#54800,.T.); #34316=FACE_OUTER_BOUND('',#54801,.T.); #34317=FACE_OUTER_BOUND('',#54802,.T.); #34318=FACE_OUTER_BOUND('',#54803,.T.); #34319=FACE_OUTER_BOUND('',#54804,.T.); #34320=FACE_OUTER_BOUND('',#54805,.T.); #34321=FACE_OUTER_BOUND('',#54806,.T.); #34322=FACE_OUTER_BOUND('',#54807,.T.); #34323=FACE_OUTER_BOUND('',#54808,.T.); #34324=FACE_OUTER_BOUND('',#54809,.T.); #34325=FACE_OUTER_BOUND('',#54810,.T.); #34326=FACE_OUTER_BOUND('',#54811,.T.); #34327=FACE_OUTER_BOUND('',#54812,.T.); #34328=FACE_OUTER_BOUND('',#54813,.T.); #34329=FACE_OUTER_BOUND('',#54814,.T.); #34330=FACE_OUTER_BOUND('',#54815,.T.); #34331=FACE_OUTER_BOUND('',#54816,.T.); #34332=FACE_OUTER_BOUND('',#54817,.T.); #34333=FACE_OUTER_BOUND('',#54818,.T.); #34334=FACE_OUTER_BOUND('',#54819,.T.); #34335=FACE_OUTER_BOUND('',#54820,.T.); #34336=FACE_OUTER_BOUND('',#54821,.T.); #34337=FACE_OUTER_BOUND('',#54822,.T.); #34338=FACE_OUTER_BOUND('',#54823,.T.); #34339=FACE_OUTER_BOUND('',#54824,.T.); #34340=FACE_OUTER_BOUND('',#54826,.T.); #34341=FACE_OUTER_BOUND('',#54828,.T.); #34342=FACE_OUTER_BOUND('',#54829,.T.); #34343=FACE_OUTER_BOUND('',#54830,.T.); #34344=FACE_OUTER_BOUND('',#54831,.T.); #34345=FACE_OUTER_BOUND('',#54832,.T.); #34346=FACE_OUTER_BOUND('',#54833,.T.); #34347=FACE_OUTER_BOUND('',#54834,.T.); #34348=FACE_OUTER_BOUND('',#54835,.T.); #34349=FACE_OUTER_BOUND('',#54836,.T.); #34350=FACE_OUTER_BOUND('',#54837,.T.); #34351=FACE_OUTER_BOUND('',#54839,.T.); #34352=FACE_OUTER_BOUND('',#54841,.T.); #34353=FACE_OUTER_BOUND('',#54842,.T.); #34354=FACE_OUTER_BOUND('',#54843,.T.); #34355=FACE_OUTER_BOUND('',#54844,.T.); #34356=FACE_OUTER_BOUND('',#54845,.T.); #34357=FACE_OUTER_BOUND('',#54847,.T.); #34358=FACE_OUTER_BOUND('',#54849,.T.); #34359=FACE_OUTER_BOUND('',#54850,.T.); #34360=FACE_OUTER_BOUND('',#54851,.T.); #34361=FACE_OUTER_BOUND('',#54852,.T.); #34362=FACE_OUTER_BOUND('',#54853,.T.); #34363=FACE_OUTER_BOUND('',#54855,.T.); #34364=FACE_OUTER_BOUND('',#54857,.T.); #34365=FACE_OUTER_BOUND('',#54858,.T.); #34366=FACE_OUTER_BOUND('',#54859,.T.); #34367=FACE_OUTER_BOUND('',#54860,.T.); #34368=FACE_OUTER_BOUND('',#54861,.T.); #34369=FACE_OUTER_BOUND('',#54863,.T.); #34370=FACE_OUTER_BOUND('',#54865,.T.); #34371=FACE_OUTER_BOUND('',#54866,.T.); #34372=FACE_OUTER_BOUND('',#54867,.T.); #34373=FACE_OUTER_BOUND('',#54868,.T.); #34374=FACE_OUTER_BOUND('',#54869,.T.); #34375=FACE_OUTER_BOUND('',#54870,.T.); #34376=FACE_OUTER_BOUND('',#54872,.T.); #34377=FACE_OUTER_BOUND('',#54874,.T.); #34378=FACE_OUTER_BOUND('',#54875,.T.); #34379=FACE_OUTER_BOUND('',#54876,.T.); #34380=FACE_OUTER_BOUND('',#54877,.T.); #34381=FACE_OUTER_BOUND('',#54878,.T.); #34382=FACE_OUTER_BOUND('',#54879,.T.); #34383=FACE_OUTER_BOUND('',#54880,.T.); #34384=FACE_OUTER_BOUND('',#54881,.T.); #34385=FACE_OUTER_BOUND('',#54882,.T.); #34386=FACE_OUTER_BOUND('',#54883,.T.); #34387=FACE_OUTER_BOUND('',#54885,.T.); #34388=FACE_OUTER_BOUND('',#54887,.T.); #34389=FACE_OUTER_BOUND('',#54888,.T.); #34390=FACE_OUTER_BOUND('',#54889,.T.); #34391=FACE_OUTER_BOUND('',#54890,.T.); #34392=FACE_OUTER_BOUND('',#54891,.T.); #34393=FACE_OUTER_BOUND('',#54893,.T.); #34394=FACE_OUTER_BOUND('',#54895,.T.); #34395=FACE_OUTER_BOUND('',#54896,.T.); #34396=FACE_OUTER_BOUND('',#54897,.T.); #34397=FACE_OUTER_BOUND('',#54898,.T.); #34398=FACE_OUTER_BOUND('',#54899,.T.); #34399=FACE_OUTER_BOUND('',#54901,.T.); #34400=FACE_OUTER_BOUND('',#54903,.T.); #34401=FACE_OUTER_BOUND('',#54904,.T.); #34402=FACE_OUTER_BOUND('',#54905,.T.); #34403=FACE_OUTER_BOUND('',#54906,.T.); #34404=FACE_OUTER_BOUND('',#54907,.T.); #34405=FACE_OUTER_BOUND('',#54908,.T.); #34406=FACE_OUTER_BOUND('',#54909,.T.); #34407=FACE_OUTER_BOUND('',#54910,.T.); #34408=FACE_OUTER_BOUND('',#54911,.T.); #34409=FACE_OUTER_BOUND('',#54912,.T.); #34410=FACE_OUTER_BOUND('',#54913,.T.); #34411=FACE_OUTER_BOUND('',#54914,.T.); #34412=FACE_OUTER_BOUND('',#54915,.T.); #34413=FACE_OUTER_BOUND('',#54916,.T.); #34414=FACE_OUTER_BOUND('',#54917,.T.); #34415=FACE_OUTER_BOUND('',#54918,.T.); #34416=FACE_OUTER_BOUND('',#54919,.T.); #34417=FACE_OUTER_BOUND('',#54920,.T.); #34418=FACE_OUTER_BOUND('',#54921,.T.); #34419=FACE_OUTER_BOUND('',#54922,.T.); #34420=FACE_OUTER_BOUND('',#54923,.T.); #34421=FACE_OUTER_BOUND('',#54924,.T.); #34422=FACE_OUTER_BOUND('',#54925,.T.); #34423=FACE_OUTER_BOUND('',#54926,.T.); #34424=FACE_OUTER_BOUND('',#54927,.T.); #34425=FACE_OUTER_BOUND('',#54928,.T.); #34426=FACE_OUTER_BOUND('',#54929,.T.); #34427=FACE_OUTER_BOUND('',#54930,.T.); #34428=FACE_OUTER_BOUND('',#54931,.T.); #34429=FACE_OUTER_BOUND('',#54932,.T.); #34430=FACE_OUTER_BOUND('',#54933,.T.); #34431=FACE_OUTER_BOUND('',#54934,.T.); #34432=FACE_OUTER_BOUND('',#54935,.T.); #34433=FACE_OUTER_BOUND('',#54936,.T.); #34434=FACE_OUTER_BOUND('',#54937,.T.); #34435=FACE_OUTER_BOUND('',#54938,.T.); #34436=FACE_OUTER_BOUND('',#54939,.T.); #34437=FACE_OUTER_BOUND('',#54940,.T.); #34438=FACE_OUTER_BOUND('',#54941,.T.); #34439=FACE_OUTER_BOUND('',#54942,.T.); #34440=FACE_OUTER_BOUND('',#54943,.T.); #34441=FACE_OUTER_BOUND('',#54944,.T.); #34442=FACE_OUTER_BOUND('',#54945,.T.); #34443=FACE_OUTER_BOUND('',#54946,.T.); #34444=FACE_OUTER_BOUND('',#54947,.T.); #34445=FACE_OUTER_BOUND('',#54948,.T.); #34446=FACE_OUTER_BOUND('',#54949,.T.); #34447=FACE_OUTER_BOUND('',#54950,.T.); #34448=FACE_OUTER_BOUND('',#54951,.T.); #34449=FACE_OUTER_BOUND('',#54952,.T.); #34450=FACE_OUTER_BOUND('',#54953,.T.); #34451=FACE_OUTER_BOUND('',#54954,.T.); #34452=FACE_OUTER_BOUND('',#54955,.T.); #34453=FACE_OUTER_BOUND('',#54956,.T.); #34454=FACE_OUTER_BOUND('',#54957,.T.); #34455=FACE_OUTER_BOUND('',#54958,.T.); #34456=FACE_OUTER_BOUND('',#54959,.T.); #34457=FACE_OUTER_BOUND('',#54960,.T.); #34458=FACE_OUTER_BOUND('',#54961,.T.); #34459=FACE_OUTER_BOUND('',#54962,.T.); #34460=FACE_OUTER_BOUND('',#54963,.T.); #34461=FACE_OUTER_BOUND('',#54964,.T.); #34462=FACE_OUTER_BOUND('',#54965,.T.); #34463=FACE_OUTER_BOUND('',#54966,.T.); #34464=FACE_OUTER_BOUND('',#54967,.T.); #34465=FACE_OUTER_BOUND('',#54968,.T.); #34466=FACE_OUTER_BOUND('',#54969,.T.); #34467=FACE_OUTER_BOUND('',#54970,.T.); #34468=FACE_OUTER_BOUND('',#54971,.T.); #34469=FACE_OUTER_BOUND('',#54972,.T.); #34470=FACE_OUTER_BOUND('',#54973,.T.); #34471=FACE_OUTER_BOUND('',#54974,.T.); #34472=FACE_OUTER_BOUND('',#54975,.T.); #34473=FACE_OUTER_BOUND('',#54976,.T.); #34474=FACE_OUTER_BOUND('',#54977,.T.); #34475=FACE_OUTER_BOUND('',#54978,.T.); #34476=FACE_OUTER_BOUND('',#54979,.T.); #34477=FACE_OUTER_BOUND('',#54980,.T.); #34478=FACE_OUTER_BOUND('',#54981,.T.); #34479=FACE_OUTER_BOUND('',#54982,.T.); #34480=FACE_OUTER_BOUND('',#54983,.T.); #34481=FACE_OUTER_BOUND('',#54984,.T.); #34482=FACE_OUTER_BOUND('',#54985,.T.); #34483=FACE_OUTER_BOUND('',#54986,.T.); #34484=FACE_OUTER_BOUND('',#54987,.T.); #34485=FACE_OUTER_BOUND('',#54988,.T.); #34486=FACE_OUTER_BOUND('',#54989,.T.); #34487=FACE_OUTER_BOUND('',#54990,.T.); #34488=FACE_OUTER_BOUND('',#54991,.T.); #34489=FACE_OUTER_BOUND('',#54992,.T.); #34490=FACE_OUTER_BOUND('',#54993,.T.); #34491=FACE_OUTER_BOUND('',#54994,.T.); #34492=FACE_OUTER_BOUND('',#54995,.T.); #34493=FACE_OUTER_BOUND('',#54996,.T.); #34494=FACE_OUTER_BOUND('',#54997,.T.); #34495=FACE_OUTER_BOUND('',#54998,.T.); #34496=FACE_OUTER_BOUND('',#54999,.T.); #34497=FACE_OUTER_BOUND('',#55000,.T.); #34498=FACE_OUTER_BOUND('',#55001,.T.); #34499=FACE_OUTER_BOUND('',#55002,.T.); #34500=FACE_OUTER_BOUND('',#55003,.T.); #34501=FACE_OUTER_BOUND('',#55004,.T.); #34502=FACE_OUTER_BOUND('',#55005,.T.); #34503=FACE_OUTER_BOUND('',#55006,.T.); #34504=FACE_OUTER_BOUND('',#55007,.T.); #34505=FACE_OUTER_BOUND('',#55008,.T.); #34506=FACE_OUTER_BOUND('',#55009,.T.); #34507=FACE_OUTER_BOUND('',#55010,.T.); #34508=FACE_OUTER_BOUND('',#55011,.T.); #34509=FACE_OUTER_BOUND('',#55012,.T.); #34510=FACE_OUTER_BOUND('',#55013,.T.); #34511=FACE_OUTER_BOUND('',#55014,.T.); #34512=FACE_OUTER_BOUND('',#55015,.T.); #34513=FACE_OUTER_BOUND('',#55016,.T.); #34514=FACE_OUTER_BOUND('',#55017,.T.); #34515=FACE_OUTER_BOUND('',#55018,.T.); #34516=FACE_OUTER_BOUND('',#55019,.T.); #34517=FACE_OUTER_BOUND('',#55020,.T.); #34518=FACE_OUTER_BOUND('',#55021,.T.); #34519=FACE_OUTER_BOUND('',#55022,.T.); #34520=FACE_OUTER_BOUND('',#55023,.T.); #34521=FACE_OUTER_BOUND('',#55024,.T.); #34522=FACE_OUTER_BOUND('',#55025,.T.); #34523=FACE_OUTER_BOUND('',#55026,.T.); #34524=FACE_OUTER_BOUND('',#55027,.T.); #34525=FACE_OUTER_BOUND('',#55028,.T.); #34526=FACE_OUTER_BOUND('',#55029,.T.); #34527=FACE_OUTER_BOUND('',#55030,.T.); #34528=FACE_OUTER_BOUND('',#55031,.T.); #34529=FACE_OUTER_BOUND('',#55032,.T.); #34530=FACE_OUTER_BOUND('',#55033,.T.); #34531=FACE_OUTER_BOUND('',#55034,.T.); #34532=FACE_OUTER_BOUND('',#55035,.T.); #34533=FACE_OUTER_BOUND('',#55036,.T.); #34534=FACE_OUTER_BOUND('',#55037,.T.); #34535=FACE_OUTER_BOUND('',#55038,.T.); #34536=FACE_OUTER_BOUND('',#55039,.T.); #34537=FACE_OUTER_BOUND('',#55040,.T.); #34538=FACE_OUTER_BOUND('',#55041,.T.); #34539=FACE_OUTER_BOUND('',#55042,.T.); #34540=FACE_OUTER_BOUND('',#55043,.T.); #34541=FACE_OUTER_BOUND('',#55044,.T.); #34542=FACE_OUTER_BOUND('',#55045,.T.); #34543=FACE_OUTER_BOUND('',#55046,.T.); #34544=FACE_OUTER_BOUND('',#55047,.T.); #34545=FACE_OUTER_BOUND('',#55050,.T.); #34546=FACE_OUTER_BOUND('',#55053,.T.); #34547=FACE_OUTER_BOUND('',#55054,.T.); #34548=FACE_OUTER_BOUND('',#55055,.T.); #34549=FACE_OUTER_BOUND('',#55056,.T.); #34550=FACE_OUTER_BOUND('',#55057,.T.); #34551=FACE_OUTER_BOUND('',#55058,.T.); #34552=FACE_OUTER_BOUND('',#55059,.T.); #34553=FACE_OUTER_BOUND('',#55060,.T.); #34554=FACE_OUTER_BOUND('',#55061,.T.); #34555=FACE_OUTER_BOUND('',#55062,.T.); #34556=FACE_OUTER_BOUND('',#55063,.T.); #34557=FACE_OUTER_BOUND('',#55064,.T.); #34558=FACE_OUTER_BOUND('',#55065,.T.); #34559=FACE_OUTER_BOUND('',#55066,.T.); #34560=FACE_OUTER_BOUND('',#55067,.T.); #34561=FACE_OUTER_BOUND('',#55068,.T.); #34562=FACE_OUTER_BOUND('',#55069,.T.); #34563=FACE_OUTER_BOUND('',#55070,.T.); #34564=FACE_OUTER_BOUND('',#55071,.T.); #34565=FACE_OUTER_BOUND('',#55072,.T.); #34566=FACE_OUTER_BOUND('',#55073,.T.); #34567=FACE_OUTER_BOUND('',#55074,.T.); #34568=FACE_OUTER_BOUND('',#55075,.T.); #34569=FACE_OUTER_BOUND('',#55076,.T.); #34570=FACE_OUTER_BOUND('',#55077,.T.); #34571=FACE_OUTER_BOUND('',#55078,.T.); #34572=FACE_OUTER_BOUND('',#55079,.T.); #34573=FACE_OUTER_BOUND('',#55080,.T.); #34574=FACE_OUTER_BOUND('',#55081,.T.); #34575=FACE_OUTER_BOUND('',#55082,.T.); #34576=FACE_OUTER_BOUND('',#55083,.T.); #34577=FACE_OUTER_BOUND('',#55084,.T.); #34578=FACE_OUTER_BOUND('',#55085,.T.); #34579=FACE_OUTER_BOUND('',#55086,.T.); #34580=FACE_OUTER_BOUND('',#55087,.T.); #34581=FACE_OUTER_BOUND('',#55088,.T.); #34582=FACE_OUTER_BOUND('',#55089,.T.); #34583=FACE_OUTER_BOUND('',#55090,.T.); #34584=FACE_OUTER_BOUND('',#55091,.T.); #34585=FACE_OUTER_BOUND('',#55092,.T.); #34586=FACE_OUTER_BOUND('',#55093,.T.); #34587=FACE_OUTER_BOUND('',#55094,.T.); #34588=FACE_OUTER_BOUND('',#55095,.T.); #34589=FACE_OUTER_BOUND('',#55096,.T.); #34590=FACE_OUTER_BOUND('',#55097,.T.); #34591=FACE_OUTER_BOUND('',#55098,.T.); #34592=FACE_OUTER_BOUND('',#55099,.T.); #34593=FACE_OUTER_BOUND('',#55100,.T.); #34594=FACE_OUTER_BOUND('',#55101,.T.); #34595=FACE_OUTER_BOUND('',#55102,.T.); #34596=FACE_OUTER_BOUND('',#55103,.T.); #34597=FACE_OUTER_BOUND('',#55104,.T.); #34598=FACE_OUTER_BOUND('',#55105,.T.); #34599=FACE_OUTER_BOUND('',#55106,.T.); #34600=FACE_OUTER_BOUND('',#55107,.T.); #34601=FACE_OUTER_BOUND('',#55110,.T.); #34602=FACE_OUTER_BOUND('',#55113,.T.); #34603=FACE_OUTER_BOUND('',#55114,.T.); #34604=FACE_OUTER_BOUND('',#55115,.T.); #34605=FACE_OUTER_BOUND('',#55116,.T.); #34606=FACE_OUTER_BOUND('',#55117,.T.); #34607=FACE_OUTER_BOUND('',#55118,.T.); #34608=FACE_OUTER_BOUND('',#55119,.T.); #34609=FACE_OUTER_BOUND('',#55120,.T.); #34610=FACE_OUTER_BOUND('',#55121,.T.); #34611=FACE_OUTER_BOUND('',#55122,.T.); #34612=FACE_OUTER_BOUND('',#55123,.T.); #34613=FACE_OUTER_BOUND('',#55124,.T.); #34614=FACE_OUTER_BOUND('',#55125,.T.); #34615=FACE_OUTER_BOUND('',#55126,.T.); #34616=FACE_OUTER_BOUND('',#55127,.T.); #34617=FACE_OUTER_BOUND('',#55128,.T.); #34618=FACE_OUTER_BOUND('',#55129,.T.); #34619=FACE_OUTER_BOUND('',#55130,.T.); #34620=FACE_OUTER_BOUND('',#55131,.T.); #34621=FACE_OUTER_BOUND('',#55132,.T.); #34622=FACE_OUTER_BOUND('',#55133,.T.); #34623=FACE_OUTER_BOUND('',#55134,.T.); #34624=FACE_OUTER_BOUND('',#55135,.T.); #34625=FACE_OUTER_BOUND('',#55136,.T.); #34626=FACE_OUTER_BOUND('',#55137,.T.); #34627=FACE_OUTER_BOUND('',#55138,.T.); #34628=FACE_OUTER_BOUND('',#55139,.T.); #34629=FACE_OUTER_BOUND('',#55140,.T.); #34630=FACE_OUTER_BOUND('',#55141,.T.); #34631=FACE_OUTER_BOUND('',#55142,.T.); #34632=FACE_OUTER_BOUND('',#55143,.T.); #34633=FACE_OUTER_BOUND('',#55144,.T.); #34634=FACE_OUTER_BOUND('',#55145,.T.); #34635=FACE_OUTER_BOUND('',#55146,.T.); #34636=FACE_OUTER_BOUND('',#55147,.T.); #34637=FACE_OUTER_BOUND('',#55148,.T.); #34638=FACE_OUTER_BOUND('',#55149,.T.); #34639=FACE_OUTER_BOUND('',#55150,.T.); #34640=FACE_OUTER_BOUND('',#55151,.T.); #34641=FACE_OUTER_BOUND('',#55152,.T.); #34642=FACE_OUTER_BOUND('',#55153,.T.); #34643=FACE_OUTER_BOUND('',#55154,.T.); #34644=FACE_OUTER_BOUND('',#55155,.T.); #34645=FACE_OUTER_BOUND('',#55156,.T.); #34646=FACE_OUTER_BOUND('',#55157,.T.); #34647=FACE_OUTER_BOUND('',#55158,.T.); #34648=FACE_OUTER_BOUND('',#55159,.T.); #34649=FACE_OUTER_BOUND('',#55160,.T.); #34650=FACE_OUTER_BOUND('',#55161,.T.); #34651=FACE_OUTER_BOUND('',#55162,.T.); #34652=FACE_OUTER_BOUND('',#55163,.T.); #34653=FACE_OUTER_BOUND('',#55164,.T.); #34654=FACE_OUTER_BOUND('',#55165,.T.); #34655=FACE_OUTER_BOUND('',#55166,.T.); #34656=FACE_OUTER_BOUND('',#55168,.T.); #34657=FACE_OUTER_BOUND('',#55170,.T.); #34658=FACE_OUTER_BOUND('',#55171,.T.); #34659=FACE_OUTER_BOUND('',#55172,.T.); #34660=FACE_OUTER_BOUND('',#55173,.T.); #34661=FACE_OUTER_BOUND('',#55174,.T.); #34662=FACE_OUTER_BOUND('',#55175,.T.); #34663=FACE_OUTER_BOUND('',#55176,.T.); #34664=FACE_OUTER_BOUND('',#55177,.T.); #34665=FACE_OUTER_BOUND('',#55178,.T.); #34666=FACE_OUTER_BOUND('',#55179,.T.); #34667=FACE_OUTER_BOUND('',#55180,.T.); #34668=FACE_OUTER_BOUND('',#55181,.T.); #34669=FACE_OUTER_BOUND('',#55182,.T.); #34670=FACE_OUTER_BOUND('',#55183,.T.); #34671=FACE_OUTER_BOUND('',#55184,.T.); #34672=FACE_OUTER_BOUND('',#55185,.T.); #34673=FACE_OUTER_BOUND('',#55186,.T.); #34674=FACE_OUTER_BOUND('',#55187,.T.); #34675=FACE_OUTER_BOUND('',#55188,.T.); #34676=FACE_OUTER_BOUND('',#55189,.T.); #34677=FACE_OUTER_BOUND('',#55190,.T.); #34678=FACE_OUTER_BOUND('',#55191,.T.); #34679=FACE_OUTER_BOUND('',#55192,.T.); #34680=FACE_OUTER_BOUND('',#55193,.T.); #34681=FACE_OUTER_BOUND('',#55194,.T.); #34682=FACE_OUTER_BOUND('',#55195,.T.); #34683=FACE_OUTER_BOUND('',#55196,.T.); #34684=FACE_OUTER_BOUND('',#55197,.T.); #34685=FACE_OUTER_BOUND('',#55198,.T.); #34686=FACE_OUTER_BOUND('',#55199,.T.); #34687=FACE_OUTER_BOUND('',#55200,.T.); #34688=FACE_OUTER_BOUND('',#55201,.T.); #34689=FACE_OUTER_BOUND('',#55202,.T.); #34690=FACE_OUTER_BOUND('',#55203,.T.); #34691=FACE_OUTER_BOUND('',#55204,.T.); #34692=FACE_OUTER_BOUND('',#55205,.T.); #34693=FACE_OUTER_BOUND('',#55206,.T.); #34694=FACE_OUTER_BOUND('',#55207,.T.); #34695=FACE_OUTER_BOUND('',#55208,.T.); #34696=FACE_OUTER_BOUND('',#55209,.T.); #34697=FACE_OUTER_BOUND('',#55210,.T.); #34698=FACE_OUTER_BOUND('',#55211,.T.); #34699=FACE_OUTER_BOUND('',#55212,.T.); #34700=FACE_OUTER_BOUND('',#55213,.T.); #34701=FACE_OUTER_BOUND('',#55229,.T.); #34702=FACE_OUTER_BOUND('',#55245,.T.); #34703=FACE_OUTER_BOUND('',#55246,.T.); #34704=FACE_OUTER_BOUND('',#55247,.T.); #34705=FACE_OUTER_BOUND('',#55248,.T.); #34706=FACE_OUTER_BOUND('',#55249,.T.); #34707=FACE_OUTER_BOUND('',#55250,.T.); #34708=FACE_OUTER_BOUND('',#55251,.T.); #34709=FACE_OUTER_BOUND('',#55252,.T.); #34710=FACE_OUTER_BOUND('',#55253,.T.); #34711=FACE_OUTER_BOUND('',#55254,.T.); #34712=FACE_OUTER_BOUND('',#55255,.T.); #34713=FACE_OUTER_BOUND('',#55256,.T.); #34714=FACE_OUTER_BOUND('',#55257,.T.); #34715=FACE_OUTER_BOUND('',#55258,.T.); #34716=FACE_OUTER_BOUND('',#55259,.T.); #34717=FACE_OUTER_BOUND('',#55260,.T.); #34718=FACE_OUTER_BOUND('',#55261,.T.); #34719=FACE_OUTER_BOUND('',#55262,.T.); #34720=FACE_OUTER_BOUND('',#55263,.T.); #34721=FACE_OUTER_BOUND('',#55264,.T.); #34722=FACE_OUTER_BOUND('',#55265,.T.); #34723=FACE_OUTER_BOUND('',#55266,.T.); #34724=FACE_OUTER_BOUND('',#55267,.T.); #34725=FACE_OUTER_BOUND('',#55268,.T.); #34726=FACE_OUTER_BOUND('',#55270,.T.); #34727=FACE_OUTER_BOUND('',#55272,.T.); #34728=FACE_OUTER_BOUND('',#55273,.T.); #34729=FACE_OUTER_BOUND('',#55274,.T.); #34730=FACE_OUTER_BOUND('',#55275,.T.); #34731=FACE_OUTER_BOUND('',#55276,.T.); #34732=FACE_OUTER_BOUND('',#55277,.T.); #34733=FACE_OUTER_BOUND('',#55278,.T.); #34734=FACE_OUTER_BOUND('',#55279,.T.); #34735=FACE_OUTER_BOUND('',#55280,.T.); #34736=FACE_OUTER_BOUND('',#55281,.T.); #34737=FACE_OUTER_BOUND('',#55282,.T.); #34738=FACE_OUTER_BOUND('',#55283,.T.); #34739=FACE_OUTER_BOUND('',#55284,.T.); #34740=FACE_OUTER_BOUND('',#55285,.T.); #34741=FACE_OUTER_BOUND('',#55286,.T.); #34742=FACE_OUTER_BOUND('',#55287,.T.); #34743=FACE_OUTER_BOUND('',#55288,.T.); #34744=FACE_OUTER_BOUND('',#55289,.T.); #34745=FACE_OUTER_BOUND('',#55290,.T.); #34746=FACE_OUTER_BOUND('',#55291,.T.); #34747=FACE_OUTER_BOUND('',#55292,.T.); #34748=FACE_OUTER_BOUND('',#55293,.T.); #34749=FACE_OUTER_BOUND('',#55294,.T.); #34750=FACE_OUTER_BOUND('',#55295,.T.); #34751=FACE_OUTER_BOUND('',#55296,.T.); #34752=FACE_OUTER_BOUND('',#55297,.T.); #34753=FACE_OUTER_BOUND('',#55298,.T.); #34754=FACE_OUTER_BOUND('',#55299,.T.); #34755=FACE_OUTER_BOUND('',#55300,.T.); #34756=FACE_OUTER_BOUND('',#55301,.T.); #34757=FACE_OUTER_BOUND('',#55302,.T.); #34758=FACE_OUTER_BOUND('',#55303,.T.); #34759=FACE_OUTER_BOUND('',#55304,.T.); #34760=FACE_OUTER_BOUND('',#55305,.T.); #34761=FACE_OUTER_BOUND('',#55306,.T.); #34762=FACE_OUTER_BOUND('',#55307,.T.); #34763=FACE_OUTER_BOUND('',#55308,.T.); #34764=FACE_OUTER_BOUND('',#55309,.T.); #34765=FACE_OUTER_BOUND('',#55310,.T.); #34766=FACE_OUTER_BOUND('',#55311,.T.); #34767=FACE_OUTER_BOUND('',#55312,.T.); #34768=FACE_OUTER_BOUND('',#55313,.T.); #34769=FACE_OUTER_BOUND('',#55314,.T.); #34770=FACE_OUTER_BOUND('',#55315,.T.); #34771=FACE_OUTER_BOUND('',#55331,.T.); #34772=FACE_OUTER_BOUND('',#55347,.T.); #34773=FACE_OUTER_BOUND('',#55348,.T.); #34774=FACE_OUTER_BOUND('',#55349,.T.); #34775=FACE_OUTER_BOUND('',#55350,.T.); #34776=FACE_OUTER_BOUND('',#55351,.T.); #34777=FACE_OUTER_BOUND('',#55352,.T.); #34778=FACE_OUTER_BOUND('',#55353,.T.); #34779=FACE_OUTER_BOUND('',#55354,.T.); #34780=FACE_OUTER_BOUND('',#55355,.T.); #34781=FACE_OUTER_BOUND('',#55356,.T.); #34782=FACE_OUTER_BOUND('',#55357,.T.); #34783=FACE_OUTER_BOUND('',#55358,.T.); #34784=FACE_OUTER_BOUND('',#55359,.T.); #34785=FACE_OUTER_BOUND('',#55360,.T.); #34786=FACE_OUTER_BOUND('',#55361,.T.); #34787=FACE_OUTER_BOUND('',#55362,.T.); #34788=FACE_OUTER_BOUND('',#55363,.T.); #34789=FACE_OUTER_BOUND('',#55364,.T.); #34790=FACE_OUTER_BOUND('',#55365,.T.); #34791=FACE_OUTER_BOUND('',#55366,.T.); #34792=FACE_OUTER_BOUND('',#55367,.T.); #34793=FACE_OUTER_BOUND('',#55368,.T.); #34794=FACE_OUTER_BOUND('',#55369,.T.); #34795=FACE_OUTER_BOUND('',#55370,.T.); #34796=FACE_OUTER_BOUND('',#55372,.T.); #34797=FACE_OUTER_BOUND('',#55374,.T.); #34798=FACE_OUTER_BOUND('',#55375,.T.); #34799=FACE_OUTER_BOUND('',#55376,.T.); #34800=FACE_OUTER_BOUND('',#55377,.T.); #34801=FACE_OUTER_BOUND('',#55378,.T.); #34802=FACE_OUTER_BOUND('',#55379,.T.); #34803=FACE_OUTER_BOUND('',#55381,.T.); #34804=FACE_OUTER_BOUND('',#55383,.T.); #34805=FACE_OUTER_BOUND('',#55384,.T.); #34806=FACE_OUTER_BOUND('',#55385,.T.); #34807=FACE_OUTER_BOUND('',#55386,.T.); #34808=FACE_OUTER_BOUND('',#55387,.T.); #34809=FACE_OUTER_BOUND('',#55388,.T.); #34810=FACE_OUTER_BOUND('',#55390,.T.); #34811=FACE_OUTER_BOUND('',#55392,.T.); #34812=FACE_OUTER_BOUND('',#55393,.T.); #34813=FACE_OUTER_BOUND('',#55394,.T.); #34814=FACE_OUTER_BOUND('',#55395,.T.); #34815=FACE_OUTER_BOUND('',#55396,.T.); #34816=FACE_OUTER_BOUND('',#55397,.T.); #34817=FACE_OUTER_BOUND('',#55398,.T.); #34818=FACE_OUTER_BOUND('',#55399,.T.); #34819=FACE_OUTER_BOUND('',#55400,.T.); #34820=FACE_OUTER_BOUND('',#55401,.T.); #34821=FACE_OUTER_BOUND('',#55402,.T.); #34822=FACE_OUTER_BOUND('',#55403,.T.); #34823=FACE_OUTER_BOUND('',#55404,.T.); #34824=FACE_OUTER_BOUND('',#55405,.T.); #34825=FACE_OUTER_BOUND('',#55406,.T.); #34826=FACE_OUTER_BOUND('',#55407,.T.); #34827=FACE_OUTER_BOUND('',#55408,.T.); #34828=FACE_OUTER_BOUND('',#55409,.T.); #34829=FACE_OUTER_BOUND('',#55410,.T.); #34830=FACE_OUTER_BOUND('',#55411,.T.); #34831=FACE_OUTER_BOUND('',#55427,.T.); #34832=FACE_OUTER_BOUND('',#55443,.T.); #34833=FACE_OUTER_BOUND('',#55444,.T.); #34834=FACE_OUTER_BOUND('',#55445,.T.); #34835=FACE_OUTER_BOUND('',#55446,.T.); #34836=FACE_OUTER_BOUND('',#55447,.T.); #34837=FACE_OUTER_BOUND('',#55448,.T.); #34838=FACE_OUTER_BOUND('',#55449,.T.); #34839=FACE_OUTER_BOUND('',#55450,.T.); #34840=FACE_OUTER_BOUND('',#55451,.T.); #34841=FACE_OUTER_BOUND('',#55452,.T.); #34842=FACE_OUTER_BOUND('',#55453,.T.); #34843=FACE_OUTER_BOUND('',#55454,.T.); #34844=FACE_OUTER_BOUND('',#55455,.T.); #34845=FACE_OUTER_BOUND('',#55456,.T.); #34846=FACE_OUTER_BOUND('',#55457,.T.); #34847=FACE_OUTER_BOUND('',#55458,.T.); #34848=FACE_OUTER_BOUND('',#55459,.T.); #34849=FACE_OUTER_BOUND('',#55460,.T.); #34850=FACE_OUTER_BOUND('',#55461,.T.); #34851=FACE_OUTER_BOUND('',#55462,.T.); #34852=FACE_OUTER_BOUND('',#55463,.T.); #34853=FACE_OUTER_BOUND('',#55464,.T.); #34854=FACE_OUTER_BOUND('',#55465,.T.); #34855=FACE_OUTER_BOUND('',#55466,.T.); #34856=FACE_OUTER_BOUND('',#55467,.T.); #34857=FACE_OUTER_BOUND('',#55468,.T.); #34858=FACE_OUTER_BOUND('',#55469,.T.); #34859=FACE_OUTER_BOUND('',#55470,.T.); #34860=FACE_OUTER_BOUND('',#55471,.T.); #34861=FACE_OUTER_BOUND('',#55472,.T.); #34862=FACE_OUTER_BOUND('',#55473,.T.); #34863=FACE_OUTER_BOUND('',#55474,.T.); #34864=FACE_OUTER_BOUND('',#55475,.T.); #34865=FACE_OUTER_BOUND('',#55476,.T.); #34866=FACE_OUTER_BOUND('',#55477,.T.); #34867=FACE_OUTER_BOUND('',#55478,.T.); #34868=FACE_OUTER_BOUND('',#55479,.T.); #34869=FACE_OUTER_BOUND('',#55480,.T.); #34870=FACE_OUTER_BOUND('',#55481,.T.); #34871=FACE_OUTER_BOUND('',#55482,.T.); #34872=FACE_OUTER_BOUND('',#55483,.T.); #34873=FACE_OUTER_BOUND('',#55484,.T.); #34874=FACE_OUTER_BOUND('',#55485,.T.); #34875=FACE_OUTER_BOUND('',#55486,.T.); #34876=FACE_OUTER_BOUND('',#55487,.T.); #34877=FACE_OUTER_BOUND('',#55488,.T.); #34878=FACE_OUTER_BOUND('',#55489,.T.); #34879=FACE_OUTER_BOUND('',#55490,.T.); #34880=FACE_OUTER_BOUND('',#55491,.T.); #34881=FACE_OUTER_BOUND('',#55492,.T.); #34882=FACE_OUTER_BOUND('',#55493,.T.); #34883=FACE_OUTER_BOUND('',#55494,.T.); #34884=FACE_OUTER_BOUND('',#55495,.T.); #34885=FACE_OUTER_BOUND('',#55496,.T.); #34886=FACE_OUTER_BOUND('',#55497,.T.); #34887=FACE_OUTER_BOUND('',#55498,.T.); #34888=FACE_OUTER_BOUND('',#55499,.T.); #34889=FACE_OUTER_BOUND('',#55500,.T.); #34890=FACE_OUTER_BOUND('',#55501,.T.); #34891=FACE_OUTER_BOUND('',#55502,.T.); #34892=FACE_OUTER_BOUND('',#55503,.T.); #34893=FACE_OUTER_BOUND('',#55504,.T.); #34894=FACE_OUTER_BOUND('',#55505,.T.); #34895=FACE_OUTER_BOUND('',#55506,.T.); #34896=FACE_OUTER_BOUND('',#55507,.T.); #34897=FACE_OUTER_BOUND('',#55508,.T.); #34898=FACE_OUTER_BOUND('',#55509,.T.); #34899=FACE_OUTER_BOUND('',#55510,.T.); #34900=FACE_OUTER_BOUND('',#55511,.T.); #34901=FACE_OUTER_BOUND('',#55512,.T.); #34902=FACE_OUTER_BOUND('',#55513,.T.); #34903=FACE_OUTER_BOUND('',#55514,.T.); #34904=FACE_OUTER_BOUND('',#55515,.T.); #34905=FACE_OUTER_BOUND('',#55516,.T.); #34906=FACE_OUTER_BOUND('',#55517,.T.); #34907=FACE_OUTER_BOUND('',#55518,.T.); #34908=FACE_OUTER_BOUND('',#55519,.T.); #34909=FACE_OUTER_BOUND('',#55520,.T.); #34910=FACE_OUTER_BOUND('',#55521,.T.); #34911=FACE_OUTER_BOUND('',#55522,.T.); #34912=FACE_OUTER_BOUND('',#55523,.T.); #34913=FACE_OUTER_BOUND('',#55524,.T.); #34914=FACE_OUTER_BOUND('',#55525,.T.); #34915=FACE_OUTER_BOUND('',#55526,.T.); #34916=FACE_OUTER_BOUND('',#55527,.T.); #34917=FACE_OUTER_BOUND('',#55528,.T.); #34918=FACE_OUTER_BOUND('',#55529,.T.); #34919=FACE_OUTER_BOUND('',#55530,.T.); #34920=FACE_OUTER_BOUND('',#55531,.T.); #34921=FACE_OUTER_BOUND('',#55532,.T.); #34922=FACE_OUTER_BOUND('',#55533,.T.); #34923=FACE_OUTER_BOUND('',#55534,.T.); #34924=FACE_OUTER_BOUND('',#55535,.T.); #34925=FACE_OUTER_BOUND('',#55536,.T.); #34926=FACE_OUTER_BOUND('',#55537,.T.); #34927=FACE_OUTER_BOUND('',#55538,.T.); #34928=FACE_OUTER_BOUND('',#55539,.T.); #34929=FACE_OUTER_BOUND('',#55540,.T.); #34930=FACE_OUTER_BOUND('',#55541,.T.); #34931=FACE_OUTER_BOUND('',#55542,.T.); #34932=FACE_OUTER_BOUND('',#55543,.T.); #34933=FACE_OUTER_BOUND('',#55544,.T.); #34934=FACE_OUTER_BOUND('',#55545,.T.); #34935=FACE_OUTER_BOUND('',#55546,.T.); #34936=FACE_OUTER_BOUND('',#55547,.T.); #34937=FACE_OUTER_BOUND('',#55548,.T.); #34938=FACE_OUTER_BOUND('',#55549,.T.); #34939=FACE_OUTER_BOUND('',#55550,.T.); #34940=FACE_OUTER_BOUND('',#55551,.T.); #34941=FACE_OUTER_BOUND('',#55552,.T.); #34942=FACE_OUTER_BOUND('',#55553,.T.); #34943=FACE_OUTER_BOUND('',#55554,.T.); #34944=FACE_OUTER_BOUND('',#55555,.T.); #34945=FACE_OUTER_BOUND('',#55556,.T.); #34946=FACE_OUTER_BOUND('',#55557,.T.); #34947=FACE_OUTER_BOUND('',#55558,.T.); #34948=FACE_OUTER_BOUND('',#55559,.T.); #34949=FACE_OUTER_BOUND('',#55560,.T.); #34950=FACE_OUTER_BOUND('',#55561,.T.); #34951=FACE_OUTER_BOUND('',#55562,.T.); #34952=FACE_OUTER_BOUND('',#55563,.T.); #34953=FACE_OUTER_BOUND('',#55564,.T.); #34954=FACE_OUTER_BOUND('',#55565,.T.); #34955=FACE_OUTER_BOUND('',#55566,.T.); #34956=FACE_OUTER_BOUND('',#55567,.T.); #34957=FACE_OUTER_BOUND('',#55568,.T.); #34958=FACE_OUTER_BOUND('',#55569,.T.); #34959=FACE_OUTER_BOUND('',#55570,.T.); #34960=FACE_OUTER_BOUND('',#55571,.T.); #34961=FACE_OUTER_BOUND('',#55572,.T.); #34962=FACE_OUTER_BOUND('',#55573,.T.); #34963=FACE_OUTER_BOUND('',#55574,.T.); #34964=FACE_OUTER_BOUND('',#55575,.T.); #34965=FACE_OUTER_BOUND('',#55576,.T.); #34966=FACE_OUTER_BOUND('',#55577,.T.); #34967=FACE_OUTER_BOUND('',#55578,.T.); #34968=FACE_OUTER_BOUND('',#55579,.T.); #34969=FACE_OUTER_BOUND('',#55580,.T.); #34970=FACE_OUTER_BOUND('',#55581,.T.); #34971=FACE_OUTER_BOUND('',#55582,.T.); #34972=FACE_OUTER_BOUND('',#55598,.T.); #34973=FACE_OUTER_BOUND('',#55614,.T.); #34974=FACE_OUTER_BOUND('',#55615,.T.); #34975=FACE_OUTER_BOUND('',#55616,.T.); #34976=FACE_OUTER_BOUND('',#55617,.T.); #34977=FACE_OUTER_BOUND('',#55618,.T.); #34978=FACE_OUTER_BOUND('',#55619,.T.); #34979=FACE_OUTER_BOUND('',#55620,.T.); #34980=FACE_OUTER_BOUND('',#55621,.T.); #34981=FACE_OUTER_BOUND('',#55622,.T.); #34982=FACE_OUTER_BOUND('',#55623,.T.); #34983=FACE_OUTER_BOUND('',#55624,.T.); #34984=FACE_OUTER_BOUND('',#55625,.T.); #34985=FACE_OUTER_BOUND('',#55626,.T.); #34986=FACE_OUTER_BOUND('',#55627,.T.); #34987=FACE_OUTER_BOUND('',#55628,.T.); #34988=FACE_OUTER_BOUND('',#55629,.T.); #34989=FACE_OUTER_BOUND('',#55630,.T.); #34990=FACE_OUTER_BOUND('',#55631,.T.); #34991=FACE_OUTER_BOUND('',#55632,.T.); #34992=FACE_OUTER_BOUND('',#55633,.T.); #34993=FACE_OUTER_BOUND('',#55634,.T.); #34994=FACE_OUTER_BOUND('',#55635,.T.); #34995=FACE_OUTER_BOUND('',#55636,.T.); #34996=FACE_OUTER_BOUND('',#55637,.T.); #34997=FACE_OUTER_BOUND('',#55638,.T.); #34998=FACE_OUTER_BOUND('',#55639,.T.); #34999=FACE_OUTER_BOUND('',#55640,.T.); #35000=FACE_OUTER_BOUND('',#55641,.T.); #35001=FACE_OUTER_BOUND('',#55642,.T.); #35002=FACE_OUTER_BOUND('',#55643,.T.); #35003=FACE_OUTER_BOUND('',#55644,.T.); #35004=FACE_OUTER_BOUND('',#55645,.T.); #35005=FACE_OUTER_BOUND('',#55646,.T.); #35006=FACE_OUTER_BOUND('',#55647,.T.); #35007=FACE_OUTER_BOUND('',#55648,.T.); #35008=FACE_OUTER_BOUND('',#55649,.T.); #35009=FACE_OUTER_BOUND('',#55650,.T.); #35010=FACE_OUTER_BOUND('',#55651,.T.); #35011=FACE_OUTER_BOUND('',#55652,.T.); #35012=FACE_OUTER_BOUND('',#55653,.T.); #35013=FACE_OUTER_BOUND('',#55654,.T.); #35014=FACE_OUTER_BOUND('',#55655,.T.); #35015=FACE_OUTER_BOUND('',#55657,.T.); #35016=FACE_OUTER_BOUND('',#55659,.T.); #35017=FACE_OUTER_BOUND('',#55660,.T.); #35018=FACE_OUTER_BOUND('',#55661,.T.); #35019=FACE_OUTER_BOUND('',#55662,.T.); #35020=FACE_OUTER_BOUND('',#55663,.T.); #35021=FACE_OUTER_BOUND('',#55664,.T.); #35022=FACE_OUTER_BOUND('',#55665,.T.); #35023=FACE_OUTER_BOUND('',#55668,.T.); #35024=FACE_OUTER_BOUND('',#55671,.T.); #35025=FACE_OUTER_BOUND('',#55672,.T.); #35026=FACE_OUTER_BOUND('',#55673,.T.); #35027=FACE_OUTER_BOUND('',#55674,.T.); #35028=FACE_OUTER_BOUND('',#55675,.T.); #35029=FACE_OUTER_BOUND('',#55676,.T.); #35030=FACE_OUTER_BOUND('',#55677,.T.); #35031=FACE_OUTER_BOUND('',#55680,.T.); #35032=FACE_OUTER_BOUND('',#55683,.T.); #35033=FACE_OUTER_BOUND('',#55684,.T.); #35034=FACE_OUTER_BOUND('',#55685,.T.); #35035=FACE_OUTER_BOUND('',#55686,.T.); #35036=FACE_OUTER_BOUND('',#55687,.T.); #35037=FACE_OUTER_BOUND('',#55688,.T.); #35038=FACE_OUTER_BOUND('',#55690,.T.); #35039=FACE_OUTER_BOUND('',#55692,.T.); #35040=FACE_OUTER_BOUND('',#55693,.T.); #35041=FACE_OUTER_BOUND('',#55694,.T.); #35042=FACE_OUTER_BOUND('',#55695,.T.); #35043=FACE_OUTER_BOUND('',#55696,.T.); #35044=FACE_OUTER_BOUND('',#55697,.T.); #35045=FACE_OUTER_BOUND('',#55698,.T.); #35046=FACE_OUTER_BOUND('',#55699,.T.); #35047=FACE_OUTER_BOUND('',#55700,.T.); #35048=FACE_OUTER_BOUND('',#55701,.T.); #35049=FACE_OUTER_BOUND('',#55702,.T.); #35050=FACE_OUTER_BOUND('',#55703,.T.); #35051=FACE_OUTER_BOUND('',#55704,.T.); #35052=FACE_OUTER_BOUND('',#55705,.T.); #35053=FACE_OUTER_BOUND('',#55706,.T.); #35054=FACE_OUTER_BOUND('',#55707,.T.); #35055=FACE_OUTER_BOUND('',#55708,.T.); #35056=FACE_OUTER_BOUND('',#55709,.T.); #35057=FACE_OUTER_BOUND('',#55710,.T.); #35058=FACE_OUTER_BOUND('',#55711,.T.); #35059=FACE_OUTER_BOUND('',#55712,.T.); #35060=FACE_OUTER_BOUND('',#55713,.T.); #35061=FACE_OUTER_BOUND('',#55714,.T.); #35062=FACE_OUTER_BOUND('',#55715,.T.); #35063=FACE_OUTER_BOUND('',#55716,.T.); #35064=FACE_OUTER_BOUND('',#55717,.T.); #35065=FACE_OUTER_BOUND('',#55718,.T.); #35066=FACE_OUTER_BOUND('',#55719,.T.); #35067=FACE_OUTER_BOUND('',#55720,.T.); #35068=FACE_OUTER_BOUND('',#55721,.T.); #35069=FACE_OUTER_BOUND('',#55722,.T.); #35070=FACE_OUTER_BOUND('',#55723,.T.); #35071=FACE_OUTER_BOUND('',#55724,.T.); #35072=FACE_OUTER_BOUND('',#55725,.T.); #35073=FACE_OUTER_BOUND('',#55726,.T.); #35074=FACE_OUTER_BOUND('',#55727,.T.); #35075=FACE_OUTER_BOUND('',#55728,.T.); #35076=FACE_OUTER_BOUND('',#55729,.T.); #35077=FACE_OUTER_BOUND('',#55730,.T.); #35078=FACE_OUTER_BOUND('',#55731,.T.); #35079=FACE_OUTER_BOUND('',#55732,.T.); #35080=FACE_OUTER_BOUND('',#55733,.T.); #35081=FACE_OUTER_BOUND('',#55734,.T.); #35082=FACE_OUTER_BOUND('',#55735,.T.); #35083=FACE_OUTER_BOUND('',#55736,.T.); #35084=FACE_OUTER_BOUND('',#55737,.T.); #35085=FACE_OUTER_BOUND('',#55738,.T.); #35086=FACE_OUTER_BOUND('',#55739,.T.); #35087=FACE_OUTER_BOUND('',#55740,.T.); #35088=FACE_OUTER_BOUND('',#55741,.T.); #35089=FACE_OUTER_BOUND('',#55742,.T.); #35090=FACE_OUTER_BOUND('',#55743,.T.); #35091=FACE_OUTER_BOUND('',#55744,.T.); #35092=FACE_OUTER_BOUND('',#55745,.T.); #35093=FACE_OUTER_BOUND('',#55746,.T.); #35094=FACE_OUTER_BOUND('',#55747,.T.); #35095=FACE_OUTER_BOUND('',#55748,.T.); #35096=FACE_OUTER_BOUND('',#55749,.T.); #35097=FACE_OUTER_BOUND('',#55750,.T.); #35098=FACE_OUTER_BOUND('',#55751,.T.); #35099=FACE_OUTER_BOUND('',#55752,.T.); #35100=FACE_OUTER_BOUND('',#55753,.T.); #35101=FACE_OUTER_BOUND('',#55754,.T.); #35102=FACE_OUTER_BOUND('',#55755,.T.); #35103=FACE_OUTER_BOUND('',#55756,.T.); #35104=FACE_OUTER_BOUND('',#55757,.T.); #35105=FACE_OUTER_BOUND('',#55758,.T.); #35106=FACE_OUTER_BOUND('',#55759,.T.); #35107=FACE_OUTER_BOUND('',#55760,.T.); #35108=FACE_OUTER_BOUND('',#55761,.T.); #35109=FACE_OUTER_BOUND('',#55762,.T.); #35110=FACE_OUTER_BOUND('',#55763,.T.); #35111=FACE_OUTER_BOUND('',#55764,.T.); #35112=FACE_OUTER_BOUND('',#55765,.T.); #35113=FACE_OUTER_BOUND('',#55766,.T.); #35114=FACE_OUTER_BOUND('',#55767,.T.); #35115=FACE_OUTER_BOUND('',#55768,.T.); #35116=FACE_OUTER_BOUND('',#55769,.T.); #35117=FACE_OUTER_BOUND('',#55770,.T.); #35118=FACE_OUTER_BOUND('',#55771,.T.); #35119=FACE_OUTER_BOUND('',#55772,.T.); #35120=FACE_OUTER_BOUND('',#55773,.T.); #35121=FACE_OUTER_BOUND('',#55774,.T.); #35122=FACE_OUTER_BOUND('',#55775,.T.); #35123=FACE_OUTER_BOUND('',#55776,.T.); #35124=FACE_OUTER_BOUND('',#55777,.T.); #35125=FACE_OUTER_BOUND('',#55778,.T.); #35126=FACE_OUTER_BOUND('',#55779,.T.); #35127=FACE_OUTER_BOUND('',#55780,.T.); #35128=FACE_OUTER_BOUND('',#55781,.T.); #35129=FACE_OUTER_BOUND('',#55782,.T.); #35130=FACE_OUTER_BOUND('',#55783,.T.); #35131=FACE_OUTER_BOUND('',#55784,.T.); #35132=FACE_OUTER_BOUND('',#55785,.T.); #35133=FACE_OUTER_BOUND('',#55786,.T.); #35134=FACE_OUTER_BOUND('',#55787,.T.); #35135=FACE_OUTER_BOUND('',#55788,.T.); #35136=FACE_OUTER_BOUND('',#55789,.T.); #35137=FACE_OUTER_BOUND('',#55790,.T.); #35138=FACE_OUTER_BOUND('',#55791,.T.); #35139=FACE_OUTER_BOUND('',#55792,.T.); #35140=FACE_OUTER_BOUND('',#55793,.T.); #35141=FACE_OUTER_BOUND('',#55794,.T.); #35142=FACE_OUTER_BOUND('',#55795,.T.); #35143=FACE_OUTER_BOUND('',#55796,.T.); #35144=FACE_OUTER_BOUND('',#55797,.T.); #35145=FACE_OUTER_BOUND('',#55798,.T.); #35146=FACE_OUTER_BOUND('',#55799,.T.); #35147=FACE_OUTER_BOUND('',#55800,.T.); #35148=FACE_OUTER_BOUND('',#55801,.T.); #35149=FACE_OUTER_BOUND('',#55802,.T.); #35150=FACE_OUTER_BOUND('',#55803,.T.); #35151=FACE_OUTER_BOUND('',#55804,.T.); #35152=FACE_OUTER_BOUND('',#55805,.T.); #35153=FACE_OUTER_BOUND('',#55806,.T.); #35154=FACE_OUTER_BOUND('',#55807,.T.); #35155=FACE_OUTER_BOUND('',#55808,.T.); #35156=FACE_OUTER_BOUND('',#55809,.T.); #35157=FACE_OUTER_BOUND('',#55810,.T.); #35158=FACE_OUTER_BOUND('',#55811,.T.); #35159=FACE_OUTER_BOUND('',#55812,.T.); #35160=FACE_OUTER_BOUND('',#55813,.T.); #35161=FACE_OUTER_BOUND('',#55814,.T.); #35162=FACE_OUTER_BOUND('',#55815,.T.); #35163=FACE_OUTER_BOUND('',#55816,.T.); #35164=FACE_OUTER_BOUND('',#55817,.T.); #35165=FACE_OUTER_BOUND('',#55818,.T.); #35166=FACE_OUTER_BOUND('',#55819,.T.); #35167=FACE_OUTER_BOUND('',#55820,.T.); #35168=FACE_OUTER_BOUND('',#55821,.T.); #35169=FACE_OUTER_BOUND('',#55822,.T.); #35170=FACE_OUTER_BOUND('',#55823,.T.); #35171=FACE_OUTER_BOUND('',#55824,.T.); #35172=FACE_OUTER_BOUND('',#55825,.T.); #35173=FACE_OUTER_BOUND('',#55826,.T.); #35174=FACE_OUTER_BOUND('',#55827,.T.); #35175=FACE_OUTER_BOUND('',#55828,.T.); #35176=FACE_OUTER_BOUND('',#55829,.T.); #35177=FACE_OUTER_BOUND('',#55830,.T.); #35178=FACE_OUTER_BOUND('',#55831,.T.); #35179=FACE_OUTER_BOUND('',#55832,.T.); #35180=FACE_OUTER_BOUND('',#55833,.T.); #35181=FACE_OUTER_BOUND('',#55834,.T.); #35182=FACE_OUTER_BOUND('',#55835,.T.); #35183=FACE_OUTER_BOUND('',#55836,.T.); #35184=FACE_OUTER_BOUND('',#55837,.T.); #35185=FACE_OUTER_BOUND('',#55838,.T.); #35186=FACE_OUTER_BOUND('',#55839,.T.); #35187=FACE_OUTER_BOUND('',#55840,.T.); #35188=FACE_OUTER_BOUND('',#55841,.T.); #35189=FACE_OUTER_BOUND('',#55842,.T.); #35190=FACE_OUTER_BOUND('',#55843,.T.); #35191=FACE_OUTER_BOUND('',#55844,.T.); #35192=FACE_OUTER_BOUND('',#55845,.T.); #35193=FACE_OUTER_BOUND('',#55846,.T.); #35194=FACE_OUTER_BOUND('',#55847,.T.); #35195=FACE_OUTER_BOUND('',#55848,.T.); #35196=FACE_OUTER_BOUND('',#55849,.T.); #35197=FACE_OUTER_BOUND('',#55850,.T.); #35198=FACE_OUTER_BOUND('',#55851,.T.); #35199=FACE_OUTER_BOUND('',#55852,.T.); #35200=FACE_OUTER_BOUND('',#55853,.T.); #35201=FACE_OUTER_BOUND('',#55854,.T.); #35202=FACE_OUTER_BOUND('',#55855,.T.); #35203=FACE_OUTER_BOUND('',#55856,.T.); #35204=FACE_OUTER_BOUND('',#55857,.T.); #35205=FACE_OUTER_BOUND('',#55858,.T.); #35206=FACE_OUTER_BOUND('',#55859,.T.); #35207=FACE_OUTER_BOUND('',#55860,.T.); #35208=FACE_OUTER_BOUND('',#55861,.T.); #35209=FACE_OUTER_BOUND('',#55862,.T.); #35210=FACE_OUTER_BOUND('',#55863,.T.); #35211=FACE_OUTER_BOUND('',#55864,.T.); #35212=FACE_OUTER_BOUND('',#55865,.T.); #35213=FACE_OUTER_BOUND('',#55866,.T.); #35214=FACE_OUTER_BOUND('',#55867,.T.); #35215=FACE_OUTER_BOUND('',#55868,.T.); #35216=FACE_OUTER_BOUND('',#55869,.T.); #35217=FACE_OUTER_BOUND('',#55870,.T.); #35218=FACE_OUTER_BOUND('',#55871,.T.); #35219=FACE_OUTER_BOUND('',#55872,.T.); #35220=FACE_OUTER_BOUND('',#55873,.T.); #35221=FACE_OUTER_BOUND('',#55874,.T.); #35222=FACE_OUTER_BOUND('',#55875,.T.); #35223=FACE_OUTER_BOUND('',#55876,.T.); #35224=FACE_OUTER_BOUND('',#55877,.T.); #35225=FACE_OUTER_BOUND('',#55878,.T.); #35226=FACE_OUTER_BOUND('',#55879,.T.); #35227=FACE_OUTER_BOUND('',#55880,.T.); #35228=FACE_OUTER_BOUND('',#55881,.T.); #35229=FACE_OUTER_BOUND('',#55882,.T.); #35230=FACE_OUTER_BOUND('',#55883,.T.); #35231=FACE_OUTER_BOUND('',#55884,.T.); #35232=FACE_OUTER_BOUND('',#55885,.T.); #35233=FACE_OUTER_BOUND('',#55886,.T.); #35234=FACE_OUTER_BOUND('',#55887,.T.); #35235=FACE_OUTER_BOUND('',#55888,.T.); #35236=FACE_OUTER_BOUND('',#55889,.T.); #35237=FACE_OUTER_BOUND('',#55890,.T.); #35238=FACE_OUTER_BOUND('',#55891,.T.); #35239=FACE_OUTER_BOUND('',#55892,.T.); #35240=FACE_OUTER_BOUND('',#55893,.T.); #35241=FACE_OUTER_BOUND('',#55894,.T.); #35242=FACE_OUTER_BOUND('',#55895,.T.); #35243=FACE_OUTER_BOUND('',#55896,.T.); #35244=FACE_OUTER_BOUND('',#55897,.T.); #35245=FACE_OUTER_BOUND('',#55898,.T.); #35246=FACE_OUTER_BOUND('',#55899,.T.); #35247=FACE_OUTER_BOUND('',#55900,.T.); #35248=FACE_OUTER_BOUND('',#55901,.T.); #35249=FACE_OUTER_BOUND('',#55902,.T.); #35250=FACE_OUTER_BOUND('',#55903,.T.); #35251=FACE_OUTER_BOUND('',#55904,.T.); #35252=FACE_OUTER_BOUND('',#55905,.T.); #35253=FACE_OUTER_BOUND('',#55906,.T.); #35254=FACE_OUTER_BOUND('',#55907,.T.); #35255=FACE_OUTER_BOUND('',#55908,.T.); #35256=FACE_OUTER_BOUND('',#55909,.T.); #35257=FACE_OUTER_BOUND('',#55910,.T.); #35258=FACE_OUTER_BOUND('',#55911,.T.); #35259=FACE_OUTER_BOUND('',#55912,.T.); #35260=FACE_OUTER_BOUND('',#55913,.T.); #35261=FACE_OUTER_BOUND('',#55914,.T.); #35262=FACE_OUTER_BOUND('',#55915,.T.); #35263=FACE_OUTER_BOUND('',#55916,.T.); #35264=FACE_OUTER_BOUND('',#55917,.T.); #35265=FACE_OUTER_BOUND('',#55918,.T.); #35266=FACE_OUTER_BOUND('',#55919,.T.); #35267=FACE_OUTER_BOUND('',#55920,.T.); #35268=FACE_OUTER_BOUND('',#55921,.T.); #35269=FACE_OUTER_BOUND('',#55922,.T.); #35270=FACE_OUTER_BOUND('',#55923,.T.); #35271=FACE_OUTER_BOUND('',#55924,.T.); #35272=FACE_OUTER_BOUND('',#55925,.T.); #35273=FACE_OUTER_BOUND('',#55926,.T.); #35274=FACE_OUTER_BOUND('',#55927,.T.); #35275=FACE_OUTER_BOUND('',#55928,.T.); #35276=FACE_OUTER_BOUND('',#55929,.T.); #35277=FACE_OUTER_BOUND('',#55930,.T.); #35278=FACE_OUTER_BOUND('',#55931,.T.); #35279=FACE_OUTER_BOUND('',#55932,.T.); #35280=FACE_OUTER_BOUND('',#55933,.T.); #35281=FACE_OUTER_BOUND('',#55934,.T.); #35282=FACE_OUTER_BOUND('',#55935,.T.); #35283=FACE_OUTER_BOUND('',#55936,.T.); #35284=FACE_OUTER_BOUND('',#55937,.T.); #35285=FACE_OUTER_BOUND('',#55938,.T.); #35286=FACE_OUTER_BOUND('',#55939,.T.); #35287=FACE_OUTER_BOUND('',#55940,.T.); #35288=FACE_OUTER_BOUND('',#55941,.T.); #35289=FACE_OUTER_BOUND('',#55942,.T.); #35290=FACE_OUTER_BOUND('',#55943,.T.); #35291=FACE_OUTER_BOUND('',#55944,.T.); #35292=FACE_OUTER_BOUND('',#55945,.T.); #35293=FACE_OUTER_BOUND('',#55946,.T.); #35294=FACE_OUTER_BOUND('',#55947,.T.); #35295=FACE_OUTER_BOUND('',#55948,.T.); #35296=FACE_OUTER_BOUND('',#55949,.T.); #35297=FACE_OUTER_BOUND('',#55950,.T.); #35298=FACE_OUTER_BOUND('',#55951,.T.); #35299=FACE_OUTER_BOUND('',#55952,.T.); #35300=FACE_OUTER_BOUND('',#55953,.T.); #35301=FACE_OUTER_BOUND('',#55954,.T.); #35302=FACE_OUTER_BOUND('',#55955,.T.); #35303=FACE_OUTER_BOUND('',#55956,.T.); #35304=FACE_OUTER_BOUND('',#55957,.T.); #35305=FACE_OUTER_BOUND('',#55958,.T.); #35306=FACE_OUTER_BOUND('',#55959,.T.); #35307=FACE_OUTER_BOUND('',#55960,.T.); #35308=FACE_OUTER_BOUND('',#55961,.T.); #35309=FACE_OUTER_BOUND('',#55962,.T.); #35310=FACE_OUTER_BOUND('',#55963,.T.); #35311=FACE_OUTER_BOUND('',#55964,.T.); #35312=FACE_OUTER_BOUND('',#55965,.T.); #35313=FACE_OUTER_BOUND('',#55966,.T.); #35314=FACE_OUTER_BOUND('',#55967,.T.); #35315=FACE_OUTER_BOUND('',#55968,.T.); #35316=FACE_OUTER_BOUND('',#55969,.T.); #35317=FACE_OUTER_BOUND('',#55970,.T.); #35318=FACE_OUTER_BOUND('',#55971,.T.); #35319=FACE_OUTER_BOUND('',#55972,.T.); #35320=FACE_OUTER_BOUND('',#55973,.T.); #35321=FACE_OUTER_BOUND('',#55974,.T.); #35322=FACE_OUTER_BOUND('',#55975,.T.); #35323=FACE_OUTER_BOUND('',#55976,.T.); #35324=FACE_OUTER_BOUND('',#55977,.T.); #35325=FACE_OUTER_BOUND('',#55978,.T.); #35326=FACE_OUTER_BOUND('',#55979,.T.); #35327=FACE_OUTER_BOUND('',#55980,.T.); #35328=FACE_OUTER_BOUND('',#55981,.T.); #35329=FACE_OUTER_BOUND('',#55982,.T.); #35330=FACE_OUTER_BOUND('',#55983,.T.); #35331=FACE_OUTER_BOUND('',#55984,.T.); #35332=FACE_OUTER_BOUND('',#55985,.T.); #35333=FACE_OUTER_BOUND('',#55986,.T.); #35334=FACE_OUTER_BOUND('',#55987,.T.); #35335=FACE_OUTER_BOUND('',#55988,.T.); #35336=FACE_OUTER_BOUND('',#55989,.T.); #35337=FACE_OUTER_BOUND('',#55990,.T.); #35338=FACE_OUTER_BOUND('',#55991,.T.); #35339=FACE_OUTER_BOUND('',#55992,.T.); #35340=FACE_OUTER_BOUND('',#55993,.T.); #35341=FACE_OUTER_BOUND('',#55994,.T.); #35342=FACE_OUTER_BOUND('',#55995,.T.); #35343=FACE_OUTER_BOUND('',#55996,.T.); #35344=FACE_OUTER_BOUND('',#55997,.T.); #35345=FACE_OUTER_BOUND('',#55998,.T.); #35346=FACE_OUTER_BOUND('',#55999,.T.); #35347=FACE_OUTER_BOUND('',#56000,.T.); #35348=FACE_OUTER_BOUND('',#56001,.T.); #35349=FACE_OUTER_BOUND('',#56002,.T.); #35350=FACE_OUTER_BOUND('',#56003,.T.); #35351=FACE_OUTER_BOUND('',#56004,.T.); #35352=FACE_OUTER_BOUND('',#56005,.T.); #35353=FACE_OUTER_BOUND('',#56006,.T.); #35354=FACE_OUTER_BOUND('',#56007,.T.); #35355=FACE_OUTER_BOUND('',#56008,.T.); #35356=FACE_OUTER_BOUND('',#56009,.T.); #35357=FACE_OUTER_BOUND('',#56010,.T.); #35358=FACE_OUTER_BOUND('',#56011,.T.); #35359=FACE_OUTER_BOUND('',#56012,.T.); #35360=FACE_OUTER_BOUND('',#56013,.T.); #35361=FACE_OUTER_BOUND('',#56014,.T.); #35362=FACE_OUTER_BOUND('',#56015,.T.); #35363=FACE_OUTER_BOUND('',#56016,.T.); #35364=FACE_OUTER_BOUND('',#56017,.T.); #35365=FACE_OUTER_BOUND('',#56018,.T.); #35366=FACE_OUTER_BOUND('',#56019,.T.); #35367=FACE_OUTER_BOUND('',#56020,.T.); #35368=FACE_OUTER_BOUND('',#56021,.T.); #35369=FACE_OUTER_BOUND('',#56022,.T.); #35370=FACE_OUTER_BOUND('',#56023,.T.); #35371=FACE_OUTER_BOUND('',#56024,.T.); #35372=FACE_OUTER_BOUND('',#56025,.T.); #35373=FACE_OUTER_BOUND('',#56026,.T.); #35374=FACE_OUTER_BOUND('',#56027,.T.); #35375=FACE_OUTER_BOUND('',#56028,.T.); #35376=FACE_OUTER_BOUND('',#56029,.T.); #35377=FACE_OUTER_BOUND('',#56030,.T.); #35378=FACE_OUTER_BOUND('',#56031,.T.); #35379=FACE_OUTER_BOUND('',#56032,.T.); #35380=FACE_OUTER_BOUND('',#56033,.T.); #35381=FACE_OUTER_BOUND('',#56034,.T.); #35382=FACE_OUTER_BOUND('',#56035,.T.); #35383=FACE_OUTER_BOUND('',#56036,.T.); #35384=FACE_OUTER_BOUND('',#56037,.T.); #35385=FACE_OUTER_BOUND('',#56038,.T.); #35386=FACE_OUTER_BOUND('',#56039,.T.); #35387=FACE_OUTER_BOUND('',#56040,.T.); #35388=FACE_OUTER_BOUND('',#56041,.T.); #35389=FACE_OUTER_BOUND('',#56042,.T.); #35390=FACE_OUTER_BOUND('',#56043,.T.); #35391=FACE_OUTER_BOUND('',#56044,.T.); #35392=FACE_OUTER_BOUND('',#56045,.T.); #35393=FACE_OUTER_BOUND('',#56046,.T.); #35394=FACE_OUTER_BOUND('',#56047,.T.); #35395=FACE_OUTER_BOUND('',#56048,.T.); #35396=FACE_OUTER_BOUND('',#56049,.T.); #35397=FACE_OUTER_BOUND('',#56382,.T.); #35398=FACE_OUTER_BOUND('',#56715,.T.); #35399=FACE_OUTER_BOUND('',#56716,.T.); #35400=FACE_OUTER_BOUND('',#56717,.T.); #35401=FACE_OUTER_BOUND('',#56718,.T.); #35402=FACE_OUTER_BOUND('',#56719,.T.); #35403=FACE_OUTER_BOUND('',#56720,.T.); #35404=FACE_OUTER_BOUND('',#56721,.T.); #35405=FACE_OUTER_BOUND('',#56722,.T.); #35406=FACE_OUTER_BOUND('',#56723,.T.); #35407=FACE_OUTER_BOUND('',#56724,.T.); #35408=FACE_OUTER_BOUND('',#56725,.T.); #35409=FACE_OUTER_BOUND('',#56726,.T.); #35410=FACE_OUTER_BOUND('',#56727,.T.); #35411=FACE_OUTER_BOUND('',#56728,.T.); #35412=FACE_OUTER_BOUND('',#56729,.T.); #35413=FACE_OUTER_BOUND('',#56730,.T.); #35414=FACE_OUTER_BOUND('',#56731,.T.); #35415=FACE_OUTER_BOUND('',#56732,.T.); #35416=FACE_OUTER_BOUND('',#56733,.T.); #35417=FACE_OUTER_BOUND('',#56734,.T.); #35418=FACE_OUTER_BOUND('',#56735,.T.); #35419=FACE_OUTER_BOUND('',#56736,.T.); #35420=FACE_OUTER_BOUND('',#56737,.T.); #35421=FACE_OUTER_BOUND('',#56738,.T.); #35422=FACE_OUTER_BOUND('',#56739,.T.); #35423=FACE_OUTER_BOUND('',#56740,.T.); #35424=FACE_OUTER_BOUND('',#56741,.T.); #35425=FACE_OUTER_BOUND('',#56742,.T.); #35426=FACE_OUTER_BOUND('',#56743,.T.); #35427=FACE_OUTER_BOUND('',#56744,.T.); #35428=FACE_OUTER_BOUND('',#56745,.T.); #35429=FACE_OUTER_BOUND('',#56746,.T.); #35430=FACE_OUTER_BOUND('',#56747,.T.); #35431=FACE_OUTER_BOUND('',#56748,.T.); #35432=FACE_OUTER_BOUND('',#56749,.T.); #35433=FACE_OUTER_BOUND('',#56750,.T.); #35434=FACE_OUTER_BOUND('',#56751,.T.); #35435=FACE_OUTER_BOUND('',#56752,.T.); #35436=FACE_OUTER_BOUND('',#56753,.T.); #35437=FACE_OUTER_BOUND('',#56754,.T.); #35438=FACE_OUTER_BOUND('',#56755,.T.); #35439=FACE_OUTER_BOUND('',#56756,.T.); #35440=FACE_OUTER_BOUND('',#56757,.T.); #35441=FACE_OUTER_BOUND('',#56758,.T.); #35442=FACE_OUTER_BOUND('',#56759,.T.); #35443=FACE_OUTER_BOUND('',#56760,.T.); #35444=FACE_OUTER_BOUND('',#56761,.T.); #35445=FACE_OUTER_BOUND('',#56762,.T.); #35446=FACE_OUTER_BOUND('',#56763,.T.); #35447=FACE_OUTER_BOUND('',#56764,.T.); #35448=FACE_OUTER_BOUND('',#56765,.T.); #35449=FACE_OUTER_BOUND('',#56766,.T.); #35450=FACE_OUTER_BOUND('',#56767,.T.); #35451=FACE_OUTER_BOUND('',#56768,.T.); #35452=FACE_OUTER_BOUND('',#56769,.T.); #35453=FACE_OUTER_BOUND('',#56770,.T.); #35454=FACE_OUTER_BOUND('',#56771,.T.); #35455=FACE_OUTER_BOUND('',#56772,.T.); #35456=FACE_OUTER_BOUND('',#56773,.T.); #35457=FACE_OUTER_BOUND('',#56774,.T.); #35458=FACE_OUTER_BOUND('',#56775,.T.); #35459=FACE_OUTER_BOUND('',#56776,.T.); #35460=FACE_OUTER_BOUND('',#56777,.T.); #35461=FACE_OUTER_BOUND('',#56778,.T.); #35462=FACE_OUTER_BOUND('',#56779,.T.); #35463=FACE_OUTER_BOUND('',#56780,.T.); #35464=FACE_OUTER_BOUND('',#56781,.T.); #35465=FACE_OUTER_BOUND('',#56782,.T.); #35466=FACE_OUTER_BOUND('',#56783,.T.); #35467=FACE_OUTER_BOUND('',#56784,.T.); #35468=FACE_OUTER_BOUND('',#56785,.T.); #35469=FACE_OUTER_BOUND('',#56786,.T.); #35470=FACE_OUTER_BOUND('',#56787,.T.); #35471=FACE_OUTER_BOUND('',#56788,.T.); #35472=FACE_OUTER_BOUND('',#56789,.T.); #35473=FACE_OUTER_BOUND('',#56790,.T.); #35474=FACE_OUTER_BOUND('',#56791,.T.); #35475=FACE_OUTER_BOUND('',#56792,.T.); #35476=FACE_OUTER_BOUND('',#56793,.T.); #35477=FACE_OUTER_BOUND('',#56794,.T.); #35478=FACE_OUTER_BOUND('',#56795,.T.); #35479=FACE_OUTER_BOUND('',#56796,.T.); #35480=FACE_OUTER_BOUND('',#56797,.T.); #35481=FACE_OUTER_BOUND('',#56798,.T.); #35482=FACE_OUTER_BOUND('',#56799,.T.); #35483=FACE_OUTER_BOUND('',#56800,.T.); #35484=FACE_OUTER_BOUND('',#56801,.T.); #35485=FACE_OUTER_BOUND('',#56802,.T.); #35486=FACE_OUTER_BOUND('',#56803,.T.); #35487=FACE_OUTER_BOUND('',#56804,.T.); #35488=FACE_OUTER_BOUND('',#56805,.T.); #35489=FACE_OUTER_BOUND('',#56806,.T.); #35490=FACE_OUTER_BOUND('',#56807,.T.); #35491=FACE_OUTER_BOUND('',#56808,.T.); #35492=FACE_OUTER_BOUND('',#56809,.T.); #35493=FACE_OUTER_BOUND('',#56810,.T.); #35494=FACE_OUTER_BOUND('',#56811,.T.); #35495=FACE_OUTER_BOUND('',#56812,.T.); #35496=FACE_OUTER_BOUND('',#56813,.T.); #35497=FACE_OUTER_BOUND('',#56814,.T.); #35498=FACE_OUTER_BOUND('',#56815,.T.); #35499=FACE_OUTER_BOUND('',#56816,.T.); #35500=FACE_OUTER_BOUND('',#56817,.T.); #35501=FACE_OUTER_BOUND('',#56818,.T.); #35502=FACE_OUTER_BOUND('',#56819,.T.); #35503=FACE_OUTER_BOUND('',#56820,.T.); #35504=FACE_OUTER_BOUND('',#56821,.T.); #35505=FACE_OUTER_BOUND('',#56822,.T.); #35506=FACE_OUTER_BOUND('',#56823,.T.); #35507=FACE_OUTER_BOUND('',#56824,.T.); #35508=FACE_OUTER_BOUND('',#56825,.T.); #35509=FACE_OUTER_BOUND('',#56826,.T.); #35510=FACE_OUTER_BOUND('',#56827,.T.); #35511=FACE_OUTER_BOUND('',#56828,.T.); #35512=FACE_OUTER_BOUND('',#56829,.T.); #35513=FACE_OUTER_BOUND('',#56830,.T.); #35514=FACE_OUTER_BOUND('',#56831,.T.); #35515=FACE_OUTER_BOUND('',#56832,.T.); #35516=FACE_OUTER_BOUND('',#56833,.T.); #35517=FACE_OUTER_BOUND('',#56834,.T.); #35518=FACE_OUTER_BOUND('',#56835,.T.); #35519=FACE_OUTER_BOUND('',#56836,.T.); #35520=FACE_OUTER_BOUND('',#56837,.T.); #35521=FACE_OUTER_BOUND('',#56838,.T.); #35522=FACE_OUTER_BOUND('',#56839,.T.); #35523=FACE_OUTER_BOUND('',#56840,.T.); #35524=FACE_OUTER_BOUND('',#56841,.T.); #35525=FACE_OUTER_BOUND('',#56842,.T.); #35526=FACE_OUTER_BOUND('',#56843,.T.); #35527=FACE_OUTER_BOUND('',#56844,.T.); #35528=FACE_OUTER_BOUND('',#56845,.T.); #35529=FACE_OUTER_BOUND('',#56846,.T.); #35530=FACE_OUTER_BOUND('',#56847,.T.); #35531=FACE_OUTER_BOUND('',#56848,.T.); #35532=FACE_OUTER_BOUND('',#56849,.T.); #35533=FACE_OUTER_BOUND('',#56850,.T.); #35534=FACE_OUTER_BOUND('',#56851,.T.); #35535=FACE_OUTER_BOUND('',#56852,.T.); #35536=FACE_OUTER_BOUND('',#56853,.T.); #35537=FACE_OUTER_BOUND('',#56854,.T.); #35538=FACE_OUTER_BOUND('',#56855,.T.); #35539=FACE_OUTER_BOUND('',#56856,.T.); #35540=FACE_OUTER_BOUND('',#56857,.T.); #35541=FACE_OUTER_BOUND('',#56858,.T.); #35542=FACE_OUTER_BOUND('',#56859,.T.); #35543=FACE_OUTER_BOUND('',#56860,.T.); #35544=FACE_OUTER_BOUND('',#56861,.T.); #35545=FACE_OUTER_BOUND('',#56862,.T.); #35546=FACE_OUTER_BOUND('',#56863,.T.); #35547=FACE_OUTER_BOUND('',#56864,.T.); #35548=FACE_OUTER_BOUND('',#56865,.T.); #35549=FACE_OUTER_BOUND('',#56866,.T.); #35550=FACE_OUTER_BOUND('',#56867,.T.); #35551=FACE_OUTER_BOUND('',#56868,.T.); #35552=FACE_OUTER_BOUND('',#56869,.T.); #35553=FACE_OUTER_BOUND('',#56870,.T.); #35554=FACE_OUTER_BOUND('',#56871,.T.); #35555=FACE_OUTER_BOUND('',#56872,.T.); #35556=FACE_OUTER_BOUND('',#56873,.T.); #35557=FACE_OUTER_BOUND('',#56874,.T.); #35558=FACE_OUTER_BOUND('',#56875,.T.); #35559=FACE_OUTER_BOUND('',#56876,.T.); #35560=FACE_OUTER_BOUND('',#56877,.T.); #35561=FACE_OUTER_BOUND('',#56878,.T.); #35562=FACE_OUTER_BOUND('',#56879,.T.); #35563=FACE_OUTER_BOUND('',#56880,.T.); #35564=FACE_OUTER_BOUND('',#56881,.T.); #35565=FACE_OUTER_BOUND('',#56882,.T.); #35566=FACE_OUTER_BOUND('',#56883,.T.); #35567=FACE_OUTER_BOUND('',#56884,.T.); #35568=FACE_OUTER_BOUND('',#56885,.T.); #35569=FACE_OUTER_BOUND('',#56886,.T.); #35570=FACE_OUTER_BOUND('',#56887,.T.); #35571=FACE_OUTER_BOUND('',#56888,.T.); #35572=FACE_OUTER_BOUND('',#56889,.T.); #35573=FACE_OUTER_BOUND('',#56890,.T.); #35574=FACE_OUTER_BOUND('',#56891,.T.); #35575=FACE_OUTER_BOUND('',#56892,.T.); #35576=FACE_OUTER_BOUND('',#56893,.T.); #35577=FACE_OUTER_BOUND('',#56894,.T.); #35578=FACE_OUTER_BOUND('',#56895,.T.); #35579=FACE_OUTER_BOUND('',#56896,.T.); #35580=FACE_OUTER_BOUND('',#56897,.T.); #35581=FACE_OUTER_BOUND('',#56898,.T.); #35582=FACE_OUTER_BOUND('',#56899,.T.); #35583=FACE_OUTER_BOUND('',#56900,.T.); #35584=FACE_OUTER_BOUND('',#56901,.T.); #35585=FACE_OUTER_BOUND('',#56902,.T.); #35586=FACE_OUTER_BOUND('',#56903,.T.); #35587=FACE_OUTER_BOUND('',#56904,.T.); #35588=FACE_OUTER_BOUND('',#56905,.T.); #35589=FACE_OUTER_BOUND('',#56906,.T.); #35590=FACE_OUTER_BOUND('',#56907,.T.); #35591=FACE_OUTER_BOUND('',#56908,.T.); #35592=FACE_OUTER_BOUND('',#56909,.T.); #35593=FACE_OUTER_BOUND('',#56910,.T.); #35594=FACE_OUTER_BOUND('',#56911,.T.); #35595=FACE_OUTER_BOUND('',#56912,.T.); #35596=FACE_OUTER_BOUND('',#56913,.T.); #35597=FACE_OUTER_BOUND('',#56914,.T.); #35598=FACE_OUTER_BOUND('',#56915,.T.); #35599=FACE_OUTER_BOUND('',#56916,.T.); #35600=FACE_OUTER_BOUND('',#56917,.T.); #35601=FACE_OUTER_BOUND('',#56918,.T.); #35602=FACE_OUTER_BOUND('',#56919,.T.); #35603=FACE_OUTER_BOUND('',#56920,.T.); #35604=FACE_OUTER_BOUND('',#56921,.T.); #35605=FACE_OUTER_BOUND('',#56922,.T.); #35606=FACE_OUTER_BOUND('',#56923,.T.); #35607=FACE_OUTER_BOUND('',#56924,.T.); #35608=FACE_OUTER_BOUND('',#56925,.T.); #35609=FACE_OUTER_BOUND('',#56926,.T.); #35610=FACE_OUTER_BOUND('',#56927,.T.); #35611=FACE_OUTER_BOUND('',#56928,.T.); #35612=FACE_OUTER_BOUND('',#56929,.T.); #35613=FACE_OUTER_BOUND('',#56930,.T.); #35614=FACE_OUTER_BOUND('',#56931,.T.); #35615=FACE_OUTER_BOUND('',#56932,.T.); #35616=FACE_OUTER_BOUND('',#56933,.T.); #35617=FACE_OUTER_BOUND('',#56934,.T.); #35618=FACE_OUTER_BOUND('',#56935,.T.); #35619=FACE_OUTER_BOUND('',#56936,.T.); #35620=FACE_OUTER_BOUND('',#56937,.T.); #35621=FACE_OUTER_BOUND('',#56938,.T.); #35622=FACE_OUTER_BOUND('',#56939,.T.); #35623=FACE_OUTER_BOUND('',#56940,.T.); #35624=FACE_OUTER_BOUND('',#56941,.T.); #35625=FACE_OUTER_BOUND('',#56942,.T.); #35626=FACE_OUTER_BOUND('',#56943,.T.); #35627=FACE_OUTER_BOUND('',#56944,.T.); #35628=FACE_OUTER_BOUND('',#56945,.T.); #35629=FACE_OUTER_BOUND('',#56946,.T.); #35630=FACE_OUTER_BOUND('',#56947,.T.); #35631=FACE_OUTER_BOUND('',#56948,.T.); #35632=FACE_OUTER_BOUND('',#56949,.T.); #35633=FACE_OUTER_BOUND('',#56950,.T.); #35634=FACE_OUTER_BOUND('',#56951,.T.); #35635=FACE_OUTER_BOUND('',#56952,.T.); #35636=FACE_OUTER_BOUND('',#56953,.T.); #35637=FACE_OUTER_BOUND('',#56954,.T.); #35638=FACE_OUTER_BOUND('',#56955,.T.); #35639=FACE_OUTER_BOUND('',#56956,.T.); #35640=FACE_OUTER_BOUND('',#56957,.T.); #35641=FACE_OUTER_BOUND('',#56958,.T.); #35642=FACE_OUTER_BOUND('',#56959,.T.); #35643=FACE_OUTER_BOUND('',#56960,.T.); #35644=FACE_OUTER_BOUND('',#56961,.T.); #35645=FACE_OUTER_BOUND('',#56962,.T.); #35646=FACE_OUTER_BOUND('',#56963,.T.); #35647=FACE_OUTER_BOUND('',#56964,.T.); #35648=FACE_OUTER_BOUND('',#56965,.T.); #35649=FACE_OUTER_BOUND('',#56966,.T.); #35650=FACE_OUTER_BOUND('',#56967,.T.); #35651=FACE_OUTER_BOUND('',#56968,.T.); #35652=FACE_OUTER_BOUND('',#56969,.T.); #35653=FACE_OUTER_BOUND('',#56970,.T.); #35654=FACE_OUTER_BOUND('',#56971,.T.); #35655=FACE_OUTER_BOUND('',#56972,.T.); #35656=FACE_OUTER_BOUND('',#56973,.T.); #35657=FACE_OUTER_BOUND('',#56974,.T.); #35658=FACE_OUTER_BOUND('',#56975,.T.); #35659=FACE_OUTER_BOUND('',#56976,.T.); #35660=FACE_OUTER_BOUND('',#56977,.T.); #35661=FACE_OUTER_BOUND('',#56978,.T.); #35662=FACE_OUTER_BOUND('',#56979,.T.); #35663=FACE_OUTER_BOUND('',#56980,.T.); #35664=FACE_OUTER_BOUND('',#56981,.T.); #35665=FACE_OUTER_BOUND('',#56982,.T.); #35666=FACE_OUTER_BOUND('',#56983,.T.); #35667=FACE_OUTER_BOUND('',#56984,.T.); #35668=FACE_OUTER_BOUND('',#56985,.T.); #35669=FACE_OUTER_BOUND('',#56986,.T.); #35670=FACE_OUTER_BOUND('',#56987,.T.); #35671=FACE_OUTER_BOUND('',#56988,.T.); #35672=FACE_OUTER_BOUND('',#56989,.T.); #35673=FACE_OUTER_BOUND('',#56990,.T.); #35674=FACE_OUTER_BOUND('',#56991,.T.); #35675=FACE_OUTER_BOUND('',#56992,.T.); #35676=FACE_OUTER_BOUND('',#56993,.T.); #35677=FACE_OUTER_BOUND('',#56994,.T.); #35678=FACE_OUTER_BOUND('',#56995,.T.); #35679=FACE_OUTER_BOUND('',#56996,.T.); #35680=FACE_OUTER_BOUND('',#56997,.T.); #35681=FACE_OUTER_BOUND('',#56998,.T.); #35682=FACE_OUTER_BOUND('',#56999,.T.); #35683=FACE_OUTER_BOUND('',#57000,.T.); #35684=FACE_OUTER_BOUND('',#57001,.T.); #35685=FACE_OUTER_BOUND('',#57002,.T.); #35686=FACE_OUTER_BOUND('',#57003,.T.); #35687=FACE_OUTER_BOUND('',#57004,.T.); #35688=FACE_OUTER_BOUND('',#57005,.T.); #35689=FACE_OUTER_BOUND('',#57006,.T.); #35690=FACE_OUTER_BOUND('',#57007,.T.); #35691=FACE_OUTER_BOUND('',#57008,.T.); #35692=FACE_OUTER_BOUND('',#57009,.T.); #35693=FACE_OUTER_BOUND('',#57010,.T.); #35694=FACE_OUTER_BOUND('',#57011,.T.); #35695=FACE_OUTER_BOUND('',#57012,.T.); #35696=FACE_OUTER_BOUND('',#57013,.T.); #35697=FACE_OUTER_BOUND('',#57014,.T.); #35698=FACE_OUTER_BOUND('',#57015,.T.); #35699=FACE_OUTER_BOUND('',#57016,.T.); #35700=FACE_OUTER_BOUND('',#57017,.T.); #35701=FACE_OUTER_BOUND('',#57018,.T.); #35702=FACE_OUTER_BOUND('',#57019,.T.); #35703=FACE_OUTER_BOUND('',#57020,.T.); #35704=FACE_OUTER_BOUND('',#57021,.T.); #35705=FACE_OUTER_BOUND('',#57022,.T.); #35706=FACE_OUTER_BOUND('',#57023,.T.); #35707=FACE_OUTER_BOUND('',#57024,.T.); #35708=FACE_OUTER_BOUND('',#57025,.T.); #35709=FACE_OUTER_BOUND('',#57026,.T.); #35710=FACE_OUTER_BOUND('',#57027,.T.); #35711=FACE_OUTER_BOUND('',#57028,.T.); #35712=FACE_OUTER_BOUND('',#57029,.T.); #35713=FACE_OUTER_BOUND('',#57030,.T.); #35714=FACE_OUTER_BOUND('',#57031,.T.); #35715=FACE_OUTER_BOUND('',#57032,.T.); #35716=FACE_OUTER_BOUND('',#57033,.T.); #35717=FACE_OUTER_BOUND('',#57034,.T.); #35718=FACE_OUTER_BOUND('',#57035,.T.); #35719=FACE_OUTER_BOUND('',#57036,.T.); #35720=FACE_OUTER_BOUND('',#57037,.T.); #35721=FACE_OUTER_BOUND('',#57038,.T.); #35722=FACE_OUTER_BOUND('',#57039,.T.); #35723=FACE_OUTER_BOUND('',#57040,.T.); #35724=FACE_OUTER_BOUND('',#57041,.T.); #35725=FACE_OUTER_BOUND('',#57042,.T.); #35726=FACE_OUTER_BOUND('',#57043,.T.); #35727=FACE_OUTER_BOUND('',#57044,.T.); #35728=FACE_OUTER_BOUND('',#57045,.T.); #35729=FACE_OUTER_BOUND('',#57046,.T.); #35730=FACE_OUTER_BOUND('',#57047,.T.); #35731=FACE_OUTER_BOUND('',#57048,.T.); #35732=FACE_OUTER_BOUND('',#57049,.T.); #35733=FACE_OUTER_BOUND('',#57050,.T.); #35734=FACE_OUTER_BOUND('',#57051,.T.); #35735=FACE_OUTER_BOUND('',#57052,.T.); #35736=FACE_OUTER_BOUND('',#57053,.T.); #35737=FACE_OUTER_BOUND('',#57054,.T.); #35738=FACE_OUTER_BOUND('',#57055,.T.); #35739=FACE_OUTER_BOUND('',#57056,.T.); #35740=FACE_OUTER_BOUND('',#57057,.T.); #35741=FACE_OUTER_BOUND('',#57058,.T.); #35742=FACE_OUTER_BOUND('',#57059,.T.); #35743=FACE_OUTER_BOUND('',#57060,.T.); #35744=FACE_OUTER_BOUND('',#57061,.T.); #35745=FACE_OUTER_BOUND('',#57062,.T.); #35746=FACE_OUTER_BOUND('',#57063,.T.); #35747=FACE_OUTER_BOUND('',#57064,.T.); #35748=FACE_OUTER_BOUND('',#57065,.T.); #35749=FACE_OUTER_BOUND('',#57066,.T.); #35750=FACE_OUTER_BOUND('',#57399,.T.); #35751=FACE_OUTER_BOUND('',#57732,.T.); #35752=FACE_OUTER_BOUND('',#57733,.T.); #35753=FACE_OUTER_BOUND('',#57734,.T.); #35754=FACE_OUTER_BOUND('',#57735,.T.); #35755=FACE_OUTER_BOUND('',#57736,.T.); #35756=FACE_OUTER_BOUND('',#57737,.T.); #35757=FACE_OUTER_BOUND('',#57738,.T.); #35758=FACE_OUTER_BOUND('',#57743,.T.); #35759=FACE_OUTER_BOUND('',#57745,.T.); #35760=FACE_OUTER_BOUND('',#57747,.T.); #35761=FACE_OUTER_BOUND('',#57749,.T.); #35762=FACE_OUTER_BOUND('',#57750,.T.); #35763=FACE_OUTER_BOUND('',#57751,.T.); #35764=FACE_OUTER_BOUND('',#57753,.T.); #35765=FACE_OUTER_BOUND('',#57754,.T.); #35766=FACE_OUTER_BOUND('',#57755,.T.); #35767=FACE_OUTER_BOUND('',#57756,.T.); #35768=FACE_OUTER_BOUND('',#57757,.T.); #35769=FACE_OUTER_BOUND('',#57758,.T.); #35770=FACE_OUTER_BOUND('',#57759,.T.); #35771=FACE_OUTER_BOUND('',#57760,.T.); #35772=FACE_OUTER_BOUND('',#57761,.T.); #35773=FACE_OUTER_BOUND('',#57762,.T.); #35774=FACE_OUTER_BOUND('',#57763,.T.); #35775=FACE_OUTER_BOUND('',#57764,.T.); #35776=FACE_OUTER_BOUND('',#57765,.T.); #35777=FACE_OUTER_BOUND('',#57766,.T.); #35778=FACE_OUTER_BOUND('',#57767,.T.); #35779=FACE_OUTER_BOUND('',#57768,.T.); #35780=FACE_OUTER_BOUND('',#57769,.T.); #35781=FACE_OUTER_BOUND('',#57770,.T.); #35782=FACE_OUTER_BOUND('',#57771,.T.); #35783=FACE_OUTER_BOUND('',#57772,.T.); #35784=FACE_OUTER_BOUND('',#57773,.T.); #35785=FACE_OUTER_BOUND('',#57774,.T.); #35786=FACE_OUTER_BOUND('',#57775,.T.); #35787=FACE_OUTER_BOUND('',#57776,.T.); #35788=FACE_OUTER_BOUND('',#57777,.T.); #35789=FACE_OUTER_BOUND('',#57778,.T.); #35790=FACE_OUTER_BOUND('',#57779,.T.); #35791=FACE_OUTER_BOUND('',#57780,.T.); #35792=FACE_OUTER_BOUND('',#57781,.T.); #35793=FACE_OUTER_BOUND('',#57782,.T.); #35794=FACE_OUTER_BOUND('',#57783,.T.); #35795=FACE_OUTER_BOUND('',#57784,.T.); #35796=FACE_OUTER_BOUND('',#57785,.T.); #35797=FACE_OUTER_BOUND('',#57786,.T.); #35798=FACE_OUTER_BOUND('',#57787,.T.); #35799=FACE_OUTER_BOUND('',#57788,.T.); #35800=FACE_OUTER_BOUND('',#57789,.T.); #35801=FACE_OUTER_BOUND('',#57790,.T.); #35802=FACE_OUTER_BOUND('',#57791,.T.); #35803=FACE_OUTER_BOUND('',#57792,.T.); #35804=FACE_OUTER_BOUND('',#57793,.T.); #35805=FACE_OUTER_BOUND('',#57794,.T.); #35806=FACE_OUTER_BOUND('',#57795,.T.); #35807=FACE_OUTER_BOUND('',#57796,.T.); #35808=FACE_OUTER_BOUND('',#57797,.T.); #35809=FACE_OUTER_BOUND('',#57798,.T.); #35810=FACE_OUTER_BOUND('',#57799,.T.); #35811=FACE_OUTER_BOUND('',#57800,.T.); #35812=FACE_OUTER_BOUND('',#57801,.T.); #35813=FACE_OUTER_BOUND('',#57802,.T.); #35814=FACE_OUTER_BOUND('',#57803,.T.); #35815=FACE_OUTER_BOUND('',#57804,.T.); #35816=FACE_OUTER_BOUND('',#57805,.T.); #35817=FACE_OUTER_BOUND('',#57806,.T.); #35818=FACE_OUTER_BOUND('',#57807,.T.); #35819=FACE_OUTER_BOUND('',#57808,.T.); #35820=FACE_OUTER_BOUND('',#57809,.T.); #35821=FACE_OUTER_BOUND('',#57810,.T.); #35822=FACE_OUTER_BOUND('',#57811,.T.); #35823=FACE_OUTER_BOUND('',#57812,.T.); #35824=FACE_OUTER_BOUND('',#57813,.T.); #35825=FACE_OUTER_BOUND('',#57814,.T.); #35826=FACE_OUTER_BOUND('',#57815,.T.); #35827=FACE_OUTER_BOUND('',#57816,.T.); #35828=FACE_OUTER_BOUND('',#57817,.T.); #35829=FACE_OUTER_BOUND('',#57818,.T.); #35830=FACE_OUTER_BOUND('',#57819,.T.); #35831=FACE_OUTER_BOUND('',#57820,.T.); #35832=FACE_OUTER_BOUND('',#57821,.T.); #35833=FACE_OUTER_BOUND('',#57822,.T.); #35834=FACE_OUTER_BOUND('',#57823,.T.); #35835=FACE_OUTER_BOUND('',#57824,.T.); #35836=FACE_OUTER_BOUND('',#57825,.T.); #35837=FACE_OUTER_BOUND('',#57826,.T.); #35838=FACE_OUTER_BOUND('',#57827,.T.); #35839=FACE_OUTER_BOUND('',#57828,.T.); #35840=FACE_OUTER_BOUND('',#57829,.T.); #35841=FACE_OUTER_BOUND('',#57830,.T.); #35842=FACE_OUTER_BOUND('',#57831,.T.); #35843=FACE_OUTER_BOUND('',#57832,.T.); #35844=FACE_OUTER_BOUND('',#57833,.T.); #35845=FACE_OUTER_BOUND('',#57834,.T.); #35846=FACE_OUTER_BOUND('',#57835,.T.); #35847=FACE_OUTER_BOUND('',#57836,.T.); #35848=FACE_OUTER_BOUND('',#57837,.T.); #35849=FACE_OUTER_BOUND('',#57838,.T.); #35850=FACE_OUTER_BOUND('',#57839,.T.); #35851=FACE_OUTER_BOUND('',#57840,.T.); #35852=FACE_OUTER_BOUND('',#57841,.T.); #35853=FACE_OUTER_BOUND('',#57842,.T.); #35854=FACE_OUTER_BOUND('',#57843,.T.); #35855=FACE_OUTER_BOUND('',#57844,.T.); #35856=FACE_OUTER_BOUND('',#57845,.T.); #35857=FACE_OUTER_BOUND('',#57846,.T.); #35858=FACE_OUTER_BOUND('',#57847,.T.); #35859=FACE_OUTER_BOUND('',#57848,.T.); #35860=FACE_OUTER_BOUND('',#57849,.T.); #35861=FACE_OUTER_BOUND('',#57850,.T.); #35862=FACE_OUTER_BOUND('',#57851,.T.); #35863=FACE_OUTER_BOUND('',#57852,.T.); #35864=FACE_OUTER_BOUND('',#57853,.T.); #35865=FACE_OUTER_BOUND('',#57854,.T.); #35866=FACE_OUTER_BOUND('',#57855,.T.); #35867=FACE_OUTER_BOUND('',#57856,.T.); #35868=FACE_OUTER_BOUND('',#57857,.T.); #35869=FACE_OUTER_BOUND('',#57858,.T.); #35870=FACE_OUTER_BOUND('',#57859,.T.); #35871=FACE_OUTER_BOUND('',#57860,.T.); #35872=FACE_OUTER_BOUND('',#57861,.T.); #35873=FACE_OUTER_BOUND('',#57862,.T.); #35874=FACE_OUTER_BOUND('',#57863,.T.); #35875=FACE_OUTER_BOUND('',#57864,.T.); #35876=FACE_OUTER_BOUND('',#57865,.T.); #35877=FACE_OUTER_BOUND('',#57866,.T.); #35878=FACE_OUTER_BOUND('',#57867,.T.); #35879=FACE_OUTER_BOUND('',#57868,.T.); #35880=FACE_OUTER_BOUND('',#57869,.T.); #35881=FACE_OUTER_BOUND('',#57870,.T.); #35882=FACE_OUTER_BOUND('',#57871,.T.); #35883=FACE_OUTER_BOUND('',#57872,.T.); #35884=FACE_OUTER_BOUND('',#57873,.T.); #35885=FACE_OUTER_BOUND('',#57874,.T.); #35886=FACE_OUTER_BOUND('',#57875,.T.); #35887=FACE_OUTER_BOUND('',#57876,.T.); #35888=FACE_OUTER_BOUND('',#57877,.T.); #35889=FACE_OUTER_BOUND('',#57878,.T.); #35890=FACE_OUTER_BOUND('',#57879,.T.); #35891=FACE_OUTER_BOUND('',#57880,.T.); #35892=FACE_OUTER_BOUND('',#57881,.T.); #35893=FACE_OUTER_BOUND('',#57882,.T.); #35894=FACE_OUTER_BOUND('',#57883,.T.); #35895=FACE_OUTER_BOUND('',#57884,.T.); #35896=FACE_OUTER_BOUND('',#57885,.T.); #35897=FACE_OUTER_BOUND('',#57886,.T.); #35898=FACE_OUTER_BOUND('',#57887,.T.); #35899=FACE_OUTER_BOUND('',#57888,.T.); #35900=FACE_OUTER_BOUND('',#57889,.T.); #35901=FACE_OUTER_BOUND('',#57890,.T.); #35902=FACE_OUTER_BOUND('',#57891,.T.); #35903=FACE_OUTER_BOUND('',#57892,.T.); #35904=FACE_OUTER_BOUND('',#57893,.T.); #35905=FACE_OUTER_BOUND('',#57894,.T.); #35906=FACE_OUTER_BOUND('',#57895,.T.); #35907=FACE_OUTER_BOUND('',#57896,.T.); #35908=FACE_OUTER_BOUND('',#57897,.T.); #35909=FACE_OUTER_BOUND('',#57898,.T.); #35910=FACE_OUTER_BOUND('',#57899,.T.); #35911=FACE_OUTER_BOUND('',#57900,.T.); #35912=FACE_OUTER_BOUND('',#57901,.T.); #35913=FACE_OUTER_BOUND('',#57902,.T.); #35914=FACE_OUTER_BOUND('',#57903,.T.); #35915=FACE_OUTER_BOUND('',#57904,.T.); #35916=FACE_OUTER_BOUND('',#57905,.T.); #35917=FACE_OUTER_BOUND('',#57906,.T.); #35918=FACE_OUTER_BOUND('',#57907,.T.); #35919=FACE_OUTER_BOUND('',#57908,.T.); #35920=FACE_OUTER_BOUND('',#57909,.T.); #35921=FACE_OUTER_BOUND('',#57910,.T.); #35922=FACE_OUTER_BOUND('',#57911,.T.); #35923=FACE_OUTER_BOUND('',#57912,.T.); #35924=FACE_OUTER_BOUND('',#57913,.T.); #35925=FACE_OUTER_BOUND('',#57914,.T.); #35926=FACE_OUTER_BOUND('',#57915,.T.); #35927=FACE_OUTER_BOUND('',#57916,.T.); #35928=FACE_OUTER_BOUND('',#57917,.T.); #35929=FACE_OUTER_BOUND('',#57918,.T.); #35930=FACE_OUTER_BOUND('',#57919,.T.); #35931=FACE_OUTER_BOUND('',#57920,.T.); #35932=FACE_OUTER_BOUND('',#57921,.T.); #35933=FACE_OUTER_BOUND('',#57922,.T.); #35934=FACE_OUTER_BOUND('',#57923,.T.); #35935=FACE_OUTER_BOUND('',#57924,.T.); #35936=FACE_OUTER_BOUND('',#57925,.T.); #35937=FACE_OUTER_BOUND('',#57926,.T.); #35938=FACE_OUTER_BOUND('',#57927,.T.); #35939=FACE_OUTER_BOUND('',#57928,.T.); #35940=FACE_OUTER_BOUND('',#57929,.T.); #35941=FACE_OUTER_BOUND('',#57930,.T.); #35942=FACE_OUTER_BOUND('',#57931,.T.); #35943=FACE_OUTER_BOUND('',#57932,.T.); #35944=FACE_OUTER_BOUND('',#57933,.T.); #35945=FACE_OUTER_BOUND('',#57934,.T.); #35946=FACE_OUTER_BOUND('',#57935,.T.); #35947=FACE_OUTER_BOUND('',#57936,.T.); #35948=FACE_OUTER_BOUND('',#57937,.T.); #35949=FACE_OUTER_BOUND('',#57938,.T.); #35950=FACE_OUTER_BOUND('',#57939,.T.); #35951=FACE_OUTER_BOUND('',#57940,.T.); #35952=FACE_OUTER_BOUND('',#57941,.T.); #35953=FACE_OUTER_BOUND('',#57942,.T.); #35954=FACE_OUTER_BOUND('',#57943,.T.); #35955=FACE_OUTER_BOUND('',#57944,.T.); #35956=FACE_OUTER_BOUND('',#57945,.T.); #35957=FACE_OUTER_BOUND('',#57946,.T.); #35958=FACE_OUTER_BOUND('',#57947,.T.); #35959=FACE_OUTER_BOUND('',#57948,.T.); #35960=FACE_OUTER_BOUND('',#57949,.T.); #35961=FACE_OUTER_BOUND('',#57950,.T.); #35962=FACE_OUTER_BOUND('',#57951,.T.); #35963=FACE_OUTER_BOUND('',#57952,.T.); #35964=FACE_OUTER_BOUND('',#57953,.T.); #35965=FACE_OUTER_BOUND('',#57954,.T.); #35966=FACE_OUTER_BOUND('',#57955,.T.); #35967=FACE_OUTER_BOUND('',#57956,.T.); #35968=FACE_OUTER_BOUND('',#57957,.T.); #35969=FACE_OUTER_BOUND('',#57958,.T.); #35970=FACE_OUTER_BOUND('',#57959,.T.); #35971=FACE_OUTER_BOUND('',#57960,.T.); #35972=FACE_OUTER_BOUND('',#57961,.T.); #35973=FACE_OUTER_BOUND('',#57962,.T.); #35974=FACE_OUTER_BOUND('',#57963,.T.); #35975=FACE_OUTER_BOUND('',#57964,.T.); #35976=FACE_OUTER_BOUND('',#57965,.T.); #35977=FACE_OUTER_BOUND('',#57966,.T.); #35978=FACE_OUTER_BOUND('',#57967,.T.); #35979=FACE_OUTER_BOUND('',#57968,.T.); #35980=FACE_OUTER_BOUND('',#57969,.T.); #35981=FACE_OUTER_BOUND('',#57970,.T.); #35982=FACE_OUTER_BOUND('',#57971,.T.); #35983=FACE_OUTER_BOUND('',#57972,.T.); #35984=FACE_OUTER_BOUND('',#57973,.T.); #35985=FACE_OUTER_BOUND('',#57974,.T.); #35986=FACE_OUTER_BOUND('',#57975,.T.); #35987=FACE_OUTER_BOUND('',#57976,.T.); #35988=FACE_OUTER_BOUND('',#57977,.T.); #35989=FACE_OUTER_BOUND('',#57978,.T.); #35990=FACE_OUTER_BOUND('',#57979,.T.); #35991=FACE_OUTER_BOUND('',#57980,.T.); #35992=FACE_OUTER_BOUND('',#57981,.T.); #35993=FACE_OUTER_BOUND('',#57982,.T.); #35994=FACE_OUTER_BOUND('',#57983,.T.); #35995=FACE_OUTER_BOUND('',#57984,.T.); #35996=FACE_OUTER_BOUND('',#57985,.T.); #35997=FACE_OUTER_BOUND('',#57986,.T.); #35998=FACE_OUTER_BOUND('',#57987,.T.); #35999=FACE_OUTER_BOUND('',#57988,.T.); #36000=FACE_OUTER_BOUND('',#57989,.T.); #36001=FACE_OUTER_BOUND('',#57990,.T.); #36002=FACE_OUTER_BOUND('',#57991,.T.); #36003=FACE_OUTER_BOUND('',#57992,.T.); #36004=FACE_OUTER_BOUND('',#57993,.T.); #36005=FACE_OUTER_BOUND('',#57994,.T.); #36006=FACE_OUTER_BOUND('',#57995,.T.); #36007=FACE_OUTER_BOUND('',#57996,.T.); #36008=FACE_OUTER_BOUND('',#57997,.T.); #36009=FACE_OUTER_BOUND('',#57998,.T.); #36010=FACE_OUTER_BOUND('',#57999,.T.); #36011=FACE_OUTER_BOUND('',#58000,.T.); #36012=FACE_OUTER_BOUND('',#58001,.T.); #36013=FACE_OUTER_BOUND('',#58002,.T.); #36014=FACE_OUTER_BOUND('',#58003,.T.); #36015=FACE_OUTER_BOUND('',#58004,.T.); #36016=FACE_OUTER_BOUND('',#58005,.T.); #36017=FACE_OUTER_BOUND('',#58006,.T.); #36018=FACE_OUTER_BOUND('',#58007,.T.); #36019=FACE_OUTER_BOUND('',#58008,.T.); #36020=FACE_OUTER_BOUND('',#58009,.T.); #36021=FACE_OUTER_BOUND('',#58010,.T.); #36022=FACE_OUTER_BOUND('',#58011,.T.); #36023=FACE_OUTER_BOUND('',#58012,.T.); #36024=FACE_OUTER_BOUND('',#58013,.T.); #36025=FACE_OUTER_BOUND('',#58014,.T.); #36026=FACE_OUTER_BOUND('',#58015,.T.); #36027=FACE_OUTER_BOUND('',#58016,.T.); #36028=FACE_OUTER_BOUND('',#58018,.T.); #36029=FACE_OUTER_BOUND('',#58019,.T.); #36030=FACE_OUTER_BOUND('',#58020,.T.); #36031=FACE_OUTER_BOUND('',#58021,.T.); #36032=FACE_OUTER_BOUND('',#58022,.T.); #36033=FACE_OUTER_BOUND('',#58023,.T.); #36034=FACE_OUTER_BOUND('',#58024,.T.); #36035=FACE_OUTER_BOUND('',#58025,.T.); #36036=FACE_OUTER_BOUND('',#58026,.T.); #36037=FACE_OUTER_BOUND('',#58027,.T.); #36038=FACE_OUTER_BOUND('',#58028,.T.); #36039=FACE_OUTER_BOUND('',#58029,.T.); #36040=FACE_OUTER_BOUND('',#58030,.T.); #36041=FACE_OUTER_BOUND('',#58031,.T.); #36042=FACE_OUTER_BOUND('',#58032,.T.); #36043=FACE_OUTER_BOUND('',#58033,.T.); #36044=FACE_OUTER_BOUND('',#58034,.T.); #36045=FACE_OUTER_BOUND('',#58035,.T.); #36046=FACE_OUTER_BOUND('',#58036,.T.); #36047=FACE_OUTER_BOUND('',#58037,.T.); #36048=FACE_OUTER_BOUND('',#58038,.T.); #36049=FACE_OUTER_BOUND('',#58039,.T.); #36050=FACE_OUTER_BOUND('',#58040,.T.); #36051=FACE_OUTER_BOUND('',#58041,.T.); #36052=FACE_OUTER_BOUND('',#58042,.T.); #36053=FACE_OUTER_BOUND('',#58043,.T.); #36054=FACE_OUTER_BOUND('',#58044,.T.); #36055=FACE_OUTER_BOUND('',#58045,.T.); #36056=FACE_OUTER_BOUND('',#58046,.T.); #36057=FACE_OUTER_BOUND('',#58047,.T.); #36058=FACE_OUTER_BOUND('',#58048,.T.); #36059=FACE_OUTER_BOUND('',#58049,.T.); #36060=FACE_OUTER_BOUND('',#58050,.T.); #36061=FACE_OUTER_BOUND('',#58051,.T.); #36062=FACE_OUTER_BOUND('',#58052,.T.); #36063=FACE_OUTER_BOUND('',#58053,.T.); #36064=FACE_OUTER_BOUND('',#58054,.T.); #36065=FACE_OUTER_BOUND('',#58055,.T.); #36066=FACE_OUTER_BOUND('',#58056,.T.); #36067=FACE_OUTER_BOUND('',#58057,.T.); #36068=FACE_OUTER_BOUND('',#58058,.T.); #36069=FACE_OUTER_BOUND('',#58059,.T.); #36070=FACE_OUTER_BOUND('',#58060,.T.); #36071=FACE_OUTER_BOUND('',#58061,.T.); #36072=FACE_OUTER_BOUND('',#58062,.T.); #36073=FACE_OUTER_BOUND('',#58063,.T.); #36074=FACE_OUTER_BOUND('',#58064,.T.); #36075=FACE_OUTER_BOUND('',#58065,.T.); #36076=FACE_OUTER_BOUND('',#58066,.T.); #36077=FACE_OUTER_BOUND('',#58067,.T.); #36078=FACE_OUTER_BOUND('',#58068,.T.); #36079=FACE_OUTER_BOUND('',#58069,.T.); #36080=FACE_OUTER_BOUND('',#58070,.T.); #36081=FACE_OUTER_BOUND('',#58071,.T.); #36082=FACE_OUTER_BOUND('',#58072,.T.); #36083=FACE_OUTER_BOUND('',#58075,.T.); #36084=FACE_OUTER_BOUND('',#58076,.T.); #36085=FACE_OUTER_BOUND('',#58077,.T.); #36086=FACE_OUTER_BOUND('',#58078,.T.); #36087=FACE_OUTER_BOUND('',#58079,.T.); #36088=FACE_OUTER_BOUND('',#58080,.T.); #36089=FACE_OUTER_BOUND('',#58081,.T.); #36090=FACE_OUTER_BOUND('',#58082,.T.); #36091=FACE_OUTER_BOUND('',#58083,.T.); #36092=FACE_OUTER_BOUND('',#58084,.T.); #36093=FACE_OUTER_BOUND('',#58085,.T.); #36094=FACE_OUTER_BOUND('',#58086,.T.); #36095=FACE_OUTER_BOUND('',#58087,.T.); #36096=FACE_OUTER_BOUND('',#58088,.T.); #36097=FACE_OUTER_BOUND('',#58089,.T.); #36098=FACE_OUTER_BOUND('',#58090,.T.); #36099=FACE_OUTER_BOUND('',#58091,.T.); #36100=FACE_OUTER_BOUND('',#58092,.T.); #36101=FACE_OUTER_BOUND('',#58093,.T.); #36102=FACE_OUTER_BOUND('',#58094,.T.); #36103=FACE_OUTER_BOUND('',#58095,.T.); #36104=FACE_OUTER_BOUND('',#58096,.T.); #36105=FACE_OUTER_BOUND('',#58097,.T.); #36106=FACE_OUTER_BOUND('',#58098,.T.); #36107=FACE_OUTER_BOUND('',#58099,.T.); #36108=FACE_OUTER_BOUND('',#58100,.T.); #36109=FACE_OUTER_BOUND('',#58101,.T.); #36110=FACE_OUTER_BOUND('',#58102,.T.); #36111=FACE_OUTER_BOUND('',#58103,.T.); #36112=FACE_OUTER_BOUND('',#58104,.T.); #36113=FACE_OUTER_BOUND('',#58105,.T.); #36114=FACE_OUTER_BOUND('',#58106,.T.); #36115=FACE_OUTER_BOUND('',#58107,.T.); #36116=FACE_OUTER_BOUND('',#58108,.T.); #36117=FACE_OUTER_BOUND('',#58109,.T.); #36118=FACE_OUTER_BOUND('',#58110,.T.); #36119=FACE_OUTER_BOUND('',#58111,.T.); #36120=FACE_OUTER_BOUND('',#58112,.T.); #36121=FACE_OUTER_BOUND('',#58113,.T.); #36122=FACE_OUTER_BOUND('',#58114,.T.); #36123=FACE_OUTER_BOUND('',#58115,.T.); #36124=FACE_OUTER_BOUND('',#58116,.T.); #36125=FACE_OUTER_BOUND('',#58117,.T.); #36126=FACE_OUTER_BOUND('',#58118,.T.); #36127=FACE_OUTER_BOUND('',#58119,.T.); #36128=FACE_OUTER_BOUND('',#58120,.T.); #36129=FACE_OUTER_BOUND('',#58121,.T.); #36130=FACE_OUTER_BOUND('',#58122,.T.); #36131=FACE_OUTER_BOUND('',#58123,.T.); #36132=FACE_OUTER_BOUND('',#58124,.T.); #36133=FACE_OUTER_BOUND('',#58125,.T.); #36134=FACE_OUTER_BOUND('',#58126,.T.); #36135=FACE_OUTER_BOUND('',#58127,.T.); #36136=FACE_OUTER_BOUND('',#58128,.T.); #36137=FACE_OUTER_BOUND('',#58129,.T.); #36138=FACE_OUTER_BOUND('',#58130,.T.); #36139=FACE_OUTER_BOUND('',#58131,.T.); #36140=FACE_OUTER_BOUND('',#58132,.T.); #36141=FACE_OUTER_BOUND('',#58133,.T.); #36142=FACE_OUTER_BOUND('',#58134,.T.); #36143=FACE_OUTER_BOUND('',#58135,.T.); #36144=FACE_OUTER_BOUND('',#58136,.T.); #36145=FACE_OUTER_BOUND('',#58137,.T.); #36146=FACE_OUTER_BOUND('',#58138,.T.); #36147=FACE_OUTER_BOUND('',#58139,.T.); #36148=FACE_OUTER_BOUND('',#58140,.T.); #36149=FACE_OUTER_BOUND('',#58141,.T.); #36150=FACE_OUTER_BOUND('',#58142,.T.); #36151=FACE_OUTER_BOUND('',#58143,.T.); #36152=FACE_OUTER_BOUND('',#58144,.T.); #36153=FACE_OUTER_BOUND('',#58145,.T.); #36154=FACE_OUTER_BOUND('',#58146,.T.); #36155=FACE_OUTER_BOUND('',#58147,.T.); #36156=FACE_OUTER_BOUND('',#58148,.T.); #36157=FACE_OUTER_BOUND('',#58149,.T.); #36158=FACE_OUTER_BOUND('',#58150,.T.); #36159=FACE_OUTER_BOUND('',#58151,.T.); #36160=FACE_OUTER_BOUND('',#58152,.T.); #36161=FACE_OUTER_BOUND('',#58153,.T.); #36162=FACE_OUTER_BOUND('',#58154,.T.); #36163=FACE_OUTER_BOUND('',#58155,.T.); #36164=FACE_OUTER_BOUND('',#58156,.T.); #36165=FACE_OUTER_BOUND('',#58157,.T.); #36166=FACE_OUTER_BOUND('',#58158,.T.); #36167=FACE_OUTER_BOUND('',#58159,.T.); #36168=FACE_OUTER_BOUND('',#58160,.T.); #36169=FACE_OUTER_BOUND('',#58161,.T.); #36170=FACE_OUTER_BOUND('',#58162,.T.); #36171=FACE_OUTER_BOUND('',#58163,.T.); #36172=FACE_OUTER_BOUND('',#58164,.T.); #36173=FACE_OUTER_BOUND('',#58165,.T.); #36174=FACE_OUTER_BOUND('',#58166,.T.); #36175=FACE_OUTER_BOUND('',#58167,.T.); #36176=FACE_OUTER_BOUND('',#58168,.T.); #36177=FACE_OUTER_BOUND('',#58169,.T.); #36178=FACE_OUTER_BOUND('',#58170,.T.); #36179=FACE_OUTER_BOUND('',#58171,.T.); #36180=FACE_OUTER_BOUND('',#58172,.T.); #36181=FACE_OUTER_BOUND('',#58173,.T.); #36182=FACE_OUTER_BOUND('',#58174,.T.); #36183=FACE_OUTER_BOUND('',#58175,.T.); #36184=FACE_OUTER_BOUND('',#58176,.T.); #36185=FACE_OUTER_BOUND('',#58177,.T.); #36186=FACE_OUTER_BOUND('',#58178,.T.); #36187=FACE_OUTER_BOUND('',#58179,.T.); #36188=FACE_OUTER_BOUND('',#58180,.T.); #36189=FACE_OUTER_BOUND('',#58181,.T.); #36190=FACE_OUTER_BOUND('',#58182,.T.); #36191=FACE_OUTER_BOUND('',#58183,.T.); #36192=FACE_OUTER_BOUND('',#58184,.T.); #36193=FACE_OUTER_BOUND('',#58185,.T.); #36194=FACE_OUTER_BOUND('',#58186,.T.); #36195=FACE_OUTER_BOUND('',#58187,.T.); #36196=FACE_OUTER_BOUND('',#58188,.T.); #36197=FACE_OUTER_BOUND('',#58189,.T.); #36198=FACE_OUTER_BOUND('',#58190,.T.); #36199=FACE_OUTER_BOUND('',#58191,.T.); #36200=FACE_OUTER_BOUND('',#58192,.T.); #36201=FACE_OUTER_BOUND('',#58193,.T.); #36202=FACE_OUTER_BOUND('',#58194,.T.); #36203=FACE_OUTER_BOUND('',#58195,.T.); #36204=FACE_OUTER_BOUND('',#58196,.T.); #36205=FACE_OUTER_BOUND('',#58197,.T.); #36206=FACE_OUTER_BOUND('',#58198,.T.); #36207=FACE_OUTER_BOUND('',#58199,.T.); #36208=FACE_OUTER_BOUND('',#58200,.T.); #36209=FACE_OUTER_BOUND('',#58201,.T.); #36210=FACE_OUTER_BOUND('',#58202,.T.); #36211=FACE_OUTER_BOUND('',#58203,.T.); #36212=FACE_OUTER_BOUND('',#58204,.T.); #36213=FACE_OUTER_BOUND('',#58205,.T.); #36214=FACE_OUTER_BOUND('',#58206,.T.); #36215=FACE_OUTER_BOUND('',#58207,.T.); #36216=FACE_OUTER_BOUND('',#58208,.T.); #36217=FACE_OUTER_BOUND('',#58209,.T.); #36218=FACE_OUTER_BOUND('',#58210,.T.); #36219=FACE_OUTER_BOUND('',#58211,.T.); #36220=FACE_OUTER_BOUND('',#58212,.T.); #36221=FACE_OUTER_BOUND('',#58213,.T.); #36222=FACE_OUTER_BOUND('',#58214,.T.); #36223=FACE_OUTER_BOUND('',#58215,.T.); #36224=FACE_OUTER_BOUND('',#58216,.T.); #36225=FACE_OUTER_BOUND('',#58217,.T.); #36226=FACE_OUTER_BOUND('',#58218,.T.); #36227=FACE_OUTER_BOUND('',#58219,.T.); #36228=FACE_OUTER_BOUND('',#58220,.T.); #36229=FACE_OUTER_BOUND('',#58221,.T.); #36230=FACE_OUTER_BOUND('',#58222,.T.); #36231=FACE_OUTER_BOUND('',#58223,.T.); #36232=FACE_OUTER_BOUND('',#58224,.T.); #36233=FACE_OUTER_BOUND('',#58225,.T.); #36234=FACE_OUTER_BOUND('',#58226,.T.); #36235=FACE_OUTER_BOUND('',#58227,.T.); #36236=FACE_OUTER_BOUND('',#58228,.T.); #36237=FACE_OUTER_BOUND('',#58229,.T.); #36238=FACE_OUTER_BOUND('',#58230,.T.); #36239=FACE_OUTER_BOUND('',#58231,.T.); #36240=FACE_OUTER_BOUND('',#58232,.T.); #36241=FACE_OUTER_BOUND('',#58233,.T.); #36242=FACE_OUTER_BOUND('',#58234,.T.); #36243=FACE_OUTER_BOUND('',#58235,.T.); #36244=FACE_OUTER_BOUND('',#58236,.T.); #36245=FACE_OUTER_BOUND('',#58237,.T.); #36246=FACE_OUTER_BOUND('',#58238,.T.); #36247=FACE_OUTER_BOUND('',#58239,.T.); #36248=FACE_OUTER_BOUND('',#58240,.T.); #36249=FACE_OUTER_BOUND('',#58241,.T.); #36250=FACE_OUTER_BOUND('',#58242,.T.); #36251=FACE_OUTER_BOUND('',#58243,.T.); #36252=FACE_OUTER_BOUND('',#58244,.T.); #36253=FACE_OUTER_BOUND('',#58245,.T.); #36254=FACE_OUTER_BOUND('',#58246,.T.); #36255=FACE_OUTER_BOUND('',#58247,.T.); #36256=FACE_OUTER_BOUND('',#58248,.T.); #36257=FACE_OUTER_BOUND('',#58249,.T.); #36258=FACE_OUTER_BOUND('',#58250,.T.); #36259=FACE_OUTER_BOUND('',#58251,.T.); #36260=FACE_OUTER_BOUND('',#58252,.T.); #36261=FACE_OUTER_BOUND('',#58253,.T.); #36262=FACE_OUTER_BOUND('',#58254,.T.); #36263=FACE_OUTER_BOUND('',#58255,.T.); #36264=FACE_OUTER_BOUND('',#58256,.T.); #36265=FACE_OUTER_BOUND('',#58257,.T.); #36266=FACE_OUTER_BOUND('',#58258,.T.); #36267=FACE_OUTER_BOUND('',#58259,.T.); #36268=FACE_OUTER_BOUND('',#58260,.T.); #36269=FACE_OUTER_BOUND('',#58261,.T.); #36270=FACE_OUTER_BOUND('',#58262,.T.); #36271=FACE_OUTER_BOUND('',#58263,.T.); #36272=FACE_OUTER_BOUND('',#58264,.T.); #36273=FACE_OUTER_BOUND('',#58265,.T.); #36274=FACE_OUTER_BOUND('',#58266,.T.); #36275=FACE_OUTER_BOUND('',#58267,.T.); #36276=FACE_OUTER_BOUND('',#58268,.T.); #36277=FACE_OUTER_BOUND('',#58269,.T.); #36278=FACE_OUTER_BOUND('',#58270,.T.); #36279=FACE_OUTER_BOUND('',#58271,.T.); #36280=FACE_OUTER_BOUND('',#58272,.T.); #36281=FACE_OUTER_BOUND('',#58273,.T.); #36282=FACE_OUTER_BOUND('',#58274,.T.); #36283=FACE_OUTER_BOUND('',#58275,.T.); #36284=FACE_OUTER_BOUND('',#58276,.T.); #36285=FACE_OUTER_BOUND('',#58277,.T.); #36286=FACE_OUTER_BOUND('',#58278,.T.); #36287=FACE_OUTER_BOUND('',#58279,.T.); #36288=FACE_OUTER_BOUND('',#58280,.T.); #36289=FACE_OUTER_BOUND('',#58281,.T.); #36290=FACE_OUTER_BOUND('',#58282,.T.); #36291=FACE_OUTER_BOUND('',#58283,.T.); #36292=FACE_OUTER_BOUND('',#58284,.T.); #36293=FACE_OUTER_BOUND('',#58285,.T.); #36294=FACE_OUTER_BOUND('',#58286,.T.); #36295=FACE_OUTER_BOUND('',#58287,.T.); #36296=FACE_OUTER_BOUND('',#58288,.T.); #36297=FACE_OUTER_BOUND('',#58289,.T.); #36298=FACE_OUTER_BOUND('',#58290,.T.); #36299=FACE_OUTER_BOUND('',#58291,.T.); #36300=FACE_OUTER_BOUND('',#58292,.T.); #36301=FACE_OUTER_BOUND('',#58293,.T.); #36302=FACE_OUTER_BOUND('',#58294,.T.); #36303=FACE_OUTER_BOUND('',#58295,.T.); #36304=FACE_OUTER_BOUND('',#58296,.T.); #36305=FACE_OUTER_BOUND('',#58297,.T.); #36306=FACE_OUTER_BOUND('',#58298,.T.); #36307=FACE_OUTER_BOUND('',#58299,.T.); #36308=FACE_OUTER_BOUND('',#58300,.T.); #36309=FACE_OUTER_BOUND('',#58301,.T.); #36310=FACE_OUTER_BOUND('',#58302,.T.); #36311=FACE_OUTER_BOUND('',#58303,.T.); #36312=FACE_OUTER_BOUND('',#58304,.T.); #36313=FACE_OUTER_BOUND('',#58305,.T.); #36314=FACE_OUTER_BOUND('',#58306,.T.); #36315=FACE_OUTER_BOUND('',#58307,.T.); #36316=FACE_OUTER_BOUND('',#58308,.T.); #36317=FACE_OUTER_BOUND('',#58309,.T.); #36318=FACE_OUTER_BOUND('',#58310,.T.); #36319=FACE_OUTER_BOUND('',#58311,.T.); #36320=FACE_OUTER_BOUND('',#58312,.T.); #36321=FACE_OUTER_BOUND('',#58313,.T.); #36322=FACE_OUTER_BOUND('',#58314,.T.); #36323=FACE_OUTER_BOUND('',#58315,.T.); #36324=FACE_OUTER_BOUND('',#58316,.T.); #36325=FACE_OUTER_BOUND('',#58317,.T.); #36326=FACE_OUTER_BOUND('',#58318,.T.); #36327=FACE_OUTER_BOUND('',#58319,.T.); #36328=FACE_OUTER_BOUND('',#58320,.T.); #36329=FACE_OUTER_BOUND('',#58321,.T.); #36330=FACE_OUTER_BOUND('',#58322,.T.); #36331=FACE_OUTER_BOUND('',#58323,.T.); #36332=FACE_OUTER_BOUND('',#58324,.T.); #36333=FACE_OUTER_BOUND('',#58325,.T.); #36334=FACE_OUTER_BOUND('',#58326,.T.); #36335=FACE_OUTER_BOUND('',#58327,.T.); #36336=FACE_OUTER_BOUND('',#58328,.T.); #36337=FACE_OUTER_BOUND('',#58329,.T.); #36338=FACE_OUTER_BOUND('',#58330,.T.); #36339=FACE_OUTER_BOUND('',#58331,.T.); #36340=FACE_OUTER_BOUND('',#58332,.T.); #36341=FACE_OUTER_BOUND('',#58333,.T.); #36342=FACE_OUTER_BOUND('',#58334,.T.); #36343=FACE_OUTER_BOUND('',#58335,.T.); #36344=FACE_OUTER_BOUND('',#58336,.T.); #36345=FACE_OUTER_BOUND('',#58337,.T.); #36346=FACE_OUTER_BOUND('',#58338,.T.); #36347=FACE_OUTER_BOUND('',#58339,.T.); #36348=FACE_OUTER_BOUND('',#58340,.T.); #36349=FACE_OUTER_BOUND('',#58341,.T.); #36350=FACE_OUTER_BOUND('',#58342,.T.); #36351=FACE_OUTER_BOUND('',#58343,.T.); #36352=FACE_OUTER_BOUND('',#58344,.T.); #36353=FACE_OUTER_BOUND('',#58345,.T.); #36354=FACE_OUTER_BOUND('',#58346,.T.); #36355=FACE_OUTER_BOUND('',#58347,.T.); #36356=FACE_OUTER_BOUND('',#58348,.T.); #36357=FACE_OUTER_BOUND('',#58349,.T.); #36358=FACE_OUTER_BOUND('',#58350,.T.); #36359=FACE_OUTER_BOUND('',#58351,.T.); #36360=FACE_OUTER_BOUND('',#58352,.T.); #36361=FACE_OUTER_BOUND('',#58353,.T.); #36362=FACE_OUTER_BOUND('',#58354,.T.); #36363=FACE_OUTER_BOUND('',#58355,.T.); #36364=FACE_OUTER_BOUND('',#58356,.T.); #36365=FACE_OUTER_BOUND('',#58357,.T.); #36366=FACE_OUTER_BOUND('',#58358,.T.); #36367=FACE_OUTER_BOUND('',#58359,.T.); #36368=FACE_OUTER_BOUND('',#58360,.T.); #36369=FACE_OUTER_BOUND('',#58361,.T.); #36370=FACE_OUTER_BOUND('',#58362,.T.); #36371=FACE_OUTER_BOUND('',#58363,.T.); #36372=FACE_OUTER_BOUND('',#58364,.T.); #36373=FACE_OUTER_BOUND('',#58365,.T.); #36374=FACE_OUTER_BOUND('',#58366,.T.); #36375=FACE_OUTER_BOUND('',#58367,.T.); #36376=FACE_OUTER_BOUND('',#58368,.T.); #36377=FACE_OUTER_BOUND('',#58369,.T.); #36378=FACE_OUTER_BOUND('',#58370,.T.); #36379=FACE_OUTER_BOUND('',#58371,.T.); #36380=FACE_OUTER_BOUND('',#58372,.T.); #36381=FACE_OUTER_BOUND('',#58373,.T.); #36382=FACE_OUTER_BOUND('',#58374,.T.); #36383=FACE_OUTER_BOUND('',#58375,.T.); #36384=FACE_OUTER_BOUND('',#58376,.T.); #36385=FACE_OUTER_BOUND('',#58377,.T.); #36386=FACE_OUTER_BOUND('',#58378,.T.); #36387=FACE_OUTER_BOUND('',#58379,.T.); #36388=FACE_OUTER_BOUND('',#58380,.T.); #36389=FACE_OUTER_BOUND('',#58381,.T.); #36390=FACE_OUTER_BOUND('',#58382,.T.); #36391=FACE_OUTER_BOUND('',#58383,.T.); #36392=FACE_OUTER_BOUND('',#58384,.T.); #36393=FACE_OUTER_BOUND('',#58385,.T.); #36394=FACE_OUTER_BOUND('',#58386,.T.); #36395=FACE_OUTER_BOUND('',#58387,.T.); #36396=FACE_OUTER_BOUND('',#58388,.T.); #36397=FACE_OUTER_BOUND('',#58389,.T.); #36398=FACE_OUTER_BOUND('',#58390,.T.); #36399=FACE_OUTER_BOUND('',#58391,.T.); #36400=FACE_OUTER_BOUND('',#58392,.T.); #36401=FACE_OUTER_BOUND('',#58393,.T.); #36402=FACE_OUTER_BOUND('',#58394,.T.); #36403=FACE_OUTER_BOUND('',#58395,.T.); #36404=FACE_OUTER_BOUND('',#58396,.T.); #36405=FACE_OUTER_BOUND('',#58397,.T.); #36406=FACE_OUTER_BOUND('',#58398,.T.); #36407=FACE_OUTER_BOUND('',#58399,.T.); #36408=FACE_OUTER_BOUND('',#58400,.T.); #36409=FACE_OUTER_BOUND('',#58401,.T.); #36410=FACE_OUTER_BOUND('',#58402,.T.); #36411=FACE_OUTER_BOUND('',#58403,.T.); #36412=FACE_OUTER_BOUND('',#58404,.T.); #36413=FACE_OUTER_BOUND('',#58405,.T.); #36414=FACE_OUTER_BOUND('',#58406,.T.); #36415=FACE_OUTER_BOUND('',#58407,.T.); #36416=FACE_OUTER_BOUND('',#58408,.T.); #36417=FACE_OUTER_BOUND('',#58409,.T.); #36418=FACE_OUTER_BOUND('',#58410,.T.); #36419=FACE_OUTER_BOUND('',#58411,.T.); #36420=FACE_OUTER_BOUND('',#58412,.T.); #36421=FACE_OUTER_BOUND('',#58413,.T.); #36422=FACE_OUTER_BOUND('',#58414,.T.); #36423=FACE_OUTER_BOUND('',#58415,.T.); #36424=FACE_OUTER_BOUND('',#58416,.T.); #36425=FACE_OUTER_BOUND('',#58417,.T.); #36426=FACE_OUTER_BOUND('',#58418,.T.); #36427=FACE_OUTER_BOUND('',#58419,.T.); #36428=FACE_OUTER_BOUND('',#58420,.T.); #36429=FACE_OUTER_BOUND('',#58421,.T.); #36430=FACE_OUTER_BOUND('',#58422,.T.); #36431=FACE_OUTER_BOUND('',#58423,.T.); #36432=FACE_OUTER_BOUND('',#58424,.T.); #36433=FACE_OUTER_BOUND('',#58425,.T.); #36434=FACE_OUTER_BOUND('',#58426,.T.); #36435=FACE_OUTER_BOUND('',#58427,.T.); #36436=FACE_OUTER_BOUND('',#58428,.T.); #36437=FACE_OUTER_BOUND('',#58429,.T.); #36438=FACE_OUTER_BOUND('',#58430,.T.); #36439=FACE_OUTER_BOUND('',#58431,.T.); #36440=FACE_OUTER_BOUND('',#58432,.T.); #36441=FACE_OUTER_BOUND('',#58433,.T.); #36442=FACE_OUTER_BOUND('',#58434,.T.); #36443=FACE_OUTER_BOUND('',#58435,.T.); #36444=FACE_OUTER_BOUND('',#58436,.T.); #36445=FACE_OUTER_BOUND('',#58437,.T.); #36446=FACE_OUTER_BOUND('',#58438,.T.); #36447=FACE_OUTER_BOUND('',#58439,.T.); #36448=FACE_OUTER_BOUND('',#58440,.T.); #36449=FACE_OUTER_BOUND('',#58441,.T.); #36450=FACE_OUTER_BOUND('',#58442,.T.); #36451=FACE_OUTER_BOUND('',#58443,.T.); #36452=FACE_OUTER_BOUND('',#58444,.T.); #36453=FACE_OUTER_BOUND('',#58445,.T.); #36454=FACE_OUTER_BOUND('',#58446,.T.); #36455=FACE_OUTER_BOUND('',#58447,.T.); #36456=FACE_OUTER_BOUND('',#58448,.T.); #36457=FACE_OUTER_BOUND('',#58449,.T.); #36458=FACE_OUTER_BOUND('',#58450,.T.); #36459=FACE_OUTER_BOUND('',#58451,.T.); #36460=FACE_OUTER_BOUND('',#58452,.T.); #36461=FACE_OUTER_BOUND('',#58453,.T.); #36462=FACE_OUTER_BOUND('',#58454,.T.); #36463=FACE_OUTER_BOUND('',#58455,.T.); #36464=FACE_OUTER_BOUND('',#58456,.T.); #36465=FACE_OUTER_BOUND('',#58457,.T.); #36466=FACE_OUTER_BOUND('',#58458,.T.); #36467=FACE_OUTER_BOUND('',#58459,.T.); #36468=FACE_OUTER_BOUND('',#58460,.T.); #36469=FACE_OUTER_BOUND('',#58461,.T.); #36470=FACE_OUTER_BOUND('',#58462,.T.); #36471=FACE_OUTER_BOUND('',#58463,.T.); #36472=FACE_OUTER_BOUND('',#58464,.T.); #36473=FACE_OUTER_BOUND('',#58465,.T.); #36474=FACE_OUTER_BOUND('',#58466,.T.); #36475=FACE_OUTER_BOUND('',#58467,.T.); #36476=FACE_OUTER_BOUND('',#58468,.T.); #36477=FACE_OUTER_BOUND('',#58469,.T.); #36478=FACE_OUTER_BOUND('',#58470,.T.); #36479=FACE_OUTER_BOUND('',#58471,.T.); #36480=FACE_OUTER_BOUND('',#58472,.T.); #36481=FACE_OUTER_BOUND('',#58473,.T.); #36482=FACE_OUTER_BOUND('',#58474,.T.); #36483=FACE_OUTER_BOUND('',#58475,.T.); #36484=FACE_OUTER_BOUND('',#58476,.T.); #36485=FACE_OUTER_BOUND('',#58477,.T.); #36486=FACE_OUTER_BOUND('',#58478,.T.); #36487=FACE_OUTER_BOUND('',#58479,.T.); #36488=FACE_OUTER_BOUND('',#58480,.T.); #36489=FACE_OUTER_BOUND('',#58481,.T.); #36490=FACE_OUTER_BOUND('',#58482,.T.); #36491=FACE_OUTER_BOUND('',#58483,.T.); #36492=FACE_OUTER_BOUND('',#58484,.T.); #36493=FACE_OUTER_BOUND('',#58485,.T.); #36494=FACE_OUTER_BOUND('',#58486,.T.); #36495=FACE_OUTER_BOUND('',#58487,.T.); #36496=FACE_OUTER_BOUND('',#58488,.T.); #36497=FACE_OUTER_BOUND('',#58489,.T.); #36498=FACE_OUTER_BOUND('',#58490,.T.); #36499=FACE_OUTER_BOUND('',#58492,.T.); #36500=FACE_OUTER_BOUND('',#58494,.T.); #36501=FACE_OUTER_BOUND('',#58495,.T.); #36502=FACE_OUTER_BOUND('',#58496,.T.); #36503=FACE_OUTER_BOUND('',#58497,.T.); #36504=FACE_OUTER_BOUND('',#58498,.T.); #36505=FACE_OUTER_BOUND('',#58501,.T.); #36506=FACE_OUTER_BOUND('',#58503,.T.); #36507=FACE_OUTER_BOUND('',#58504,.T.); #36508=FACE_OUTER_BOUND('',#58506,.T.); #36509=FACE_OUTER_BOUND('',#58507,.T.); #36510=FACE_OUTER_BOUND('',#58508,.T.); #36511=FACE_OUTER_BOUND('',#58509,.T.); #36512=FACE_OUTER_BOUND('',#58510,.T.); #36513=FACE_OUTER_BOUND('',#58511,.T.); #36514=FACE_OUTER_BOUND('',#58512,.T.); #36515=FACE_OUTER_BOUND('',#58514,.T.); #36516=FACE_OUTER_BOUND('',#58515,.T.); #36517=FACE_OUTER_BOUND('',#58516,.T.); #36518=FACE_OUTER_BOUND('',#58517,.T.); #36519=FACE_OUTER_BOUND('',#58518,.T.); #36520=FACE_OUTER_BOUND('',#58519,.T.); #36521=FACE_OUTER_BOUND('',#58521,.T.); #36522=FACE_OUTER_BOUND('',#58522,.T.); #36523=FACE_OUTER_BOUND('',#58524,.T.); #36524=FACE_OUTER_BOUND('',#58525,.T.); #36525=FACE_OUTER_BOUND('',#58526,.T.); #36526=FACE_OUTER_BOUND('',#58527,.T.); #36527=FACE_OUTER_BOUND('',#58528,.T.); #36528=FACE_OUTER_BOUND('',#58529,.T.); #36529=FACE_OUTER_BOUND('',#58530,.T.); #36530=FACE_OUTER_BOUND('',#58531,.T.); #36531=FACE_OUTER_BOUND('',#58532,.T.); #36532=FACE_OUTER_BOUND('',#58533,.T.); #36533=FACE_OUTER_BOUND('',#58534,.T.); #36534=FACE_OUTER_BOUND('',#58535,.T.); #36535=FACE_OUTER_BOUND('',#58536,.T.); #36536=FACE_OUTER_BOUND('',#58537,.T.); #36537=FACE_OUTER_BOUND('',#58538,.T.); #36538=FACE_OUTER_BOUND('',#58540,.T.); #36539=FACE_OUTER_BOUND('',#58541,.T.); #36540=FACE_OUTER_BOUND('',#58544,.T.); #36541=FACE_OUTER_BOUND('',#58545,.T.); #36542=FACE_OUTER_BOUND('',#58546,.T.); #36543=FACE_OUTER_BOUND('',#58547,.T.); #36544=FACE_OUTER_BOUND('',#58548,.T.); #36545=FACE_OUTER_BOUND('',#58549,.T.); #36546=FACE_OUTER_BOUND('',#58550,.T.); #36547=FACE_OUTER_BOUND('',#58551,.T.); #36548=FACE_OUTER_BOUND('',#58552,.T.); #36549=FACE_OUTER_BOUND('',#58553,.T.); #36550=FACE_OUTER_BOUND('',#58554,.T.); #36551=FACE_OUTER_BOUND('',#58555,.T.); #36552=FACE_OUTER_BOUND('',#58556,.T.); #36553=FACE_OUTER_BOUND('',#58557,.T.); #36554=FACE_OUTER_BOUND('',#58558,.T.); #36555=FACE_OUTER_BOUND('',#58559,.T.); #36556=FACE_OUTER_BOUND('',#58560,.T.); #36557=FACE_OUTER_BOUND('',#58561,.T.); #36558=FACE_OUTER_BOUND('',#58562,.T.); #36559=FACE_OUTER_BOUND('',#58563,.T.); #36560=FACE_OUTER_BOUND('',#58564,.T.); #36561=FACE_OUTER_BOUND('',#58565,.T.); #36562=FACE_OUTER_BOUND('',#58566,.T.); #36563=FACE_OUTER_BOUND('',#58567,.T.); #36564=FACE_OUTER_BOUND('',#58568,.T.); #36565=FACE_OUTER_BOUND('',#58569,.T.); #36566=FACE_OUTER_BOUND('',#58570,.T.); #36567=FACE_OUTER_BOUND('',#58571,.T.); #36568=FACE_OUTER_BOUND('',#58572,.T.); #36569=FACE_OUTER_BOUND('',#58573,.T.); #36570=FACE_OUTER_BOUND('',#58574,.T.); #36571=FACE_OUTER_BOUND('',#58575,.T.); #36572=FACE_OUTER_BOUND('',#58576,.T.); #36573=FACE_OUTER_BOUND('',#58577,.T.); #36574=FACE_OUTER_BOUND('',#58578,.T.); #36575=FACE_OUTER_BOUND('',#58579,.T.); #36576=FACE_OUTER_BOUND('',#58580,.T.); #36577=FACE_OUTER_BOUND('',#58581,.T.); #36578=FACE_OUTER_BOUND('',#58582,.T.); #36579=FACE_OUTER_BOUND('',#58583,.T.); #36580=FACE_OUTER_BOUND('',#58584,.T.); #36581=FACE_OUTER_BOUND('',#58585,.T.); #36582=FACE_OUTER_BOUND('',#58586,.T.); #36583=FACE_OUTER_BOUND('',#58587,.T.); #36584=FACE_OUTER_BOUND('',#58588,.T.); #36585=FACE_OUTER_BOUND('',#58589,.T.); #36586=FACE_OUTER_BOUND('',#58590,.T.); #36587=FACE_OUTER_BOUND('',#58591,.T.); #36588=FACE_OUTER_BOUND('',#58592,.T.); #36589=FACE_OUTER_BOUND('',#58593,.T.); #36590=FACE_OUTER_BOUND('',#58594,.T.); #36591=FACE_OUTER_BOUND('',#58595,.T.); #36592=FACE_OUTER_BOUND('',#58596,.T.); #36593=FACE_OUTER_BOUND('',#58597,.T.); #36594=FACE_OUTER_BOUND('',#58598,.T.); #36595=FACE_OUTER_BOUND('',#58599,.T.); #36596=FACE_OUTER_BOUND('',#58600,.T.); #36597=FACE_OUTER_BOUND('',#58601,.T.); #36598=FACE_OUTER_BOUND('',#58602,.T.); #36599=FACE_OUTER_BOUND('',#58603,.T.); #36600=FACE_OUTER_BOUND('',#58604,.T.); #36601=FACE_OUTER_BOUND('',#58605,.T.); #36602=FACE_OUTER_BOUND('',#58606,.T.); #36603=FACE_OUTER_BOUND('',#58607,.T.); #36604=FACE_OUTER_BOUND('',#58608,.T.); #36605=FACE_OUTER_BOUND('',#58609,.T.); #36606=FACE_OUTER_BOUND('',#58610,.T.); #36607=FACE_OUTER_BOUND('',#58611,.T.); #36608=FACE_OUTER_BOUND('',#58612,.T.); #36609=FACE_OUTER_BOUND('',#58613,.T.); #36610=FACE_OUTER_BOUND('',#58614,.T.); #36611=FACE_OUTER_BOUND('',#58615,.T.); #36612=FACE_OUTER_BOUND('',#58616,.T.); #36613=FACE_OUTER_BOUND('',#58617,.T.); #36614=FACE_OUTER_BOUND('',#58618,.T.); #36615=FACE_OUTER_BOUND('',#58619,.T.); #36616=FACE_OUTER_BOUND('',#58620,.T.); #36617=FACE_OUTER_BOUND('',#58621,.T.); #36618=FACE_OUTER_BOUND('',#58622,.T.); #36619=FACE_OUTER_BOUND('',#58623,.T.); #36620=FACE_OUTER_BOUND('',#58624,.T.); #36621=FACE_OUTER_BOUND('',#58625,.T.); #36622=FACE_OUTER_BOUND('',#58626,.T.); #36623=FACE_OUTER_BOUND('',#58627,.T.); #36624=FACE_OUTER_BOUND('',#58628,.T.); #36625=FACE_OUTER_BOUND('',#58629,.T.); #36626=FACE_OUTER_BOUND('',#58630,.T.); #36627=FACE_OUTER_BOUND('',#58631,.T.); #36628=FACE_OUTER_BOUND('',#58632,.T.); #36629=FACE_OUTER_BOUND('',#58633,.T.); #36630=FACE_OUTER_BOUND('',#58634,.T.); #36631=FACE_OUTER_BOUND('',#58635,.T.); #36632=FACE_OUTER_BOUND('',#58636,.T.); #36633=FACE_OUTER_BOUND('',#58637,.T.); #36634=FACE_OUTER_BOUND('',#58638,.T.); #36635=FACE_OUTER_BOUND('',#58639,.T.); #36636=FACE_OUTER_BOUND('',#58640,.T.); #36637=FACE_OUTER_BOUND('',#58641,.T.); #36638=FACE_OUTER_BOUND('',#58642,.T.); #36639=FACE_OUTER_BOUND('',#58643,.T.); #36640=FACE_OUTER_BOUND('',#58644,.T.); #36641=FACE_OUTER_BOUND('',#58645,.T.); #36642=FACE_OUTER_BOUND('',#58646,.T.); #36643=FACE_OUTER_BOUND('',#58647,.T.); #36644=FACE_OUTER_BOUND('',#58648,.T.); #36645=FACE_OUTER_BOUND('',#58649,.T.); #36646=FACE_OUTER_BOUND('',#58650,.T.); #36647=FACE_OUTER_BOUND('',#58651,.T.); #36648=FACE_OUTER_BOUND('',#58652,.T.); #36649=FACE_OUTER_BOUND('',#58653,.T.); #36650=FACE_OUTER_BOUND('',#58654,.T.); #36651=FACE_OUTER_BOUND('',#58655,.T.); #36652=FACE_OUTER_BOUND('',#58656,.T.); #36653=FACE_OUTER_BOUND('',#58657,.T.); #36654=FACE_OUTER_BOUND('',#58658,.T.); #36655=FACE_OUTER_BOUND('',#58659,.T.); #36656=FACE_OUTER_BOUND('',#58660,.T.); #36657=FACE_OUTER_BOUND('',#58661,.T.); #36658=FACE_OUTER_BOUND('',#58662,.T.); #36659=FACE_OUTER_BOUND('',#58663,.T.); #36660=FACE_OUTER_BOUND('',#58664,.T.); #36661=FACE_OUTER_BOUND('',#58665,.T.); #36662=FACE_OUTER_BOUND('',#58666,.T.); #36663=FACE_OUTER_BOUND('',#58667,.T.); #36664=FACE_OUTER_BOUND('',#58668,.T.); #36665=FACE_OUTER_BOUND('',#58669,.T.); #36666=FACE_OUTER_BOUND('',#58670,.T.); #36667=FACE_OUTER_BOUND('',#58671,.T.); #36668=FACE_OUTER_BOUND('',#58672,.T.); #36669=FACE_OUTER_BOUND('',#58673,.T.); #36670=FACE_OUTER_BOUND('',#58674,.T.); #36671=FACE_OUTER_BOUND('',#58675,.T.); #36672=FACE_OUTER_BOUND('',#58676,.T.); #36673=FACE_OUTER_BOUND('',#58677,.T.); #36674=FACE_OUTER_BOUND('',#58678,.T.); #36675=FACE_OUTER_BOUND('',#58679,.T.); #36676=FACE_OUTER_BOUND('',#58680,.T.); #36677=FACE_OUTER_BOUND('',#58681,.T.); #36678=FACE_OUTER_BOUND('',#58682,.T.); #36679=FACE_OUTER_BOUND('',#58683,.T.); #36680=FACE_OUTER_BOUND('',#58684,.T.); #36681=FACE_OUTER_BOUND('',#58685,.T.); #36682=FACE_OUTER_BOUND('',#58686,.T.); #36683=FACE_OUTER_BOUND('',#58687,.T.); #36684=FACE_OUTER_BOUND('',#58688,.T.); #36685=FACE_OUTER_BOUND('',#58689,.T.); #36686=FACE_OUTER_BOUND('',#58690,.T.); #36687=FACE_OUTER_BOUND('',#58691,.T.); #36688=FACE_OUTER_BOUND('',#58692,.T.); #36689=FACE_OUTER_BOUND('',#58693,.T.); #36690=FACE_OUTER_BOUND('',#58694,.T.); #36691=FACE_OUTER_BOUND('',#58695,.T.); #36692=FACE_OUTER_BOUND('',#58696,.T.); #36693=FACE_OUTER_BOUND('',#58697,.T.); #36694=FACE_OUTER_BOUND('',#58698,.T.); #36695=FACE_OUTER_BOUND('',#58699,.T.); #36696=FACE_OUTER_BOUND('',#58700,.T.); #36697=FACE_OUTER_BOUND('',#58701,.T.); #36698=FACE_OUTER_BOUND('',#58702,.T.); #36699=FACE_OUTER_BOUND('',#58703,.T.); #36700=FACE_OUTER_BOUND('',#58704,.T.); #36701=FACE_OUTER_BOUND('',#58705,.T.); #36702=FACE_OUTER_BOUND('',#58706,.T.); #36703=FACE_OUTER_BOUND('',#58707,.T.); #36704=FACE_OUTER_BOUND('',#58708,.T.); #36705=FACE_OUTER_BOUND('',#58709,.T.); #36706=FACE_OUTER_BOUND('',#58710,.T.); #36707=FACE_OUTER_BOUND('',#58711,.T.); #36708=FACE_OUTER_BOUND('',#58712,.T.); #36709=FACE_OUTER_BOUND('',#58713,.T.); #36710=FACE_OUTER_BOUND('',#58714,.T.); #36711=FACE_OUTER_BOUND('',#58715,.T.); #36712=FACE_OUTER_BOUND('',#58716,.T.); #36713=FACE_OUTER_BOUND('',#58717,.T.); #36714=FACE_OUTER_BOUND('',#58718,.T.); #36715=FACE_OUTER_BOUND('',#58719,.T.); #36716=FACE_OUTER_BOUND('',#58720,.T.); #36717=FACE_OUTER_BOUND('',#58721,.T.); #36718=FACE_OUTER_BOUND('',#58722,.T.); #36719=FACE_OUTER_BOUND('',#58723,.T.); #36720=FACE_OUTER_BOUND('',#58724,.T.); #36721=FACE_OUTER_BOUND('',#58725,.T.); #36722=FACE_OUTER_BOUND('',#58726,.T.); #36723=FACE_OUTER_BOUND('',#58727,.T.); #36724=FACE_OUTER_BOUND('',#58728,.T.); #36725=FACE_OUTER_BOUND('',#58729,.T.); #36726=FACE_OUTER_BOUND('',#58730,.T.); #36727=FACE_OUTER_BOUND('',#58731,.T.); #36728=FACE_OUTER_BOUND('',#58732,.T.); #36729=FACE_OUTER_BOUND('',#58733,.T.); #36730=FACE_OUTER_BOUND('',#58734,.T.); #36731=FACE_OUTER_BOUND('',#58735,.T.); #36732=FACE_OUTER_BOUND('',#58736,.T.); #36733=FACE_OUTER_BOUND('',#58737,.T.); #36734=FACE_OUTER_BOUND('',#58738,.T.); #36735=FACE_OUTER_BOUND('',#58739,.T.); #36736=FACE_OUTER_BOUND('',#58740,.T.); #36737=FACE_OUTER_BOUND('',#58741,.T.); #36738=FACE_OUTER_BOUND('',#58742,.T.); #36739=FACE_OUTER_BOUND('',#58743,.T.); #36740=FACE_OUTER_BOUND('',#58744,.T.); #36741=FACE_OUTER_BOUND('',#58745,.T.); #36742=FACE_OUTER_BOUND('',#58746,.T.); #36743=FACE_OUTER_BOUND('',#58747,.T.); #36744=FACE_OUTER_BOUND('',#58748,.T.); #36745=FACE_OUTER_BOUND('',#58749,.T.); #36746=FACE_OUTER_BOUND('',#58750,.T.); #36747=FACE_OUTER_BOUND('',#58751,.T.); #36748=FACE_OUTER_BOUND('',#58752,.T.); #36749=FACE_OUTER_BOUND('',#58753,.T.); #36750=FACE_OUTER_BOUND('',#58754,.T.); #36751=FACE_OUTER_BOUND('',#58755,.T.); #36752=FACE_OUTER_BOUND('',#58756,.T.); #36753=FACE_OUTER_BOUND('',#58757,.T.); #36754=FACE_OUTER_BOUND('',#58758,.T.); #36755=FACE_OUTER_BOUND('',#58759,.T.); #36756=FACE_OUTER_BOUND('',#58760,.T.); #36757=FACE_OUTER_BOUND('',#58761,.T.); #36758=FACE_OUTER_BOUND('',#58762,.T.); #36759=FACE_OUTER_BOUND('',#58763,.T.); #36760=FACE_OUTER_BOUND('',#58764,.T.); #36761=FACE_OUTER_BOUND('',#58765,.T.); #36762=FACE_OUTER_BOUND('',#58766,.T.); #36763=FACE_OUTER_BOUND('',#58767,.T.); #36764=FACE_OUTER_BOUND('',#58768,.T.); #36765=FACE_OUTER_BOUND('',#58769,.T.); #36766=FACE_OUTER_BOUND('',#58770,.T.); #36767=FACE_OUTER_BOUND('',#58771,.T.); #36768=FACE_OUTER_BOUND('',#58772,.T.); #36769=FACE_OUTER_BOUND('',#58773,.T.); #36770=FACE_OUTER_BOUND('',#58774,.T.); #36771=FACE_OUTER_BOUND('',#58775,.T.); #36772=FACE_OUTER_BOUND('',#58776,.T.); #36773=FACE_OUTER_BOUND('',#58777,.T.); #36774=FACE_OUTER_BOUND('',#58778,.T.); #36775=FACE_OUTER_BOUND('',#58779,.T.); #36776=FACE_OUTER_BOUND('',#58780,.T.); #36777=FACE_OUTER_BOUND('',#58781,.T.); #36778=FACE_OUTER_BOUND('',#58782,.T.); #36779=FACE_OUTER_BOUND('',#58783,.T.); #36780=FACE_OUTER_BOUND('',#58784,.T.); #36781=FACE_OUTER_BOUND('',#58785,.T.); #36782=FACE_OUTER_BOUND('',#58786,.T.); #36783=FACE_OUTER_BOUND('',#58787,.T.); #36784=FACE_OUTER_BOUND('',#58788,.T.); #36785=FACE_OUTER_BOUND('',#58789,.T.); #36786=FACE_OUTER_BOUND('',#58790,.T.); #36787=FACE_OUTER_BOUND('',#58791,.T.); #36788=FACE_OUTER_BOUND('',#58792,.T.); #36789=FACE_OUTER_BOUND('',#58793,.T.); #36790=FACE_OUTER_BOUND('',#58794,.T.); #36791=FACE_OUTER_BOUND('',#58795,.T.); #36792=FACE_OUTER_BOUND('',#58796,.T.); #36793=FACE_OUTER_BOUND('',#58797,.T.); #36794=FACE_OUTER_BOUND('',#58798,.T.); #36795=FACE_OUTER_BOUND('',#58799,.T.); #36796=FACE_OUTER_BOUND('',#58800,.T.); #36797=FACE_OUTER_BOUND('',#58801,.T.); #36798=FACE_OUTER_BOUND('',#58802,.T.); #36799=FACE_OUTER_BOUND('',#58803,.T.); #36800=FACE_OUTER_BOUND('',#58804,.T.); #36801=FACE_OUTER_BOUND('',#58805,.T.); #36802=FACE_OUTER_BOUND('',#58806,.T.); #36803=FACE_OUTER_BOUND('',#58807,.T.); #36804=FACE_OUTER_BOUND('',#58808,.T.); #36805=FACE_OUTER_BOUND('',#58809,.T.); #36806=FACE_OUTER_BOUND('',#58810,.T.); #36807=FACE_OUTER_BOUND('',#58811,.T.); #36808=FACE_OUTER_BOUND('',#58812,.T.); #36809=FACE_OUTER_BOUND('',#58813,.T.); #36810=FACE_OUTER_BOUND('',#58814,.T.); #36811=FACE_OUTER_BOUND('',#58815,.T.); #36812=FACE_OUTER_BOUND('',#58816,.T.); #36813=FACE_OUTER_BOUND('',#58817,.T.); #36814=FACE_OUTER_BOUND('',#58818,.T.); #36815=FACE_OUTER_BOUND('',#58819,.T.); #36816=FACE_OUTER_BOUND('',#58820,.T.); #36817=FACE_OUTER_BOUND('',#58821,.T.); #36818=FACE_OUTER_BOUND('',#58822,.T.); #36819=FACE_OUTER_BOUND('',#58824,.T.); #36820=FACE_OUTER_BOUND('',#58825,.T.); #36821=FACE_OUTER_BOUND('',#58826,.T.); #36822=FACE_OUTER_BOUND('',#58828,.T.); #36823=FACE_OUTER_BOUND('',#58830,.T.); #36824=FACE_OUTER_BOUND('',#58831,.T.); #36825=FACE_OUTER_BOUND('',#58832,.T.); #36826=FACE_OUTER_BOUND('',#58833,.T.); #36827=FACE_OUTER_BOUND('',#58835,.T.); #36828=FACE_OUTER_BOUND('',#58836,.T.); #36829=FACE_OUTER_BOUND('',#58837,.T.); #36830=FACE_OUTER_BOUND('',#58838,.T.); #36831=FACE_OUTER_BOUND('',#58839,.T.); #36832=FACE_OUTER_BOUND('',#58840,.T.); #36833=FACE_OUTER_BOUND('',#58841,.T.); #36834=FACE_OUTER_BOUND('',#58842,.T.); #36835=FACE_OUTER_BOUND('',#58843,.T.); #36836=FACE_OUTER_BOUND('',#58844,.T.); #36837=FACE_OUTER_BOUND('',#58845,.T.); #36838=FACE_OUTER_BOUND('',#58846,.T.); #36839=FACE_OUTER_BOUND('',#58847,.T.); #36840=FACE_OUTER_BOUND('',#58848,.T.); #36841=FACE_OUTER_BOUND('',#58849,.T.); #36842=FACE_OUTER_BOUND('',#58850,.T.); #36843=FACE_OUTER_BOUND('',#58851,.T.); #36844=FACE_OUTER_BOUND('',#58852,.T.); #36845=FACE_OUTER_BOUND('',#58853,.T.); #36846=FACE_OUTER_BOUND('',#58854,.T.); #36847=FACE_OUTER_BOUND('',#58855,.T.); #36848=FACE_OUTER_BOUND('',#58856,.T.); #36849=FACE_OUTER_BOUND('',#58857,.T.); #36850=FACE_OUTER_BOUND('',#58858,.T.); #36851=FACE_OUTER_BOUND('',#58859,.T.); #36852=FACE_OUTER_BOUND('',#58860,.T.); #36853=FACE_OUTER_BOUND('',#58861,.T.); #36854=FACE_OUTER_BOUND('',#58862,.T.); #36855=FACE_OUTER_BOUND('',#58863,.T.); #36856=FACE_OUTER_BOUND('',#58864,.T.); #36857=FACE_OUTER_BOUND('',#58865,.T.); #36858=FACE_OUTER_BOUND('',#58866,.T.); #36859=FACE_OUTER_BOUND('',#58867,.T.); #36860=FACE_OUTER_BOUND('',#58868,.T.); #36861=FACE_OUTER_BOUND('',#58869,.T.); #36862=FACE_OUTER_BOUND('',#58870,.T.); #36863=FACE_OUTER_BOUND('',#58871,.T.); #36864=FACE_OUTER_BOUND('',#58872,.T.); #36865=FACE_OUTER_BOUND('',#58873,.T.); #36866=FACE_OUTER_BOUND('',#58874,.T.); #36867=FACE_OUTER_BOUND('',#58875,.T.); #36868=FACE_OUTER_BOUND('',#58876,.T.); #36869=FACE_OUTER_BOUND('',#58877,.T.); #36870=FACE_OUTER_BOUND('',#58878,.T.); #36871=FACE_OUTER_BOUND('',#58879,.T.); #36872=FACE_OUTER_BOUND('',#58880,.T.); #36873=FACE_OUTER_BOUND('',#58881,.T.); #36874=FACE_OUTER_BOUND('',#58882,.T.); #36875=FACE_OUTER_BOUND('',#58883,.T.); #36876=FACE_OUTER_BOUND('',#58884,.T.); #36877=FACE_OUTER_BOUND('',#58885,.T.); #36878=FACE_OUTER_BOUND('',#58886,.T.); #36879=FACE_OUTER_BOUND('',#58887,.T.); #36880=FACE_OUTER_BOUND('',#58888,.T.); #36881=FACE_OUTER_BOUND('',#58889,.T.); #36882=FACE_OUTER_BOUND('',#58890,.T.); #36883=FACE_OUTER_BOUND('',#58891,.T.); #36884=FACE_OUTER_BOUND('',#58892,.T.); #36885=FACE_OUTER_BOUND('',#58893,.T.); #36886=FACE_OUTER_BOUND('',#58894,.T.); #36887=FACE_OUTER_BOUND('',#58895,.T.); #36888=FACE_OUTER_BOUND('',#58896,.T.); #36889=FACE_OUTER_BOUND('',#58897,.T.); #36890=FACE_OUTER_BOUND('',#58898,.T.); #36891=FACE_OUTER_BOUND('',#58899,.T.); #36892=FACE_OUTER_BOUND('',#58900,.T.); #36893=FACE_OUTER_BOUND('',#58901,.T.); #36894=FACE_OUTER_BOUND('',#58902,.T.); #36895=FACE_OUTER_BOUND('',#58903,.T.); #36896=FACE_OUTER_BOUND('',#58904,.T.); #36897=FACE_OUTER_BOUND('',#58905,.T.); #36898=FACE_OUTER_BOUND('',#58906,.T.); #36899=FACE_OUTER_BOUND('',#58907,.T.); #36900=FACE_OUTER_BOUND('',#58908,.T.); #36901=FACE_OUTER_BOUND('',#58909,.T.); #36902=FACE_OUTER_BOUND('',#58910,.T.); #36903=FACE_OUTER_BOUND('',#58911,.T.); #36904=FACE_OUTER_BOUND('',#58912,.T.); #36905=FACE_OUTER_BOUND('',#58913,.T.); #36906=FACE_OUTER_BOUND('',#58914,.T.); #36907=FACE_OUTER_BOUND('',#58915,.T.); #36908=FACE_OUTER_BOUND('',#58916,.T.); #36909=FACE_OUTER_BOUND('',#58917,.T.); #36910=FACE_OUTER_BOUND('',#58918,.T.); #36911=FACE_OUTER_BOUND('',#58919,.T.); #36912=FACE_OUTER_BOUND('',#58920,.T.); #36913=FACE_OUTER_BOUND('',#58921,.T.); #36914=FACE_OUTER_BOUND('',#58922,.T.); #36915=FACE_OUTER_BOUND('',#58923,.T.); #36916=FACE_OUTER_BOUND('',#58924,.T.); #36917=FACE_OUTER_BOUND('',#58925,.T.); #36918=FACE_OUTER_BOUND('',#58926,.T.); #36919=FACE_OUTER_BOUND('',#58927,.T.); #36920=FACE_OUTER_BOUND('',#58928,.T.); #36921=FACE_OUTER_BOUND('',#58929,.T.); #36922=FACE_OUTER_BOUND('',#58930,.T.); #36923=FACE_OUTER_BOUND('',#58931,.T.); #36924=FACE_OUTER_BOUND('',#58932,.T.); #36925=FACE_OUTER_BOUND('',#58933,.T.); #36926=FACE_OUTER_BOUND('',#58934,.T.); #36927=FACE_OUTER_BOUND('',#58935,.T.); #36928=FACE_OUTER_BOUND('',#58936,.T.); #36929=FACE_OUTER_BOUND('',#58937,.T.); #36930=FACE_OUTER_BOUND('',#58938,.T.); #36931=FACE_OUTER_BOUND('',#58939,.T.); #36932=FACE_OUTER_BOUND('',#58940,.T.); #36933=FACE_OUTER_BOUND('',#58941,.T.); #36934=FACE_OUTER_BOUND('',#58942,.T.); #36935=FACE_OUTER_BOUND('',#58943,.T.); #36936=FACE_OUTER_BOUND('',#58944,.T.); #36937=FACE_OUTER_BOUND('',#58946,.T.); #36938=FACE_OUTER_BOUND('',#58948,.T.); #36939=FACE_OUTER_BOUND('',#58951,.T.); #36940=FACE_OUTER_BOUND('',#58952,.T.); #36941=FACE_OUTER_BOUND('',#58953,.T.); #36942=FACE_OUTER_BOUND('',#58954,.T.); #36943=FACE_OUTER_BOUND('',#58955,.T.); #36944=FACE_OUTER_BOUND('',#58956,.T.); #36945=FACE_OUTER_BOUND('',#58957,.T.); #36946=FACE_OUTER_BOUND('',#58958,.T.); #36947=FACE_OUTER_BOUND('',#58959,.T.); #36948=FACE_OUTER_BOUND('',#58960,.T.); #36949=FACE_OUTER_BOUND('',#58961,.T.); #36950=FACE_OUTER_BOUND('',#58962,.T.); #36951=FACE_OUTER_BOUND('',#58963,.T.); #36952=FACE_OUTER_BOUND('',#58964,.T.); #36953=FACE_OUTER_BOUND('',#58965,.T.); #36954=FACE_OUTER_BOUND('',#58966,.T.); #36955=FACE_OUTER_BOUND('',#58967,.T.); #36956=FACE_OUTER_BOUND('',#58968,.T.); #36957=FACE_OUTER_BOUND('',#58969,.T.); #36958=FACE_OUTER_BOUND('',#58970,.T.); #36959=FACE_OUTER_BOUND('',#58971,.T.); #36960=FACE_OUTER_BOUND('',#58972,.T.); #36961=FACE_OUTER_BOUND('',#58973,.T.); #36962=FACE_OUTER_BOUND('',#58974,.T.); #36963=FACE_OUTER_BOUND('',#58975,.T.); #36964=FACE_OUTER_BOUND('',#58976,.T.); #36965=FACE_OUTER_BOUND('',#58977,.T.); #36966=FACE_OUTER_BOUND('',#58978,.T.); #36967=FACE_OUTER_BOUND('',#58979,.T.); #36968=FACE_OUTER_BOUND('',#58980,.T.); #36969=FACE_OUTER_BOUND('',#58981,.T.); #36970=FACE_OUTER_BOUND('',#58982,.T.); #36971=FACE_OUTER_BOUND('',#58983,.T.); #36972=FACE_OUTER_BOUND('',#58984,.T.); #36973=FACE_OUTER_BOUND('',#58985,.T.); #36974=FACE_OUTER_BOUND('',#58986,.T.); #36975=FACE_OUTER_BOUND('',#58987,.T.); #36976=FACE_OUTER_BOUND('',#58988,.T.); #36977=FACE_OUTER_BOUND('',#58989,.T.); #36978=FACE_OUTER_BOUND('',#58990,.T.); #36979=FACE_OUTER_BOUND('',#58991,.T.); #36980=FACE_OUTER_BOUND('',#58992,.T.); #36981=FACE_OUTER_BOUND('',#58993,.T.); #36982=FACE_OUTER_BOUND('',#58994,.T.); #36983=FACE_OUTER_BOUND('',#58995,.T.); #36984=FACE_OUTER_BOUND('',#58996,.T.); #36985=FACE_OUTER_BOUND('',#58997,.T.); #36986=FACE_OUTER_BOUND('',#58998,.T.); #36987=FACE_OUTER_BOUND('',#58999,.T.); #36988=FACE_OUTER_BOUND('',#59000,.T.); #36989=FACE_OUTER_BOUND('',#59001,.T.); #36990=FACE_OUTER_BOUND('',#59002,.T.); #36991=FACE_OUTER_BOUND('',#59003,.T.); #36992=FACE_OUTER_BOUND('',#59004,.T.); #36993=FACE_OUTER_BOUND('',#59005,.T.); #36994=FACE_OUTER_BOUND('',#59006,.T.); #36995=FACE_OUTER_BOUND('',#59007,.T.); #36996=FACE_OUTER_BOUND('',#59008,.T.); #36997=FACE_OUTER_BOUND('',#59009,.T.); #36998=FACE_OUTER_BOUND('',#59010,.T.); #36999=FACE_OUTER_BOUND('',#59011,.T.); #37000=FACE_OUTER_BOUND('',#59012,.T.); #37001=FACE_OUTER_BOUND('',#59013,.T.); #37002=FACE_OUTER_BOUND('',#59014,.T.); #37003=FACE_OUTER_BOUND('',#59015,.T.); #37004=FACE_OUTER_BOUND('',#59016,.T.); #37005=FACE_OUTER_BOUND('',#59017,.T.); #37006=FACE_OUTER_BOUND('',#59018,.T.); #37007=FACE_OUTER_BOUND('',#59019,.T.); #37008=FACE_OUTER_BOUND('',#59020,.T.); #37009=FACE_OUTER_BOUND('',#59021,.T.); #37010=FACE_OUTER_BOUND('',#59022,.T.); #37011=FACE_OUTER_BOUND('',#59023,.T.); #37012=FACE_OUTER_BOUND('',#59024,.T.); #37013=FACE_OUTER_BOUND('',#59025,.T.); #37014=FACE_OUTER_BOUND('',#59026,.T.); #37015=FACE_OUTER_BOUND('',#59027,.T.); #37016=FACE_OUTER_BOUND('',#59028,.T.); #37017=FACE_OUTER_BOUND('',#59029,.T.); #37018=FACE_OUTER_BOUND('',#59030,.T.); #37019=FACE_OUTER_BOUND('',#59031,.T.); #37020=FACE_OUTER_BOUND('',#59032,.T.); #37021=FACE_OUTER_BOUND('',#59033,.T.); #37022=FACE_OUTER_BOUND('',#59034,.T.); #37023=FACE_OUTER_BOUND('',#59035,.T.); #37024=FACE_OUTER_BOUND('',#59036,.T.); #37025=FACE_OUTER_BOUND('',#59037,.T.); #37026=FACE_OUTER_BOUND('',#59038,.T.); #37027=FACE_OUTER_BOUND('',#59039,.T.); #37028=FACE_OUTER_BOUND('',#59040,.T.); #37029=FACE_OUTER_BOUND('',#59041,.T.); #37030=FACE_OUTER_BOUND('',#59042,.T.); #37031=FACE_OUTER_BOUND('',#59043,.T.); #37032=FACE_OUTER_BOUND('',#59044,.T.); #37033=FACE_OUTER_BOUND('',#59045,.T.); #37034=FACE_OUTER_BOUND('',#59046,.T.); #37035=FACE_OUTER_BOUND('',#59047,.T.); #37036=FACE_OUTER_BOUND('',#59048,.T.); #37037=FACE_OUTER_BOUND('',#59049,.T.); #37038=FACE_OUTER_BOUND('',#59050,.T.); #37039=FACE_OUTER_BOUND('',#59051,.T.); #37040=FACE_OUTER_BOUND('',#59052,.T.); #37041=FACE_OUTER_BOUND('',#59053,.T.); #37042=FACE_OUTER_BOUND('',#59054,.T.); #37043=FACE_OUTER_BOUND('',#59055,.T.); #37044=FACE_OUTER_BOUND('',#59056,.T.); #37045=FACE_OUTER_BOUND('',#59057,.T.); #37046=FACE_OUTER_BOUND('',#59058,.T.); #37047=FACE_OUTER_BOUND('',#59059,.T.); #37048=FACE_OUTER_BOUND('',#59060,.T.); #37049=FACE_OUTER_BOUND('',#59061,.T.); #37050=FACE_OUTER_BOUND('',#59062,.T.); #37051=FACE_OUTER_BOUND('',#59063,.T.); #37052=FACE_OUTER_BOUND('',#59064,.T.); #37053=FACE_OUTER_BOUND('',#59065,.T.); #37054=FACE_OUTER_BOUND('',#59066,.T.); #37055=FACE_OUTER_BOUND('',#59067,.T.); #37056=FACE_OUTER_BOUND('',#59068,.T.); #37057=FACE_OUTER_BOUND('',#59069,.T.); #37058=FACE_OUTER_BOUND('',#59070,.T.); #37059=FACE_OUTER_BOUND('',#59071,.T.); #37060=FACE_OUTER_BOUND('',#59072,.T.); #37061=FACE_OUTER_BOUND('',#59073,.T.); #37062=FACE_OUTER_BOUND('',#59074,.T.); #37063=FACE_OUTER_BOUND('',#59075,.T.); #37064=FACE_OUTER_BOUND('',#59076,.T.); #37065=FACE_OUTER_BOUND('',#59077,.T.); #37066=FACE_OUTER_BOUND('',#59078,.T.); #37067=FACE_OUTER_BOUND('',#59079,.T.); #37068=FACE_OUTER_BOUND('',#59080,.T.); #37069=FACE_OUTER_BOUND('',#59081,.T.); #37070=FACE_OUTER_BOUND('',#59082,.T.); #37071=FACE_OUTER_BOUND('',#59083,.T.); #37072=FACE_OUTER_BOUND('',#59084,.T.); #37073=FACE_OUTER_BOUND('',#59085,.T.); #37074=FACE_OUTER_BOUND('',#59086,.T.); #37075=FACE_OUTER_BOUND('',#59087,.T.); #37076=FACE_OUTER_BOUND('',#59088,.T.); #37077=FACE_OUTER_BOUND('',#59089,.T.); #37078=FACE_OUTER_BOUND('',#59090,.T.); #37079=FACE_OUTER_BOUND('',#59091,.T.); #37080=FACE_OUTER_BOUND('',#59092,.T.); #37081=FACE_OUTER_BOUND('',#59093,.T.); #37082=FACE_OUTER_BOUND('',#59094,.T.); #37083=FACE_OUTER_BOUND('',#59095,.T.); #37084=FACE_OUTER_BOUND('',#59096,.T.); #37085=FACE_OUTER_BOUND('',#59097,.T.); #37086=FACE_OUTER_BOUND('',#59098,.T.); #37087=FACE_OUTER_BOUND('',#59099,.T.); #37088=FACE_OUTER_BOUND('',#59100,.T.); #37089=FACE_OUTER_BOUND('',#59101,.T.); #37090=FACE_OUTER_BOUND('',#59102,.T.); #37091=FACE_OUTER_BOUND('',#59103,.T.); #37092=FACE_OUTER_BOUND('',#59104,.T.); #37093=FACE_OUTER_BOUND('',#59105,.T.); #37094=FACE_OUTER_BOUND('',#59106,.T.); #37095=FACE_OUTER_BOUND('',#59107,.T.); #37096=FACE_OUTER_BOUND('',#59108,.T.); #37097=FACE_OUTER_BOUND('',#59109,.T.); #37098=FACE_OUTER_BOUND('',#59110,.T.); #37099=FACE_OUTER_BOUND('',#59111,.T.); #37100=FACE_OUTER_BOUND('',#59112,.T.); #37101=FACE_OUTER_BOUND('',#59113,.T.); #37102=FACE_OUTER_BOUND('',#59114,.T.); #37103=FACE_OUTER_BOUND('',#59115,.T.); #37104=FACE_OUTER_BOUND('',#59116,.T.); #37105=FACE_OUTER_BOUND('',#59117,.T.); #37106=FACE_OUTER_BOUND('',#59118,.T.); #37107=FACE_OUTER_BOUND('',#59119,.T.); #37108=FACE_OUTER_BOUND('',#59120,.T.); #37109=FACE_OUTER_BOUND('',#59121,.T.); #37110=FACE_OUTER_BOUND('',#59122,.T.); #37111=FACE_OUTER_BOUND('',#59123,.T.); #37112=FACE_OUTER_BOUND('',#59124,.T.); #37113=FACE_OUTER_BOUND('',#59125,.T.); #37114=FACE_OUTER_BOUND('',#59126,.T.); #37115=FACE_OUTER_BOUND('',#59127,.T.); #37116=FACE_OUTER_BOUND('',#59128,.T.); #37117=FACE_OUTER_BOUND('',#59129,.T.); #37118=FACE_OUTER_BOUND('',#59130,.T.); #37119=FACE_OUTER_BOUND('',#59131,.T.); #37120=FACE_OUTER_BOUND('',#59132,.T.); #37121=FACE_OUTER_BOUND('',#59133,.T.); #37122=FACE_OUTER_BOUND('',#59134,.T.); #37123=FACE_OUTER_BOUND('',#59135,.T.); #37124=FACE_OUTER_BOUND('',#59136,.T.); #37125=FACE_OUTER_BOUND('',#59137,.T.); #37126=FACE_OUTER_BOUND('',#59138,.T.); #37127=FACE_OUTER_BOUND('',#59139,.T.); #37128=FACE_OUTER_BOUND('',#59140,.T.); #37129=FACE_OUTER_BOUND('',#59141,.T.); #37130=FACE_OUTER_BOUND('',#59142,.T.); #37131=FACE_OUTER_BOUND('',#59143,.T.); #37132=FACE_OUTER_BOUND('',#59144,.T.); #37133=FACE_OUTER_BOUND('',#59145,.T.); #37134=FACE_OUTER_BOUND('',#59146,.T.); #37135=FACE_OUTER_BOUND('',#59147,.T.); #37136=FACE_OUTER_BOUND('',#59148,.T.); #37137=FACE_OUTER_BOUND('',#59149,.T.); #37138=FACE_OUTER_BOUND('',#59150,.T.); #37139=FACE_OUTER_BOUND('',#59151,.T.); #37140=FACE_OUTER_BOUND('',#59152,.T.); #37141=FACE_OUTER_BOUND('',#59153,.T.); #37142=FACE_OUTER_BOUND('',#59154,.T.); #37143=FACE_OUTER_BOUND('',#59155,.T.); #37144=FACE_OUTER_BOUND('',#59156,.T.); #37145=FACE_OUTER_BOUND('',#59157,.T.); #37146=FACE_OUTER_BOUND('',#59158,.T.); #37147=FACE_OUTER_BOUND('',#59159,.T.); #37148=FACE_OUTER_BOUND('',#59160,.T.); #37149=FACE_OUTER_BOUND('',#59161,.T.); #37150=FACE_OUTER_BOUND('',#59162,.T.); #37151=FACE_OUTER_BOUND('',#59163,.T.); #37152=FACE_OUTER_BOUND('',#59164,.T.); #37153=FACE_OUTER_BOUND('',#59165,.T.); #37154=FACE_OUTER_BOUND('',#59166,.T.); #37155=FACE_OUTER_BOUND('',#59167,.T.); #37156=FACE_OUTER_BOUND('',#59168,.T.); #37157=FACE_OUTER_BOUND('',#59169,.T.); #37158=FACE_OUTER_BOUND('',#59170,.T.); #37159=FACE_OUTER_BOUND('',#59171,.T.); #37160=FACE_OUTER_BOUND('',#59172,.T.); #37161=FACE_OUTER_BOUND('',#59173,.T.); #37162=FACE_OUTER_BOUND('',#59174,.T.); #37163=FACE_OUTER_BOUND('',#59175,.T.); #37164=FACE_OUTER_BOUND('',#59176,.T.); #37165=FACE_OUTER_BOUND('',#59177,.T.); #37166=FACE_OUTER_BOUND('',#59178,.T.); #37167=FACE_OUTER_BOUND('',#59179,.T.); #37168=FACE_OUTER_BOUND('',#59180,.T.); #37169=FACE_OUTER_BOUND('',#59181,.T.); #37170=FACE_OUTER_BOUND('',#59182,.T.); #37171=FACE_OUTER_BOUND('',#59183,.T.); #37172=FACE_OUTER_BOUND('',#59184,.T.); #37173=FACE_OUTER_BOUND('',#59185,.T.); #37174=FACE_OUTER_BOUND('',#59186,.T.); #37175=FACE_OUTER_BOUND('',#59187,.T.); #37176=FACE_OUTER_BOUND('',#59188,.T.); #37177=FACE_OUTER_BOUND('',#59189,.T.); #37178=FACE_OUTER_BOUND('',#59190,.T.); #37179=FACE_OUTER_BOUND('',#59191,.T.); #37180=FACE_OUTER_BOUND('',#59192,.T.); #37181=FACE_OUTER_BOUND('',#59193,.T.); #37182=FACE_OUTER_BOUND('',#59194,.T.); #37183=FACE_OUTER_BOUND('',#59195,.T.); #37184=FACE_OUTER_BOUND('',#59196,.T.); #37185=FACE_OUTER_BOUND('',#59197,.T.); #37186=FACE_OUTER_BOUND('',#59198,.T.); #37187=FACE_OUTER_BOUND('',#59199,.T.); #37188=FACE_OUTER_BOUND('',#59200,.T.); #37189=FACE_OUTER_BOUND('',#59201,.T.); #37190=FACE_OUTER_BOUND('',#59202,.T.); #37191=FACE_OUTER_BOUND('',#59203,.T.); #37192=FACE_OUTER_BOUND('',#59204,.T.); #37193=FACE_OUTER_BOUND('',#59205,.T.); #37194=FACE_OUTER_BOUND('',#59206,.T.); #37195=FACE_OUTER_BOUND('',#59207,.T.); #37196=FACE_OUTER_BOUND('',#59208,.T.); #37197=FACE_OUTER_BOUND('',#59209,.T.); #37198=FACE_OUTER_BOUND('',#59210,.T.); #37199=FACE_OUTER_BOUND('',#59212,.T.); #37200=FACE_OUTER_BOUND('',#59213,.T.); #37201=FACE_OUTER_BOUND('',#59214,.T.); #37202=FACE_OUTER_BOUND('',#59215,.T.); #37203=FACE_OUTER_BOUND('',#59216,.T.); #37204=FACE_OUTER_BOUND('',#59217,.T.); #37205=FACE_OUTER_BOUND('',#59218,.T.); #37206=FACE_OUTER_BOUND('',#59219,.T.); #37207=FACE_OUTER_BOUND('',#59220,.T.); #37208=FACE_OUTER_BOUND('',#59221,.T.); #37209=FACE_OUTER_BOUND('',#59222,.T.); #37210=FACE_OUTER_BOUND('',#59223,.T.); #37211=FACE_OUTER_BOUND('',#59224,.T.); #37212=FACE_OUTER_BOUND('',#59225,.T.); #37213=FACE_OUTER_BOUND('',#59226,.T.); #37214=FACE_OUTER_BOUND('',#59227,.T.); #37215=FACE_OUTER_BOUND('',#59228,.T.); #37216=FACE_OUTER_BOUND('',#59229,.T.); #37217=FACE_OUTER_BOUND('',#59230,.T.); #37218=FACE_OUTER_BOUND('',#59231,.T.); #37219=FACE_OUTER_BOUND('',#59232,.T.); #37220=FACE_OUTER_BOUND('',#59233,.T.); #37221=FACE_OUTER_BOUND('',#59234,.T.); #37222=FACE_OUTER_BOUND('',#59235,.T.); #37223=FACE_OUTER_BOUND('',#59236,.T.); #37224=FACE_OUTER_BOUND('',#59237,.T.); #37225=FACE_OUTER_BOUND('',#59238,.T.); #37226=FACE_OUTER_BOUND('',#59239,.T.); #37227=FACE_OUTER_BOUND('',#59240,.T.); #37228=FACE_OUTER_BOUND('',#59241,.T.); #37229=FACE_OUTER_BOUND('',#59242,.T.); #37230=FACE_OUTER_BOUND('',#59243,.T.); #37231=FACE_OUTER_BOUND('',#59244,.T.); #37232=FACE_OUTER_BOUND('',#59245,.T.); #37233=FACE_OUTER_BOUND('',#59246,.T.); #37234=FACE_OUTER_BOUND('',#59247,.T.); #37235=FACE_OUTER_BOUND('',#59248,.T.); #37236=FACE_OUTER_BOUND('',#59249,.T.); #37237=FACE_OUTER_BOUND('',#59250,.T.); #37238=FACE_OUTER_BOUND('',#59251,.T.); #37239=FACE_OUTER_BOUND('',#59252,.T.); #37240=FACE_OUTER_BOUND('',#59253,.T.); #37241=FACE_OUTER_BOUND('',#59254,.T.); #37242=FACE_OUTER_BOUND('',#59255,.T.); #37243=FACE_OUTER_BOUND('',#59256,.T.); #37244=FACE_OUTER_BOUND('',#59257,.T.); #37245=FACE_OUTER_BOUND('',#59258,.T.); #37246=FACE_OUTER_BOUND('',#59259,.T.); #37247=FACE_OUTER_BOUND('',#59260,.T.); #37248=FACE_OUTER_BOUND('',#59261,.T.); #37249=FACE_OUTER_BOUND('',#59262,.T.); #37250=FACE_OUTER_BOUND('',#59263,.T.); #37251=FACE_OUTER_BOUND('',#59264,.T.); #37252=FACE_OUTER_BOUND('',#59265,.T.); #37253=FACE_OUTER_BOUND('',#59266,.T.); #37254=FACE_OUTER_BOUND('',#59267,.T.); #37255=FACE_OUTER_BOUND('',#59268,.T.); #37256=FACE_OUTER_BOUND('',#59269,.T.); #37257=FACE_OUTER_BOUND('',#59270,.T.); #37258=FACE_OUTER_BOUND('',#59271,.T.); #37259=FACE_OUTER_BOUND('',#59272,.T.); #37260=FACE_OUTER_BOUND('',#59273,.T.); #37261=FACE_OUTER_BOUND('',#59274,.T.); #37262=FACE_OUTER_BOUND('',#59275,.T.); #37263=FACE_OUTER_BOUND('',#59276,.T.); #37264=FACE_OUTER_BOUND('',#59277,.T.); #37265=FACE_OUTER_BOUND('',#59278,.T.); #37266=FACE_OUTER_BOUND('',#59279,.T.); #37267=FACE_OUTER_BOUND('',#59280,.T.); #37268=FACE_OUTER_BOUND('',#59281,.T.); #37269=FACE_OUTER_BOUND('',#59282,.T.); #37270=FACE_OUTER_BOUND('',#59283,.T.); #37271=FACE_OUTER_BOUND('',#59284,.T.); #37272=FACE_OUTER_BOUND('',#59285,.T.); #37273=FACE_OUTER_BOUND('',#59286,.T.); #37274=FACE_OUTER_BOUND('',#59287,.T.); #37275=FACE_OUTER_BOUND('',#59288,.T.); #37276=FACE_OUTER_BOUND('',#59289,.T.); #37277=FACE_OUTER_BOUND('',#59290,.T.); #37278=FACE_OUTER_BOUND('',#59291,.T.); #37279=FACE_OUTER_BOUND('',#59292,.T.); #37280=FACE_OUTER_BOUND('',#59293,.T.); #37281=FACE_OUTER_BOUND('',#59294,.T.); #37282=FACE_OUTER_BOUND('',#59295,.T.); #37283=FACE_OUTER_BOUND('',#59296,.T.); #37284=FACE_OUTER_BOUND('',#59297,.T.); #37285=FACE_OUTER_BOUND('',#59298,.T.); #37286=FACE_OUTER_BOUND('',#59300,.T.); #37287=FACE_OUTER_BOUND('',#59301,.T.); #37288=FACE_OUTER_BOUND('',#59302,.T.); #37289=FACE_OUTER_BOUND('',#59303,.T.); #37290=FACE_OUTER_BOUND('',#59304,.T.); #37291=FACE_OUTER_BOUND('',#59305,.T.); #37292=FACE_OUTER_BOUND('',#59306,.T.); #37293=FACE_OUTER_BOUND('',#59307,.T.); #37294=FACE_OUTER_BOUND('',#59308,.T.); #37295=FACE_OUTER_BOUND('',#59309,.T.); #37296=FACE_OUTER_BOUND('',#59310,.T.); #37297=FACE_OUTER_BOUND('',#59311,.T.); #37298=FACE_OUTER_BOUND('',#59312,.T.); #37299=FACE_OUTER_BOUND('',#59313,.T.); #37300=FACE_OUTER_BOUND('',#59314,.T.); #37301=FACE_OUTER_BOUND('',#59315,.T.); #37302=FACE_OUTER_BOUND('',#59316,.T.); #37303=FACE_OUTER_BOUND('',#59317,.T.); #37304=FACE_OUTER_BOUND('',#59318,.T.); #37305=FACE_OUTER_BOUND('',#59319,.T.); #37306=FACE_OUTER_BOUND('',#59320,.T.); #37307=FACE_OUTER_BOUND('',#59321,.T.); #37308=FACE_OUTER_BOUND('',#59322,.T.); #37309=FACE_OUTER_BOUND('',#59323,.T.); #37310=FACE_OUTER_BOUND('',#59324,.T.); #37311=FACE_OUTER_BOUND('',#59325,.T.); #37312=FACE_OUTER_BOUND('',#59326,.T.); #37313=FACE_OUTER_BOUND('',#59327,.T.); #37314=FACE_OUTER_BOUND('',#59328,.T.); #37315=FACE_OUTER_BOUND('',#59329,.T.); #37316=FACE_OUTER_BOUND('',#59330,.T.); #37317=FACE_OUTER_BOUND('',#59331,.T.); #37318=FACE_OUTER_BOUND('',#59332,.T.); #37319=FACE_OUTER_BOUND('',#59333,.T.); #37320=FACE_OUTER_BOUND('',#59334,.T.); #37321=FACE_OUTER_BOUND('',#59335,.T.); #37322=FACE_OUTER_BOUND('',#59336,.T.); #37323=FACE_OUTER_BOUND('',#59337,.T.); #37324=FACE_OUTER_BOUND('',#59338,.T.); #37325=FACE_OUTER_BOUND('',#59339,.T.); #37326=FACE_OUTER_BOUND('',#59340,.T.); #37327=FACE_OUTER_BOUND('',#59341,.T.); #37328=FACE_OUTER_BOUND('',#59342,.T.); #37329=FACE_OUTER_BOUND('',#59343,.T.); #37330=FACE_OUTER_BOUND('',#59344,.T.); #37331=FACE_OUTER_BOUND('',#59345,.T.); #37332=FACE_OUTER_BOUND('',#59346,.T.); #37333=FACE_OUTER_BOUND('',#59347,.T.); #37334=FACE_OUTER_BOUND('',#59348,.T.); #37335=FACE_OUTER_BOUND('',#59349,.T.); #37336=FACE_OUTER_BOUND('',#59350,.T.); #37337=FACE_OUTER_BOUND('',#59351,.T.); #37338=FACE_OUTER_BOUND('',#59352,.T.); #37339=FACE_OUTER_BOUND('',#59353,.T.); #37340=FACE_OUTER_BOUND('',#59354,.T.); #37341=FACE_OUTER_BOUND('',#59355,.T.); #37342=FACE_OUTER_BOUND('',#59356,.T.); #37343=FACE_OUTER_BOUND('',#59357,.T.); #37344=FACE_OUTER_BOUND('',#59358,.T.); #37345=FACE_OUTER_BOUND('',#59359,.T.); #37346=FACE_OUTER_BOUND('',#59360,.T.); #37347=FACE_OUTER_BOUND('',#59361,.T.); #37348=FACE_OUTER_BOUND('',#59362,.T.); #37349=FACE_OUTER_BOUND('',#59363,.T.); #37350=FACE_OUTER_BOUND('',#59364,.T.); #37351=FACE_OUTER_BOUND('',#59365,.T.); #37352=FACE_OUTER_BOUND('',#59366,.T.); #37353=FACE_OUTER_BOUND('',#59367,.T.); #37354=FACE_OUTER_BOUND('',#59368,.T.); #37355=FACE_OUTER_BOUND('',#59369,.T.); #37356=FACE_OUTER_BOUND('',#59370,.T.); #37357=FACE_OUTER_BOUND('',#59371,.T.); #37358=FACE_OUTER_BOUND('',#59372,.T.); #37359=FACE_OUTER_BOUND('',#59373,.T.); #37360=FACE_OUTER_BOUND('',#59374,.T.); #37361=FACE_OUTER_BOUND('',#59375,.T.); #37362=FACE_OUTER_BOUND('',#59376,.T.); #37363=FACE_OUTER_BOUND('',#59377,.T.); #37364=FACE_OUTER_BOUND('',#59378,.T.); #37365=FACE_OUTER_BOUND('',#59379,.T.); #37366=FACE_OUTER_BOUND('',#59380,.T.); #37367=FACE_OUTER_BOUND('',#59381,.T.); #37368=FACE_OUTER_BOUND('',#59382,.T.); #37369=FACE_OUTER_BOUND('',#59383,.T.); #37370=FACE_OUTER_BOUND('',#59384,.T.); #37371=FACE_OUTER_BOUND('',#59385,.T.); #37372=FACE_OUTER_BOUND('',#59386,.T.); #37373=FACE_OUTER_BOUND('',#59387,.T.); #37374=FACE_OUTER_BOUND('',#59388,.T.); #37375=FACE_OUTER_BOUND('',#59389,.T.); #37376=FACE_OUTER_BOUND('',#59390,.T.); #37377=FACE_OUTER_BOUND('',#59391,.T.); #37378=FACE_OUTER_BOUND('',#59392,.T.); #37379=FACE_OUTER_BOUND('',#59393,.T.); #37380=FACE_OUTER_BOUND('',#59394,.T.); #37381=FACE_OUTER_BOUND('',#59395,.T.); #37382=FACE_OUTER_BOUND('',#59396,.T.); #37383=FACE_OUTER_BOUND('',#59397,.T.); #37384=FACE_OUTER_BOUND('',#59398,.T.); #37385=FACE_OUTER_BOUND('',#59399,.T.); #37386=FACE_OUTER_BOUND('',#59400,.T.); #37387=FACE_OUTER_BOUND('',#59401,.T.); #37388=FACE_OUTER_BOUND('',#59402,.T.); #37389=FACE_OUTER_BOUND('',#59403,.T.); #37390=FACE_OUTER_BOUND('',#59404,.T.); #37391=FACE_OUTER_BOUND('',#59405,.T.); #37392=FACE_OUTER_BOUND('',#59406,.T.); #37393=FACE_OUTER_BOUND('',#59407,.T.); #37394=FACE_OUTER_BOUND('',#59408,.T.); #37395=FACE_OUTER_BOUND('',#59409,.T.); #37396=FACE_OUTER_BOUND('',#59410,.T.); #37397=FACE_OUTER_BOUND('',#59411,.T.); #37398=FACE_OUTER_BOUND('',#59412,.T.); #37399=FACE_OUTER_BOUND('',#59413,.T.); #37400=FACE_OUTER_BOUND('',#59414,.T.); #37401=FACE_OUTER_BOUND('',#59415,.T.); #37402=FACE_OUTER_BOUND('',#59416,.T.); #37403=FACE_OUTER_BOUND('',#59417,.T.); #37404=FACE_OUTER_BOUND('',#59418,.T.); #37405=FACE_OUTER_BOUND('',#59419,.T.); #37406=FACE_OUTER_BOUND('',#59420,.T.); #37407=FACE_OUTER_BOUND('',#59421,.T.); #37408=FACE_OUTER_BOUND('',#59422,.T.); #37409=FACE_OUTER_BOUND('',#59423,.T.); #37410=FACE_OUTER_BOUND('',#59424,.T.); #37411=FACE_OUTER_BOUND('',#59425,.T.); #37412=FACE_OUTER_BOUND('',#59426,.T.); #37413=FACE_OUTER_BOUND('',#59427,.T.); #37414=FACE_OUTER_BOUND('',#59428,.T.); #37415=FACE_OUTER_BOUND('',#59429,.T.); #37416=FACE_OUTER_BOUND('',#59431,.T.); #37417=FACE_OUTER_BOUND('',#59432,.T.); #37418=FACE_OUTER_BOUND('',#59433,.T.); #37419=FACE_OUTER_BOUND('',#59434,.T.); #37420=FACE_OUTER_BOUND('',#59435,.T.); #37421=FACE_OUTER_BOUND('',#59436,.T.); #37422=FACE_OUTER_BOUND('',#59437,.T.); #37423=FACE_OUTER_BOUND('',#59438,.T.); #37424=FACE_OUTER_BOUND('',#59439,.T.); #37425=FACE_OUTER_BOUND('',#59440,.T.); #37426=FACE_OUTER_BOUND('',#59441,.T.); #37427=FACE_OUTER_BOUND('',#59442,.T.); #37428=FACE_OUTER_BOUND('',#59443,.T.); #37429=FACE_OUTER_BOUND('',#59444,.T.); #37430=FACE_OUTER_BOUND('',#59445,.T.); #37431=FACE_OUTER_BOUND('',#59446,.T.); #37432=FACE_OUTER_BOUND('',#59447,.T.); #37433=FACE_OUTER_BOUND('',#59448,.T.); #37434=FACE_OUTER_BOUND('',#59449,.T.); #37435=FACE_OUTER_BOUND('',#59450,.T.); #37436=FACE_OUTER_BOUND('',#59451,.T.); #37437=FACE_OUTER_BOUND('',#59452,.T.); #37438=FACE_OUTER_BOUND('',#59453,.T.); #37439=FACE_OUTER_BOUND('',#59454,.T.); #37440=FACE_OUTER_BOUND('',#59455,.T.); #37441=FACE_OUTER_BOUND('',#59456,.T.); #37442=FACE_OUTER_BOUND('',#59457,.T.); #37443=FACE_OUTER_BOUND('',#59458,.T.); #37444=FACE_OUTER_BOUND('',#59459,.T.); #37445=FACE_OUTER_BOUND('',#59460,.T.); #37446=FACE_OUTER_BOUND('',#59461,.T.); #37447=FACE_OUTER_BOUND('',#59462,.T.); #37448=FACE_OUTER_BOUND('',#59463,.T.); #37449=FACE_OUTER_BOUND('',#59464,.T.); #37450=FACE_OUTER_BOUND('',#59465,.T.); #37451=FACE_OUTER_BOUND('',#59466,.T.); #37452=FACE_OUTER_BOUND('',#59467,.T.); #37453=FACE_OUTER_BOUND('',#59468,.T.); #37454=FACE_OUTER_BOUND('',#59469,.T.); #37455=FACE_OUTER_BOUND('',#59470,.T.); #37456=FACE_OUTER_BOUND('',#59471,.T.); #37457=FACE_OUTER_BOUND('',#59472,.T.); #37458=FACE_OUTER_BOUND('',#59473,.T.); #37459=FACE_OUTER_BOUND('',#59474,.T.); #37460=FACE_OUTER_BOUND('',#59475,.T.); #37461=FACE_OUTER_BOUND('',#59476,.T.); #37462=FACE_OUTER_BOUND('',#59477,.T.); #37463=FACE_OUTER_BOUND('',#59478,.T.); #37464=FACE_OUTER_BOUND('',#59479,.T.); #37465=FACE_OUTER_BOUND('',#59480,.T.); #37466=FACE_OUTER_BOUND('',#59481,.T.); #37467=FACE_OUTER_BOUND('',#59482,.T.); #37468=FACE_OUTER_BOUND('',#59483,.T.); #37469=FACE_OUTER_BOUND('',#59484,.T.); #37470=FACE_OUTER_BOUND('',#59485,.T.); #37471=FACE_OUTER_BOUND('',#59486,.T.); #37472=FACE_OUTER_BOUND('',#59487,.T.); #37473=FACE_OUTER_BOUND('',#59488,.T.); #37474=FACE_OUTER_BOUND('',#59489,.T.); #37475=FACE_OUTER_BOUND('',#59490,.T.); #37476=FACE_OUTER_BOUND('',#59491,.T.); #37477=FACE_OUTER_BOUND('',#59492,.T.); #37478=FACE_OUTER_BOUND('',#59493,.T.); #37479=FACE_OUTER_BOUND('',#59494,.T.); #37480=FACE_OUTER_BOUND('',#59495,.T.); #37481=FACE_OUTER_BOUND('',#59496,.T.); #37482=FACE_OUTER_BOUND('',#59497,.T.); #37483=FACE_OUTER_BOUND('',#59498,.T.); #37484=FACE_OUTER_BOUND('',#59499,.T.); #37485=FACE_OUTER_BOUND('',#59500,.T.); #37486=FACE_OUTER_BOUND('',#59501,.T.); #37487=FACE_OUTER_BOUND('',#59502,.T.); #37488=FACE_OUTER_BOUND('',#59503,.T.); #37489=FACE_OUTER_BOUND('',#59504,.T.); #37490=FACE_OUTER_BOUND('',#59505,.T.); #37491=FACE_OUTER_BOUND('',#59506,.T.); #37492=FACE_OUTER_BOUND('',#59507,.T.); #37493=FACE_OUTER_BOUND('',#59508,.T.); #37494=FACE_OUTER_BOUND('',#59509,.T.); #37495=FACE_OUTER_BOUND('',#59510,.T.); #37496=FACE_OUTER_BOUND('',#59511,.T.); #37497=FACE_OUTER_BOUND('',#59512,.T.); #37498=FACE_OUTER_BOUND('',#59513,.T.); #37499=FACE_OUTER_BOUND('',#59514,.T.); #37500=FACE_OUTER_BOUND('',#59515,.T.); #37501=FACE_OUTER_BOUND('',#59516,.T.); #37502=FACE_OUTER_BOUND('',#59517,.T.); #37503=FACE_OUTER_BOUND('',#59518,.T.); #37504=FACE_OUTER_BOUND('',#59519,.T.); #37505=FACE_OUTER_BOUND('',#59520,.T.); #37506=FACE_OUTER_BOUND('',#59521,.T.); #37507=FACE_OUTER_BOUND('',#59522,.T.); #37508=FACE_OUTER_BOUND('',#59523,.T.); #37509=FACE_OUTER_BOUND('',#59524,.T.); #37510=FACE_OUTER_BOUND('',#59525,.T.); #37511=FACE_OUTER_BOUND('',#59526,.T.); #37512=FACE_OUTER_BOUND('',#59527,.T.); #37513=FACE_OUTER_BOUND('',#59528,.T.); #37514=FACE_OUTER_BOUND('',#59529,.T.); #37515=FACE_OUTER_BOUND('',#59530,.T.); #37516=FACE_OUTER_BOUND('',#59531,.T.); #37517=FACE_OUTER_BOUND('',#59532,.T.); #37518=FACE_OUTER_BOUND('',#59533,.T.); #37519=FACE_OUTER_BOUND('',#59534,.T.); #37520=FACE_OUTER_BOUND('',#59535,.T.); #37521=FACE_OUTER_BOUND('',#59536,.T.); #37522=FACE_OUTER_BOUND('',#59537,.T.); #37523=FACE_OUTER_BOUND('',#59538,.T.); #37524=FACE_OUTER_BOUND('',#59539,.T.); #37525=FACE_OUTER_BOUND('',#59540,.T.); #37526=FACE_OUTER_BOUND('',#59541,.T.); #37527=FACE_OUTER_BOUND('',#59542,.T.); #37528=FACE_OUTER_BOUND('',#59543,.T.); #37529=FACE_OUTER_BOUND('',#59544,.T.); #37530=FACE_OUTER_BOUND('',#59545,.T.); #37531=FACE_OUTER_BOUND('',#59546,.T.); #37532=FACE_OUTER_BOUND('',#59547,.T.); #37533=FACE_OUTER_BOUND('',#59548,.T.); #37534=FACE_OUTER_BOUND('',#59549,.T.); #37535=FACE_OUTER_BOUND('',#59550,.T.); #37536=FACE_OUTER_BOUND('',#59551,.T.); #37537=FACE_OUTER_BOUND('',#59552,.T.); #37538=FACE_OUTER_BOUND('',#59553,.T.); #37539=FACE_OUTER_BOUND('',#59554,.T.); #37540=FACE_OUTER_BOUND('',#59555,.T.); #37541=FACE_OUTER_BOUND('',#59556,.T.); #37542=FACE_OUTER_BOUND('',#59557,.T.); #37543=FACE_OUTER_BOUND('',#59558,.T.); #37544=FACE_OUTER_BOUND('',#59559,.T.); #37545=FACE_OUTER_BOUND('',#59560,.T.); #37546=FACE_OUTER_BOUND('',#59561,.T.); #37547=FACE_OUTER_BOUND('',#59562,.T.); #37548=FACE_OUTER_BOUND('',#59563,.T.); #37549=FACE_OUTER_BOUND('',#59564,.T.); #37550=FACE_OUTER_BOUND('',#59565,.T.); #37551=FACE_OUTER_BOUND('',#59566,.T.); #37552=FACE_OUTER_BOUND('',#59567,.T.); #37553=FACE_OUTER_BOUND('',#59568,.T.); #37554=FACE_OUTER_BOUND('',#59569,.T.); #37555=FACE_OUTER_BOUND('',#59570,.T.); #37556=FACE_OUTER_BOUND('',#59571,.T.); #37557=FACE_OUTER_BOUND('',#59572,.T.); #37558=FACE_OUTER_BOUND('',#59573,.T.); #37559=FACE_OUTER_BOUND('',#59574,.T.); #37560=FACE_OUTER_BOUND('',#59575,.T.); #37561=FACE_OUTER_BOUND('',#59576,.T.); #37562=FACE_OUTER_BOUND('',#59577,.T.); #37563=FACE_OUTER_BOUND('',#59578,.T.); #37564=FACE_OUTER_BOUND('',#59579,.T.); #37565=FACE_OUTER_BOUND('',#59580,.T.); #37566=FACE_OUTER_BOUND('',#59581,.T.); #37567=FACE_OUTER_BOUND('',#59582,.T.); #37568=FACE_OUTER_BOUND('',#59583,.T.); #37569=FACE_OUTER_BOUND('',#59584,.T.); #37570=FACE_OUTER_BOUND('',#59585,.T.); #37571=FACE_OUTER_BOUND('',#59586,.T.); #37572=FACE_OUTER_BOUND('',#59587,.T.); #37573=FACE_OUTER_BOUND('',#59588,.T.); #37574=FACE_OUTER_BOUND('',#59590,.T.); #37575=FACE_OUTER_BOUND('',#59592,.T.); #37576=FACE_OUTER_BOUND('',#59593,.T.); #37577=FACE_OUTER_BOUND('',#59594,.T.); #37578=FACE_OUTER_BOUND('',#59595,.T.); #37579=FACE_OUTER_BOUND('',#59596,.T.); #37580=FACE_OUTER_BOUND('',#59597,.T.); #37581=FACE_OUTER_BOUND('',#59598,.T.); #37582=FACE_OUTER_BOUND('',#59599,.T.); #37583=FACE_OUTER_BOUND('',#59600,.T.); #37584=FACE_OUTER_BOUND('',#59601,.T.); #37585=FACE_OUTER_BOUND('',#59602,.T.); #37586=FACE_OUTER_BOUND('',#59603,.T.); #37587=FACE_OUTER_BOUND('',#59604,.T.); #37588=FACE_OUTER_BOUND('',#59606,.T.); #37589=FACE_OUTER_BOUND('',#59607,.T.); #37590=FACE_OUTER_BOUND('',#59608,.T.); #37591=FACE_OUTER_BOUND('',#59609,.T.); #37592=FACE_OUTER_BOUND('',#59610,.T.); #37593=FACE_OUTER_BOUND('',#59611,.T.); #37594=FACE_OUTER_BOUND('',#59612,.T.); #37595=FACE_OUTER_BOUND('',#59613,.T.); #37596=FACE_OUTER_BOUND('',#59614,.T.); #37597=FACE_OUTER_BOUND('',#59615,.T.); #37598=FACE_OUTER_BOUND('',#59616,.T.); #37599=FACE_OUTER_BOUND('',#59617,.T.); #37600=FACE_OUTER_BOUND('',#59618,.T.); #37601=FACE_OUTER_BOUND('',#59619,.T.); #37602=FACE_OUTER_BOUND('',#59620,.T.); #37603=FACE_OUTER_BOUND('',#59621,.T.); #37604=FACE_OUTER_BOUND('',#59622,.T.); #37605=FACE_OUTER_BOUND('',#59623,.T.); #37606=FACE_OUTER_BOUND('',#59624,.T.); #37607=FACE_OUTER_BOUND('',#59625,.T.); #37608=FACE_OUTER_BOUND('',#59626,.T.); #37609=FACE_OUTER_BOUND('',#59627,.T.); #37610=FACE_OUTER_BOUND('',#59628,.T.); #37611=FACE_OUTER_BOUND('',#59629,.T.); #37612=FACE_OUTER_BOUND('',#59630,.T.); #37613=FACE_OUTER_BOUND('',#59631,.T.); #37614=FACE_OUTER_BOUND('',#59632,.T.); #37615=FACE_OUTER_BOUND('',#59633,.T.); #37616=FACE_OUTER_BOUND('',#59634,.T.); #37617=FACE_OUTER_BOUND('',#59635,.T.); #37618=FACE_OUTER_BOUND('',#59636,.T.); #37619=FACE_OUTER_BOUND('',#59637,.T.); #37620=FACE_OUTER_BOUND('',#59638,.T.); #37621=FACE_OUTER_BOUND('',#59639,.T.); #37622=FACE_OUTER_BOUND('',#59640,.T.); #37623=FACE_OUTER_BOUND('',#59641,.T.); #37624=FACE_OUTER_BOUND('',#59642,.T.); #37625=FACE_OUTER_BOUND('',#59643,.T.); #37626=FACE_OUTER_BOUND('',#59644,.T.); #37627=FACE_OUTER_BOUND('',#59645,.T.); #37628=FACE_OUTER_BOUND('',#59646,.T.); #37629=FACE_OUTER_BOUND('',#59647,.T.); #37630=FACE_OUTER_BOUND('',#59648,.T.); #37631=FACE_OUTER_BOUND('',#59649,.T.); #37632=FACE_OUTER_BOUND('',#59650,.T.); #37633=FACE_OUTER_BOUND('',#59651,.T.); #37634=FACE_OUTER_BOUND('',#59652,.T.); #37635=FACE_OUTER_BOUND('',#59653,.T.); #37636=FACE_OUTER_BOUND('',#59654,.T.); #37637=FACE_OUTER_BOUND('',#59655,.T.); #37638=FACE_OUTER_BOUND('',#59656,.T.); #37639=FACE_OUTER_BOUND('',#59657,.T.); #37640=FACE_OUTER_BOUND('',#59658,.T.); #37641=FACE_OUTER_BOUND('',#59659,.T.); #37642=FACE_OUTER_BOUND('',#59660,.T.); #37643=FACE_OUTER_BOUND('',#59661,.T.); #37644=FACE_OUTER_BOUND('',#59662,.T.); #37645=FACE_OUTER_BOUND('',#59663,.T.); #37646=FACE_OUTER_BOUND('',#59664,.T.); #37647=FACE_OUTER_BOUND('',#59665,.T.); #37648=FACE_OUTER_BOUND('',#59666,.T.); #37649=FACE_OUTER_BOUND('',#59667,.T.); #37650=FACE_OUTER_BOUND('',#59668,.T.); #37651=FACE_OUTER_BOUND('',#59669,.T.); #37652=FACE_OUTER_BOUND('',#59670,.T.); #37653=FACE_OUTER_BOUND('',#59671,.T.); #37654=FACE_OUTER_BOUND('',#59672,.T.); #37655=FACE_OUTER_BOUND('',#59673,.T.); #37656=FACE_OUTER_BOUND('',#59674,.T.); #37657=FACE_OUTER_BOUND('',#59675,.T.); #37658=FACE_OUTER_BOUND('',#59676,.T.); #37659=FACE_OUTER_BOUND('',#59677,.T.); #37660=FACE_OUTER_BOUND('',#59678,.T.); #37661=FACE_OUTER_BOUND('',#59679,.T.); #37662=FACE_OUTER_BOUND('',#59680,.T.); #37663=FACE_OUTER_BOUND('',#59681,.T.); #37664=FACE_OUTER_BOUND('',#59682,.T.); #37665=FACE_OUTER_BOUND('',#59683,.T.); #37666=FACE_OUTER_BOUND('',#59684,.T.); #37667=FACE_OUTER_BOUND('',#59685,.T.); #37668=FACE_OUTER_BOUND('',#59686,.T.); #37669=FACE_OUTER_BOUND('',#59687,.T.); #37670=FACE_OUTER_BOUND('',#59688,.T.); #37671=FACE_OUTER_BOUND('',#59689,.T.); #37672=FACE_OUTER_BOUND('',#59690,.T.); #37673=FACE_OUTER_BOUND('',#59691,.T.); #37674=FACE_OUTER_BOUND('',#59692,.T.); #37675=FACE_OUTER_BOUND('',#59693,.T.); #37676=FACE_OUTER_BOUND('',#59694,.T.); #37677=FACE_OUTER_BOUND('',#59695,.T.); #37678=FACE_OUTER_BOUND('',#59696,.T.); #37679=FACE_OUTER_BOUND('',#59697,.T.); #37680=FACE_OUTER_BOUND('',#59698,.T.); #37681=FACE_OUTER_BOUND('',#59699,.T.); #37682=FACE_OUTER_BOUND('',#59700,.T.); #37683=FACE_OUTER_BOUND('',#59701,.T.); #37684=FACE_OUTER_BOUND('',#59702,.T.); #37685=FACE_OUTER_BOUND('',#59703,.T.); #37686=FACE_OUTER_BOUND('',#59704,.T.); #37687=FACE_OUTER_BOUND('',#59705,.T.); #37688=FACE_OUTER_BOUND('',#59706,.T.); #37689=FACE_OUTER_BOUND('',#59707,.T.); #37690=FACE_OUTER_BOUND('',#59708,.T.); #37691=FACE_OUTER_BOUND('',#59709,.T.); #37692=FACE_OUTER_BOUND('',#59710,.T.); #37693=FACE_OUTER_BOUND('',#59711,.T.); #37694=FACE_OUTER_BOUND('',#59712,.T.); #37695=FACE_OUTER_BOUND('',#59713,.T.); #37696=FACE_OUTER_BOUND('',#59714,.T.); #37697=FACE_OUTER_BOUND('',#59715,.T.); #37698=FACE_OUTER_BOUND('',#59716,.T.); #37699=FACE_OUTER_BOUND('',#59717,.T.); #37700=FACE_OUTER_BOUND('',#59718,.T.); #37701=FACE_OUTER_BOUND('',#59719,.T.); #37702=FACE_OUTER_BOUND('',#59720,.T.); #37703=FACE_OUTER_BOUND('',#59721,.T.); #37704=FACE_OUTER_BOUND('',#59722,.T.); #37705=FACE_OUTER_BOUND('',#59723,.T.); #37706=FACE_OUTER_BOUND('',#59724,.T.); #37707=FACE_OUTER_BOUND('',#59725,.T.); #37708=FACE_OUTER_BOUND('',#59726,.T.); #37709=FACE_OUTER_BOUND('',#59727,.T.); #37710=FACE_OUTER_BOUND('',#59728,.T.); #37711=FACE_OUTER_BOUND('',#59729,.T.); #37712=FACE_OUTER_BOUND('',#59730,.T.); #37713=FACE_OUTER_BOUND('',#59731,.T.); #37714=FACE_OUTER_BOUND('',#59732,.T.); #37715=FACE_OUTER_BOUND('',#59733,.T.); #37716=FACE_OUTER_BOUND('',#59734,.T.); #37717=FACE_OUTER_BOUND('',#59735,.T.); #37718=FACE_OUTER_BOUND('',#59736,.T.); #37719=FACE_OUTER_BOUND('',#59737,.T.); #37720=FACE_OUTER_BOUND('',#59738,.T.); #37721=FACE_OUTER_BOUND('',#59739,.T.); #37722=FACE_OUTER_BOUND('',#59740,.T.); #37723=FACE_OUTER_BOUND('',#59741,.T.); #37724=FACE_OUTER_BOUND('',#59742,.T.); #37725=FACE_OUTER_BOUND('',#59743,.T.); #37726=FACE_OUTER_BOUND('',#59744,.T.); #37727=FACE_OUTER_BOUND('',#59745,.T.); #37728=FACE_OUTER_BOUND('',#59746,.T.); #37729=FACE_OUTER_BOUND('',#59747,.T.); #37730=FACE_OUTER_BOUND('',#59748,.T.); #37731=FACE_OUTER_BOUND('',#59749,.T.); #37732=FACE_OUTER_BOUND('',#59750,.T.); #37733=FACE_OUTER_BOUND('',#59751,.T.); #37734=FACE_OUTER_BOUND('',#59752,.T.); #37735=FACE_OUTER_BOUND('',#59753,.T.); #37736=FACE_OUTER_BOUND('',#59754,.T.); #37737=FACE_OUTER_BOUND('',#59755,.T.); #37738=FACE_OUTER_BOUND('',#59756,.T.); #37739=FACE_OUTER_BOUND('',#59757,.T.); #37740=FACE_OUTER_BOUND('',#59758,.T.); #37741=FACE_OUTER_BOUND('',#59759,.T.); #37742=FACE_OUTER_BOUND('',#59760,.T.); #37743=FACE_OUTER_BOUND('',#59761,.T.); #37744=FACE_OUTER_BOUND('',#59762,.T.); #37745=FACE_OUTER_BOUND('',#59763,.T.); #37746=FACE_OUTER_BOUND('',#59764,.T.); #37747=FACE_OUTER_BOUND('',#59765,.T.); #37748=FACE_OUTER_BOUND('',#59766,.T.); #37749=FACE_OUTER_BOUND('',#59767,.T.); #37750=FACE_OUTER_BOUND('',#59768,.T.); #37751=FACE_OUTER_BOUND('',#59769,.T.); #37752=FACE_OUTER_BOUND('',#59770,.T.); #37753=FACE_OUTER_BOUND('',#59771,.T.); #37754=FACE_OUTER_BOUND('',#59772,.T.); #37755=FACE_OUTER_BOUND('',#59773,.T.); #37756=FACE_OUTER_BOUND('',#59774,.T.); #37757=FACE_OUTER_BOUND('',#59775,.T.); #37758=FACE_OUTER_BOUND('',#59776,.T.); #37759=FACE_OUTER_BOUND('',#59777,.T.); #37760=FACE_OUTER_BOUND('',#59778,.T.); #37761=FACE_OUTER_BOUND('',#59779,.T.); #37762=FACE_OUTER_BOUND('',#59780,.T.); #37763=FACE_OUTER_BOUND('',#59781,.T.); #37764=FACE_OUTER_BOUND('',#59782,.T.); #37765=FACE_OUTER_BOUND('',#59783,.T.); #37766=FACE_OUTER_BOUND('',#59784,.T.); #37767=FACE_OUTER_BOUND('',#59785,.T.); #37768=FACE_OUTER_BOUND('',#59786,.T.); #37769=FACE_OUTER_BOUND('',#59787,.T.); #37770=FACE_OUTER_BOUND('',#59788,.T.); #37771=FACE_OUTER_BOUND('',#59789,.T.); #37772=FACE_OUTER_BOUND('',#59792,.T.); #37773=FACE_OUTER_BOUND('',#59793,.T.); #37774=FACE_OUTER_BOUND('',#59794,.T.); #37775=FACE_OUTER_BOUND('',#59795,.T.); #37776=FACE_OUTER_BOUND('',#59796,.T.); #37777=FACE_OUTER_BOUND('',#59797,.T.); #37778=FACE_OUTER_BOUND('',#59798,.T.); #37779=FACE_OUTER_BOUND('',#59799,.T.); #37780=FACE_OUTER_BOUND('',#59800,.T.); #37781=FACE_OUTER_BOUND('',#59801,.T.); #37782=FACE_OUTER_BOUND('',#59802,.T.); #37783=FACE_OUTER_BOUND('',#59803,.T.); #37784=FACE_OUTER_BOUND('',#59805,.T.); #37785=FACE_OUTER_BOUND('',#59806,.T.); #37786=FACE_OUTER_BOUND('',#59807,.T.); #37787=FACE_OUTER_BOUND('',#59808,.T.); #37788=FACE_OUTER_BOUND('',#59809,.T.); #37789=FACE_OUTER_BOUND('',#59810,.T.); #37790=FACE_OUTER_BOUND('',#59811,.T.); #37791=FACE_OUTER_BOUND('',#59812,.T.); #37792=FACE_OUTER_BOUND('',#59813,.T.); #37793=FACE_OUTER_BOUND('',#59814,.T.); #37794=FACE_OUTER_BOUND('',#59815,.T.); #37795=FACE_OUTER_BOUND('',#59816,.T.); #37796=FACE_OUTER_BOUND('',#59817,.T.); #37797=FACE_OUTER_BOUND('',#59818,.T.); #37798=FACE_OUTER_BOUND('',#59819,.T.); #37799=FACE_OUTER_BOUND('',#59820,.T.); #37800=FACE_OUTER_BOUND('',#59821,.T.); #37801=FACE_OUTER_BOUND('',#59822,.T.); #37802=FACE_OUTER_BOUND('',#59823,.T.); #37803=FACE_OUTER_BOUND('',#59824,.T.); #37804=FACE_OUTER_BOUND('',#59825,.T.); #37805=FACE_OUTER_BOUND('',#59827,.T.); #37806=FACE_OUTER_BOUND('',#59828,.T.); #37807=FACE_OUTER_BOUND('',#59829,.T.); #37808=FACE_OUTER_BOUND('',#59830,.T.); #37809=FACE_OUTER_BOUND('',#59831,.T.); #37810=FACE_OUTER_BOUND('',#59832,.T.); #37811=FACE_OUTER_BOUND('',#59833,.T.); #37812=FACE_OUTER_BOUND('',#59834,.T.); #37813=FACE_OUTER_BOUND('',#59835,.T.); #37814=FACE_OUTER_BOUND('',#59836,.T.); #37815=FACE_OUTER_BOUND('',#59837,.T.); #37816=FACE_OUTER_BOUND('',#59838,.T.); #37817=FACE_OUTER_BOUND('',#59839,.T.); #37818=FACE_OUTER_BOUND('',#59840,.T.); #37819=FACE_OUTER_BOUND('',#59841,.T.); #37820=FACE_OUTER_BOUND('',#59842,.T.); #37821=FACE_OUTER_BOUND('',#59843,.T.); #37822=FACE_OUTER_BOUND('',#59844,.T.); #37823=FACE_OUTER_BOUND('',#59845,.T.); #37824=FACE_OUTER_BOUND('',#59846,.T.); #37825=FACE_OUTER_BOUND('',#59847,.T.); #37826=FACE_OUTER_BOUND('',#59848,.T.); #37827=FACE_OUTER_BOUND('',#59849,.T.); #37828=FACE_OUTER_BOUND('',#59850,.T.); #37829=FACE_OUTER_BOUND('',#59851,.T.); #37830=FACE_OUTER_BOUND('',#59852,.T.); #37831=FACE_OUTER_BOUND('',#59853,.T.); #37832=FACE_OUTER_BOUND('',#59854,.T.); #37833=FACE_OUTER_BOUND('',#59855,.T.); #37834=FACE_OUTER_BOUND('',#59856,.T.); #37835=FACE_OUTER_BOUND('',#59857,.T.); #37836=FACE_OUTER_BOUND('',#59858,.T.); #37837=FACE_OUTER_BOUND('',#59862,.T.); #37838=FACE_OUTER_BOUND('',#59863,.T.); #37839=FACE_OUTER_BOUND('',#59864,.T.); #37840=FACE_OUTER_BOUND('',#59865,.T.); #37841=FACE_OUTER_BOUND('',#59866,.T.); #37842=FACE_OUTER_BOUND('',#59867,.T.); #37843=FACE_OUTER_BOUND('',#59868,.T.); #37844=FACE_OUTER_BOUND('',#59869,.T.); #37845=FACE_OUTER_BOUND('',#59870,.T.); #37846=FACE_OUTER_BOUND('',#59871,.T.); #37847=FACE_OUTER_BOUND('',#59872,.T.); #37848=FACE_OUTER_BOUND('',#59873,.T.); #37849=FACE_OUTER_BOUND('',#59874,.T.); #37850=FACE_OUTER_BOUND('',#59875,.T.); #37851=FACE_OUTER_BOUND('',#59876,.T.); #37852=FACE_OUTER_BOUND('',#59877,.T.); #37853=FACE_OUTER_BOUND('',#59878,.T.); #37854=FACE_OUTER_BOUND('',#59879,.T.); #37855=FACE_OUTER_BOUND('',#59880,.T.); #37856=FACE_OUTER_BOUND('',#59881,.T.); #37857=FACE_OUTER_BOUND('',#59882,.T.); #37858=FACE_OUTER_BOUND('',#59883,.T.); #37859=FACE_OUTER_BOUND('',#59884,.T.); #37860=FACE_OUTER_BOUND('',#59885,.T.); #37861=FACE_OUTER_BOUND('',#59886,.T.); #37862=FACE_OUTER_BOUND('',#59887,.T.); #37863=FACE_OUTER_BOUND('',#59888,.T.); #37864=FACE_OUTER_BOUND('',#59889,.T.); #37865=FACE_OUTER_BOUND('',#59890,.T.); #37866=FACE_OUTER_BOUND('',#59891,.T.); #37867=FACE_OUTER_BOUND('',#59892,.T.); #37868=FACE_OUTER_BOUND('',#59893,.T.); #37869=FACE_OUTER_BOUND('',#59894,.T.); #37870=FACE_OUTER_BOUND('',#59895,.T.); #37871=FACE_OUTER_BOUND('',#59896,.T.); #37872=FACE_OUTER_BOUND('',#59897,.T.); #37873=FACE_OUTER_BOUND('',#59898,.T.); #37874=FACE_OUTER_BOUND('',#59899,.T.); #37875=FACE_OUTER_BOUND('',#59900,.T.); #37876=FACE_OUTER_BOUND('',#59901,.T.); #37877=FACE_OUTER_BOUND('',#59902,.T.); #37878=FACE_OUTER_BOUND('',#59903,.T.); #37879=FACE_OUTER_BOUND('',#59904,.T.); #37880=FACE_OUTER_BOUND('',#59905,.T.); #37881=FACE_OUTER_BOUND('',#59906,.T.); #37882=FACE_OUTER_BOUND('',#59907,.T.); #37883=FACE_OUTER_BOUND('',#59908,.T.); #37884=FACE_OUTER_BOUND('',#59909,.T.); #37885=FACE_OUTER_BOUND('',#59910,.T.); #37886=FACE_OUTER_BOUND('',#59911,.T.); #37887=FACE_OUTER_BOUND('',#59912,.T.); #37888=FACE_OUTER_BOUND('',#59913,.T.); #37889=FACE_OUTER_BOUND('',#59914,.T.); #37890=FACE_OUTER_BOUND('',#59915,.T.); #37891=FACE_OUTER_BOUND('',#59916,.T.); #37892=FACE_OUTER_BOUND('',#59917,.T.); #37893=FACE_OUTER_BOUND('',#59918,.T.); #37894=FACE_OUTER_BOUND('',#59919,.T.); #37895=FACE_OUTER_BOUND('',#59920,.T.); #37896=FACE_OUTER_BOUND('',#59921,.T.); #37897=FACE_OUTER_BOUND('',#59922,.T.); #37898=FACE_OUTER_BOUND('',#59923,.T.); #37899=FACE_OUTER_BOUND('',#59924,.T.); #37900=FACE_OUTER_BOUND('',#59925,.T.); #37901=FACE_OUTER_BOUND('',#59926,.T.); #37902=FACE_OUTER_BOUND('',#59927,.T.); #37903=FACE_OUTER_BOUND('',#59928,.T.); #37904=FACE_OUTER_BOUND('',#59929,.T.); #37905=FACE_OUTER_BOUND('',#59930,.T.); #37906=FACE_OUTER_BOUND('',#59931,.T.); #37907=FACE_OUTER_BOUND('',#59932,.T.); #37908=FACE_OUTER_BOUND('',#59933,.T.); #37909=FACE_OUTER_BOUND('',#59934,.T.); #37910=FACE_OUTER_BOUND('',#59935,.T.); #37911=FACE_OUTER_BOUND('',#59936,.T.); #37912=FACE_OUTER_BOUND('',#59937,.T.); #37913=FACE_OUTER_BOUND('',#59938,.T.); #37914=FACE_OUTER_BOUND('',#59939,.T.); #37915=FACE_OUTER_BOUND('',#59940,.T.); #37916=FACE_OUTER_BOUND('',#59941,.T.); #37917=FACE_OUTER_BOUND('',#59942,.T.); #37918=FACE_OUTER_BOUND('',#59943,.T.); #37919=FACE_OUTER_BOUND('',#59944,.T.); #37920=FACE_OUTER_BOUND('',#59945,.T.); #37921=FACE_OUTER_BOUND('',#59946,.T.); #37922=FACE_OUTER_BOUND('',#59947,.T.); #37923=FACE_OUTER_BOUND('',#59948,.T.); #37924=FACE_OUTER_BOUND('',#59949,.T.); #37925=FACE_OUTER_BOUND('',#59950,.T.); #37926=FACE_OUTER_BOUND('',#59951,.T.); #37927=FACE_OUTER_BOUND('',#59952,.T.); #37928=FACE_OUTER_BOUND('',#59953,.T.); #37929=FACE_OUTER_BOUND('',#59954,.T.); #37930=FACE_OUTER_BOUND('',#59955,.T.); #37931=FACE_OUTER_BOUND('',#59956,.T.); #37932=FACE_OUTER_BOUND('',#59957,.T.); #37933=FACE_OUTER_BOUND('',#59958,.T.); #37934=FACE_OUTER_BOUND('',#59959,.T.); #37935=FACE_OUTER_BOUND('',#59960,.T.); #37936=FACE_OUTER_BOUND('',#59961,.T.); #37937=FACE_OUTER_BOUND('',#59962,.T.); #37938=FACE_OUTER_BOUND('',#59963,.T.); #37939=FACE_OUTER_BOUND('',#59964,.T.); #37940=FACE_OUTER_BOUND('',#59965,.T.); #37941=FACE_OUTER_BOUND('',#59966,.T.); #37942=FACE_OUTER_BOUND('',#59967,.T.); #37943=FACE_OUTER_BOUND('',#59968,.T.); #37944=FACE_OUTER_BOUND('',#59969,.T.); #37945=FACE_OUTER_BOUND('',#59970,.T.); #37946=FACE_OUTER_BOUND('',#59971,.T.); #37947=FACE_OUTER_BOUND('',#59972,.T.); #37948=FACE_OUTER_BOUND('',#59973,.T.); #37949=FACE_OUTER_BOUND('',#59974,.T.); #37950=FACE_OUTER_BOUND('',#59975,.T.); #37951=FACE_OUTER_BOUND('',#59976,.T.); #37952=FACE_OUTER_BOUND('',#59977,.T.); #37953=FACE_OUTER_BOUND('',#59978,.T.); #37954=FACE_OUTER_BOUND('',#59979,.T.); #37955=FACE_OUTER_BOUND('',#59980,.T.); #37956=FACE_OUTER_BOUND('',#59981,.T.); #37957=FACE_OUTER_BOUND('',#59982,.T.); #37958=FACE_OUTER_BOUND('',#59983,.T.); #37959=FACE_OUTER_BOUND('',#59984,.T.); #37960=FACE_OUTER_BOUND('',#59985,.T.); #37961=FACE_OUTER_BOUND('',#59986,.T.); #37962=FACE_OUTER_BOUND('',#59987,.T.); #37963=FACE_OUTER_BOUND('',#59988,.T.); #37964=FACE_OUTER_BOUND('',#59989,.T.); #37965=FACE_OUTER_BOUND('',#59990,.T.); #37966=FACE_OUTER_BOUND('',#59991,.T.); #37967=FACE_OUTER_BOUND('',#59992,.T.); #37968=FACE_OUTER_BOUND('',#59993,.T.); #37969=FACE_OUTER_BOUND('',#59994,.T.); #37970=FACE_OUTER_BOUND('',#59995,.T.); #37971=FACE_OUTER_BOUND('',#59996,.T.); #37972=FACE_OUTER_BOUND('',#59997,.T.); #37973=FACE_OUTER_BOUND('',#59998,.T.); #37974=FACE_OUTER_BOUND('',#59999,.T.); #37975=FACE_OUTER_BOUND('',#60000,.T.); #37976=FACE_OUTER_BOUND('',#60001,.T.); #37977=FACE_OUTER_BOUND('',#60002,.T.); #37978=FACE_OUTER_BOUND('',#60003,.T.); #37979=FACE_OUTER_BOUND('',#60004,.T.); #37980=FACE_OUTER_BOUND('',#60005,.T.); #37981=FACE_OUTER_BOUND('',#60006,.T.); #37982=FACE_OUTER_BOUND('',#60007,.T.); #37983=FACE_OUTER_BOUND('',#60008,.T.); #37984=FACE_OUTER_BOUND('',#60009,.T.); #37985=FACE_OUTER_BOUND('',#60010,.T.); #37986=FACE_OUTER_BOUND('',#60011,.T.); #37987=FACE_OUTER_BOUND('',#60012,.T.); #37988=FACE_OUTER_BOUND('',#60013,.T.); #37989=FACE_OUTER_BOUND('',#60014,.T.); #37990=FACE_OUTER_BOUND('',#60015,.T.); #37991=FACE_OUTER_BOUND('',#60016,.T.); #37992=FACE_OUTER_BOUND('',#60017,.T.); #37993=FACE_OUTER_BOUND('',#60019,.T.); #37994=FACE_OUTER_BOUND('',#60020,.T.); #37995=FACE_OUTER_BOUND('',#60021,.T.); #37996=FACE_OUTER_BOUND('',#60022,.T.); #37997=FACE_OUTER_BOUND('',#60023,.T.); #37998=FACE_OUTER_BOUND('',#60024,.T.); #37999=FACE_OUTER_BOUND('',#60025,.T.); #38000=FACE_OUTER_BOUND('',#60026,.T.); #38001=FACE_OUTER_BOUND('',#60027,.T.); #38002=FACE_OUTER_BOUND('',#60028,.T.); #38003=FACE_OUTER_BOUND('',#60029,.T.); #38004=FACE_OUTER_BOUND('',#60030,.T.); #38005=FACE_OUTER_BOUND('',#60031,.T.); #38006=FACE_OUTER_BOUND('',#60032,.T.); #38007=FACE_OUTER_BOUND('',#60033,.T.); #38008=FACE_OUTER_BOUND('',#60034,.T.); #38009=FACE_OUTER_BOUND('',#60035,.T.); #38010=FACE_OUTER_BOUND('',#60036,.T.); #38011=FACE_OUTER_BOUND('',#60037,.T.); #38012=FACE_OUTER_BOUND('',#60038,.T.); #38013=FACE_OUTER_BOUND('',#60039,.T.); #38014=FACE_OUTER_BOUND('',#60040,.T.); #38015=FACE_OUTER_BOUND('',#60041,.T.); #38016=FACE_OUTER_BOUND('',#60042,.T.); #38017=FACE_OUTER_BOUND('',#60043,.T.); #38018=FACE_OUTER_BOUND('',#60044,.T.); #38019=FACE_OUTER_BOUND('',#60045,.T.); #38020=FACE_OUTER_BOUND('',#60046,.T.); #38021=FACE_OUTER_BOUND('',#60047,.T.); #38022=FACE_OUTER_BOUND('',#60048,.T.); #38023=FACE_OUTER_BOUND('',#60049,.T.); #38024=FACE_OUTER_BOUND('',#60050,.T.); #38025=FACE_OUTER_BOUND('',#60051,.T.); #38026=FACE_OUTER_BOUND('',#60052,.T.); #38027=FACE_OUTER_BOUND('',#60053,.T.); #38028=FACE_OUTER_BOUND('',#60054,.T.); #38029=FACE_OUTER_BOUND('',#60055,.T.); #38030=FACE_OUTER_BOUND('',#60056,.T.); #38031=FACE_OUTER_BOUND('',#60057,.T.); #38032=FACE_OUTER_BOUND('',#60058,.T.); #38033=FACE_OUTER_BOUND('',#60059,.T.); #38034=FACE_OUTER_BOUND('',#60060,.T.); #38035=FACE_OUTER_BOUND('',#60061,.T.); #38036=FACE_OUTER_BOUND('',#60062,.T.); #38037=FACE_OUTER_BOUND('',#60063,.T.); #38038=FACE_OUTER_BOUND('',#60064,.T.); #38039=FACE_OUTER_BOUND('',#60065,.T.); #38040=FACE_OUTER_BOUND('',#60066,.T.); #38041=FACE_OUTER_BOUND('',#60067,.T.); #38042=FACE_OUTER_BOUND('',#60068,.T.); #38043=FACE_OUTER_BOUND('',#60069,.T.); #38044=FACE_OUTER_BOUND('',#60070,.T.); #38045=FACE_OUTER_BOUND('',#60071,.T.); #38046=FACE_OUTER_BOUND('',#60072,.T.); #38047=FACE_OUTER_BOUND('',#60073,.T.); #38048=FACE_OUTER_BOUND('',#60074,.T.); #38049=FACE_OUTER_BOUND('',#60075,.T.); #38050=FACE_OUTER_BOUND('',#60076,.T.); #38051=FACE_OUTER_BOUND('',#60077,.T.); #38052=FACE_OUTER_BOUND('',#60078,.T.); #38053=FACE_OUTER_BOUND('',#60079,.T.); #38054=FACE_OUTER_BOUND('',#60080,.T.); #38055=FACE_OUTER_BOUND('',#60081,.T.); #38056=FACE_OUTER_BOUND('',#60082,.T.); #38057=FACE_OUTER_BOUND('',#60083,.T.); #38058=FACE_OUTER_BOUND('',#60084,.T.); #38059=FACE_OUTER_BOUND('',#60085,.T.); #38060=FACE_OUTER_BOUND('',#60086,.T.); #38061=FACE_OUTER_BOUND('',#60087,.T.); #38062=FACE_OUTER_BOUND('',#60088,.T.); #38063=FACE_OUTER_BOUND('',#60089,.T.); #38064=FACE_OUTER_BOUND('',#60090,.T.); #38065=FACE_OUTER_BOUND('',#60091,.T.); #38066=FACE_OUTER_BOUND('',#60092,.T.); #38067=FACE_OUTER_BOUND('',#60093,.T.); #38068=FACE_OUTER_BOUND('',#60094,.T.); #38069=FACE_OUTER_BOUND('',#60095,.T.); #38070=FACE_OUTER_BOUND('',#60096,.T.); #38071=FACE_OUTER_BOUND('',#60097,.T.); #38072=FACE_OUTER_BOUND('',#60098,.T.); #38073=FACE_OUTER_BOUND('',#60099,.T.); #38074=FACE_OUTER_BOUND('',#60100,.T.); #38075=FACE_OUTER_BOUND('',#60101,.T.); #38076=FACE_OUTER_BOUND('',#60102,.T.); #38077=FACE_OUTER_BOUND('',#60103,.T.); #38078=FACE_OUTER_BOUND('',#60104,.T.); #38079=FACE_OUTER_BOUND('',#60105,.T.); #38080=FACE_OUTER_BOUND('',#60106,.T.); #38081=FACE_OUTER_BOUND('',#60107,.T.); #38082=FACE_OUTER_BOUND('',#60108,.T.); #38083=FACE_OUTER_BOUND('',#60109,.T.); #38084=FACE_OUTER_BOUND('',#60110,.T.); #38085=FACE_OUTER_BOUND('',#60111,.T.); #38086=FACE_OUTER_BOUND('',#60112,.T.); #38087=FACE_OUTER_BOUND('',#60113,.T.); #38088=FACE_OUTER_BOUND('',#60114,.T.); #38089=FACE_OUTER_BOUND('',#60115,.T.); #38090=FACE_OUTER_BOUND('',#60116,.T.); #38091=FACE_OUTER_BOUND('',#60117,.T.); #38092=FACE_OUTER_BOUND('',#60118,.T.); #38093=FACE_OUTER_BOUND('',#60119,.T.); #38094=FACE_OUTER_BOUND('',#60120,.T.); #38095=FACE_OUTER_BOUND('',#60121,.T.); #38096=FACE_OUTER_BOUND('',#60122,.T.); #38097=FACE_OUTER_BOUND('',#60123,.T.); #38098=FACE_OUTER_BOUND('',#60124,.T.); #38099=FACE_OUTER_BOUND('',#60125,.T.); #38100=FACE_OUTER_BOUND('',#60126,.T.); #38101=FACE_OUTER_BOUND('',#60127,.T.); #38102=FACE_OUTER_BOUND('',#60128,.T.); #38103=FACE_OUTER_BOUND('',#60129,.T.); #38104=FACE_OUTER_BOUND('',#60130,.T.); #38105=FACE_OUTER_BOUND('',#60131,.T.); #38106=FACE_OUTER_BOUND('',#60132,.T.); #38107=FACE_OUTER_BOUND('',#60133,.T.); #38108=FACE_OUTER_BOUND('',#60134,.T.); #38109=FACE_OUTER_BOUND('',#60135,.T.); #38110=FACE_OUTER_BOUND('',#60136,.T.); #38111=FACE_OUTER_BOUND('',#60137,.T.); #38112=FACE_OUTER_BOUND('',#60138,.T.); #38113=FACE_OUTER_BOUND('',#60139,.T.); #38114=FACE_OUTER_BOUND('',#60140,.T.); #38115=FACE_OUTER_BOUND('',#60141,.T.); #38116=FACE_OUTER_BOUND('',#60142,.T.); #38117=FACE_OUTER_BOUND('',#60143,.T.); #38118=FACE_OUTER_BOUND('',#60144,.T.); #38119=FACE_OUTER_BOUND('',#60145,.T.); #38120=FACE_OUTER_BOUND('',#60146,.T.); #38121=FACE_OUTER_BOUND('',#60147,.T.); #38122=FACE_OUTER_BOUND('',#60148,.T.); #38123=FACE_OUTER_BOUND('',#60149,.T.); #38124=FACE_OUTER_BOUND('',#60150,.T.); #38125=FACE_OUTER_BOUND('',#60151,.T.); #38126=FACE_OUTER_BOUND('',#60152,.T.); #38127=FACE_OUTER_BOUND('',#60153,.T.); #38128=FACE_OUTER_BOUND('',#60154,.T.); #38129=FACE_OUTER_BOUND('',#60155,.T.); #38130=FACE_OUTER_BOUND('',#60156,.T.); #38131=FACE_OUTER_BOUND('',#60157,.T.); #38132=FACE_OUTER_BOUND('',#60158,.T.); #38133=FACE_OUTER_BOUND('',#60159,.T.); #38134=FACE_OUTER_BOUND('',#60160,.T.); #38135=FACE_OUTER_BOUND('',#60161,.T.); #38136=FACE_OUTER_BOUND('',#60162,.T.); #38137=FACE_OUTER_BOUND('',#60163,.T.); #38138=FACE_OUTER_BOUND('',#60164,.T.); #38139=FACE_OUTER_BOUND('',#60165,.T.); #38140=FACE_OUTER_BOUND('',#60166,.T.); #38141=FACE_OUTER_BOUND('',#60167,.T.); #38142=FACE_OUTER_BOUND('',#60168,.T.); #38143=FACE_OUTER_BOUND('',#60169,.T.); #38144=FACE_OUTER_BOUND('',#60170,.T.); #38145=FACE_OUTER_BOUND('',#60171,.T.); #38146=FACE_OUTER_BOUND('',#60172,.T.); #38147=FACE_OUTER_BOUND('',#60173,.T.); #38148=FACE_OUTER_BOUND('',#60174,.T.); #38149=FACE_OUTER_BOUND('',#60175,.T.); #38150=FACE_OUTER_BOUND('',#60176,.T.); #38151=FACE_OUTER_BOUND('',#60177,.T.); #38152=FACE_OUTER_BOUND('',#60178,.T.); #38153=FACE_OUTER_BOUND('',#60179,.T.); #38154=FACE_OUTER_BOUND('',#60180,.T.); #38155=FACE_OUTER_BOUND('',#60181,.T.); #38156=FACE_OUTER_BOUND('',#60182,.T.); #38157=FACE_OUTER_BOUND('',#60183,.T.); #38158=FACE_OUTER_BOUND('',#60184,.T.); #38159=FACE_OUTER_BOUND('',#60185,.T.); #38160=FACE_OUTER_BOUND('',#60186,.T.); #38161=FACE_OUTER_BOUND('',#60187,.T.); #38162=FACE_OUTER_BOUND('',#60188,.T.); #38163=FACE_OUTER_BOUND('',#60189,.T.); #38164=FACE_OUTER_BOUND('',#60190,.T.); #38165=FACE_OUTER_BOUND('',#60191,.T.); #38166=FACE_OUTER_BOUND('',#60192,.T.); #38167=FACE_OUTER_BOUND('',#60193,.T.); #38168=FACE_OUTER_BOUND('',#60194,.T.); #38169=FACE_OUTER_BOUND('',#60195,.T.); #38170=FACE_OUTER_BOUND('',#60196,.T.); #38171=FACE_OUTER_BOUND('',#60197,.T.); #38172=FACE_OUTER_BOUND('',#60198,.T.); #38173=FACE_OUTER_BOUND('',#60199,.T.); #38174=FACE_OUTER_BOUND('',#60200,.T.); #38175=FACE_OUTER_BOUND('',#60201,.T.); #38176=FACE_OUTER_BOUND('',#60202,.T.); #38177=FACE_OUTER_BOUND('',#60203,.T.); #38178=FACE_OUTER_BOUND('',#60204,.T.); #38179=FACE_OUTER_BOUND('',#60205,.T.); #38180=FACE_OUTER_BOUND('',#60206,.T.); #38181=FACE_OUTER_BOUND('',#60207,.T.); #38182=FACE_OUTER_BOUND('',#60208,.T.); #38183=FACE_OUTER_BOUND('',#60209,.T.); #38184=FACE_OUTER_BOUND('',#60210,.T.); #38185=FACE_OUTER_BOUND('',#60211,.T.); #38186=FACE_OUTER_BOUND('',#60212,.T.); #38187=FACE_OUTER_BOUND('',#60213,.T.); #38188=FACE_OUTER_BOUND('',#60214,.T.); #38189=FACE_OUTER_BOUND('',#60215,.T.); #38190=FACE_OUTER_BOUND('',#60216,.T.); #38191=FACE_OUTER_BOUND('',#60217,.T.); #38192=FACE_OUTER_BOUND('',#60218,.T.); #38193=FACE_OUTER_BOUND('',#60219,.T.); #38194=FACE_OUTER_BOUND('',#60220,.T.); #38195=FACE_OUTER_BOUND('',#60221,.T.); #38196=FACE_OUTER_BOUND('',#60222,.T.); #38197=FACE_OUTER_BOUND('',#60223,.T.); #38198=FACE_OUTER_BOUND('',#60224,.T.); #38199=FACE_OUTER_BOUND('',#60225,.T.); #38200=FACE_OUTER_BOUND('',#60226,.T.); #38201=FACE_OUTER_BOUND('',#60227,.T.); #38202=FACE_OUTER_BOUND('',#60228,.T.); #38203=FACE_OUTER_BOUND('',#60229,.T.); #38204=FACE_OUTER_BOUND('',#60230,.T.); #38205=FACE_OUTER_BOUND('',#60231,.T.); #38206=FACE_OUTER_BOUND('',#60232,.T.); #38207=FACE_OUTER_BOUND('',#60233,.T.); #38208=FACE_OUTER_BOUND('',#60234,.T.); #38209=FACE_OUTER_BOUND('',#60235,.T.); #38210=FACE_OUTER_BOUND('',#60236,.T.); #38211=FACE_OUTER_BOUND('',#60237,.T.); #38212=FACE_OUTER_BOUND('',#60238,.T.); #38213=FACE_OUTER_BOUND('',#60239,.T.); #38214=FACE_OUTER_BOUND('',#60240,.T.); #38215=FACE_OUTER_BOUND('',#60241,.T.); #38216=FACE_OUTER_BOUND('',#60242,.T.); #38217=FACE_OUTER_BOUND('',#60243,.T.); #38218=FACE_OUTER_BOUND('',#60244,.T.); #38219=FACE_OUTER_BOUND('',#60245,.T.); #38220=FACE_OUTER_BOUND('',#60246,.T.); #38221=FACE_OUTER_BOUND('',#60247,.T.); #38222=FACE_OUTER_BOUND('',#60248,.T.); #38223=FACE_OUTER_BOUND('',#60249,.T.); #38224=FACE_OUTER_BOUND('',#60250,.T.); #38225=FACE_OUTER_BOUND('',#60251,.T.); #38226=FACE_OUTER_BOUND('',#60252,.T.); #38227=FACE_OUTER_BOUND('',#60253,.T.); #38228=FACE_OUTER_BOUND('',#60254,.T.); #38229=FACE_OUTER_BOUND('',#60255,.T.); #38230=FACE_OUTER_BOUND('',#60256,.T.); #38231=FACE_OUTER_BOUND('',#60257,.T.); #38232=FACE_OUTER_BOUND('',#60258,.T.); #38233=FACE_OUTER_BOUND('',#60259,.T.); #38234=FACE_OUTER_BOUND('',#60260,.T.); #38235=FACE_OUTER_BOUND('',#60261,.T.); #38236=FACE_OUTER_BOUND('',#60262,.T.); #38237=FACE_OUTER_BOUND('',#60263,.T.); #38238=FACE_OUTER_BOUND('',#60264,.T.); #38239=FACE_OUTER_BOUND('',#60265,.T.); #38240=FACE_OUTER_BOUND('',#60266,.T.); #38241=FACE_OUTER_BOUND('',#60267,.T.); #38242=FACE_OUTER_BOUND('',#60268,.T.); #38243=FACE_OUTER_BOUND('',#60269,.T.); #38244=FACE_OUTER_BOUND('',#60270,.T.); #38245=FACE_OUTER_BOUND('',#60271,.T.); #38246=FACE_OUTER_BOUND('',#60272,.T.); #38247=FACE_OUTER_BOUND('',#60273,.T.); #38248=FACE_OUTER_BOUND('',#60274,.T.); #38249=FACE_OUTER_BOUND('',#60275,.T.); #38250=FACE_OUTER_BOUND('',#60276,.T.); #38251=FACE_OUTER_BOUND('',#60277,.T.); #38252=FACE_OUTER_BOUND('',#60278,.T.); #38253=FACE_OUTER_BOUND('',#60279,.T.); #38254=FACE_OUTER_BOUND('',#60280,.T.); #38255=FACE_OUTER_BOUND('',#60281,.T.); #38256=FACE_OUTER_BOUND('',#60282,.T.); #38257=FACE_OUTER_BOUND('',#60283,.T.); #38258=FACE_OUTER_BOUND('',#60284,.T.); #38259=FACE_OUTER_BOUND('',#60285,.T.); #38260=FACE_OUTER_BOUND('',#60286,.T.); #38261=FACE_OUTER_BOUND('',#60287,.T.); #38262=FACE_OUTER_BOUND('',#60288,.T.); #38263=FACE_OUTER_BOUND('',#60289,.T.); #38264=FACE_OUTER_BOUND('',#60290,.T.); #38265=FACE_OUTER_BOUND('',#60291,.T.); #38266=FACE_OUTER_BOUND('',#60292,.T.); #38267=FACE_OUTER_BOUND('',#60293,.T.); #38268=FACE_OUTER_BOUND('',#60294,.T.); #38269=FACE_OUTER_BOUND('',#60295,.T.); #38270=FACE_OUTER_BOUND('',#60296,.T.); #38271=FACE_OUTER_BOUND('',#60297,.T.); #38272=FACE_OUTER_BOUND('',#60298,.T.); #38273=FACE_OUTER_BOUND('',#60299,.T.); #38274=FACE_OUTER_BOUND('',#60300,.T.); #38275=FACE_OUTER_BOUND('',#60301,.T.); #38276=FACE_OUTER_BOUND('',#60302,.T.); #38277=FACE_OUTER_BOUND('',#60303,.T.); #38278=FACE_OUTER_BOUND('',#60304,.T.); #38279=FACE_OUTER_BOUND('',#60305,.T.); #38280=FACE_OUTER_BOUND('',#60306,.T.); #38281=FACE_OUTER_BOUND('',#60307,.T.); #38282=FACE_OUTER_BOUND('',#60308,.T.); #38283=FACE_OUTER_BOUND('',#60309,.T.); #38284=FACE_OUTER_BOUND('',#60310,.T.); #38285=FACE_OUTER_BOUND('',#60311,.T.); #38286=FACE_OUTER_BOUND('',#60312,.T.); #38287=FACE_OUTER_BOUND('',#60313,.T.); #38288=FACE_OUTER_BOUND('',#60314,.T.); #38289=FACE_OUTER_BOUND('',#60315,.T.); #38290=FACE_OUTER_BOUND('',#60316,.T.); #38291=FACE_OUTER_BOUND('',#60317,.T.); #38292=FACE_OUTER_BOUND('',#60318,.T.); #38293=FACE_OUTER_BOUND('',#60319,.T.); #38294=FACE_OUTER_BOUND('',#60320,.T.); #38295=FACE_OUTER_BOUND('',#60321,.T.); #38296=FACE_OUTER_BOUND('',#60322,.T.); #38297=FACE_OUTER_BOUND('',#60323,.T.); #38298=FACE_OUTER_BOUND('',#60324,.T.); #38299=FACE_OUTER_BOUND('',#60325,.T.); #38300=FACE_OUTER_BOUND('',#60326,.T.); #38301=FACE_OUTER_BOUND('',#60327,.T.); #38302=FACE_OUTER_BOUND('',#60328,.T.); #38303=FACE_OUTER_BOUND('',#60329,.T.); #38304=FACE_OUTER_BOUND('',#60330,.T.); #38305=FACE_OUTER_BOUND('',#60331,.T.); #38306=FACE_OUTER_BOUND('',#60332,.T.); #38307=FACE_OUTER_BOUND('',#60333,.T.); #38308=FACE_OUTER_BOUND('',#60334,.T.); #38309=FACE_OUTER_BOUND('',#60335,.T.); #38310=FACE_OUTER_BOUND('',#60336,.T.); #38311=FACE_OUTER_BOUND('',#60337,.T.); #38312=FACE_OUTER_BOUND('',#60338,.T.); #38313=FACE_OUTER_BOUND('',#60339,.T.); #38314=FACE_OUTER_BOUND('',#60340,.T.); #38315=FACE_OUTER_BOUND('',#60341,.T.); #38316=FACE_OUTER_BOUND('',#60342,.T.); #38317=FACE_OUTER_BOUND('',#60343,.T.); #38318=FACE_OUTER_BOUND('',#60344,.T.); #38319=FACE_OUTER_BOUND('',#60345,.T.); #38320=FACE_OUTER_BOUND('',#60346,.T.); #38321=FACE_OUTER_BOUND('',#60347,.T.); #38322=FACE_OUTER_BOUND('',#60348,.T.); #38323=FACE_OUTER_BOUND('',#60349,.T.); #38324=FACE_OUTER_BOUND('',#60350,.T.); #38325=FACE_OUTER_BOUND('',#60351,.T.); #38326=FACE_OUTER_BOUND('',#60352,.T.); #38327=FACE_OUTER_BOUND('',#60353,.T.); #38328=FACE_OUTER_BOUND('',#60354,.T.); #38329=FACE_OUTER_BOUND('',#60355,.T.); #38330=FACE_OUTER_BOUND('',#60356,.T.); #38331=FACE_OUTER_BOUND('',#60357,.T.); #38332=FACE_OUTER_BOUND('',#60358,.T.); #38333=FACE_OUTER_BOUND('',#60359,.T.); #38334=FACE_OUTER_BOUND('',#60360,.T.); #38335=FACE_OUTER_BOUND('',#60361,.T.); #38336=FACE_OUTER_BOUND('',#60362,.T.); #38337=FACE_OUTER_BOUND('',#60363,.T.); #38338=FACE_OUTER_BOUND('',#60364,.T.); #38339=FACE_OUTER_BOUND('',#60365,.T.); #38340=FACE_OUTER_BOUND('',#60366,.T.); #38341=FACE_OUTER_BOUND('',#60367,.T.); #38342=FACE_OUTER_BOUND('',#60368,.T.); #38343=FACE_OUTER_BOUND('',#60369,.T.); #38344=FACE_OUTER_BOUND('',#60370,.T.); #38345=FACE_OUTER_BOUND('',#60371,.T.); #38346=FACE_OUTER_BOUND('',#60372,.T.); #38347=FACE_OUTER_BOUND('',#60373,.T.); #38348=FACE_OUTER_BOUND('',#60374,.T.); #38349=FACE_OUTER_BOUND('',#60375,.T.); #38350=FACE_OUTER_BOUND('',#60376,.T.); #38351=FACE_OUTER_BOUND('',#60377,.T.); #38352=FACE_OUTER_BOUND('',#60378,.T.); #38353=FACE_OUTER_BOUND('',#60379,.T.); #38354=FACE_OUTER_BOUND('',#60380,.T.); #38355=FACE_OUTER_BOUND('',#60381,.T.); #38356=FACE_OUTER_BOUND('',#60382,.T.); #38357=FACE_OUTER_BOUND('',#60383,.T.); #38358=FACE_OUTER_BOUND('',#60384,.T.); #38359=FACE_OUTER_BOUND('',#60385,.T.); #38360=FACE_OUTER_BOUND('',#60386,.T.); #38361=FACE_OUTER_BOUND('',#60387,.T.); #38362=FACE_OUTER_BOUND('',#60388,.T.); #38363=FACE_OUTER_BOUND('',#60389,.T.); #38364=FACE_OUTER_BOUND('',#60390,.T.); #38365=FACE_OUTER_BOUND('',#60391,.T.); #38366=FACE_OUTER_BOUND('',#60392,.T.); #38367=FACE_OUTER_BOUND('',#60393,.T.); #38368=FACE_OUTER_BOUND('',#60394,.T.); #38369=FACE_OUTER_BOUND('',#60395,.T.); #38370=FACE_OUTER_BOUND('',#60396,.T.); #38371=FACE_OUTER_BOUND('',#60397,.T.); #38372=FACE_OUTER_BOUND('',#60398,.T.); #38373=FACE_OUTER_BOUND('',#60399,.T.); #38374=FACE_OUTER_BOUND('',#60400,.T.); #38375=FACE_OUTER_BOUND('',#60401,.T.); #38376=FACE_OUTER_BOUND('',#60402,.T.); #38377=FACE_OUTER_BOUND('',#60403,.T.); #38378=FACE_OUTER_BOUND('',#60404,.T.); #38379=FACE_OUTER_BOUND('',#60405,.T.); #38380=FACE_OUTER_BOUND('',#60406,.T.); #38381=FACE_OUTER_BOUND('',#60407,.T.); #38382=FACE_OUTER_BOUND('',#60408,.T.); #38383=FACE_OUTER_BOUND('',#60409,.T.); #38384=FACE_OUTER_BOUND('',#60410,.T.); #38385=FACE_OUTER_BOUND('',#60411,.T.); #38386=FACE_OUTER_BOUND('',#60412,.T.); #38387=FACE_OUTER_BOUND('',#60413,.T.); #38388=FACE_OUTER_BOUND('',#60414,.T.); #38389=FACE_OUTER_BOUND('',#60415,.T.); #38390=FACE_OUTER_BOUND('',#60416,.T.); #38391=FACE_OUTER_BOUND('',#60417,.T.); #38392=FACE_OUTER_BOUND('',#60418,.T.); #38393=FACE_OUTER_BOUND('',#60419,.T.); #38394=FACE_OUTER_BOUND('',#60420,.T.); #38395=FACE_OUTER_BOUND('',#60421,.T.); #38396=FACE_OUTER_BOUND('',#60422,.T.); #38397=FACE_OUTER_BOUND('',#60423,.T.); #38398=FACE_OUTER_BOUND('',#60424,.T.); #38399=FACE_OUTER_BOUND('',#60425,.T.); #38400=FACE_OUTER_BOUND('',#60426,.T.); #38401=FACE_OUTER_BOUND('',#60427,.T.); #38402=FACE_OUTER_BOUND('',#60428,.T.); #38403=FACE_OUTER_BOUND('',#60429,.T.); #38404=FACE_OUTER_BOUND('',#60430,.T.); #38405=FACE_OUTER_BOUND('',#60431,.T.); #38406=FACE_OUTER_BOUND('',#60432,.T.); #38407=FACE_OUTER_BOUND('',#60433,.T.); #38408=FACE_OUTER_BOUND('',#60434,.T.); #38409=FACE_OUTER_BOUND('',#60435,.T.); #38410=FACE_OUTER_BOUND('',#60436,.T.); #38411=FACE_OUTER_BOUND('',#60437,.T.); #38412=FACE_OUTER_BOUND('',#60438,.T.); #38413=FACE_OUTER_BOUND('',#60439,.T.); #38414=FACE_OUTER_BOUND('',#60440,.T.); #38415=FACE_OUTER_BOUND('',#60441,.T.); #38416=FACE_OUTER_BOUND('',#60442,.T.); #38417=FACE_OUTER_BOUND('',#60443,.T.); #38418=FACE_OUTER_BOUND('',#60444,.T.); #38419=FACE_OUTER_BOUND('',#60445,.T.); #38420=FACE_OUTER_BOUND('',#60446,.T.); #38421=FACE_OUTER_BOUND('',#60447,.T.); #38422=FACE_OUTER_BOUND('',#60448,.T.); #38423=FACE_OUTER_BOUND('',#60449,.T.); #38424=FACE_OUTER_BOUND('',#60450,.T.); #38425=FACE_OUTER_BOUND('',#60451,.T.); #38426=FACE_OUTER_BOUND('',#60452,.T.); #38427=FACE_OUTER_BOUND('',#60453,.T.); #38428=FACE_OUTER_BOUND('',#60454,.T.); #38429=FACE_OUTER_BOUND('',#60455,.T.); #38430=FACE_OUTER_BOUND('',#60456,.T.); #38431=FACE_OUTER_BOUND('',#60457,.T.); #38432=FACE_OUTER_BOUND('',#60458,.T.); #38433=FACE_OUTER_BOUND('',#60459,.T.); #38434=FACE_OUTER_BOUND('',#60460,.T.); #38435=FACE_OUTER_BOUND('',#60461,.T.); #38436=FACE_OUTER_BOUND('',#60462,.T.); #38437=FACE_OUTER_BOUND('',#60463,.T.); #38438=FACE_OUTER_BOUND('',#60464,.T.); #38439=FACE_OUTER_BOUND('',#60465,.T.); #38440=FACE_OUTER_BOUND('',#60466,.T.); #38441=FACE_OUTER_BOUND('',#60467,.T.); #38442=FACE_OUTER_BOUND('',#60468,.T.); #38443=FACE_OUTER_BOUND('',#60469,.T.); #38444=FACE_OUTER_BOUND('',#60470,.T.); #38445=FACE_OUTER_BOUND('',#60471,.T.); #38446=FACE_OUTER_BOUND('',#60472,.T.); #38447=FACE_OUTER_BOUND('',#60473,.T.); #38448=FACE_OUTER_BOUND('',#60474,.T.); #38449=FACE_OUTER_BOUND('',#60475,.T.); #38450=FACE_OUTER_BOUND('',#60476,.T.); #38451=FACE_OUTER_BOUND('',#60477,.T.); #38452=FACE_OUTER_BOUND('',#60478,.T.); #38453=FACE_OUTER_BOUND('',#60479,.T.); #38454=FACE_OUTER_BOUND('',#60480,.T.); #38455=FACE_OUTER_BOUND('',#60481,.T.); #38456=FACE_OUTER_BOUND('',#60482,.T.); #38457=FACE_OUTER_BOUND('',#60483,.T.); #38458=FACE_OUTER_BOUND('',#60484,.T.); #38459=FACE_OUTER_BOUND('',#60485,.T.); #38460=FACE_OUTER_BOUND('',#60486,.T.); #38461=FACE_OUTER_BOUND('',#60487,.T.); #38462=FACE_OUTER_BOUND('',#60488,.T.); #38463=FACE_OUTER_BOUND('',#60489,.T.); #38464=FACE_OUTER_BOUND('',#60490,.T.); #38465=FACE_OUTER_BOUND('',#60491,.T.); #38466=FACE_OUTER_BOUND('',#60492,.T.); #38467=FACE_OUTER_BOUND('',#60493,.T.); #38468=FACE_OUTER_BOUND('',#60494,.T.); #38469=FACE_OUTER_BOUND('',#60495,.T.); #38470=FACE_OUTER_BOUND('',#60496,.T.); #38471=FACE_OUTER_BOUND('',#60497,.T.); #38472=FACE_OUTER_BOUND('',#60498,.T.); #38473=FACE_OUTER_BOUND('',#60499,.T.); #38474=FACE_OUTER_BOUND('',#60500,.T.); #38475=FACE_OUTER_BOUND('',#60501,.T.); #38476=FACE_OUTER_BOUND('',#60502,.T.); #38477=FACE_OUTER_BOUND('',#60503,.T.); #38478=FACE_OUTER_BOUND('',#60504,.T.); #38479=FACE_OUTER_BOUND('',#60505,.T.); #38480=FACE_OUTER_BOUND('',#60506,.T.); #38481=FACE_OUTER_BOUND('',#60507,.T.); #38482=FACE_OUTER_BOUND('',#60508,.T.); #38483=FACE_OUTER_BOUND('',#60509,.T.); #38484=FACE_OUTER_BOUND('',#60510,.T.); #38485=FACE_OUTER_BOUND('',#60511,.T.); #38486=FACE_OUTER_BOUND('',#60512,.T.); #38487=FACE_OUTER_BOUND('',#60513,.T.); #38488=FACE_OUTER_BOUND('',#60514,.T.); #38489=FACE_OUTER_BOUND('',#60515,.T.); #38490=FACE_OUTER_BOUND('',#60516,.T.); #38491=FACE_OUTER_BOUND('',#60517,.T.); #38492=FACE_OUTER_BOUND('',#60518,.T.); #38493=FACE_OUTER_BOUND('',#60520,.T.); #38494=FACE_OUTER_BOUND('',#60521,.T.); #38495=FACE_OUTER_BOUND('',#60522,.T.); #38496=FACE_OUTER_BOUND('',#60523,.T.); #38497=FACE_OUTER_BOUND('',#60524,.T.); #38498=FACE_OUTER_BOUND('',#60525,.T.); #38499=FACE_OUTER_BOUND('',#60526,.T.); #38500=FACE_OUTER_BOUND('',#60527,.T.); #38501=FACE_OUTER_BOUND('',#60528,.T.); #38502=FACE_OUTER_BOUND('',#60529,.T.); #38503=FACE_OUTER_BOUND('',#60530,.T.); #38504=FACE_OUTER_BOUND('',#60531,.T.); #38505=FACE_OUTER_BOUND('',#60532,.T.); #38506=FACE_OUTER_BOUND('',#60533,.T.); #38507=FACE_OUTER_BOUND('',#60534,.T.); #38508=FACE_OUTER_BOUND('',#60535,.T.); #38509=FACE_OUTER_BOUND('',#60536,.T.); #38510=FACE_OUTER_BOUND('',#60537,.T.); #38511=FACE_OUTER_BOUND('',#60538,.T.); #38512=FACE_OUTER_BOUND('',#60539,.T.); #38513=FACE_OUTER_BOUND('',#60540,.T.); #38514=FACE_OUTER_BOUND('',#60541,.T.); #38515=FACE_OUTER_BOUND('',#60542,.T.); #38516=FACE_OUTER_BOUND('',#60543,.T.); #38517=FACE_OUTER_BOUND('',#60544,.T.); #38518=FACE_OUTER_BOUND('',#60545,.T.); #38519=FACE_OUTER_BOUND('',#60546,.T.); #38520=FACE_OUTER_BOUND('',#60547,.T.); #38521=FACE_OUTER_BOUND('',#60548,.T.); #38522=FACE_OUTER_BOUND('',#60549,.T.); #38523=FACE_OUTER_BOUND('',#60550,.T.); #38524=FACE_OUTER_BOUND('',#60551,.T.); #38525=FACE_OUTER_BOUND('',#60552,.T.); #38526=FACE_OUTER_BOUND('',#60553,.T.); #38527=FACE_OUTER_BOUND('',#60554,.T.); #38528=FACE_OUTER_BOUND('',#60555,.T.); #38529=FACE_OUTER_BOUND('',#60556,.T.); #38530=FACE_OUTER_BOUND('',#60557,.T.); #38531=FACE_OUTER_BOUND('',#60558,.T.); #38532=FACE_OUTER_BOUND('',#60559,.T.); #38533=FACE_OUTER_BOUND('',#60560,.T.); #38534=FACE_OUTER_BOUND('',#60561,.T.); #38535=FACE_OUTER_BOUND('',#60562,.T.); #38536=FACE_OUTER_BOUND('',#60563,.T.); #38537=FACE_OUTER_BOUND('',#60564,.T.); #38538=FACE_OUTER_BOUND('',#60565,.T.); #38539=FACE_OUTER_BOUND('',#60566,.T.); #38540=FACE_OUTER_BOUND('',#60567,.T.); #38541=FACE_OUTER_BOUND('',#60568,.T.); #38542=FACE_OUTER_BOUND('',#60569,.T.); #38543=FACE_OUTER_BOUND('',#60570,.T.); #38544=FACE_OUTER_BOUND('',#60571,.T.); #38545=FACE_OUTER_BOUND('',#60572,.T.); #38546=FACE_OUTER_BOUND('',#60573,.T.); #38547=FACE_OUTER_BOUND('',#60574,.T.); #38548=FACE_OUTER_BOUND('',#60575,.T.); #38549=FACE_OUTER_BOUND('',#60576,.T.); #38550=FACE_OUTER_BOUND('',#60577,.T.); #38551=FACE_OUTER_BOUND('',#60578,.T.); #38552=FACE_OUTER_BOUND('',#60579,.T.); #38553=FACE_OUTER_BOUND('',#60580,.T.); #38554=FACE_OUTER_BOUND('',#60581,.T.); #38555=FACE_OUTER_BOUND('',#60582,.T.); #38556=FACE_OUTER_BOUND('',#60583,.T.); #38557=FACE_OUTER_BOUND('',#60584,.T.); #38558=FACE_OUTER_BOUND('',#60585,.T.); #38559=FACE_OUTER_BOUND('',#60586,.T.); #38560=FACE_OUTER_BOUND('',#60587,.T.); #38561=FACE_OUTER_BOUND('',#60588,.T.); #38562=FACE_OUTER_BOUND('',#60589,.T.); #38563=FACE_OUTER_BOUND('',#60590,.T.); #38564=FACE_OUTER_BOUND('',#60591,.T.); #38565=FACE_OUTER_BOUND('',#60592,.T.); #38566=FACE_OUTER_BOUND('',#60593,.T.); #38567=FACE_OUTER_BOUND('',#60594,.T.); #38568=FACE_OUTER_BOUND('',#60595,.T.); #38569=FACE_OUTER_BOUND('',#60596,.T.); #38570=FACE_OUTER_BOUND('',#60597,.T.); #38571=FACE_OUTER_BOUND('',#60598,.T.); #38572=FACE_OUTER_BOUND('',#60599,.T.); #38573=FACE_OUTER_BOUND('',#60600,.T.); #38574=FACE_OUTER_BOUND('',#60601,.T.); #38575=FACE_OUTER_BOUND('',#60602,.T.); #38576=FACE_OUTER_BOUND('',#60603,.T.); #38577=FACE_OUTER_BOUND('',#60604,.T.); #38578=FACE_OUTER_BOUND('',#60605,.T.); #38579=FACE_OUTER_BOUND('',#60606,.T.); #38580=FACE_OUTER_BOUND('',#60607,.T.); #38581=FACE_OUTER_BOUND('',#60608,.T.); #38582=FACE_OUTER_BOUND('',#60609,.T.); #38583=FACE_OUTER_BOUND('',#60610,.T.); #38584=FACE_OUTER_BOUND('',#60611,.T.); #38585=FACE_OUTER_BOUND('',#60612,.T.); #38586=FACE_OUTER_BOUND('',#60613,.T.); #38587=FACE_OUTER_BOUND('',#60614,.T.); #38588=FACE_OUTER_BOUND('',#60615,.T.); #38589=FACE_OUTER_BOUND('',#60616,.T.); #38590=FACE_OUTER_BOUND('',#60617,.T.); #38591=FACE_OUTER_BOUND('',#60618,.T.); #38592=FACE_OUTER_BOUND('',#60619,.T.); #38593=FACE_OUTER_BOUND('',#60620,.T.); #38594=FACE_OUTER_BOUND('',#60621,.T.); #38595=FACE_OUTER_BOUND('',#60622,.T.); #38596=FACE_OUTER_BOUND('',#60623,.T.); #38597=FACE_OUTER_BOUND('',#60624,.T.); #38598=FACE_OUTER_BOUND('',#60625,.T.); #38599=FACE_OUTER_BOUND('',#60626,.T.); #38600=FACE_OUTER_BOUND('',#60627,.T.); #38601=FACE_OUTER_BOUND('',#60628,.T.); #38602=FACE_OUTER_BOUND('',#60629,.T.); #38603=FACE_OUTER_BOUND('',#60630,.T.); #38604=FACE_OUTER_BOUND('',#60631,.T.); #38605=FACE_OUTER_BOUND('',#60632,.T.); #38606=FACE_OUTER_BOUND('',#60633,.T.); #38607=FACE_OUTER_BOUND('',#60634,.T.); #38608=FACE_OUTER_BOUND('',#60635,.T.); #38609=FACE_OUTER_BOUND('',#60636,.T.); #38610=FACE_OUTER_BOUND('',#60637,.T.); #38611=FACE_OUTER_BOUND('',#60638,.T.); #38612=FACE_OUTER_BOUND('',#60639,.T.); #38613=FACE_OUTER_BOUND('',#60640,.T.); #38614=FACE_OUTER_BOUND('',#60641,.T.); #38615=FACE_OUTER_BOUND('',#60642,.T.); #38616=FACE_OUTER_BOUND('',#60643,.T.); #38617=FACE_OUTER_BOUND('',#60644,.T.); #38618=FACE_OUTER_BOUND('',#60645,.T.); #38619=FACE_OUTER_BOUND('',#60646,.T.); #38620=FACE_OUTER_BOUND('',#60647,.T.); #38621=FACE_OUTER_BOUND('',#60649,.T.); #38622=FACE_OUTER_BOUND('',#60650,.T.); #38623=FACE_OUTER_BOUND('',#60651,.T.); #38624=FACE_OUTER_BOUND('',#60652,.T.); #38625=FACE_OUTER_BOUND('',#60653,.T.); #38626=FACE_OUTER_BOUND('',#60654,.T.); #38627=FACE_OUTER_BOUND('',#60655,.T.); #38628=FACE_OUTER_BOUND('',#60656,.T.); #38629=FACE_OUTER_BOUND('',#60657,.T.); #38630=FACE_OUTER_BOUND('',#60658,.T.); #38631=FACE_OUTER_BOUND('',#60659,.T.); #38632=FACE_OUTER_BOUND('',#60660,.T.); #38633=FACE_OUTER_BOUND('',#60661,.T.); #38634=FACE_OUTER_BOUND('',#60662,.T.); #38635=FACE_OUTER_BOUND('',#60663,.T.); #38636=FACE_OUTER_BOUND('',#60664,.T.); #38637=FACE_OUTER_BOUND('',#60665,.T.); #38638=FACE_OUTER_BOUND('',#60666,.T.); #38639=FACE_OUTER_BOUND('',#60667,.T.); #38640=FACE_OUTER_BOUND('',#60668,.T.); #38641=FACE_OUTER_BOUND('',#60669,.T.); #38642=FACE_OUTER_BOUND('',#60670,.T.); #38643=FACE_OUTER_BOUND('',#60671,.T.); #38644=FACE_OUTER_BOUND('',#60672,.T.); #38645=FACE_OUTER_BOUND('',#60673,.T.); #38646=FACE_OUTER_BOUND('',#60674,.T.); #38647=FACE_OUTER_BOUND('',#60675,.T.); #38648=FACE_OUTER_BOUND('',#60676,.T.); #38649=FACE_OUTER_BOUND('',#60677,.T.); #38650=FACE_OUTER_BOUND('',#60678,.T.); #38651=FACE_OUTER_BOUND('',#60679,.T.); #38652=FACE_OUTER_BOUND('',#60680,.T.); #38653=FACE_OUTER_BOUND('',#60681,.T.); #38654=FACE_OUTER_BOUND('',#60682,.T.); #38655=FACE_OUTER_BOUND('',#60683,.T.); #38656=FACE_OUTER_BOUND('',#60684,.T.); #38657=FACE_OUTER_BOUND('',#60685,.T.); #38658=FACE_OUTER_BOUND('',#60686,.T.); #38659=FACE_OUTER_BOUND('',#60687,.T.); #38660=FACE_OUTER_BOUND('',#60688,.T.); #38661=FACE_OUTER_BOUND('',#60689,.T.); #38662=FACE_OUTER_BOUND('',#60690,.T.); #38663=FACE_OUTER_BOUND('',#60691,.T.); #38664=FACE_OUTER_BOUND('',#60692,.T.); #38665=FACE_OUTER_BOUND('',#60693,.T.); #38666=FACE_OUTER_BOUND('',#60694,.T.); #38667=FACE_OUTER_BOUND('',#60695,.T.); #38668=FACE_OUTER_BOUND('',#60696,.T.); #38669=FACE_OUTER_BOUND('',#60697,.T.); #38670=FACE_OUTER_BOUND('',#60698,.T.); #38671=FACE_OUTER_BOUND('',#60699,.T.); #38672=FACE_OUTER_BOUND('',#60700,.T.); #38673=FACE_OUTER_BOUND('',#60701,.T.); #38674=FACE_OUTER_BOUND('',#60702,.T.); #38675=FACE_OUTER_BOUND('',#60703,.T.); #38676=FACE_OUTER_BOUND('',#60704,.T.); #38677=FACE_OUTER_BOUND('',#60705,.T.); #38678=FACE_OUTER_BOUND('',#60706,.T.); #38679=FACE_OUTER_BOUND('',#60707,.T.); #38680=FACE_OUTER_BOUND('',#60708,.T.); #38681=FACE_OUTER_BOUND('',#60709,.T.); #38682=FACE_OUTER_BOUND('',#60710,.T.); #38683=FACE_OUTER_BOUND('',#60711,.T.); #38684=FACE_OUTER_BOUND('',#60712,.T.); #38685=FACE_OUTER_BOUND('',#60713,.T.); #38686=FACE_OUTER_BOUND('',#60714,.T.); #38687=FACE_OUTER_BOUND('',#60715,.T.); #38688=FACE_OUTER_BOUND('',#60716,.T.); #38689=FACE_OUTER_BOUND('',#60717,.T.); #38690=FACE_OUTER_BOUND('',#60718,.T.); #38691=FACE_OUTER_BOUND('',#60719,.T.); #38692=FACE_OUTER_BOUND('',#60720,.T.); #38693=FACE_OUTER_BOUND('',#60721,.T.); #38694=FACE_OUTER_BOUND('',#60722,.T.); #38695=FACE_OUTER_BOUND('',#60723,.T.); #38696=FACE_OUTER_BOUND('',#60724,.T.); #38697=FACE_OUTER_BOUND('',#60725,.T.); #38698=FACE_OUTER_BOUND('',#60726,.T.); #38699=FACE_OUTER_BOUND('',#60727,.T.); #38700=FACE_OUTER_BOUND('',#60728,.T.); #38701=FACE_OUTER_BOUND('',#60729,.T.); #38702=FACE_OUTER_BOUND('',#60730,.T.); #38703=FACE_OUTER_BOUND('',#60731,.T.); #38704=FACE_OUTER_BOUND('',#60732,.T.); #38705=FACE_OUTER_BOUND('',#60733,.T.); #38706=FACE_OUTER_BOUND('',#60734,.T.); #38707=FACE_OUTER_BOUND('',#60735,.T.); #38708=FACE_OUTER_BOUND('',#60736,.T.); #38709=FACE_OUTER_BOUND('',#60737,.T.); #38710=FACE_OUTER_BOUND('',#60738,.T.); #38711=FACE_OUTER_BOUND('',#60739,.T.); #38712=FACE_OUTER_BOUND('',#60740,.T.); #38713=FACE_OUTER_BOUND('',#60741,.T.); #38714=FACE_OUTER_BOUND('',#60742,.T.); #38715=FACE_OUTER_BOUND('',#60743,.T.); #38716=FACE_OUTER_BOUND('',#60744,.T.); #38717=FACE_OUTER_BOUND('',#60745,.T.); #38718=FACE_OUTER_BOUND('',#60746,.T.); #38719=FACE_OUTER_BOUND('',#60747,.T.); #38720=FACE_OUTER_BOUND('',#60748,.T.); #38721=FACE_OUTER_BOUND('',#60749,.T.); #38722=FACE_OUTER_BOUND('',#60750,.T.); #38723=FACE_OUTER_BOUND('',#60751,.T.); #38724=FACE_OUTER_BOUND('',#60752,.T.); #38725=FACE_OUTER_BOUND('',#60753,.T.); #38726=FACE_OUTER_BOUND('',#60754,.T.); #38727=FACE_OUTER_BOUND('',#60755,.T.); #38728=FACE_OUTER_BOUND('',#60756,.T.); #38729=FACE_OUTER_BOUND('',#60757,.T.); #38730=FACE_OUTER_BOUND('',#60758,.T.); #38731=FACE_OUTER_BOUND('',#60759,.T.); #38732=FACE_OUTER_BOUND('',#60760,.T.); #38733=FACE_OUTER_BOUND('',#60761,.T.); #38734=FACE_OUTER_BOUND('',#60762,.T.); #38735=FACE_OUTER_BOUND('',#60763,.T.); #38736=FACE_OUTER_BOUND('',#60764,.T.); #38737=FACE_OUTER_BOUND('',#60765,.T.); #38738=FACE_OUTER_BOUND('',#60766,.T.); #38739=FACE_OUTER_BOUND('',#60767,.T.); #38740=FACE_OUTER_BOUND('',#60768,.T.); #38741=FACE_OUTER_BOUND('',#60769,.T.); #38742=FACE_OUTER_BOUND('',#60770,.T.); #38743=FACE_OUTER_BOUND('',#60771,.T.); #38744=FACE_OUTER_BOUND('',#60772,.T.); #38745=FACE_OUTER_BOUND('',#60773,.T.); #38746=FACE_OUTER_BOUND('',#60774,.T.); #38747=FACE_OUTER_BOUND('',#60775,.T.); #38748=FACE_OUTER_BOUND('',#60776,.T.); #38749=FACE_OUTER_BOUND('',#60777,.T.); #38750=FACE_OUTER_BOUND('',#60778,.T.); #38751=FACE_OUTER_BOUND('',#60779,.T.); #38752=FACE_OUTER_BOUND('',#60780,.T.); #38753=FACE_OUTER_BOUND('',#60781,.T.); #38754=FACE_OUTER_BOUND('',#60782,.T.); #38755=FACE_OUTER_BOUND('',#60783,.T.); #38756=FACE_OUTER_BOUND('',#60784,.T.); #38757=FACE_OUTER_BOUND('',#60785,.T.); #38758=FACE_OUTER_BOUND('',#60786,.T.); #38759=FACE_OUTER_BOUND('',#60787,.T.); #38760=FACE_OUTER_BOUND('',#60788,.T.); #38761=FACE_OUTER_BOUND('',#60789,.T.); #38762=FACE_OUTER_BOUND('',#60790,.T.); #38763=FACE_OUTER_BOUND('',#60791,.T.); #38764=FACE_OUTER_BOUND('',#60792,.T.); #38765=FACE_OUTER_BOUND('',#60793,.T.); #38766=FACE_OUTER_BOUND('',#60794,.T.); #38767=FACE_OUTER_BOUND('',#60795,.T.); #38768=FACE_OUTER_BOUND('',#60796,.T.); #38769=FACE_OUTER_BOUND('',#60797,.T.); #38770=FACE_OUTER_BOUND('',#60798,.T.); #38771=FACE_OUTER_BOUND('',#60799,.T.); #38772=FACE_OUTER_BOUND('',#60800,.T.); #38773=FACE_OUTER_BOUND('',#60801,.T.); #38774=FACE_OUTER_BOUND('',#60802,.T.); #38775=FACE_OUTER_BOUND('',#60803,.T.); #38776=FACE_OUTER_BOUND('',#60804,.T.); #38777=FACE_OUTER_BOUND('',#60805,.T.); #38778=FACE_OUTER_BOUND('',#60806,.T.); #38779=FACE_OUTER_BOUND('',#60807,.T.); #38780=FACE_OUTER_BOUND('',#60808,.T.); #38781=FACE_OUTER_BOUND('',#60809,.T.); #38782=FACE_OUTER_BOUND('',#60810,.T.); #38783=FACE_OUTER_BOUND('',#60811,.T.); #38784=FACE_OUTER_BOUND('',#60812,.T.); #38785=FACE_OUTER_BOUND('',#60813,.T.); #38786=FACE_OUTER_BOUND('',#60814,.T.); #38787=FACE_OUTER_BOUND('',#60815,.T.); #38788=FACE_OUTER_BOUND('',#60816,.T.); #38789=FACE_OUTER_BOUND('',#60817,.T.); #38790=FACE_OUTER_BOUND('',#60818,.T.); #38791=FACE_OUTER_BOUND('',#60819,.T.); #38792=FACE_OUTER_BOUND('',#60820,.T.); #38793=FACE_OUTER_BOUND('',#60821,.T.); #38794=FACE_OUTER_BOUND('',#60822,.T.); #38795=FACE_OUTER_BOUND('',#60823,.T.); #38796=FACE_OUTER_BOUND('',#60824,.T.); #38797=FACE_OUTER_BOUND('',#60825,.T.); #38798=FACE_OUTER_BOUND('',#60826,.T.); #38799=FACE_OUTER_BOUND('',#60827,.T.); #38800=FACE_OUTER_BOUND('',#60828,.T.); #38801=FACE_OUTER_BOUND('',#60829,.T.); #38802=FACE_OUTER_BOUND('',#60830,.T.); #38803=FACE_OUTER_BOUND('',#60831,.T.); #38804=FACE_OUTER_BOUND('',#60832,.T.); #38805=FACE_OUTER_BOUND('',#60833,.T.); #38806=FACE_OUTER_BOUND('',#60834,.T.); #38807=FACE_OUTER_BOUND('',#60835,.T.); #38808=FACE_OUTER_BOUND('',#60836,.T.); #38809=FACE_OUTER_BOUND('',#60837,.T.); #38810=FACE_OUTER_BOUND('',#60838,.T.); #38811=FACE_OUTER_BOUND('',#60839,.T.); #38812=FACE_OUTER_BOUND('',#60840,.T.); #38813=FACE_OUTER_BOUND('',#60841,.T.); #38814=FACE_OUTER_BOUND('',#60842,.T.); #38815=FACE_OUTER_BOUND('',#60843,.T.); #38816=FACE_OUTER_BOUND('',#60844,.T.); #38817=FACE_OUTER_BOUND('',#60845,.T.); #38818=FACE_OUTER_BOUND('',#60846,.T.); #38819=FACE_OUTER_BOUND('',#60847,.T.); #38820=FACE_OUTER_BOUND('',#60848,.T.); #38821=FACE_OUTER_BOUND('',#60849,.T.); #38822=FACE_OUTER_BOUND('',#60850,.T.); #38823=FACE_OUTER_BOUND('',#60851,.T.); #38824=FACE_OUTER_BOUND('',#60852,.T.); #38825=FACE_OUTER_BOUND('',#60853,.T.); #38826=FACE_OUTER_BOUND('',#60854,.T.); #38827=FACE_OUTER_BOUND('',#60855,.T.); #38828=FACE_OUTER_BOUND('',#60856,.T.); #38829=FACE_OUTER_BOUND('',#60857,.T.); #38830=FACE_OUTER_BOUND('',#60858,.T.); #38831=FACE_OUTER_BOUND('',#60859,.T.); #38832=FACE_OUTER_BOUND('',#60860,.T.); #38833=FACE_OUTER_BOUND('',#60861,.T.); #38834=FACE_OUTER_BOUND('',#60862,.T.); #38835=FACE_OUTER_BOUND('',#60863,.T.); #38836=FACE_OUTER_BOUND('',#60864,.T.); #38837=FACE_OUTER_BOUND('',#60865,.T.); #38838=FACE_OUTER_BOUND('',#60866,.T.); #38839=FACE_OUTER_BOUND('',#60867,.T.); #38840=FACE_OUTER_BOUND('',#60868,.T.); #38841=FACE_OUTER_BOUND('',#60869,.T.); #38842=FACE_OUTER_BOUND('',#60870,.T.); #38843=FACE_OUTER_BOUND('',#60871,.T.); #38844=FACE_OUTER_BOUND('',#60872,.T.); #38845=FACE_OUTER_BOUND('',#60873,.T.); #38846=FACE_OUTER_BOUND('',#60874,.T.); #38847=FACE_OUTER_BOUND('',#60875,.T.); #38848=FACE_OUTER_BOUND('',#60881,.T.); #38849=FACE_OUTER_BOUND('',#60882,.T.); #38850=FACE_OUTER_BOUND('',#60883,.T.); #38851=FACE_OUTER_BOUND('',#60884,.T.); #38852=FACE_OUTER_BOUND('',#60885,.T.); #38853=FACE_OUTER_BOUND('',#60891,.T.); #38854=FACE_OUTER_BOUND('',#60892,.T.); #38855=FACE_OUTER_BOUND('',#60893,.T.); #38856=FACE_OUTER_BOUND('',#60894,.T.); #38857=FACE_OUTER_BOUND('',#60895,.T.); #38858=FACE_OUTER_BOUND('',#60896,.T.); #38859=FACE_OUTER_BOUND('',#60897,.T.); #38860=FACE_OUTER_BOUND('',#60899,.T.); #38861=FACE_OUTER_BOUND('',#60901,.T.); #38862=FACE_OUTER_BOUND('',#60902,.T.); #38863=FACE_OUTER_BOUND('',#60903,.T.); #38864=FACE_OUTER_BOUND('',#60904,.T.); #38865=FACE_OUTER_BOUND('',#60905,.T.); #38866=FACE_OUTER_BOUND('',#60906,.T.); #38867=FACE_OUTER_BOUND('',#60907,.T.); #38868=FACE_OUTER_BOUND('',#60908,.T.); #38869=FACE_OUTER_BOUND('',#60909,.T.); #38870=EDGE_LOOP('',(#220682,#220683,#220684,#220685)); #38871=EDGE_LOOP('',(#220686,#220687,#220688,#220689)); #38872=EDGE_LOOP('',(#220690,#220691,#220692,#220693)); #38873=EDGE_LOOP('',(#220694,#220695,#220696,#220697)); #38874=EDGE_LOOP('',(#220698,#220699,#220700,#220701)); #38875=EDGE_LOOP('',(#220702,#220703,#220704,#220705)); #38876=EDGE_LOOP('',(#220706,#220707,#220708,#220709)); #38877=EDGE_LOOP('',(#220710,#220711,#220712,#220713)); #38878=EDGE_LOOP('',(#220714,#220715,#220716,#220717)); #38879=EDGE_LOOP('',(#220718,#220719,#220720,#220721)); #38880=EDGE_LOOP('',(#220722,#220723,#220724,#220725)); #38881=EDGE_LOOP('',(#220726,#220727,#220728,#220729)); #38882=EDGE_LOOP('',(#220730,#220731,#220732,#220733)); #38883=EDGE_LOOP('',(#220734,#220735,#220736,#220737)); #38884=EDGE_LOOP('',(#220738,#220739,#220740,#220741)); #38885=EDGE_LOOP('',(#220742,#220743,#220744,#220745)); #38886=EDGE_LOOP('',(#220746,#220747,#220748,#220749)); #38887=EDGE_LOOP('',(#220750,#220751,#220752,#220753)); #38888=EDGE_LOOP('',(#220754,#220755,#220756,#220757)); #38889=EDGE_LOOP('',(#220758,#220759,#220760,#220761)); #38890=EDGE_LOOP('',(#220762,#220763,#220764,#220765)); #38891=EDGE_LOOP('',(#220766,#220767,#220768,#220769)); #38892=EDGE_LOOP('',(#220770,#220771,#220772,#220773)); #38893=EDGE_LOOP('',(#220774,#220775,#220776,#220777)); #38894=EDGE_LOOP('',(#220778,#220779,#220780,#220781)); #38895=EDGE_LOOP('',(#220782,#220783,#220784,#220785)); #38896=EDGE_LOOP('',(#220786,#220787,#220788,#220789)); #38897=EDGE_LOOP('',(#220790,#220791,#220792,#220793)); #38898=EDGE_LOOP('',(#220794,#220795,#220796,#220797)); #38899=EDGE_LOOP('',(#220798,#220799,#220800,#220801)); #38900=EDGE_LOOP('',(#220802,#220803,#220804,#220805)); #38901=EDGE_LOOP('',(#220806,#220807,#220808,#220809)); #38902=EDGE_LOOP('',(#220810,#220811,#220812,#220813)); #38903=EDGE_LOOP('',(#220814,#220815,#220816,#220817)); #38904=EDGE_LOOP('',(#220818,#220819,#220820,#220821)); #38905=EDGE_LOOP('',(#220822,#220823,#220824,#220825)); #38906=EDGE_LOOP('',(#220826,#220827,#220828,#220829)); #38907=EDGE_LOOP('',(#220830,#220831,#220832,#220833)); #38908=EDGE_LOOP('',(#220834,#220835,#220836,#220837)); #38909=EDGE_LOOP('',(#220838,#220839,#220840,#220841)); #38910=EDGE_LOOP('',(#220842,#220843,#220844,#220845)); #38911=EDGE_LOOP('',(#220846,#220847,#220848,#220849)); #38912=EDGE_LOOP('',(#220850,#220851,#220852,#220853)); #38913=EDGE_LOOP('',(#220854,#220855,#220856,#220857)); #38914=EDGE_LOOP('',(#220858,#220859,#220860,#220861)); #38915=EDGE_LOOP('',(#220862,#220863,#220864,#220865)); #38916=EDGE_LOOP('',(#220866,#220867,#220868,#220869)); #38917=EDGE_LOOP('',(#220870,#220871,#220872,#220873)); #38918=EDGE_LOOP('',(#220874,#220875,#220876,#220877)); #38919=EDGE_LOOP('',(#220878,#220879,#220880,#220881)); #38920=EDGE_LOOP('',(#220882,#220883,#220884,#220885)); #38921=EDGE_LOOP('',(#220886,#220887,#220888,#220889)); #38922=EDGE_LOOP('',(#220890,#220891,#220892,#220893)); #38923=EDGE_LOOP('',(#220894,#220895,#220896,#220897)); #38924=EDGE_LOOP('',(#220898,#220899,#220900,#220901)); #38925=EDGE_LOOP('',(#220902,#220903,#220904,#220905)); #38926=EDGE_LOOP('',(#220906,#220907,#220908,#220909)); #38927=EDGE_LOOP('',(#220910,#220911,#220912,#220913)); #38928=EDGE_LOOP('',(#220914,#220915,#220916,#220917)); #38929=EDGE_LOOP('',(#220918,#220919,#220920,#220921)); #38930=EDGE_LOOP('',(#220922,#220923,#220924,#220925)); #38931=EDGE_LOOP('',(#220926,#220927,#220928,#220929)); #38932=EDGE_LOOP('',(#220930,#220931,#220932,#220933)); #38933=EDGE_LOOP('',(#220934,#220935,#220936,#220937)); #38934=EDGE_LOOP('',(#220938,#220939,#220940,#220941)); #38935=EDGE_LOOP('',(#220942,#220943,#220944,#220945)); #38936=EDGE_LOOP('',(#220946,#220947,#220948,#220949)); #38937=EDGE_LOOP('',(#220950,#220951,#220952,#220953)); #38938=EDGE_LOOP('',(#220954,#220955,#220956,#220957)); #38939=EDGE_LOOP('',(#220958,#220959,#220960,#220961)); #38940=EDGE_LOOP('',(#220962,#220963,#220964,#220965)); #38941=EDGE_LOOP('',(#220966,#220967,#220968,#220969)); #38942=EDGE_LOOP('',(#220970,#220971,#220972,#220973)); #38943=EDGE_LOOP('',(#220974,#220975,#220976,#220977)); #38944=EDGE_LOOP('',(#220978,#220979,#220980,#220981)); #38945=EDGE_LOOP('',(#220982,#220983,#220984,#220985)); #38946=EDGE_LOOP('',(#220986,#220987,#220988,#220989)); #38947=EDGE_LOOP('',(#220990,#220991,#220992,#220993)); #38948=EDGE_LOOP('',(#220994,#220995,#220996,#220997)); #38949=EDGE_LOOP('',(#220998,#220999,#221000,#221001)); #38950=EDGE_LOOP('',(#221002,#221003,#221004,#221005)); #38951=EDGE_LOOP('',(#221006,#221007,#221008,#221009)); #38952=EDGE_LOOP('',(#221010,#221011,#221012,#221013)); #38953=EDGE_LOOP('',(#221014,#221015,#221016,#221017)); #38954=EDGE_LOOP('',(#221018,#221019,#221020,#221021)); #38955=EDGE_LOOP('',(#221022,#221023,#221024,#221025)); #38956=EDGE_LOOP('',(#221026,#221027,#221028,#221029)); #38957=EDGE_LOOP('',(#221030,#221031,#221032,#221033)); #38958=EDGE_LOOP('',(#221034,#221035,#221036,#221037)); #38959=EDGE_LOOP('',(#221038,#221039,#221040,#221041)); #38960=EDGE_LOOP('',(#221042,#221043,#221044,#221045)); #38961=EDGE_LOOP('',(#221046,#221047,#221048,#221049)); #38962=EDGE_LOOP('',(#221050,#221051,#221052,#221053)); #38963=EDGE_LOOP('',(#221054,#221055,#221056,#221057)); #38964=EDGE_LOOP('',(#221058,#221059,#221060,#221061)); #38965=EDGE_LOOP('',(#221062,#221063,#221064,#221065)); #38966=EDGE_LOOP('',(#221066,#221067,#221068,#221069)); #38967=EDGE_LOOP('',(#221070,#221071,#221072,#221073)); #38968=EDGE_LOOP('',(#221074,#221075,#221076,#221077)); #38969=EDGE_LOOP('',(#221078,#221079,#221080,#221081)); #38970=EDGE_LOOP('',(#221082,#221083,#221084,#221085)); #38971=EDGE_LOOP('',(#221086,#221087,#221088,#221089)); #38972=EDGE_LOOP('',(#221090,#221091,#221092,#221093)); #38973=EDGE_LOOP('',(#221094,#221095,#221096,#221097)); #38974=EDGE_LOOP('',(#221098,#221099,#221100,#221101)); #38975=EDGE_LOOP('',(#221102,#221103,#221104,#221105)); #38976=EDGE_LOOP('',(#221106,#221107,#221108,#221109)); #38977=EDGE_LOOP('',(#221110,#221111,#221112,#221113)); #38978=EDGE_LOOP('',(#221114,#221115,#221116,#221117)); #38979=EDGE_LOOP('',(#221118,#221119,#221120,#221121)); #38980=EDGE_LOOP('',(#221122,#221123,#221124,#221125)); #38981=EDGE_LOOP('',(#221126,#221127,#221128,#221129)); #38982=EDGE_LOOP('',(#221130,#221131,#221132,#221133)); #38983=EDGE_LOOP('',(#221134,#221135,#221136,#221137)); #38984=EDGE_LOOP('',(#221138,#221139,#221140,#221141)); #38985=EDGE_LOOP('',(#221142,#221143,#221144,#221145)); #38986=EDGE_LOOP('',(#221146,#221147,#221148,#221149)); #38987=EDGE_LOOP('',(#221150,#221151,#221152,#221153)); #38988=EDGE_LOOP('',(#221154,#221155,#221156,#221157)); #38989=EDGE_LOOP('',(#221158,#221159,#221160,#221161)); #38990=EDGE_LOOP('',(#221162,#221163,#221164,#221165)); #38991=EDGE_LOOP('',(#221166,#221167,#221168,#221169)); #38992=EDGE_LOOP('',(#221170,#221171,#221172,#221173)); #38993=EDGE_LOOP('',(#221174,#221175,#221176,#221177)); #38994=EDGE_LOOP('',(#221178,#221179,#221180,#221181)); #38995=EDGE_LOOP('',(#221182,#221183,#221184,#221185)); #38996=EDGE_LOOP('',(#221186,#221187,#221188,#221189)); #38997=EDGE_LOOP('',(#221190,#221191,#221192,#221193)); #38998=EDGE_LOOP('',(#221194,#221195,#221196,#221197)); #38999=EDGE_LOOP('',(#221198,#221199,#221200,#221201)); #39000=EDGE_LOOP('',(#221202,#221203,#221204,#221205)); #39001=EDGE_LOOP('',(#221206,#221207,#221208,#221209)); #39002=EDGE_LOOP('',(#221210,#221211,#221212,#221213)); #39003=EDGE_LOOP('',(#221214,#221215,#221216,#221217)); #39004=EDGE_LOOP('',(#221218,#221219,#221220,#221221)); #39005=EDGE_LOOP('',(#221222,#221223,#221224,#221225)); #39006=EDGE_LOOP('',(#221226,#221227,#221228,#221229)); #39007=EDGE_LOOP('',(#221230,#221231,#221232,#221233)); #39008=EDGE_LOOP('',(#221234,#221235,#221236,#221237)); #39009=EDGE_LOOP('',(#221238,#221239,#221240,#221241)); #39010=EDGE_LOOP('',(#221242,#221243,#221244,#221245)); #39011=EDGE_LOOP('',(#221246,#221247,#221248,#221249)); #39012=EDGE_LOOP('',(#221250,#221251,#221252,#221253)); #39013=EDGE_LOOP('',(#221254,#221255,#221256,#221257)); #39014=EDGE_LOOP('',(#221258,#221259,#221260,#221261)); #39015=EDGE_LOOP('',(#221262,#221263,#221264,#221265)); #39016=EDGE_LOOP('',(#221266,#221267,#221268,#221269)); #39017=EDGE_LOOP('',(#221270,#221271,#221272,#221273)); #39018=EDGE_LOOP('',(#221274,#221275,#221276,#221277)); #39019=EDGE_LOOP('',(#221278,#221279,#221280,#221281)); #39020=EDGE_LOOP('',(#221282,#221283,#221284,#221285)); #39021=EDGE_LOOP('',(#221286,#221287,#221288,#221289)); #39022=EDGE_LOOP('',(#221290,#221291,#221292,#221293)); #39023=EDGE_LOOP('',(#221294,#221295,#221296,#221297)); #39024=EDGE_LOOP('',(#221298,#221299,#221300,#221301)); #39025=EDGE_LOOP('',(#221302,#221303,#221304,#221305)); #39026=EDGE_LOOP('',(#221306,#221307,#221308,#221309)); #39027=EDGE_LOOP('',(#221310,#221311,#221312,#221313)); #39028=EDGE_LOOP('',(#221314,#221315,#221316,#221317)); #39029=EDGE_LOOP('',(#221318,#221319,#221320,#221321)); #39030=EDGE_LOOP('',(#221322,#221323,#221324,#221325)); #39031=EDGE_LOOP('',(#221326,#221327,#221328,#221329)); #39032=EDGE_LOOP('',(#221330,#221331,#221332,#221333)); #39033=EDGE_LOOP('',(#221334,#221335,#221336,#221337)); #39034=EDGE_LOOP('',(#221338,#221339,#221340,#221341)); #39035=EDGE_LOOP('',(#221342,#221343,#221344,#221345)); #39036=EDGE_LOOP('',(#221346,#221347,#221348,#221349)); #39037=EDGE_LOOP('',(#221350,#221351,#221352,#221353)); #39038=EDGE_LOOP('',(#221354,#221355,#221356,#221357)); #39039=EDGE_LOOP('',(#221358,#221359,#221360,#221361)); #39040=EDGE_LOOP('',(#221362,#221363,#221364,#221365)); #39041=EDGE_LOOP('',(#221366,#221367,#221368,#221369)); #39042=EDGE_LOOP('',(#221370,#221371,#221372,#221373)); #39043=EDGE_LOOP('',(#221374,#221375,#221376,#221377)); #39044=EDGE_LOOP('',(#221378,#221379,#221380,#221381)); #39045=EDGE_LOOP('',(#221382,#221383,#221384,#221385)); #39046=EDGE_LOOP('',(#221386,#221387,#221388,#221389)); #39047=EDGE_LOOP('',(#221390,#221391,#221392,#221393)); #39048=EDGE_LOOP('',(#221394,#221395,#221396,#221397)); #39049=EDGE_LOOP('',(#221398,#221399,#221400,#221401)); #39050=EDGE_LOOP('',(#221402,#221403,#221404,#221405)); #39051=EDGE_LOOP('',(#221406,#221407,#221408,#221409)); #39052=EDGE_LOOP('',(#221410,#221411,#221412,#221413)); #39053=EDGE_LOOP('',(#221414,#221415,#221416,#221417)); #39054=EDGE_LOOP('',(#221418,#221419,#221420,#221421)); #39055=EDGE_LOOP('',(#221422,#221423,#221424,#221425)); #39056=EDGE_LOOP('',(#221426,#221427,#221428,#221429)); #39057=EDGE_LOOP('',(#221430,#221431,#221432,#221433)); #39058=EDGE_LOOP('',(#221434,#221435,#221436,#221437)); #39059=EDGE_LOOP('',(#221438,#221439,#221440,#221441)); #39060=EDGE_LOOP('',(#221442,#221443,#221444,#221445)); #39061=EDGE_LOOP('',(#221446,#221447,#221448,#221449)); #39062=EDGE_LOOP('',(#221450,#221451,#221452,#221453)); #39063=EDGE_LOOP('',(#221454,#221455,#221456,#221457)); #39064=EDGE_LOOP('',(#221458,#221459,#221460,#221461)); #39065=EDGE_LOOP('',(#221462,#221463,#221464,#221465)); #39066=EDGE_LOOP('',(#221466,#221467,#221468,#221469)); #39067=EDGE_LOOP('',(#221470,#221471,#221472,#221473)); #39068=EDGE_LOOP('',(#221474,#221475,#221476,#221477)); #39069=EDGE_LOOP('',(#221478,#221479,#221480,#221481)); #39070=EDGE_LOOP('',(#221482,#221483,#221484,#221485)); #39071=EDGE_LOOP('',(#221486,#221487,#221488,#221489)); #39072=EDGE_LOOP('',(#221490,#221491,#221492,#221493)); #39073=EDGE_LOOP('',(#221494,#221495,#221496,#221497)); #39074=EDGE_LOOP('',(#221498,#221499,#221500,#221501)); #39075=EDGE_LOOP('',(#221502,#221503,#221504,#221505)); #39076=EDGE_LOOP('',(#221506,#221507,#221508,#221509)); #39077=EDGE_LOOP('',(#221510,#221511,#221512,#221513)); #39078=EDGE_LOOP('',(#221514,#221515,#221516,#221517)); #39079=EDGE_LOOP('',(#221518,#221519,#221520,#221521)); #39080=EDGE_LOOP('',(#221522,#221523,#221524,#221525)); #39081=EDGE_LOOP('',(#221526,#221527,#221528,#221529)); #39082=EDGE_LOOP('',(#221530,#221531,#221532,#221533)); #39083=EDGE_LOOP('',(#221534,#221535,#221536,#221537)); #39084=EDGE_LOOP('',(#221538,#221539,#221540,#221541)); #39085=EDGE_LOOP('',(#221542,#221543,#221544,#221545)); #39086=EDGE_LOOP('',(#221546,#221547,#221548,#221549)); #39087=EDGE_LOOP('',(#221550,#221551,#221552,#221553)); #39088=EDGE_LOOP('',(#221554,#221555,#221556,#221557)); #39089=EDGE_LOOP('',(#221558,#221559,#221560,#221561)); #39090=EDGE_LOOP('',(#221562,#221563,#221564,#221565)); #39091=EDGE_LOOP('',(#221566,#221567,#221568,#221569)); #39092=EDGE_LOOP('',(#221570,#221571,#221572,#221573)); #39093=EDGE_LOOP('',(#221574,#221575,#221576,#221577)); #39094=EDGE_LOOP('',(#221578,#221579,#221580,#221581)); #39095=EDGE_LOOP('',(#221582,#221583,#221584,#221585)); #39096=EDGE_LOOP('',(#221586,#221587,#221588,#221589)); #39097=EDGE_LOOP('',(#221590,#221591,#221592,#221593)); #39098=EDGE_LOOP('',(#221594,#221595,#221596,#221597)); #39099=EDGE_LOOP('',(#221598,#221599,#221600,#221601)); #39100=EDGE_LOOP('',(#221602,#221603,#221604,#221605)); #39101=EDGE_LOOP('',(#221606,#221607,#221608,#221609)); #39102=EDGE_LOOP('',(#221610,#221611,#221612,#221613)); #39103=EDGE_LOOP('',(#221614,#221615,#221616,#221617)); #39104=EDGE_LOOP('',(#221618,#221619,#221620,#221621)); #39105=EDGE_LOOP('',(#221622,#221623,#221624,#221625)); #39106=EDGE_LOOP('',(#221626,#221627,#221628,#221629)); #39107=EDGE_LOOP('',(#221630,#221631,#221632,#221633)); #39108=EDGE_LOOP('',(#221634,#221635,#221636,#221637)); #39109=EDGE_LOOP('',(#221638,#221639,#221640,#221641)); #39110=EDGE_LOOP('',(#221642,#221643,#221644,#221645)); #39111=EDGE_LOOP('',(#221646,#221647,#221648,#221649)); #39112=EDGE_LOOP('',(#221650,#221651,#221652,#221653)); #39113=EDGE_LOOP('',(#221654,#221655,#221656,#221657)); #39114=EDGE_LOOP('',(#221658,#221659,#221660,#221661)); #39115=EDGE_LOOP('',(#221662,#221663,#221664,#221665)); #39116=EDGE_LOOP('',(#221666,#221667,#221668,#221669)); #39117=EDGE_LOOP('',(#221670,#221671,#221672,#221673)); #39118=EDGE_LOOP('',(#221674,#221675,#221676,#221677)); #39119=EDGE_LOOP('',(#221678,#221679,#221680,#221681)); #39120=EDGE_LOOP('',(#221682,#221683,#221684,#221685)); #39121=EDGE_LOOP('',(#221686,#221687,#221688,#221689)); #39122=EDGE_LOOP('',(#221690,#221691,#221692,#221693)); #39123=EDGE_LOOP('',(#221694,#221695,#221696,#221697)); #39124=EDGE_LOOP('',(#221698,#221699,#221700,#221701)); #39125=EDGE_LOOP('',(#221702,#221703,#221704,#221705)); #39126=EDGE_LOOP('',(#221706,#221707,#221708,#221709)); #39127=EDGE_LOOP('',(#221710,#221711,#221712,#221713)); #39128=EDGE_LOOP('',(#221714,#221715,#221716,#221717)); #39129=EDGE_LOOP('',(#221718,#221719,#221720,#221721)); #39130=EDGE_LOOP('',(#221722,#221723,#221724,#221725)); #39131=EDGE_LOOP('',(#221726,#221727,#221728,#221729)); #39132=EDGE_LOOP('',(#221730,#221731,#221732,#221733)); #39133=EDGE_LOOP('',(#221734,#221735,#221736,#221737)); #39134=EDGE_LOOP('',(#221738,#221739,#221740,#221741)); #39135=EDGE_LOOP('',(#221742,#221743,#221744,#221745)); #39136=EDGE_LOOP('',(#221746,#221747,#221748,#221749)); #39137=EDGE_LOOP('',(#221750,#221751,#221752,#221753)); #39138=EDGE_LOOP('',(#221754,#221755,#221756,#221757)); #39139=EDGE_LOOP('',(#221758,#221759,#221760,#221761)); #39140=EDGE_LOOP('',(#221762,#221763,#221764,#221765)); #39141=EDGE_LOOP('',(#221766,#221767,#221768,#221769)); #39142=EDGE_LOOP('',(#221770,#221771,#221772,#221773)); #39143=EDGE_LOOP('',(#221774,#221775,#221776,#221777)); #39144=EDGE_LOOP('',(#221778,#221779,#221780,#221781)); #39145=EDGE_LOOP('',(#221782,#221783,#221784,#221785)); #39146=EDGE_LOOP('',(#221786,#221787,#221788,#221789)); #39147=EDGE_LOOP('',(#221790,#221791,#221792,#221793)); #39148=EDGE_LOOP('',(#221794,#221795,#221796,#221797)); #39149=EDGE_LOOP('',(#221798,#221799,#221800,#221801)); #39150=EDGE_LOOP('',(#221802,#221803,#221804,#221805)); #39151=EDGE_LOOP('',(#221806,#221807,#221808,#221809)); #39152=EDGE_LOOP('',(#221810,#221811,#221812,#221813)); #39153=EDGE_LOOP('',(#221814,#221815,#221816,#221817)); #39154=EDGE_LOOP('',(#221818,#221819,#221820,#221821)); #39155=EDGE_LOOP('',(#221822,#221823,#221824,#221825)); #39156=EDGE_LOOP('',(#221826,#221827,#221828,#221829)); #39157=EDGE_LOOP('',(#221830,#221831,#221832,#221833)); #39158=EDGE_LOOP('',(#221834,#221835,#221836,#221837)); #39159=EDGE_LOOP('',(#221838,#221839,#221840,#221841)); #39160=EDGE_LOOP('',(#221842,#221843,#221844,#221845)); #39161=EDGE_LOOP('',(#221846,#221847,#221848,#221849)); #39162=EDGE_LOOP('',(#221850,#221851,#221852,#221853)); #39163=EDGE_LOOP('',(#221854,#221855,#221856,#221857)); #39164=EDGE_LOOP('',(#221858,#221859,#221860,#221861)); #39165=EDGE_LOOP('',(#221862,#221863,#221864,#221865)); #39166=EDGE_LOOP('',(#221866,#221867,#221868,#221869)); #39167=EDGE_LOOP('',(#221870,#221871,#221872,#221873)); #39168=EDGE_LOOP('',(#221874,#221875,#221876,#221877)); #39169=EDGE_LOOP('',(#221878,#221879,#221880,#221881)); #39170=EDGE_LOOP('',(#221882,#221883,#221884,#221885)); #39171=EDGE_LOOP('',(#221886,#221887,#221888,#221889)); #39172=EDGE_LOOP('',(#221890,#221891,#221892,#221893)); #39173=EDGE_LOOP('',(#221894,#221895,#221896,#221897)); #39174=EDGE_LOOP('',(#221898,#221899,#221900,#221901)); #39175=EDGE_LOOP('',(#221902,#221903,#221904,#221905)); #39176=EDGE_LOOP('',(#221906,#221907,#221908,#221909)); #39177=EDGE_LOOP('',(#221910,#221911,#221912,#221913)); #39178=EDGE_LOOP('',(#221914,#221915,#221916,#221917)); #39179=EDGE_LOOP('',(#221918,#221919,#221920,#221921)); #39180=EDGE_LOOP('',(#221922,#221923,#221924,#221925)); #39181=EDGE_LOOP('',(#221926,#221927,#221928,#221929)); #39182=EDGE_LOOP('',(#221930,#221931,#221932,#221933)); #39183=EDGE_LOOP('',(#221934,#221935,#221936,#221937)); #39184=EDGE_LOOP('',(#221938,#221939,#221940,#221941)); #39185=EDGE_LOOP('',(#221942,#221943,#221944,#221945)); #39186=EDGE_LOOP('',(#221946,#221947,#221948,#221949)); #39187=EDGE_LOOP('',(#221950,#221951,#221952,#221953)); #39188=EDGE_LOOP('',(#221954,#221955,#221956,#221957)); #39189=EDGE_LOOP('',(#221958,#221959,#221960,#221961)); #39190=EDGE_LOOP('',(#221962,#221963,#221964,#221965)); #39191=EDGE_LOOP('',(#221966,#221967,#221968,#221969)); #39192=EDGE_LOOP('',(#221970,#221971,#221972,#221973)); #39193=EDGE_LOOP('',(#221974,#221975,#221976,#221977)); #39194=EDGE_LOOP('',(#221978,#221979,#221980,#221981)); #39195=EDGE_LOOP('',(#221982,#221983,#221984,#221985)); #39196=EDGE_LOOP('',(#221986,#221987,#221988,#221989)); #39197=EDGE_LOOP('',(#221990,#221991,#221992,#221993)); #39198=EDGE_LOOP('',(#221994,#221995,#221996,#221997)); #39199=EDGE_LOOP('',(#221998,#221999,#222000,#222001)); #39200=EDGE_LOOP('',(#222002,#222003,#222004,#222005)); #39201=EDGE_LOOP('',(#222006,#222007,#222008,#222009)); #39202=EDGE_LOOP('',(#222010,#222011,#222012,#222013)); #39203=EDGE_LOOP('',(#222014,#222015,#222016,#222017)); #39204=EDGE_LOOP('',(#222018,#222019,#222020,#222021)); #39205=EDGE_LOOP('',(#222022,#222023,#222024,#222025)); #39206=EDGE_LOOP('',(#222026,#222027,#222028,#222029)); #39207=EDGE_LOOP('',(#222030,#222031,#222032,#222033)); #39208=EDGE_LOOP('',(#222034,#222035,#222036,#222037)); #39209=EDGE_LOOP('',(#222038,#222039,#222040,#222041)); #39210=EDGE_LOOP('',(#222042,#222043,#222044,#222045)); #39211=EDGE_LOOP('',(#222046,#222047,#222048,#222049)); #39212=EDGE_LOOP('',(#222050,#222051,#222052,#222053)); #39213=EDGE_LOOP('',(#222054,#222055,#222056,#222057)); #39214=EDGE_LOOP('',(#222058,#222059,#222060,#222061)); #39215=EDGE_LOOP('',(#222062,#222063,#222064,#222065)); #39216=EDGE_LOOP('',(#222066,#222067,#222068,#222069)); #39217=EDGE_LOOP('',(#222070,#222071,#222072,#222073)); #39218=EDGE_LOOP('',(#222074,#222075,#222076,#222077)); #39219=EDGE_LOOP('',(#222078,#222079,#222080,#222081)); #39220=EDGE_LOOP('',(#222082,#222083,#222084,#222085)); #39221=EDGE_LOOP('',(#222086)); #39222=EDGE_LOOP('',(#222087)); #39223=EDGE_LOOP('',(#222088)); #39224=EDGE_LOOP('',(#222089)); #39225=EDGE_LOOP('',(#222090)); #39226=EDGE_LOOP('',(#222091)); #39227=EDGE_LOOP('',(#222092)); #39228=EDGE_LOOP('',(#222093)); #39229=EDGE_LOOP('',(#222094)); #39230=EDGE_LOOP('',(#222095)); #39231=EDGE_LOOP('',(#222096)); #39232=EDGE_LOOP('',(#222097)); #39233=EDGE_LOOP('',(#222098)); #39234=EDGE_LOOP('',(#222099)); #39235=EDGE_LOOP('',(#222100)); #39236=EDGE_LOOP('',(#222101)); #39237=EDGE_LOOP('',(#222102)); #39238=EDGE_LOOP('',(#222103)); #39239=EDGE_LOOP('',(#222104)); #39240=EDGE_LOOP('',(#222105)); #39241=EDGE_LOOP('',(#222106)); #39242=EDGE_LOOP('',(#222107)); #39243=EDGE_LOOP('',(#222108)); #39244=EDGE_LOOP('',(#222109)); #39245=EDGE_LOOP('',(#222110)); #39246=EDGE_LOOP('',(#222111)); #39247=EDGE_LOOP('',(#222112)); #39248=EDGE_LOOP('',(#222113)); #39249=EDGE_LOOP('',(#222114)); #39250=EDGE_LOOP('',(#222115)); #39251=EDGE_LOOP('',(#222116)); #39252=EDGE_LOOP('',(#222117)); #39253=EDGE_LOOP('',(#222118)); #39254=EDGE_LOOP('',(#222119)); #39255=EDGE_LOOP('',(#222120)); #39256=EDGE_LOOP('',(#222121)); #39257=EDGE_LOOP('',(#222122)); #39258=EDGE_LOOP('',(#222123)); #39259=EDGE_LOOP('',(#222124)); #39260=EDGE_LOOP('',(#222125)); #39261=EDGE_LOOP('',(#222126,#222127,#222128,#222129)); #39262=EDGE_LOOP('',(#222130)); #39263=EDGE_LOOP('',(#222131,#222132,#222133,#222134)); #39264=EDGE_LOOP('',(#222135)); #39265=EDGE_LOOP('',(#222136)); #39266=EDGE_LOOP('',(#222137)); #39267=EDGE_LOOP('',(#222138)); #39268=EDGE_LOOP('',(#222139)); #39269=EDGE_LOOP('',(#222140)); #39270=EDGE_LOOP('',(#222141)); #39271=EDGE_LOOP('',(#222142)); #39272=EDGE_LOOP('',(#222143)); #39273=EDGE_LOOP('',(#222144)); #39274=EDGE_LOOP('',(#222145)); #39275=EDGE_LOOP('',(#222146,#222147,#222148,#222149)); #39276=EDGE_LOOP('',(#222150)); #39277=EDGE_LOOP('',(#222151,#222152,#222153,#222154)); #39278=EDGE_LOOP('',(#222155)); #39279=EDGE_LOOP('',(#222156,#222157,#222158,#222159)); #39280=EDGE_LOOP('',(#222160)); #39281=EDGE_LOOP('',(#222161,#222162,#222163,#222164)); #39282=EDGE_LOOP('',(#222165)); #39283=EDGE_LOOP('',(#222166)); #39284=EDGE_LOOP('',(#222167)); #39285=EDGE_LOOP('',(#222168)); #39286=EDGE_LOOP('',(#222169)); #39287=EDGE_LOOP('',(#222170)); #39288=EDGE_LOOP('',(#222171)); #39289=EDGE_LOOP('',(#222172)); #39290=EDGE_LOOP('',(#222173)); #39291=EDGE_LOOP('',(#222174)); #39292=EDGE_LOOP('',(#222175)); #39293=EDGE_LOOP('',(#222176)); #39294=EDGE_LOOP('',(#222177)); #39295=EDGE_LOOP('',(#222178)); #39296=EDGE_LOOP('',(#222179)); #39297=EDGE_LOOP('',(#222180)); #39298=EDGE_LOOP('',(#222181)); #39299=EDGE_LOOP('',(#222182)); #39300=EDGE_LOOP('',(#222183)); #39301=EDGE_LOOP('',(#222184)); #39302=EDGE_LOOP('',(#222185)); #39303=EDGE_LOOP('',(#222186)); #39304=EDGE_LOOP('',(#222187)); #39305=EDGE_LOOP('',(#222188)); #39306=EDGE_LOOP('',(#222189)); #39307=EDGE_LOOP('',(#222190)); #39308=EDGE_LOOP('',(#222191)); #39309=EDGE_LOOP('',(#222192)); #39310=EDGE_LOOP('',(#222193)); #39311=EDGE_LOOP('',(#222194)); #39312=EDGE_LOOP('',(#222195)); #39313=EDGE_LOOP('',(#222196)); #39314=EDGE_LOOP('',(#222197)); #39315=EDGE_LOOP('',(#222198)); #39316=EDGE_LOOP('',(#222199)); #39317=EDGE_LOOP('',(#222200)); #39318=EDGE_LOOP('',(#222201)); #39319=EDGE_LOOP('',(#222202)); #39320=EDGE_LOOP('',(#222203)); #39321=EDGE_LOOP('',(#222204)); #39322=EDGE_LOOP('',(#222205)); #39323=EDGE_LOOP('',(#222206)); #39324=EDGE_LOOP('',(#222207)); #39325=EDGE_LOOP('',(#222208)); #39326=EDGE_LOOP('',(#222209)); #39327=EDGE_LOOP('',(#222210)); #39328=EDGE_LOOP('',(#222211)); #39329=EDGE_LOOP('',(#222212)); #39330=EDGE_LOOP('',(#222213)); #39331=EDGE_LOOP('',(#222214)); #39332=EDGE_LOOP('',(#222215)); #39333=EDGE_LOOP('',(#222216)); #39334=EDGE_LOOP('',(#222217)); #39335=EDGE_LOOP('',(#222218)); #39336=EDGE_LOOP('',(#222219)); #39337=EDGE_LOOP('',(#222220)); #39338=EDGE_LOOP('',(#222221)); #39339=EDGE_LOOP('',(#222222)); #39340=EDGE_LOOP('',(#222223)); #39341=EDGE_LOOP('',(#222224)); #39342=EDGE_LOOP('',(#222225)); #39343=EDGE_LOOP('',(#222226)); #39344=EDGE_LOOP('',(#222227)); #39345=EDGE_LOOP('',(#222228)); #39346=EDGE_LOOP('',(#222229)); #39347=EDGE_LOOP('',(#222230)); #39348=EDGE_LOOP('',(#222231)); #39349=EDGE_LOOP('',(#222232)); #39350=EDGE_LOOP('',(#222233)); #39351=EDGE_LOOP('',(#222234)); #39352=EDGE_LOOP('',(#222235)); #39353=EDGE_LOOP('',(#222236)); #39354=EDGE_LOOP('',(#222237)); #39355=EDGE_LOOP('',(#222238)); #39356=EDGE_LOOP('',(#222239)); #39357=EDGE_LOOP('',(#222240)); #39358=EDGE_LOOP('',(#222241)); #39359=EDGE_LOOP('',(#222242)); #39360=EDGE_LOOP('',(#222243)); #39361=EDGE_LOOP('',(#222244)); #39362=EDGE_LOOP('',(#222245)); #39363=EDGE_LOOP('',(#222246)); #39364=EDGE_LOOP('',(#222247)); #39365=EDGE_LOOP('',(#222248)); #39366=EDGE_LOOP('',(#222249)); #39367=EDGE_LOOP('',(#222250)); #39368=EDGE_LOOP('',(#222251)); #39369=EDGE_LOOP('',(#222252)); #39370=EDGE_LOOP('',(#222253)); #39371=EDGE_LOOP('',(#222254)); #39372=EDGE_LOOP('',(#222255)); #39373=EDGE_LOOP('',(#222256)); #39374=EDGE_LOOP('',(#222257)); #39375=EDGE_LOOP('',(#222258)); #39376=EDGE_LOOP('',(#222259)); #39377=EDGE_LOOP('',(#222260)); #39378=EDGE_LOOP('',(#222261)); #39379=EDGE_LOOP('',(#222262)); #39380=EDGE_LOOP('',(#222263)); #39381=EDGE_LOOP('',(#222264)); #39382=EDGE_LOOP('',(#222265)); #39383=EDGE_LOOP('',(#222266)); #39384=EDGE_LOOP('',(#222267)); #39385=EDGE_LOOP('',(#222268)); #39386=EDGE_LOOP('',(#222269)); #39387=EDGE_LOOP('',(#222270)); #39388=EDGE_LOOP('',(#222271)); #39389=EDGE_LOOP('',(#222272)); #39390=EDGE_LOOP('',(#222273)); #39391=EDGE_LOOP('',(#222274)); #39392=EDGE_LOOP('',(#222275)); #39393=EDGE_LOOP('',(#222276)); #39394=EDGE_LOOP('',(#222277)); #39395=EDGE_LOOP('',(#222278)); #39396=EDGE_LOOP('',(#222279)); #39397=EDGE_LOOP('',(#222280)); #39398=EDGE_LOOP('',(#222281)); #39399=EDGE_LOOP('',(#222282)); #39400=EDGE_LOOP('',(#222283)); #39401=EDGE_LOOP('',(#222284)); #39402=EDGE_LOOP('',(#222285)); #39403=EDGE_LOOP('',(#222286)); #39404=EDGE_LOOP('',(#222287)); #39405=EDGE_LOOP('',(#222288)); #39406=EDGE_LOOP('',(#222289)); #39407=EDGE_LOOP('',(#222290)); #39408=EDGE_LOOP('',(#222291)); #39409=EDGE_LOOP('',(#222292)); #39410=EDGE_LOOP('',(#222293)); #39411=EDGE_LOOP('',(#222294)); #39412=EDGE_LOOP('',(#222295)); #39413=EDGE_LOOP('',(#222296)); #39414=EDGE_LOOP('',(#222297)); #39415=EDGE_LOOP('',(#222298)); #39416=EDGE_LOOP('',(#222299)); #39417=EDGE_LOOP('',(#222300)); #39418=EDGE_LOOP('',(#222301)); #39419=EDGE_LOOP('',(#222302)); #39420=EDGE_LOOP('',(#222303)); #39421=EDGE_LOOP('',(#222304)); #39422=EDGE_LOOP('',(#222305)); #39423=EDGE_LOOP('',(#222306)); #39424=EDGE_LOOP('',(#222307)); #39425=EDGE_LOOP('',(#222308)); #39426=EDGE_LOOP('',(#222309)); #39427=EDGE_LOOP('',(#222310)); #39428=EDGE_LOOP('',(#222311)); #39429=EDGE_LOOP('',(#222312)); #39430=EDGE_LOOP('',(#222313)); #39431=EDGE_LOOP('',(#222314)); #39432=EDGE_LOOP('',(#222315)); #39433=EDGE_LOOP('',(#222316)); #39434=EDGE_LOOP('',(#222317)); #39435=EDGE_LOOP('',(#222318)); #39436=EDGE_LOOP('',(#222319)); #39437=EDGE_LOOP('',(#222320)); #39438=EDGE_LOOP('',(#222321)); #39439=EDGE_LOOP('',(#222322)); #39440=EDGE_LOOP('',(#222323)); #39441=EDGE_LOOP('',(#222324)); #39442=EDGE_LOOP('',(#222325)); #39443=EDGE_LOOP('',(#222326)); #39444=EDGE_LOOP('',(#222327)); #39445=EDGE_LOOP('',(#222328)); #39446=EDGE_LOOP('',(#222329)); #39447=EDGE_LOOP('',(#222330)); #39448=EDGE_LOOP('',(#222331)); #39449=EDGE_LOOP('',(#222332)); #39450=EDGE_LOOP('',(#222333)); #39451=EDGE_LOOP('',(#222334)); #39452=EDGE_LOOP('',(#222335)); #39453=EDGE_LOOP('',(#222336)); #39454=EDGE_LOOP('',(#222337)); #39455=EDGE_LOOP('',(#222338)); #39456=EDGE_LOOP('',(#222339)); #39457=EDGE_LOOP('',(#222340)); #39458=EDGE_LOOP('',(#222341)); #39459=EDGE_LOOP('',(#222342)); #39460=EDGE_LOOP('',(#222343)); #39461=EDGE_LOOP('',(#222344)); #39462=EDGE_LOOP('',(#222345)); #39463=EDGE_LOOP('',(#222346)); #39464=EDGE_LOOP('',(#222347)); #39465=EDGE_LOOP('',(#222348)); #39466=EDGE_LOOP('',(#222349)); #39467=EDGE_LOOP('',(#222350)); #39468=EDGE_LOOP('',(#222351)); #39469=EDGE_LOOP('',(#222352)); #39470=EDGE_LOOP('',(#222353)); #39471=EDGE_LOOP('',(#222354)); #39472=EDGE_LOOP('',(#222355)); #39473=EDGE_LOOP('',(#222356)); #39474=EDGE_LOOP('',(#222357)); #39475=EDGE_LOOP('',(#222358)); #39476=EDGE_LOOP('',(#222359)); #39477=EDGE_LOOP('',(#222360)); #39478=EDGE_LOOP('',(#222361)); #39479=EDGE_LOOP('',(#222362)); #39480=EDGE_LOOP('',(#222363)); #39481=EDGE_LOOP('',(#222364)); #39482=EDGE_LOOP('',(#222365)); #39483=EDGE_LOOP('',(#222366)); #39484=EDGE_LOOP('',(#222367)); #39485=EDGE_LOOP('',(#222368)); #39486=EDGE_LOOP('',(#222369)); #39487=EDGE_LOOP('',(#222370)); #39488=EDGE_LOOP('',(#222371)); #39489=EDGE_LOOP('',(#222372)); #39490=EDGE_LOOP('',(#222373)); #39491=EDGE_LOOP('',(#222374)); #39492=EDGE_LOOP('',(#222375)); #39493=EDGE_LOOP('',(#222376)); #39494=EDGE_LOOP('',(#222377)); #39495=EDGE_LOOP('',(#222378)); #39496=EDGE_LOOP('',(#222379)); #39497=EDGE_LOOP('',(#222380)); #39498=EDGE_LOOP('',(#222381)); #39499=EDGE_LOOP('',(#222382)); #39500=EDGE_LOOP('',(#222383)); #39501=EDGE_LOOP('',(#222384)); #39502=EDGE_LOOP('',(#222385)); #39503=EDGE_LOOP('',(#222386)); #39504=EDGE_LOOP('',(#222387)); #39505=EDGE_LOOP('',(#222388)); #39506=EDGE_LOOP('',(#222389)); #39507=EDGE_LOOP('',(#222390)); #39508=EDGE_LOOP('',(#222391)); #39509=EDGE_LOOP('',(#222392)); #39510=EDGE_LOOP('',(#222393)); #39511=EDGE_LOOP('',(#222394)); #39512=EDGE_LOOP('',(#222395)); #39513=EDGE_LOOP('',(#222396)); #39514=EDGE_LOOP('',(#222397)); #39515=EDGE_LOOP('',(#222398)); #39516=EDGE_LOOP('',(#222399)); #39517=EDGE_LOOP('',(#222400)); #39518=EDGE_LOOP('',(#222401)); #39519=EDGE_LOOP('',(#222402)); #39520=EDGE_LOOP('',(#222403)); #39521=EDGE_LOOP('',(#222404)); #39522=EDGE_LOOP('',(#222405)); #39523=EDGE_LOOP('',(#222406)); #39524=EDGE_LOOP('',(#222407)); #39525=EDGE_LOOP('',(#222408)); #39526=EDGE_LOOP('',(#222409)); #39527=EDGE_LOOP('',(#222410)); #39528=EDGE_LOOP('',(#222411)); #39529=EDGE_LOOP('',(#222412)); #39530=EDGE_LOOP('',(#222413)); #39531=EDGE_LOOP('',(#222414)); #39532=EDGE_LOOP('',(#222415)); #39533=EDGE_LOOP('',(#222416)); #39534=EDGE_LOOP('',(#222417)); #39535=EDGE_LOOP('',(#222418)); #39536=EDGE_LOOP('',(#222419)); #39537=EDGE_LOOP('',(#222420)); #39538=EDGE_LOOP('',(#222421)); #39539=EDGE_LOOP('',(#222422)); #39540=EDGE_LOOP('',(#222423)); #39541=EDGE_LOOP('',(#222424)); #39542=EDGE_LOOP('',(#222425)); #39543=EDGE_LOOP('',(#222426)); #39544=EDGE_LOOP('',(#222427)); #39545=EDGE_LOOP('',(#222428)); #39546=EDGE_LOOP('',(#222429)); #39547=EDGE_LOOP('',(#222430)); #39548=EDGE_LOOP('',(#222431)); #39549=EDGE_LOOP('',(#222432)); #39550=EDGE_LOOP('',(#222433)); #39551=EDGE_LOOP('',(#222434)); #39552=EDGE_LOOP('',(#222435)); #39553=EDGE_LOOP('',(#222436)); #39554=EDGE_LOOP('',(#222437)); #39555=EDGE_LOOP('',(#222438)); #39556=EDGE_LOOP('',(#222439)); #39557=EDGE_LOOP('',(#222440)); #39558=EDGE_LOOP('',(#222441)); #39559=EDGE_LOOP('',(#222442)); #39560=EDGE_LOOP('',(#222443)); #39561=EDGE_LOOP('',(#222444)); #39562=EDGE_LOOP('',(#222445)); #39563=EDGE_LOOP('',(#222446)); #39564=EDGE_LOOP('',(#222447)); #39565=EDGE_LOOP('',(#222448)); #39566=EDGE_LOOP('',(#222449)); #39567=EDGE_LOOP('',(#222450)); #39568=EDGE_LOOP('',(#222451)); #39569=EDGE_LOOP('',(#222452)); #39570=EDGE_LOOP('',(#222453)); #39571=EDGE_LOOP('',(#222454)); #39572=EDGE_LOOP('',(#222455)); #39573=EDGE_LOOP('',(#222456)); #39574=EDGE_LOOP('',(#222457)); #39575=EDGE_LOOP('',(#222458)); #39576=EDGE_LOOP('',(#222459)); #39577=EDGE_LOOP('',(#222460)); #39578=EDGE_LOOP('',(#222461)); #39579=EDGE_LOOP('',(#222462)); #39580=EDGE_LOOP('',(#222463)); #39581=EDGE_LOOP('',(#222464)); #39582=EDGE_LOOP('',(#222465)); #39583=EDGE_LOOP('',(#222466)); #39584=EDGE_LOOP('',(#222467)); #39585=EDGE_LOOP('',(#222468)); #39586=EDGE_LOOP('',(#222469)); #39587=EDGE_LOOP('',(#222470)); #39588=EDGE_LOOP('',(#222471)); #39589=EDGE_LOOP('',(#222472)); #39590=EDGE_LOOP('',(#222473)); #39591=EDGE_LOOP('',(#222474)); #39592=EDGE_LOOP('',(#222475)); #39593=EDGE_LOOP('',(#222476)); #39594=EDGE_LOOP('',(#222477,#222478,#222479,#222480)); #39595=EDGE_LOOP('',(#222481)); #39596=EDGE_LOOP('',(#222482,#222483,#222484,#222485)); #39597=EDGE_LOOP('',(#222486)); #39598=EDGE_LOOP('',(#222487)); #39599=EDGE_LOOP('',(#222488)); #39600=EDGE_LOOP('',(#222489)); #39601=EDGE_LOOP('',(#222490)); #39602=EDGE_LOOP('',(#222491)); #39603=EDGE_LOOP('',(#222492)); #39604=EDGE_LOOP('',(#222493)); #39605=EDGE_LOOP('',(#222494)); #39606=EDGE_LOOP('',(#222495)); #39607=EDGE_LOOP('',(#222496)); #39608=EDGE_LOOP('',(#222497,#222498,#222499,#222500)); #39609=EDGE_LOOP('',(#222501)); #39610=EDGE_LOOP('',(#222502,#222503,#222504,#222505)); #39611=EDGE_LOOP('',(#222506)); #39612=EDGE_LOOP('',(#222507,#222508,#222509,#222510)); #39613=EDGE_LOOP('',(#222511)); #39614=EDGE_LOOP('',(#222512,#222513,#222514,#222515)); #39615=EDGE_LOOP('',(#222516)); #39616=EDGE_LOOP('',(#222517)); #39617=EDGE_LOOP('',(#222518)); #39618=EDGE_LOOP('',(#222519)); #39619=EDGE_LOOP('',(#222520)); #39620=EDGE_LOOP('',(#222521)); #39621=EDGE_LOOP('',(#222522)); #39622=EDGE_LOOP('',(#222523)); #39623=EDGE_LOOP('',(#222524)); #39624=EDGE_LOOP('',(#222525)); #39625=EDGE_LOOP('',(#222526)); #39626=EDGE_LOOP('',(#222527)); #39627=EDGE_LOOP('',(#222528)); #39628=EDGE_LOOP('',(#222529)); #39629=EDGE_LOOP('',(#222530)); #39630=EDGE_LOOP('',(#222531)); #39631=EDGE_LOOP('',(#222532)); #39632=EDGE_LOOP('',(#222533)); #39633=EDGE_LOOP('',(#222534)); #39634=EDGE_LOOP('',(#222535)); #39635=EDGE_LOOP('',(#222536)); #39636=EDGE_LOOP('',(#222537)); #39637=EDGE_LOOP('',(#222538)); #39638=EDGE_LOOP('',(#222539)); #39639=EDGE_LOOP('',(#222540)); #39640=EDGE_LOOP('',(#222541)); #39641=EDGE_LOOP('',(#222542)); #39642=EDGE_LOOP('',(#222543)); #39643=EDGE_LOOP('',(#222544)); #39644=EDGE_LOOP('',(#222545)); #39645=EDGE_LOOP('',(#222546)); #39646=EDGE_LOOP('',(#222547)); #39647=EDGE_LOOP('',(#222548)); #39648=EDGE_LOOP('',(#222549)); #39649=EDGE_LOOP('',(#222550)); #39650=EDGE_LOOP('',(#222551)); #39651=EDGE_LOOP('',(#222552)); #39652=EDGE_LOOP('',(#222553)); #39653=EDGE_LOOP('',(#222554)); #39654=EDGE_LOOP('',(#222555)); #39655=EDGE_LOOP('',(#222556)); #39656=EDGE_LOOP('',(#222557)); #39657=EDGE_LOOP('',(#222558)); #39658=EDGE_LOOP('',(#222559)); #39659=EDGE_LOOP('',(#222560)); #39660=EDGE_LOOP('',(#222561)); #39661=EDGE_LOOP('',(#222562)); #39662=EDGE_LOOP('',(#222563)); #39663=EDGE_LOOP('',(#222564)); #39664=EDGE_LOOP('',(#222565)); #39665=EDGE_LOOP('',(#222566)); #39666=EDGE_LOOP('',(#222567)); #39667=EDGE_LOOP('',(#222568)); #39668=EDGE_LOOP('',(#222569)); #39669=EDGE_LOOP('',(#222570)); #39670=EDGE_LOOP('',(#222571)); #39671=EDGE_LOOP('',(#222572)); #39672=EDGE_LOOP('',(#222573)); #39673=EDGE_LOOP('',(#222574)); #39674=EDGE_LOOP('',(#222575)); #39675=EDGE_LOOP('',(#222576)); #39676=EDGE_LOOP('',(#222577)); #39677=EDGE_LOOP('',(#222578)); #39678=EDGE_LOOP('',(#222579)); #39679=EDGE_LOOP('',(#222580)); #39680=EDGE_LOOP('',(#222581)); #39681=EDGE_LOOP('',(#222582)); #39682=EDGE_LOOP('',(#222583)); #39683=EDGE_LOOP('',(#222584)); #39684=EDGE_LOOP('',(#222585)); #39685=EDGE_LOOP('',(#222586)); #39686=EDGE_LOOP('',(#222587)); #39687=EDGE_LOOP('',(#222588)); #39688=EDGE_LOOP('',(#222589)); #39689=EDGE_LOOP('',(#222590)); #39690=EDGE_LOOP('',(#222591)); #39691=EDGE_LOOP('',(#222592)); #39692=EDGE_LOOP('',(#222593)); #39693=EDGE_LOOP('',(#222594)); #39694=EDGE_LOOP('',(#222595)); #39695=EDGE_LOOP('',(#222596)); #39696=EDGE_LOOP('',(#222597)); #39697=EDGE_LOOP('',(#222598)); #39698=EDGE_LOOP('',(#222599)); #39699=EDGE_LOOP('',(#222600)); #39700=EDGE_LOOP('',(#222601)); #39701=EDGE_LOOP('',(#222602)); #39702=EDGE_LOOP('',(#222603)); #39703=EDGE_LOOP('',(#222604)); #39704=EDGE_LOOP('',(#222605)); #39705=EDGE_LOOP('',(#222606)); #39706=EDGE_LOOP('',(#222607)); #39707=EDGE_LOOP('',(#222608)); #39708=EDGE_LOOP('',(#222609)); #39709=EDGE_LOOP('',(#222610)); #39710=EDGE_LOOP('',(#222611)); #39711=EDGE_LOOP('',(#222612)); #39712=EDGE_LOOP('',(#222613)); #39713=EDGE_LOOP('',(#222614)); #39714=EDGE_LOOP('',(#222615)); #39715=EDGE_LOOP('',(#222616)); #39716=EDGE_LOOP('',(#222617)); #39717=EDGE_LOOP('',(#222618)); #39718=EDGE_LOOP('',(#222619)); #39719=EDGE_LOOP('',(#222620)); #39720=EDGE_LOOP('',(#222621)); #39721=EDGE_LOOP('',(#222622)); #39722=EDGE_LOOP('',(#222623)); #39723=EDGE_LOOP('',(#222624)); #39724=EDGE_LOOP('',(#222625)); #39725=EDGE_LOOP('',(#222626)); #39726=EDGE_LOOP('',(#222627)); #39727=EDGE_LOOP('',(#222628)); #39728=EDGE_LOOP('',(#222629)); #39729=EDGE_LOOP('',(#222630)); #39730=EDGE_LOOP('',(#222631)); #39731=EDGE_LOOP('',(#222632)); #39732=EDGE_LOOP('',(#222633)); #39733=EDGE_LOOP('',(#222634)); #39734=EDGE_LOOP('',(#222635)); #39735=EDGE_LOOP('',(#222636)); #39736=EDGE_LOOP('',(#222637)); #39737=EDGE_LOOP('',(#222638)); #39738=EDGE_LOOP('',(#222639)); #39739=EDGE_LOOP('',(#222640)); #39740=EDGE_LOOP('',(#222641)); #39741=EDGE_LOOP('',(#222642)); #39742=EDGE_LOOP('',(#222643)); #39743=EDGE_LOOP('',(#222644)); #39744=EDGE_LOOP('',(#222645)); #39745=EDGE_LOOP('',(#222646)); #39746=EDGE_LOOP('',(#222647)); #39747=EDGE_LOOP('',(#222648)); #39748=EDGE_LOOP('',(#222649)); #39749=EDGE_LOOP('',(#222650)); #39750=EDGE_LOOP('',(#222651)); #39751=EDGE_LOOP('',(#222652)); #39752=EDGE_LOOP('',(#222653)); #39753=EDGE_LOOP('',(#222654)); #39754=EDGE_LOOP('',(#222655)); #39755=EDGE_LOOP('',(#222656)); #39756=EDGE_LOOP('',(#222657)); #39757=EDGE_LOOP('',(#222658)); #39758=EDGE_LOOP('',(#222659)); #39759=EDGE_LOOP('',(#222660)); #39760=EDGE_LOOP('',(#222661)); #39761=EDGE_LOOP('',(#222662)); #39762=EDGE_LOOP('',(#222663)); #39763=EDGE_LOOP('',(#222664)); #39764=EDGE_LOOP('',(#222665)); #39765=EDGE_LOOP('',(#222666)); #39766=EDGE_LOOP('',(#222667)); #39767=EDGE_LOOP('',(#222668)); #39768=EDGE_LOOP('',(#222669)); #39769=EDGE_LOOP('',(#222670)); #39770=EDGE_LOOP('',(#222671)); #39771=EDGE_LOOP('',(#222672)); #39772=EDGE_LOOP('',(#222673)); #39773=EDGE_LOOP('',(#222674)); #39774=EDGE_LOOP('',(#222675)); #39775=EDGE_LOOP('',(#222676)); #39776=EDGE_LOOP('',(#222677)); #39777=EDGE_LOOP('',(#222678)); #39778=EDGE_LOOP('',(#222679)); #39779=EDGE_LOOP('',(#222680)); #39780=EDGE_LOOP('',(#222681)); #39781=EDGE_LOOP('',(#222682)); #39782=EDGE_LOOP('',(#222683)); #39783=EDGE_LOOP('',(#222684)); #39784=EDGE_LOOP('',(#222685)); #39785=EDGE_LOOP('',(#222686)); #39786=EDGE_LOOP('',(#222687)); #39787=EDGE_LOOP('',(#222688)); #39788=EDGE_LOOP('',(#222689)); #39789=EDGE_LOOP('',(#222690)); #39790=EDGE_LOOP('',(#222691)); #39791=EDGE_LOOP('',(#222692)); #39792=EDGE_LOOP('',(#222693)); #39793=EDGE_LOOP('',(#222694)); #39794=EDGE_LOOP('',(#222695)); #39795=EDGE_LOOP('',(#222696)); #39796=EDGE_LOOP('',(#222697)); #39797=EDGE_LOOP('',(#222698)); #39798=EDGE_LOOP('',(#222699)); #39799=EDGE_LOOP('',(#222700)); #39800=EDGE_LOOP('',(#222701)); #39801=EDGE_LOOP('',(#222702)); #39802=EDGE_LOOP('',(#222703)); #39803=EDGE_LOOP('',(#222704)); #39804=EDGE_LOOP('',(#222705)); #39805=EDGE_LOOP('',(#222706)); #39806=EDGE_LOOP('',(#222707)); #39807=EDGE_LOOP('',(#222708)); #39808=EDGE_LOOP('',(#222709)); #39809=EDGE_LOOP('',(#222710)); #39810=EDGE_LOOP('',(#222711)); #39811=EDGE_LOOP('',(#222712)); #39812=EDGE_LOOP('',(#222713)); #39813=EDGE_LOOP('',(#222714)); #39814=EDGE_LOOP('',(#222715)); #39815=EDGE_LOOP('',(#222716)); #39816=EDGE_LOOP('',(#222717)); #39817=EDGE_LOOP('',(#222718)); #39818=EDGE_LOOP('',(#222719)); #39819=EDGE_LOOP('',(#222720)); #39820=EDGE_LOOP('',(#222721)); #39821=EDGE_LOOP('',(#222722)); #39822=EDGE_LOOP('',(#222723)); #39823=EDGE_LOOP('',(#222724)); #39824=EDGE_LOOP('',(#222725)); #39825=EDGE_LOOP('',(#222726)); #39826=EDGE_LOOP('',(#222727)); #39827=EDGE_LOOP('',(#222728)); #39828=EDGE_LOOP('',(#222729)); #39829=EDGE_LOOP('',(#222730)); #39830=EDGE_LOOP('',(#222731)); #39831=EDGE_LOOP('',(#222732)); #39832=EDGE_LOOP('',(#222733)); #39833=EDGE_LOOP('',(#222734)); #39834=EDGE_LOOP('',(#222735)); #39835=EDGE_LOOP('',(#222736)); #39836=EDGE_LOOP('',(#222737)); #39837=EDGE_LOOP('',(#222738)); #39838=EDGE_LOOP('',(#222739)); #39839=EDGE_LOOP('',(#222740)); #39840=EDGE_LOOP('',(#222741)); #39841=EDGE_LOOP('',(#222742)); #39842=EDGE_LOOP('',(#222743)); #39843=EDGE_LOOP('',(#222744)); #39844=EDGE_LOOP('',(#222745)); #39845=EDGE_LOOP('',(#222746)); #39846=EDGE_LOOP('',(#222747)); #39847=EDGE_LOOP('',(#222748)); #39848=EDGE_LOOP('',(#222749)); #39849=EDGE_LOOP('',(#222750)); #39850=EDGE_LOOP('',(#222751)); #39851=EDGE_LOOP('',(#222752)); #39852=EDGE_LOOP('',(#222753)); #39853=EDGE_LOOP('',(#222754)); #39854=EDGE_LOOP('',(#222755)); #39855=EDGE_LOOP('',(#222756)); #39856=EDGE_LOOP('',(#222757)); #39857=EDGE_LOOP('',(#222758)); #39858=EDGE_LOOP('',(#222759)); #39859=EDGE_LOOP('',(#222760)); #39860=EDGE_LOOP('',(#222761)); #39861=EDGE_LOOP('',(#222762)); #39862=EDGE_LOOP('',(#222763)); #39863=EDGE_LOOP('',(#222764)); #39864=EDGE_LOOP('',(#222765)); #39865=EDGE_LOOP('',(#222766)); #39866=EDGE_LOOP('',(#222767)); #39867=EDGE_LOOP('',(#222768)); #39868=EDGE_LOOP('',(#222769)); #39869=EDGE_LOOP('',(#222770)); #39870=EDGE_LOOP('',(#222771)); #39871=EDGE_LOOP('',(#222772)); #39872=EDGE_LOOP('',(#222773)); #39873=EDGE_LOOP('',(#222774)); #39874=EDGE_LOOP('',(#222775)); #39875=EDGE_LOOP('',(#222776)); #39876=EDGE_LOOP('',(#222777)); #39877=EDGE_LOOP('',(#222778)); #39878=EDGE_LOOP('',(#222779)); #39879=EDGE_LOOP('',(#222780)); #39880=EDGE_LOOP('',(#222781)); #39881=EDGE_LOOP('',(#222782)); #39882=EDGE_LOOP('',(#222783)); #39883=EDGE_LOOP('',(#222784)); #39884=EDGE_LOOP('',(#222785)); #39885=EDGE_LOOP('',(#222786)); #39886=EDGE_LOOP('',(#222787)); #39887=EDGE_LOOP('',(#222788,#222789,#222790,#222791)); #39888=EDGE_LOOP('',(#222792,#222793,#222794,#222795)); #39889=EDGE_LOOP('',(#222796)); #39890=EDGE_LOOP('',(#222797)); #39891=EDGE_LOOP('',(#222798)); #39892=EDGE_LOOP('',(#222799)); #39893=EDGE_LOOP('',(#222800,#222801,#222802,#222803)); #39894=EDGE_LOOP('',(#222804,#222805,#222806,#222807)); #39895=EDGE_LOOP('',(#222808)); #39896=EDGE_LOOP('',(#222809)); #39897=EDGE_LOOP('',(#222810)); #39898=EDGE_LOOP('',(#222811)); #39899=EDGE_LOOP('',(#222812,#222813,#222814,#222815)); #39900=EDGE_LOOP('',(#222816,#222817,#222818,#222819)); #39901=EDGE_LOOP('',(#222820)); #39902=EDGE_LOOP('',(#222821)); #39903=EDGE_LOOP('',(#222822)); #39904=EDGE_LOOP('',(#222823)); #39905=EDGE_LOOP('',(#222824,#222825,#222826,#222827)); #39906=EDGE_LOOP('',(#222828,#222829,#222830,#222831)); #39907=EDGE_LOOP('',(#222832)); #39908=EDGE_LOOP('',(#222833)); #39909=EDGE_LOOP('',(#222834)); #39910=EDGE_LOOP('',(#222835)); #39911=EDGE_LOOP('',(#222836,#222837,#222838,#222839)); #39912=EDGE_LOOP('',(#222840,#222841,#222842,#222843)); #39913=EDGE_LOOP('',(#222844)); #39914=EDGE_LOOP('',(#222845)); #39915=EDGE_LOOP('',(#222846)); #39916=EDGE_LOOP('',(#222847)); #39917=EDGE_LOOP('',(#222848,#222849,#222850,#222851)); #39918=EDGE_LOOP('',(#222852,#222853,#222854,#222855)); #39919=EDGE_LOOP('',(#222856)); #39920=EDGE_LOOP('',(#222857)); #39921=EDGE_LOOP('',(#222858)); #39922=EDGE_LOOP('',(#222859)); #39923=EDGE_LOOP('',(#222860,#222861,#222862,#222863)); #39924=EDGE_LOOP('',(#222864,#222865,#222866,#222867)); #39925=EDGE_LOOP('',(#222868)); #39926=EDGE_LOOP('',(#222869)); #39927=EDGE_LOOP('',(#222870)); #39928=EDGE_LOOP('',(#222871)); #39929=EDGE_LOOP('',(#222872,#222873,#222874,#222875)); #39930=EDGE_LOOP('',(#222876,#222877,#222878,#222879)); #39931=EDGE_LOOP('',(#222880)); #39932=EDGE_LOOP('',(#222881)); #39933=EDGE_LOOP('',(#222882)); #39934=EDGE_LOOP('',(#222883)); #39935=EDGE_LOOP('',(#222884,#222885,#222886,#222887)); #39936=EDGE_LOOP('',(#222888,#222889,#222890,#222891)); #39937=EDGE_LOOP('',(#222892)); #39938=EDGE_LOOP('',(#222893)); #39939=EDGE_LOOP('',(#222894)); #39940=EDGE_LOOP('',(#222895)); #39941=EDGE_LOOP('',(#222896,#222897,#222898,#222899)); #39942=EDGE_LOOP('',(#222900,#222901,#222902,#222903)); #39943=EDGE_LOOP('',(#222904)); #39944=EDGE_LOOP('',(#222905)); #39945=EDGE_LOOP('',(#222906)); #39946=EDGE_LOOP('',(#222907)); #39947=EDGE_LOOP('',(#222908,#222909,#222910,#222911)); #39948=EDGE_LOOP('',(#222912,#222913,#222914,#222915)); #39949=EDGE_LOOP('',(#222916)); #39950=EDGE_LOOP('',(#222917)); #39951=EDGE_LOOP('',(#222918)); #39952=EDGE_LOOP('',(#222919)); #39953=EDGE_LOOP('',(#222920,#222921,#222922,#222923)); #39954=EDGE_LOOP('',(#222924,#222925,#222926,#222927)); #39955=EDGE_LOOP('',(#222928)); #39956=EDGE_LOOP('',(#222929)); #39957=EDGE_LOOP('',(#222930)); #39958=EDGE_LOOP('',(#222931)); #39959=EDGE_LOOP('',(#222932,#222933,#222934,#222935)); #39960=EDGE_LOOP('',(#222936,#222937,#222938,#222939)); #39961=EDGE_LOOP('',(#222940)); #39962=EDGE_LOOP('',(#222941)); #39963=EDGE_LOOP('',(#222942)); #39964=EDGE_LOOP('',(#222943)); #39965=EDGE_LOOP('',(#222944,#222945,#222946,#222947)); #39966=EDGE_LOOP('',(#222948,#222949,#222950,#222951)); #39967=EDGE_LOOP('',(#222952)); #39968=EDGE_LOOP('',(#222953)); #39969=EDGE_LOOP('',(#222954)); #39970=EDGE_LOOP('',(#222955)); #39971=EDGE_LOOP('',(#222956,#222957,#222958,#222959)); #39972=EDGE_LOOP('',(#222960,#222961,#222962,#222963)); #39973=EDGE_LOOP('',(#222964)); #39974=EDGE_LOOP('',(#222965)); #39975=EDGE_LOOP('',(#222966)); #39976=EDGE_LOOP('',(#222967)); #39977=EDGE_LOOP('',(#222968,#222969,#222970,#222971)); #39978=EDGE_LOOP('',(#222972,#222973,#222974,#222975)); #39979=EDGE_LOOP('',(#222976)); #39980=EDGE_LOOP('',(#222977)); #39981=EDGE_LOOP('',(#222978)); #39982=EDGE_LOOP('',(#222979)); #39983=EDGE_LOOP('',(#222980,#222981,#222982,#222983)); #39984=EDGE_LOOP('',(#222984,#222985,#222986,#222987)); #39985=EDGE_LOOP('',(#222988)); #39986=EDGE_LOOP('',(#222989)); #39987=EDGE_LOOP('',(#222990)); #39988=EDGE_LOOP('',(#222991)); #39989=EDGE_LOOP('',(#222992,#222993,#222994,#222995)); #39990=EDGE_LOOP('',(#222996,#222997,#222998,#222999)); #39991=EDGE_LOOP('',(#223000)); #39992=EDGE_LOOP('',(#223001)); #39993=EDGE_LOOP('',(#223002)); #39994=EDGE_LOOP('',(#223003)); #39995=EDGE_LOOP('',(#223004,#223005,#223006,#223007)); #39996=EDGE_LOOP('',(#223008,#223009,#223010,#223011)); #39997=EDGE_LOOP('',(#223012)); #39998=EDGE_LOOP('',(#223013)); #39999=EDGE_LOOP('',(#223014)); #40000=EDGE_LOOP('',(#223015)); #40001=EDGE_LOOP('',(#223016,#223017,#223018,#223019)); #40002=EDGE_LOOP('',(#223020,#223021,#223022,#223023)); #40003=EDGE_LOOP('',(#223024)); #40004=EDGE_LOOP('',(#223025)); #40005=EDGE_LOOP('',(#223026)); #40006=EDGE_LOOP('',(#223027)); #40007=EDGE_LOOP('',(#223028,#223029,#223030,#223031)); #40008=EDGE_LOOP('',(#223032,#223033,#223034,#223035)); #40009=EDGE_LOOP('',(#223036)); #40010=EDGE_LOOP('',(#223037)); #40011=EDGE_LOOP('',(#223038)); #40012=EDGE_LOOP('',(#223039)); #40013=EDGE_LOOP('',(#223040,#223041,#223042,#223043)); #40014=EDGE_LOOP('',(#223044,#223045,#223046,#223047)); #40015=EDGE_LOOP('',(#223048)); #40016=EDGE_LOOP('',(#223049)); #40017=EDGE_LOOP('',(#223050)); #40018=EDGE_LOOP('',(#223051)); #40019=EDGE_LOOP('',(#223052,#223053,#223054,#223055)); #40020=EDGE_LOOP('',(#223056,#223057,#223058,#223059)); #40021=EDGE_LOOP('',(#223060)); #40022=EDGE_LOOP('',(#223061)); #40023=EDGE_LOOP('',(#223062)); #40024=EDGE_LOOP('',(#223063)); #40025=EDGE_LOOP('',(#223064,#223065,#223066,#223067)); #40026=EDGE_LOOP('',(#223068,#223069,#223070,#223071)); #40027=EDGE_LOOP('',(#223072)); #40028=EDGE_LOOP('',(#223073)); #40029=EDGE_LOOP('',(#223074)); #40030=EDGE_LOOP('',(#223075)); #40031=EDGE_LOOP('',(#223076,#223077,#223078,#223079)); #40032=EDGE_LOOP('',(#223080,#223081,#223082,#223083)); #40033=EDGE_LOOP('',(#223084)); #40034=EDGE_LOOP('',(#223085)); #40035=EDGE_LOOP('',(#223086)); #40036=EDGE_LOOP('',(#223087)); #40037=EDGE_LOOP('',(#223088,#223089,#223090,#223091)); #40038=EDGE_LOOP('',(#223092,#223093,#223094,#223095)); #40039=EDGE_LOOP('',(#223096)); #40040=EDGE_LOOP('',(#223097)); #40041=EDGE_LOOP('',(#223098)); #40042=EDGE_LOOP('',(#223099)); #40043=EDGE_LOOP('',(#223100,#223101,#223102,#223103)); #40044=EDGE_LOOP('',(#223104,#223105,#223106,#223107)); #40045=EDGE_LOOP('',(#223108)); #40046=EDGE_LOOP('',(#223109)); #40047=EDGE_LOOP('',(#223110)); #40048=EDGE_LOOP('',(#223111)); #40049=EDGE_LOOP('',(#223112,#223113,#223114,#223115)); #40050=EDGE_LOOP('',(#223116,#223117,#223118,#223119)); #40051=EDGE_LOOP('',(#223120)); #40052=EDGE_LOOP('',(#223121)); #40053=EDGE_LOOP('',(#223122)); #40054=EDGE_LOOP('',(#223123)); #40055=EDGE_LOOP('',(#223124,#223125,#223126,#223127)); #40056=EDGE_LOOP('',(#223128,#223129,#223130,#223131)); #40057=EDGE_LOOP('',(#223132)); #40058=EDGE_LOOP('',(#223133)); #40059=EDGE_LOOP('',(#223134)); #40060=EDGE_LOOP('',(#223135)); #40061=EDGE_LOOP('',(#223136,#223137,#223138,#223139)); #40062=EDGE_LOOP('',(#223140,#223141,#223142,#223143)); #40063=EDGE_LOOP('',(#223144)); #40064=EDGE_LOOP('',(#223145)); #40065=EDGE_LOOP('',(#223146)); #40066=EDGE_LOOP('',(#223147)); #40067=EDGE_LOOP('',(#223148,#223149,#223150,#223151)); #40068=EDGE_LOOP('',(#223152,#223153,#223154,#223155)); #40069=EDGE_LOOP('',(#223156)); #40070=EDGE_LOOP('',(#223157)); #40071=EDGE_LOOP('',(#223158)); #40072=EDGE_LOOP('',(#223159)); #40073=EDGE_LOOP('',(#223160,#223161,#223162,#223163)); #40074=EDGE_LOOP('',(#223164,#223165,#223166,#223167)); #40075=EDGE_LOOP('',(#223168)); #40076=EDGE_LOOP('',(#223169)); #40077=EDGE_LOOP('',(#223170)); #40078=EDGE_LOOP('',(#223171)); #40079=EDGE_LOOP('',(#223172,#223173,#223174,#223175)); #40080=EDGE_LOOP('',(#223176,#223177,#223178,#223179)); #40081=EDGE_LOOP('',(#223180)); #40082=EDGE_LOOP('',(#223181)); #40083=EDGE_LOOP('',(#223182)); #40084=EDGE_LOOP('',(#223183)); #40085=EDGE_LOOP('',(#223184,#223185,#223186,#223187)); #40086=EDGE_LOOP('',(#223188,#223189,#223190,#223191)); #40087=EDGE_LOOP('',(#223192)); #40088=EDGE_LOOP('',(#223193)); #40089=EDGE_LOOP('',(#223194)); #40090=EDGE_LOOP('',(#223195)); #40091=EDGE_LOOP('',(#223196,#223197,#223198,#223199)); #40092=EDGE_LOOP('',(#223200,#223201,#223202,#223203)); #40093=EDGE_LOOP('',(#223204)); #40094=EDGE_LOOP('',(#223205)); #40095=EDGE_LOOP('',(#223206)); #40096=EDGE_LOOP('',(#223207)); #40097=EDGE_LOOP('',(#223208,#223209,#223210,#223211)); #40098=EDGE_LOOP('',(#223212,#223213,#223214,#223215)); #40099=EDGE_LOOP('',(#223216)); #40100=EDGE_LOOP('',(#223217)); #40101=EDGE_LOOP('',(#223218)); #40102=EDGE_LOOP('',(#223219)); #40103=EDGE_LOOP('',(#223220,#223221,#223222,#223223)); #40104=EDGE_LOOP('',(#223224,#223225,#223226,#223227)); #40105=EDGE_LOOP('',(#223228)); #40106=EDGE_LOOP('',(#223229)); #40107=EDGE_LOOP('',(#223230)); #40108=EDGE_LOOP('',(#223231)); #40109=EDGE_LOOP('',(#223232,#223233,#223234,#223235)); #40110=EDGE_LOOP('',(#223236,#223237,#223238,#223239)); #40111=EDGE_LOOP('',(#223240)); #40112=EDGE_LOOP('',(#223241)); #40113=EDGE_LOOP('',(#223242)); #40114=EDGE_LOOP('',(#223243)); #40115=EDGE_LOOP('',(#223244,#223245,#223246,#223247)); #40116=EDGE_LOOP('',(#223248,#223249,#223250,#223251)); #40117=EDGE_LOOP('',(#223252)); #40118=EDGE_LOOP('',(#223253)); #40119=EDGE_LOOP('',(#223254)); #40120=EDGE_LOOP('',(#223255)); #40121=EDGE_LOOP('',(#223256,#223257,#223258,#223259)); #40122=EDGE_LOOP('',(#223260,#223261,#223262,#223263)); #40123=EDGE_LOOP('',(#223264)); #40124=EDGE_LOOP('',(#223265)); #40125=EDGE_LOOP('',(#223266)); #40126=EDGE_LOOP('',(#223267)); #40127=EDGE_LOOP('',(#223268,#223269,#223270,#223271)); #40128=EDGE_LOOP('',(#223272,#223273,#223274,#223275)); #40129=EDGE_LOOP('',(#223276)); #40130=EDGE_LOOP('',(#223277)); #40131=EDGE_LOOP('',(#223278)); #40132=EDGE_LOOP('',(#223279)); #40133=EDGE_LOOP('',(#223280,#223281,#223282,#223283)); #40134=EDGE_LOOP('',(#223284,#223285,#223286,#223287)); #40135=EDGE_LOOP('',(#223288)); #40136=EDGE_LOOP('',(#223289)); #40137=EDGE_LOOP('',(#223290)); #40138=EDGE_LOOP('',(#223291)); #40139=EDGE_LOOP('',(#223292,#223293,#223294,#223295)); #40140=EDGE_LOOP('',(#223296,#223297,#223298,#223299)); #40141=EDGE_LOOP('',(#223300)); #40142=EDGE_LOOP('',(#223301)); #40143=EDGE_LOOP('',(#223302)); #40144=EDGE_LOOP('',(#223303)); #40145=EDGE_LOOP('',(#223304,#223305,#223306,#223307)); #40146=EDGE_LOOP('',(#223308,#223309,#223310,#223311)); #40147=EDGE_LOOP('',(#223312)); #40148=EDGE_LOOP('',(#223313)); #40149=EDGE_LOOP('',(#223314)); #40150=EDGE_LOOP('',(#223315)); #40151=EDGE_LOOP('',(#223316,#223317,#223318,#223319)); #40152=EDGE_LOOP('',(#223320,#223321,#223322,#223323)); #40153=EDGE_LOOP('',(#223324)); #40154=EDGE_LOOP('',(#223325)); #40155=EDGE_LOOP('',(#223326)); #40156=EDGE_LOOP('',(#223327)); #40157=EDGE_LOOP('',(#223328,#223329,#223330,#223331)); #40158=EDGE_LOOP('',(#223332,#223333,#223334,#223335)); #40159=EDGE_LOOP('',(#223336)); #40160=EDGE_LOOP('',(#223337)); #40161=EDGE_LOOP('',(#223338)); #40162=EDGE_LOOP('',(#223339)); #40163=EDGE_LOOP('',(#223340,#223341,#223342,#223343)); #40164=EDGE_LOOP('',(#223344,#223345,#223346,#223347)); #40165=EDGE_LOOP('',(#223348)); #40166=EDGE_LOOP('',(#223349)); #40167=EDGE_LOOP('',(#223350)); #40168=EDGE_LOOP('',(#223351)); #40169=EDGE_LOOP('',(#223352,#223353,#223354,#223355)); #40170=EDGE_LOOP('',(#223356,#223357,#223358,#223359)); #40171=EDGE_LOOP('',(#223360)); #40172=EDGE_LOOP('',(#223361)); #40173=EDGE_LOOP('',(#223362)); #40174=EDGE_LOOP('',(#223363)); #40175=EDGE_LOOP('',(#223364,#223365,#223366,#223367)); #40176=EDGE_LOOP('',(#223368,#223369,#223370,#223371)); #40177=EDGE_LOOP('',(#223372)); #40178=EDGE_LOOP('',(#223373)); #40179=EDGE_LOOP('',(#223374)); #40180=EDGE_LOOP('',(#223375)); #40181=EDGE_LOOP('',(#223376,#223377,#223378,#223379)); #40182=EDGE_LOOP('',(#223380,#223381,#223382,#223383)); #40183=EDGE_LOOP('',(#223384)); #40184=EDGE_LOOP('',(#223385)); #40185=EDGE_LOOP('',(#223386)); #40186=EDGE_LOOP('',(#223387)); #40187=EDGE_LOOP('',(#223388,#223389,#223390,#223391)); #40188=EDGE_LOOP('',(#223392,#223393,#223394,#223395)); #40189=EDGE_LOOP('',(#223396)); #40190=EDGE_LOOP('',(#223397)); #40191=EDGE_LOOP('',(#223398)); #40192=EDGE_LOOP('',(#223399)); #40193=EDGE_LOOP('',(#223400,#223401,#223402,#223403)); #40194=EDGE_LOOP('',(#223404,#223405,#223406,#223407)); #40195=EDGE_LOOP('',(#223408)); #40196=EDGE_LOOP('',(#223409)); #40197=EDGE_LOOP('',(#223410)); #40198=EDGE_LOOP('',(#223411)); #40199=EDGE_LOOP('',(#223412,#223413,#223414,#223415)); #40200=EDGE_LOOP('',(#223416,#223417,#223418,#223419)); #40201=EDGE_LOOP('',(#223420)); #40202=EDGE_LOOP('',(#223421)); #40203=EDGE_LOOP('',(#223422)); #40204=EDGE_LOOP('',(#223423)); #40205=EDGE_LOOP('',(#223424,#223425,#223426,#223427)); #40206=EDGE_LOOP('',(#223428,#223429,#223430,#223431)); #40207=EDGE_LOOP('',(#223432)); #40208=EDGE_LOOP('',(#223433)); #40209=EDGE_LOOP('',(#223434)); #40210=EDGE_LOOP('',(#223435)); #40211=EDGE_LOOP('',(#223436,#223437,#223438,#223439)); #40212=EDGE_LOOP('',(#223440,#223441,#223442,#223443)); #40213=EDGE_LOOP('',(#223444)); #40214=EDGE_LOOP('',(#223445)); #40215=EDGE_LOOP('',(#223446)); #40216=EDGE_LOOP('',(#223447)); #40217=EDGE_LOOP('',(#223448,#223449,#223450,#223451)); #40218=EDGE_LOOP('',(#223452,#223453,#223454,#223455)); #40219=EDGE_LOOP('',(#223456)); #40220=EDGE_LOOP('',(#223457)); #40221=EDGE_LOOP('',(#223458)); #40222=EDGE_LOOP('',(#223459)); #40223=EDGE_LOOP('',(#223460,#223461,#223462,#223463)); #40224=EDGE_LOOP('',(#223464,#223465,#223466,#223467)); #40225=EDGE_LOOP('',(#223468)); #40226=EDGE_LOOP('',(#223469)); #40227=EDGE_LOOP('',(#223470)); #40228=EDGE_LOOP('',(#223471)); #40229=EDGE_LOOP('',(#223472,#223473,#223474,#223475)); #40230=EDGE_LOOP('',(#223476,#223477,#223478,#223479)); #40231=EDGE_LOOP('',(#223480)); #40232=EDGE_LOOP('',(#223481)); #40233=EDGE_LOOP('',(#223482)); #40234=EDGE_LOOP('',(#223483)); #40235=EDGE_LOOP('',(#223484,#223485,#223486,#223487)); #40236=EDGE_LOOP('',(#223488,#223489,#223490,#223491)); #40237=EDGE_LOOP('',(#223492)); #40238=EDGE_LOOP('',(#223493)); #40239=EDGE_LOOP('',(#223494)); #40240=EDGE_LOOP('',(#223495)); #40241=EDGE_LOOP('',(#223496,#223497,#223498,#223499)); #40242=EDGE_LOOP('',(#223500,#223501,#223502,#223503)); #40243=EDGE_LOOP('',(#223504)); #40244=EDGE_LOOP('',(#223505)); #40245=EDGE_LOOP('',(#223506)); #40246=EDGE_LOOP('',(#223507)); #40247=EDGE_LOOP('',(#223508,#223509,#223510,#223511)); #40248=EDGE_LOOP('',(#223512,#223513,#223514,#223515)); #40249=EDGE_LOOP('',(#223516)); #40250=EDGE_LOOP('',(#223517)); #40251=EDGE_LOOP('',(#223518)); #40252=EDGE_LOOP('',(#223519)); #40253=EDGE_LOOP('',(#223520,#223521,#223522,#223523)); #40254=EDGE_LOOP('',(#223524,#223525,#223526,#223527)); #40255=EDGE_LOOP('',(#223528)); #40256=EDGE_LOOP('',(#223529)); #40257=EDGE_LOOP('',(#223530)); #40258=EDGE_LOOP('',(#223531)); #40259=EDGE_LOOP('',(#223532,#223533,#223534,#223535)); #40260=EDGE_LOOP('',(#223536,#223537,#223538,#223539)); #40261=EDGE_LOOP('',(#223540)); #40262=EDGE_LOOP('',(#223541)); #40263=EDGE_LOOP('',(#223542)); #40264=EDGE_LOOP('',(#223543)); #40265=EDGE_LOOP('',(#223544,#223545,#223546,#223547)); #40266=EDGE_LOOP('',(#223548,#223549,#223550,#223551)); #40267=EDGE_LOOP('',(#223552)); #40268=EDGE_LOOP('',(#223553)); #40269=EDGE_LOOP('',(#223554)); #40270=EDGE_LOOP('',(#223555)); #40271=EDGE_LOOP('',(#223556,#223557,#223558,#223559)); #40272=EDGE_LOOP('',(#223560,#223561,#223562,#223563)); #40273=EDGE_LOOP('',(#223564)); #40274=EDGE_LOOP('',(#223565)); #40275=EDGE_LOOP('',(#223566)); #40276=EDGE_LOOP('',(#223567)); #40277=EDGE_LOOP('',(#223568,#223569,#223570,#223571)); #40278=EDGE_LOOP('',(#223572,#223573,#223574,#223575)); #40279=EDGE_LOOP('',(#223576)); #40280=EDGE_LOOP('',(#223577)); #40281=EDGE_LOOP('',(#223578)); #40282=EDGE_LOOP('',(#223579)); #40283=EDGE_LOOP('',(#223580,#223581,#223582,#223583)); #40284=EDGE_LOOP('',(#223584,#223585,#223586,#223587)); #40285=EDGE_LOOP('',(#223588)); #40286=EDGE_LOOP('',(#223589)); #40287=EDGE_LOOP('',(#223590)); #40288=EDGE_LOOP('',(#223591)); #40289=EDGE_LOOP('',(#223592,#223593,#223594,#223595)); #40290=EDGE_LOOP('',(#223596,#223597,#223598,#223599)); #40291=EDGE_LOOP('',(#223600)); #40292=EDGE_LOOP('',(#223601)); #40293=EDGE_LOOP('',(#223602)); #40294=EDGE_LOOP('',(#223603)); #40295=EDGE_LOOP('',(#223604,#223605,#223606,#223607)); #40296=EDGE_LOOP('',(#223608,#223609,#223610,#223611)); #40297=EDGE_LOOP('',(#223612)); #40298=EDGE_LOOP('',(#223613)); #40299=EDGE_LOOP('',(#223614)); #40300=EDGE_LOOP('',(#223615)); #40301=EDGE_LOOP('',(#223616,#223617,#223618,#223619)); #40302=EDGE_LOOP('',(#223620,#223621,#223622,#223623)); #40303=EDGE_LOOP('',(#223624)); #40304=EDGE_LOOP('',(#223625)); #40305=EDGE_LOOP('',(#223626)); #40306=EDGE_LOOP('',(#223627)); #40307=EDGE_LOOP('',(#223628,#223629,#223630,#223631)); #40308=EDGE_LOOP('',(#223632,#223633,#223634,#223635)); #40309=EDGE_LOOP('',(#223636)); #40310=EDGE_LOOP('',(#223637)); #40311=EDGE_LOOP('',(#223638)); #40312=EDGE_LOOP('',(#223639)); #40313=EDGE_LOOP('',(#223640,#223641,#223642,#223643)); #40314=EDGE_LOOP('',(#223644,#223645,#223646,#223647)); #40315=EDGE_LOOP('',(#223648)); #40316=EDGE_LOOP('',(#223649)); #40317=EDGE_LOOP('',(#223650)); #40318=EDGE_LOOP('',(#223651)); #40319=EDGE_LOOP('',(#223652,#223653,#223654,#223655)); #40320=EDGE_LOOP('',(#223656,#223657,#223658,#223659)); #40321=EDGE_LOOP('',(#223660)); #40322=EDGE_LOOP('',(#223661)); #40323=EDGE_LOOP('',(#223662)); #40324=EDGE_LOOP('',(#223663)); #40325=EDGE_LOOP('',(#223664,#223665,#223666,#223667)); #40326=EDGE_LOOP('',(#223668,#223669,#223670,#223671)); #40327=EDGE_LOOP('',(#223672)); #40328=EDGE_LOOP('',(#223673)); #40329=EDGE_LOOP('',(#223674)); #40330=EDGE_LOOP('',(#223675)); #40331=EDGE_LOOP('',(#223676,#223677,#223678,#223679)); #40332=EDGE_LOOP('',(#223680,#223681,#223682,#223683)); #40333=EDGE_LOOP('',(#223684)); #40334=EDGE_LOOP('',(#223685)); #40335=EDGE_LOOP('',(#223686)); #40336=EDGE_LOOP('',(#223687)); #40337=EDGE_LOOP('',(#223688,#223689,#223690,#223691)); #40338=EDGE_LOOP('',(#223692,#223693,#223694,#223695)); #40339=EDGE_LOOP('',(#223696)); #40340=EDGE_LOOP('',(#223697)); #40341=EDGE_LOOP('',(#223698)); #40342=EDGE_LOOP('',(#223699)); #40343=EDGE_LOOP('',(#223700,#223701,#223702,#223703)); #40344=EDGE_LOOP('',(#223704,#223705,#223706,#223707)); #40345=EDGE_LOOP('',(#223708)); #40346=EDGE_LOOP('',(#223709)); #40347=EDGE_LOOP('',(#223710)); #40348=EDGE_LOOP('',(#223711)); #40349=EDGE_LOOP('',(#223712,#223713,#223714,#223715)); #40350=EDGE_LOOP('',(#223716,#223717,#223718,#223719)); #40351=EDGE_LOOP('',(#223720)); #40352=EDGE_LOOP('',(#223721)); #40353=EDGE_LOOP('',(#223722)); #40354=EDGE_LOOP('',(#223723)); #40355=EDGE_LOOP('',(#223724,#223725,#223726,#223727)); #40356=EDGE_LOOP('',(#223728,#223729,#223730,#223731)); #40357=EDGE_LOOP('',(#223732)); #40358=EDGE_LOOP('',(#223733)); #40359=EDGE_LOOP('',(#223734)); #40360=EDGE_LOOP('',(#223735)); #40361=EDGE_LOOP('',(#223736,#223737,#223738,#223739)); #40362=EDGE_LOOP('',(#223740,#223741,#223742,#223743)); #40363=EDGE_LOOP('',(#223744)); #40364=EDGE_LOOP('',(#223745)); #40365=EDGE_LOOP('',(#223746)); #40366=EDGE_LOOP('',(#223747)); #40367=EDGE_LOOP('',(#223748,#223749,#223750,#223751)); #40368=EDGE_LOOP('',(#223752,#223753,#223754,#223755)); #40369=EDGE_LOOP('',(#223756)); #40370=EDGE_LOOP('',(#223757)); #40371=EDGE_LOOP('',(#223758)); #40372=EDGE_LOOP('',(#223759)); #40373=EDGE_LOOP('',(#223760,#223761,#223762,#223763)); #40374=EDGE_LOOP('',(#223764,#223765,#223766,#223767)); #40375=EDGE_LOOP('',(#223768)); #40376=EDGE_LOOP('',(#223769)); #40377=EDGE_LOOP('',(#223770)); #40378=EDGE_LOOP('',(#223771)); #40379=EDGE_LOOP('',(#223772,#223773,#223774,#223775)); #40380=EDGE_LOOP('',(#223776,#223777,#223778,#223779)); #40381=EDGE_LOOP('',(#223780)); #40382=EDGE_LOOP('',(#223781)); #40383=EDGE_LOOP('',(#223782)); #40384=EDGE_LOOP('',(#223783)); #40385=EDGE_LOOP('',(#223784,#223785,#223786,#223787)); #40386=EDGE_LOOP('',(#223788,#223789,#223790,#223791)); #40387=EDGE_LOOP('',(#223792)); #40388=EDGE_LOOP('',(#223793)); #40389=EDGE_LOOP('',(#223794)); #40390=EDGE_LOOP('',(#223795)); #40391=EDGE_LOOP('',(#223796,#223797,#223798,#223799)); #40392=EDGE_LOOP('',(#223800,#223801,#223802,#223803)); #40393=EDGE_LOOP('',(#223804)); #40394=EDGE_LOOP('',(#223805)); #40395=EDGE_LOOP('',(#223806)); #40396=EDGE_LOOP('',(#223807)); #40397=EDGE_LOOP('',(#223808,#223809,#223810,#223811)); #40398=EDGE_LOOP('',(#223812,#223813,#223814,#223815)); #40399=EDGE_LOOP('',(#223816)); #40400=EDGE_LOOP('',(#223817)); #40401=EDGE_LOOP('',(#223818)); #40402=EDGE_LOOP('',(#223819)); #40403=EDGE_LOOP('',(#223820,#223821,#223822,#223823)); #40404=EDGE_LOOP('',(#223824,#223825,#223826,#223827)); #40405=EDGE_LOOP('',(#223828)); #40406=EDGE_LOOP('',(#223829)); #40407=EDGE_LOOP('',(#223830)); #40408=EDGE_LOOP('',(#223831)); #40409=EDGE_LOOP('',(#223832,#223833,#223834,#223835)); #40410=EDGE_LOOP('',(#223836,#223837,#223838,#223839)); #40411=EDGE_LOOP('',(#223840)); #40412=EDGE_LOOP('',(#223841)); #40413=EDGE_LOOP('',(#223842)); #40414=EDGE_LOOP('',(#223843)); #40415=EDGE_LOOP('',(#223844,#223845,#223846,#223847)); #40416=EDGE_LOOP('',(#223848,#223849,#223850,#223851)); #40417=EDGE_LOOP('',(#223852)); #40418=EDGE_LOOP('',(#223853)); #40419=EDGE_LOOP('',(#223854)); #40420=EDGE_LOOP('',(#223855)); #40421=EDGE_LOOP('',(#223856,#223857,#223858,#223859)); #40422=EDGE_LOOP('',(#223860,#223861,#223862,#223863)); #40423=EDGE_LOOP('',(#223864)); #40424=EDGE_LOOP('',(#223865)); #40425=EDGE_LOOP('',(#223866)); #40426=EDGE_LOOP('',(#223867)); #40427=EDGE_LOOP('',(#223868,#223869,#223870,#223871)); #40428=EDGE_LOOP('',(#223872,#223873,#223874,#223875)); #40429=EDGE_LOOP('',(#223876)); #40430=EDGE_LOOP('',(#223877)); #40431=EDGE_LOOP('',(#223878)); #40432=EDGE_LOOP('',(#223879)); #40433=EDGE_LOOP('',(#223880,#223881,#223882,#223883)); #40434=EDGE_LOOP('',(#223884,#223885,#223886,#223887)); #40435=EDGE_LOOP('',(#223888)); #40436=EDGE_LOOP('',(#223889)); #40437=EDGE_LOOP('',(#223890)); #40438=EDGE_LOOP('',(#223891)); #40439=EDGE_LOOP('',(#223892,#223893,#223894,#223895)); #40440=EDGE_LOOP('',(#223896,#223897,#223898,#223899)); #40441=EDGE_LOOP('',(#223900)); #40442=EDGE_LOOP('',(#223901)); #40443=EDGE_LOOP('',(#223902)); #40444=EDGE_LOOP('',(#223903)); #40445=EDGE_LOOP('',(#223904,#223905,#223906,#223907)); #40446=EDGE_LOOP('',(#223908,#223909,#223910,#223911)); #40447=EDGE_LOOP('',(#223912)); #40448=EDGE_LOOP('',(#223913)); #40449=EDGE_LOOP('',(#223914)); #40450=EDGE_LOOP('',(#223915)); #40451=EDGE_LOOP('',(#223916,#223917,#223918,#223919)); #40452=EDGE_LOOP('',(#223920,#223921,#223922,#223923)); #40453=EDGE_LOOP('',(#223924)); #40454=EDGE_LOOP('',(#223925)); #40455=EDGE_LOOP('',(#223926)); #40456=EDGE_LOOP('',(#223927)); #40457=EDGE_LOOP('',(#223928,#223929,#223930,#223931)); #40458=EDGE_LOOP('',(#223932,#223933,#223934,#223935)); #40459=EDGE_LOOP('',(#223936)); #40460=EDGE_LOOP('',(#223937)); #40461=EDGE_LOOP('',(#223938)); #40462=EDGE_LOOP('',(#223939)); #40463=EDGE_LOOP('',(#223940,#223941,#223942,#223943)); #40464=EDGE_LOOP('',(#223944,#223945,#223946,#223947)); #40465=EDGE_LOOP('',(#223948)); #40466=EDGE_LOOP('',(#223949)); #40467=EDGE_LOOP('',(#223950)); #40468=EDGE_LOOP('',(#223951)); #40469=EDGE_LOOP('',(#223952,#223953,#223954,#223955)); #40470=EDGE_LOOP('',(#223956,#223957,#223958,#223959)); #40471=EDGE_LOOP('',(#223960)); #40472=EDGE_LOOP('',(#223961)); #40473=EDGE_LOOP('',(#223962)); #40474=EDGE_LOOP('',(#223963)); #40475=EDGE_LOOP('',(#223964,#223965,#223966,#223967)); #40476=EDGE_LOOP('',(#223968,#223969,#223970,#223971)); #40477=EDGE_LOOP('',(#223972)); #40478=EDGE_LOOP('',(#223973)); #40479=EDGE_LOOP('',(#223974)); #40480=EDGE_LOOP('',(#223975)); #40481=EDGE_LOOP('',(#223976,#223977,#223978,#223979)); #40482=EDGE_LOOP('',(#223980,#223981,#223982,#223983)); #40483=EDGE_LOOP('',(#223984)); #40484=EDGE_LOOP('',(#223985)); #40485=EDGE_LOOP('',(#223986)); #40486=EDGE_LOOP('',(#223987)); #40487=EDGE_LOOP('',(#223988,#223989,#223990,#223991)); #40488=EDGE_LOOP('',(#223992,#223993,#223994,#223995)); #40489=EDGE_LOOP('',(#223996)); #40490=EDGE_LOOP('',(#223997)); #40491=EDGE_LOOP('',(#223998)); #40492=EDGE_LOOP('',(#223999)); #40493=EDGE_LOOP('',(#224000,#224001,#224002,#224003)); #40494=EDGE_LOOP('',(#224004,#224005,#224006,#224007)); #40495=EDGE_LOOP('',(#224008)); #40496=EDGE_LOOP('',(#224009)); #40497=EDGE_LOOP('',(#224010)); #40498=EDGE_LOOP('',(#224011)); #40499=EDGE_LOOP('',(#224012,#224013,#224014,#224015)); #40500=EDGE_LOOP('',(#224016,#224017,#224018,#224019)); #40501=EDGE_LOOP('',(#224020)); #40502=EDGE_LOOP('',(#224021)); #40503=EDGE_LOOP('',(#224022)); #40504=EDGE_LOOP('',(#224023)); #40505=EDGE_LOOP('',(#224024,#224025,#224026,#224027)); #40506=EDGE_LOOP('',(#224028,#224029,#224030,#224031)); #40507=EDGE_LOOP('',(#224032)); #40508=EDGE_LOOP('',(#224033)); #40509=EDGE_LOOP('',(#224034)); #40510=EDGE_LOOP('',(#224035)); #40511=EDGE_LOOP('',(#224036,#224037,#224038,#224039)); #40512=EDGE_LOOP('',(#224040,#224041,#224042,#224043)); #40513=EDGE_LOOP('',(#224044)); #40514=EDGE_LOOP('',(#224045)); #40515=EDGE_LOOP('',(#224046)); #40516=EDGE_LOOP('',(#224047)); #40517=EDGE_LOOP('',(#224048,#224049,#224050,#224051)); #40518=EDGE_LOOP('',(#224052,#224053,#224054,#224055)); #40519=EDGE_LOOP('',(#224056)); #40520=EDGE_LOOP('',(#224057)); #40521=EDGE_LOOP('',(#224058)); #40522=EDGE_LOOP('',(#224059)); #40523=EDGE_LOOP('',(#224060,#224061,#224062,#224063)); #40524=EDGE_LOOP('',(#224064,#224065,#224066,#224067)); #40525=EDGE_LOOP('',(#224068)); #40526=EDGE_LOOP('',(#224069)); #40527=EDGE_LOOP('',(#224070)); #40528=EDGE_LOOP('',(#224071)); #40529=EDGE_LOOP('',(#224072,#224073,#224074,#224075)); #40530=EDGE_LOOP('',(#224076,#224077,#224078,#224079)); #40531=EDGE_LOOP('',(#224080)); #40532=EDGE_LOOP('',(#224081)); #40533=EDGE_LOOP('',(#224082)); #40534=EDGE_LOOP('',(#224083)); #40535=EDGE_LOOP('',(#224084,#224085,#224086,#224087)); #40536=EDGE_LOOP('',(#224088,#224089,#224090,#224091)); #40537=EDGE_LOOP('',(#224092)); #40538=EDGE_LOOP('',(#224093)); #40539=EDGE_LOOP('',(#224094)); #40540=EDGE_LOOP('',(#224095)); #40541=EDGE_LOOP('',(#224096,#224097,#224098,#224099)); #40542=EDGE_LOOP('',(#224100,#224101,#224102,#224103)); #40543=EDGE_LOOP('',(#224104)); #40544=EDGE_LOOP('',(#224105)); #40545=EDGE_LOOP('',(#224106)); #40546=EDGE_LOOP('',(#224107)); #40547=EDGE_LOOP('',(#224108,#224109,#224110,#224111)); #40548=EDGE_LOOP('',(#224112,#224113,#224114,#224115)); #40549=EDGE_LOOP('',(#224116)); #40550=EDGE_LOOP('',(#224117)); #40551=EDGE_LOOP('',(#224118)); #40552=EDGE_LOOP('',(#224119)); #40553=EDGE_LOOP('',(#224120,#224121,#224122,#224123)); #40554=EDGE_LOOP('',(#224124,#224125,#224126,#224127)); #40555=EDGE_LOOP('',(#224128)); #40556=EDGE_LOOP('',(#224129)); #40557=EDGE_LOOP('',(#224130)); #40558=EDGE_LOOP('',(#224131)); #40559=EDGE_LOOP('',(#224132,#224133,#224134,#224135)); #40560=EDGE_LOOP('',(#224136,#224137,#224138,#224139)); #40561=EDGE_LOOP('',(#224140)); #40562=EDGE_LOOP('',(#224141)); #40563=EDGE_LOOP('',(#224142)); #40564=EDGE_LOOP('',(#224143)); #40565=EDGE_LOOP('',(#224144,#224145,#224146,#224147)); #40566=EDGE_LOOP('',(#224148,#224149,#224150,#224151)); #40567=EDGE_LOOP('',(#224152)); #40568=EDGE_LOOP('',(#224153)); #40569=EDGE_LOOP('',(#224154)); #40570=EDGE_LOOP('',(#224155)); #40571=EDGE_LOOP('',(#224156,#224157,#224158,#224159)); #40572=EDGE_LOOP('',(#224160,#224161,#224162,#224163)); #40573=EDGE_LOOP('',(#224164)); #40574=EDGE_LOOP('',(#224165)); #40575=EDGE_LOOP('',(#224166)); #40576=EDGE_LOOP('',(#224167)); #40577=EDGE_LOOP('',(#224168,#224169,#224170,#224171)); #40578=EDGE_LOOP('',(#224172,#224173,#224174,#224175)); #40579=EDGE_LOOP('',(#224176)); #40580=EDGE_LOOP('',(#224177)); #40581=EDGE_LOOP('',(#224178)); #40582=EDGE_LOOP('',(#224179)); #40583=EDGE_LOOP('',(#224180,#224181,#224182,#224183)); #40584=EDGE_LOOP('',(#224184,#224185,#224186,#224187)); #40585=EDGE_LOOP('',(#224188)); #40586=EDGE_LOOP('',(#224189)); #40587=EDGE_LOOP('',(#224190)); #40588=EDGE_LOOP('',(#224191)); #40589=EDGE_LOOP('',(#224192,#224193,#224194,#224195)); #40590=EDGE_LOOP('',(#224196,#224197,#224198,#224199)); #40591=EDGE_LOOP('',(#224200)); #40592=EDGE_LOOP('',(#224201)); #40593=EDGE_LOOP('',(#224202)); #40594=EDGE_LOOP('',(#224203)); #40595=EDGE_LOOP('',(#224204,#224205,#224206,#224207)); #40596=EDGE_LOOP('',(#224208,#224209,#224210,#224211)); #40597=EDGE_LOOP('',(#224212)); #40598=EDGE_LOOP('',(#224213)); #40599=EDGE_LOOP('',(#224214)); #40600=EDGE_LOOP('',(#224215)); #40601=EDGE_LOOP('',(#224216,#224217,#224218,#224219)); #40602=EDGE_LOOP('',(#224220,#224221,#224222,#224223)); #40603=EDGE_LOOP('',(#224224)); #40604=EDGE_LOOP('',(#224225)); #40605=EDGE_LOOP('',(#224226)); #40606=EDGE_LOOP('',(#224227)); #40607=EDGE_LOOP('',(#224228,#224229,#224230,#224231)); #40608=EDGE_LOOP('',(#224232,#224233,#224234,#224235)); #40609=EDGE_LOOP('',(#224236)); #40610=EDGE_LOOP('',(#224237)); #40611=EDGE_LOOP('',(#224238)); #40612=EDGE_LOOP('',(#224239)); #40613=EDGE_LOOP('',(#224240,#224241,#224242,#224243)); #40614=EDGE_LOOP('',(#224244,#224245,#224246,#224247)); #40615=EDGE_LOOP('',(#224248)); #40616=EDGE_LOOP('',(#224249)); #40617=EDGE_LOOP('',(#224250)); #40618=EDGE_LOOP('',(#224251)); #40619=EDGE_LOOP('',(#224252,#224253,#224254,#224255)); #40620=EDGE_LOOP('',(#224256,#224257,#224258,#224259)); #40621=EDGE_LOOP('',(#224260)); #40622=EDGE_LOOP('',(#224261)); #40623=EDGE_LOOP('',(#224262)); #40624=EDGE_LOOP('',(#224263)); #40625=EDGE_LOOP('',(#224264,#224265,#224266,#224267)); #40626=EDGE_LOOP('',(#224268,#224269,#224270,#224271)); #40627=EDGE_LOOP('',(#224272)); #40628=EDGE_LOOP('',(#224273)); #40629=EDGE_LOOP('',(#224274)); #40630=EDGE_LOOP('',(#224275)); #40631=EDGE_LOOP('',(#224276,#224277,#224278,#224279)); #40632=EDGE_LOOP('',(#224280,#224281,#224282,#224283)); #40633=EDGE_LOOP('',(#224284)); #40634=EDGE_LOOP('',(#224285)); #40635=EDGE_LOOP('',(#224286)); #40636=EDGE_LOOP('',(#224287)); #40637=EDGE_LOOP('',(#224288,#224289,#224290,#224291)); #40638=EDGE_LOOP('',(#224292,#224293,#224294,#224295)); #40639=EDGE_LOOP('',(#224296)); #40640=EDGE_LOOP('',(#224297)); #40641=EDGE_LOOP('',(#224298)); #40642=EDGE_LOOP('',(#224299)); #40643=EDGE_LOOP('',(#224300,#224301,#224302,#224303)); #40644=EDGE_LOOP('',(#224304,#224305,#224306,#224307)); #40645=EDGE_LOOP('',(#224308)); #40646=EDGE_LOOP('',(#224309)); #40647=EDGE_LOOP('',(#224310)); #40648=EDGE_LOOP('',(#224311)); #40649=EDGE_LOOP('',(#224312,#224313,#224314,#224315)); #40650=EDGE_LOOP('',(#224316,#224317,#224318,#224319)); #40651=EDGE_LOOP('',(#224320)); #40652=EDGE_LOOP('',(#224321)); #40653=EDGE_LOOP('',(#224322)); #40654=EDGE_LOOP('',(#224323)); #40655=EDGE_LOOP('',(#224324,#224325,#224326,#224327)); #40656=EDGE_LOOP('',(#224328,#224329,#224330,#224331)); #40657=EDGE_LOOP('',(#224332)); #40658=EDGE_LOOP('',(#224333)); #40659=EDGE_LOOP('',(#224334)); #40660=EDGE_LOOP('',(#224335)); #40661=EDGE_LOOP('',(#224336,#224337,#224338,#224339)); #40662=EDGE_LOOP('',(#224340,#224341,#224342,#224343)); #40663=EDGE_LOOP('',(#224344)); #40664=EDGE_LOOP('',(#224345)); #40665=EDGE_LOOP('',(#224346)); #40666=EDGE_LOOP('',(#224347)); #40667=EDGE_LOOP('',(#224348,#224349,#224350,#224351)); #40668=EDGE_LOOP('',(#224352,#224353,#224354,#224355)); #40669=EDGE_LOOP('',(#224356)); #40670=EDGE_LOOP('',(#224357)); #40671=EDGE_LOOP('',(#224358)); #40672=EDGE_LOOP('',(#224359)); #40673=EDGE_LOOP('',(#224360,#224361,#224362,#224363)); #40674=EDGE_LOOP('',(#224364,#224365,#224366,#224367)); #40675=EDGE_LOOP('',(#224368)); #40676=EDGE_LOOP('',(#224369)); #40677=EDGE_LOOP('',(#224370)); #40678=EDGE_LOOP('',(#224371)); #40679=EDGE_LOOP('',(#224372,#224373,#224374,#224375)); #40680=EDGE_LOOP('',(#224376,#224377,#224378,#224379)); #40681=EDGE_LOOP('',(#224380)); #40682=EDGE_LOOP('',(#224381)); #40683=EDGE_LOOP('',(#224382)); #40684=EDGE_LOOP('',(#224383)); #40685=EDGE_LOOP('',(#224384,#224385,#224386,#224387)); #40686=EDGE_LOOP('',(#224388,#224389,#224390,#224391)); #40687=EDGE_LOOP('',(#224392)); #40688=EDGE_LOOP('',(#224393)); #40689=EDGE_LOOP('',(#224394)); #40690=EDGE_LOOP('',(#224395)); #40691=EDGE_LOOP('',(#224396,#224397,#224398,#224399)); #40692=EDGE_LOOP('',(#224400,#224401,#224402,#224403)); #40693=EDGE_LOOP('',(#224404)); #40694=EDGE_LOOP('',(#224405)); #40695=EDGE_LOOP('',(#224406)); #40696=EDGE_LOOP('',(#224407)); #40697=EDGE_LOOP('',(#224408,#224409,#224410,#224411)); #40698=EDGE_LOOP('',(#224412,#224413,#224414,#224415)); #40699=EDGE_LOOP('',(#224416)); #40700=EDGE_LOOP('',(#224417)); #40701=EDGE_LOOP('',(#224418)); #40702=EDGE_LOOP('',(#224419)); #40703=EDGE_LOOP('',(#224420,#224421,#224422,#224423)); #40704=EDGE_LOOP('',(#224424,#224425,#224426,#224427)); #40705=EDGE_LOOP('',(#224428)); #40706=EDGE_LOOP('',(#224429)); #40707=EDGE_LOOP('',(#224430)); #40708=EDGE_LOOP('',(#224431)); #40709=EDGE_LOOP('',(#224432,#224433,#224434,#224435)); #40710=EDGE_LOOP('',(#224436,#224437,#224438,#224439)); #40711=EDGE_LOOP('',(#224440)); #40712=EDGE_LOOP('',(#224441)); #40713=EDGE_LOOP('',(#224442)); #40714=EDGE_LOOP('',(#224443)); #40715=EDGE_LOOP('',(#224444,#224445,#224446,#224447)); #40716=EDGE_LOOP('',(#224448,#224449,#224450,#224451)); #40717=EDGE_LOOP('',(#224452)); #40718=EDGE_LOOP('',(#224453)); #40719=EDGE_LOOP('',(#224454)); #40720=EDGE_LOOP('',(#224455)); #40721=EDGE_LOOP('',(#224456,#224457,#224458,#224459)); #40722=EDGE_LOOP('',(#224460,#224461,#224462,#224463)); #40723=EDGE_LOOP('',(#224464)); #40724=EDGE_LOOP('',(#224465)); #40725=EDGE_LOOP('',(#224466)); #40726=EDGE_LOOP('',(#224467)); #40727=EDGE_LOOP('',(#224468,#224469,#224470,#224471)); #40728=EDGE_LOOP('',(#224472,#224473,#224474,#224475)); #40729=EDGE_LOOP('',(#224476)); #40730=EDGE_LOOP('',(#224477)); #40731=EDGE_LOOP('',(#224478)); #40732=EDGE_LOOP('',(#224479)); #40733=EDGE_LOOP('',(#224480,#224481,#224482,#224483)); #40734=EDGE_LOOP('',(#224484,#224485,#224486,#224487)); #40735=EDGE_LOOP('',(#224488)); #40736=EDGE_LOOP('',(#224489)); #40737=EDGE_LOOP('',(#224490)); #40738=EDGE_LOOP('',(#224491)); #40739=EDGE_LOOP('',(#224492,#224493,#224494,#224495)); #40740=EDGE_LOOP('',(#224496,#224497,#224498,#224499)); #40741=EDGE_LOOP('',(#224500)); #40742=EDGE_LOOP('',(#224501)); #40743=EDGE_LOOP('',(#224502)); #40744=EDGE_LOOP('',(#224503)); #40745=EDGE_LOOP('',(#224504,#224505,#224506,#224507)); #40746=EDGE_LOOP('',(#224508,#224509,#224510,#224511)); #40747=EDGE_LOOP('',(#224512)); #40748=EDGE_LOOP('',(#224513)); #40749=EDGE_LOOP('',(#224514)); #40750=EDGE_LOOP('',(#224515)); #40751=EDGE_LOOP('',(#224516,#224517,#224518,#224519)); #40752=EDGE_LOOP('',(#224520,#224521,#224522,#224523)); #40753=EDGE_LOOP('',(#224524)); #40754=EDGE_LOOP('',(#224525)); #40755=EDGE_LOOP('',(#224526)); #40756=EDGE_LOOP('',(#224527)); #40757=EDGE_LOOP('',(#224528,#224529,#224530,#224531)); #40758=EDGE_LOOP('',(#224532,#224533,#224534,#224535)); #40759=EDGE_LOOP('',(#224536)); #40760=EDGE_LOOP('',(#224537)); #40761=EDGE_LOOP('',(#224538)); #40762=EDGE_LOOP('',(#224539)); #40763=EDGE_LOOP('',(#224540,#224541,#224542,#224543)); #40764=EDGE_LOOP('',(#224544,#224545,#224546,#224547)); #40765=EDGE_LOOP('',(#224548)); #40766=EDGE_LOOP('',(#224549)); #40767=EDGE_LOOP('',(#224550)); #40768=EDGE_LOOP('',(#224551)); #40769=EDGE_LOOP('',(#224552,#224553,#224554,#224555)); #40770=EDGE_LOOP('',(#224556,#224557,#224558,#224559)); #40771=EDGE_LOOP('',(#224560)); #40772=EDGE_LOOP('',(#224561)); #40773=EDGE_LOOP('',(#224562)); #40774=EDGE_LOOP('',(#224563)); #40775=EDGE_LOOP('',(#224564,#224565,#224566,#224567)); #40776=EDGE_LOOP('',(#224568,#224569,#224570,#224571)); #40777=EDGE_LOOP('',(#224572)); #40778=EDGE_LOOP('',(#224573)); #40779=EDGE_LOOP('',(#224574)); #40780=EDGE_LOOP('',(#224575)); #40781=EDGE_LOOP('',(#224576,#224577,#224578,#224579)); #40782=EDGE_LOOP('',(#224580,#224581,#224582,#224583)); #40783=EDGE_LOOP('',(#224584)); #40784=EDGE_LOOP('',(#224585)); #40785=EDGE_LOOP('',(#224586)); #40786=EDGE_LOOP('',(#224587)); #40787=EDGE_LOOP('',(#224588,#224589,#224590,#224591)); #40788=EDGE_LOOP('',(#224592,#224593,#224594,#224595)); #40789=EDGE_LOOP('',(#224596)); #40790=EDGE_LOOP('',(#224597)); #40791=EDGE_LOOP('',(#224598)); #40792=EDGE_LOOP('',(#224599)); #40793=EDGE_LOOP('',(#224600,#224601,#224602,#224603)); #40794=EDGE_LOOP('',(#224604,#224605,#224606,#224607)); #40795=EDGE_LOOP('',(#224608)); #40796=EDGE_LOOP('',(#224609)); #40797=EDGE_LOOP('',(#224610)); #40798=EDGE_LOOP('',(#224611)); #40799=EDGE_LOOP('',(#224612,#224613,#224614,#224615)); #40800=EDGE_LOOP('',(#224616,#224617,#224618,#224619)); #40801=EDGE_LOOP('',(#224620)); #40802=EDGE_LOOP('',(#224621)); #40803=EDGE_LOOP('',(#224622)); #40804=EDGE_LOOP('',(#224623)); #40805=EDGE_LOOP('',(#224624,#224625,#224626,#224627)); #40806=EDGE_LOOP('',(#224628,#224629,#224630,#224631)); #40807=EDGE_LOOP('',(#224632)); #40808=EDGE_LOOP('',(#224633)); #40809=EDGE_LOOP('',(#224634)); #40810=EDGE_LOOP('',(#224635)); #40811=EDGE_LOOP('',(#224636,#224637,#224638,#224639)); #40812=EDGE_LOOP('',(#224640,#224641,#224642,#224643)); #40813=EDGE_LOOP('',(#224644)); #40814=EDGE_LOOP('',(#224645)); #40815=EDGE_LOOP('',(#224646)); #40816=EDGE_LOOP('',(#224647)); #40817=EDGE_LOOP('',(#224648,#224649,#224650,#224651)); #40818=EDGE_LOOP('',(#224652,#224653,#224654,#224655)); #40819=EDGE_LOOP('',(#224656)); #40820=EDGE_LOOP('',(#224657)); #40821=EDGE_LOOP('',(#224658)); #40822=EDGE_LOOP('',(#224659)); #40823=EDGE_LOOP('',(#224660,#224661,#224662,#224663)); #40824=EDGE_LOOP('',(#224664,#224665,#224666,#224667)); #40825=EDGE_LOOP('',(#224668)); #40826=EDGE_LOOP('',(#224669)); #40827=EDGE_LOOP('',(#224670)); #40828=EDGE_LOOP('',(#224671)); #40829=EDGE_LOOP('',(#224672,#224673,#224674,#224675)); #40830=EDGE_LOOP('',(#224676,#224677,#224678,#224679)); #40831=EDGE_LOOP('',(#224680)); #40832=EDGE_LOOP('',(#224681)); #40833=EDGE_LOOP('',(#224682)); #40834=EDGE_LOOP('',(#224683)); #40835=EDGE_LOOP('',(#224684,#224685,#224686,#224687)); #40836=EDGE_LOOP('',(#224688,#224689,#224690,#224691)); #40837=EDGE_LOOP('',(#224692)); #40838=EDGE_LOOP('',(#224693)); #40839=EDGE_LOOP('',(#224694)); #40840=EDGE_LOOP('',(#224695)); #40841=EDGE_LOOP('',(#224696,#224697,#224698,#224699)); #40842=EDGE_LOOP('',(#224700,#224701,#224702,#224703)); #40843=EDGE_LOOP('',(#224704)); #40844=EDGE_LOOP('',(#224705)); #40845=EDGE_LOOP('',(#224706)); #40846=EDGE_LOOP('',(#224707)); #40847=EDGE_LOOP('',(#224708,#224709,#224710,#224711)); #40848=EDGE_LOOP('',(#224712,#224713,#224714,#224715)); #40849=EDGE_LOOP('',(#224716)); #40850=EDGE_LOOP('',(#224717)); #40851=EDGE_LOOP('',(#224718)); #40852=EDGE_LOOP('',(#224719)); #40853=EDGE_LOOP('',(#224720,#224721,#224722,#224723)); #40854=EDGE_LOOP('',(#224724,#224725,#224726,#224727)); #40855=EDGE_LOOP('',(#224728)); #40856=EDGE_LOOP('',(#224729)); #40857=EDGE_LOOP('',(#224730)); #40858=EDGE_LOOP('',(#224731)); #40859=EDGE_LOOP('',(#224732,#224733,#224734,#224735)); #40860=EDGE_LOOP('',(#224736,#224737,#224738,#224739)); #40861=EDGE_LOOP('',(#224740)); #40862=EDGE_LOOP('',(#224741)); #40863=EDGE_LOOP('',(#224742)); #40864=EDGE_LOOP('',(#224743)); #40865=EDGE_LOOP('',(#224744,#224745,#224746,#224747)); #40866=EDGE_LOOP('',(#224748,#224749,#224750,#224751)); #40867=EDGE_LOOP('',(#224752)); #40868=EDGE_LOOP('',(#224753)); #40869=EDGE_LOOP('',(#224754)); #40870=EDGE_LOOP('',(#224755)); #40871=EDGE_LOOP('',(#224756,#224757,#224758,#224759)); #40872=EDGE_LOOP('',(#224760,#224761,#224762,#224763)); #40873=EDGE_LOOP('',(#224764)); #40874=EDGE_LOOP('',(#224765)); #40875=EDGE_LOOP('',(#224766)); #40876=EDGE_LOOP('',(#224767)); #40877=EDGE_LOOP('',(#224768,#224769,#224770,#224771)); #40878=EDGE_LOOP('',(#224772,#224773,#224774,#224775)); #40879=EDGE_LOOP('',(#224776)); #40880=EDGE_LOOP('',(#224777)); #40881=EDGE_LOOP('',(#224778)); #40882=EDGE_LOOP('',(#224779)); #40883=EDGE_LOOP('',(#224780,#224781,#224782,#224783)); #40884=EDGE_LOOP('',(#224784,#224785,#224786,#224787)); #40885=EDGE_LOOP('',(#224788)); #40886=EDGE_LOOP('',(#224789)); #40887=EDGE_LOOP('',(#224790)); #40888=EDGE_LOOP('',(#224791)); #40889=EDGE_LOOP('',(#224792,#224793,#224794,#224795)); #40890=EDGE_LOOP('',(#224796,#224797,#224798,#224799)); #40891=EDGE_LOOP('',(#224800)); #40892=EDGE_LOOP('',(#224801)); #40893=EDGE_LOOP('',(#224802)); #40894=EDGE_LOOP('',(#224803)); #40895=EDGE_LOOP('',(#224804,#224805,#224806,#224807)); #40896=EDGE_LOOP('',(#224808,#224809,#224810,#224811)); #40897=EDGE_LOOP('',(#224812)); #40898=EDGE_LOOP('',(#224813)); #40899=EDGE_LOOP('',(#224814)); #40900=EDGE_LOOP('',(#224815)); #40901=EDGE_LOOP('',(#224816,#224817,#224818,#224819)); #40902=EDGE_LOOP('',(#224820,#224821,#224822,#224823)); #40903=EDGE_LOOP('',(#224824)); #40904=EDGE_LOOP('',(#224825)); #40905=EDGE_LOOP('',(#224826)); #40906=EDGE_LOOP('',(#224827)); #40907=EDGE_LOOP('',(#224828,#224829,#224830,#224831)); #40908=EDGE_LOOP('',(#224832,#224833,#224834,#224835)); #40909=EDGE_LOOP('',(#224836)); #40910=EDGE_LOOP('',(#224837)); #40911=EDGE_LOOP('',(#224838)); #40912=EDGE_LOOP('',(#224839)); #40913=EDGE_LOOP('',(#224840,#224841,#224842,#224843)); #40914=EDGE_LOOP('',(#224844,#224845,#224846,#224847)); #40915=EDGE_LOOP('',(#224848)); #40916=EDGE_LOOP('',(#224849)); #40917=EDGE_LOOP('',(#224850)); #40918=EDGE_LOOP('',(#224851)); #40919=EDGE_LOOP('',(#224852,#224853,#224854,#224855)); #40920=EDGE_LOOP('',(#224856,#224857,#224858,#224859)); #40921=EDGE_LOOP('',(#224860)); #40922=EDGE_LOOP('',(#224861)); #40923=EDGE_LOOP('',(#224862)); #40924=EDGE_LOOP('',(#224863)); #40925=EDGE_LOOP('',(#224864,#224865,#224866,#224867)); #40926=EDGE_LOOP('',(#224868,#224869,#224870,#224871)); #40927=EDGE_LOOP('',(#224872)); #40928=EDGE_LOOP('',(#224873)); #40929=EDGE_LOOP('',(#224874)); #40930=EDGE_LOOP('',(#224875)); #40931=EDGE_LOOP('',(#224876,#224877,#224878,#224879)); #40932=EDGE_LOOP('',(#224880,#224881,#224882,#224883)); #40933=EDGE_LOOP('',(#224884)); #40934=EDGE_LOOP('',(#224885)); #40935=EDGE_LOOP('',(#224886)); #40936=EDGE_LOOP('',(#224887)); #40937=EDGE_LOOP('',(#224888,#224889,#224890,#224891)); #40938=EDGE_LOOP('',(#224892,#224893,#224894,#224895)); #40939=EDGE_LOOP('',(#224896)); #40940=EDGE_LOOP('',(#224897)); #40941=EDGE_LOOP('',(#224898)); #40942=EDGE_LOOP('',(#224899)); #40943=EDGE_LOOP('',(#224900,#224901,#224902,#224903)); #40944=EDGE_LOOP('',(#224904,#224905,#224906,#224907)); #40945=EDGE_LOOP('',(#224908)); #40946=EDGE_LOOP('',(#224909)); #40947=EDGE_LOOP('',(#224910)); #40948=EDGE_LOOP('',(#224911)); #40949=EDGE_LOOP('',(#224912,#224913,#224914,#224915)); #40950=EDGE_LOOP('',(#224916,#224917,#224918,#224919)); #40951=EDGE_LOOP('',(#224920)); #40952=EDGE_LOOP('',(#224921)); #40953=EDGE_LOOP('',(#224922)); #40954=EDGE_LOOP('',(#224923)); #40955=EDGE_LOOP('',(#224924,#224925,#224926,#224927)); #40956=EDGE_LOOP('',(#224928,#224929,#224930,#224931)); #40957=EDGE_LOOP('',(#224932)); #40958=EDGE_LOOP('',(#224933)); #40959=EDGE_LOOP('',(#224934)); #40960=EDGE_LOOP('',(#224935)); #40961=EDGE_LOOP('',(#224936,#224937,#224938,#224939)); #40962=EDGE_LOOP('',(#224940,#224941,#224942,#224943)); #40963=EDGE_LOOP('',(#224944)); #40964=EDGE_LOOP('',(#224945)); #40965=EDGE_LOOP('',(#224946)); #40966=EDGE_LOOP('',(#224947)); #40967=EDGE_LOOP('',(#224948,#224949,#224950,#224951)); #40968=EDGE_LOOP('',(#224952,#224953,#224954,#224955)); #40969=EDGE_LOOP('',(#224956)); #40970=EDGE_LOOP('',(#224957)); #40971=EDGE_LOOP('',(#224958)); #40972=EDGE_LOOP('',(#224959)); #40973=EDGE_LOOP('',(#224960,#224961,#224962,#224963)); #40974=EDGE_LOOP('',(#224964,#224965,#224966,#224967)); #40975=EDGE_LOOP('',(#224968)); #40976=EDGE_LOOP('',(#224969)); #40977=EDGE_LOOP('',(#224970)); #40978=EDGE_LOOP('',(#224971)); #40979=EDGE_LOOP('',(#224972,#224973,#224974,#224975)); #40980=EDGE_LOOP('',(#224976,#224977,#224978,#224979)); #40981=EDGE_LOOP('',(#224980)); #40982=EDGE_LOOP('',(#224981)); #40983=EDGE_LOOP('',(#224982)); #40984=EDGE_LOOP('',(#224983)); #40985=EDGE_LOOP('',(#224984,#224985,#224986,#224987)); #40986=EDGE_LOOP('',(#224988,#224989,#224990,#224991)); #40987=EDGE_LOOP('',(#224992)); #40988=EDGE_LOOP('',(#224993)); #40989=EDGE_LOOP('',(#224994)); #40990=EDGE_LOOP('',(#224995)); #40991=EDGE_LOOP('',(#224996,#224997,#224998,#224999)); #40992=EDGE_LOOP('',(#225000,#225001,#225002,#225003)); #40993=EDGE_LOOP('',(#225004)); #40994=EDGE_LOOP('',(#225005)); #40995=EDGE_LOOP('',(#225006)); #40996=EDGE_LOOP('',(#225007)); #40997=EDGE_LOOP('',(#225008,#225009,#225010,#225011)); #40998=EDGE_LOOP('',(#225012,#225013,#225014,#225015)); #40999=EDGE_LOOP('',(#225016)); #41000=EDGE_LOOP('',(#225017)); #41001=EDGE_LOOP('',(#225018)); #41002=EDGE_LOOP('',(#225019)); #41003=EDGE_LOOP('',(#225020,#225021,#225022,#225023)); #41004=EDGE_LOOP('',(#225024,#225025,#225026,#225027)); #41005=EDGE_LOOP('',(#225028)); #41006=EDGE_LOOP('',(#225029)); #41007=EDGE_LOOP('',(#225030)); #41008=EDGE_LOOP('',(#225031)); #41009=EDGE_LOOP('',(#225032,#225033,#225034,#225035)); #41010=EDGE_LOOP('',(#225036,#225037,#225038,#225039)); #41011=EDGE_LOOP('',(#225040)); #41012=EDGE_LOOP('',(#225041)); #41013=EDGE_LOOP('',(#225042)); #41014=EDGE_LOOP('',(#225043)); #41015=EDGE_LOOP('',(#225044,#225045,#225046,#225047)); #41016=EDGE_LOOP('',(#225048,#225049,#225050,#225051)); #41017=EDGE_LOOP('',(#225052)); #41018=EDGE_LOOP('',(#225053)); #41019=EDGE_LOOP('',(#225054)); #41020=EDGE_LOOP('',(#225055)); #41021=EDGE_LOOP('',(#225056,#225057,#225058,#225059)); #41022=EDGE_LOOP('',(#225060,#225061,#225062,#225063)); #41023=EDGE_LOOP('',(#225064)); #41024=EDGE_LOOP('',(#225065)); #41025=EDGE_LOOP('',(#225066)); #41026=EDGE_LOOP('',(#225067)); #41027=EDGE_LOOP('',(#225068,#225069,#225070,#225071)); #41028=EDGE_LOOP('',(#225072,#225073,#225074,#225075)); #41029=EDGE_LOOP('',(#225076)); #41030=EDGE_LOOP('',(#225077)); #41031=EDGE_LOOP('',(#225078)); #41032=EDGE_LOOP('',(#225079)); #41033=EDGE_LOOP('',(#225080,#225081,#225082,#225083)); #41034=EDGE_LOOP('',(#225084,#225085,#225086,#225087)); #41035=EDGE_LOOP('',(#225088)); #41036=EDGE_LOOP('',(#225089)); #41037=EDGE_LOOP('',(#225090)); #41038=EDGE_LOOP('',(#225091)); #41039=EDGE_LOOP('',(#225092,#225093,#225094,#225095)); #41040=EDGE_LOOP('',(#225096,#225097,#225098,#225099)); #41041=EDGE_LOOP('',(#225100)); #41042=EDGE_LOOP('',(#225101)); #41043=EDGE_LOOP('',(#225102)); #41044=EDGE_LOOP('',(#225103)); #41045=EDGE_LOOP('',(#225104,#225105,#225106,#225107)); #41046=EDGE_LOOP('',(#225108,#225109,#225110,#225111)); #41047=EDGE_LOOP('',(#225112)); #41048=EDGE_LOOP('',(#225113)); #41049=EDGE_LOOP('',(#225114)); #41050=EDGE_LOOP('',(#225115)); #41051=EDGE_LOOP('',(#225116,#225117,#225118,#225119)); #41052=EDGE_LOOP('',(#225120,#225121,#225122,#225123)); #41053=EDGE_LOOP('',(#225124)); #41054=EDGE_LOOP('',(#225125)); #41055=EDGE_LOOP('',(#225126)); #41056=EDGE_LOOP('',(#225127)); #41057=EDGE_LOOP('',(#225128,#225129,#225130,#225131)); #41058=EDGE_LOOP('',(#225132,#225133,#225134,#225135)); #41059=EDGE_LOOP('',(#225136)); #41060=EDGE_LOOP('',(#225137)); #41061=EDGE_LOOP('',(#225138)); #41062=EDGE_LOOP('',(#225139)); #41063=EDGE_LOOP('',(#225140,#225141,#225142,#225143)); #41064=EDGE_LOOP('',(#225144,#225145,#225146,#225147)); #41065=EDGE_LOOP('',(#225148)); #41066=EDGE_LOOP('',(#225149)); #41067=EDGE_LOOP('',(#225150)); #41068=EDGE_LOOP('',(#225151)); #41069=EDGE_LOOP('',(#225152,#225153,#225154,#225155)); #41070=EDGE_LOOP('',(#225156,#225157,#225158,#225159)); #41071=EDGE_LOOP('',(#225160)); #41072=EDGE_LOOP('',(#225161)); #41073=EDGE_LOOP('',(#225162)); #41074=EDGE_LOOP('',(#225163)); #41075=EDGE_LOOP('',(#225164,#225165,#225166,#225167)); #41076=EDGE_LOOP('',(#225168,#225169,#225170,#225171)); #41077=EDGE_LOOP('',(#225172)); #41078=EDGE_LOOP('',(#225173)); #41079=EDGE_LOOP('',(#225174)); #41080=EDGE_LOOP('',(#225175)); #41081=EDGE_LOOP('',(#225176,#225177,#225178,#225179)); #41082=EDGE_LOOP('',(#225180,#225181,#225182,#225183)); #41083=EDGE_LOOP('',(#225184)); #41084=EDGE_LOOP('',(#225185)); #41085=EDGE_LOOP('',(#225186)); #41086=EDGE_LOOP('',(#225187)); #41087=EDGE_LOOP('',(#225188,#225189,#225190,#225191)); #41088=EDGE_LOOP('',(#225192,#225193,#225194,#225195)); #41089=EDGE_LOOP('',(#225196)); #41090=EDGE_LOOP('',(#225197)); #41091=EDGE_LOOP('',(#225198)); #41092=EDGE_LOOP('',(#225199)); #41093=EDGE_LOOP('',(#225200,#225201,#225202,#225203)); #41094=EDGE_LOOP('',(#225204,#225205,#225206,#225207)); #41095=EDGE_LOOP('',(#225208)); #41096=EDGE_LOOP('',(#225209)); #41097=EDGE_LOOP('',(#225210)); #41098=EDGE_LOOP('',(#225211)); #41099=EDGE_LOOP('',(#225212,#225213,#225214,#225215)); #41100=EDGE_LOOP('',(#225216,#225217,#225218,#225219)); #41101=EDGE_LOOP('',(#225220)); #41102=EDGE_LOOP('',(#225221)); #41103=EDGE_LOOP('',(#225222)); #41104=EDGE_LOOP('',(#225223)); #41105=EDGE_LOOP('',(#225224,#225225,#225226,#225227)); #41106=EDGE_LOOP('',(#225228,#225229,#225230,#225231)); #41107=EDGE_LOOP('',(#225232)); #41108=EDGE_LOOP('',(#225233)); #41109=EDGE_LOOP('',(#225234)); #41110=EDGE_LOOP('',(#225235)); #41111=EDGE_LOOP('',(#225236,#225237,#225238,#225239)); #41112=EDGE_LOOP('',(#225240,#225241,#225242,#225243)); #41113=EDGE_LOOP('',(#225244)); #41114=EDGE_LOOP('',(#225245)); #41115=EDGE_LOOP('',(#225246)); #41116=EDGE_LOOP('',(#225247)); #41117=EDGE_LOOP('',(#225248,#225249,#225250,#225251)); #41118=EDGE_LOOP('',(#225252,#225253,#225254,#225255)); #41119=EDGE_LOOP('',(#225256)); #41120=EDGE_LOOP('',(#225257)); #41121=EDGE_LOOP('',(#225258)); #41122=EDGE_LOOP('',(#225259)); #41123=EDGE_LOOP('',(#225260,#225261,#225262,#225263)); #41124=EDGE_LOOP('',(#225264,#225265,#225266,#225267)); #41125=EDGE_LOOP('',(#225268)); #41126=EDGE_LOOP('',(#225269)); #41127=EDGE_LOOP('',(#225270)); #41128=EDGE_LOOP('',(#225271)); #41129=EDGE_LOOP('',(#225272,#225273,#225274,#225275)); #41130=EDGE_LOOP('',(#225276,#225277,#225278,#225279)); #41131=EDGE_LOOP('',(#225280)); #41132=EDGE_LOOP('',(#225281)); #41133=EDGE_LOOP('',(#225282)); #41134=EDGE_LOOP('',(#225283)); #41135=EDGE_LOOP('',(#225284,#225285,#225286,#225287)); #41136=EDGE_LOOP('',(#225288,#225289,#225290,#225291)); #41137=EDGE_LOOP('',(#225292)); #41138=EDGE_LOOP('',(#225293)); #41139=EDGE_LOOP('',(#225294)); #41140=EDGE_LOOP('',(#225295)); #41141=EDGE_LOOP('',(#225296,#225297,#225298,#225299)); #41142=EDGE_LOOP('',(#225300,#225301,#225302,#225303)); #41143=EDGE_LOOP('',(#225304)); #41144=EDGE_LOOP('',(#225305)); #41145=EDGE_LOOP('',(#225306)); #41146=EDGE_LOOP('',(#225307)); #41147=EDGE_LOOP('',(#225308,#225309,#225310,#225311)); #41148=EDGE_LOOP('',(#225312,#225313,#225314,#225315)); #41149=EDGE_LOOP('',(#225316)); #41150=EDGE_LOOP('',(#225317)); #41151=EDGE_LOOP('',(#225318)); #41152=EDGE_LOOP('',(#225319)); #41153=EDGE_LOOP('',(#225320,#225321,#225322,#225323)); #41154=EDGE_LOOP('',(#225324,#225325,#225326,#225327)); #41155=EDGE_LOOP('',(#225328)); #41156=EDGE_LOOP('',(#225329)); #41157=EDGE_LOOP('',(#225330)); #41158=EDGE_LOOP('',(#225331)); #41159=EDGE_LOOP('',(#225332,#225333,#225334,#225335)); #41160=EDGE_LOOP('',(#225336,#225337,#225338,#225339)); #41161=EDGE_LOOP('',(#225340)); #41162=EDGE_LOOP('',(#225341)); #41163=EDGE_LOOP('',(#225342)); #41164=EDGE_LOOP('',(#225343)); #41165=EDGE_LOOP('',(#225344,#225345,#225346,#225347)); #41166=EDGE_LOOP('',(#225348,#225349,#225350,#225351)); #41167=EDGE_LOOP('',(#225352)); #41168=EDGE_LOOP('',(#225353)); #41169=EDGE_LOOP('',(#225354)); #41170=EDGE_LOOP('',(#225355)); #41171=EDGE_LOOP('',(#225356,#225357,#225358,#225359)); #41172=EDGE_LOOP('',(#225360,#225361,#225362,#225363)); #41173=EDGE_LOOP('',(#225364)); #41174=EDGE_LOOP('',(#225365)); #41175=EDGE_LOOP('',(#225366)); #41176=EDGE_LOOP('',(#225367)); #41177=EDGE_LOOP('',(#225368,#225369,#225370,#225371)); #41178=EDGE_LOOP('',(#225372,#225373,#225374,#225375)); #41179=EDGE_LOOP('',(#225376)); #41180=EDGE_LOOP('',(#225377)); #41181=EDGE_LOOP('',(#225378)); #41182=EDGE_LOOP('',(#225379)); #41183=EDGE_LOOP('',(#225380,#225381,#225382,#225383)); #41184=EDGE_LOOP('',(#225384,#225385,#225386,#225387)); #41185=EDGE_LOOP('',(#225388)); #41186=EDGE_LOOP('',(#225389)); #41187=EDGE_LOOP('',(#225390)); #41188=EDGE_LOOP('',(#225391)); #41189=EDGE_LOOP('',(#225392,#225393,#225394,#225395)); #41190=EDGE_LOOP('',(#225396,#225397,#225398,#225399)); #41191=EDGE_LOOP('',(#225400)); #41192=EDGE_LOOP('',(#225401)); #41193=EDGE_LOOP('',(#225402)); #41194=EDGE_LOOP('',(#225403)); #41195=EDGE_LOOP('',(#225404,#225405,#225406,#225407)); #41196=EDGE_LOOP('',(#225408,#225409,#225410,#225411)); #41197=EDGE_LOOP('',(#225412)); #41198=EDGE_LOOP('',(#225413)); #41199=EDGE_LOOP('',(#225414)); #41200=EDGE_LOOP('',(#225415)); #41201=EDGE_LOOP('',(#225416,#225417,#225418,#225419)); #41202=EDGE_LOOP('',(#225420,#225421,#225422,#225423)); #41203=EDGE_LOOP('',(#225424)); #41204=EDGE_LOOP('',(#225425)); #41205=EDGE_LOOP('',(#225426)); #41206=EDGE_LOOP('',(#225427)); #41207=EDGE_LOOP('',(#225428,#225429,#225430,#225431)); #41208=EDGE_LOOP('',(#225432,#225433,#225434,#225435)); #41209=EDGE_LOOP('',(#225436)); #41210=EDGE_LOOP('',(#225437)); #41211=EDGE_LOOP('',(#225438)); #41212=EDGE_LOOP('',(#225439)); #41213=EDGE_LOOP('',(#225440,#225441,#225442,#225443)); #41214=EDGE_LOOP('',(#225444,#225445,#225446,#225447)); #41215=EDGE_LOOP('',(#225448)); #41216=EDGE_LOOP('',(#225449)); #41217=EDGE_LOOP('',(#225450)); #41218=EDGE_LOOP('',(#225451)); #41219=EDGE_LOOP('',(#225452,#225453,#225454,#225455)); #41220=EDGE_LOOP('',(#225456,#225457,#225458,#225459)); #41221=EDGE_LOOP('',(#225460)); #41222=EDGE_LOOP('',(#225461)); #41223=EDGE_LOOP('',(#225462)); #41224=EDGE_LOOP('',(#225463)); #41225=EDGE_LOOP('',(#225464,#225465,#225466,#225467)); #41226=EDGE_LOOP('',(#225468,#225469,#225470,#225471)); #41227=EDGE_LOOP('',(#225472)); #41228=EDGE_LOOP('',(#225473)); #41229=EDGE_LOOP('',(#225474)); #41230=EDGE_LOOP('',(#225475)); #41231=EDGE_LOOP('',(#225476,#225477,#225478,#225479)); #41232=EDGE_LOOP('',(#225480,#225481,#225482,#225483)); #41233=EDGE_LOOP('',(#225484)); #41234=EDGE_LOOP('',(#225485)); #41235=EDGE_LOOP('',(#225486)); #41236=EDGE_LOOP('',(#225487)); #41237=EDGE_LOOP('',(#225488,#225489,#225490,#225491)); #41238=EDGE_LOOP('',(#225492,#225493,#225494,#225495)); #41239=EDGE_LOOP('',(#225496)); #41240=EDGE_LOOP('',(#225497)); #41241=EDGE_LOOP('',(#225498)); #41242=EDGE_LOOP('',(#225499)); #41243=EDGE_LOOP('',(#225500,#225501,#225502,#225503)); #41244=EDGE_LOOP('',(#225504,#225505,#225506,#225507)); #41245=EDGE_LOOP('',(#225508)); #41246=EDGE_LOOP('',(#225509)); #41247=EDGE_LOOP('',(#225510)); #41248=EDGE_LOOP('',(#225511)); #41249=EDGE_LOOP('',(#225512,#225513,#225514,#225515)); #41250=EDGE_LOOP('',(#225516,#225517,#225518,#225519)); #41251=EDGE_LOOP('',(#225520)); #41252=EDGE_LOOP('',(#225521)); #41253=EDGE_LOOP('',(#225522)); #41254=EDGE_LOOP('',(#225523)); #41255=EDGE_LOOP('',(#225524,#225525,#225526,#225527)); #41256=EDGE_LOOP('',(#225528,#225529,#225530,#225531)); #41257=EDGE_LOOP('',(#225532)); #41258=EDGE_LOOP('',(#225533)); #41259=EDGE_LOOP('',(#225534)); #41260=EDGE_LOOP('',(#225535)); #41261=EDGE_LOOP('',(#225536,#225537,#225538,#225539)); #41262=EDGE_LOOP('',(#225540,#225541,#225542,#225543)); #41263=EDGE_LOOP('',(#225544)); #41264=EDGE_LOOP('',(#225545)); #41265=EDGE_LOOP('',(#225546)); #41266=EDGE_LOOP('',(#225547)); #41267=EDGE_LOOP('',(#225548,#225549,#225550,#225551)); #41268=EDGE_LOOP('',(#225552,#225553,#225554,#225555)); #41269=EDGE_LOOP('',(#225556)); #41270=EDGE_LOOP('',(#225557)); #41271=EDGE_LOOP('',(#225558)); #41272=EDGE_LOOP('',(#225559)); #41273=EDGE_LOOP('',(#225560,#225561,#225562,#225563)); #41274=EDGE_LOOP('',(#225564,#225565,#225566,#225567)); #41275=EDGE_LOOP('',(#225568)); #41276=EDGE_LOOP('',(#225569)); #41277=EDGE_LOOP('',(#225570)); #41278=EDGE_LOOP('',(#225571)); #41279=EDGE_LOOP('',(#225572,#225573,#225574,#225575)); #41280=EDGE_LOOP('',(#225576,#225577,#225578,#225579)); #41281=EDGE_LOOP('',(#225580)); #41282=EDGE_LOOP('',(#225581)); #41283=EDGE_LOOP('',(#225582)); #41284=EDGE_LOOP('',(#225583)); #41285=EDGE_LOOP('',(#225584,#225585,#225586,#225587)); #41286=EDGE_LOOP('',(#225588,#225589,#225590,#225591)); #41287=EDGE_LOOP('',(#225592)); #41288=EDGE_LOOP('',(#225593)); #41289=EDGE_LOOP('',(#225594)); #41290=EDGE_LOOP('',(#225595)); #41291=EDGE_LOOP('',(#225596,#225597,#225598,#225599)); #41292=EDGE_LOOP('',(#225600,#225601,#225602,#225603)); #41293=EDGE_LOOP('',(#225604)); #41294=EDGE_LOOP('',(#225605)); #41295=EDGE_LOOP('',(#225606)); #41296=EDGE_LOOP('',(#225607)); #41297=EDGE_LOOP('',(#225608,#225609,#225610,#225611)); #41298=EDGE_LOOP('',(#225612,#225613,#225614,#225615)); #41299=EDGE_LOOP('',(#225616)); #41300=EDGE_LOOP('',(#225617)); #41301=EDGE_LOOP('',(#225618)); #41302=EDGE_LOOP('',(#225619)); #41303=EDGE_LOOP('',(#225620,#225621,#225622,#225623)); #41304=EDGE_LOOP('',(#225624,#225625,#225626,#225627)); #41305=EDGE_LOOP('',(#225628)); #41306=EDGE_LOOP('',(#225629)); #41307=EDGE_LOOP('',(#225630)); #41308=EDGE_LOOP('',(#225631)); #41309=EDGE_LOOP('',(#225632,#225633,#225634,#225635)); #41310=EDGE_LOOP('',(#225636,#225637,#225638,#225639)); #41311=EDGE_LOOP('',(#225640)); #41312=EDGE_LOOP('',(#225641)); #41313=EDGE_LOOP('',(#225642)); #41314=EDGE_LOOP('',(#225643)); #41315=EDGE_LOOP('',(#225644,#225645,#225646,#225647)); #41316=EDGE_LOOP('',(#225648,#225649,#225650,#225651)); #41317=EDGE_LOOP('',(#225652)); #41318=EDGE_LOOP('',(#225653)); #41319=EDGE_LOOP('',(#225654)); #41320=EDGE_LOOP('',(#225655)); #41321=EDGE_LOOP('',(#225656,#225657,#225658,#225659)); #41322=EDGE_LOOP('',(#225660,#225661,#225662,#225663)); #41323=EDGE_LOOP('',(#225664)); #41324=EDGE_LOOP('',(#225665)); #41325=EDGE_LOOP('',(#225666)); #41326=EDGE_LOOP('',(#225667)); #41327=EDGE_LOOP('',(#225668,#225669,#225670,#225671)); #41328=EDGE_LOOP('',(#225672,#225673,#225674,#225675)); #41329=EDGE_LOOP('',(#225676)); #41330=EDGE_LOOP('',(#225677)); #41331=EDGE_LOOP('',(#225678)); #41332=EDGE_LOOP('',(#225679)); #41333=EDGE_LOOP('',(#225680,#225681,#225682,#225683)); #41334=EDGE_LOOP('',(#225684,#225685,#225686,#225687)); #41335=EDGE_LOOP('',(#225688)); #41336=EDGE_LOOP('',(#225689)); #41337=EDGE_LOOP('',(#225690)); #41338=EDGE_LOOP('',(#225691)); #41339=EDGE_LOOP('',(#225692,#225693,#225694,#225695)); #41340=EDGE_LOOP('',(#225696,#225697,#225698,#225699)); #41341=EDGE_LOOP('',(#225700)); #41342=EDGE_LOOP('',(#225701)); #41343=EDGE_LOOP('',(#225702)); #41344=EDGE_LOOP('',(#225703)); #41345=EDGE_LOOP('',(#225704,#225705,#225706,#225707)); #41346=EDGE_LOOP('',(#225708,#225709,#225710,#225711)); #41347=EDGE_LOOP('',(#225712)); #41348=EDGE_LOOP('',(#225713)); #41349=EDGE_LOOP('',(#225714)); #41350=EDGE_LOOP('',(#225715)); #41351=EDGE_LOOP('',(#225716,#225717,#225718,#225719)); #41352=EDGE_LOOP('',(#225720,#225721,#225722,#225723)); #41353=EDGE_LOOP('',(#225724)); #41354=EDGE_LOOP('',(#225725)); #41355=EDGE_LOOP('',(#225726)); #41356=EDGE_LOOP('',(#225727)); #41357=EDGE_LOOP('',(#225728,#225729,#225730,#225731)); #41358=EDGE_LOOP('',(#225732,#225733,#225734,#225735)); #41359=EDGE_LOOP('',(#225736)); #41360=EDGE_LOOP('',(#225737)); #41361=EDGE_LOOP('',(#225738)); #41362=EDGE_LOOP('',(#225739)); #41363=EDGE_LOOP('',(#225740,#225741,#225742,#225743)); #41364=EDGE_LOOP('',(#225744,#225745,#225746,#225747)); #41365=EDGE_LOOP('',(#225748)); #41366=EDGE_LOOP('',(#225749)); #41367=EDGE_LOOP('',(#225750)); #41368=EDGE_LOOP('',(#225751)); #41369=EDGE_LOOP('',(#225752,#225753,#225754,#225755)); #41370=EDGE_LOOP('',(#225756,#225757,#225758,#225759)); #41371=EDGE_LOOP('',(#225760)); #41372=EDGE_LOOP('',(#225761)); #41373=EDGE_LOOP('',(#225762)); #41374=EDGE_LOOP('',(#225763)); #41375=EDGE_LOOP('',(#225764,#225765,#225766,#225767)); #41376=EDGE_LOOP('',(#225768,#225769,#225770,#225771)); #41377=EDGE_LOOP('',(#225772)); #41378=EDGE_LOOP('',(#225773)); #41379=EDGE_LOOP('',(#225774)); #41380=EDGE_LOOP('',(#225775)); #41381=EDGE_LOOP('',(#225776,#225777,#225778,#225779)); #41382=EDGE_LOOP('',(#225780,#225781,#225782,#225783)); #41383=EDGE_LOOP('',(#225784)); #41384=EDGE_LOOP('',(#225785)); #41385=EDGE_LOOP('',(#225786)); #41386=EDGE_LOOP('',(#225787)); #41387=EDGE_LOOP('',(#225788,#225789,#225790,#225791)); #41388=EDGE_LOOP('',(#225792,#225793,#225794,#225795)); #41389=EDGE_LOOP('',(#225796)); #41390=EDGE_LOOP('',(#225797)); #41391=EDGE_LOOP('',(#225798)); #41392=EDGE_LOOP('',(#225799)); #41393=EDGE_LOOP('',(#225800,#225801,#225802,#225803)); #41394=EDGE_LOOP('',(#225804,#225805,#225806,#225807)); #41395=EDGE_LOOP('',(#225808)); #41396=EDGE_LOOP('',(#225809)); #41397=EDGE_LOOP('',(#225810)); #41398=EDGE_LOOP('',(#225811)); #41399=EDGE_LOOP('',(#225812,#225813,#225814,#225815)); #41400=EDGE_LOOP('',(#225816,#225817,#225818,#225819)); #41401=EDGE_LOOP('',(#225820)); #41402=EDGE_LOOP('',(#225821)); #41403=EDGE_LOOP('',(#225822)); #41404=EDGE_LOOP('',(#225823)); #41405=EDGE_LOOP('',(#225824,#225825,#225826,#225827)); #41406=EDGE_LOOP('',(#225828,#225829,#225830,#225831)); #41407=EDGE_LOOP('',(#225832)); #41408=EDGE_LOOP('',(#225833)); #41409=EDGE_LOOP('',(#225834)); #41410=EDGE_LOOP('',(#225835)); #41411=EDGE_LOOP('',(#225836,#225837,#225838,#225839)); #41412=EDGE_LOOP('',(#225840,#225841,#225842,#225843)); #41413=EDGE_LOOP('',(#225844)); #41414=EDGE_LOOP('',(#225845)); #41415=EDGE_LOOP('',(#225846)); #41416=EDGE_LOOP('',(#225847)); #41417=EDGE_LOOP('',(#225848,#225849,#225850,#225851)); #41418=EDGE_LOOP('',(#225852,#225853,#225854,#225855)); #41419=EDGE_LOOP('',(#225856)); #41420=EDGE_LOOP('',(#225857)); #41421=EDGE_LOOP('',(#225858)); #41422=EDGE_LOOP('',(#225859)); #41423=EDGE_LOOP('',(#225860,#225861,#225862,#225863)); #41424=EDGE_LOOP('',(#225864,#225865,#225866,#225867)); #41425=EDGE_LOOP('',(#225868)); #41426=EDGE_LOOP('',(#225869)); #41427=EDGE_LOOP('',(#225870)); #41428=EDGE_LOOP('',(#225871)); #41429=EDGE_LOOP('',(#225872,#225873,#225874,#225875)); #41430=EDGE_LOOP('',(#225876,#225877,#225878,#225879)); #41431=EDGE_LOOP('',(#225880)); #41432=EDGE_LOOP('',(#225881)); #41433=EDGE_LOOP('',(#225882)); #41434=EDGE_LOOP('',(#225883)); #41435=EDGE_LOOP('',(#225884,#225885,#225886,#225887)); #41436=EDGE_LOOP('',(#225888,#225889,#225890,#225891)); #41437=EDGE_LOOP('',(#225892)); #41438=EDGE_LOOP('',(#225893)); #41439=EDGE_LOOP('',(#225894)); #41440=EDGE_LOOP('',(#225895)); #41441=EDGE_LOOP('',(#225896,#225897,#225898,#225899)); #41442=EDGE_LOOP('',(#225900,#225901,#225902,#225903)); #41443=EDGE_LOOP('',(#225904)); #41444=EDGE_LOOP('',(#225905)); #41445=EDGE_LOOP('',(#225906)); #41446=EDGE_LOOP('',(#225907)); #41447=EDGE_LOOP('',(#225908,#225909,#225910,#225911)); #41448=EDGE_LOOP('',(#225912,#225913,#225914,#225915)); #41449=EDGE_LOOP('',(#225916)); #41450=EDGE_LOOP('',(#225917)); #41451=EDGE_LOOP('',(#225918)); #41452=EDGE_LOOP('',(#225919)); #41453=EDGE_LOOP('',(#225920,#225921,#225922,#225923)); #41454=EDGE_LOOP('',(#225924,#225925,#225926,#225927)); #41455=EDGE_LOOP('',(#225928)); #41456=EDGE_LOOP('',(#225929)); #41457=EDGE_LOOP('',(#225930)); #41458=EDGE_LOOP('',(#225931)); #41459=EDGE_LOOP('',(#225932,#225933,#225934,#225935)); #41460=EDGE_LOOP('',(#225936,#225937,#225938,#225939)); #41461=EDGE_LOOP('',(#225940)); #41462=EDGE_LOOP('',(#225941)); #41463=EDGE_LOOP('',(#225942)); #41464=EDGE_LOOP('',(#225943)); #41465=EDGE_LOOP('',(#225944,#225945,#225946,#225947)); #41466=EDGE_LOOP('',(#225948,#225949,#225950,#225951)); #41467=EDGE_LOOP('',(#225952)); #41468=EDGE_LOOP('',(#225953)); #41469=EDGE_LOOP('',(#225954)); #41470=EDGE_LOOP('',(#225955)); #41471=EDGE_LOOP('',(#225956,#225957,#225958,#225959)); #41472=EDGE_LOOP('',(#225960,#225961,#225962,#225963)); #41473=EDGE_LOOP('',(#225964)); #41474=EDGE_LOOP('',(#225965)); #41475=EDGE_LOOP('',(#225966)); #41476=EDGE_LOOP('',(#225967)); #41477=EDGE_LOOP('',(#225968,#225969,#225970,#225971)); #41478=EDGE_LOOP('',(#225972,#225973,#225974,#225975)); #41479=EDGE_LOOP('',(#225976)); #41480=EDGE_LOOP('',(#225977)); #41481=EDGE_LOOP('',(#225978)); #41482=EDGE_LOOP('',(#225979)); #41483=EDGE_LOOP('',(#225980,#225981,#225982,#225983)); #41484=EDGE_LOOP('',(#225984,#225985,#225986,#225987)); #41485=EDGE_LOOP('',(#225988)); #41486=EDGE_LOOP('',(#225989)); #41487=EDGE_LOOP('',(#225990)); #41488=EDGE_LOOP('',(#225991)); #41489=EDGE_LOOP('',(#225992,#225993,#225994,#225995)); #41490=EDGE_LOOP('',(#225996,#225997,#225998,#225999)); #41491=EDGE_LOOP('',(#226000)); #41492=EDGE_LOOP('',(#226001)); #41493=EDGE_LOOP('',(#226002)); #41494=EDGE_LOOP('',(#226003)); #41495=EDGE_LOOP('',(#226004,#226005,#226006,#226007)); #41496=EDGE_LOOP('',(#226008,#226009,#226010,#226011)); #41497=EDGE_LOOP('',(#226012)); #41498=EDGE_LOOP('',(#226013)); #41499=EDGE_LOOP('',(#226014)); #41500=EDGE_LOOP('',(#226015)); #41501=EDGE_LOOP('',(#226016,#226017,#226018,#226019)); #41502=EDGE_LOOP('',(#226020,#226021,#226022,#226023)); #41503=EDGE_LOOP('',(#226024)); #41504=EDGE_LOOP('',(#226025)); #41505=EDGE_LOOP('',(#226026)); #41506=EDGE_LOOP('',(#226027)); #41507=EDGE_LOOP('',(#226028,#226029,#226030,#226031)); #41508=EDGE_LOOP('',(#226032,#226033,#226034,#226035)); #41509=EDGE_LOOP('',(#226036)); #41510=EDGE_LOOP('',(#226037)); #41511=EDGE_LOOP('',(#226038)); #41512=EDGE_LOOP('',(#226039)); #41513=EDGE_LOOP('',(#226040,#226041,#226042,#226043)); #41514=EDGE_LOOP('',(#226044,#226045,#226046,#226047)); #41515=EDGE_LOOP('',(#226048)); #41516=EDGE_LOOP('',(#226049)); #41517=EDGE_LOOP('',(#226050)); #41518=EDGE_LOOP('',(#226051)); #41519=EDGE_LOOP('',(#226052,#226053,#226054,#226055)); #41520=EDGE_LOOP('',(#226056,#226057,#226058,#226059)); #41521=EDGE_LOOP('',(#226060,#226061,#226062,#226063)); #41522=EDGE_LOOP('',(#226064,#226065,#226066,#226067)); #41523=EDGE_LOOP('',(#226068,#226069,#226070,#226071)); #41524=EDGE_LOOP('',(#226072,#226073,#226074,#226075)); #41525=EDGE_LOOP('',(#226076,#226077,#226078,#226079)); #41526=EDGE_LOOP('',(#226080,#226081,#226082,#226083)); #41527=EDGE_LOOP('',(#226084,#226085,#226086,#226087)); #41528=EDGE_LOOP('',(#226088,#226089,#226090,#226091)); #41529=EDGE_LOOP('',(#226092,#226093,#226094,#226095)); #41530=EDGE_LOOP('',(#226096,#226097,#226098,#226099)); #41531=EDGE_LOOP('',(#226100,#226101,#226102,#226103)); #41532=EDGE_LOOP('',(#226104,#226105,#226106,#226107)); #41533=EDGE_LOOP('',(#226108)); #41534=EDGE_LOOP('',(#226109)); #41535=EDGE_LOOP('',(#226110)); #41536=EDGE_LOOP('',(#226111)); #41537=EDGE_LOOP('',(#226112,#226113,#226114,#226115)); #41538=EDGE_LOOP('',(#226116,#226117,#226118,#226119)); #41539=EDGE_LOOP('',(#226120,#226121,#226122,#226123)); #41540=EDGE_LOOP('',(#226124,#226125,#226126,#226127)); #41541=EDGE_LOOP('',(#226128,#226129,#226130,#226131)); #41542=EDGE_LOOP('',(#226132,#226133,#226134,#226135)); #41543=EDGE_LOOP('',(#226136,#226137,#226138,#226139)); #41544=EDGE_LOOP('',(#226140,#226141,#226142,#226143)); #41545=EDGE_LOOP('',(#226144,#226145,#226146,#226147)); #41546=EDGE_LOOP('',(#226148,#226149,#226150,#226151)); #41547=EDGE_LOOP('',(#226152,#226153,#226154,#226155)); #41548=EDGE_LOOP('',(#226156,#226157,#226158,#226159)); #41549=EDGE_LOOP('',(#226160,#226161,#226162,#226163)); #41550=EDGE_LOOP('',(#226164,#226165,#226166,#226167)); #41551=EDGE_LOOP('',(#226168)); #41552=EDGE_LOOP('',(#226169)); #41553=EDGE_LOOP('',(#226170)); #41554=EDGE_LOOP('',(#226171)); #41555=EDGE_LOOP('',(#226172,#226173,#226174,#226175)); #41556=EDGE_LOOP('',(#226176,#226177,#226178,#226179)); #41557=EDGE_LOOP('',(#226180,#226181,#226182,#226183)); #41558=EDGE_LOOP('',(#226184,#226185,#226186,#226187)); #41559=EDGE_LOOP('',(#226188,#226189,#226190,#226191)); #41560=EDGE_LOOP('',(#226192,#226193,#226194,#226195)); #41561=EDGE_LOOP('',(#226196,#226197,#226198,#226199)); #41562=EDGE_LOOP('',(#226200,#226201,#226202,#226203)); #41563=EDGE_LOOP('',(#226204,#226205,#226206,#226207)); #41564=EDGE_LOOP('',(#226208,#226209,#226210,#226211)); #41565=EDGE_LOOP('',(#226212,#226213,#226214,#226215)); #41566=EDGE_LOOP('',(#226216,#226217,#226218,#226219)); #41567=EDGE_LOOP('',(#226220,#226221,#226222,#226223)); #41568=EDGE_LOOP('',(#226224,#226225,#226226,#226227)); #41569=EDGE_LOOP('',(#226228)); #41570=EDGE_LOOP('',(#226229)); #41571=EDGE_LOOP('',(#226230)); #41572=EDGE_LOOP('',(#226231)); #41573=EDGE_LOOP('',(#226232,#226233,#226234,#226235)); #41574=EDGE_LOOP('',(#226236,#226237,#226238,#226239)); #41575=EDGE_LOOP('',(#226240,#226241,#226242,#226243)); #41576=EDGE_LOOP('',(#226244,#226245,#226246,#226247)); #41577=EDGE_LOOP('',(#226248,#226249,#226250,#226251)); #41578=EDGE_LOOP('',(#226252,#226253,#226254,#226255)); #41579=EDGE_LOOP('',(#226256,#226257,#226258,#226259)); #41580=EDGE_LOOP('',(#226260,#226261,#226262,#226263)); #41581=EDGE_LOOP('',(#226264,#226265,#226266,#226267)); #41582=EDGE_LOOP('',(#226268,#226269,#226270,#226271)); #41583=EDGE_LOOP('',(#226272,#226273,#226274,#226275)); #41584=EDGE_LOOP('',(#226276,#226277,#226278,#226279)); #41585=EDGE_LOOP('',(#226280,#226281,#226282,#226283)); #41586=EDGE_LOOP('',(#226284,#226285,#226286,#226287)); #41587=EDGE_LOOP('',(#226288)); #41588=EDGE_LOOP('',(#226289)); #41589=EDGE_LOOP('',(#226290)); #41590=EDGE_LOOP('',(#226291)); #41591=EDGE_LOOP('',(#226292,#226293,#226294,#226295)); #41592=EDGE_LOOP('',(#226296,#226297,#226298,#226299)); #41593=EDGE_LOOP('',(#226300)); #41594=EDGE_LOOP('',(#226301)); #41595=EDGE_LOOP('',(#226302)); #41596=EDGE_LOOP('',(#226303)); #41597=EDGE_LOOP('',(#226304,#226305,#226306,#226307)); #41598=EDGE_LOOP('',(#226308,#226309,#226310,#226311)); #41599=EDGE_LOOP('',(#226312)); #41600=EDGE_LOOP('',(#226313)); #41601=EDGE_LOOP('',(#226314)); #41602=EDGE_LOOP('',(#226315)); #41603=EDGE_LOOP('',(#226316,#226317,#226318,#226319)); #41604=EDGE_LOOP('',(#226320,#226321,#226322,#226323)); #41605=EDGE_LOOP('',(#226324)); #41606=EDGE_LOOP('',(#226325)); #41607=EDGE_LOOP('',(#226326)); #41608=EDGE_LOOP('',(#226327)); #41609=EDGE_LOOP('',(#226328,#226329,#226330,#226331)); #41610=EDGE_LOOP('',(#226332,#226333,#226334,#226335)); #41611=EDGE_LOOP('',(#226336)); #41612=EDGE_LOOP('',(#226337)); #41613=EDGE_LOOP('',(#226338)); #41614=EDGE_LOOP('',(#226339)); #41615=EDGE_LOOP('',(#226340,#226341,#226342,#226343)); #41616=EDGE_LOOP('',(#226344,#226345,#226346,#226347)); #41617=EDGE_LOOP('',(#226348)); #41618=EDGE_LOOP('',(#226349)); #41619=EDGE_LOOP('',(#226350)); #41620=EDGE_LOOP('',(#226351)); #41621=EDGE_LOOP('',(#226352,#226353,#226354,#226355)); #41622=EDGE_LOOP('',(#226356,#226357,#226358,#226359)); #41623=EDGE_LOOP('',(#226360)); #41624=EDGE_LOOP('',(#226361)); #41625=EDGE_LOOP('',(#226362)); #41626=EDGE_LOOP('',(#226363)); #41627=EDGE_LOOP('',(#226364,#226365,#226366,#226367)); #41628=EDGE_LOOP('',(#226368,#226369,#226370,#226371)); #41629=EDGE_LOOP('',(#226372)); #41630=EDGE_LOOP('',(#226373)); #41631=EDGE_LOOP('',(#226374)); #41632=EDGE_LOOP('',(#226375)); #41633=EDGE_LOOP('',(#226376,#226377,#226378,#226379)); #41634=EDGE_LOOP('',(#226380,#226381,#226382,#226383)); #41635=EDGE_LOOP('',(#226384)); #41636=EDGE_LOOP('',(#226385)); #41637=EDGE_LOOP('',(#226386)); #41638=EDGE_LOOP('',(#226387)); #41639=EDGE_LOOP('',(#226388,#226389,#226390,#226391)); #41640=EDGE_LOOP('',(#226392,#226393,#226394,#226395)); #41641=EDGE_LOOP('',(#226396)); #41642=EDGE_LOOP('',(#226397)); #41643=EDGE_LOOP('',(#226398)); #41644=EDGE_LOOP('',(#226399)); #41645=EDGE_LOOP('',(#226400,#226401,#226402,#226403)); #41646=EDGE_LOOP('',(#226404,#226405,#226406,#226407)); #41647=EDGE_LOOP('',(#226408)); #41648=EDGE_LOOP('',(#226409)); #41649=EDGE_LOOP('',(#226410)); #41650=EDGE_LOOP('',(#226411)); #41651=EDGE_LOOP('',(#226412,#226413,#226414,#226415)); #41652=EDGE_LOOP('',(#226416,#226417,#226418,#226419)); #41653=EDGE_LOOP('',(#226420,#226421,#226422,#226423)); #41654=EDGE_LOOP('',(#226424,#226425,#226426,#226427)); #41655=EDGE_LOOP('',(#226428,#226429,#226430,#226431)); #41656=EDGE_LOOP('',(#226432,#226433,#226434,#226435)); #41657=EDGE_LOOP('',(#226436,#226437,#226438,#226439)); #41658=EDGE_LOOP('',(#226440,#226441,#226442,#226443)); #41659=EDGE_LOOP('',(#226444,#226445,#226446,#226447)); #41660=EDGE_LOOP('',(#226448,#226449,#226450,#226451)); #41661=EDGE_LOOP('',(#226452,#226453,#226454,#226455)); #41662=EDGE_LOOP('',(#226456,#226457,#226458,#226459)); #41663=EDGE_LOOP('',(#226460,#226461,#226462,#226463)); #41664=EDGE_LOOP('',(#226464,#226465,#226466,#226467)); #41665=EDGE_LOOP('',(#226468)); #41666=EDGE_LOOP('',(#226469)); #41667=EDGE_LOOP('',(#226470)); #41668=EDGE_LOOP('',(#226471)); #41669=EDGE_LOOP('',(#226472,#226473,#226474,#226475)); #41670=EDGE_LOOP('',(#226476,#226477,#226478,#226479)); #41671=EDGE_LOOP('',(#226480,#226481,#226482,#226483)); #41672=EDGE_LOOP('',(#226484,#226485,#226486,#226487)); #41673=EDGE_LOOP('',(#226488,#226489,#226490,#226491)); #41674=EDGE_LOOP('',(#226492,#226493,#226494,#226495)); #41675=EDGE_LOOP('',(#226496,#226497,#226498,#226499)); #41676=EDGE_LOOP('',(#226500,#226501,#226502,#226503)); #41677=EDGE_LOOP('',(#226504,#226505,#226506,#226507)); #41678=EDGE_LOOP('',(#226508,#226509,#226510,#226511)); #41679=EDGE_LOOP('',(#226512,#226513,#226514,#226515)); #41680=EDGE_LOOP('',(#226516,#226517,#226518,#226519)); #41681=EDGE_LOOP('',(#226520,#226521,#226522,#226523)); #41682=EDGE_LOOP('',(#226524,#226525,#226526,#226527)); #41683=EDGE_LOOP('',(#226528)); #41684=EDGE_LOOP('',(#226529)); #41685=EDGE_LOOP('',(#226530)); #41686=EDGE_LOOP('',(#226531)); #41687=EDGE_LOOP('',(#226532,#226533,#226534,#226535)); #41688=EDGE_LOOP('',(#226536,#226537,#226538,#226539)); #41689=EDGE_LOOP('',(#226540)); #41690=EDGE_LOOP('',(#226541)); #41691=EDGE_LOOP('',(#226542)); #41692=EDGE_LOOP('',(#226543)); #41693=EDGE_LOOP('',(#226544,#226545,#226546,#226547)); #41694=EDGE_LOOP('',(#226548,#226549,#226550,#226551)); #41695=EDGE_LOOP('',(#226552)); #41696=EDGE_LOOP('',(#226553)); #41697=EDGE_LOOP('',(#226554)); #41698=EDGE_LOOP('',(#226555)); #41699=EDGE_LOOP('',(#226556,#226557,#226558,#226559)); #41700=EDGE_LOOP('',(#226560,#226561,#226562,#226563)); #41701=EDGE_LOOP('',(#226564)); #41702=EDGE_LOOP('',(#226565)); #41703=EDGE_LOOP('',(#226566)); #41704=EDGE_LOOP('',(#226567)); #41705=EDGE_LOOP('',(#226568,#226569,#226570,#226571)); #41706=EDGE_LOOP('',(#226572,#226573,#226574,#226575)); #41707=EDGE_LOOP('',(#226576)); #41708=EDGE_LOOP('',(#226577)); #41709=EDGE_LOOP('',(#226578)); #41710=EDGE_LOOP('',(#226579)); #41711=EDGE_LOOP('',(#226580,#226581,#226582,#226583)); #41712=EDGE_LOOP('',(#226584,#226585,#226586,#226587)); #41713=EDGE_LOOP('',(#226588)); #41714=EDGE_LOOP('',(#226589)); #41715=EDGE_LOOP('',(#226590)); #41716=EDGE_LOOP('',(#226591)); #41717=EDGE_LOOP('',(#226592,#226593,#226594,#226595)); #41718=EDGE_LOOP('',(#226596,#226597,#226598,#226599)); #41719=EDGE_LOOP('',(#226600)); #41720=EDGE_LOOP('',(#226601)); #41721=EDGE_LOOP('',(#226602)); #41722=EDGE_LOOP('',(#226603)); #41723=EDGE_LOOP('',(#226604,#226605,#226606,#226607)); #41724=EDGE_LOOP('',(#226608,#226609,#226610,#226611)); #41725=EDGE_LOOP('',(#226612)); #41726=EDGE_LOOP('',(#226613)); #41727=EDGE_LOOP('',(#226614)); #41728=EDGE_LOOP('',(#226615)); #41729=EDGE_LOOP('',(#226616,#226617,#226618,#226619)); #41730=EDGE_LOOP('',(#226620,#226621,#226622,#226623)); #41731=EDGE_LOOP('',(#226624)); #41732=EDGE_LOOP('',(#226625)); #41733=EDGE_LOOP('',(#226626)); #41734=EDGE_LOOP('',(#226627)); #41735=EDGE_LOOP('',(#226628,#226629,#226630,#226631)); #41736=EDGE_LOOP('',(#226632,#226633,#226634,#226635)); #41737=EDGE_LOOP('',(#226636)); #41738=EDGE_LOOP('',(#226637)); #41739=EDGE_LOOP('',(#226638)); #41740=EDGE_LOOP('',(#226639)); #41741=EDGE_LOOP('',(#226640,#226641,#226642,#226643)); #41742=EDGE_LOOP('',(#226644,#226645,#226646,#226647)); #41743=EDGE_LOOP('',(#226648)); #41744=EDGE_LOOP('',(#226649)); #41745=EDGE_LOOP('',(#226650)); #41746=EDGE_LOOP('',(#226651)); #41747=EDGE_LOOP('',(#226652,#226653,#226654,#226655)); #41748=EDGE_LOOP('',(#226656,#226657,#226658,#226659)); #41749=EDGE_LOOP('',(#226660)); #41750=EDGE_LOOP('',(#226661)); #41751=EDGE_LOOP('',(#226662)); #41752=EDGE_LOOP('',(#226663)); #41753=EDGE_LOOP('',(#226664,#226665,#226666,#226667)); #41754=EDGE_LOOP('',(#226668,#226669,#226670,#226671)); #41755=EDGE_LOOP('',(#226672)); #41756=EDGE_LOOP('',(#226673)); #41757=EDGE_LOOP('',(#226674)); #41758=EDGE_LOOP('',(#226675)); #41759=EDGE_LOOP('',(#226676,#226677,#226678,#226679)); #41760=EDGE_LOOP('',(#226680,#226681,#226682,#226683)); #41761=EDGE_LOOP('',(#226684)); #41762=EDGE_LOOP('',(#226685)); #41763=EDGE_LOOP('',(#226686)); #41764=EDGE_LOOP('',(#226687)); #41765=EDGE_LOOP('',(#226688,#226689,#226690,#226691)); #41766=EDGE_LOOP('',(#226692,#226693,#226694,#226695)); #41767=EDGE_LOOP('',(#226696)); #41768=EDGE_LOOP('',(#226697)); #41769=EDGE_LOOP('',(#226698)); #41770=EDGE_LOOP('',(#226699)); #41771=EDGE_LOOP('',(#226700,#226701,#226702,#226703)); #41772=EDGE_LOOP('',(#226704,#226705,#226706,#226707)); #41773=EDGE_LOOP('',(#226708)); #41774=EDGE_LOOP('',(#226709)); #41775=EDGE_LOOP('',(#226710)); #41776=EDGE_LOOP('',(#226711)); #41777=EDGE_LOOP('',(#226712,#226713,#226714,#226715)); #41778=EDGE_LOOP('',(#226716,#226717,#226718,#226719)); #41779=EDGE_LOOP('',(#226720)); #41780=EDGE_LOOP('',(#226721)); #41781=EDGE_LOOP('',(#226722)); #41782=EDGE_LOOP('',(#226723)); #41783=EDGE_LOOP('',(#226724,#226725,#226726,#226727)); #41784=EDGE_LOOP('',(#226728,#226729,#226730,#226731)); #41785=EDGE_LOOP('',(#226732)); #41786=EDGE_LOOP('',(#226733)); #41787=EDGE_LOOP('',(#226734)); #41788=EDGE_LOOP('',(#226735)); #41789=EDGE_LOOP('',(#226736,#226737,#226738,#226739)); #41790=EDGE_LOOP('',(#226740,#226741,#226742,#226743)); #41791=EDGE_LOOP('',(#226744)); #41792=EDGE_LOOP('',(#226745)); #41793=EDGE_LOOP('',(#226746)); #41794=EDGE_LOOP('',(#226747)); #41795=EDGE_LOOP('',(#226748,#226749,#226750,#226751)); #41796=EDGE_LOOP('',(#226752,#226753,#226754,#226755)); #41797=EDGE_LOOP('',(#226756)); #41798=EDGE_LOOP('',(#226757)); #41799=EDGE_LOOP('',(#226758)); #41800=EDGE_LOOP('',(#226759)); #41801=EDGE_LOOP('',(#226760,#226761,#226762,#226763)); #41802=EDGE_LOOP('',(#226764,#226765,#226766,#226767)); #41803=EDGE_LOOP('',(#226768)); #41804=EDGE_LOOP('',(#226769)); #41805=EDGE_LOOP('',(#226770)); #41806=EDGE_LOOP('',(#226771)); #41807=EDGE_LOOP('',(#226772,#226773,#226774,#226775)); #41808=EDGE_LOOP('',(#226776,#226777,#226778,#226779)); #41809=EDGE_LOOP('',(#226780)); #41810=EDGE_LOOP('',(#226781)); #41811=EDGE_LOOP('',(#226782)); #41812=EDGE_LOOP('',(#226783)); #41813=EDGE_LOOP('',(#226784,#226785,#226786,#226787)); #41814=EDGE_LOOP('',(#226788,#226789,#226790,#226791)); #41815=EDGE_LOOP('',(#226792)); #41816=EDGE_LOOP('',(#226793)); #41817=EDGE_LOOP('',(#226794)); #41818=EDGE_LOOP('',(#226795)); #41819=EDGE_LOOP('',(#226796,#226797,#226798,#226799)); #41820=EDGE_LOOP('',(#226800,#226801,#226802,#226803)); #41821=EDGE_LOOP('',(#226804)); #41822=EDGE_LOOP('',(#226805)); #41823=EDGE_LOOP('',(#226806)); #41824=EDGE_LOOP('',(#226807)); #41825=EDGE_LOOP('',(#226808,#226809,#226810,#226811)); #41826=EDGE_LOOP('',(#226812,#226813,#226814,#226815)); #41827=EDGE_LOOP('',(#226816)); #41828=EDGE_LOOP('',(#226817)); #41829=EDGE_LOOP('',(#226818)); #41830=EDGE_LOOP('',(#226819)); #41831=EDGE_LOOP('',(#226820,#226821,#226822,#226823)); #41832=EDGE_LOOP('',(#226824,#226825,#226826,#226827)); #41833=EDGE_LOOP('',(#226828)); #41834=EDGE_LOOP('',(#226829)); #41835=EDGE_LOOP('',(#226830)); #41836=EDGE_LOOP('',(#226831)); #41837=EDGE_LOOP('',(#226832,#226833,#226834,#226835)); #41838=EDGE_LOOP('',(#226836,#226837,#226838,#226839)); #41839=EDGE_LOOP('',(#226840)); #41840=EDGE_LOOP('',(#226841)); #41841=EDGE_LOOP('',(#226842)); #41842=EDGE_LOOP('',(#226843)); #41843=EDGE_LOOP('',(#226844,#226845,#226846,#226847)); #41844=EDGE_LOOP('',(#226848,#226849,#226850,#226851)); #41845=EDGE_LOOP('',(#226852)); #41846=EDGE_LOOP('',(#226853)); #41847=EDGE_LOOP('',(#226854)); #41848=EDGE_LOOP('',(#226855)); #41849=EDGE_LOOP('',(#226856,#226857,#226858,#226859)); #41850=EDGE_LOOP('',(#226860,#226861,#226862,#226863)); #41851=EDGE_LOOP('',(#226864)); #41852=EDGE_LOOP('',(#226865)); #41853=EDGE_LOOP('',(#226866)); #41854=EDGE_LOOP('',(#226867)); #41855=EDGE_LOOP('',(#226868,#226869,#226870,#226871)); #41856=EDGE_LOOP('',(#226872,#226873,#226874,#226875)); #41857=EDGE_LOOP('',(#226876)); #41858=EDGE_LOOP('',(#226877)); #41859=EDGE_LOOP('',(#226878)); #41860=EDGE_LOOP('',(#226879)); #41861=EDGE_LOOP('',(#226880,#226881,#226882,#226883)); #41862=EDGE_LOOP('',(#226884,#226885,#226886,#226887)); #41863=EDGE_LOOP('',(#226888)); #41864=EDGE_LOOP('',(#226889)); #41865=EDGE_LOOP('',(#226890)); #41866=EDGE_LOOP('',(#226891)); #41867=EDGE_LOOP('',(#226892,#226893,#226894,#226895)); #41868=EDGE_LOOP('',(#226896,#226897,#226898,#226899)); #41869=EDGE_LOOP('',(#226900)); #41870=EDGE_LOOP('',(#226901)); #41871=EDGE_LOOP('',(#226902)); #41872=EDGE_LOOP('',(#226903)); #41873=EDGE_LOOP('',(#226904,#226905,#226906,#226907)); #41874=EDGE_LOOP('',(#226908,#226909,#226910,#226911)); #41875=EDGE_LOOP('',(#226912)); #41876=EDGE_LOOP('',(#226913)); #41877=EDGE_LOOP('',(#226914)); #41878=EDGE_LOOP('',(#226915)); #41879=EDGE_LOOP('',(#226916,#226917,#226918,#226919)); #41880=EDGE_LOOP('',(#226920,#226921,#226922,#226923)); #41881=EDGE_LOOP('',(#226924)); #41882=EDGE_LOOP('',(#226925)); #41883=EDGE_LOOP('',(#226926)); #41884=EDGE_LOOP('',(#226927)); #41885=EDGE_LOOP('',(#226928,#226929,#226930,#226931)); #41886=EDGE_LOOP('',(#226932,#226933,#226934,#226935)); #41887=EDGE_LOOP('',(#226936)); #41888=EDGE_LOOP('',(#226937)); #41889=EDGE_LOOP('',(#226938)); #41890=EDGE_LOOP('',(#226939)); #41891=EDGE_LOOP('',(#226940,#226941,#226942,#226943)); #41892=EDGE_LOOP('',(#226944,#226945,#226946,#226947)); #41893=EDGE_LOOP('',(#226948)); #41894=EDGE_LOOP('',(#226949)); #41895=EDGE_LOOP('',(#226950)); #41896=EDGE_LOOP('',(#226951)); #41897=EDGE_LOOP('',(#226952,#226953,#226954,#226955)); #41898=EDGE_LOOP('',(#226956,#226957,#226958,#226959)); #41899=EDGE_LOOP('',(#226960)); #41900=EDGE_LOOP('',(#226961)); #41901=EDGE_LOOP('',(#226962)); #41902=EDGE_LOOP('',(#226963)); #41903=EDGE_LOOP('',(#226964,#226965,#226966,#226967)); #41904=EDGE_LOOP('',(#226968,#226969,#226970,#226971)); #41905=EDGE_LOOP('',(#226972,#226973,#226974,#226975)); #41906=EDGE_LOOP('',(#226976,#226977,#226978,#226979)); #41907=EDGE_LOOP('',(#226980,#226981,#226982)); #41908=EDGE_LOOP('',(#226983)); #41909=EDGE_LOOP('',(#226984,#226985,#226986)); #41910=EDGE_LOOP('',(#226987)); #41911=EDGE_LOOP('',(#226988,#226989,#226990,#226991)); #41912=EDGE_LOOP('',(#226992,#226993,#226994,#226995)); #41913=EDGE_LOOP('',(#226996,#226997,#226998,#226999)); #41914=EDGE_LOOP('',(#227000,#227001,#227002,#227003)); #41915=EDGE_LOOP('',(#227004,#227005,#227006,#227007)); #41916=EDGE_LOOP('',(#227008,#227009,#227010,#227011)); #41917=EDGE_LOOP('',(#227012,#227013,#227014,#227015)); #41918=EDGE_LOOP('',(#227016,#227017,#227018,#227019)); #41919=EDGE_LOOP('',(#227020,#227021,#227022,#227023)); #41920=EDGE_LOOP('',(#227024,#227025,#227026,#227027)); #41921=EDGE_LOOP('',(#227028,#227029,#227030,#227031)); #41922=EDGE_LOOP('',(#227032,#227033,#227034,#227035)); #41923=EDGE_LOOP('',(#227036,#227037,#227038,#227039)); #41924=EDGE_LOOP('',(#227040,#227041,#227042,#227043)); #41925=EDGE_LOOP('',(#227044,#227045,#227046,#227047)); #41926=EDGE_LOOP('',(#227048,#227049,#227050,#227051)); #41927=EDGE_LOOP('',(#227052,#227053,#227054,#227055)); #41928=EDGE_LOOP('',(#227056,#227057,#227058,#227059)); #41929=EDGE_LOOP('',(#227060,#227061,#227062,#227063)); #41930=EDGE_LOOP('',(#227064,#227065,#227066,#227067)); #41931=EDGE_LOOP('',(#227068,#227069,#227070,#227071)); #41932=EDGE_LOOP('',(#227072,#227073,#227074,#227075)); #41933=EDGE_LOOP('',(#227076,#227077,#227078,#227079)); #41934=EDGE_LOOP('',(#227080,#227081,#227082,#227083)); #41935=EDGE_LOOP('',(#227084,#227085,#227086,#227087)); #41936=EDGE_LOOP('',(#227088,#227089,#227090,#227091)); #41937=EDGE_LOOP('',(#227092,#227093,#227094,#227095)); #41938=EDGE_LOOP('',(#227096,#227097,#227098,#227099)); #41939=EDGE_LOOP('',(#227100,#227101,#227102,#227103)); #41940=EDGE_LOOP('',(#227104,#227105,#227106,#227107)); #41941=EDGE_LOOP('',(#227108,#227109,#227110,#227111)); #41942=EDGE_LOOP('',(#227112,#227113,#227114,#227115)); #41943=EDGE_LOOP('',(#227116,#227117,#227118,#227119)); #41944=EDGE_LOOP('',(#227120,#227121,#227122,#227123)); #41945=EDGE_LOOP('',(#227124,#227125,#227126,#227127)); #41946=EDGE_LOOP('',(#227128,#227129,#227130,#227131)); #41947=EDGE_LOOP('',(#227132,#227133,#227134,#227135)); #41948=EDGE_LOOP('',(#227136,#227137,#227138,#227139)); #41949=EDGE_LOOP('',(#227140,#227141,#227142,#227143)); #41950=EDGE_LOOP('',(#227144,#227145,#227146,#227147)); #41951=EDGE_LOOP('',(#227148,#227149,#227150,#227151)); #41952=EDGE_LOOP('',(#227152,#227153,#227154,#227155)); #41953=EDGE_LOOP('',(#227156,#227157,#227158,#227159)); #41954=EDGE_LOOP('',(#227160,#227161,#227162,#227163)); #41955=EDGE_LOOP('',(#227164,#227165,#227166,#227167)); #41956=EDGE_LOOP('',(#227168,#227169,#227170,#227171)); #41957=EDGE_LOOP('',(#227172,#227173,#227174,#227175)); #41958=EDGE_LOOP('',(#227176,#227177,#227178,#227179)); #41959=EDGE_LOOP('',(#227180,#227181,#227182,#227183)); #41960=EDGE_LOOP('',(#227184,#227185,#227186,#227187)); #41961=EDGE_LOOP('',(#227188,#227189,#227190,#227191)); #41962=EDGE_LOOP('',(#227192,#227193,#227194,#227195)); #41963=EDGE_LOOP('',(#227196,#227197,#227198,#227199)); #41964=EDGE_LOOP('',(#227200,#227201,#227202,#227203)); #41965=EDGE_LOOP('',(#227204,#227205,#227206,#227207)); #41966=EDGE_LOOP('',(#227208,#227209,#227210,#227211)); #41967=EDGE_LOOP('',(#227212,#227213,#227214,#227215)); #41968=EDGE_LOOP('',(#227216,#227217,#227218,#227219)); #41969=EDGE_LOOP('',(#227220,#227221,#227222,#227223)); #41970=EDGE_LOOP('',(#227224,#227225,#227226,#227227)); #41971=EDGE_LOOP('',(#227228,#227229,#227230,#227231)); #41972=EDGE_LOOP('',(#227232,#227233,#227234,#227235)); #41973=EDGE_LOOP('',(#227236,#227237,#227238,#227239)); #41974=EDGE_LOOP('',(#227240,#227241,#227242,#227243)); #41975=EDGE_LOOP('',(#227244,#227245,#227246,#227247)); #41976=EDGE_LOOP('',(#227248,#227249,#227250,#227251)); #41977=EDGE_LOOP('',(#227252,#227253,#227254,#227255)); #41978=EDGE_LOOP('',(#227256,#227257,#227258,#227259)); #41979=EDGE_LOOP('',(#227260,#227261,#227262,#227263)); #41980=EDGE_LOOP('',(#227264,#227265,#227266,#227267)); #41981=EDGE_LOOP('',(#227268,#227269,#227270,#227271)); #41982=EDGE_LOOP('',(#227272,#227273,#227274,#227275)); #41983=EDGE_LOOP('',(#227276,#227277,#227278,#227279)); #41984=EDGE_LOOP('',(#227280,#227281,#227282,#227283)); #41985=EDGE_LOOP('',(#227284,#227285,#227286,#227287)); #41986=EDGE_LOOP('',(#227288,#227289,#227290,#227291)); #41987=EDGE_LOOP('',(#227292,#227293,#227294,#227295)); #41988=EDGE_LOOP('',(#227296,#227297,#227298,#227299)); #41989=EDGE_LOOP('',(#227300,#227301,#227302,#227303)); #41990=EDGE_LOOP('',(#227304,#227305,#227306,#227307)); #41991=EDGE_LOOP('',(#227308,#227309,#227310,#227311,#227312,#227313,#227314, #227315,#227316,#227317,#227318,#227319,#227320)); #41992=EDGE_LOOP('',(#227321)); #41993=EDGE_LOOP('',(#227322,#227323,#227324,#227325,#227326,#227327,#227328, #227329,#227330,#227331,#227332,#227333,#227334)); #41994=EDGE_LOOP('',(#227335)); #41995=EDGE_LOOP('',(#227336,#227337,#227338,#227339)); #41996=EDGE_LOOP('',(#227340,#227341,#227342,#227343)); #41997=EDGE_LOOP('',(#227344,#227345,#227346,#227347)); #41998=EDGE_LOOP('',(#227348,#227349,#227350,#227351)); #41999=EDGE_LOOP('',(#227352,#227353,#227354,#227355)); #42000=EDGE_LOOP('',(#227356,#227357,#227358,#227359)); #42001=EDGE_LOOP('',(#227360,#227361,#227362,#227363)); #42002=EDGE_LOOP('',(#227364,#227365,#227366,#227367)); #42003=EDGE_LOOP('',(#227368,#227369,#227370,#227371)); #42004=EDGE_LOOP('',(#227372,#227373,#227374,#227375)); #42005=EDGE_LOOP('',(#227376,#227377,#227378,#227379)); #42006=EDGE_LOOP('',(#227380,#227381,#227382,#227383,#227384,#227385,#227386, #227387,#227388,#227389)); #42007=EDGE_LOOP('',(#227390)); #42008=EDGE_LOOP('',(#227391,#227392,#227393,#227394,#227395,#227396,#227397, #227398,#227399,#227400)); #42009=EDGE_LOOP('',(#227401)); #42010=EDGE_LOOP('',(#227402,#227403,#227404,#227405)); #42011=EDGE_LOOP('',(#227406,#227407,#227408,#227409)); #42012=EDGE_LOOP('',(#227410,#227411,#227412,#227413)); #42013=EDGE_LOOP('',(#227414,#227415,#227416,#227417)); #42014=EDGE_LOOP('',(#227418,#227419,#227420,#227421)); #42015=EDGE_LOOP('',(#227422,#227423,#227424,#227425)); #42016=EDGE_LOOP('',(#227426,#227427,#227428,#227429)); #42017=EDGE_LOOP('',(#227430,#227431,#227432,#227433)); #42018=EDGE_LOOP('',(#227434,#227435,#227436,#227437)); #42019=EDGE_LOOP('',(#227438,#227439,#227440,#227441)); #42020=EDGE_LOOP('',(#227442,#227443,#227444,#227445)); #42021=EDGE_LOOP('',(#227446,#227447,#227448,#227449)); #42022=EDGE_LOOP('',(#227450,#227451,#227452,#227453)); #42023=EDGE_LOOP('',(#227454,#227455,#227456,#227457)); #42024=EDGE_LOOP('',(#227458,#227459,#227460,#227461)); #42025=EDGE_LOOP('',(#227462,#227463,#227464,#227465)); #42026=EDGE_LOOP('',(#227466,#227467,#227468,#227469)); #42027=EDGE_LOOP('',(#227470,#227471,#227472,#227473)); #42028=EDGE_LOOP('',(#227474,#227475,#227476,#227477)); #42029=EDGE_LOOP('',(#227478,#227479,#227480,#227481)); #42030=EDGE_LOOP('',(#227482,#227483,#227484,#227485,#227486,#227487,#227488, #227489,#227490,#227491,#227492,#227493,#227494,#227495,#227496,#227497, #227498,#227499,#227500,#227501)); #42031=EDGE_LOOP('',(#227502,#227503,#227504,#227505,#227506,#227507,#227508, #227509,#227510,#227511,#227512,#227513,#227514,#227515,#227516,#227517, #227518,#227519,#227520,#227521)); #42032=EDGE_LOOP('',(#227522,#227523,#227524,#227525)); #42033=EDGE_LOOP('',(#227526,#227527,#227528,#227529)); #42034=EDGE_LOOP('',(#227530,#227531,#227532,#227533)); #42035=EDGE_LOOP('',(#227534,#227535,#227536,#227537)); #42036=EDGE_LOOP('',(#227538,#227539,#227540,#227541)); #42037=EDGE_LOOP('',(#227542,#227543,#227544,#227545)); #42038=EDGE_LOOP('',(#227546,#227547,#227548,#227549)); #42039=EDGE_LOOP('',(#227550,#227551,#227552,#227553)); #42040=EDGE_LOOP('',(#227554,#227555,#227556,#227557)); #42041=EDGE_LOOP('',(#227558,#227559,#227560,#227561)); #42042=EDGE_LOOP('',(#227562,#227563,#227564,#227565)); #42043=EDGE_LOOP('',(#227566,#227567,#227568,#227569)); #42044=EDGE_LOOP('',(#227570,#227571,#227572,#227573)); #42045=EDGE_LOOP('',(#227574,#227575,#227576,#227577)); #42046=EDGE_LOOP('',(#227578,#227579,#227580,#227581)); #42047=EDGE_LOOP('',(#227582,#227583,#227584,#227585)); #42048=EDGE_LOOP('',(#227586,#227587,#227588,#227589)); #42049=EDGE_LOOP('',(#227590,#227591,#227592,#227593)); #42050=EDGE_LOOP('',(#227594,#227595,#227596,#227597)); #42051=EDGE_LOOP('',(#227598,#227599,#227600,#227601)); #42052=EDGE_LOOP('',(#227602,#227603,#227604,#227605)); #42053=EDGE_LOOP('',(#227606,#227607,#227608,#227609)); #42054=EDGE_LOOP('',(#227610,#227611,#227612,#227613)); #42055=EDGE_LOOP('',(#227614,#227615,#227616,#227617)); #42056=EDGE_LOOP('',(#227618,#227619,#227620,#227621)); #42057=EDGE_LOOP('',(#227622,#227623,#227624,#227625)); #42058=EDGE_LOOP('',(#227626,#227627,#227628,#227629)); #42059=EDGE_LOOP('',(#227630,#227631,#227632,#227633)); #42060=EDGE_LOOP('',(#227634,#227635,#227636,#227637)); #42061=EDGE_LOOP('',(#227638,#227639,#227640,#227641)); #42062=EDGE_LOOP('',(#227642,#227643,#227644,#227645)); #42063=EDGE_LOOP('',(#227646,#227647,#227648,#227649)); #42064=EDGE_LOOP('',(#227650,#227651,#227652,#227653)); #42065=EDGE_LOOP('',(#227654,#227655,#227656,#227657)); #42066=EDGE_LOOP('',(#227658,#227659,#227660,#227661)); #42067=EDGE_LOOP('',(#227662,#227663,#227664,#227665)); #42068=EDGE_LOOP('',(#227666,#227667,#227668,#227669,#227670,#227671,#227672, #227673,#227674,#227675,#227676,#227677,#227678,#227679,#227680,#227681, #227682,#227683,#227684,#227685,#227686,#227687,#227688,#227689,#227690, #227691,#227692,#227693,#227694,#227695,#227696,#227697,#227698,#227699, #227700,#227701)); #42069=EDGE_LOOP('',(#227702,#227703,#227704,#227705,#227706,#227707,#227708, #227709,#227710,#227711,#227712,#227713,#227714,#227715,#227716,#227717, #227718,#227719,#227720,#227721,#227722,#227723,#227724,#227725,#227726, #227727,#227728,#227729,#227730,#227731,#227732,#227733,#227734,#227735, #227736,#227737)); #42070=EDGE_LOOP('',(#227738,#227739,#227740,#227741)); #42071=EDGE_LOOP('',(#227742,#227743,#227744,#227745)); #42072=EDGE_LOOP('',(#227746,#227747,#227748,#227749)); #42073=EDGE_LOOP('',(#227750,#227751,#227752,#227753)); #42074=EDGE_LOOP('',(#227754,#227755,#227756,#227757)); #42075=EDGE_LOOP('',(#227758,#227759,#227760,#227761)); #42076=EDGE_LOOP('',(#227762,#227763,#227764,#227765)); #42077=EDGE_LOOP('',(#227766,#227767,#227768,#227769)); #42078=EDGE_LOOP('',(#227770,#227771,#227772,#227773)); #42079=EDGE_LOOP('',(#227774,#227775,#227776,#227777)); #42080=EDGE_LOOP('',(#227778,#227779,#227780,#227781)); #42081=EDGE_LOOP('',(#227782,#227783,#227784,#227785)); #42082=EDGE_LOOP('',(#227786,#227787,#227788,#227789)); #42083=EDGE_LOOP('',(#227790,#227791,#227792,#227793)); #42084=EDGE_LOOP('',(#227794,#227795,#227796,#227797)); #42085=EDGE_LOOP('',(#227798,#227799,#227800,#227801)); #42086=EDGE_LOOP('',(#227802,#227803,#227804,#227805)); #42087=EDGE_LOOP('',(#227806,#227807,#227808,#227809)); #42088=EDGE_LOOP('',(#227810,#227811,#227812,#227813)); #42089=EDGE_LOOP('',(#227814,#227815,#227816,#227817)); #42090=EDGE_LOOP('',(#227818,#227819,#227820,#227821)); #42091=EDGE_LOOP('',(#227822,#227823,#227824,#227825)); #42092=EDGE_LOOP('',(#227826,#227827,#227828,#227829)); #42093=EDGE_LOOP('',(#227830,#227831,#227832,#227833)); #42094=EDGE_LOOP('',(#227834,#227835,#227836,#227837)); #42095=EDGE_LOOP('',(#227838,#227839,#227840,#227841)); #42096=EDGE_LOOP('',(#227842,#227843,#227844,#227845)); #42097=EDGE_LOOP('',(#227846,#227847,#227848,#227849)); #42098=EDGE_LOOP('',(#227850,#227851,#227852,#227853)); #42099=EDGE_LOOP('',(#227854,#227855,#227856,#227857)); #42100=EDGE_LOOP('',(#227858,#227859,#227860,#227861)); #42101=EDGE_LOOP('',(#227862,#227863,#227864,#227865)); #42102=EDGE_LOOP('',(#227866,#227867,#227868,#227869)); #42103=EDGE_LOOP('',(#227870,#227871,#227872,#227873)); #42104=EDGE_LOOP('',(#227874,#227875,#227876,#227877)); #42105=EDGE_LOOP('',(#227878,#227879,#227880,#227881)); #42106=EDGE_LOOP('',(#227882,#227883,#227884,#227885,#227886,#227887,#227888, #227889,#227890,#227891,#227892,#227893,#227894,#227895,#227896,#227897, #227898,#227899,#227900,#227901,#227902,#227903,#227904,#227905,#227906, #227907,#227908,#227909,#227910,#227911,#227912,#227913,#227914,#227915, #227916,#227917)); #42107=EDGE_LOOP('',(#227918,#227919,#227920,#227921,#227922,#227923,#227924, #227925,#227926,#227927,#227928,#227929,#227930,#227931,#227932,#227933, #227934,#227935,#227936,#227937,#227938,#227939,#227940,#227941,#227942, #227943,#227944,#227945,#227946,#227947,#227948,#227949,#227950,#227951, #227952,#227953)); #42108=EDGE_LOOP('',(#227954,#227955,#227956,#227957)); #42109=EDGE_LOOP('',(#227958,#227959,#227960,#227961)); #42110=EDGE_LOOP('',(#227962,#227963,#227964,#227965)); #42111=EDGE_LOOP('',(#227966,#227967,#227968,#227969)); #42112=EDGE_LOOP('',(#227970,#227971,#227972,#227973)); #42113=EDGE_LOOP('',(#227974,#227975,#227976,#227977)); #42114=EDGE_LOOP('',(#227978,#227979,#227980,#227981)); #42115=EDGE_LOOP('',(#227982,#227983,#227984,#227985)); #42116=EDGE_LOOP('',(#227986,#227987,#227988,#227989)); #42117=EDGE_LOOP('',(#227990,#227991,#227992,#227993)); #42118=EDGE_LOOP('',(#227994,#227995,#227996,#227997)); #42119=EDGE_LOOP('',(#227998,#227999,#228000,#228001)); #42120=EDGE_LOOP('',(#228002,#228003,#228004,#228005)); #42121=EDGE_LOOP('',(#228006,#228007,#228008,#228009)); #42122=EDGE_LOOP('',(#228010,#228011,#228012,#228013)); #42123=EDGE_LOOP('',(#228014,#228015,#228016,#228017)); #42124=EDGE_LOOP('',(#228018,#228019,#228020,#228021)); #42125=EDGE_LOOP('',(#228022,#228023,#228024,#228025)); #42126=EDGE_LOOP('',(#228026,#228027,#228028,#228029)); #42127=EDGE_LOOP('',(#228030,#228031,#228032,#228033)); #42128=EDGE_LOOP('',(#228034,#228035,#228036,#228037)); #42129=EDGE_LOOP('',(#228038,#228039,#228040,#228041)); #42130=EDGE_LOOP('',(#228042,#228043,#228044,#228045)); #42131=EDGE_LOOP('',(#228046,#228047,#228048,#228049,#228050,#228051,#228052, #228053,#228054,#228055,#228056,#228057,#228058,#228059,#228060,#228061, #228062,#228063,#228064,#228065,#228066,#228067)); #42132=EDGE_LOOP('',(#228068)); #42133=EDGE_LOOP('',(#228069,#228070,#228071,#228072,#228073,#228074,#228075, #228076,#228077,#228078,#228079,#228080,#228081,#228082,#228083,#228084, #228085,#228086,#228087,#228088,#228089,#228090)); #42134=EDGE_LOOP('',(#228091)); #42135=EDGE_LOOP('',(#228092,#228093,#228094,#228095)); #42136=EDGE_LOOP('',(#228096,#228097,#228098,#228099)); #42137=EDGE_LOOP('',(#228100,#228101,#228102,#228103)); #42138=EDGE_LOOP('',(#228104,#228105,#228106,#228107)); #42139=EDGE_LOOP('',(#228108,#228109,#228110,#228111)); #42140=EDGE_LOOP('',(#228112,#228113,#228114,#228115)); #42141=EDGE_LOOP('',(#228116,#228117,#228118,#228119)); #42142=EDGE_LOOP('',(#228120,#228121,#228122,#228123)); #42143=EDGE_LOOP('',(#228124,#228125,#228126,#228127)); #42144=EDGE_LOOP('',(#228128,#228129,#228130,#228131)); #42145=EDGE_LOOP('',(#228132,#228133,#228134,#228135)); #42146=EDGE_LOOP('',(#228136,#228137,#228138,#228139)); #42147=EDGE_LOOP('',(#228140,#228141,#228142,#228143)); #42148=EDGE_LOOP('',(#228144,#228145,#228146,#228147)); #42149=EDGE_LOOP('',(#228148,#228149,#228150,#228151)); #42150=EDGE_LOOP('',(#228152,#228153,#228154,#228155)); #42151=EDGE_LOOP('',(#228156,#228157,#228158,#228159)); #42152=EDGE_LOOP('',(#228160,#228161,#228162,#228163,#228164,#228165,#228166, #228167,#228168,#228169,#228170,#228171,#228172,#228173,#228174,#228175)); #42153=EDGE_LOOP('',(#228176)); #42154=EDGE_LOOP('',(#228177,#228178,#228179,#228180,#228181,#228182,#228183, #228184,#228185,#228186,#228187,#228188,#228189,#228190,#228191,#228192)); #42155=EDGE_LOOP('',(#228193)); #42156=EDGE_LOOP('',(#228194,#228195,#228196,#228197)); #42157=EDGE_LOOP('',(#228198,#228199,#228200,#228201)); #42158=EDGE_LOOP('',(#228202,#228203,#228204,#228205)); #42159=EDGE_LOOP('',(#228206,#228207,#228208,#228209)); #42160=EDGE_LOOP('',(#228210,#228211,#228212,#228213)); #42161=EDGE_LOOP('',(#228214,#228215,#228216,#228217)); #42162=EDGE_LOOP('',(#228218,#228219,#228220,#228221)); #42163=EDGE_LOOP('',(#228222,#228223,#228224,#228225)); #42164=EDGE_LOOP('',(#228226,#228227,#228228,#228229)); #42165=EDGE_LOOP('',(#228230,#228231,#228232,#228233)); #42166=EDGE_LOOP('',(#228234,#228235,#228236,#228237)); #42167=EDGE_LOOP('',(#228238,#228239,#228240,#228241)); #42168=EDGE_LOOP('',(#228242,#228243,#228244,#228245)); #42169=EDGE_LOOP('',(#228246,#228247,#228248,#228249,#228250,#228251,#228252, #228253,#228254,#228255,#228256,#228257)); #42170=EDGE_LOOP('',(#228258)); #42171=EDGE_LOOP('',(#228259,#228260,#228261,#228262,#228263,#228264,#228265, #228266,#228267,#228268,#228269,#228270)); #42172=EDGE_LOOP('',(#228271)); #42173=EDGE_LOOP('',(#228272,#228273,#228274,#228275)); #42174=EDGE_LOOP('',(#228276,#228277,#228278,#228279)); #42175=EDGE_LOOP('',(#228280,#228281,#228282,#228283)); #42176=EDGE_LOOP('',(#228284,#228285,#228286,#228287)); #42177=EDGE_LOOP('',(#228288,#228289,#228290,#228291)); #42178=EDGE_LOOP('',(#228292,#228293,#228294,#228295)); #42179=EDGE_LOOP('',(#228296,#228297,#228298,#228299)); #42180=EDGE_LOOP('',(#228300,#228301,#228302,#228303)); #42181=EDGE_LOOP('',(#228304,#228305,#228306,#228307)); #42182=EDGE_LOOP('',(#228308,#228309,#228310,#228311)); #42183=EDGE_LOOP('',(#228312,#228313,#228314,#228315)); #42184=EDGE_LOOP('',(#228316,#228317,#228318,#228319)); #42185=EDGE_LOOP('',(#228320,#228321,#228322,#228323)); #42186=EDGE_LOOP('',(#228324,#228325,#228326,#228327)); #42187=EDGE_LOOP('',(#228328,#228329,#228330,#228331)); #42188=EDGE_LOOP('',(#228332,#228333,#228334,#228335,#228336,#228337,#228338, #228339,#228340,#228341,#228342,#228343,#228344,#228345)); #42189=EDGE_LOOP('',(#228346)); #42190=EDGE_LOOP('',(#228347,#228348,#228349,#228350,#228351,#228352,#228353, #228354,#228355,#228356,#228357,#228358,#228359,#228360)); #42191=EDGE_LOOP('',(#228361)); #42192=EDGE_LOOP('',(#228362,#228363,#228364,#228365)); #42193=EDGE_LOOP('',(#228366,#228367,#228368,#228369)); #42194=EDGE_LOOP('',(#228370,#228371,#228372,#228373)); #42195=EDGE_LOOP('',(#228374,#228375,#228376,#228377)); #42196=EDGE_LOOP('',(#228378,#228379,#228380,#228381)); #42197=EDGE_LOOP('',(#228382,#228383,#228384,#228385)); #42198=EDGE_LOOP('',(#228386,#228387,#228388,#228389)); #42199=EDGE_LOOP('',(#228390,#228391,#228392,#228393)); #42200=EDGE_LOOP('',(#228394,#228395,#228396,#228397)); #42201=EDGE_LOOP('',(#228398,#228399,#228400,#228401)); #42202=EDGE_LOOP('',(#228402,#228403,#228404,#228405,#228406,#228407,#228408, #228409)); #42203=EDGE_LOOP('',(#228410)); #42204=EDGE_LOOP('',(#228411)); #42205=EDGE_LOOP('',(#228412,#228413,#228414,#228415,#228416,#228417,#228418, #228419)); #42206=EDGE_LOOP('',(#228420)); #42207=EDGE_LOOP('',(#228421)); #42208=EDGE_LOOP('',(#228422,#228423,#228424,#228425)); #42209=EDGE_LOOP('',(#228426,#228427,#228428,#228429)); #42210=EDGE_LOOP('',(#228430,#228431,#228432,#228433)); #42211=EDGE_LOOP('',(#228434,#228435,#228436,#228437)); #42212=EDGE_LOOP('',(#228438,#228439,#228440,#228441)); #42213=EDGE_LOOP('',(#228442,#228443,#228444,#228445)); #42214=EDGE_LOOP('',(#228446,#228447,#228448,#228449)); #42215=EDGE_LOOP('',(#228450,#228451,#228452,#228453)); #42216=EDGE_LOOP('',(#228454,#228455,#228456,#228457)); #42217=EDGE_LOOP('',(#228458,#228459,#228460,#228461)); #42218=EDGE_LOOP('',(#228462,#228463,#228464,#228465)); #42219=EDGE_LOOP('',(#228466,#228467,#228468,#228469)); #42220=EDGE_LOOP('',(#228470,#228471,#228472,#228473)); #42221=EDGE_LOOP('',(#228474,#228475,#228476,#228477)); #42222=EDGE_LOOP('',(#228478,#228479,#228480,#228481)); #42223=EDGE_LOOP('',(#228482,#228483,#228484,#228485)); #42224=EDGE_LOOP('',(#228486,#228487,#228488,#228489)); #42225=EDGE_LOOP('',(#228490,#228491,#228492,#228493,#228494,#228495,#228496, #228497,#228498,#228499,#228500,#228501,#228502,#228503,#228504,#228505)); #42226=EDGE_LOOP('',(#228506)); #42227=EDGE_LOOP('',(#228507,#228508,#228509,#228510,#228511,#228512,#228513, #228514,#228515,#228516,#228517,#228518,#228519,#228520,#228521,#228522)); #42228=EDGE_LOOP('',(#228523)); #42229=EDGE_LOOP('',(#228524,#228525,#228526,#228527)); #42230=EDGE_LOOP('',(#228528,#228529,#228530,#228531)); #42231=EDGE_LOOP('',(#228532,#228533,#228534,#228535)); #42232=EDGE_LOOP('',(#228536,#228537,#228538,#228539)); #42233=EDGE_LOOP('',(#228540,#228541,#228542,#228543)); #42234=EDGE_LOOP('',(#228544,#228545,#228546,#228547)); #42235=EDGE_LOOP('',(#228548,#228549,#228550,#228551)); #42236=EDGE_LOOP('',(#228552,#228553,#228554,#228555)); #42237=EDGE_LOOP('',(#228556,#228557,#228558,#228559)); #42238=EDGE_LOOP('',(#228560,#228561,#228562,#228563)); #42239=EDGE_LOOP('',(#228564,#228565,#228566,#228567)); #42240=EDGE_LOOP('',(#228568,#228569,#228570,#228571)); #42241=EDGE_LOOP('',(#228572,#228573,#228574,#228575)); #42242=EDGE_LOOP('',(#228576,#228577,#228578,#228579)); #42243=EDGE_LOOP('',(#228580,#228581,#228582,#228583)); #42244=EDGE_LOOP('',(#228584,#228585,#228586,#228587)); #42245=EDGE_LOOP('',(#228588,#228589,#228590,#228591)); #42246=EDGE_LOOP('',(#228592,#228593,#228594,#228595)); #42247=EDGE_LOOP('',(#228596,#228597,#228598,#228599)); #42248=EDGE_LOOP('',(#228600,#228601,#228602,#228603)); #42249=EDGE_LOOP('',(#228604,#228605,#228606,#228607)); #42250=EDGE_LOOP('',(#228608,#228609,#228610,#228611)); #42251=EDGE_LOOP('',(#228612,#228613,#228614,#228615)); #42252=EDGE_LOOP('',(#228616,#228617,#228618,#228619,#228620,#228621,#228622, #228623,#228624,#228625,#228626,#228627,#228628,#228629,#228630,#228631, #228632,#228633,#228634,#228635,#228636,#228637)); #42253=EDGE_LOOP('',(#228638)); #42254=EDGE_LOOP('',(#228639,#228640,#228641,#228642,#228643,#228644,#228645, #228646,#228647,#228648,#228649,#228650,#228651,#228652,#228653,#228654, #228655,#228656,#228657,#228658,#228659,#228660)); #42255=EDGE_LOOP('',(#228661)); #42256=EDGE_LOOP('',(#228662,#228663,#228664,#228665)); #42257=EDGE_LOOP('',(#228666,#228667,#228668,#228669)); #42258=EDGE_LOOP('',(#228670,#228671,#228672,#228673)); #42259=EDGE_LOOP('',(#228674,#228675,#228676,#228677)); #42260=EDGE_LOOP('',(#228678,#228679,#228680,#228681)); #42261=EDGE_LOOP('',(#228682,#228683,#228684,#228685)); #42262=EDGE_LOOP('',(#228686,#228687,#228688,#228689)); #42263=EDGE_LOOP('',(#228690,#228691,#228692,#228693)); #42264=EDGE_LOOP('',(#228694,#228695,#228696,#228697)); #42265=EDGE_LOOP('',(#228698,#228699,#228700,#228701)); #42266=EDGE_LOOP('',(#228702,#228703,#228704,#228705)); #42267=EDGE_LOOP('',(#228706,#228707,#228708,#228709)); #42268=EDGE_LOOP('',(#228710,#228711,#228712,#228713)); #42269=EDGE_LOOP('',(#228714,#228715,#228716,#228717)); #42270=EDGE_LOOP('',(#228718,#228719,#228720,#228721)); #42271=EDGE_LOOP('',(#228722,#228723,#228724,#228725)); #42272=EDGE_LOOP('',(#228726,#228727,#228728,#228729)); #42273=EDGE_LOOP('',(#228730,#228731,#228732,#228733)); #42274=EDGE_LOOP('',(#228734,#228735,#228736,#228737)); #42275=EDGE_LOOP('',(#228738,#228739,#228740,#228741)); #42276=EDGE_LOOP('',(#228742,#228743,#228744,#228745)); #42277=EDGE_LOOP('',(#228746,#228747,#228748,#228749)); #42278=EDGE_LOOP('',(#228750,#228751,#228752,#228753)); #42279=EDGE_LOOP('',(#228754,#228755,#228756,#228757)); #42280=EDGE_LOOP('',(#228758,#228759,#228760,#228761)); #42281=EDGE_LOOP('',(#228762,#228763,#228764,#228765)); #42282=EDGE_LOOP('',(#228766,#228767,#228768,#228769,#228770,#228771,#228772, #228773,#228774,#228775,#228776,#228777,#228778,#228779,#228780,#228781, #228782,#228783,#228784,#228785,#228786,#228787,#228788,#228789,#228790, #228791)); #42283=EDGE_LOOP('',(#228792,#228793,#228794,#228795,#228796,#228797,#228798, #228799,#228800,#228801,#228802,#228803,#228804,#228805,#228806,#228807, #228808,#228809,#228810,#228811,#228812,#228813,#228814,#228815,#228816, #228817)); #42284=EDGE_LOOP('',(#228818,#228819,#228820,#228821)); #42285=EDGE_LOOP('',(#228822,#228823,#228824,#228825)); #42286=EDGE_LOOP('',(#228826,#228827,#228828,#228829)); #42287=EDGE_LOOP('',(#228830,#228831,#228832,#228833)); #42288=EDGE_LOOP('',(#228834,#228835,#228836,#228837)); #42289=EDGE_LOOP('',(#228838,#228839,#228840,#228841)); #42290=EDGE_LOOP('',(#228842,#228843,#228844,#228845)); #42291=EDGE_LOOP('',(#228846,#228847,#228848,#228849)); #42292=EDGE_LOOP('',(#228850,#228851,#228852,#228853)); #42293=EDGE_LOOP('',(#228854,#228855,#228856,#228857)); #42294=EDGE_LOOP('',(#228858,#228859,#228860,#228861)); #42295=EDGE_LOOP('',(#228862,#228863,#228864,#228865)); #42296=EDGE_LOOP('',(#228866,#228867,#228868,#228869)); #42297=EDGE_LOOP('',(#228870,#228871,#228872,#228873)); #42298=EDGE_LOOP('',(#228874,#228875,#228876,#228877)); #42299=EDGE_LOOP('',(#228878,#228879,#228880,#228881)); #42300=EDGE_LOOP('',(#228882,#228883,#228884,#228885)); #42301=EDGE_LOOP('',(#228886,#228887,#228888,#228889)); #42302=EDGE_LOOP('',(#228890,#228891,#228892,#228893)); #42303=EDGE_LOOP('',(#228894,#228895,#228896,#228897)); #42304=EDGE_LOOP('',(#228898,#228899,#228900,#228901)); #42305=EDGE_LOOP('',(#228902,#228903,#228904,#228905)); #42306=EDGE_LOOP('',(#228906,#228907,#228908,#228909)); #42307=EDGE_LOOP('',(#228910,#228911,#228912,#228913)); #42308=EDGE_LOOP('',(#228914,#228915,#228916,#228917)); #42309=EDGE_LOOP('',(#228918,#228919,#228920,#228921,#228922,#228923,#228924, #228925,#228926,#228927,#228928,#228929,#228930,#228931,#228932,#228933, #228934,#228935,#228936,#228937,#228938,#228939,#228940,#228941)); #42310=EDGE_LOOP('',(#228942)); #42311=EDGE_LOOP('',(#228943,#228944,#228945,#228946,#228947,#228948,#228949, #228950,#228951,#228952,#228953,#228954,#228955,#228956,#228957,#228958, #228959,#228960,#228961,#228962,#228963,#228964,#228965,#228966)); #42312=EDGE_LOOP('',(#228967)); #42313=EDGE_LOOP('',(#228968,#228969,#228970,#228971)); #42314=EDGE_LOOP('',(#228972,#228973,#228974,#228975)); #42315=EDGE_LOOP('',(#228976,#228977,#228978,#228979)); #42316=EDGE_LOOP('',(#228980,#228981,#228982,#228983)); #42317=EDGE_LOOP('',(#228984,#228985,#228986,#228987)); #42318=EDGE_LOOP('',(#228988,#228989,#228990,#228991)); #42319=EDGE_LOOP('',(#228992,#228993,#228994,#228995)); #42320=EDGE_LOOP('',(#228996,#228997,#228998,#228999)); #42321=EDGE_LOOP('',(#229000,#229001,#229002,#229003)); #42322=EDGE_LOOP('',(#229004,#229005,#229006,#229007)); #42323=EDGE_LOOP('',(#229008,#229009,#229010,#229011)); #42324=EDGE_LOOP('',(#229012,#229013,#229014,#229015)); #42325=EDGE_LOOP('',(#229016,#229017,#229018,#229019,#229020,#229021,#229022, #229023,#229024,#229025,#229026)); #42326=EDGE_LOOP('',(#229027)); #42327=EDGE_LOOP('',(#229028,#229029,#229030,#229031,#229032,#229033,#229034, #229035,#229036,#229037,#229038)); #42328=EDGE_LOOP('',(#229039)); #42329=EDGE_LOOP('',(#229040,#229041,#229042,#229043)); #42330=EDGE_LOOP('',(#229044,#229045,#229046,#229047)); #42331=EDGE_LOOP('',(#229048,#229049,#229050,#229051)); #42332=EDGE_LOOP('',(#229052,#229053,#229054,#229055)); #42333=EDGE_LOOP('',(#229056,#229057,#229058,#229059)); #42334=EDGE_LOOP('',(#229060,#229061,#229062,#229063)); #42335=EDGE_LOOP('',(#229064,#229065,#229066,#229067)); #42336=EDGE_LOOP('',(#229068,#229069,#229070,#229071)); #42337=EDGE_LOOP('',(#229072,#229073,#229074,#229075)); #42338=EDGE_LOOP('',(#229076,#229077,#229078,#229079)); #42339=EDGE_LOOP('',(#229080,#229081,#229082,#229083)); #42340=EDGE_LOOP('',(#229084,#229085,#229086,#229087)); #42341=EDGE_LOOP('',(#229088,#229089,#229090,#229091)); #42342=EDGE_LOOP('',(#229092,#229093,#229094,#229095)); #42343=EDGE_LOOP('',(#229096,#229097,#229098,#229099)); #42344=EDGE_LOOP('',(#229100,#229101,#229102,#229103)); #42345=EDGE_LOOP('',(#229104,#229105,#229106,#229107)); #42346=EDGE_LOOP('',(#229108,#229109,#229110,#229111)); #42347=EDGE_LOOP('',(#229112,#229113,#229114,#229115)); #42348=EDGE_LOOP('',(#229116,#229117,#229118,#229119)); #42349=EDGE_LOOP('',(#229120,#229121,#229122,#229123)); #42350=EDGE_LOOP('',(#229124,#229125,#229126,#229127)); #42351=EDGE_LOOP('',(#229128,#229129,#229130,#229131)); #42352=EDGE_LOOP('',(#229132,#229133,#229134,#229135)); #42353=EDGE_LOOP('',(#229136,#229137,#229138,#229139)); #42354=EDGE_LOOP('',(#229140,#229141,#229142,#229143)); #42355=EDGE_LOOP('',(#229144,#229145,#229146,#229147)); #42356=EDGE_LOOP('',(#229148,#229149,#229150,#229151)); #42357=EDGE_LOOP('',(#229152,#229153,#229154,#229155)); #42358=EDGE_LOOP('',(#229156,#229157,#229158,#229159)); #42359=EDGE_LOOP('',(#229160,#229161,#229162,#229163)); #42360=EDGE_LOOP('',(#229164,#229165,#229166,#229167)); #42361=EDGE_LOOP('',(#229168,#229169,#229170,#229171)); #42362=EDGE_LOOP('',(#229172,#229173,#229174,#229175)); #42363=EDGE_LOOP('',(#229176,#229177,#229178,#229179)); #42364=EDGE_LOOP('',(#229180,#229181,#229182,#229183)); #42365=EDGE_LOOP('',(#229184,#229185,#229186,#229187)); #42366=EDGE_LOOP('',(#229188,#229189,#229190,#229191)); #42367=EDGE_LOOP('',(#229192,#229193,#229194,#229195,#229196,#229197,#229198, #229199,#229200,#229201,#229202,#229203,#229204,#229205,#229206,#229207, #229208,#229209,#229210,#229211,#229212,#229213,#229214,#229215,#229216, #229217,#229218,#229219,#229220,#229221,#229222,#229223,#229224,#229225, #229226,#229227,#229228,#229229)); #42368=EDGE_LOOP('',(#229230,#229231,#229232,#229233,#229234,#229235,#229236, #229237,#229238,#229239,#229240,#229241,#229242,#229243,#229244,#229245, #229246,#229247,#229248,#229249,#229250,#229251,#229252,#229253,#229254, #229255,#229256,#229257,#229258,#229259,#229260,#229261,#229262,#229263, #229264,#229265,#229266,#229267)); #42369=EDGE_LOOP('',(#229268,#229269,#229270,#229271)); #42370=EDGE_LOOP('',(#229272,#229273,#229274,#229275)); #42371=EDGE_LOOP('',(#229276,#229277,#229278,#229279)); #42372=EDGE_LOOP('',(#229280,#229281,#229282,#229283)); #42373=EDGE_LOOP('',(#229284,#229285,#229286,#229287)); #42374=EDGE_LOOP('',(#229288,#229289,#229290,#229291)); #42375=EDGE_LOOP('',(#229292,#229293,#229294,#229295)); #42376=EDGE_LOOP('',(#229296,#229297,#229298,#229299)); #42377=EDGE_LOOP('',(#229300,#229301,#229302,#229303)); #42378=EDGE_LOOP('',(#229304,#229305,#229306,#229307)); #42379=EDGE_LOOP('',(#229308,#229309,#229310,#229311)); #42380=EDGE_LOOP('',(#229312,#229313,#229314,#229315)); #42381=EDGE_LOOP('',(#229316,#229317,#229318,#229319)); #42382=EDGE_LOOP('',(#229320,#229321,#229322,#229323)); #42383=EDGE_LOOP('',(#229324,#229325,#229326,#229327)); #42384=EDGE_LOOP('',(#229328,#229329,#229330,#229331)); #42385=EDGE_LOOP('',(#229332,#229333,#229334,#229335)); #42386=EDGE_LOOP('',(#229336,#229337,#229338,#229339)); #42387=EDGE_LOOP('',(#229340,#229341,#229342,#229343)); #42388=EDGE_LOOP('',(#229344,#229345,#229346,#229347)); #42389=EDGE_LOOP('',(#229348,#229349,#229350,#229351)); #42390=EDGE_LOOP('',(#229352,#229353,#229354,#229355)); #42391=EDGE_LOOP('',(#229356,#229357,#229358,#229359)); #42392=EDGE_LOOP('',(#229360,#229361,#229362,#229363)); #42393=EDGE_LOOP('',(#229364,#229365,#229366,#229367)); #42394=EDGE_LOOP('',(#229368,#229369,#229370,#229371)); #42395=EDGE_LOOP('',(#229372,#229373,#229374,#229375)); #42396=EDGE_LOOP('',(#229376,#229377,#229378,#229379)); #42397=EDGE_LOOP('',(#229380,#229381,#229382,#229383)); #42398=EDGE_LOOP('',(#229384,#229385,#229386,#229387)); #42399=EDGE_LOOP('',(#229388,#229389,#229390,#229391)); #42400=EDGE_LOOP('',(#229392,#229393,#229394,#229395)); #42401=EDGE_LOOP('',(#229396,#229397,#229398,#229399)); #42402=EDGE_LOOP('',(#229400,#229401,#229402,#229403)); #42403=EDGE_LOOP('',(#229404,#229405,#229406,#229407)); #42404=EDGE_LOOP('',(#229408,#229409,#229410,#229411)); #42405=EDGE_LOOP('',(#229412,#229413,#229414,#229415)); #42406=EDGE_LOOP('',(#229416,#229417,#229418,#229419)); #42407=EDGE_LOOP('',(#229420,#229421,#229422,#229423,#229424,#229425,#229426, #229427,#229428,#229429,#229430,#229431,#229432,#229433,#229434,#229435, #229436,#229437,#229438,#229439,#229440,#229441,#229442,#229443,#229444, #229445,#229446,#229447,#229448,#229449,#229450,#229451,#229452,#229453, #229454,#229455,#229456,#229457)); #42408=EDGE_LOOP('',(#229458,#229459,#229460,#229461,#229462,#229463,#229464, #229465,#229466,#229467,#229468,#229469,#229470,#229471,#229472,#229473, #229474,#229475,#229476,#229477,#229478,#229479,#229480,#229481,#229482, #229483,#229484,#229485,#229486,#229487,#229488,#229489,#229490,#229491, #229492,#229493,#229494,#229495)); #42409=EDGE_LOOP('',(#229496,#229497,#229498,#229499)); #42410=EDGE_LOOP('',(#229500,#229501,#229502,#229503)); #42411=EDGE_LOOP('',(#229504,#229505,#229506,#229507)); #42412=EDGE_LOOP('',(#229508,#229509,#229510,#229511)); #42413=EDGE_LOOP('',(#229512,#229513,#229514,#229515)); #42414=EDGE_LOOP('',(#229516,#229517,#229518,#229519)); #42415=EDGE_LOOP('',(#229520,#229521,#229522,#229523)); #42416=EDGE_LOOP('',(#229524,#229525,#229526,#229527)); #42417=EDGE_LOOP('',(#229528,#229529,#229530,#229531)); #42418=EDGE_LOOP('',(#229532,#229533,#229534,#229535)); #42419=EDGE_LOOP('',(#229536,#229537,#229538,#229539)); #42420=EDGE_LOOP('',(#229540,#229541,#229542,#229543)); #42421=EDGE_LOOP('',(#229544,#229545,#229546,#229547)); #42422=EDGE_LOOP('',(#229548,#229549,#229550,#229551)); #42423=EDGE_LOOP('',(#229552,#229553,#229554,#229555)); #42424=EDGE_LOOP('',(#229556,#229557,#229558,#229559)); #42425=EDGE_LOOP('',(#229560,#229561,#229562,#229563)); #42426=EDGE_LOOP('',(#229564,#229565,#229566,#229567)); #42427=EDGE_LOOP('',(#229568,#229569,#229570,#229571)); #42428=EDGE_LOOP('',(#229572,#229573,#229574,#229575)); #42429=EDGE_LOOP('',(#229576,#229577,#229578,#229579,#229580,#229581,#229582, #229583,#229584,#229585,#229586,#229587,#229588,#229589,#229590,#229591, #229592,#229593,#229594,#229595)); #42430=EDGE_LOOP('',(#229596,#229597,#229598,#229599,#229600,#229601,#229602, #229603,#229604,#229605,#229606,#229607,#229608,#229609,#229610,#229611, #229612,#229613,#229614,#229615)); #42431=EDGE_LOOP('',(#229616,#229617,#229618,#229619)); #42432=EDGE_LOOP('',(#229620,#229621,#229622,#229623)); #42433=EDGE_LOOP('',(#229624,#229625,#229626,#229627)); #42434=EDGE_LOOP('',(#229628,#229629,#229630,#229631)); #42435=EDGE_LOOP('',(#229632,#229633,#229634,#229635)); #42436=EDGE_LOOP('',(#229636,#229637,#229638,#229639)); #42437=EDGE_LOOP('',(#229640,#229641,#229642,#229643)); #42438=EDGE_LOOP('',(#229644,#229645,#229646,#229647)); #42439=EDGE_LOOP('',(#229648,#229649,#229650,#229651)); #42440=EDGE_LOOP('',(#229652,#229653,#229654,#229655)); #42441=EDGE_LOOP('',(#229656,#229657,#229658,#229659)); #42442=EDGE_LOOP('',(#229660,#229661,#229662,#229663)); #42443=EDGE_LOOP('',(#229664,#229665,#229666,#229667)); #42444=EDGE_LOOP('',(#229668,#229669,#229670,#229671)); #42445=EDGE_LOOP('',(#229672,#229673,#229674,#229675)); #42446=EDGE_LOOP('',(#229676,#229677,#229678,#229679)); #42447=EDGE_LOOP('',(#229680,#229681,#229682,#229683)); #42448=EDGE_LOOP('',(#229684,#229685,#229686,#229687)); #42449=EDGE_LOOP('',(#229688,#229689,#229690,#229691)); #42450=EDGE_LOOP('',(#229692,#229693,#229694,#229695)); #42451=EDGE_LOOP('',(#229696,#229697,#229698,#229699,#229700,#229701,#229702, #229703,#229704,#229705,#229706,#229707,#229708,#229709,#229710,#229711, #229712,#229713,#229714)); #42452=EDGE_LOOP('',(#229715)); #42453=EDGE_LOOP('',(#229716,#229717,#229718,#229719,#229720,#229721,#229722, #229723,#229724,#229725,#229726,#229727,#229728,#229729,#229730,#229731, #229732,#229733,#229734)); #42454=EDGE_LOOP('',(#229735)); #42455=EDGE_LOOP('',(#229736,#229737,#229738,#229739)); #42456=EDGE_LOOP('',(#229740,#229741,#229742,#229743)); #42457=EDGE_LOOP('',(#229744,#229745,#229746,#229747)); #42458=EDGE_LOOP('',(#229748,#229749,#229750,#229751)); #42459=EDGE_LOOP('',(#229752,#229753,#229754,#229755)); #42460=EDGE_LOOP('',(#229756,#229757,#229758,#229759)); #42461=EDGE_LOOP('',(#229760,#229761,#229762,#229763)); #42462=EDGE_LOOP('',(#229764,#229765,#229766,#229767)); #42463=EDGE_LOOP('',(#229768,#229769,#229770,#229771)); #42464=EDGE_LOOP('',(#229772,#229773,#229774,#229775)); #42465=EDGE_LOOP('',(#229776,#229777,#229778,#229779)); #42466=EDGE_LOOP('',(#229780,#229781,#229782,#229783)); #42467=EDGE_LOOP('',(#229784,#229785,#229786,#229787)); #42468=EDGE_LOOP('',(#229788,#229789,#229790,#229791)); #42469=EDGE_LOOP('',(#229792,#229793,#229794,#229795)); #42470=EDGE_LOOP('',(#229796,#229797,#229798,#229799)); #42471=EDGE_LOOP('',(#229800,#229801,#229802,#229803)); #42472=EDGE_LOOP('',(#229804,#229805,#229806,#229807,#229808,#229809,#229810, #229811,#229812,#229813,#229814,#229815,#229816,#229817,#229818,#229819)); #42473=EDGE_LOOP('',(#229820)); #42474=EDGE_LOOP('',(#229821,#229822,#229823,#229824,#229825,#229826,#229827, #229828,#229829,#229830,#229831,#229832,#229833,#229834,#229835,#229836)); #42475=EDGE_LOOP('',(#229837)); #42476=EDGE_LOOP('',(#229838,#229839,#229840,#229841)); #42477=EDGE_LOOP('',(#229842,#229843,#229844,#229845)); #42478=EDGE_LOOP('',(#229846,#229847,#229848,#229849)); #42479=EDGE_LOOP('',(#229850,#229851,#229852,#229853)); #42480=EDGE_LOOP('',(#229854,#229855,#229856,#229857)); #42481=EDGE_LOOP('',(#229858,#229859,#229860,#229861)); #42482=EDGE_LOOP('',(#229862,#229863,#229864,#229865)); #42483=EDGE_LOOP('',(#229866,#229867,#229868,#229869)); #42484=EDGE_LOOP('',(#229870,#229871,#229872,#229873)); #42485=EDGE_LOOP('',(#229874,#229875,#229876,#229877)); #42486=EDGE_LOOP('',(#229878,#229879,#229880,#229881)); #42487=EDGE_LOOP('',(#229882,#229883,#229884,#229885)); #42488=EDGE_LOOP('',(#229886,#229887,#229888,#229889)); #42489=EDGE_LOOP('',(#229890,#229891,#229892,#229893)); #42490=EDGE_LOOP('',(#229894,#229895,#229896,#229897,#229898,#229899,#229900, #229901,#229902,#229903,#229904,#229905,#229906)); #42491=EDGE_LOOP('',(#229907)); #42492=EDGE_LOOP('',(#229908,#229909,#229910,#229911,#229912,#229913,#229914, #229915,#229916,#229917,#229918,#229919,#229920)); #42493=EDGE_LOOP('',(#229921)); #42494=EDGE_LOOP('',(#229922,#229923,#229924,#229925)); #42495=EDGE_LOOP('',(#229926,#229927,#229928,#229929)); #42496=EDGE_LOOP('',(#229930,#229931,#229932,#229933)); #42497=EDGE_LOOP('',(#229934,#229935,#229936,#229937)); #42498=EDGE_LOOP('',(#229938,#229939,#229940,#229941)); #42499=EDGE_LOOP('',(#229942,#229943,#229944,#229945)); #42500=EDGE_LOOP('',(#229946,#229947,#229948,#229949)); #42501=EDGE_LOOP('',(#229950,#229951,#229952,#229953)); #42502=EDGE_LOOP('',(#229954,#229955,#229956,#229957)); #42503=EDGE_LOOP('',(#229958,#229959,#229960,#229961)); #42504=EDGE_LOOP('',(#229962,#229963,#229964,#229965)); #42505=EDGE_LOOP('',(#229966,#229967,#229968,#229969)); #42506=EDGE_LOOP('',(#229970,#229971,#229972,#229973)); #42507=EDGE_LOOP('',(#229974,#229975,#229976,#229977)); #42508=EDGE_LOOP('',(#229978,#229979,#229980,#229981)); #42509=EDGE_LOOP('',(#229982,#229983,#229984,#229985)); #42510=EDGE_LOOP('',(#229986,#229987,#229988,#229989)); #42511=EDGE_LOOP('',(#229990,#229991,#229992,#229993)); #42512=EDGE_LOOP('',(#229994,#229995,#229996,#229997)); #42513=EDGE_LOOP('',(#229998,#229999,#230000,#230001,#230002,#230003,#230004, #230005,#230006,#230007,#230008,#230009,#230010,#230011,#230012,#230013, #230014,#230015)); #42514=EDGE_LOOP('',(#230016)); #42515=EDGE_LOOP('',(#230017,#230018,#230019,#230020,#230021,#230022,#230023, #230024,#230025,#230026,#230027,#230028,#230029,#230030,#230031,#230032, #230033,#230034)); #42516=EDGE_LOOP('',(#230035)); #42517=EDGE_LOOP('',(#230036,#230037,#230038,#230039)); #42518=EDGE_LOOP('',(#230040,#230041,#230042,#230043)); #42519=EDGE_LOOP('',(#230044,#230045,#230046,#230047)); #42520=EDGE_LOOP('',(#230048,#230049,#230050,#230051)); #42521=EDGE_LOOP('',(#230052,#230053,#230054,#230055)); #42522=EDGE_LOOP('',(#230056,#230057,#230058,#230059)); #42523=EDGE_LOOP('',(#230060,#230061,#230062,#230063)); #42524=EDGE_LOOP('',(#230064,#230065,#230066,#230067)); #42525=EDGE_LOOP('',(#230068,#230069,#230070,#230071)); #42526=EDGE_LOOP('',(#230072,#230073,#230074,#230075)); #42527=EDGE_LOOP('',(#230076,#230077,#230078,#230079)); #42528=EDGE_LOOP('',(#230080,#230081,#230082,#230083)); #42529=EDGE_LOOP('',(#230084,#230085,#230086,#230087)); #42530=EDGE_LOOP('',(#230088,#230089,#230090,#230091)); #42531=EDGE_LOOP('',(#230092,#230093,#230094,#230095,#230096,#230097,#230098, #230099,#230100,#230101,#230102,#230103,#230104)); #42532=EDGE_LOOP('',(#230105)); #42533=EDGE_LOOP('',(#230106,#230107,#230108,#230109,#230110,#230111,#230112, #230113,#230114,#230115,#230116,#230117,#230118)); #42534=EDGE_LOOP('',(#230119)); #42535=EDGE_LOOP('',(#230120,#230121,#230122,#230123)); #42536=EDGE_LOOP('',(#230124,#230125,#230126,#230127)); #42537=EDGE_LOOP('',(#230128,#230129,#230130,#230131)); #42538=EDGE_LOOP('',(#230132,#230133,#230134,#230135)); #42539=EDGE_LOOP('',(#230136,#230137,#230138,#230139)); #42540=EDGE_LOOP('',(#230140,#230141,#230142,#230143)); #42541=EDGE_LOOP('',(#230144,#230145,#230146,#230147)); #42542=EDGE_LOOP('',(#230148,#230149,#230150,#230151)); #42543=EDGE_LOOP('',(#230152,#230153,#230154,#230155)); #42544=EDGE_LOOP('',(#230156,#230157,#230158,#230159)); #42545=EDGE_LOOP('',(#230160,#230161,#230162,#230163)); #42546=EDGE_LOOP('',(#230164,#230165,#230166,#230167)); #42547=EDGE_LOOP('',(#230168,#230169,#230170,#230171)); #42548=EDGE_LOOP('',(#230172,#230173,#230174,#230175)); #42549=EDGE_LOOP('',(#230176,#230177,#230178,#230179)); #42550=EDGE_LOOP('',(#230180,#230181,#230182,#230183)); #42551=EDGE_LOOP('',(#230184,#230185,#230186,#230187)); #42552=EDGE_LOOP('',(#230188,#230189,#230190,#230191)); #42553=EDGE_LOOP('',(#230192,#230193,#230194,#230195)); #42554=EDGE_LOOP('',(#230196,#230197,#230198,#230199,#230200,#230201,#230202, #230203,#230204,#230205,#230206,#230207,#230208,#230209,#230210,#230211, #230212,#230213)); #42555=EDGE_LOOP('',(#230214)); #42556=EDGE_LOOP('',(#230215,#230216,#230217,#230218,#230219,#230220,#230221, #230222,#230223,#230224,#230225,#230226,#230227,#230228,#230229,#230230, #230231,#230232)); #42557=EDGE_LOOP('',(#230233)); #42558=EDGE_LOOP('',(#230234,#230235,#230236,#230237)); #42559=EDGE_LOOP('',(#230238,#230239,#230240,#230241)); #42560=EDGE_LOOP('',(#230242,#230243,#230244,#230245)); #42561=EDGE_LOOP('',(#230246,#230247,#230248,#230249)); #42562=EDGE_LOOP('',(#230250,#230251,#230252,#230253)); #42563=EDGE_LOOP('',(#230254,#230255,#230256,#230257)); #42564=EDGE_LOOP('',(#230258,#230259,#230260,#230261)); #42565=EDGE_LOOP('',(#230262,#230263,#230264,#230265)); #42566=EDGE_LOOP('',(#230266,#230267,#230268,#230269)); #42567=EDGE_LOOP('',(#230270,#230271,#230272,#230273)); #42568=EDGE_LOOP('',(#230274,#230275,#230276,#230277)); #42569=EDGE_LOOP('',(#230278,#230279,#230280,#230281)); #42570=EDGE_LOOP('',(#230282,#230283,#230284,#230285,#230286,#230287,#230288, #230289,#230290,#230291,#230292)); #42571=EDGE_LOOP('',(#230293)); #42572=EDGE_LOOP('',(#230294,#230295,#230296,#230297,#230298,#230299,#230300, #230301,#230302,#230303,#230304)); #42573=EDGE_LOOP('',(#230305)); #42574=EDGE_LOOP('',(#230306,#230307,#230308,#230309)); #42575=EDGE_LOOP('',(#230310,#230311,#230312,#230313)); #42576=EDGE_LOOP('',(#230314,#230315,#230316,#230317)); #42577=EDGE_LOOP('',(#230318,#230319,#230320,#230321)); #42578=EDGE_LOOP('',(#230322,#230323,#230324,#230325)); #42579=EDGE_LOOP('',(#230326,#230327,#230328,#230329)); #42580=EDGE_LOOP('',(#230330,#230331,#230332,#230333)); #42581=EDGE_LOOP('',(#230334,#230335,#230336,#230337)); #42582=EDGE_LOOP('',(#230338,#230339,#230340,#230341)); #42583=EDGE_LOOP('',(#230342,#230343,#230344,#230345)); #42584=EDGE_LOOP('',(#230346,#230347,#230348,#230349)); #42585=EDGE_LOOP('',(#230350,#230351,#230352,#230353)); #42586=EDGE_LOOP('',(#230354,#230355,#230356,#230357,#230358,#230359,#230360, #230361,#230362,#230363,#230364)); #42587=EDGE_LOOP('',(#230365)); #42588=EDGE_LOOP('',(#230366,#230367,#230368,#230369,#230370,#230371,#230372, #230373,#230374,#230375,#230376)); #42589=EDGE_LOOP('',(#230377)); #42590=EDGE_LOOP('',(#230378,#230379,#230380,#230381)); #42591=EDGE_LOOP('',(#230382,#230383,#230384,#230385)); #42592=EDGE_LOOP('',(#230386,#230387,#230388,#230389)); #42593=EDGE_LOOP('',(#230390,#230391,#230392,#230393)); #42594=EDGE_LOOP('',(#230394,#230395,#230396,#230397)); #42595=EDGE_LOOP('',(#230398,#230399,#230400,#230401)); #42596=EDGE_LOOP('',(#230402,#230403,#230404,#230405)); #42597=EDGE_LOOP('',(#230406,#230407,#230408,#230409)); #42598=EDGE_LOOP('',(#230410,#230411,#230412,#230413)); #42599=EDGE_LOOP('',(#230414,#230415,#230416,#230417)); #42600=EDGE_LOOP('',(#230418,#230419,#230420,#230421)); #42601=EDGE_LOOP('',(#230422,#230423,#230424,#230425)); #42602=EDGE_LOOP('',(#230426,#230427,#230428,#230429)); #42603=EDGE_LOOP('',(#230430,#230431,#230432,#230433)); #42604=EDGE_LOOP('',(#230434,#230435,#230436,#230437)); #42605=EDGE_LOOP('',(#230438,#230439,#230440,#230441)); #42606=EDGE_LOOP('',(#230442,#230443,#230444,#230445)); #42607=EDGE_LOOP('',(#230446,#230447,#230448,#230449)); #42608=EDGE_LOOP('',(#230450,#230451,#230452,#230453)); #42609=EDGE_LOOP('',(#230454,#230455,#230456,#230457)); #42610=EDGE_LOOP('',(#230458,#230459,#230460,#230461)); #42611=EDGE_LOOP('',(#230462,#230463,#230464,#230465)); #42612=EDGE_LOOP('',(#230466,#230467,#230468,#230469)); #42613=EDGE_LOOP('',(#230470,#230471,#230472,#230473)); #42614=EDGE_LOOP('',(#230474,#230475,#230476,#230477,#230478,#230479,#230480, #230481,#230482,#230483,#230484,#230485,#230486,#230487,#230488,#230489, #230490,#230491,#230492,#230493,#230494,#230495,#230496)); #42615=EDGE_LOOP('',(#230497)); #42616=EDGE_LOOP('',(#230498,#230499,#230500,#230501,#230502,#230503,#230504, #230505,#230506,#230507,#230508,#230509,#230510,#230511,#230512,#230513, #230514,#230515,#230516,#230517,#230518,#230519,#230520)); #42617=EDGE_LOOP('',(#230521)); #42618=EDGE_LOOP('',(#230522,#230523,#230524,#230525)); #42619=EDGE_LOOP('',(#230526,#230527,#230528,#230529)); #42620=EDGE_LOOP('',(#230530,#230531,#230532,#230533)); #42621=EDGE_LOOP('',(#230534,#230535,#230536,#230537)); #42622=EDGE_LOOP('',(#230538,#230539,#230540,#230541)); #42623=EDGE_LOOP('',(#230542,#230543,#230544,#230545)); #42624=EDGE_LOOP('',(#230546,#230547,#230548,#230549)); #42625=EDGE_LOOP('',(#230550,#230551,#230552,#230553)); #42626=EDGE_LOOP('',(#230554,#230555,#230556,#230557)); #42627=EDGE_LOOP('',(#230558,#230559,#230560,#230561)); #42628=EDGE_LOOP('',(#230562,#230563,#230564,#230565)); #42629=EDGE_LOOP('',(#230566,#230567,#230568,#230569)); #42630=EDGE_LOOP('',(#230570,#230571,#230572,#230573)); #42631=EDGE_LOOP('',(#230574,#230575,#230576,#230577)); #42632=EDGE_LOOP('',(#230578,#230579,#230580,#230581)); #42633=EDGE_LOOP('',(#230582,#230583,#230584,#230585)); #42634=EDGE_LOOP('',(#230586,#230587,#230588,#230589)); #42635=EDGE_LOOP('',(#230590,#230591,#230592,#230593)); #42636=EDGE_LOOP('',(#230594,#230595,#230596,#230597,#230598,#230599,#230600, #230601,#230602,#230603,#230604,#230605,#230606,#230607,#230608,#230609, #230610)); #42637=EDGE_LOOP('',(#230611)); #42638=EDGE_LOOP('',(#230612,#230613,#230614,#230615,#230616,#230617,#230618, #230619,#230620,#230621,#230622,#230623,#230624,#230625,#230626,#230627, #230628)); #42639=EDGE_LOOP('',(#230629)); #42640=EDGE_LOOP('',(#230630,#230631,#230632,#230633)); #42641=EDGE_LOOP('',(#230634,#230635,#230636,#230637)); #42642=EDGE_LOOP('',(#230638,#230639,#230640,#230641)); #42643=EDGE_LOOP('',(#230642,#230643,#230644,#230645)); #42644=EDGE_LOOP('',(#230646,#230647,#230648,#230649)); #42645=EDGE_LOOP('',(#230650,#230651,#230652,#230653)); #42646=EDGE_LOOP('',(#230654,#230655,#230656,#230657)); #42647=EDGE_LOOP('',(#230658,#230659,#230660,#230661)); #42648=EDGE_LOOP('',(#230662,#230663,#230664,#230665)); #42649=EDGE_LOOP('',(#230666,#230667,#230668,#230669)); #42650=EDGE_LOOP('',(#230670,#230671,#230672,#230673)); #42651=EDGE_LOOP('',(#230674,#230675,#230676,#230677)); #42652=EDGE_LOOP('',(#230678,#230679,#230680,#230681)); #42653=EDGE_LOOP('',(#230682,#230683,#230684,#230685)); #42654=EDGE_LOOP('',(#230686,#230687,#230688,#230689)); #42655=EDGE_LOOP('',(#230690,#230691,#230692,#230693)); #42656=EDGE_LOOP('',(#230694,#230695,#230696,#230697)); #42657=EDGE_LOOP('',(#230698,#230699,#230700,#230701)); #42658=EDGE_LOOP('',(#230702,#230703,#230704,#230705)); #42659=EDGE_LOOP('',(#230706,#230707,#230708,#230709)); #42660=EDGE_LOOP('',(#230710,#230711,#230712,#230713)); #42661=EDGE_LOOP('',(#230714,#230715,#230716,#230717)); #42662=EDGE_LOOP('',(#230718,#230719,#230720,#230721)); #42663=EDGE_LOOP('',(#230722,#230723,#230724,#230725)); #42664=EDGE_LOOP('',(#230726,#230727,#230728,#230729,#230730,#230731,#230732, #230733,#230734,#230735,#230736,#230737,#230738,#230739,#230740,#230741, #230742,#230743,#230744,#230745,#230746,#230747,#230748)); #42665=EDGE_LOOP('',(#230749)); #42666=EDGE_LOOP('',(#230750,#230751,#230752,#230753,#230754,#230755,#230756, #230757,#230758,#230759,#230760,#230761,#230762,#230763,#230764,#230765, #230766,#230767,#230768,#230769,#230770,#230771,#230772)); #42667=EDGE_LOOP('',(#230773)); #42668=EDGE_LOOP('',(#230774,#230775,#230776,#230777)); #42669=EDGE_LOOP('',(#230778,#230779,#230780,#230781)); #42670=EDGE_LOOP('',(#230782,#230783,#230784,#230785)); #42671=EDGE_LOOP('',(#230786,#230787,#230788,#230789)); #42672=EDGE_LOOP('',(#230790,#230791,#230792,#230793)); #42673=EDGE_LOOP('',(#230794,#230795,#230796,#230797)); #42674=EDGE_LOOP('',(#230798,#230799,#230800,#230801)); #42675=EDGE_LOOP('',(#230802,#230803,#230804,#230805)); #42676=EDGE_LOOP('',(#230806,#230807,#230808,#230809)); #42677=EDGE_LOOP('',(#230810,#230811,#230812,#230813)); #42678=EDGE_LOOP('',(#230814,#230815,#230816,#230817)); #42679=EDGE_LOOP('',(#230818,#230819,#230820,#230821)); #42680=EDGE_LOOP('',(#230822,#230823,#230824,#230825)); #42681=EDGE_LOOP('',(#230826,#230827,#230828,#230829)); #42682=EDGE_LOOP('',(#230830,#230831,#230832,#230833)); #42683=EDGE_LOOP('',(#230834,#230835,#230836,#230837)); #42684=EDGE_LOOP('',(#230838,#230839,#230840,#230841)); #42685=EDGE_LOOP('',(#230842,#230843,#230844,#230845)); #42686=EDGE_LOOP('',(#230846,#230847,#230848,#230849)); #42687=EDGE_LOOP('',(#230850,#230851,#230852,#230853)); #42688=EDGE_LOOP('',(#230854,#230855,#230856,#230857)); #42689=EDGE_LOOP('',(#230858,#230859,#230860,#230861)); #42690=EDGE_LOOP('',(#230862,#230863,#230864,#230865)); #42691=EDGE_LOOP('',(#230866,#230867,#230868,#230869)); #42692=EDGE_LOOP('',(#230870,#230871,#230872,#230873,#230874,#230875,#230876, #230877,#230878,#230879,#230880,#230881,#230882,#230883,#230884,#230885, #230886,#230887,#230888,#230889,#230890,#230891,#230892,#230893)); #42693=EDGE_LOOP('',(#230894,#230895,#230896,#230897,#230898,#230899,#230900, #230901,#230902,#230903,#230904,#230905,#230906,#230907,#230908,#230909, #230910,#230911,#230912,#230913,#230914,#230915,#230916,#230917)); #42694=EDGE_LOOP('',(#230918,#230919,#230920,#230921)); #42695=EDGE_LOOP('',(#230922,#230923,#230924,#230925)); #42696=EDGE_LOOP('',(#230926,#230927,#230928,#230929)); #42697=EDGE_LOOP('',(#230930,#230931,#230932,#230933)); #42698=EDGE_LOOP('',(#230934,#230935,#230936,#230937)); #42699=EDGE_LOOP('',(#230938,#230939,#230940,#230941)); #42700=EDGE_LOOP('',(#230942,#230943,#230944,#230945)); #42701=EDGE_LOOP('',(#230946,#230947,#230948,#230949)); #42702=EDGE_LOOP('',(#230950,#230951,#230952,#230953)); #42703=EDGE_LOOP('',(#230954,#230955,#230956,#230957)); #42704=EDGE_LOOP('',(#230958,#230959,#230960,#230961,#230962,#230963,#230964, #230965)); #42705=EDGE_LOOP('',(#230966)); #42706=EDGE_LOOP('',(#230967)); #42707=EDGE_LOOP('',(#230968,#230969,#230970,#230971,#230972,#230973,#230974, #230975)); #42708=EDGE_LOOP('',(#230976)); #42709=EDGE_LOOP('',(#230977)); #42710=EDGE_LOOP('',(#230978,#230979,#230980,#230981)); #42711=EDGE_LOOP('',(#230982,#230983,#230984,#230985)); #42712=EDGE_LOOP('',(#230986,#230987,#230988,#230989)); #42713=EDGE_LOOP('',(#230990,#230991,#230992,#230993)); #42714=EDGE_LOOP('',(#230994,#230995,#230996,#230997)); #42715=EDGE_LOOP('',(#230998,#230999,#231000,#231001)); #42716=EDGE_LOOP('',(#231002)); #42717=EDGE_LOOP('',(#231003,#231004,#231005,#231006)); #42718=EDGE_LOOP('',(#231007)); #42719=EDGE_LOOP('',(#231008,#231009,#231010,#231011)); #42720=EDGE_LOOP('',(#231012,#231013,#231014,#231015)); #42721=EDGE_LOOP('',(#231016,#231017,#231018,#231019)); #42722=EDGE_LOOP('',(#231020,#231021,#231022,#231023)); #42723=EDGE_LOOP('',(#231024,#231025,#231026,#231027)); #42724=EDGE_LOOP('',(#231028,#231029,#231030,#231031)); #42725=EDGE_LOOP('',(#231032)); #42726=EDGE_LOOP('',(#231033,#231034,#231035,#231036)); #42727=EDGE_LOOP('',(#231037)); #42728=EDGE_LOOP('',(#231038,#231039,#231040,#231041)); #42729=EDGE_LOOP('',(#231042,#231043,#231044,#231045)); #42730=EDGE_LOOP('',(#231046,#231047,#231048,#231049)); #42731=EDGE_LOOP('',(#231050,#231051,#231052,#231053)); #42732=EDGE_LOOP('',(#231054,#231055,#231056,#231057)); #42733=EDGE_LOOP('',(#231058,#231059,#231060,#231061)); #42734=EDGE_LOOP('',(#231062,#231063,#231064,#231065)); #42735=EDGE_LOOP('',(#231066,#231067,#231068,#231069)); #42736=EDGE_LOOP('',(#231070,#231071,#231072,#231073)); #42737=EDGE_LOOP('',(#231074,#231075,#231076,#231077)); #42738=EDGE_LOOP('',(#231078,#231079,#231080,#231081)); #42739=EDGE_LOOP('',(#231082,#231083,#231084,#231085)); #42740=EDGE_LOOP('',(#231086,#231087,#231088,#231089)); #42741=EDGE_LOOP('',(#231090,#231091,#231092,#231093)); #42742=EDGE_LOOP('',(#231094,#231095,#231096,#231097)); #42743=EDGE_LOOP('',(#231098,#231099,#231100,#231101)); #42744=EDGE_LOOP('',(#231102,#231103,#231104,#231105)); #42745=EDGE_LOOP('',(#231106,#231107,#231108,#231109)); #42746=EDGE_LOOP('',(#231110,#231111,#231112,#231113)); #42747=EDGE_LOOP('',(#231114,#231115,#231116,#231117)); #42748=EDGE_LOOP('',(#231118,#231119,#231120,#231121)); #42749=EDGE_LOOP('',(#231122,#231123,#231124,#231125)); #42750=EDGE_LOOP('',(#231126,#231127,#231128,#231129)); #42751=EDGE_LOOP('',(#231130,#231131,#231132,#231133)); #42752=EDGE_LOOP('',(#231134,#231135,#231136,#231137)); #42753=EDGE_LOOP('',(#231138,#231139,#231140,#231141)); #42754=EDGE_LOOP('',(#231142,#231143,#231144,#231145)); #42755=EDGE_LOOP('',(#231146,#231147,#231148,#231149)); #42756=EDGE_LOOP('',(#231150,#231151,#231152,#231153)); #42757=EDGE_LOOP('',(#231154,#231155,#231156,#231157)); #42758=EDGE_LOOP('',(#231158,#231159,#231160,#231161)); #42759=EDGE_LOOP('',(#231162,#231163,#231164,#231165)); #42760=EDGE_LOOP('',(#231166,#231167,#231168,#231169)); #42761=EDGE_LOOP('',(#231170,#231171,#231172,#231173)); #42762=EDGE_LOOP('',(#231174,#231175,#231176,#231177)); #42763=EDGE_LOOP('',(#231178,#231179,#231180,#231181)); #42764=EDGE_LOOP('',(#231182,#231183,#231184,#231185)); #42765=EDGE_LOOP('',(#231186,#231187,#231188,#231189)); #42766=EDGE_LOOP('',(#231190,#231191,#231192,#231193)); #42767=EDGE_LOOP('',(#231194,#231195,#231196,#231197)); #42768=EDGE_LOOP('',(#231198,#231199,#231200,#231201)); #42769=EDGE_LOOP('',(#231202,#231203,#231204,#231205)); #42770=EDGE_LOOP('',(#231206,#231207,#231208,#231209)); #42771=EDGE_LOOP('',(#231210,#231211,#231212,#231213)); #42772=EDGE_LOOP('',(#231214,#231215,#231216,#231217,#231218,#231219,#231220, #231221,#231222,#231223,#231224,#231225,#231226,#231227,#231228,#231229, #231230,#231231,#231232,#231233,#231234,#231235,#231236,#231237,#231238, #231239,#231240,#231241,#231242,#231243,#231244,#231245,#231246,#231247, #231248,#231249,#231250,#231251,#231252,#231253,#231254,#231255,#231256)); #42773=EDGE_LOOP('',(#231257)); #42774=EDGE_LOOP('',(#231258,#231259,#231260,#231261,#231262,#231263,#231264, #231265,#231266,#231267,#231268,#231269,#231270,#231271,#231272,#231273, #231274,#231275,#231276,#231277,#231278,#231279,#231280,#231281,#231282, #231283,#231284,#231285,#231286,#231287,#231288,#231289,#231290,#231291, #231292,#231293,#231294,#231295,#231296,#231297,#231298,#231299,#231300)); #42775=EDGE_LOOP('',(#231301)); #42776=EDGE_LOOP('',(#231302,#231303,#231304,#231305)); #42777=EDGE_LOOP('',(#231306,#231307,#231308,#231309)); #42778=EDGE_LOOP('',(#231310,#231311,#231312,#231313)); #42779=EDGE_LOOP('',(#231314,#231315,#231316,#231317)); #42780=EDGE_LOOP('',(#231318,#231319,#231320,#231321)); #42781=EDGE_LOOP('',(#231322,#231323,#231324,#231325)); #42782=EDGE_LOOP('',(#231326,#231327,#231328,#231329)); #42783=EDGE_LOOP('',(#231330,#231331,#231332,#231333)); #42784=EDGE_LOOP('',(#231334,#231335,#231336,#231337)); #42785=EDGE_LOOP('',(#231338,#231339,#231340,#231341)); #42786=EDGE_LOOP('',(#231342,#231343,#231344,#231345)); #42787=EDGE_LOOP('',(#231346,#231347,#231348,#231349)); #42788=EDGE_LOOP('',(#231350,#231351,#231352,#231353)); #42789=EDGE_LOOP('',(#231354,#231355,#231356,#231357)); #42790=EDGE_LOOP('',(#231358,#231359,#231360,#231361)); #42791=EDGE_LOOP('',(#231362,#231363,#231364,#231365)); #42792=EDGE_LOOP('',(#231366,#231367,#231368,#231369)); #42793=EDGE_LOOP('',(#231370,#231371,#231372,#231373)); #42794=EDGE_LOOP('',(#231374,#231375,#231376,#231377)); #42795=EDGE_LOOP('',(#231378,#231379,#231380,#231381)); #42796=EDGE_LOOP('',(#231382,#231383,#231384,#231385)); #42797=EDGE_LOOP('',(#231386,#231387,#231388,#231389)); #42798=EDGE_LOOP('',(#231390,#231391,#231392,#231393,#231394,#231395,#231396, #231397,#231398,#231399,#231400,#231401,#231402,#231403,#231404,#231405, #231406,#231407,#231408,#231409,#231410)); #42799=EDGE_LOOP('',(#231411)); #42800=EDGE_LOOP('',(#231412,#231413,#231414,#231415,#231416,#231417,#231418, #231419,#231420,#231421,#231422,#231423,#231424,#231425,#231426,#231427, #231428,#231429,#231430,#231431,#231432)); #42801=EDGE_LOOP('',(#231433)); #42802=EDGE_LOOP('',(#231434,#231435,#231436,#231437)); #42803=EDGE_LOOP('',(#231438,#231439,#231440,#231441)); #42804=EDGE_LOOP('',(#231442,#231443,#231444,#231445)); #42805=EDGE_LOOP('',(#231446,#231447,#231448,#231449)); #42806=EDGE_LOOP('',(#231450,#231451,#231452,#231453)); #42807=EDGE_LOOP('',(#231454,#231455,#231456,#231457)); #42808=EDGE_LOOP('',(#231458)); #42809=EDGE_LOOP('',(#231459,#231460,#231461,#231462)); #42810=EDGE_LOOP('',(#231463)); #42811=EDGE_LOOP('',(#231464,#231465,#231466,#231467)); #42812=EDGE_LOOP('',(#231468,#231469,#231470,#231471)); #42813=EDGE_LOOP('',(#231472,#231473,#231474,#231475)); #42814=EDGE_LOOP('',(#231476,#231477,#231478,#231479)); #42815=EDGE_LOOP('',(#231480,#231481,#231482,#231483)); #42816=EDGE_LOOP('',(#231484,#231485,#231486,#231487)); #42817=EDGE_LOOP('',(#231488)); #42818=EDGE_LOOP('',(#231489,#231490,#231491,#231492)); #42819=EDGE_LOOP('',(#231493)); #42820=EDGE_LOOP('',(#231494,#231495,#231496,#231497)); #42821=EDGE_LOOP('',(#231498,#231499,#231500,#231501)); #42822=EDGE_LOOP('',(#231502,#231503,#231504,#231505)); #42823=EDGE_LOOP('',(#231506,#231507,#231508,#231509)); #42824=EDGE_LOOP('',(#231510,#231511,#231512,#231513)); #42825=EDGE_LOOP('',(#231514,#231515,#231516,#231517)); #42826=EDGE_LOOP('',(#231518,#231519,#231520,#231521)); #42827=EDGE_LOOP('',(#231522,#231523,#231524,#231525)); #42828=EDGE_LOOP('',(#231526,#231527,#231528,#231529)); #42829=EDGE_LOOP('',(#231530,#231531,#231532,#231533)); #42830=EDGE_LOOP('',(#231534,#231535,#231536,#231537)); #42831=EDGE_LOOP('',(#231538,#231539,#231540,#231541)); #42832=EDGE_LOOP('',(#231542,#231543,#231544,#231545)); #42833=EDGE_LOOP('',(#231546,#231547,#231548,#231549)); #42834=EDGE_LOOP('',(#231550,#231551,#231552,#231553)); #42835=EDGE_LOOP('',(#231554,#231555,#231556,#231557,#231558,#231559,#231560, #231561,#231562,#231563,#231564,#231565,#231566,#231567)); #42836=EDGE_LOOP('',(#231568)); #42837=EDGE_LOOP('',(#231569,#231570,#231571,#231572,#231573,#231574,#231575, #231576,#231577,#231578,#231579,#231580,#231581,#231582)); #42838=EDGE_LOOP('',(#231583)); #42839=EDGE_LOOP('',(#231584,#231585,#231586,#231587)); #42840=EDGE_LOOP('',(#231588,#231589,#231590,#231591)); #42841=EDGE_LOOP('',(#231592,#231593,#231594,#231595)); #42842=EDGE_LOOP('',(#231596,#231597,#231598,#231599)); #42843=EDGE_LOOP('',(#231600,#231601,#231602)); #42844=EDGE_LOOP('',(#231603)); #42845=EDGE_LOOP('',(#231604,#231605,#231606)); #42846=EDGE_LOOP('',(#231607)); #42847=EDGE_LOOP('',(#231608,#231609,#231610,#231611)); #42848=EDGE_LOOP('',(#231612,#231613,#231614,#231615)); #42849=EDGE_LOOP('',(#231616,#231617,#231618,#231619)); #42850=EDGE_LOOP('',(#231620,#231621,#231622,#231623)); #42851=EDGE_LOOP('',(#231624,#231625,#231626,#231627)); #42852=EDGE_LOOP('',(#231628,#231629,#231630,#231631)); #42853=EDGE_LOOP('',(#231632,#231633,#231634,#231635)); #42854=EDGE_LOOP('',(#231636,#231637,#231638,#231639)); #42855=EDGE_LOOP('',(#231640,#231641,#231642,#231643)); #42856=EDGE_LOOP('',(#231644,#231645,#231646,#231647,#231648,#231649,#231650)); #42857=EDGE_LOOP('',(#231651)); #42858=EDGE_LOOP('',(#231652)); #42859=EDGE_LOOP('',(#231653,#231654,#231655,#231656,#231657,#231658,#231659)); #42860=EDGE_LOOP('',(#231660)); #42861=EDGE_LOOP('',(#231661)); #42862=EDGE_LOOP('',(#231662,#231663,#231664,#231665)); #42863=EDGE_LOOP('',(#231666,#231667,#231668,#231669)); #42864=EDGE_LOOP('',(#231670,#231671,#231672,#231673)); #42865=EDGE_LOOP('',(#231674,#231675,#231676,#231677)); #42866=EDGE_LOOP('',(#231678,#231679,#231680)); #42867=EDGE_LOOP('',(#231681)); #42868=EDGE_LOOP('',(#231682,#231683,#231684)); #42869=EDGE_LOOP('',(#231685)); #42870=EDGE_LOOP('',(#231686,#231687,#231688,#231689)); #42871=EDGE_LOOP('',(#231690,#231691,#231692,#231693)); #42872=EDGE_LOOP('',(#231694,#231695,#231696,#231697)); #42873=EDGE_LOOP('',(#231698,#231699,#231700,#231701)); #42874=EDGE_LOOP('',(#231702,#231703,#231704,#231705)); #42875=EDGE_LOOP('',(#231706,#231707,#231708,#231709)); #42876=EDGE_LOOP('',(#231710)); #42877=EDGE_LOOP('',(#231711,#231712,#231713,#231714)); #42878=EDGE_LOOP('',(#231715)); #42879=EDGE_LOOP('',(#231716,#231717,#231718,#231719)); #42880=EDGE_LOOP('',(#231720,#231721,#231722,#231723)); #42881=EDGE_LOOP('',(#231724,#231725,#231726,#231727)); #42882=EDGE_LOOP('',(#231728,#231729,#231730,#231731)); #42883=EDGE_LOOP('',(#231732,#231733,#231734,#231735)); #42884=EDGE_LOOP('',(#231736,#231737,#231738,#231739)); #42885=EDGE_LOOP('',(#231740,#231741,#231742,#231743)); #42886=EDGE_LOOP('',(#231744,#231745,#231746,#231747)); #42887=EDGE_LOOP('',(#231748,#231749,#231750,#231751)); #42888=EDGE_LOOP('',(#231752,#231753,#231754,#231755,#231756,#231757,#231758, #231759)); #42889=EDGE_LOOP('',(#231760)); #42890=EDGE_LOOP('',(#231761,#231762,#231763,#231764,#231765,#231766,#231767, #231768)); #42891=EDGE_LOOP('',(#231769)); #42892=EDGE_LOOP('',(#231770,#231771,#231772,#231773)); #42893=EDGE_LOOP('',(#231774,#231775,#231776,#231777)); #42894=EDGE_LOOP('',(#231778,#231779,#231780,#231781)); #42895=EDGE_LOOP('',(#231782,#231783,#231784,#231785)); #42896=EDGE_LOOP('',(#231786,#231787,#231788)); #42897=EDGE_LOOP('',(#231789)); #42898=EDGE_LOOP('',(#231790,#231791,#231792)); #42899=EDGE_LOOP('',(#231793)); #42900=EDGE_LOOP('',(#231794,#231795,#231796,#231797)); #42901=EDGE_LOOP('',(#231798,#231799,#231800,#231801)); #42902=EDGE_LOOP('',(#231802,#231803,#231804,#231805)); #42903=EDGE_LOOP('',(#231806,#231807,#231808,#231809)); #42904=EDGE_LOOP('',(#231810,#231811,#231812,#231813)); #42905=EDGE_LOOP('',(#231814,#231815,#231816,#231817)); #42906=EDGE_LOOP('',(#231818,#231819,#231820,#231821)); #42907=EDGE_LOOP('',(#231822,#231823,#231824,#231825)); #42908=EDGE_LOOP('',(#231826,#231827,#231828,#231829)); #42909=EDGE_LOOP('',(#231830,#231831,#231832,#231833)); #42910=EDGE_LOOP('',(#231834,#231835,#231836,#231837)); #42911=EDGE_LOOP('',(#231838,#231839,#231840,#231841)); #42912=EDGE_LOOP('',(#231842,#231843,#231844,#231845)); #42913=EDGE_LOOP('',(#231846,#231847,#231848,#231849)); #42914=EDGE_LOOP('',(#231850,#231851,#231852,#231853)); #42915=EDGE_LOOP('',(#231854,#231855,#231856,#231857)); #42916=EDGE_LOOP('',(#231858,#231859,#231860,#231861)); #42917=EDGE_LOOP('',(#231862,#231863,#231864,#231865)); #42918=EDGE_LOOP('',(#231866,#231867,#231868,#231869)); #42919=EDGE_LOOP('',(#231870,#231871,#231872,#231873)); #42920=EDGE_LOOP('',(#231874,#231875,#231876,#231877)); #42921=EDGE_LOOP('',(#231878,#231879,#231880,#231881)); #42922=EDGE_LOOP('',(#231882,#231883,#231884,#231885)); #42923=EDGE_LOOP('',(#231886,#231887,#231888,#231889)); #42924=EDGE_LOOP('',(#231890,#231891,#231892,#231893)); #42925=EDGE_LOOP('',(#231894,#231895,#231896,#231897)); #42926=EDGE_LOOP('',(#231898,#231899,#231900,#231901)); #42927=EDGE_LOOP('',(#231902,#231903,#231904,#231905)); #42928=EDGE_LOOP('',(#231906,#231907,#231908,#231909)); #42929=EDGE_LOOP('',(#231910,#231911,#231912,#231913)); #42930=EDGE_LOOP('',(#231914,#231915,#231916,#231917)); #42931=EDGE_LOOP('',(#231918,#231919,#231920,#231921)); #42932=EDGE_LOOP('',(#231922,#231923,#231924,#231925)); #42933=EDGE_LOOP('',(#231926,#231927,#231928,#231929)); #42934=EDGE_LOOP('',(#231930,#231931,#231932,#231933)); #42935=EDGE_LOOP('',(#231934,#231935,#231936,#231937)); #42936=EDGE_LOOP('',(#231938,#231939,#231940,#231941)); #42937=EDGE_LOOP('',(#231942,#231943,#231944,#231945)); #42938=EDGE_LOOP('',(#231946,#231947,#231948,#231949)); #42939=EDGE_LOOP('',(#231950,#231951,#231952,#231953)); #42940=EDGE_LOOP('',(#231954,#231955,#231956,#231957)); #42941=EDGE_LOOP('',(#231958,#231959,#231960,#231961)); #42942=EDGE_LOOP('',(#231962,#231963,#231964,#231965)); #42943=EDGE_LOOP('',(#231966,#231967,#231968,#231969)); #42944=EDGE_LOOP('',(#231970,#231971,#231972,#231973,#231974,#231975,#231976, #231977,#231978,#231979,#231980,#231981,#231982,#231983,#231984,#231985, #231986,#231987,#231988,#231989,#231990,#231991,#231992,#231993,#231994, #231995,#231996,#231997,#231998,#231999,#232000,#232001,#232002,#232003, #232004,#232005,#232006,#232007,#232008,#232009,#232010,#232011,#232012)); #42945=EDGE_LOOP('',(#232013)); #42946=EDGE_LOOP('',(#232014,#232015,#232016,#232017,#232018,#232019,#232020, #232021,#232022,#232023,#232024,#232025,#232026,#232027,#232028,#232029, #232030,#232031,#232032,#232033,#232034,#232035,#232036,#232037,#232038, #232039,#232040,#232041,#232042,#232043,#232044,#232045,#232046,#232047, #232048,#232049,#232050,#232051,#232052,#232053,#232054,#232055,#232056)); #42947=EDGE_LOOP('',(#232057)); #42948=EDGE_LOOP('',(#232058,#232059,#232060,#232061)); #42949=EDGE_LOOP('',(#232062,#232063,#232064,#232065)); #42950=EDGE_LOOP('',(#232066,#232067,#232068,#232069)); #42951=EDGE_LOOP('',(#232070,#232071,#232072,#232073)); #42952=EDGE_LOOP('',(#232074,#232075,#232076,#232077)); #42953=EDGE_LOOP('',(#232078,#232079,#232080,#232081)); #42954=EDGE_LOOP('',(#232082,#232083,#232084,#232085)); #42955=EDGE_LOOP('',(#232086,#232087,#232088,#232089)); #42956=EDGE_LOOP('',(#232090,#232091,#232092,#232093)); #42957=EDGE_LOOP('',(#232094,#232095,#232096,#232097)); #42958=EDGE_LOOP('',(#232098,#232099,#232100,#232101)); #42959=EDGE_LOOP('',(#232102,#232103,#232104,#232105)); #42960=EDGE_LOOP('',(#232106,#232107,#232108,#232109)); #42961=EDGE_LOOP('',(#232110,#232111,#232112,#232113)); #42962=EDGE_LOOP('',(#232114,#232115,#232116,#232117)); #42963=EDGE_LOOP('',(#232118,#232119,#232120,#232121)); #42964=EDGE_LOOP('',(#232122,#232123,#232124,#232125)); #42965=EDGE_LOOP('',(#232126,#232127,#232128,#232129)); #42966=EDGE_LOOP('',(#232130,#232131,#232132,#232133)); #42967=EDGE_LOOP('',(#232134,#232135,#232136,#232137)); #42968=EDGE_LOOP('',(#232138,#232139,#232140,#232141)); #42969=EDGE_LOOP('',(#232142,#232143,#232144,#232145)); #42970=EDGE_LOOP('',(#232146,#232147,#232148,#232149,#232150,#232151,#232152, #232153,#232154,#232155,#232156,#232157,#232158,#232159,#232160,#232161, #232162,#232163,#232164,#232165,#232166)); #42971=EDGE_LOOP('',(#232167)); #42972=EDGE_LOOP('',(#232168,#232169,#232170,#232171,#232172,#232173,#232174, #232175,#232176,#232177,#232178,#232179,#232180,#232181,#232182,#232183, #232184,#232185,#232186,#232187,#232188)); #42973=EDGE_LOOP('',(#232189)); #42974=EDGE_LOOP('',(#232190,#232191,#232192,#232193)); #42975=EDGE_LOOP('',(#232194,#232195,#232196,#232197)); #42976=EDGE_LOOP('',(#232198,#232199,#232200,#232201)); #42977=EDGE_LOOP('',(#232202,#232203,#232204,#232205)); #42978=EDGE_LOOP('',(#232206,#232207,#232208,#232209)); #42979=EDGE_LOOP('',(#232210,#232211,#232212,#232213)); #42980=EDGE_LOOP('',(#232214,#232215,#232216,#232217)); #42981=EDGE_LOOP('',(#232218,#232219,#232220,#232221)); #42982=EDGE_LOOP('',(#232222,#232223,#232224,#232225)); #42983=EDGE_LOOP('',(#232226,#232227,#232228,#232229)); #42984=EDGE_LOOP('',(#232230,#232231,#232232,#232233)); #42985=EDGE_LOOP('',(#232234,#232235,#232236,#232237)); #42986=EDGE_LOOP('',(#232238,#232239,#232240,#232241,#232242,#232243,#232244, #232245,#232246,#232247,#232248,#232249)); #42987=EDGE_LOOP('',(#232250,#232251,#232252,#232253,#232254,#232255,#232256, #232257,#232258,#232259,#232260,#232261)); #42988=EDGE_LOOP('',(#232262,#232263,#232264,#232265)); #42989=EDGE_LOOP('',(#232266,#232267,#232268,#232269)); #42990=EDGE_LOOP('',(#232270,#232271,#232272,#232273)); #42991=EDGE_LOOP('',(#232274,#232275,#232276,#232277)); #42992=EDGE_LOOP('',(#232278,#232279,#232280,#232281)); #42993=EDGE_LOOP('',(#232282,#232283,#232284,#232285)); #42994=EDGE_LOOP('',(#232286,#232287,#232288,#232289)); #42995=EDGE_LOOP('',(#232290,#232291,#232292,#232293)); #42996=EDGE_LOOP('',(#232294,#232295,#232296,#232297)); #42997=EDGE_LOOP('',(#232298,#232299,#232300,#232301)); #42998=EDGE_LOOP('',(#232302,#232303,#232304,#232305)); #42999=EDGE_LOOP('',(#232306,#232307,#232308,#232309)); #43000=EDGE_LOOP('',(#232310,#232311,#232312,#232313,#232314,#232315,#232316, #232317,#232318,#232319,#232320,#232321)); #43001=EDGE_LOOP('',(#232322,#232323,#232324,#232325,#232326,#232327,#232328, #232329,#232330,#232331,#232332,#232333)); #43002=EDGE_LOOP('',(#232334,#232335,#232336,#232337)); #43003=EDGE_LOOP('',(#232338,#232339,#232340,#232341)); #43004=EDGE_LOOP('',(#232342,#232343,#232344,#232345)); #43005=EDGE_LOOP('',(#232346,#232347,#232348,#232349)); #43006=EDGE_LOOP('',(#232350,#232351,#232352,#232353)); #43007=EDGE_LOOP('',(#232354,#232355,#232356,#232357)); #43008=EDGE_LOOP('',(#232358,#232359,#232360,#232361)); #43009=EDGE_LOOP('',(#232362,#232363,#232364,#232365)); #43010=EDGE_LOOP('',(#232366,#232367,#232368,#232369)); #43011=EDGE_LOOP('',(#232370,#232371,#232372,#232373)); #43012=EDGE_LOOP('',(#232374,#232375,#232376,#232377)); #43013=EDGE_LOOP('',(#232378,#232379,#232380,#232381)); #43014=EDGE_LOOP('',(#232382,#232383,#232384,#232385)); #43015=EDGE_LOOP('',(#232386,#232387,#232388,#232389)); #43016=EDGE_LOOP('',(#232390,#232391,#232392,#232393)); #43017=EDGE_LOOP('',(#232394,#232395,#232396,#232397)); #43018=EDGE_LOOP('',(#232398,#232399,#232400,#232401)); #43019=EDGE_LOOP('',(#232402,#232403,#232404,#232405)); #43020=EDGE_LOOP('',(#232406,#232407,#232408,#232409,#232410,#232411,#232412, #232413,#232414,#232415,#232416,#232417,#232418,#232419,#232420,#232421, #232422)); #43021=EDGE_LOOP('',(#232423)); #43022=EDGE_LOOP('',(#232424,#232425,#232426,#232427,#232428,#232429,#232430, #232431,#232432,#232433,#232434,#232435,#232436,#232437,#232438,#232439, #232440)); #43023=EDGE_LOOP('',(#232441)); #43024=EDGE_LOOP('',(#232442,#232443,#232444,#232445)); #43025=EDGE_LOOP('',(#232446,#232447,#232448,#232449)); #43026=EDGE_LOOP('',(#232450,#232451,#232452,#232453)); #43027=EDGE_LOOP('',(#232454,#232455,#232456,#232457)); #43028=EDGE_LOOP('',(#232458,#232459,#232460,#232461)); #43029=EDGE_LOOP('',(#232462,#232463,#232464,#232465)); #43030=EDGE_LOOP('',(#232466,#232467,#232468,#232469)); #43031=EDGE_LOOP('',(#232470,#232471,#232472,#232473)); #43032=EDGE_LOOP('',(#232474,#232475,#232476,#232477)); #43033=EDGE_LOOP('',(#232478,#232479,#232480,#232481)); #43034=EDGE_LOOP('',(#232482,#232483,#232484,#232485)); #43035=EDGE_LOOP('',(#232486,#232487,#232488,#232489)); #43036=EDGE_LOOP('',(#232490,#232491,#232492,#232493)); #43037=EDGE_LOOP('',(#232494,#232495,#232496,#232497)); #43038=EDGE_LOOP('',(#232498,#232499,#232500,#232501)); #43039=EDGE_LOOP('',(#232502,#232503,#232504,#232505)); #43040=EDGE_LOOP('',(#232506,#232507,#232508,#232509)); #43041=EDGE_LOOP('',(#232510,#232511,#232512,#232513)); #43042=EDGE_LOOP('',(#232514,#232515,#232516,#232517)); #43043=EDGE_LOOP('',(#232518,#232519,#232520,#232521)); #43044=EDGE_LOOP('',(#232522,#232523,#232524,#232525)); #43045=EDGE_LOOP('',(#232526,#232527,#232528,#232529)); #43046=EDGE_LOOP('',(#232530,#232531,#232532,#232533)); #43047=EDGE_LOOP('',(#232534,#232535,#232536,#232537,#232538,#232539,#232540, #232541,#232542,#232543,#232544,#232545,#232546,#232547,#232548,#232549, #232550,#232551,#232552,#232553,#232554,#232555)); #43048=EDGE_LOOP('',(#232556)); #43049=EDGE_LOOP('',(#232557,#232558,#232559,#232560,#232561,#232562,#232563, #232564,#232565,#232566,#232567,#232568,#232569,#232570,#232571,#232572, #232573,#232574,#232575,#232576,#232577,#232578)); #43050=EDGE_LOOP('',(#232579)); #43051=EDGE_LOOP('',(#232580,#232581,#232582,#232583)); #43052=EDGE_LOOP('',(#232584,#232585,#232586,#232587)); #43053=EDGE_LOOP('',(#232588,#232589,#232590,#232591)); #43054=EDGE_LOOP('',(#232592,#232593,#232594,#232595)); #43055=EDGE_LOOP('',(#232596,#232597,#232598,#232599)); #43056=EDGE_LOOP('',(#232600,#232601,#232602,#232603)); #43057=EDGE_LOOP('',(#232604,#232605,#232606,#232607)); #43058=EDGE_LOOP('',(#232608,#232609,#232610,#232611)); #43059=EDGE_LOOP('',(#232612,#232613,#232614,#232615)); #43060=EDGE_LOOP('',(#232616,#232617,#232618,#232619)); #43061=EDGE_LOOP('',(#232620,#232621,#232622,#232623)); #43062=EDGE_LOOP('',(#232624,#232625,#232626,#232627)); #43063=EDGE_LOOP('',(#232628,#232629,#232630,#232631)); #43064=EDGE_LOOP('',(#232632,#232633,#232634,#232635,#232636,#232637,#232638, #232639,#232640,#232641,#232642,#232643)); #43065=EDGE_LOOP('',(#232644)); #43066=EDGE_LOOP('',(#232645,#232646,#232647,#232648,#232649,#232650,#232651, #232652,#232653,#232654,#232655,#232656)); #43067=EDGE_LOOP('',(#232657)); #43068=EDGE_LOOP('',(#232658,#232659,#232660,#232661)); #43069=EDGE_LOOP('',(#232662,#232663,#232664,#232665)); #43070=EDGE_LOOP('',(#232666,#232667,#232668,#232669)); #43071=EDGE_LOOP('',(#232670,#232671,#232672,#232673)); #43072=EDGE_LOOP('',(#232674,#232675,#232676,#232677)); #43073=EDGE_LOOP('',(#232678,#232679,#232680,#232681)); #43074=EDGE_LOOP('',(#232682,#232683,#232684,#232685)); #43075=EDGE_LOOP('',(#232686,#232687,#232688,#232689)); #43076=EDGE_LOOP('',(#232690,#232691,#232692,#232693)); #43077=EDGE_LOOP('',(#232694,#232695,#232696,#232697)); #43078=EDGE_LOOP('',(#232698,#232699,#232700,#232701)); #43079=EDGE_LOOP('',(#232702,#232703,#232704,#232705)); #43080=EDGE_LOOP('',(#232706,#232707,#232708,#232709)); #43081=EDGE_LOOP('',(#232710,#232711,#232712,#232713)); #43082=EDGE_LOOP('',(#232714,#232715,#232716,#232717)); #43083=EDGE_LOOP('',(#232718,#232719,#232720,#232721)); #43084=EDGE_LOOP('',(#232722,#232723,#232724,#232725)); #43085=EDGE_LOOP('',(#232726,#232727,#232728,#232729)); #43086=EDGE_LOOP('',(#232730,#232731,#232732,#232733)); #43087=EDGE_LOOP('',(#232734,#232735,#232736,#232737)); #43088=EDGE_LOOP('',(#232738,#232739,#232740,#232741)); #43089=EDGE_LOOP('',(#232742,#232743,#232744,#232745)); #43090=EDGE_LOOP('',(#232746,#232747,#232748,#232749)); #43091=EDGE_LOOP('',(#232750,#232751,#232752,#232753)); #43092=EDGE_LOOP('',(#232754,#232755,#232756,#232757)); #43093=EDGE_LOOP('',(#232758,#232759,#232760,#232761)); #43094=EDGE_LOOP('',(#232762,#232763,#232764,#232765)); #43095=EDGE_LOOP('',(#232766,#232767,#232768,#232769)); #43096=EDGE_LOOP('',(#232770,#232771,#232772,#232773)); #43097=EDGE_LOOP('',(#232774,#232775,#232776,#232777)); #43098=EDGE_LOOP('',(#232778,#232779,#232780,#232781)); #43099=EDGE_LOOP('',(#232782,#232783,#232784,#232785)); #43100=EDGE_LOOP('',(#232786,#232787,#232788,#232789)); #43101=EDGE_LOOP('',(#232790,#232791,#232792,#232793)); #43102=EDGE_LOOP('',(#232794,#232795,#232796,#232797)); #43103=EDGE_LOOP('',(#232798,#232799,#232800,#232801)); #43104=EDGE_LOOP('',(#232802,#232803,#232804,#232805,#232806,#232807,#232808, #232809,#232810,#232811,#232812,#232813,#232814,#232815,#232816,#232817, #232818,#232819,#232820,#232821,#232822,#232823,#232824,#232825,#232826, #232827,#232828,#232829,#232830,#232831,#232832,#232833,#232834,#232835, #232836,#232837)); #43105=EDGE_LOOP('',(#232838,#232839,#232840,#232841,#232842,#232843,#232844, #232845,#232846,#232847,#232848,#232849,#232850,#232851,#232852,#232853, #232854,#232855,#232856,#232857,#232858,#232859,#232860,#232861,#232862, #232863,#232864,#232865,#232866,#232867,#232868,#232869,#232870,#232871, #232872,#232873)); #43106=EDGE_LOOP('',(#232874,#232875,#232876,#232877)); #43107=EDGE_LOOP('',(#232878,#232879,#232880,#232881)); #43108=EDGE_LOOP('',(#232882,#232883,#232884,#232885)); #43109=EDGE_LOOP('',(#232886,#232887,#232888,#232889)); #43110=EDGE_LOOP('',(#232890,#232891,#232892,#232893)); #43111=EDGE_LOOP('',(#232894,#232895,#232896,#232897)); #43112=EDGE_LOOP('',(#232898,#232899,#232900,#232901)); #43113=EDGE_LOOP('',(#232902,#232903,#232904,#232905)); #43114=EDGE_LOOP('',(#232906,#232907,#232908,#232909)); #43115=EDGE_LOOP('',(#232910,#232911,#232912,#232913)); #43116=EDGE_LOOP('',(#232914,#232915,#232916,#232917)); #43117=EDGE_LOOP('',(#232918,#232919,#232920,#232921,#232922,#232923,#232924, #232925,#232926,#232927)); #43118=EDGE_LOOP('',(#232928)); #43119=EDGE_LOOP('',(#232929,#232930,#232931,#232932,#232933,#232934,#232935, #232936,#232937,#232938)); #43120=EDGE_LOOP('',(#232939)); #43121=EDGE_LOOP('',(#232940,#232941,#232942,#232943)); #43122=EDGE_LOOP('',(#232944,#232945,#232946,#232947)); #43123=EDGE_LOOP('',(#232948,#232949,#232950,#232951)); #43124=EDGE_LOOP('',(#232952,#232953,#232954,#232955)); #43125=EDGE_LOOP('',(#232956,#232957,#232958,#232959)); #43126=EDGE_LOOP('',(#232960,#232961,#232962,#232963)); #43127=EDGE_LOOP('',(#232964,#232965,#232966,#232967)); #43128=EDGE_LOOP('',(#232968,#232969,#232970,#232971)); #43129=EDGE_LOOP('',(#232972,#232973,#232974,#232975)); #43130=EDGE_LOOP('',(#232976,#232977,#232978,#232979)); #43131=EDGE_LOOP('',(#232980,#232981,#232982,#232983)); #43132=EDGE_LOOP('',(#232984,#232985,#232986,#232987)); #43133=EDGE_LOOP('',(#232988,#232989,#232990,#232991)); #43134=EDGE_LOOP('',(#232992,#232993,#232994,#232995)); #43135=EDGE_LOOP('',(#232996,#232997,#232998,#232999)); #43136=EDGE_LOOP('',(#233000,#233001,#233002,#233003)); #43137=EDGE_LOOP('',(#233004,#233005,#233006,#233007)); #43138=EDGE_LOOP('',(#233008,#233009,#233010,#233011)); #43139=EDGE_LOOP('',(#233012,#233013,#233014,#233015)); #43140=EDGE_LOOP('',(#233016,#233017,#233018,#233019)); #43141=EDGE_LOOP('',(#233020,#233021,#233022,#233023)); #43142=EDGE_LOOP('',(#233024,#233025,#233026,#233027)); #43143=EDGE_LOOP('',(#233028,#233029,#233030,#233031)); #43144=EDGE_LOOP('',(#233032,#233033,#233034,#233035,#233036,#233037,#233038, #233039,#233040,#233041,#233042,#233043,#233044,#233045,#233046,#233047, #233048,#233049,#233050,#233051,#233052)); #43145=EDGE_LOOP('',(#233053)); #43146=EDGE_LOOP('',(#233054)); #43147=EDGE_LOOP('',(#233055,#233056,#233057,#233058,#233059,#233060,#233061, #233062,#233063,#233064,#233065,#233066,#233067,#233068,#233069,#233070, #233071,#233072,#233073,#233074,#233075)); #43148=EDGE_LOOP('',(#233076)); #43149=EDGE_LOOP('',(#233077)); #43150=EDGE_LOOP('',(#233078,#233079,#233080,#233081)); #43151=EDGE_LOOP('',(#233082,#233083,#233084,#233085)); #43152=EDGE_LOOP('',(#233086,#233087,#233088,#233089)); #43153=EDGE_LOOP('',(#233090,#233091,#233092,#233093)); #43154=EDGE_LOOP('',(#233094,#233095,#233096,#233097)); #43155=EDGE_LOOP('',(#233098,#233099,#233100,#233101)); #43156=EDGE_LOOP('',(#233102,#233103,#233104,#233105)); #43157=EDGE_LOOP('',(#233106,#233107,#233108,#233109)); #43158=EDGE_LOOP('',(#233110,#233111,#233112,#233113)); #43159=EDGE_LOOP('',(#233114,#233115,#233116,#233117)); #43160=EDGE_LOOP('',(#233118,#233119,#233120,#233121)); #43161=EDGE_LOOP('',(#233122,#233123,#233124,#233125)); #43162=EDGE_LOOP('',(#233126,#233127,#233128,#233129)); #43163=EDGE_LOOP('',(#233130,#233131,#233132,#233133)); #43164=EDGE_LOOP('',(#233134,#233135,#233136,#233137,#233138,#233139,#233140, #233141,#233142,#233143,#233144,#233145,#233146,#233147)); #43165=EDGE_LOOP('',(#233148,#233149,#233150,#233151,#233152,#233153,#233154, #233155,#233156,#233157,#233158,#233159,#233160,#233161)); #43166=EDGE_LOOP('',(#233162,#233163,#233164,#233165)); #43167=EDGE_LOOP('',(#233166,#233167,#233168,#233169)); #43168=EDGE_LOOP('',(#233170,#233171,#233172,#233173)); #43169=EDGE_LOOP('',(#233174,#233175,#233176,#233177)); #43170=EDGE_LOOP('',(#233178,#233179,#233180,#233181)); #43171=EDGE_LOOP('',(#233182,#233183,#233184,#233185)); #43172=EDGE_LOOP('',(#233186,#233187,#233188,#233189)); #43173=EDGE_LOOP('',(#233190,#233191,#233192,#233193)); #43174=EDGE_LOOP('',(#233194,#233195,#233196,#233197)); #43175=EDGE_LOOP('',(#233198,#233199,#233200,#233201)); #43176=EDGE_LOOP('',(#233202,#233203,#233204,#233205)); #43177=EDGE_LOOP('',(#233206,#233207,#233208,#233209)); #43178=EDGE_LOOP('',(#233210,#233211,#233212,#233213)); #43179=EDGE_LOOP('',(#233214,#233215,#233216,#233217)); #43180=EDGE_LOOP('',(#233218,#233219,#233220,#233221)); #43181=EDGE_LOOP('',(#233222,#233223,#233224,#233225)); #43182=EDGE_LOOP('',(#233226,#233227,#233228,#233229)); #43183=EDGE_LOOP('',(#233230,#233231,#233232,#233233)); #43184=EDGE_LOOP('',(#233234,#233235,#233236,#233237)); #43185=EDGE_LOOP('',(#233238,#233239,#233240,#233241)); #43186=EDGE_LOOP('',(#233242,#233243,#233244,#233245)); #43187=EDGE_LOOP('',(#233246,#233247,#233248,#233249)); #43188=EDGE_LOOP('',(#233250,#233251,#233252,#233253)); #43189=EDGE_LOOP('',(#233254,#233255,#233256,#233257)); #43190=EDGE_LOOP('',(#233258,#233259,#233260,#233261)); #43191=EDGE_LOOP('',(#233262,#233263,#233264,#233265)); #43192=EDGE_LOOP('',(#233266,#233267,#233268,#233269)); #43193=EDGE_LOOP('',(#233270,#233271,#233272,#233273)); #43194=EDGE_LOOP('',(#233274,#233275,#233276,#233277)); #43195=EDGE_LOOP('',(#233278,#233279,#233280,#233281)); #43196=EDGE_LOOP('',(#233282,#233283,#233284,#233285)); #43197=EDGE_LOOP('',(#233286,#233287,#233288,#233289)); #43198=EDGE_LOOP('',(#233290,#233291,#233292,#233293)); #43199=EDGE_LOOP('',(#233294,#233295,#233296,#233297)); #43200=EDGE_LOOP('',(#233298,#233299,#233300,#233301)); #43201=EDGE_LOOP('',(#233302,#233303,#233304,#233305)); #43202=EDGE_LOOP('',(#233306,#233307,#233308,#233309)); #43203=EDGE_LOOP('',(#233310,#233311,#233312,#233313)); #43204=EDGE_LOOP('',(#233314,#233315,#233316,#233317)); #43205=EDGE_LOOP('',(#233318,#233319,#233320,#233321)); #43206=EDGE_LOOP('',(#233322,#233323,#233324,#233325)); #43207=EDGE_LOOP('',(#233326,#233327,#233328,#233329)); #43208=EDGE_LOOP('',(#233330,#233331,#233332,#233333)); #43209=EDGE_LOOP('',(#233334,#233335,#233336,#233337)); #43210=EDGE_LOOP('',(#233338,#233339,#233340,#233341)); #43211=EDGE_LOOP('',(#233342,#233343,#233344,#233345)); #43212=EDGE_LOOP('',(#233346,#233347,#233348,#233349)); #43213=EDGE_LOOP('',(#233350,#233351,#233352,#233353)); #43214=EDGE_LOOP('',(#233354,#233355,#233356,#233357)); #43215=EDGE_LOOP('',(#233358,#233359,#233360,#233361)); #43216=EDGE_LOOP('',(#233362,#233363,#233364,#233365)); #43217=EDGE_LOOP('',(#233366,#233367,#233368,#233369)); #43218=EDGE_LOOP('',(#233370,#233371,#233372,#233373)); #43219=EDGE_LOOP('',(#233374,#233375,#233376,#233377)); #43220=EDGE_LOOP('',(#233378,#233379,#233380,#233381)); #43221=EDGE_LOOP('',(#233382,#233383,#233384,#233385)); #43222=EDGE_LOOP('',(#233386,#233387,#233388,#233389)); #43223=EDGE_LOOP('',(#233390,#233391,#233392,#233393)); #43224=EDGE_LOOP('',(#233394,#233395,#233396,#233397)); #43225=EDGE_LOOP('',(#233398,#233399,#233400,#233401)); #43226=EDGE_LOOP('',(#233402,#233403,#233404,#233405)); #43227=EDGE_LOOP('',(#233406,#233407,#233408,#233409)); #43228=EDGE_LOOP('',(#233410,#233411,#233412,#233413)); #43229=EDGE_LOOP('',(#233414,#233415,#233416,#233417)); #43230=EDGE_LOOP('',(#233418,#233419,#233420,#233421)); #43231=EDGE_LOOP('',(#233422,#233423,#233424,#233425)); #43232=EDGE_LOOP('',(#233426,#233427,#233428,#233429)); #43233=EDGE_LOOP('',(#233430,#233431,#233432,#233433)); #43234=EDGE_LOOP('',(#233434,#233435,#233436,#233437)); #43235=EDGE_LOOP('',(#233438,#233439,#233440,#233441)); #43236=EDGE_LOOP('',(#233442,#233443,#233444,#233445)); #43237=EDGE_LOOP('',(#233446,#233447,#233448,#233449)); #43238=EDGE_LOOP('',(#233450,#233451,#233452,#233453)); #43239=EDGE_LOOP('',(#233454,#233455,#233456,#233457)); #43240=EDGE_LOOP('',(#233458,#233459,#233460,#233461)); #43241=EDGE_LOOP('',(#233462,#233463,#233464,#233465)); #43242=EDGE_LOOP('',(#233466,#233467,#233468,#233469)); #43243=EDGE_LOOP('',(#233470,#233471,#233472,#233473)); #43244=EDGE_LOOP('',(#233474,#233475,#233476,#233477)); #43245=EDGE_LOOP('',(#233478,#233479,#233480,#233481)); #43246=EDGE_LOOP('',(#233482,#233483,#233484,#233485)); #43247=EDGE_LOOP('',(#233486,#233487,#233488,#233489)); #43248=EDGE_LOOP('',(#233490,#233491,#233492,#233493)); #43249=EDGE_LOOP('',(#233494,#233495,#233496,#233497)); #43250=EDGE_LOOP('',(#233498,#233499,#233500,#233501)); #43251=EDGE_LOOP('',(#233502,#233503,#233504,#233505)); #43252=EDGE_LOOP('',(#233506,#233507,#233508,#233509)); #43253=EDGE_LOOP('',(#233510,#233511,#233512,#233513)); #43254=EDGE_LOOP('',(#233514,#233515,#233516,#233517)); #43255=EDGE_LOOP('',(#233518,#233519,#233520,#233521)); #43256=EDGE_LOOP('',(#233522,#233523,#233524,#233525)); #43257=EDGE_LOOP('',(#233526,#233527,#233528,#233529)); #43258=EDGE_LOOP('',(#233530,#233531,#233532,#233533)); #43259=EDGE_LOOP('',(#233534,#233535,#233536,#233537)); #43260=EDGE_LOOP('',(#233538,#233539,#233540,#233541)); #43261=EDGE_LOOP('',(#233542,#233543,#233544,#233545)); #43262=EDGE_LOOP('',(#233546,#233547,#233548,#233549)); #43263=EDGE_LOOP('',(#233550,#233551,#233552,#233553)); #43264=EDGE_LOOP('',(#233554,#233555,#233556,#233557)); #43265=EDGE_LOOP('',(#233558,#233559,#233560,#233561)); #43266=EDGE_LOOP('',(#233562,#233563,#233564,#233565)); #43267=EDGE_LOOP('',(#233566,#233567,#233568,#233569)); #43268=EDGE_LOOP('',(#233570,#233571,#233572,#233573)); #43269=EDGE_LOOP('',(#233574,#233575,#233576,#233577)); #43270=EDGE_LOOP('',(#233578,#233579,#233580,#233581)); #43271=EDGE_LOOP('',(#233582,#233583,#233584,#233585)); #43272=EDGE_LOOP('',(#233586,#233587,#233588,#233589)); #43273=EDGE_LOOP('',(#233590,#233591,#233592,#233593)); #43274=EDGE_LOOP('',(#233594,#233595,#233596,#233597)); #43275=EDGE_LOOP('',(#233598,#233599,#233600,#233601)); #43276=EDGE_LOOP('',(#233602,#233603,#233604,#233605)); #43277=EDGE_LOOP('',(#233606,#233607,#233608,#233609,#233610,#233611,#233612, #233613,#233614,#233615,#233616,#233617,#233618,#233619,#233620,#233621, #233622,#233623,#233624,#233625,#233626,#233627,#233628,#233629,#233630, #233631,#233632,#233633,#233634,#233635,#233636,#233637,#233638,#233639, #233640,#233641,#233642,#233643,#233644,#233645,#233646,#233647,#233648, #233649,#233650,#233651,#233652,#233653,#233654,#233655,#233656,#233657, #233658,#233659,#233660,#233661,#233662,#233663,#233664,#233665,#233666, #233667,#233668,#233669,#233670,#233671,#233672,#233673,#233674,#233675, #233676,#233677,#233678,#233679,#233680,#233681,#233682,#233683,#233684, #233685,#233686,#233687)); #43278=EDGE_LOOP('',(#233688)); #43279=EDGE_LOOP('',(#233689)); #43280=EDGE_LOOP('',(#233690)); #43281=EDGE_LOOP('',(#233691)); #43282=EDGE_LOOP('',(#233692)); #43283=EDGE_LOOP('',(#233693)); #43284=EDGE_LOOP('',(#233694)); #43285=EDGE_LOOP('',(#233695)); #43286=EDGE_LOOP('',(#233696)); #43287=EDGE_LOOP('',(#233697)); #43288=EDGE_LOOP('',(#233698)); #43289=EDGE_LOOP('',(#233699)); #43290=EDGE_LOOP('',(#233700)); #43291=EDGE_LOOP('',(#233701,#233702,#233703,#233704,#233705,#233706,#233707, #233708,#233709,#233710,#233711,#233712,#233713,#233714,#233715,#233716)); #43292=EDGE_LOOP('',(#233717,#233718,#233719,#233720,#233721,#233722,#233723, #233724,#233725,#233726,#233727,#233728,#233729,#233730,#233731,#233732, #233733,#233734,#233735,#233736,#233737,#233738,#233739,#233740,#233741, #233742,#233743,#233744,#233745,#233746,#233747,#233748,#233749,#233750, #233751,#233752,#233753,#233754,#233755,#233756,#233757,#233758,#233759, #233760,#233761,#233762,#233763,#233764,#233765,#233766,#233767,#233768, #233769,#233770,#233771,#233772,#233773,#233774,#233775,#233776,#233777, #233778,#233779,#233780,#233781,#233782,#233783,#233784,#233785,#233786, #233787,#233788,#233789,#233790,#233791,#233792,#233793,#233794,#233795, #233796,#233797,#233798)); #43293=EDGE_LOOP('',(#233799)); #43294=EDGE_LOOP('',(#233800)); #43295=EDGE_LOOP('',(#233801)); #43296=EDGE_LOOP('',(#233802)); #43297=EDGE_LOOP('',(#233803)); #43298=EDGE_LOOP('',(#233804)); #43299=EDGE_LOOP('',(#233805)); #43300=EDGE_LOOP('',(#233806)); #43301=EDGE_LOOP('',(#233807)); #43302=EDGE_LOOP('',(#233808)); #43303=EDGE_LOOP('',(#233809)); #43304=EDGE_LOOP('',(#233810)); #43305=EDGE_LOOP('',(#233811)); #43306=EDGE_LOOP('',(#233812,#233813,#233814,#233815,#233816,#233817,#233818, #233819,#233820,#233821,#233822,#233823,#233824,#233825,#233826,#233827)); #43307=EDGE_LOOP('',(#233828,#233829,#233830,#233831)); #43308=EDGE_LOOP('',(#233832,#233833,#233834,#233835)); #43309=EDGE_LOOP('',(#233836,#233837,#233838,#233839)); #43310=EDGE_LOOP('',(#233840,#233841,#233842,#233843)); #43311=EDGE_LOOP('',(#233844,#233845,#233846)); #43312=EDGE_LOOP('',(#233847)); #43313=EDGE_LOOP('',(#233848,#233849,#233850)); #43314=EDGE_LOOP('',(#233851)); #43315=EDGE_LOOP('',(#233852,#233853,#233854,#233855)); #43316=EDGE_LOOP('',(#233856,#233857,#233858,#233859)); #43317=EDGE_LOOP('',(#233860,#233861,#233862,#233863)); #43318=EDGE_LOOP('',(#233864,#233865,#233866,#233867)); #43319=EDGE_LOOP('',(#233868,#233869,#233870,#233871)); #43320=EDGE_LOOP('',(#233872,#233873,#233874,#233875)); #43321=EDGE_LOOP('',(#233876,#233877,#233878,#233879)); #43322=EDGE_LOOP('',(#233880,#233881,#233882,#233883)); #43323=EDGE_LOOP('',(#233884,#233885,#233886,#233887)); #43324=EDGE_LOOP('',(#233888,#233889,#233890,#233891)); #43325=EDGE_LOOP('',(#233892,#233893,#233894,#233895)); #43326=EDGE_LOOP('',(#233896,#233897,#233898,#233899)); #43327=EDGE_LOOP('',(#233900,#233901,#233902,#233903)); #43328=EDGE_LOOP('',(#233904,#233905,#233906,#233907)); #43329=EDGE_LOOP('',(#233908,#233909,#233910,#233911)); #43330=EDGE_LOOP('',(#233912,#233913,#233914,#233915)); #43331=EDGE_LOOP('',(#233916,#233917,#233918,#233919)); #43332=EDGE_LOOP('',(#233920,#233921,#233922,#233923)); #43333=EDGE_LOOP('',(#233924,#233925,#233926,#233927)); #43334=EDGE_LOOP('',(#233928,#233929,#233930,#233931)); #43335=EDGE_LOOP('',(#233932,#233933,#233934,#233935)); #43336=EDGE_LOOP('',(#233936,#233937,#233938,#233939)); #43337=EDGE_LOOP('',(#233940,#233941,#233942,#233943)); #43338=EDGE_LOOP('',(#233944,#233945,#233946,#233947)); #43339=EDGE_LOOP('',(#233948,#233949,#233950,#233951)); #43340=EDGE_LOOP('',(#233952,#233953,#233954,#233955)); #43341=EDGE_LOOP('',(#233956,#233957,#233958,#233959)); #43342=EDGE_LOOP('',(#233960,#233961,#233962,#233963)); #43343=EDGE_LOOP('',(#233964,#233965,#233966,#233967)); #43344=EDGE_LOOP('',(#233968,#233969,#233970,#233971)); #43345=EDGE_LOOP('',(#233972,#233973,#233974,#233975)); #43346=EDGE_LOOP('',(#233976,#233977,#233978,#233979)); #43347=EDGE_LOOP('',(#233980,#233981,#233982,#233983)); #43348=EDGE_LOOP('',(#233984,#233985,#233986,#233987)); #43349=EDGE_LOOP('',(#233988,#233989,#233990,#233991)); #43350=EDGE_LOOP('',(#233992,#233993,#233994,#233995)); #43351=EDGE_LOOP('',(#233996,#233997,#233998,#233999)); #43352=EDGE_LOOP('',(#234000,#234001,#234002,#234003)); #43353=EDGE_LOOP('',(#234004,#234005,#234006,#234007)); #43354=EDGE_LOOP('',(#234008,#234009,#234010,#234011)); #43355=EDGE_LOOP('',(#234012,#234013,#234014,#234015)); #43356=EDGE_LOOP('',(#234016,#234017,#234018,#234019)); #43357=EDGE_LOOP('',(#234020,#234021,#234022,#234023)); #43358=EDGE_LOOP('',(#234024,#234025,#234026,#234027)); #43359=EDGE_LOOP('',(#234028,#234029,#234030,#234031)); #43360=EDGE_LOOP('',(#234032,#234033,#234034,#234035)); #43361=EDGE_LOOP('',(#234036,#234037,#234038,#234039)); #43362=EDGE_LOOP('',(#234040,#234041,#234042,#234043)); #43363=EDGE_LOOP('',(#234044,#234045,#234046,#234047)); #43364=EDGE_LOOP('',(#234048,#234049,#234050,#234051)); #43365=EDGE_LOOP('',(#234052,#234053,#234054,#234055)); #43366=EDGE_LOOP('',(#234056,#234057,#234058,#234059)); #43367=EDGE_LOOP('',(#234060,#234061,#234062,#234063)); #43368=EDGE_LOOP('',(#234064,#234065,#234066,#234067)); #43369=EDGE_LOOP('',(#234068,#234069,#234070,#234071)); #43370=EDGE_LOOP('',(#234072,#234073,#234074,#234075)); #43371=EDGE_LOOP('',(#234076,#234077,#234078,#234079)); #43372=EDGE_LOOP('',(#234080,#234081,#234082,#234083)); #43373=EDGE_LOOP('',(#234084,#234085,#234086,#234087)); #43374=EDGE_LOOP('',(#234088,#234089,#234090,#234091)); #43375=EDGE_LOOP('',(#234092,#234093,#234094,#234095)); #43376=EDGE_LOOP('',(#234096,#234097,#234098,#234099)); #43377=EDGE_LOOP('',(#234100,#234101,#234102,#234103)); #43378=EDGE_LOOP('',(#234104,#234105,#234106,#234107)); #43379=EDGE_LOOP('',(#234108,#234109,#234110,#234111)); #43380=EDGE_LOOP('',(#234112,#234113,#234114,#234115)); #43381=EDGE_LOOP('',(#234116,#234117,#234118,#234119)); #43382=EDGE_LOOP('',(#234120,#234121,#234122,#234123)); #43383=EDGE_LOOP('',(#234124,#234125,#234126,#234127)); #43384=EDGE_LOOP('',(#234128,#234129,#234130,#234131)); #43385=EDGE_LOOP('',(#234132,#234133,#234134,#234135)); #43386=EDGE_LOOP('',(#234136,#234137,#234138,#234139)); #43387=EDGE_LOOP('',(#234140,#234141,#234142,#234143)); #43388=EDGE_LOOP('',(#234144,#234145,#234146,#234147)); #43389=EDGE_LOOP('',(#234148,#234149,#234150,#234151)); #43390=EDGE_LOOP('',(#234152,#234153,#234154,#234155)); #43391=EDGE_LOOP('',(#234156,#234157,#234158,#234159)); #43392=EDGE_LOOP('',(#234160,#234161,#234162,#234163)); #43393=EDGE_LOOP('',(#234164,#234165,#234166,#234167)); #43394=EDGE_LOOP('',(#234168,#234169,#234170,#234171)); #43395=EDGE_LOOP('',(#234172,#234173,#234174,#234175)); #43396=EDGE_LOOP('',(#234176,#234177,#234178,#234179)); #43397=EDGE_LOOP('',(#234180,#234181,#234182,#234183)); #43398=EDGE_LOOP('',(#234184,#234185,#234186,#234187)); #43399=EDGE_LOOP('',(#234188,#234189,#234190,#234191)); #43400=EDGE_LOOP('',(#234192,#234193,#234194,#234195)); #43401=EDGE_LOOP('',(#234196,#234197,#234198,#234199)); #43402=EDGE_LOOP('',(#234200,#234201,#234202,#234203)); #43403=EDGE_LOOP('',(#234204,#234205,#234206,#234207)); #43404=EDGE_LOOP('',(#234208,#234209,#234210,#234211)); #43405=EDGE_LOOP('',(#234212,#234213,#234214,#234215)); #43406=EDGE_LOOP('',(#234216,#234217,#234218,#234219)); #43407=EDGE_LOOP('',(#234220,#234221,#234222,#234223)); #43408=EDGE_LOOP('',(#234224,#234225,#234226,#234227)); #43409=EDGE_LOOP('',(#234228,#234229,#234230,#234231)); #43410=EDGE_LOOP('',(#234232,#234233,#234234,#234235)); #43411=EDGE_LOOP('',(#234236,#234237,#234238,#234239)); #43412=EDGE_LOOP('',(#234240,#234241,#234242,#234243)); #43413=EDGE_LOOP('',(#234244,#234245,#234246,#234247)); #43414=EDGE_LOOP('',(#234248,#234249,#234250,#234251)); #43415=EDGE_LOOP('',(#234252,#234253,#234254,#234255)); #43416=EDGE_LOOP('',(#234256,#234257,#234258,#234259)); #43417=EDGE_LOOP('',(#234260,#234261,#234262,#234263)); #43418=EDGE_LOOP('',(#234264,#234265,#234266,#234267)); #43419=EDGE_LOOP('',(#234268,#234269,#234270,#234271)); #43420=EDGE_LOOP('',(#234272,#234273,#234274,#234275)); #43421=EDGE_LOOP('',(#234276,#234277,#234278,#234279)); #43422=EDGE_LOOP('',(#234280,#234281,#234282,#234283)); #43423=EDGE_LOOP('',(#234284,#234285,#234286,#234287)); #43424=EDGE_LOOP('',(#234288,#234289,#234290,#234291)); #43425=EDGE_LOOP('',(#234292,#234293,#234294,#234295)); #43426=EDGE_LOOP('',(#234296,#234297,#234298,#234299)); #43427=EDGE_LOOP('',(#234300,#234301,#234302,#234303)); #43428=EDGE_LOOP('',(#234304,#234305,#234306,#234307)); #43429=EDGE_LOOP('',(#234308,#234309,#234310,#234311)); #43430=EDGE_LOOP('',(#234312,#234313,#234314,#234315)); #43431=EDGE_LOOP('',(#234316,#234317,#234318,#234319)); #43432=EDGE_LOOP('',(#234320,#234321,#234322,#234323)); #43433=EDGE_LOOP('',(#234324,#234325,#234326,#234327)); #43434=EDGE_LOOP('',(#234328,#234329,#234330,#234331)); #43435=EDGE_LOOP('',(#234332,#234333,#234334,#234335)); #43436=EDGE_LOOP('',(#234336,#234337,#234338,#234339)); #43437=EDGE_LOOP('',(#234340,#234341,#234342,#234343)); #43438=EDGE_LOOP('',(#234344,#234345,#234346,#234347)); #43439=EDGE_LOOP('',(#234348,#234349,#234350,#234351)); #43440=EDGE_LOOP('',(#234352,#234353,#234354,#234355)); #43441=EDGE_LOOP('',(#234356,#234357,#234358,#234359)); #43442=EDGE_LOOP('',(#234360,#234361,#234362,#234363)); #43443=EDGE_LOOP('',(#234364,#234365,#234366,#234367)); #43444=EDGE_LOOP('',(#234368,#234369,#234370,#234371)); #43445=EDGE_LOOP('',(#234372,#234373,#234374,#234375)); #43446=EDGE_LOOP('',(#234376,#234377,#234378,#234379)); #43447=EDGE_LOOP('',(#234380,#234381,#234382,#234383)); #43448=EDGE_LOOP('',(#234384,#234385,#234386,#234387)); #43449=EDGE_LOOP('',(#234388,#234389,#234390,#234391)); #43450=EDGE_LOOP('',(#234392,#234393,#234394,#234395)); #43451=EDGE_LOOP('',(#234396,#234397,#234398,#234399)); #43452=EDGE_LOOP('',(#234400,#234401,#234402,#234403)); #43453=EDGE_LOOP('',(#234404,#234405,#234406,#234407)); #43454=EDGE_LOOP('',(#234408,#234409,#234410,#234411)); #43455=EDGE_LOOP('',(#234412,#234413,#234414,#234415)); #43456=EDGE_LOOP('',(#234416,#234417,#234418,#234419)); #43457=EDGE_LOOP('',(#234420,#234421,#234422,#234423)); #43458=EDGE_LOOP('',(#234424,#234425,#234426,#234427)); #43459=EDGE_LOOP('',(#234428,#234429,#234430,#234431,#234432,#234433,#234434, #234435,#234436,#234437,#234438,#234439,#234440,#234441,#234442,#234443, #234444,#234445,#234446,#234447,#234448,#234449,#234450,#234451,#234452, #234453,#234454,#234455,#234456,#234457,#234458,#234459,#234460,#234461, #234462,#234463,#234464,#234465,#234466,#234467,#234468,#234469,#234470, #234471,#234472,#234473,#234474,#234475,#234476,#234477,#234478,#234479, #234480,#234481,#234482,#234483,#234484,#234485,#234486,#234487,#234488, #234489,#234490,#234491,#234492,#234493,#234494,#234495,#234496,#234497, #234498,#234499,#234500,#234501,#234502,#234503,#234504,#234505,#234506, #234507,#234508,#234509,#234510,#234511,#234512,#234513,#234514,#234515, #234516,#234517,#234518,#234519,#234520,#234521,#234522,#234523,#234524, #234525,#234526,#234527,#234528,#234529,#234530,#234531,#234532,#234533, #234534,#234535,#234536,#234537,#234538,#234539,#234540,#234541,#234542, #234543,#234544,#234545,#234546,#234547,#234548,#234549,#234550,#234551, #234552,#234553,#234554,#234555,#234556,#234557,#234558,#234559,#234560)); #43460=EDGE_LOOP('',(#234561)); #43461=EDGE_LOOP('',(#234562)); #43462=EDGE_LOOP('',(#234563)); #43463=EDGE_LOOP('',(#234564)); #43464=EDGE_LOOP('',(#234565)); #43465=EDGE_LOOP('',(#234566)); #43466=EDGE_LOOP('',(#234567)); #43467=EDGE_LOOP('',(#234568)); #43468=EDGE_LOOP('',(#234569)); #43469=EDGE_LOOP('',(#234570)); #43470=EDGE_LOOP('',(#234571)); #43471=EDGE_LOOP('',(#234572,#234573,#234574,#234575,#234576,#234577,#234578, #234579,#234580,#234581,#234582,#234583,#234584,#234585,#234586,#234587, #234588,#234589,#234590,#234591,#234592,#234593,#234594,#234595,#234596, #234597,#234598,#234599,#234600,#234601,#234602,#234603,#234604,#234605, #234606,#234607,#234608,#234609,#234610,#234611,#234612,#234613,#234614, #234615,#234616,#234617,#234618,#234619,#234620,#234621,#234622,#234623, #234624,#234625,#234626,#234627,#234628,#234629,#234630,#234631,#234632, #234633,#234634,#234635,#234636,#234637,#234638,#234639,#234640,#234641, #234642,#234643,#234644,#234645,#234646,#234647,#234648,#234649,#234650, #234651,#234652,#234653,#234654,#234655,#234656,#234657,#234658,#234659, #234660,#234661,#234662,#234663,#234664,#234665,#234666,#234667,#234668, #234669,#234670,#234671,#234672,#234673,#234674,#234675,#234676,#234677, #234678,#234679,#234680,#234681,#234682,#234683,#234684,#234685,#234686, #234687,#234688,#234689,#234690,#234691,#234692,#234693,#234694,#234695, #234696,#234697,#234698,#234699,#234700,#234701,#234702,#234703,#234704)); #43472=EDGE_LOOP('',(#234705)); #43473=EDGE_LOOP('',(#234706)); #43474=EDGE_LOOP('',(#234707)); #43475=EDGE_LOOP('',(#234708)); #43476=EDGE_LOOP('',(#234709)); #43477=EDGE_LOOP('',(#234710)); #43478=EDGE_LOOP('',(#234711)); #43479=EDGE_LOOP('',(#234712)); #43480=EDGE_LOOP('',(#234713)); #43481=EDGE_LOOP('',(#234714)); #43482=EDGE_LOOP('',(#234715)); #43483=EDGE_LOOP('',(#234716,#234717,#234718,#234719)); #43484=EDGE_LOOP('',(#234720,#234721,#234722,#234723)); #43485=EDGE_LOOP('',(#234724,#234725,#234726,#234727)); #43486=EDGE_LOOP('',(#234728,#234729,#234730,#234731)); #43487=EDGE_LOOP('',(#234732,#234733,#234734,#234735)); #43488=EDGE_LOOP('',(#234736,#234737,#234738,#234739)); #43489=EDGE_LOOP('',(#234740,#234741,#234742,#234743)); #43490=EDGE_LOOP('',(#234744,#234745,#234746,#234747)); #43491=EDGE_LOOP('',(#234748,#234749,#234750,#234751)); #43492=EDGE_LOOP('',(#234752,#234753,#234754,#234755,#234756,#234757,#234758, #234759)); #43493=EDGE_LOOP('',(#234760)); #43494=EDGE_LOOP('',(#234761,#234762,#234763,#234764,#234765,#234766,#234767, #234768)); #43495=EDGE_LOOP('',(#234769)); #43496=EDGE_LOOP('',(#234770,#234771,#234772,#234773)); #43497=EDGE_LOOP('',(#234774,#234775,#234776,#234777)); #43498=EDGE_LOOP('',(#234778,#234779,#234780,#234781)); #43499=EDGE_LOOP('',(#234782,#234783,#234784,#234785)); #43500=EDGE_LOOP('',(#234786,#234787,#234788,#234789)); #43501=EDGE_LOOP('',(#234790,#234791,#234792,#234793)); #43502=EDGE_LOOP('',(#234794,#234795,#234796,#234797)); #43503=EDGE_LOOP('',(#234798,#234799,#234800,#234801)); #43504=EDGE_LOOP('',(#234802,#234803,#234804,#234805)); #43505=EDGE_LOOP('',(#234806,#234807,#234808,#234809)); #43506=EDGE_LOOP('',(#234810,#234811,#234812,#234813)); #43507=EDGE_LOOP('',(#234814,#234815,#234816,#234817)); #43508=EDGE_LOOP('',(#234818,#234819,#234820,#234821)); #43509=EDGE_LOOP('',(#234822,#234823,#234824,#234825)); #43510=EDGE_LOOP('',(#234826,#234827,#234828,#234829)); #43511=EDGE_LOOP('',(#234830,#234831,#234832,#234833)); #43512=EDGE_LOOP('',(#234834,#234835,#234836,#234837)); #43513=EDGE_LOOP('',(#234838,#234839,#234840,#234841)); #43514=EDGE_LOOP('',(#234842,#234843,#234844,#234845)); #43515=EDGE_LOOP('',(#234846,#234847,#234848,#234849,#234850,#234851,#234852, #234853,#234854,#234855,#234856,#234857,#234858,#234859,#234860,#234861, #234862,#234863,#234864)); #43516=EDGE_LOOP('',(#234865,#234866,#234867,#234868,#234869,#234870,#234871, #234872,#234873,#234874,#234875,#234876,#234877,#234878,#234879,#234880, #234881,#234882,#234883)); #43517=EDGE_LOOP('',(#234884,#234885,#234886,#234887)); #43518=EDGE_LOOP('',(#234888,#234889,#234890,#234891)); #43519=EDGE_LOOP('',(#234892,#234893,#234894,#234895)); #43520=EDGE_LOOP('',(#234896,#234897,#234898,#234899)); #43521=EDGE_LOOP('',(#234900,#234901,#234902,#234903)); #43522=EDGE_LOOP('',(#234904,#234905,#234906,#234907)); #43523=EDGE_LOOP('',(#234908,#234909,#234910,#234911)); #43524=EDGE_LOOP('',(#234912,#234913,#234914,#234915)); #43525=EDGE_LOOP('',(#234916,#234917,#234918,#234919)); #43526=EDGE_LOOP('',(#234920,#234921,#234922,#234923)); #43527=EDGE_LOOP('',(#234924,#234925,#234926,#234927)); #43528=EDGE_LOOP('',(#234928,#234929,#234930,#234931)); #43529=EDGE_LOOP('',(#234932,#234933,#234934,#234935)); #43530=EDGE_LOOP('',(#234936,#234937,#234938,#234939)); #43531=EDGE_LOOP('',(#234940,#234941,#234942,#234943)); #43532=EDGE_LOOP('',(#234944,#234945,#234946,#234947)); #43533=EDGE_LOOP('',(#234948,#234949,#234950,#234951)); #43534=EDGE_LOOP('',(#234952,#234953,#234954,#234955)); #43535=EDGE_LOOP('',(#234956,#234957,#234958,#234959)); #43536=EDGE_LOOP('',(#234960,#234961,#234962,#234963)); #43537=EDGE_LOOP('',(#234964,#234965,#234966,#234967)); #43538=EDGE_LOOP('',(#234968,#234969,#234970,#234971,#234972,#234973,#234974, #234975,#234976,#234977,#234978,#234979,#234980,#234981,#234982,#234983, #234984,#234985,#234986,#234987,#234988)); #43539=EDGE_LOOP('',(#234989,#234990,#234991,#234992,#234993,#234994,#234995, #234996,#234997,#234998,#234999,#235000,#235001,#235002,#235003,#235004, #235005,#235006,#235007,#235008,#235009)); #43540=EDGE_LOOP('',(#235010,#235011,#235012,#235013)); #43541=EDGE_LOOP('',(#235014,#235015,#235016,#235017)); #43542=EDGE_LOOP('',(#235018,#235019,#235020,#235021)); #43543=EDGE_LOOP('',(#235022,#235023,#235024,#235025)); #43544=EDGE_LOOP('',(#235026,#235027,#235028,#235029)); #43545=EDGE_LOOP('',(#235030,#235031,#235032,#235033)); #43546=EDGE_LOOP('',(#235034,#235035,#235036,#235037)); #43547=EDGE_LOOP('',(#235038,#235039,#235040,#235041)); #43548=EDGE_LOOP('',(#235042,#235043,#235044,#235045)); #43549=EDGE_LOOP('',(#235046,#235047,#235048,#235049)); #43550=EDGE_LOOP('',(#235050,#235051,#235052,#235053)); #43551=EDGE_LOOP('',(#235054,#235055,#235056,#235057)); #43552=EDGE_LOOP('',(#235058,#235059,#235060,#235061)); #43553=EDGE_LOOP('',(#235062,#235063,#235064,#235065)); #43554=EDGE_LOOP('',(#235066,#235067,#235068,#235069)); #43555=EDGE_LOOP('',(#235070,#235071,#235072,#235073)); #43556=EDGE_LOOP('',(#235074,#235075,#235076,#235077)); #43557=EDGE_LOOP('',(#235078,#235079,#235080,#235081)); #43558=EDGE_LOOP('',(#235082,#235083,#235084,#235085)); #43559=EDGE_LOOP('',(#235086,#235087,#235088,#235089)); #43560=EDGE_LOOP('',(#235090,#235091,#235092,#235093,#235094,#235095,#235096, #235097,#235098,#235099,#235100,#235101,#235102,#235103,#235104,#235105, #235106,#235107,#235108,#235109)); #43561=EDGE_LOOP('',(#235110,#235111,#235112,#235113,#235114,#235115,#235116, #235117,#235118,#235119,#235120,#235121,#235122,#235123,#235124,#235125, #235126,#235127,#235128,#235129)); #43562=EDGE_LOOP('',(#235130,#235131,#235132,#235133)); #43563=EDGE_LOOP('',(#235134,#235135,#235136,#235137)); #43564=EDGE_LOOP('',(#235138,#235139,#235140,#235141)); #43565=EDGE_LOOP('',(#235142,#235143,#235144,#235145)); #43566=EDGE_LOOP('',(#235146,#235147,#235148,#235149)); #43567=EDGE_LOOP('',(#235150,#235151,#235152,#235153)); #43568=EDGE_LOOP('',(#235154,#235155,#235156,#235157)); #43569=EDGE_LOOP('',(#235158,#235159,#235160,#235161)); #43570=EDGE_LOOP('',(#235162,#235163,#235164,#235165)); #43571=EDGE_LOOP('',(#235166,#235167,#235168,#235169)); #43572=EDGE_LOOP('',(#235170,#235171,#235172,#235173)); #43573=EDGE_LOOP('',(#235174,#235175,#235176,#235177)); #43574=EDGE_LOOP('',(#235178,#235179,#235180,#235181)); #43575=EDGE_LOOP('',(#235182,#235183,#235184,#235185)); #43576=EDGE_LOOP('',(#235186,#235187,#235188,#235189)); #43577=EDGE_LOOP('',(#235190,#235191,#235192,#235193)); #43578=EDGE_LOOP('',(#235194,#235195,#235196,#235197)); #43579=EDGE_LOOP('',(#235198,#235199,#235200,#235201)); #43580=EDGE_LOOP('',(#235202,#235203,#235204,#235205)); #43581=EDGE_LOOP('',(#235206,#235207,#235208,#235209)); #43582=EDGE_LOOP('',(#235210,#235211,#235212,#235213)); #43583=EDGE_LOOP('',(#235214,#235215,#235216,#235217,#235218,#235219,#235220, #235221,#235222,#235223,#235224,#235225,#235226,#235227,#235228,#235229, #235230,#235231,#235232,#235233,#235234)); #43584=EDGE_LOOP('',(#235235,#235236,#235237,#235238,#235239,#235240,#235241, #235242,#235243,#235244,#235245,#235246,#235247,#235248,#235249,#235250, #235251,#235252,#235253,#235254,#235255)); #43585=EDGE_LOOP('',(#235256,#235257,#235258,#235259)); #43586=EDGE_LOOP('',(#235260,#235261,#235262,#235263)); #43587=EDGE_LOOP('',(#235264,#235265,#235266,#235267)); #43588=EDGE_LOOP('',(#235268,#235269,#235270,#235271)); #43589=EDGE_LOOP('',(#235272,#235273,#235274,#235275)); #43590=EDGE_LOOP('',(#235276,#235277,#235278,#235279)); #43591=EDGE_LOOP('',(#235280,#235281,#235282,#235283)); #43592=EDGE_LOOP('',(#235284,#235285,#235286,#235287)); #43593=EDGE_LOOP('',(#235288,#235289,#235290,#235291)); #43594=EDGE_LOOP('',(#235292,#235293,#235294,#235295)); #43595=EDGE_LOOP('',(#235296,#235297,#235298,#235299)); #43596=EDGE_LOOP('',(#235300,#235301,#235302,#235303)); #43597=EDGE_LOOP('',(#235304,#235305,#235306,#235307)); #43598=EDGE_LOOP('',(#235308,#235309,#235310,#235311)); #43599=EDGE_LOOP('',(#235312,#235313,#235314,#235315)); #43600=EDGE_LOOP('',(#235316,#235317,#235318,#235319)); #43601=EDGE_LOOP('',(#235320,#235321,#235322,#235323)); #43602=EDGE_LOOP('',(#235324,#235325,#235326,#235327)); #43603=EDGE_LOOP('',(#235328,#235329,#235330,#235331)); #43604=EDGE_LOOP('',(#235332,#235333,#235334,#235335)); #43605=EDGE_LOOP('',(#235336,#235337,#235338,#235339)); #43606=EDGE_LOOP('',(#235340,#235341,#235342,#235343)); #43607=EDGE_LOOP('',(#235344,#235345,#235346,#235347)); #43608=EDGE_LOOP('',(#235348,#235349,#235350,#235351)); #43609=EDGE_LOOP('',(#235352,#235353,#235354,#235355)); #43610=EDGE_LOOP('',(#235356,#235357,#235358,#235359)); #43611=EDGE_LOOP('',(#235360,#235361,#235362,#235363)); #43612=EDGE_LOOP('',(#235364,#235365,#235366,#235367)); #43613=EDGE_LOOP('',(#235368,#235369,#235370,#235371)); #43614=EDGE_LOOP('',(#235372,#235373,#235374,#235375)); #43615=EDGE_LOOP('',(#235376,#235377,#235378,#235379)); #43616=EDGE_LOOP('',(#235380,#235381,#235382,#235383)); #43617=EDGE_LOOP('',(#235384,#235385,#235386,#235387)); #43618=EDGE_LOOP('',(#235388,#235389,#235390,#235391)); #43619=EDGE_LOOP('',(#235392,#235393,#235394,#235395)); #43620=EDGE_LOOP('',(#235396,#235397,#235398,#235399)); #43621=EDGE_LOOP('',(#235400,#235401,#235402,#235403)); #43622=EDGE_LOOP('',(#235404,#235405,#235406,#235407)); #43623=EDGE_LOOP('',(#235408,#235409,#235410,#235411)); #43624=EDGE_LOOP('',(#235412,#235413,#235414,#235415)); #43625=EDGE_LOOP('',(#235416,#235417,#235418,#235419)); #43626=EDGE_LOOP('',(#235420,#235421,#235422,#235423)); #43627=EDGE_LOOP('',(#235424,#235425,#235426,#235427)); #43628=EDGE_LOOP('',(#235428,#235429,#235430,#235431)); #43629=EDGE_LOOP('',(#235432,#235433,#235434,#235435)); #43630=EDGE_LOOP('',(#235436,#235437,#235438,#235439)); #43631=EDGE_LOOP('',(#235440,#235441,#235442,#235443)); #43632=EDGE_LOOP('',(#235444,#235445,#235446,#235447)); #43633=EDGE_LOOP('',(#235448,#235449,#235450,#235451)); #43634=EDGE_LOOP('',(#235452,#235453,#235454,#235455)); #43635=EDGE_LOOP('',(#235456,#235457,#235458,#235459)); #43636=EDGE_LOOP('',(#235460,#235461,#235462,#235463)); #43637=EDGE_LOOP('',(#235464,#235465,#235466,#235467)); #43638=EDGE_LOOP('',(#235468,#235469,#235470,#235471)); #43639=EDGE_LOOP('',(#235472,#235473,#235474,#235475)); #43640=EDGE_LOOP('',(#235476,#235477,#235478,#235479)); #43641=EDGE_LOOP('',(#235480,#235481,#235482,#235483)); #43642=EDGE_LOOP('',(#235484,#235485,#235486,#235487)); #43643=EDGE_LOOP('',(#235488,#235489,#235490,#235491)); #43644=EDGE_LOOP('',(#235492,#235493,#235494,#235495)); #43645=EDGE_LOOP('',(#235496,#235497,#235498,#235499)); #43646=EDGE_LOOP('',(#235500,#235501,#235502,#235503)); #43647=EDGE_LOOP('',(#235504,#235505,#235506,#235507)); #43648=EDGE_LOOP('',(#235508,#235509,#235510,#235511)); #43649=EDGE_LOOP('',(#235512,#235513,#235514,#235515)); #43650=EDGE_LOOP('',(#235516,#235517,#235518,#235519)); #43651=EDGE_LOOP('',(#235520,#235521,#235522,#235523)); #43652=EDGE_LOOP('',(#235524,#235525,#235526,#235527)); #43653=EDGE_LOOP('',(#235528,#235529,#235530,#235531)); #43654=EDGE_LOOP('',(#235532,#235533,#235534,#235535)); #43655=EDGE_LOOP('',(#235536,#235537,#235538,#235539)); #43656=EDGE_LOOP('',(#235540,#235541,#235542,#235543)); #43657=EDGE_LOOP('',(#235544,#235545,#235546,#235547)); #43658=EDGE_LOOP('',(#235548,#235549,#235550,#235551)); #43659=EDGE_LOOP('',(#235552,#235553,#235554,#235555)); #43660=EDGE_LOOP('',(#235556,#235557,#235558,#235559)); #43661=EDGE_LOOP('',(#235560,#235561,#235562,#235563)); #43662=EDGE_LOOP('',(#235564,#235565,#235566,#235567)); #43663=EDGE_LOOP('',(#235568,#235569,#235570,#235571)); #43664=EDGE_LOOP('',(#235572,#235573,#235574,#235575)); #43665=EDGE_LOOP('',(#235576,#235577,#235578,#235579)); #43666=EDGE_LOOP('',(#235580,#235581,#235582,#235583)); #43667=EDGE_LOOP('',(#235584,#235585,#235586,#235587)); #43668=EDGE_LOOP('',(#235588,#235589,#235590,#235591)); #43669=EDGE_LOOP('',(#235592,#235593,#235594,#235595)); #43670=EDGE_LOOP('',(#235596,#235597,#235598,#235599)); #43671=EDGE_LOOP('',(#235600,#235601,#235602,#235603)); #43672=EDGE_LOOP('',(#235604,#235605,#235606,#235607)); #43673=EDGE_LOOP('',(#235608,#235609,#235610,#235611)); #43674=EDGE_LOOP('',(#235612,#235613,#235614,#235615)); #43675=EDGE_LOOP('',(#235616,#235617,#235618,#235619)); #43676=EDGE_LOOP('',(#235620,#235621,#235622,#235623)); #43677=EDGE_LOOP('',(#235624,#235625,#235626,#235627)); #43678=EDGE_LOOP('',(#235628,#235629,#235630,#235631,#235632,#235633,#235634, #235635,#235636,#235637,#235638,#235639,#235640,#235641,#235642,#235643, #235644,#235645,#235646,#235647,#235648,#235649,#235650,#235651,#235652, #235653,#235654,#235655,#235656,#235657,#235658,#235659,#235660,#235661, #235662,#235663,#235664,#235665,#235666,#235667,#235668,#235669,#235670, #235671,#235672,#235673,#235674,#235675,#235676,#235677,#235678,#235679, #235680,#235681,#235682,#235683,#235684,#235685,#235686,#235687,#235688, #235689,#235690,#235691,#235692,#235693,#235694,#235695,#235696,#235697, #235698,#235699,#235700,#235701,#235702,#235703,#235704,#235705,#235706, #235707,#235708,#235709,#235710,#235711,#235712,#235713,#235714,#235715, #235716,#235717)); #43679=EDGE_LOOP('',(#235718)); #43680=EDGE_LOOP('',(#235719)); #43681=EDGE_LOOP('',(#235720)); #43682=EDGE_LOOP('',(#235721,#235722,#235723,#235724,#235725,#235726,#235727, #235728,#235729,#235730,#235731,#235732,#235733,#235734,#235735,#235736, #235737,#235738,#235739,#235740,#235741,#235742,#235743,#235744,#235745, #235746,#235747,#235748,#235749,#235750,#235751,#235752,#235753,#235754, #235755,#235756,#235757,#235758,#235759,#235760,#235761,#235762,#235763, #235764,#235765,#235766,#235767,#235768,#235769,#235770,#235771,#235772, #235773,#235774,#235775,#235776,#235777,#235778,#235779,#235780,#235781, #235782,#235783,#235784,#235785,#235786,#235787,#235788,#235789,#235790, #235791,#235792,#235793,#235794,#235795,#235796,#235797,#235798,#235799, #235800,#235801,#235802,#235803,#235804,#235805,#235806,#235807,#235808, #235809,#235810)); #43683=EDGE_LOOP('',(#235811)); #43684=EDGE_LOOP('',(#235812)); #43685=EDGE_LOOP('',(#235813)); #43686=EDGE_LOOP('',(#235814,#235815,#235816,#235817)); #43687=EDGE_LOOP('',(#235818,#235819,#235820,#235821)); #43688=EDGE_LOOP('',(#235822,#235823,#235824,#235825)); #43689=EDGE_LOOP('',(#235826,#235827,#235828,#235829)); #43690=EDGE_LOOP('',(#235830,#235831,#235832,#235833)); #43691=EDGE_LOOP('',(#235834,#235835,#235836,#235837)); #43692=EDGE_LOOP('',(#235838,#235839,#235840,#235841)); #43693=EDGE_LOOP('',(#235842,#235843,#235844,#235845)); #43694=EDGE_LOOP('',(#235846,#235847,#235848,#235849)); #43695=EDGE_LOOP('',(#235850,#235851,#235852,#235853)); #43696=EDGE_LOOP('',(#235854,#235855,#235856,#235857)); #43697=EDGE_LOOP('',(#235858,#235859,#235860,#235861)); #43698=EDGE_LOOP('',(#235862,#235863,#235864,#235865)); #43699=EDGE_LOOP('',(#235866,#235867,#235868,#235869)); #43700=EDGE_LOOP('',(#235870,#235871,#235872,#235873)); #43701=EDGE_LOOP('',(#235874,#235875,#235876,#235877)); #43702=EDGE_LOOP('',(#235878,#235879,#235880,#235881)); #43703=EDGE_LOOP('',(#235882,#235883,#235884,#235885)); #43704=EDGE_LOOP('',(#235886,#235887,#235888,#235889)); #43705=EDGE_LOOP('',(#235890,#235891,#235892,#235893)); #43706=EDGE_LOOP('',(#235894,#235895,#235896,#235897)); #43707=EDGE_LOOP('',(#235898,#235899,#235900,#235901)); #43708=EDGE_LOOP('',(#235902,#235903,#235904,#235905)); #43709=EDGE_LOOP('',(#235906,#235907,#235908,#235909)); #43710=EDGE_LOOP('',(#235910,#235911,#235912,#235913)); #43711=EDGE_LOOP('',(#235914,#235915,#235916,#235917)); #43712=EDGE_LOOP('',(#235918,#235919,#235920,#235921)); #43713=EDGE_LOOP('',(#235922,#235923,#235924,#235925)); #43714=EDGE_LOOP('',(#235926,#235927,#235928,#235929)); #43715=EDGE_LOOP('',(#235930,#235931,#235932,#235933)); #43716=EDGE_LOOP('',(#235934,#235935,#235936,#235937)); #43717=EDGE_LOOP('',(#235938,#235939,#235940,#235941)); #43718=EDGE_LOOP('',(#235942,#235943,#235944,#235945)); #43719=EDGE_LOOP('',(#235946,#235947,#235948,#235949)); #43720=EDGE_LOOP('',(#235950,#235951,#235952,#235953)); #43721=EDGE_LOOP('',(#235954,#235955,#235956,#235957)); #43722=EDGE_LOOP('',(#235958,#235959,#235960,#235961)); #43723=EDGE_LOOP('',(#235962,#235963,#235964,#235965)); #43724=EDGE_LOOP('',(#235966,#235967,#235968,#235969)); #43725=EDGE_LOOP('',(#235970,#235971,#235972,#235973)); #43726=EDGE_LOOP('',(#235974,#235975,#235976,#235977)); #43727=EDGE_LOOP('',(#235978,#235979,#235980,#235981)); #43728=EDGE_LOOP('',(#235982,#235983,#235984,#235985)); #43729=EDGE_LOOP('',(#235986,#235987,#235988,#235989)); #43730=EDGE_LOOP('',(#235990,#235991,#235992,#235993)); #43731=EDGE_LOOP('',(#235994,#235995,#235996,#235997)); #43732=EDGE_LOOP('',(#235998,#235999,#236000,#236001)); #43733=EDGE_LOOP('',(#236002,#236003,#236004,#236005)); #43734=EDGE_LOOP('',(#236006,#236007,#236008,#236009)); #43735=EDGE_LOOP('',(#236010,#236011,#236012,#236013)); #43736=EDGE_LOOP('',(#236014,#236015,#236016,#236017)); #43737=EDGE_LOOP('',(#236018,#236019,#236020,#236021)); #43738=EDGE_LOOP('',(#236022,#236023,#236024,#236025)); #43739=EDGE_LOOP('',(#236026,#236027,#236028,#236029)); #43740=EDGE_LOOP('',(#236030,#236031,#236032,#236033)); #43741=EDGE_LOOP('',(#236034,#236035,#236036,#236037)); #43742=EDGE_LOOP('',(#236038,#236039,#236040,#236041)); #43743=EDGE_LOOP('',(#236042,#236043,#236044,#236045)); #43744=EDGE_LOOP('',(#236046,#236047,#236048,#236049)); #43745=EDGE_LOOP('',(#236050,#236051,#236052,#236053)); #43746=EDGE_LOOP('',(#236054,#236055,#236056,#236057)); #43747=EDGE_LOOP('',(#236058,#236059,#236060,#236061)); #43748=EDGE_LOOP('',(#236062,#236063,#236064,#236065)); #43749=EDGE_LOOP('',(#236066,#236067,#236068,#236069)); #43750=EDGE_LOOP('',(#236070,#236071,#236072,#236073)); #43751=EDGE_LOOP('',(#236074,#236075,#236076,#236077)); #43752=EDGE_LOOP('',(#236078,#236079,#236080,#236081)); #43753=EDGE_LOOP('',(#236082,#236083,#236084,#236085)); #43754=EDGE_LOOP('',(#236086,#236087,#236088,#236089)); #43755=EDGE_LOOP('',(#236090,#236091,#236092,#236093)); #43756=EDGE_LOOP('',(#236094,#236095,#236096,#236097)); #43757=EDGE_LOOP('',(#236098,#236099,#236100,#236101)); #43758=EDGE_LOOP('',(#236102,#236103,#236104,#236105)); #43759=EDGE_LOOP('',(#236106,#236107,#236108,#236109)); #43760=EDGE_LOOP('',(#236110,#236111,#236112,#236113)); #43761=EDGE_LOOP('',(#236114,#236115,#236116,#236117)); #43762=EDGE_LOOP('',(#236118,#236119,#236120,#236121)); #43763=EDGE_LOOP('',(#236122,#236123,#236124,#236125)); #43764=EDGE_LOOP('',(#236126,#236127,#236128,#236129)); #43765=EDGE_LOOP('',(#236130,#236131,#236132,#236133)); #43766=EDGE_LOOP('',(#236134,#236135,#236136,#236137)); #43767=EDGE_LOOP('',(#236138,#236139,#236140,#236141)); #43768=EDGE_LOOP('',(#236142,#236143,#236144,#236145)); #43769=EDGE_LOOP('',(#236146,#236147,#236148,#236149)); #43770=EDGE_LOOP('',(#236150,#236151,#236152,#236153)); #43771=EDGE_LOOP('',(#236154,#236155,#236156,#236157)); #43772=EDGE_LOOP('',(#236158,#236159,#236160,#236161)); #43773=EDGE_LOOP('',(#236162,#236163,#236164,#236165)); #43774=EDGE_LOOP('',(#236166,#236167,#236168,#236169)); #43775=EDGE_LOOP('',(#236170,#236171,#236172,#236173)); #43776=EDGE_LOOP('',(#236174,#236175,#236176,#236177)); #43777=EDGE_LOOP('',(#236178,#236179,#236180,#236181)); #43778=EDGE_LOOP('',(#236182,#236183,#236184,#236185)); #43779=EDGE_LOOP('',(#236186,#236187,#236188,#236189)); #43780=EDGE_LOOP('',(#236190,#236191,#236192,#236193)); #43781=EDGE_LOOP('',(#236194,#236195,#236196,#236197)); #43782=EDGE_LOOP('',(#236198,#236199,#236200,#236201)); #43783=EDGE_LOOP('',(#236202,#236203,#236204,#236205)); #43784=EDGE_LOOP('',(#236206,#236207,#236208,#236209)); #43785=EDGE_LOOP('',(#236210,#236211,#236212,#236213)); #43786=EDGE_LOOP('',(#236214,#236215,#236216,#236217)); #43787=EDGE_LOOP('',(#236218,#236219,#236220,#236221)); #43788=EDGE_LOOP('',(#236222,#236223,#236224,#236225)); #43789=EDGE_LOOP('',(#236226,#236227,#236228,#236229)); #43790=EDGE_LOOP('',(#236230,#236231,#236232,#236233)); #43791=EDGE_LOOP('',(#236234,#236235,#236236,#236237)); #43792=EDGE_LOOP('',(#236238,#236239,#236240,#236241)); #43793=EDGE_LOOP('',(#236242,#236243,#236244,#236245)); #43794=EDGE_LOOP('',(#236246,#236247,#236248,#236249)); #43795=EDGE_LOOP('',(#236250,#236251,#236252,#236253)); #43796=EDGE_LOOP('',(#236254,#236255,#236256,#236257)); #43797=EDGE_LOOP('',(#236258,#236259,#236260,#236261)); #43798=EDGE_LOOP('',(#236262,#236263,#236264,#236265)); #43799=EDGE_LOOP('',(#236266,#236267,#236268,#236269)); #43800=EDGE_LOOP('',(#236270,#236271,#236272,#236273)); #43801=EDGE_LOOP('',(#236274,#236275,#236276,#236277)); #43802=EDGE_LOOP('',(#236278,#236279,#236280,#236281)); #43803=EDGE_LOOP('',(#236282,#236283,#236284,#236285)); #43804=EDGE_LOOP('',(#236286,#236287,#236288,#236289)); #43805=EDGE_LOOP('',(#236290,#236291,#236292,#236293)); #43806=EDGE_LOOP('',(#236294,#236295,#236296,#236297)); #43807=EDGE_LOOP('',(#236298,#236299,#236300,#236301)); #43808=EDGE_LOOP('',(#236302,#236303,#236304,#236305)); #43809=EDGE_LOOP('',(#236306,#236307,#236308,#236309)); #43810=EDGE_LOOP('',(#236310,#236311,#236312,#236313)); #43811=EDGE_LOOP('',(#236314,#236315,#236316,#236317)); #43812=EDGE_LOOP('',(#236318,#236319,#236320,#236321)); #43813=EDGE_LOOP('',(#236322,#236323,#236324,#236325)); #43814=EDGE_LOOP('',(#236326,#236327,#236328,#236329)); #43815=EDGE_LOOP('',(#236330,#236331,#236332,#236333)); #43816=EDGE_LOOP('',(#236334,#236335,#236336,#236337)); #43817=EDGE_LOOP('',(#236338,#236339,#236340,#236341)); #43818=EDGE_LOOP('',(#236342,#236343,#236344,#236345)); #43819=EDGE_LOOP('',(#236346,#236347,#236348,#236349)); #43820=EDGE_LOOP('',(#236350,#236351,#236352,#236353)); #43821=EDGE_LOOP('',(#236354,#236355,#236356,#236357)); #43822=EDGE_LOOP('',(#236358,#236359,#236360,#236361)); #43823=EDGE_LOOP('',(#236362,#236363,#236364,#236365)); #43824=EDGE_LOOP('',(#236366,#236367,#236368,#236369)); #43825=EDGE_LOOP('',(#236370,#236371,#236372,#236373)); #43826=EDGE_LOOP('',(#236374,#236375,#236376,#236377)); #43827=EDGE_LOOP('',(#236378,#236379,#236380,#236381)); #43828=EDGE_LOOP('',(#236382,#236383,#236384,#236385)); #43829=EDGE_LOOP('',(#236386,#236387,#236388,#236389)); #43830=EDGE_LOOP('',(#236390,#236391,#236392,#236393)); #43831=EDGE_LOOP('',(#236394,#236395,#236396,#236397)); #43832=EDGE_LOOP('',(#236398,#236399,#236400,#236401)); #43833=EDGE_LOOP('',(#236402,#236403,#236404,#236405)); #43834=EDGE_LOOP('',(#236406,#236407,#236408,#236409)); #43835=EDGE_LOOP('',(#236410,#236411,#236412,#236413)); #43836=EDGE_LOOP('',(#236414,#236415,#236416,#236417)); #43837=EDGE_LOOP('',(#236418,#236419,#236420,#236421)); #43838=EDGE_LOOP('',(#236422,#236423,#236424,#236425)); #43839=EDGE_LOOP('',(#236426,#236427,#236428,#236429)); #43840=EDGE_LOOP('',(#236430,#236431,#236432,#236433)); #43841=EDGE_LOOP('',(#236434,#236435,#236436,#236437)); #43842=EDGE_LOOP('',(#236438,#236439,#236440,#236441)); #43843=EDGE_LOOP('',(#236442,#236443,#236444,#236445)); #43844=EDGE_LOOP('',(#236446,#236447,#236448,#236449)); #43845=EDGE_LOOP('',(#236450,#236451,#236452,#236453)); #43846=EDGE_LOOP('',(#236454,#236455,#236456,#236457)); #43847=EDGE_LOOP('',(#236458,#236459,#236460,#236461)); #43848=EDGE_LOOP('',(#236462,#236463,#236464,#236465)); #43849=EDGE_LOOP('',(#236466,#236467,#236468,#236469)); #43850=EDGE_LOOP('',(#236470,#236471,#236472,#236473)); #43851=EDGE_LOOP('',(#236474,#236475,#236476,#236477)); #43852=EDGE_LOOP('',(#236478,#236479,#236480,#236481)); #43853=EDGE_LOOP('',(#236482,#236483,#236484,#236485)); #43854=EDGE_LOOP('',(#236486,#236487,#236488,#236489)); #43855=EDGE_LOOP('',(#236490,#236491,#236492,#236493)); #43856=EDGE_LOOP('',(#236494,#236495,#236496,#236497)); #43857=EDGE_LOOP('',(#236498,#236499,#236500,#236501)); #43858=EDGE_LOOP('',(#236502,#236503,#236504,#236505)); #43859=EDGE_LOOP('',(#236506,#236507,#236508,#236509)); #43860=EDGE_LOOP('',(#236510,#236511,#236512,#236513)); #43861=EDGE_LOOP('',(#236514,#236515,#236516,#236517)); #43862=EDGE_LOOP('',(#236518,#236519,#236520,#236521)); #43863=EDGE_LOOP('',(#236522,#236523,#236524,#236525)); #43864=EDGE_LOOP('',(#236526,#236527,#236528,#236529)); #43865=EDGE_LOOP('',(#236530,#236531,#236532,#236533)); #43866=EDGE_LOOP('',(#236534,#236535,#236536,#236537)); #43867=EDGE_LOOP('',(#236538,#236539,#236540,#236541)); #43868=EDGE_LOOP('',(#236542,#236543,#236544,#236545)); #43869=EDGE_LOOP('',(#236546,#236547,#236548,#236549)); #43870=EDGE_LOOP('',(#236550,#236551,#236552,#236553)); #43871=EDGE_LOOP('',(#236554,#236555,#236556,#236557)); #43872=EDGE_LOOP('',(#236558,#236559,#236560,#236561)); #43873=EDGE_LOOP('',(#236562,#236563,#236564,#236565)); #43874=EDGE_LOOP('',(#236566,#236567,#236568,#236569)); #43875=EDGE_LOOP('',(#236570,#236571,#236572,#236573)); #43876=EDGE_LOOP('',(#236574,#236575,#236576,#236577)); #43877=EDGE_LOOP('',(#236578,#236579,#236580,#236581)); #43878=EDGE_LOOP('',(#236582,#236583,#236584,#236585)); #43879=EDGE_LOOP('',(#236586,#236587,#236588,#236589)); #43880=EDGE_LOOP('',(#236590,#236591,#236592,#236593)); #43881=EDGE_LOOP('',(#236594,#236595,#236596,#236597)); #43882=EDGE_LOOP('',(#236598,#236599,#236600,#236601)); #43883=EDGE_LOOP('',(#236602,#236603,#236604,#236605)); #43884=EDGE_LOOP('',(#236606,#236607,#236608,#236609)); #43885=EDGE_LOOP('',(#236610,#236611,#236612,#236613)); #43886=EDGE_LOOP('',(#236614,#236615,#236616,#236617)); #43887=EDGE_LOOP('',(#236618,#236619,#236620,#236621)); #43888=EDGE_LOOP('',(#236622,#236623,#236624,#236625)); #43889=EDGE_LOOP('',(#236626,#236627,#236628,#236629)); #43890=EDGE_LOOP('',(#236630,#236631,#236632,#236633)); #43891=EDGE_LOOP('',(#236634,#236635,#236636,#236637)); #43892=EDGE_LOOP('',(#236638,#236639,#236640,#236641)); #43893=EDGE_LOOP('',(#236642,#236643,#236644,#236645)); #43894=EDGE_LOOP('',(#236646,#236647,#236648,#236649)); #43895=EDGE_LOOP('',(#236650,#236651,#236652,#236653)); #43896=EDGE_LOOP('',(#236654,#236655,#236656,#236657)); #43897=EDGE_LOOP('',(#236658,#236659,#236660,#236661)); #43898=EDGE_LOOP('',(#236662,#236663,#236664,#236665)); #43899=EDGE_LOOP('',(#236666,#236667,#236668,#236669)); #43900=EDGE_LOOP('',(#236670,#236671,#236672,#236673)); #43901=EDGE_LOOP('',(#236674,#236675,#236676,#236677)); #43902=EDGE_LOOP('',(#236678,#236679,#236680,#236681)); #43903=EDGE_LOOP('',(#236682,#236683,#236684,#236685)); #43904=EDGE_LOOP('',(#236686,#236687,#236688,#236689)); #43905=EDGE_LOOP('',(#236690,#236691,#236692,#236693)); #43906=EDGE_LOOP('',(#236694,#236695,#236696,#236697)); #43907=EDGE_LOOP('',(#236698,#236699,#236700,#236701)); #43908=EDGE_LOOP('',(#236702,#236703,#236704,#236705)); #43909=EDGE_LOOP('',(#236706,#236707,#236708,#236709)); #43910=EDGE_LOOP('',(#236710,#236711,#236712,#236713)); #43911=EDGE_LOOP('',(#236714,#236715,#236716,#236717)); #43912=EDGE_LOOP('',(#236718,#236719,#236720,#236721)); #43913=EDGE_LOOP('',(#236722,#236723,#236724,#236725)); #43914=EDGE_LOOP('',(#236726,#236727,#236728,#236729)); #43915=EDGE_LOOP('',(#236730,#236731,#236732,#236733)); #43916=EDGE_LOOP('',(#236734,#236735,#236736,#236737)); #43917=EDGE_LOOP('',(#236738,#236739,#236740,#236741)); #43918=EDGE_LOOP('',(#236742,#236743,#236744,#236745)); #43919=EDGE_LOOP('',(#236746,#236747,#236748,#236749)); #43920=EDGE_LOOP('',(#236750,#236751,#236752,#236753)); #43921=EDGE_LOOP('',(#236754,#236755,#236756,#236757)); #43922=EDGE_LOOP('',(#236758,#236759,#236760,#236761)); #43923=EDGE_LOOP('',(#236762,#236763,#236764,#236765)); #43924=EDGE_LOOP('',(#236766,#236767,#236768,#236769)); #43925=EDGE_LOOP('',(#236770,#236771,#236772,#236773)); #43926=EDGE_LOOP('',(#236774,#236775,#236776,#236777)); #43927=EDGE_LOOP('',(#236778,#236779,#236780,#236781)); #43928=EDGE_LOOP('',(#236782,#236783,#236784,#236785)); #43929=EDGE_LOOP('',(#236786,#236787,#236788,#236789)); #43930=EDGE_LOOP('',(#236790,#236791,#236792,#236793)); #43931=EDGE_LOOP('',(#236794,#236795,#236796,#236797)); #43932=EDGE_LOOP('',(#236798,#236799,#236800,#236801)); #43933=EDGE_LOOP('',(#236802,#236803,#236804,#236805)); #43934=EDGE_LOOP('',(#236806,#236807,#236808,#236809)); #43935=EDGE_LOOP('',(#236810,#236811,#236812,#236813)); #43936=EDGE_LOOP('',(#236814,#236815,#236816,#236817)); #43937=EDGE_LOOP('',(#236818,#236819,#236820,#236821)); #43938=EDGE_LOOP('',(#236822,#236823,#236824,#236825)); #43939=EDGE_LOOP('',(#236826,#236827,#236828,#236829)); #43940=EDGE_LOOP('',(#236830,#236831,#236832,#236833)); #43941=EDGE_LOOP('',(#236834,#236835,#236836,#236837)); #43942=EDGE_LOOP('',(#236838,#236839,#236840,#236841)); #43943=EDGE_LOOP('',(#236842,#236843,#236844,#236845)); #43944=EDGE_LOOP('',(#236846,#236847,#236848,#236849)); #43945=EDGE_LOOP('',(#236850,#236851,#236852,#236853)); #43946=EDGE_LOOP('',(#236854,#236855,#236856,#236857)); #43947=EDGE_LOOP('',(#236858,#236859,#236860,#236861)); #43948=EDGE_LOOP('',(#236862,#236863,#236864,#236865)); #43949=EDGE_LOOP('',(#236866,#236867,#236868,#236869)); #43950=EDGE_LOOP('',(#236870,#236871,#236872,#236873)); #43951=EDGE_LOOP('',(#236874,#236875,#236876,#236877)); #43952=EDGE_LOOP('',(#236878,#236879,#236880,#236881)); #43953=EDGE_LOOP('',(#236882,#236883,#236884,#236885)); #43954=EDGE_LOOP('',(#236886,#236887,#236888,#236889)); #43955=EDGE_LOOP('',(#236890,#236891,#236892,#236893)); #43956=EDGE_LOOP('',(#236894,#236895,#236896,#236897)); #43957=EDGE_LOOP('',(#236898,#236899,#236900,#236901)); #43958=EDGE_LOOP('',(#236902,#236903,#236904,#236905)); #43959=EDGE_LOOP('',(#236906,#236907,#236908,#236909)); #43960=EDGE_LOOP('',(#236910,#236911,#236912,#236913)); #43961=EDGE_LOOP('',(#236914,#236915,#236916,#236917)); #43962=EDGE_LOOP('',(#236918,#236919,#236920,#236921)); #43963=EDGE_LOOP('',(#236922,#236923,#236924,#236925)); #43964=EDGE_LOOP('',(#236926,#236927,#236928,#236929)); #43965=EDGE_LOOP('',(#236930,#236931,#236932,#236933)); #43966=EDGE_LOOP('',(#236934,#236935,#236936,#236937)); #43967=EDGE_LOOP('',(#236938,#236939,#236940,#236941)); #43968=EDGE_LOOP('',(#236942,#236943,#236944,#236945)); #43969=EDGE_LOOP('',(#236946,#236947,#236948,#236949)); #43970=EDGE_LOOP('',(#236950,#236951,#236952,#236953)); #43971=EDGE_LOOP('',(#236954,#236955,#236956,#236957)); #43972=EDGE_LOOP('',(#236958,#236959,#236960,#236961)); #43973=EDGE_LOOP('',(#236962,#236963,#236964,#236965)); #43974=EDGE_LOOP('',(#236966,#236967,#236968,#236969)); #43975=EDGE_LOOP('',(#236970,#236971,#236972,#236973)); #43976=EDGE_LOOP('',(#236974,#236975,#236976,#236977)); #43977=EDGE_LOOP('',(#236978,#236979,#236980,#236981)); #43978=EDGE_LOOP('',(#236982,#236983,#236984,#236985)); #43979=EDGE_LOOP('',(#236986,#236987,#236988,#236989)); #43980=EDGE_LOOP('',(#236990,#236991,#236992,#236993)); #43981=EDGE_LOOP('',(#236994,#236995,#236996,#236997)); #43982=EDGE_LOOP('',(#236998,#236999,#237000,#237001)); #43983=EDGE_LOOP('',(#237002,#237003,#237004,#237005)); #43984=EDGE_LOOP('',(#237006,#237007,#237008,#237009)); #43985=EDGE_LOOP('',(#237010,#237011,#237012,#237013)); #43986=EDGE_LOOP('',(#237014,#237015,#237016,#237017)); #43987=EDGE_LOOP('',(#237018,#237019,#237020,#237021)); #43988=EDGE_LOOP('',(#237022,#237023,#237024,#237025)); #43989=EDGE_LOOP('',(#237026,#237027,#237028,#237029)); #43990=EDGE_LOOP('',(#237030,#237031,#237032,#237033)); #43991=EDGE_LOOP('',(#237034,#237035,#237036,#237037)); #43992=EDGE_LOOP('',(#237038,#237039,#237040,#237041)); #43993=EDGE_LOOP('',(#237042,#237043,#237044,#237045)); #43994=EDGE_LOOP('',(#237046,#237047,#237048,#237049)); #43995=EDGE_LOOP('',(#237050,#237051,#237052,#237053)); #43996=EDGE_LOOP('',(#237054,#237055,#237056,#237057)); #43997=EDGE_LOOP('',(#237058,#237059,#237060,#237061)); #43998=EDGE_LOOP('',(#237062,#237063,#237064,#237065)); #43999=EDGE_LOOP('',(#237066,#237067,#237068,#237069)); #44000=EDGE_LOOP('',(#237070,#237071,#237072,#237073)); #44001=EDGE_LOOP('',(#237074,#237075,#237076,#237077)); #44002=EDGE_LOOP('',(#237078,#237079,#237080,#237081)); #44003=EDGE_LOOP('',(#237082,#237083,#237084,#237085)); #44004=EDGE_LOOP('',(#237086,#237087,#237088,#237089)); #44005=EDGE_LOOP('',(#237090,#237091,#237092,#237093)); #44006=EDGE_LOOP('',(#237094,#237095,#237096,#237097)); #44007=EDGE_LOOP('',(#237098,#237099,#237100,#237101)); #44008=EDGE_LOOP('',(#237102,#237103,#237104,#237105)); #44009=EDGE_LOOP('',(#237106,#237107,#237108,#237109)); #44010=EDGE_LOOP('',(#237110,#237111,#237112,#237113)); #44011=EDGE_LOOP('',(#237114,#237115,#237116,#237117)); #44012=EDGE_LOOP('',(#237118,#237119,#237120,#237121)); #44013=EDGE_LOOP('',(#237122,#237123,#237124,#237125)); #44014=EDGE_LOOP('',(#237126,#237127,#237128,#237129)); #44015=EDGE_LOOP('',(#237130,#237131,#237132,#237133)); #44016=EDGE_LOOP('',(#237134,#237135,#237136,#237137)); #44017=EDGE_LOOP('',(#237138,#237139,#237140,#237141)); #44018=EDGE_LOOP('',(#237142,#237143,#237144,#237145)); #44019=EDGE_LOOP('',(#237146,#237147,#237148,#237149)); #44020=EDGE_LOOP('',(#237150,#237151,#237152,#237153)); #44021=EDGE_LOOP('',(#237154,#237155,#237156,#237157)); #44022=EDGE_LOOP('',(#237158,#237159,#237160,#237161)); #44023=EDGE_LOOP('',(#237162,#237163,#237164,#237165)); #44024=EDGE_LOOP('',(#237166,#237167,#237168,#237169)); #44025=EDGE_LOOP('',(#237170,#237171,#237172,#237173)); #44026=EDGE_LOOP('',(#237174,#237175,#237176,#237177)); #44027=EDGE_LOOP('',(#237178,#237179,#237180,#237181)); #44028=EDGE_LOOP('',(#237182,#237183,#237184,#237185)); #44029=EDGE_LOOP('',(#237186,#237187,#237188,#237189)); #44030=EDGE_LOOP('',(#237190,#237191,#237192,#237193)); #44031=EDGE_LOOP('',(#237194,#237195,#237196,#237197)); #44032=EDGE_LOOP('',(#237198,#237199,#237200,#237201)); #44033=EDGE_LOOP('',(#237202,#237203,#237204,#237205)); #44034=EDGE_LOOP('',(#237206,#237207,#237208,#237209)); #44035=EDGE_LOOP('',(#237210,#237211,#237212,#237213)); #44036=EDGE_LOOP('',(#237214,#237215,#237216,#237217)); #44037=EDGE_LOOP('',(#237218,#237219,#237220,#237221)); #44038=EDGE_LOOP('',(#237222,#237223,#237224,#237225)); #44039=EDGE_LOOP('',(#237226,#237227,#237228,#237229)); #44040=EDGE_LOOP('',(#237230,#237231,#237232,#237233)); #44041=EDGE_LOOP('',(#237234,#237235,#237236,#237237)); #44042=EDGE_LOOP('',(#237238,#237239,#237240,#237241)); #44043=EDGE_LOOP('',(#237242,#237243,#237244,#237245)); #44044=EDGE_LOOP('',(#237246,#237247,#237248,#237249)); #44045=EDGE_LOOP('',(#237250,#237251,#237252,#237253)); #44046=EDGE_LOOP('',(#237254,#237255,#237256,#237257)); #44047=EDGE_LOOP('',(#237258,#237259,#237260,#237261)); #44048=EDGE_LOOP('',(#237262,#237263,#237264,#237265)); #44049=EDGE_LOOP('',(#237266,#237267,#237268,#237269)); #44050=EDGE_LOOP('',(#237270,#237271,#237272,#237273)); #44051=EDGE_LOOP('',(#237274,#237275,#237276,#237277)); #44052=EDGE_LOOP('',(#237278,#237279,#237280,#237281)); #44053=EDGE_LOOP('',(#237282,#237283,#237284,#237285)); #44054=EDGE_LOOP('',(#237286,#237287,#237288,#237289)); #44055=EDGE_LOOP('',(#237290,#237291,#237292,#237293)); #44056=EDGE_LOOP('',(#237294,#237295,#237296,#237297)); #44057=EDGE_LOOP('',(#237298,#237299,#237300,#237301)); #44058=EDGE_LOOP('',(#237302,#237303,#237304,#237305)); #44059=EDGE_LOOP('',(#237306,#237307,#237308,#237309)); #44060=EDGE_LOOP('',(#237310,#237311,#237312,#237313)); #44061=EDGE_LOOP('',(#237314,#237315,#237316,#237317)); #44062=EDGE_LOOP('',(#237318,#237319,#237320,#237321)); #44063=EDGE_LOOP('',(#237322,#237323,#237324,#237325)); #44064=EDGE_LOOP('',(#237326,#237327,#237328,#237329)); #44065=EDGE_LOOP('',(#237330,#237331,#237332,#237333)); #44066=EDGE_LOOP('',(#237334,#237335,#237336,#237337)); #44067=EDGE_LOOP('',(#237338,#237339,#237340,#237341)); #44068=EDGE_LOOP('',(#237342,#237343,#237344,#237345)); #44069=EDGE_LOOP('',(#237346,#237347,#237348,#237349)); #44070=EDGE_LOOP('',(#237350,#237351,#237352,#237353)); #44071=EDGE_LOOP('',(#237354,#237355,#237356,#237357)); #44072=EDGE_LOOP('',(#237358,#237359,#237360,#237361)); #44073=EDGE_LOOP('',(#237362,#237363,#237364,#237365)); #44074=EDGE_LOOP('',(#237366,#237367,#237368,#237369)); #44075=EDGE_LOOP('',(#237370,#237371,#237372,#237373)); #44076=EDGE_LOOP('',(#237374,#237375,#237376,#237377)); #44077=EDGE_LOOP('',(#237378,#237379,#237380,#237381)); #44078=EDGE_LOOP('',(#237382,#237383,#237384,#237385)); #44079=EDGE_LOOP('',(#237386,#237387,#237388,#237389)); #44080=EDGE_LOOP('',(#237390,#237391,#237392,#237393)); #44081=EDGE_LOOP('',(#237394,#237395,#237396,#237397)); #44082=EDGE_LOOP('',(#237398,#237399,#237400,#237401)); #44083=EDGE_LOOP('',(#237402,#237403,#237404,#237405)); #44084=EDGE_LOOP('',(#237406,#237407,#237408,#237409)); #44085=EDGE_LOOP('',(#237410,#237411,#237412,#237413)); #44086=EDGE_LOOP('',(#237414,#237415,#237416,#237417)); #44087=EDGE_LOOP('',(#237418,#237419,#237420,#237421)); #44088=EDGE_LOOP('',(#237422,#237423,#237424,#237425)); #44089=EDGE_LOOP('',(#237426,#237427,#237428,#237429)); #44090=EDGE_LOOP('',(#237430,#237431,#237432,#237433)); #44091=EDGE_LOOP('',(#237434,#237435,#237436,#237437)); #44092=EDGE_LOOP('',(#237438,#237439,#237440,#237441)); #44093=EDGE_LOOP('',(#237442,#237443,#237444,#237445)); #44094=EDGE_LOOP('',(#237446,#237447,#237448,#237449)); #44095=EDGE_LOOP('',(#237450,#237451,#237452,#237453)); #44096=EDGE_LOOP('',(#237454,#237455,#237456,#237457)); #44097=EDGE_LOOP('',(#237458,#237459,#237460,#237461)); #44098=EDGE_LOOP('',(#237462,#237463,#237464,#237465)); #44099=EDGE_LOOP('',(#237466,#237467,#237468,#237469)); #44100=EDGE_LOOP('',(#237470,#237471,#237472,#237473)); #44101=EDGE_LOOP('',(#237474,#237475,#237476,#237477)); #44102=EDGE_LOOP('',(#237478,#237479,#237480,#237481)); #44103=EDGE_LOOP('',(#237482,#237483,#237484,#237485)); #44104=EDGE_LOOP('',(#237486,#237487,#237488,#237489)); #44105=EDGE_LOOP('',(#237490,#237491,#237492,#237493)); #44106=EDGE_LOOP('',(#237494,#237495,#237496,#237497)); #44107=EDGE_LOOP('',(#237498,#237499,#237500,#237501)); #44108=EDGE_LOOP('',(#237502,#237503,#237504,#237505)); #44109=EDGE_LOOP('',(#237506,#237507,#237508,#237509)); #44110=EDGE_LOOP('',(#237510,#237511,#237512,#237513)); #44111=EDGE_LOOP('',(#237514,#237515,#237516,#237517)); #44112=EDGE_LOOP('',(#237518,#237519,#237520,#237521)); #44113=EDGE_LOOP('',(#237522,#237523,#237524,#237525)); #44114=EDGE_LOOP('',(#237526,#237527,#237528,#237529)); #44115=EDGE_LOOP('',(#237530,#237531,#237532,#237533)); #44116=EDGE_LOOP('',(#237534,#237535,#237536,#237537)); #44117=EDGE_LOOP('',(#237538,#237539,#237540,#237541)); #44118=EDGE_LOOP('',(#237542,#237543,#237544,#237545)); #44119=EDGE_LOOP('',(#237546,#237547,#237548,#237549)); #44120=EDGE_LOOP('',(#237550,#237551,#237552,#237553)); #44121=EDGE_LOOP('',(#237554,#237555,#237556,#237557)); #44122=EDGE_LOOP('',(#237558,#237559,#237560,#237561)); #44123=EDGE_LOOP('',(#237562,#237563,#237564,#237565)); #44124=EDGE_LOOP('',(#237566,#237567,#237568,#237569)); #44125=EDGE_LOOP('',(#237570,#237571,#237572,#237573)); #44126=EDGE_LOOP('',(#237574,#237575,#237576,#237577)); #44127=EDGE_LOOP('',(#237578,#237579,#237580,#237581)); #44128=EDGE_LOOP('',(#237582,#237583,#237584,#237585)); #44129=EDGE_LOOP('',(#237586,#237587,#237588,#237589)); #44130=EDGE_LOOP('',(#237590,#237591,#237592,#237593)); #44131=EDGE_LOOP('',(#237594,#237595,#237596,#237597)); #44132=EDGE_LOOP('',(#237598,#237599,#237600,#237601)); #44133=EDGE_LOOP('',(#237602,#237603,#237604,#237605)); #44134=EDGE_LOOP('',(#237606,#237607,#237608,#237609)); #44135=EDGE_LOOP('',(#237610,#237611,#237612,#237613)); #44136=EDGE_LOOP('',(#237614,#237615,#237616,#237617)); #44137=EDGE_LOOP('',(#237618,#237619,#237620,#237621)); #44138=EDGE_LOOP('',(#237622,#237623,#237624,#237625)); #44139=EDGE_LOOP('',(#237626,#237627,#237628,#237629)); #44140=EDGE_LOOP('',(#237630,#237631,#237632,#237633)); #44141=EDGE_LOOP('',(#237634,#237635,#237636,#237637)); #44142=EDGE_LOOP('',(#237638,#237639,#237640,#237641)); #44143=EDGE_LOOP('',(#237642,#237643,#237644,#237645)); #44144=EDGE_LOOP('',(#237646,#237647,#237648,#237649)); #44145=EDGE_LOOP('',(#237650,#237651,#237652,#237653)); #44146=EDGE_LOOP('',(#237654,#237655,#237656,#237657)); #44147=EDGE_LOOP('',(#237658,#237659,#237660,#237661)); #44148=EDGE_LOOP('',(#237662,#237663,#237664,#237665)); #44149=EDGE_LOOP('',(#237666,#237667,#237668,#237669)); #44150=EDGE_LOOP('',(#237670,#237671,#237672,#237673)); #44151=EDGE_LOOP('',(#237674,#237675,#237676,#237677)); #44152=EDGE_LOOP('',(#237678,#237679,#237680,#237681)); #44153=EDGE_LOOP('',(#237682,#237683,#237684,#237685)); #44154=EDGE_LOOP('',(#237686,#237687,#237688,#237689)); #44155=EDGE_LOOP('',(#237690,#237691,#237692,#237693)); #44156=EDGE_LOOP('',(#237694,#237695,#237696,#237697)); #44157=EDGE_LOOP('',(#237698,#237699,#237700,#237701)); #44158=EDGE_LOOP('',(#237702,#237703,#237704,#237705)); #44159=EDGE_LOOP('',(#237706,#237707,#237708,#237709)); #44160=EDGE_LOOP('',(#237710,#237711,#237712,#237713)); #44161=EDGE_LOOP('',(#237714,#237715,#237716,#237717)); #44162=EDGE_LOOP('',(#237718,#237719,#237720,#237721)); #44163=EDGE_LOOP('',(#237722,#237723,#237724,#237725)); #44164=EDGE_LOOP('',(#237726,#237727,#237728,#237729)); #44165=EDGE_LOOP('',(#237730,#237731,#237732,#237733)); #44166=EDGE_LOOP('',(#237734,#237735,#237736,#237737)); #44167=EDGE_LOOP('',(#237738,#237739,#237740,#237741)); #44168=EDGE_LOOP('',(#237742,#237743,#237744,#237745)); #44169=EDGE_LOOP('',(#237746,#237747,#237748,#237749)); #44170=EDGE_LOOP('',(#237750,#237751,#237752,#237753)); #44171=EDGE_LOOP('',(#237754,#237755,#237756,#237757)); #44172=EDGE_LOOP('',(#237758,#237759,#237760,#237761)); #44173=EDGE_LOOP('',(#237762,#237763,#237764,#237765)); #44174=EDGE_LOOP('',(#237766,#237767,#237768,#237769)); #44175=EDGE_LOOP('',(#237770,#237771,#237772,#237773)); #44176=EDGE_LOOP('',(#237774,#237775,#237776,#237777)); #44177=EDGE_LOOP('',(#237778,#237779,#237780,#237781)); #44178=EDGE_LOOP('',(#237782,#237783,#237784,#237785)); #44179=EDGE_LOOP('',(#237786,#237787,#237788,#237789)); #44180=EDGE_LOOP('',(#237790,#237791,#237792,#237793)); #44181=EDGE_LOOP('',(#237794,#237795,#237796,#237797)); #44182=EDGE_LOOP('',(#237798,#237799,#237800,#237801)); #44183=EDGE_LOOP('',(#237802,#237803,#237804,#237805)); #44184=EDGE_LOOP('',(#237806,#237807,#237808,#237809)); #44185=EDGE_LOOP('',(#237810,#237811,#237812,#237813)); #44186=EDGE_LOOP('',(#237814,#237815,#237816,#237817)); #44187=EDGE_LOOP('',(#237818,#237819,#237820,#237821)); #44188=EDGE_LOOP('',(#237822,#237823,#237824,#237825)); #44189=EDGE_LOOP('',(#237826,#237827,#237828,#237829)); #44190=EDGE_LOOP('',(#237830,#237831,#237832,#237833)); #44191=EDGE_LOOP('',(#237834,#237835,#237836,#237837)); #44192=EDGE_LOOP('',(#237838,#237839,#237840,#237841)); #44193=EDGE_LOOP('',(#237842,#237843,#237844,#237845)); #44194=EDGE_LOOP('',(#237846,#237847,#237848,#237849)); #44195=EDGE_LOOP('',(#237850,#237851,#237852,#237853)); #44196=EDGE_LOOP('',(#237854,#237855,#237856,#237857)); #44197=EDGE_LOOP('',(#237858,#237859,#237860,#237861)); #44198=EDGE_LOOP('',(#237862,#237863,#237864,#237865)); #44199=EDGE_LOOP('',(#237866,#237867,#237868,#237869)); #44200=EDGE_LOOP('',(#237870,#237871,#237872,#237873)); #44201=EDGE_LOOP('',(#237874,#237875,#237876,#237877)); #44202=EDGE_LOOP('',(#237878,#237879,#237880,#237881)); #44203=EDGE_LOOP('',(#237882,#237883,#237884,#237885)); #44204=EDGE_LOOP('',(#237886,#237887,#237888,#237889)); #44205=EDGE_LOOP('',(#237890,#237891,#237892,#237893)); #44206=EDGE_LOOP('',(#237894,#237895,#237896,#237897)); #44207=EDGE_LOOP('',(#237898,#237899,#237900,#237901)); #44208=EDGE_LOOP('',(#237902,#237903,#237904,#237905)); #44209=EDGE_LOOP('',(#237906,#237907,#237908,#237909)); #44210=EDGE_LOOP('',(#237910,#237911,#237912,#237913)); #44211=EDGE_LOOP('',(#237914,#237915,#237916,#237917)); #44212=EDGE_LOOP('',(#237918,#237919,#237920,#237921)); #44213=EDGE_LOOP('',(#237922,#237923,#237924,#237925)); #44214=EDGE_LOOP('',(#237926,#237927,#237928,#237929)); #44215=EDGE_LOOP('',(#237930,#237931,#237932,#237933)); #44216=EDGE_LOOP('',(#237934,#237935,#237936,#237937)); #44217=EDGE_LOOP('',(#237938,#237939,#237940,#237941)); #44218=EDGE_LOOP('',(#237942,#237943,#237944,#237945)); #44219=EDGE_LOOP('',(#237946,#237947,#237948,#237949)); #44220=EDGE_LOOP('',(#237950,#237951,#237952,#237953)); #44221=EDGE_LOOP('',(#237954,#237955,#237956,#237957)); #44222=EDGE_LOOP('',(#237958,#237959,#237960,#237961)); #44223=EDGE_LOOP('',(#237962,#237963,#237964,#237965)); #44224=EDGE_LOOP('',(#237966,#237967,#237968,#237969)); #44225=EDGE_LOOP('',(#237970,#237971,#237972,#237973)); #44226=EDGE_LOOP('',(#237974,#237975,#237976,#237977)); #44227=EDGE_LOOP('',(#237978,#237979,#237980,#237981)); #44228=EDGE_LOOP('',(#237982,#237983,#237984,#237985)); #44229=EDGE_LOOP('',(#237986,#237987,#237988,#237989)); #44230=EDGE_LOOP('',(#237990,#237991,#237992,#237993)); #44231=EDGE_LOOP('',(#237994,#237995,#237996,#237997)); #44232=EDGE_LOOP('',(#237998,#237999,#238000,#238001)); #44233=EDGE_LOOP('',(#238002,#238003,#238004,#238005)); #44234=EDGE_LOOP('',(#238006,#238007,#238008,#238009)); #44235=EDGE_LOOP('',(#238010,#238011,#238012,#238013)); #44236=EDGE_LOOP('',(#238014,#238015,#238016,#238017)); #44237=EDGE_LOOP('',(#238018,#238019,#238020,#238021)); #44238=EDGE_LOOP('',(#238022,#238023,#238024,#238025)); #44239=EDGE_LOOP('',(#238026,#238027,#238028,#238029)); #44240=EDGE_LOOP('',(#238030,#238031,#238032,#238033)); #44241=EDGE_LOOP('',(#238034,#238035,#238036,#238037)); #44242=EDGE_LOOP('',(#238038,#238039,#238040,#238041)); #44243=EDGE_LOOP('',(#238042,#238043,#238044,#238045)); #44244=EDGE_LOOP('',(#238046,#238047,#238048,#238049)); #44245=EDGE_LOOP('',(#238050,#238051,#238052,#238053)); #44246=EDGE_LOOP('',(#238054,#238055,#238056,#238057)); #44247=EDGE_LOOP('',(#238058,#238059,#238060,#238061)); #44248=EDGE_LOOP('',(#238062,#238063,#238064,#238065)); #44249=EDGE_LOOP('',(#238066,#238067,#238068,#238069)); #44250=EDGE_LOOP('',(#238070,#238071,#238072,#238073)); #44251=EDGE_LOOP('',(#238074,#238075,#238076,#238077)); #44252=EDGE_LOOP('',(#238078,#238079,#238080,#238081)); #44253=EDGE_LOOP('',(#238082,#238083,#238084,#238085)); #44254=EDGE_LOOP('',(#238086,#238087,#238088,#238089)); #44255=EDGE_LOOP('',(#238090,#238091,#238092,#238093)); #44256=EDGE_LOOP('',(#238094,#238095,#238096,#238097)); #44257=EDGE_LOOP('',(#238098,#238099,#238100,#238101)); #44258=EDGE_LOOP('',(#238102,#238103,#238104,#238105)); #44259=EDGE_LOOP('',(#238106,#238107,#238108,#238109)); #44260=EDGE_LOOP('',(#238110,#238111,#238112,#238113)); #44261=EDGE_LOOP('',(#238114,#238115,#238116,#238117)); #44262=EDGE_LOOP('',(#238118,#238119,#238120,#238121)); #44263=EDGE_LOOP('',(#238122,#238123,#238124,#238125)); #44264=EDGE_LOOP('',(#238126,#238127,#238128,#238129)); #44265=EDGE_LOOP('',(#238130,#238131,#238132,#238133)); #44266=EDGE_LOOP('',(#238134,#238135,#238136,#238137)); #44267=EDGE_LOOP('',(#238138,#238139,#238140,#238141)); #44268=EDGE_LOOP('',(#238142,#238143,#238144,#238145)); #44269=EDGE_LOOP('',(#238146,#238147,#238148,#238149)); #44270=EDGE_LOOP('',(#238150,#238151,#238152,#238153)); #44271=EDGE_LOOP('',(#238154,#238155,#238156,#238157)); #44272=EDGE_LOOP('',(#238158,#238159,#238160,#238161)); #44273=EDGE_LOOP('',(#238162,#238163,#238164,#238165)); #44274=EDGE_LOOP('',(#238166,#238167,#238168,#238169)); #44275=EDGE_LOOP('',(#238170,#238171,#238172,#238173)); #44276=EDGE_LOOP('',(#238174,#238175,#238176,#238177)); #44277=EDGE_LOOP('',(#238178,#238179,#238180,#238181)); #44278=EDGE_LOOP('',(#238182,#238183,#238184,#238185)); #44279=EDGE_LOOP('',(#238186,#238187,#238188,#238189)); #44280=EDGE_LOOP('',(#238190,#238191,#238192,#238193)); #44281=EDGE_LOOP('',(#238194,#238195,#238196,#238197)); #44282=EDGE_LOOP('',(#238198,#238199,#238200,#238201)); #44283=EDGE_LOOP('',(#238202,#238203,#238204,#238205)); #44284=EDGE_LOOP('',(#238206,#238207,#238208,#238209)); #44285=EDGE_LOOP('',(#238210,#238211,#238212,#238213)); #44286=EDGE_LOOP('',(#238214,#238215,#238216,#238217)); #44287=EDGE_LOOP('',(#238218,#238219,#238220,#238221)); #44288=EDGE_LOOP('',(#238222,#238223,#238224,#238225)); #44289=EDGE_LOOP('',(#238226,#238227,#238228,#238229)); #44290=EDGE_LOOP('',(#238230,#238231,#238232,#238233)); #44291=EDGE_LOOP('',(#238234,#238235,#238236,#238237)); #44292=EDGE_LOOP('',(#238238,#238239,#238240,#238241)); #44293=EDGE_LOOP('',(#238242,#238243,#238244,#238245)); #44294=EDGE_LOOP('',(#238246,#238247,#238248,#238249)); #44295=EDGE_LOOP('',(#238250,#238251,#238252,#238253)); #44296=EDGE_LOOP('',(#238254,#238255,#238256,#238257)); #44297=EDGE_LOOP('',(#238258,#238259,#238260,#238261)); #44298=EDGE_LOOP('',(#238262,#238263,#238264,#238265)); #44299=EDGE_LOOP('',(#238266,#238267,#238268,#238269)); #44300=EDGE_LOOP('',(#238270,#238271,#238272,#238273)); #44301=EDGE_LOOP('',(#238274,#238275,#238276,#238277)); #44302=EDGE_LOOP('',(#238278,#238279,#238280,#238281)); #44303=EDGE_LOOP('',(#238282,#238283,#238284,#238285)); #44304=EDGE_LOOP('',(#238286,#238287,#238288,#238289)); #44305=EDGE_LOOP('',(#238290,#238291,#238292,#238293)); #44306=EDGE_LOOP('',(#238294,#238295,#238296,#238297)); #44307=EDGE_LOOP('',(#238298,#238299,#238300,#238301)); #44308=EDGE_LOOP('',(#238302,#238303,#238304,#238305)); #44309=EDGE_LOOP('',(#238306,#238307,#238308,#238309)); #44310=EDGE_LOOP('',(#238310,#238311,#238312,#238313)); #44311=EDGE_LOOP('',(#238314,#238315,#238316,#238317)); #44312=EDGE_LOOP('',(#238318,#238319,#238320,#238321)); #44313=EDGE_LOOP('',(#238322,#238323,#238324,#238325)); #44314=EDGE_LOOP('',(#238326,#238327,#238328,#238329)); #44315=EDGE_LOOP('',(#238330,#238331,#238332,#238333)); #44316=EDGE_LOOP('',(#238334,#238335,#238336,#238337)); #44317=EDGE_LOOP('',(#238338,#238339,#238340,#238341)); #44318=EDGE_LOOP('',(#238342,#238343,#238344,#238345)); #44319=EDGE_LOOP('',(#238346,#238347,#238348,#238349)); #44320=EDGE_LOOP('',(#238350,#238351,#238352,#238353)); #44321=EDGE_LOOP('',(#238354,#238355,#238356,#238357)); #44322=EDGE_LOOP('',(#238358,#238359,#238360,#238361)); #44323=EDGE_LOOP('',(#238362,#238363,#238364,#238365)); #44324=EDGE_LOOP('',(#238366,#238367,#238368,#238369)); #44325=EDGE_LOOP('',(#238370,#238371,#238372,#238373)); #44326=EDGE_LOOP('',(#238374,#238375,#238376,#238377)); #44327=EDGE_LOOP('',(#238378,#238379,#238380,#238381)); #44328=EDGE_LOOP('',(#238382,#238383,#238384,#238385)); #44329=EDGE_LOOP('',(#238386,#238387,#238388,#238389)); #44330=EDGE_LOOP('',(#238390,#238391,#238392,#238393)); #44331=EDGE_LOOP('',(#238394,#238395,#238396,#238397)); #44332=EDGE_LOOP('',(#238398,#238399,#238400,#238401)); #44333=EDGE_LOOP('',(#238402,#238403,#238404,#238405)); #44334=EDGE_LOOP('',(#238406,#238407,#238408,#238409)); #44335=EDGE_LOOP('',(#238410,#238411,#238412,#238413)); #44336=EDGE_LOOP('',(#238414,#238415,#238416,#238417)); #44337=EDGE_LOOP('',(#238418,#238419,#238420,#238421)); #44338=EDGE_LOOP('',(#238422,#238423,#238424,#238425)); #44339=EDGE_LOOP('',(#238426,#238427,#238428,#238429)); #44340=EDGE_LOOP('',(#238430,#238431,#238432,#238433)); #44341=EDGE_LOOP('',(#238434,#238435,#238436,#238437)); #44342=EDGE_LOOP('',(#238438,#238439,#238440,#238441)); #44343=EDGE_LOOP('',(#238442,#238443,#238444,#238445)); #44344=EDGE_LOOP('',(#238446,#238447,#238448,#238449)); #44345=EDGE_LOOP('',(#238450,#238451,#238452,#238453)); #44346=EDGE_LOOP('',(#238454,#238455,#238456,#238457)); #44347=EDGE_LOOP('',(#238458,#238459,#238460,#238461)); #44348=EDGE_LOOP('',(#238462,#238463,#238464,#238465)); #44349=EDGE_LOOP('',(#238466,#238467,#238468,#238469)); #44350=EDGE_LOOP('',(#238470,#238471,#238472,#238473)); #44351=EDGE_LOOP('',(#238474,#238475,#238476,#238477)); #44352=EDGE_LOOP('',(#238478,#238479,#238480,#238481)); #44353=EDGE_LOOP('',(#238482,#238483,#238484,#238485)); #44354=EDGE_LOOP('',(#238486,#238487,#238488,#238489)); #44355=EDGE_LOOP('',(#238490,#238491,#238492,#238493)); #44356=EDGE_LOOP('',(#238494,#238495,#238496,#238497)); #44357=EDGE_LOOP('',(#238498,#238499,#238500,#238501)); #44358=EDGE_LOOP('',(#238502,#238503,#238504,#238505)); #44359=EDGE_LOOP('',(#238506,#238507,#238508,#238509)); #44360=EDGE_LOOP('',(#238510,#238511,#238512,#238513)); #44361=EDGE_LOOP('',(#238514,#238515,#238516,#238517)); #44362=EDGE_LOOP('',(#238518,#238519,#238520,#238521)); #44363=EDGE_LOOP('',(#238522,#238523,#238524,#238525)); #44364=EDGE_LOOP('',(#238526,#238527,#238528,#238529)); #44365=EDGE_LOOP('',(#238530,#238531,#238532,#238533)); #44366=EDGE_LOOP('',(#238534,#238535,#238536,#238537)); #44367=EDGE_LOOP('',(#238538,#238539,#238540,#238541)); #44368=EDGE_LOOP('',(#238542,#238543,#238544,#238545)); #44369=EDGE_LOOP('',(#238546,#238547,#238548,#238549)); #44370=EDGE_LOOP('',(#238550,#238551,#238552,#238553)); #44371=EDGE_LOOP('',(#238554,#238555,#238556,#238557)); #44372=EDGE_LOOP('',(#238558,#238559,#238560,#238561)); #44373=EDGE_LOOP('',(#238562,#238563,#238564,#238565)); #44374=EDGE_LOOP('',(#238566,#238567,#238568,#238569)); #44375=EDGE_LOOP('',(#238570,#238571,#238572,#238573)); #44376=EDGE_LOOP('',(#238574,#238575,#238576,#238577)); #44377=EDGE_LOOP('',(#238578,#238579,#238580,#238581)); #44378=EDGE_LOOP('',(#238582,#238583,#238584,#238585)); #44379=EDGE_LOOP('',(#238586,#238587,#238588,#238589)); #44380=EDGE_LOOP('',(#238590,#238591,#238592,#238593)); #44381=EDGE_LOOP('',(#238594,#238595,#238596,#238597)); #44382=EDGE_LOOP('',(#238598,#238599,#238600,#238601)); #44383=EDGE_LOOP('',(#238602,#238603,#238604,#238605)); #44384=EDGE_LOOP('',(#238606,#238607,#238608,#238609)); #44385=EDGE_LOOP('',(#238610,#238611,#238612,#238613)); #44386=EDGE_LOOP('',(#238614,#238615,#238616,#238617)); #44387=EDGE_LOOP('',(#238618,#238619,#238620,#238621)); #44388=EDGE_LOOP('',(#238622,#238623,#238624,#238625)); #44389=EDGE_LOOP('',(#238626,#238627,#238628,#238629)); #44390=EDGE_LOOP('',(#238630,#238631,#238632,#238633)); #44391=EDGE_LOOP('',(#238634,#238635,#238636,#238637)); #44392=EDGE_LOOP('',(#238638,#238639,#238640,#238641)); #44393=EDGE_LOOP('',(#238642,#238643,#238644,#238645)); #44394=EDGE_LOOP('',(#238646,#238647,#238648,#238649)); #44395=EDGE_LOOP('',(#238650,#238651,#238652,#238653)); #44396=EDGE_LOOP('',(#238654,#238655,#238656,#238657)); #44397=EDGE_LOOP('',(#238658,#238659,#238660,#238661)); #44398=EDGE_LOOP('',(#238662,#238663,#238664,#238665)); #44399=EDGE_LOOP('',(#238666,#238667,#238668,#238669)); #44400=EDGE_LOOP('',(#238670,#238671,#238672,#238673)); #44401=EDGE_LOOP('',(#238674,#238675,#238676,#238677)); #44402=EDGE_LOOP('',(#238678,#238679,#238680,#238681)); #44403=EDGE_LOOP('',(#238682,#238683,#238684,#238685)); #44404=EDGE_LOOP('',(#238686,#238687,#238688,#238689)); #44405=EDGE_LOOP('',(#238690,#238691,#238692,#238693)); #44406=EDGE_LOOP('',(#238694,#238695,#238696,#238697)); #44407=EDGE_LOOP('',(#238698,#238699,#238700,#238701)); #44408=EDGE_LOOP('',(#238702,#238703,#238704,#238705)); #44409=EDGE_LOOP('',(#238706,#238707,#238708,#238709)); #44410=EDGE_LOOP('',(#238710,#238711,#238712,#238713)); #44411=EDGE_LOOP('',(#238714,#238715,#238716,#238717)); #44412=EDGE_LOOP('',(#238718,#238719,#238720,#238721)); #44413=EDGE_LOOP('',(#238722,#238723,#238724,#238725)); #44414=EDGE_LOOP('',(#238726,#238727,#238728,#238729)); #44415=EDGE_LOOP('',(#238730,#238731,#238732,#238733)); #44416=EDGE_LOOP('',(#238734,#238735,#238736,#238737)); #44417=EDGE_LOOP('',(#238738,#238739,#238740,#238741)); #44418=EDGE_LOOP('',(#238742,#238743,#238744,#238745)); #44419=EDGE_LOOP('',(#238746,#238747,#238748,#238749)); #44420=EDGE_LOOP('',(#238750,#238751,#238752,#238753)); #44421=EDGE_LOOP('',(#238754,#238755,#238756,#238757)); #44422=EDGE_LOOP('',(#238758,#238759,#238760,#238761)); #44423=EDGE_LOOP('',(#238762,#238763,#238764,#238765)); #44424=EDGE_LOOP('',(#238766,#238767,#238768,#238769)); #44425=EDGE_LOOP('',(#238770,#238771,#238772,#238773)); #44426=EDGE_LOOP('',(#238774,#238775,#238776,#238777)); #44427=EDGE_LOOP('',(#238778,#238779,#238780,#238781)); #44428=EDGE_LOOP('',(#238782,#238783,#238784,#238785)); #44429=EDGE_LOOP('',(#238786,#238787,#238788,#238789)); #44430=EDGE_LOOP('',(#238790,#238791,#238792,#238793)); #44431=EDGE_LOOP('',(#238794,#238795,#238796,#238797)); #44432=EDGE_LOOP('',(#238798,#238799,#238800,#238801)); #44433=EDGE_LOOP('',(#238802,#238803,#238804,#238805)); #44434=EDGE_LOOP('',(#238806,#238807,#238808,#238809)); #44435=EDGE_LOOP('',(#238810,#238811,#238812,#238813)); #44436=EDGE_LOOP('',(#238814,#238815,#238816,#238817)); #44437=EDGE_LOOP('',(#238818,#238819,#238820,#238821)); #44438=EDGE_LOOP('',(#238822,#238823,#238824,#238825)); #44439=EDGE_LOOP('',(#238826,#238827,#238828,#238829)); #44440=EDGE_LOOP('',(#238830,#238831,#238832,#238833)); #44441=EDGE_LOOP('',(#238834,#238835,#238836,#238837)); #44442=EDGE_LOOP('',(#238838,#238839,#238840,#238841)); #44443=EDGE_LOOP('',(#238842,#238843,#238844,#238845)); #44444=EDGE_LOOP('',(#238846,#238847,#238848,#238849)); #44445=EDGE_LOOP('',(#238850,#238851,#238852,#238853)); #44446=EDGE_LOOP('',(#238854,#238855,#238856,#238857)); #44447=EDGE_LOOP('',(#238858,#238859,#238860,#238861)); #44448=EDGE_LOOP('',(#238862,#238863,#238864,#238865)); #44449=EDGE_LOOP('',(#238866,#238867,#238868,#238869)); #44450=EDGE_LOOP('',(#238870,#238871,#238872,#238873)); #44451=EDGE_LOOP('',(#238874,#238875,#238876,#238877)); #44452=EDGE_LOOP('',(#238878,#238879,#238880,#238881)); #44453=EDGE_LOOP('',(#238882,#238883,#238884,#238885)); #44454=EDGE_LOOP('',(#238886,#238887,#238888,#238889)); #44455=EDGE_LOOP('',(#238890,#238891,#238892,#238893)); #44456=EDGE_LOOP('',(#238894,#238895,#238896,#238897)); #44457=EDGE_LOOP('',(#238898,#238899,#238900,#238901)); #44458=EDGE_LOOP('',(#238902,#238903,#238904,#238905)); #44459=EDGE_LOOP('',(#238906,#238907,#238908,#238909)); #44460=EDGE_LOOP('',(#238910,#238911,#238912,#238913)); #44461=EDGE_LOOP('',(#238914,#238915,#238916,#238917)); #44462=EDGE_LOOP('',(#238918,#238919,#238920,#238921)); #44463=EDGE_LOOP('',(#238922,#238923,#238924,#238925)); #44464=EDGE_LOOP('',(#238926,#238927,#238928,#238929)); #44465=EDGE_LOOP('',(#238930,#238931,#238932,#238933)); #44466=EDGE_LOOP('',(#238934,#238935,#238936,#238937)); #44467=EDGE_LOOP('',(#238938,#238939,#238940,#238941)); #44468=EDGE_LOOP('',(#238942,#238943,#238944,#238945)); #44469=EDGE_LOOP('',(#238946,#238947,#238948,#238949)); #44470=EDGE_LOOP('',(#238950,#238951,#238952,#238953)); #44471=EDGE_LOOP('',(#238954,#238955,#238956,#238957)); #44472=EDGE_LOOP('',(#238958,#238959,#238960,#238961)); #44473=EDGE_LOOP('',(#238962,#238963,#238964,#238965)); #44474=EDGE_LOOP('',(#238966,#238967,#238968,#238969)); #44475=EDGE_LOOP('',(#238970,#238971,#238972,#238973)); #44476=EDGE_LOOP('',(#238974,#238975,#238976,#238977)); #44477=EDGE_LOOP('',(#238978,#238979,#238980,#238981)); #44478=EDGE_LOOP('',(#238982,#238983,#238984,#238985)); #44479=EDGE_LOOP('',(#238986,#238987,#238988,#238989)); #44480=EDGE_LOOP('',(#238990,#238991,#238992,#238993)); #44481=EDGE_LOOP('',(#238994,#238995,#238996,#238997)); #44482=EDGE_LOOP('',(#238998,#238999,#239000,#239001)); #44483=EDGE_LOOP('',(#239002,#239003,#239004,#239005)); #44484=EDGE_LOOP('',(#239006,#239007,#239008,#239009)); #44485=EDGE_LOOP('',(#239010,#239011,#239012,#239013)); #44486=EDGE_LOOP('',(#239014,#239015,#239016,#239017)); #44487=EDGE_LOOP('',(#239018,#239019,#239020,#239021)); #44488=EDGE_LOOP('',(#239022,#239023,#239024,#239025)); #44489=EDGE_LOOP('',(#239026,#239027,#239028,#239029)); #44490=EDGE_LOOP('',(#239030,#239031,#239032,#239033)); #44491=EDGE_LOOP('',(#239034,#239035,#239036,#239037)); #44492=EDGE_LOOP('',(#239038,#239039,#239040,#239041)); #44493=EDGE_LOOP('',(#239042,#239043,#239044,#239045)); #44494=EDGE_LOOP('',(#239046,#239047,#239048,#239049)); #44495=EDGE_LOOP('',(#239050,#239051,#239052,#239053)); #44496=EDGE_LOOP('',(#239054,#239055,#239056,#239057)); #44497=EDGE_LOOP('',(#239058,#239059,#239060,#239061)); #44498=EDGE_LOOP('',(#239062,#239063,#239064,#239065)); #44499=EDGE_LOOP('',(#239066,#239067,#239068,#239069)); #44500=EDGE_LOOP('',(#239070,#239071,#239072,#239073)); #44501=EDGE_LOOP('',(#239074,#239075,#239076,#239077)); #44502=EDGE_LOOP('',(#239078,#239079,#239080,#239081)); #44503=EDGE_LOOP('',(#239082,#239083,#239084,#239085)); #44504=EDGE_LOOP('',(#239086,#239087,#239088,#239089)); #44505=EDGE_LOOP('',(#239090,#239091,#239092,#239093)); #44506=EDGE_LOOP('',(#239094,#239095,#239096,#239097)); #44507=EDGE_LOOP('',(#239098,#239099,#239100,#239101)); #44508=EDGE_LOOP('',(#239102,#239103,#239104,#239105)); #44509=EDGE_LOOP('',(#239106,#239107,#239108,#239109)); #44510=EDGE_LOOP('',(#239110,#239111,#239112,#239113)); #44511=EDGE_LOOP('',(#239114,#239115,#239116,#239117)); #44512=EDGE_LOOP('',(#239118,#239119,#239120,#239121)); #44513=EDGE_LOOP('',(#239122,#239123,#239124,#239125)); #44514=EDGE_LOOP('',(#239126,#239127,#239128,#239129)); #44515=EDGE_LOOP('',(#239130,#239131,#239132,#239133)); #44516=EDGE_LOOP('',(#239134,#239135,#239136,#239137)); #44517=EDGE_LOOP('',(#239138,#239139,#239140,#239141)); #44518=EDGE_LOOP('',(#239142,#239143,#239144,#239145)); #44519=EDGE_LOOP('',(#239146,#239147,#239148,#239149)); #44520=EDGE_LOOP('',(#239150,#239151,#239152,#239153)); #44521=EDGE_LOOP('',(#239154,#239155,#239156,#239157)); #44522=EDGE_LOOP('',(#239158,#239159,#239160,#239161)); #44523=EDGE_LOOP('',(#239162,#239163,#239164,#239165)); #44524=EDGE_LOOP('',(#239166,#239167,#239168,#239169)); #44525=EDGE_LOOP('',(#239170,#239171,#239172,#239173)); #44526=EDGE_LOOP('',(#239174,#239175,#239176,#239177)); #44527=EDGE_LOOP('',(#239178,#239179,#239180,#239181)); #44528=EDGE_LOOP('',(#239182,#239183,#239184,#239185)); #44529=EDGE_LOOP('',(#239186,#239187,#239188,#239189)); #44530=EDGE_LOOP('',(#239190,#239191,#239192,#239193)); #44531=EDGE_LOOP('',(#239194,#239195,#239196,#239197)); #44532=EDGE_LOOP('',(#239198,#239199,#239200,#239201)); #44533=EDGE_LOOP('',(#239202,#239203,#239204,#239205)); #44534=EDGE_LOOP('',(#239206,#239207,#239208,#239209)); #44535=EDGE_LOOP('',(#239210,#239211,#239212,#239213)); #44536=EDGE_LOOP('',(#239214,#239215,#239216,#239217)); #44537=EDGE_LOOP('',(#239218,#239219,#239220,#239221)); #44538=EDGE_LOOP('',(#239222,#239223,#239224,#239225)); #44539=EDGE_LOOP('',(#239226,#239227,#239228,#239229)); #44540=EDGE_LOOP('',(#239230,#239231,#239232,#239233)); #44541=EDGE_LOOP('',(#239234,#239235,#239236,#239237)); #44542=EDGE_LOOP('',(#239238,#239239,#239240,#239241)); #44543=EDGE_LOOP('',(#239242,#239243,#239244,#239245)); #44544=EDGE_LOOP('',(#239246,#239247,#239248,#239249)); #44545=EDGE_LOOP('',(#239250,#239251,#239252,#239253)); #44546=EDGE_LOOP('',(#239254,#239255,#239256,#239257)); #44547=EDGE_LOOP('',(#239258,#239259,#239260,#239261)); #44548=EDGE_LOOP('',(#239262,#239263,#239264,#239265)); #44549=EDGE_LOOP('',(#239266,#239267,#239268,#239269)); #44550=EDGE_LOOP('',(#239270,#239271,#239272,#239273)); #44551=EDGE_LOOP('',(#239274,#239275,#239276,#239277)); #44552=EDGE_LOOP('',(#239278,#239279,#239280,#239281)); #44553=EDGE_LOOP('',(#239282,#239283,#239284,#239285)); #44554=EDGE_LOOP('',(#239286,#239287,#239288,#239289)); #44555=EDGE_LOOP('',(#239290,#239291,#239292,#239293)); #44556=EDGE_LOOP('',(#239294,#239295,#239296,#239297)); #44557=EDGE_LOOP('',(#239298,#239299,#239300,#239301)); #44558=EDGE_LOOP('',(#239302,#239303,#239304,#239305)); #44559=EDGE_LOOP('',(#239306,#239307,#239308,#239309)); #44560=EDGE_LOOP('',(#239310,#239311,#239312,#239313)); #44561=EDGE_LOOP('',(#239314,#239315,#239316,#239317)); #44562=EDGE_LOOP('',(#239318,#239319,#239320,#239321)); #44563=EDGE_LOOP('',(#239322,#239323,#239324,#239325)); #44564=EDGE_LOOP('',(#239326,#239327,#239328,#239329)); #44565=EDGE_LOOP('',(#239330,#239331,#239332,#239333)); #44566=EDGE_LOOP('',(#239334,#239335,#239336,#239337)); #44567=EDGE_LOOP('',(#239338,#239339,#239340,#239341)); #44568=EDGE_LOOP('',(#239342,#239343,#239344,#239345)); #44569=EDGE_LOOP('',(#239346,#239347,#239348,#239349)); #44570=EDGE_LOOP('',(#239350,#239351,#239352,#239353)); #44571=EDGE_LOOP('',(#239354,#239355,#239356,#239357)); #44572=EDGE_LOOP('',(#239358,#239359,#239360,#239361)); #44573=EDGE_LOOP('',(#239362,#239363,#239364,#239365)); #44574=EDGE_LOOP('',(#239366,#239367,#239368,#239369)); #44575=EDGE_LOOP('',(#239370,#239371,#239372,#239373)); #44576=EDGE_LOOP('',(#239374,#239375,#239376,#239377)); #44577=EDGE_LOOP('',(#239378,#239379,#239380,#239381)); #44578=EDGE_LOOP('',(#239382,#239383,#239384,#239385)); #44579=EDGE_LOOP('',(#239386,#239387,#239388,#239389)); #44580=EDGE_LOOP('',(#239390,#239391,#239392,#239393)); #44581=EDGE_LOOP('',(#239394,#239395,#239396,#239397)); #44582=EDGE_LOOP('',(#239398,#239399,#239400,#239401)); #44583=EDGE_LOOP('',(#239402,#239403,#239404,#239405)); #44584=EDGE_LOOP('',(#239406,#239407,#239408,#239409)); #44585=EDGE_LOOP('',(#239410,#239411,#239412,#239413)); #44586=EDGE_LOOP('',(#239414,#239415,#239416,#239417)); #44587=EDGE_LOOP('',(#239418,#239419,#239420,#239421)); #44588=EDGE_LOOP('',(#239422,#239423,#239424,#239425)); #44589=EDGE_LOOP('',(#239426,#239427,#239428,#239429)); #44590=EDGE_LOOP('',(#239430,#239431,#239432,#239433)); #44591=EDGE_LOOP('',(#239434,#239435,#239436,#239437)); #44592=EDGE_LOOP('',(#239438,#239439,#239440,#239441)); #44593=EDGE_LOOP('',(#239442,#239443,#239444,#239445)); #44594=EDGE_LOOP('',(#239446,#239447,#239448,#239449)); #44595=EDGE_LOOP('',(#239450,#239451,#239452,#239453)); #44596=EDGE_LOOP('',(#239454,#239455,#239456,#239457)); #44597=EDGE_LOOP('',(#239458,#239459,#239460,#239461)); #44598=EDGE_LOOP('',(#239462,#239463,#239464,#239465)); #44599=EDGE_LOOP('',(#239466,#239467,#239468,#239469)); #44600=EDGE_LOOP('',(#239470,#239471,#239472,#239473)); #44601=EDGE_LOOP('',(#239474,#239475,#239476,#239477)); #44602=EDGE_LOOP('',(#239478,#239479,#239480,#239481)); #44603=EDGE_LOOP('',(#239482,#239483,#239484,#239485)); #44604=EDGE_LOOP('',(#239486,#239487,#239488,#239489)); #44605=EDGE_LOOP('',(#239490,#239491,#239492,#239493)); #44606=EDGE_LOOP('',(#239494,#239495,#239496,#239497)); #44607=EDGE_LOOP('',(#239498,#239499,#239500,#239501)); #44608=EDGE_LOOP('',(#239502,#239503,#239504,#239505)); #44609=EDGE_LOOP('',(#239506,#239507,#239508,#239509)); #44610=EDGE_LOOP('',(#239510,#239511,#239512,#239513)); #44611=EDGE_LOOP('',(#239514,#239515,#239516,#239517)); #44612=EDGE_LOOP('',(#239518,#239519,#239520,#239521)); #44613=EDGE_LOOP('',(#239522,#239523,#239524,#239525)); #44614=EDGE_LOOP('',(#239526,#239527,#239528,#239529)); #44615=EDGE_LOOP('',(#239530,#239531,#239532,#239533)); #44616=EDGE_LOOP('',(#239534,#239535,#239536,#239537)); #44617=EDGE_LOOP('',(#239538,#239539,#239540,#239541)); #44618=EDGE_LOOP('',(#239542,#239543,#239544,#239545)); #44619=EDGE_LOOP('',(#239546,#239547,#239548,#239549)); #44620=EDGE_LOOP('',(#239550,#239551,#239552,#239553)); #44621=EDGE_LOOP('',(#239554,#239555,#239556,#239557)); #44622=EDGE_LOOP('',(#239558,#239559,#239560,#239561)); #44623=EDGE_LOOP('',(#239562,#239563,#239564,#239565)); #44624=EDGE_LOOP('',(#239566,#239567,#239568,#239569)); #44625=EDGE_LOOP('',(#239570,#239571,#239572,#239573)); #44626=EDGE_LOOP('',(#239574,#239575,#239576,#239577)); #44627=EDGE_LOOP('',(#239578,#239579,#239580,#239581)); #44628=EDGE_LOOP('',(#239582,#239583,#239584,#239585)); #44629=EDGE_LOOP('',(#239586,#239587,#239588,#239589)); #44630=EDGE_LOOP('',(#239590,#239591,#239592,#239593)); #44631=EDGE_LOOP('',(#239594,#239595,#239596,#239597)); #44632=EDGE_LOOP('',(#239598,#239599,#239600,#239601)); #44633=EDGE_LOOP('',(#239602,#239603,#239604,#239605)); #44634=EDGE_LOOP('',(#239606,#239607,#239608,#239609)); #44635=EDGE_LOOP('',(#239610,#239611,#239612,#239613)); #44636=EDGE_LOOP('',(#239614,#239615,#239616,#239617)); #44637=EDGE_LOOP('',(#239618,#239619,#239620,#239621)); #44638=EDGE_LOOP('',(#239622,#239623,#239624,#239625)); #44639=EDGE_LOOP('',(#239626,#239627,#239628,#239629)); #44640=EDGE_LOOP('',(#239630,#239631,#239632,#239633)); #44641=EDGE_LOOP('',(#239634,#239635,#239636,#239637)); #44642=EDGE_LOOP('',(#239638,#239639,#239640,#239641)); #44643=EDGE_LOOP('',(#239642,#239643,#239644,#239645)); #44644=EDGE_LOOP('',(#239646,#239647,#239648,#239649)); #44645=EDGE_LOOP('',(#239650,#239651,#239652,#239653)); #44646=EDGE_LOOP('',(#239654,#239655,#239656,#239657)); #44647=EDGE_LOOP('',(#239658,#239659,#239660,#239661)); #44648=EDGE_LOOP('',(#239662,#239663,#239664,#239665)); #44649=EDGE_LOOP('',(#239666,#239667,#239668,#239669)); #44650=EDGE_LOOP('',(#239670,#239671,#239672,#239673)); #44651=EDGE_LOOP('',(#239674,#239675,#239676,#239677)); #44652=EDGE_LOOP('',(#239678,#239679,#239680,#239681)); #44653=EDGE_LOOP('',(#239682,#239683,#239684,#239685)); #44654=EDGE_LOOP('',(#239686,#239687,#239688,#239689)); #44655=EDGE_LOOP('',(#239690,#239691,#239692,#239693)); #44656=EDGE_LOOP('',(#239694,#239695,#239696,#239697)); #44657=EDGE_LOOP('',(#239698,#239699,#239700,#239701)); #44658=EDGE_LOOP('',(#239702,#239703,#239704,#239705)); #44659=EDGE_LOOP('',(#239706,#239707,#239708,#239709)); #44660=EDGE_LOOP('',(#239710,#239711,#239712,#239713,#239714,#239715,#239716, #239717,#239718,#239719,#239720,#239721,#239722,#239723,#239724,#239725, #239726,#239727,#239728,#239729,#239730,#239731,#239732,#239733,#239734, #239735,#239736,#239737,#239738,#239739,#239740,#239741,#239742,#239743, #239744,#239745,#239746,#239747,#239748,#239749,#239750,#239751,#239752, #239753,#239754,#239755,#239756,#239757,#239758,#239759,#239760,#239761, #239762,#239763,#239764,#239765,#239766,#239767,#239768,#239769,#239770, #239771,#239772,#239773,#239774,#239775,#239776,#239777,#239778,#239779, #239780,#239781,#239782,#239783,#239784,#239785,#239786,#239787,#239788, #239789,#239790,#239791,#239792,#239793,#239794,#239795,#239796,#239797, #239798,#239799,#239800,#239801,#239802,#239803,#239804,#239805,#239806, #239807,#239808,#239809,#239810,#239811,#239812,#239813,#239814,#239815, #239816,#239817,#239818,#239819,#239820,#239821,#239822,#239823,#239824, #239825,#239826,#239827,#239828,#239829)); #44661=EDGE_LOOP('',(#239830)); #44662=EDGE_LOOP('',(#239831)); #44663=EDGE_LOOP('',(#239832)); #44664=EDGE_LOOP('',(#239833)); #44665=EDGE_LOOP('',(#239834)); #44666=EDGE_LOOP('',(#239835)); #44667=EDGE_LOOP('',(#239836)); #44668=EDGE_LOOP('',(#239837)); #44669=EDGE_LOOP('',(#239838)); #44670=EDGE_LOOP('',(#239839,#239840,#239841,#239842)); #44671=EDGE_LOOP('',(#239843,#239844,#239845,#239846)); #44672=EDGE_LOOP('',(#239847)); #44673=EDGE_LOOP('',(#239848)); #44674=EDGE_LOOP('',(#239849)); #44675=EDGE_LOOP('',(#239850)); #44676=EDGE_LOOP('',(#239851,#239852,#239853,#239854)); #44677=EDGE_LOOP('',(#239855,#239856,#239857,#239858)); #44678=EDGE_LOOP('',(#239859)); #44679=EDGE_LOOP('',(#239860)); #44680=EDGE_LOOP('',(#239861)); #44681=EDGE_LOOP('',(#239862)); #44682=EDGE_LOOP('',(#239863)); #44683=EDGE_LOOP('',(#239864)); #44684=EDGE_LOOP('',(#239865)); #44685=EDGE_LOOP('',(#239866)); #44686=EDGE_LOOP('',(#239867)); #44687=EDGE_LOOP('',(#239868)); #44688=EDGE_LOOP('',(#239869)); #44689=EDGE_LOOP('',(#239870)); #44690=EDGE_LOOP('',(#239871)); #44691=EDGE_LOOP('',(#239872)); #44692=EDGE_LOOP('',(#239873)); #44693=EDGE_LOOP('',(#239874)); #44694=EDGE_LOOP('',(#239875)); #44695=EDGE_LOOP('',(#239876)); #44696=EDGE_LOOP('',(#239877)); #44697=EDGE_LOOP('',(#239878)); #44698=EDGE_LOOP('',(#239879)); #44699=EDGE_LOOP('',(#239880)); #44700=EDGE_LOOP('',(#239881)); #44701=EDGE_LOOP('',(#239882)); #44702=EDGE_LOOP('',(#239883)); #44703=EDGE_LOOP('',(#239884)); #44704=EDGE_LOOP('',(#239885)); #44705=EDGE_LOOP('',(#239886)); #44706=EDGE_LOOP('',(#239887)); #44707=EDGE_LOOP('',(#239888)); #44708=EDGE_LOOP('',(#239889)); #44709=EDGE_LOOP('',(#239890)); #44710=EDGE_LOOP('',(#239891)); #44711=EDGE_LOOP('',(#239892)); #44712=EDGE_LOOP('',(#239893)); #44713=EDGE_LOOP('',(#239894)); #44714=EDGE_LOOP('',(#239895)); #44715=EDGE_LOOP('',(#239896)); #44716=EDGE_LOOP('',(#239897)); #44717=EDGE_LOOP('',(#239898)); #44718=EDGE_LOOP('',(#239899)); #44719=EDGE_LOOP('',(#239900)); #44720=EDGE_LOOP('',(#239901)); #44721=EDGE_LOOP('',(#239902)); #44722=EDGE_LOOP('',(#239903)); #44723=EDGE_LOOP('',(#239904,#239905,#239906,#239907,#239908,#239909,#239910, #239911,#239912,#239913,#239914,#239915,#239916,#239917,#239918,#239919, #239920,#239921,#239922,#239923,#239924,#239925,#239926,#239927)); #44724=EDGE_LOOP('',(#239928,#239929,#239930,#239931,#239932,#239933,#239934, #239935)); #44725=EDGE_LOOP('',(#239936,#239937,#239938,#239939,#239940,#239941,#239942, #239943,#239944,#239945,#239946,#239947,#239948,#239949,#239950,#239951, #239952,#239953,#239954,#239955,#239956,#239957,#239958,#239959,#239960, #239961,#239962,#239963,#239964,#239965,#239966,#239967,#239968,#239969, #239970,#239971,#239972,#239973,#239974,#239975,#239976,#239977,#239978, #239979,#239980,#239981,#239982,#239983,#239984,#239985,#239986,#239987, #239988,#239989,#239990,#239991,#239992,#239993,#239994,#239995,#239996, #239997,#239998,#239999,#240000,#240001,#240002,#240003,#240004,#240005, #240006,#240007,#240008,#240009,#240010,#240011,#240012,#240013,#240014, #240015,#240016,#240017,#240018,#240019,#240020,#240021,#240022,#240023, #240024,#240025,#240026,#240027,#240028,#240029,#240030,#240031,#240032, #240033,#240034,#240035,#240036,#240037,#240038,#240039,#240040,#240041, #240042,#240043,#240044,#240045,#240046,#240047,#240048,#240049,#240050, #240051,#240052,#240053,#240054)); #44726=EDGE_LOOP('',(#240055,#240056,#240057,#240058,#240059,#240060,#240061, #240062,#240063,#240064,#240065,#240066,#240067,#240068,#240069,#240070, #240071,#240072,#240073,#240074,#240075,#240076,#240077,#240078,#240079, #240080,#240081,#240082,#240083,#240084,#240085,#240086,#240087,#240088, #240089,#240090,#240091,#240092,#240093,#240094,#240095,#240096,#240097, #240098,#240099,#240100,#240101,#240102,#240103,#240104,#240105,#240106, #240107,#240108,#240109,#240110,#240111,#240112,#240113,#240114,#240115, #240116,#240117,#240118,#240119,#240120,#240121,#240122,#240123,#240124, #240125,#240126,#240127,#240128,#240129,#240130,#240131,#240132,#240133, #240134,#240135,#240136,#240137,#240138,#240139,#240140,#240141,#240142, #240143,#240144,#240145,#240146,#240147,#240148,#240149,#240150,#240151, #240152,#240153,#240154,#240155,#240156,#240157,#240158,#240159,#240160, #240161,#240162,#240163,#240164,#240165,#240166,#240167,#240168,#240169, #240170,#240171,#240172,#240173,#240174,#240175,#240176,#240177,#240178, #240179,#240180,#240181,#240182,#240183,#240184,#240185,#240186,#240187, #240188,#240189,#240190,#240191,#240192,#240193,#240194,#240195,#240196, #240197,#240198,#240199,#240200,#240201,#240202,#240203,#240204,#240205, #240206,#240207,#240208,#240209,#240210,#240211,#240212,#240213,#240214, #240215,#240216,#240217,#240218,#240219,#240220,#240221,#240222,#240223, #240224,#240225,#240226,#240227,#240228,#240229,#240230,#240231,#240232, #240233,#240234,#240235,#240236,#240237,#240238,#240239,#240240,#240241, #240242,#240243,#240244,#240245,#240246,#240247,#240248,#240249,#240250, #240251,#240252,#240253,#240254,#240255,#240256,#240257,#240258,#240259, #240260,#240261,#240262,#240263,#240264,#240265,#240266,#240267,#240268, #240269,#240270,#240271,#240272,#240273,#240274,#240275,#240276,#240277, #240278,#240279,#240280,#240281,#240282,#240283,#240284,#240285,#240286, #240287,#240288,#240289,#240290,#240291,#240292,#240293,#240294,#240295, #240296,#240297,#240298,#240299,#240300,#240301,#240302,#240303,#240304, #240305,#240306,#240307,#240308,#240309,#240310,#240311,#240312,#240313, #240314,#240315,#240316,#240317,#240318,#240319,#240320,#240321,#240322, #240323,#240324,#240325,#240326,#240327,#240328,#240329,#240330,#240331, #240332,#240333,#240334,#240335,#240336,#240337,#240338,#240339,#240340, #240341,#240342,#240343,#240344,#240345,#240346,#240347,#240348,#240349, #240350,#240351,#240352,#240353,#240354,#240355,#240356,#240357,#240358, #240359,#240360,#240361,#240362,#240363,#240364,#240365,#240366,#240367, #240368,#240369,#240370,#240371,#240372,#240373,#240374,#240375,#240376, #240377,#240378,#240379,#240380,#240381,#240382,#240383,#240384,#240385, #240386,#240387,#240388,#240389,#240390,#240391,#240392,#240393,#240394, #240395,#240396,#240397,#240398,#240399,#240400,#240401,#240402,#240403, #240404,#240405,#240406,#240407,#240408,#240409,#240410,#240411,#240412, #240413,#240414,#240415,#240416,#240417,#240418,#240419,#240420,#240421, #240422,#240423,#240424,#240425,#240426,#240427,#240428,#240429,#240430, #240431,#240432,#240433,#240434,#240435,#240436,#240437,#240438,#240439, #240440,#240441,#240442,#240443,#240444,#240445,#240446,#240447,#240448, #240449,#240450,#240451,#240452,#240453,#240454,#240455,#240456,#240457, #240458,#240459,#240460,#240461,#240462,#240463,#240464,#240465,#240466, #240467,#240468,#240469,#240470,#240471,#240472,#240473,#240474,#240475, #240476,#240477,#240478,#240479,#240480,#240481,#240482,#240483,#240484, #240485,#240486,#240487,#240488,#240489,#240490,#240491,#240492,#240493, #240494,#240495,#240496,#240497,#240498,#240499,#240500,#240501,#240502, #240503,#240504,#240505,#240506,#240507,#240508,#240509,#240510,#240511, #240512,#240513,#240514,#240515,#240516,#240517,#240518,#240519,#240520, #240521,#240522,#240523,#240524,#240525,#240526,#240527,#240528,#240529, #240530,#240531,#240532,#240533,#240534,#240535,#240536,#240537,#240538, #240539,#240540,#240541,#240542,#240543,#240544,#240545,#240546,#240547, #240548,#240549,#240550,#240551,#240552,#240553,#240554,#240555,#240556, #240557,#240558,#240559,#240560,#240561,#240562,#240563,#240564,#240565, #240566,#240567,#240568,#240569,#240570,#240571,#240572,#240573,#240574, #240575,#240576,#240577,#240578,#240579,#240580,#240581,#240582,#240583, #240584,#240585,#240586,#240587,#240588,#240589,#240590,#240591,#240592, #240593,#240594,#240595,#240596,#240597,#240598,#240599,#240600,#240601, #240602,#240603)); #44727=EDGE_LOOP('',(#240604,#240605,#240606,#240607,#240608,#240609,#240610, #240611,#240612,#240613,#240614,#240615,#240616,#240617,#240618,#240619, #240620,#240621,#240622,#240623,#240624,#240625,#240626,#240627,#240628, #240629,#240630,#240631,#240632,#240633,#240634,#240635,#240636,#240637, #240638,#240639)); #44728=EDGE_LOOP('',(#240640,#240641,#240642,#240643,#240644,#240645,#240646, #240647,#240648,#240649,#240650,#240651,#240652,#240653,#240654,#240655, #240656,#240657,#240658,#240659,#240660,#240661,#240662,#240663,#240664, #240665,#240666,#240667,#240668,#240669,#240670,#240671,#240672,#240673, #240674,#240675)); #44729=EDGE_LOOP('',(#240676,#240677,#240678,#240679,#240680,#240681,#240682, #240683)); #44730=EDGE_LOOP('',(#240684,#240685,#240686,#240687,#240688,#240689,#240690, #240691,#240692,#240693,#240694,#240695,#240696,#240697,#240698,#240699, #240700,#240701,#240702,#240703,#240704,#240705,#240706,#240707,#240708, #240709,#240710,#240711,#240712,#240713,#240714,#240715,#240716,#240717, #240718,#240719,#240720,#240721,#240722,#240723,#240724,#240725,#240726, #240727,#240728,#240729,#240730,#240731,#240732,#240733,#240734,#240735, #240736,#240737,#240738,#240739,#240740,#240741,#240742,#240743,#240744, #240745,#240746,#240747,#240748,#240749,#240750,#240751,#240752,#240753, #240754,#240755,#240756,#240757,#240758,#240759,#240760,#240761,#240762, #240763,#240764,#240765,#240766,#240767,#240768,#240769,#240770,#240771, #240772,#240773,#240774,#240775,#240776,#240777,#240778,#240779,#240780, #240781,#240782,#240783,#240784,#240785,#240786,#240787,#240788,#240789, #240790,#240791,#240792,#240793,#240794,#240795,#240796,#240797,#240798, #240799,#240800,#240801,#240802,#240803)); #44731=EDGE_LOOP('',(#240804)); #44732=EDGE_LOOP('',(#240805)); #44733=EDGE_LOOP('',(#240806)); #44734=EDGE_LOOP('',(#240807)); #44735=EDGE_LOOP('',(#240808)); #44736=EDGE_LOOP('',(#240809)); #44737=EDGE_LOOP('',(#240810)); #44738=EDGE_LOOP('',(#240811)); #44739=EDGE_LOOP('',(#240812)); #44740=EDGE_LOOP('',(#240813,#240814,#240815,#240816)); #44741=EDGE_LOOP('',(#240817,#240818,#240819,#240820)); #44742=EDGE_LOOP('',(#240821)); #44743=EDGE_LOOP('',(#240822)); #44744=EDGE_LOOP('',(#240823)); #44745=EDGE_LOOP('',(#240824)); #44746=EDGE_LOOP('',(#240825,#240826,#240827,#240828)); #44747=EDGE_LOOP('',(#240829,#240830,#240831,#240832)); #44748=EDGE_LOOP('',(#240833)); #44749=EDGE_LOOP('',(#240834)); #44750=EDGE_LOOP('',(#240835)); #44751=EDGE_LOOP('',(#240836)); #44752=EDGE_LOOP('',(#240837)); #44753=EDGE_LOOP('',(#240838)); #44754=EDGE_LOOP('',(#240839)); #44755=EDGE_LOOP('',(#240840)); #44756=EDGE_LOOP('',(#240841)); #44757=EDGE_LOOP('',(#240842)); #44758=EDGE_LOOP('',(#240843)); #44759=EDGE_LOOP('',(#240844)); #44760=EDGE_LOOP('',(#240845)); #44761=EDGE_LOOP('',(#240846)); #44762=EDGE_LOOP('',(#240847)); #44763=EDGE_LOOP('',(#240848)); #44764=EDGE_LOOP('',(#240849)); #44765=EDGE_LOOP('',(#240850)); #44766=EDGE_LOOP('',(#240851)); #44767=EDGE_LOOP('',(#240852)); #44768=EDGE_LOOP('',(#240853)); #44769=EDGE_LOOP('',(#240854)); #44770=EDGE_LOOP('',(#240855)); #44771=EDGE_LOOP('',(#240856)); #44772=EDGE_LOOP('',(#240857)); #44773=EDGE_LOOP('',(#240858)); #44774=EDGE_LOOP('',(#240859)); #44775=EDGE_LOOP('',(#240860)); #44776=EDGE_LOOP('',(#240861)); #44777=EDGE_LOOP('',(#240862)); #44778=EDGE_LOOP('',(#240863)); #44779=EDGE_LOOP('',(#240864)); #44780=EDGE_LOOP('',(#240865)); #44781=EDGE_LOOP('',(#240866)); #44782=EDGE_LOOP('',(#240867)); #44783=EDGE_LOOP('',(#240868)); #44784=EDGE_LOOP('',(#240869)); #44785=EDGE_LOOP('',(#240870)); #44786=EDGE_LOOP('',(#240871)); #44787=EDGE_LOOP('',(#240872)); #44788=EDGE_LOOP('',(#240873)); #44789=EDGE_LOOP('',(#240874)); #44790=EDGE_LOOP('',(#240875)); #44791=EDGE_LOOP('',(#240876)); #44792=EDGE_LOOP('',(#240877)); #44793=EDGE_LOOP('',(#240878,#240879,#240880,#240881,#240882,#240883,#240884, #240885,#240886,#240887,#240888,#240889,#240890,#240891,#240892,#240893, #240894,#240895,#240896,#240897,#240898,#240899,#240900,#240901)); #44794=EDGE_LOOP('',(#240902,#240903,#240904,#240905,#240906,#240907,#240908, #240909)); #44795=EDGE_LOOP('',(#240910,#240911,#240912,#240913,#240914,#240915,#240916, #240917,#240918,#240919,#240920,#240921,#240922,#240923,#240924,#240925, #240926,#240927,#240928,#240929,#240930,#240931,#240932,#240933,#240934, #240935,#240936,#240937,#240938,#240939,#240940,#240941,#240942,#240943, #240944,#240945,#240946,#240947,#240948,#240949,#240950,#240951,#240952, #240953,#240954,#240955,#240956,#240957,#240958,#240959,#240960,#240961, #240962,#240963,#240964,#240965,#240966,#240967,#240968,#240969,#240970, #240971,#240972,#240973,#240974,#240975,#240976,#240977,#240978,#240979, #240980,#240981,#240982,#240983,#240984,#240985,#240986,#240987,#240988, #240989,#240990,#240991,#240992,#240993,#240994,#240995,#240996,#240997, #240998,#240999,#241000,#241001,#241002,#241003,#241004,#241005,#241006, #241007,#241008,#241009,#241010,#241011,#241012,#241013,#241014,#241015, #241016,#241017,#241018,#241019,#241020,#241021,#241022,#241023,#241024, #241025,#241026,#241027,#241028)); #44796=EDGE_LOOP('',(#241029,#241030,#241031,#241032,#241033,#241034,#241035, #241036,#241037,#241038,#241039,#241040,#241041,#241042,#241043,#241044, #241045,#241046,#241047,#241048,#241049,#241050,#241051,#241052,#241053, #241054,#241055,#241056,#241057,#241058,#241059,#241060,#241061,#241062, #241063,#241064,#241065,#241066,#241067,#241068,#241069,#241070,#241071, #241072,#241073,#241074,#241075,#241076,#241077,#241078,#241079,#241080, #241081,#241082,#241083,#241084,#241085,#241086,#241087,#241088,#241089, #241090,#241091,#241092,#241093,#241094,#241095,#241096,#241097,#241098, #241099,#241100,#241101,#241102,#241103,#241104,#241105,#241106,#241107, #241108,#241109,#241110,#241111,#241112,#241113,#241114,#241115,#241116, #241117,#241118,#241119,#241120,#241121,#241122,#241123,#241124,#241125, #241126,#241127,#241128,#241129,#241130,#241131,#241132,#241133,#241134, #241135,#241136,#241137,#241138,#241139,#241140,#241141,#241142,#241143, #241144,#241145,#241146,#241147,#241148,#241149,#241150,#241151,#241152, #241153,#241154,#241155,#241156,#241157,#241158,#241159,#241160,#241161, #241162,#241163,#241164,#241165,#241166,#241167,#241168,#241169,#241170, #241171,#241172,#241173,#241174,#241175,#241176,#241177,#241178,#241179, #241180,#241181,#241182,#241183,#241184,#241185,#241186,#241187,#241188, #241189,#241190,#241191,#241192,#241193,#241194,#241195,#241196,#241197, #241198,#241199,#241200,#241201,#241202,#241203,#241204,#241205,#241206, #241207,#241208,#241209,#241210,#241211,#241212,#241213,#241214,#241215, #241216,#241217,#241218,#241219,#241220,#241221,#241222,#241223,#241224, #241225,#241226,#241227,#241228,#241229,#241230,#241231,#241232,#241233, #241234,#241235,#241236,#241237,#241238,#241239,#241240,#241241,#241242, #241243,#241244,#241245,#241246,#241247,#241248,#241249,#241250,#241251, #241252,#241253,#241254,#241255,#241256,#241257,#241258,#241259,#241260, #241261,#241262,#241263,#241264,#241265,#241266,#241267,#241268,#241269, #241270,#241271,#241272,#241273,#241274,#241275,#241276,#241277,#241278, #241279,#241280,#241281,#241282,#241283,#241284,#241285,#241286,#241287, #241288,#241289,#241290,#241291,#241292,#241293,#241294,#241295,#241296, #241297,#241298,#241299,#241300,#241301,#241302,#241303,#241304,#241305, #241306,#241307,#241308,#241309,#241310,#241311,#241312,#241313,#241314, #241315,#241316,#241317,#241318,#241319,#241320,#241321,#241322,#241323, #241324,#241325,#241326,#241327,#241328,#241329,#241330,#241331,#241332, #241333,#241334,#241335,#241336,#241337,#241338,#241339,#241340,#241341, #241342,#241343,#241344,#241345,#241346,#241347,#241348,#241349,#241350, #241351,#241352,#241353,#241354,#241355,#241356,#241357,#241358,#241359, #241360,#241361,#241362,#241363,#241364,#241365,#241366,#241367,#241368, #241369,#241370,#241371,#241372,#241373,#241374,#241375,#241376,#241377, #241378,#241379,#241380,#241381,#241382,#241383,#241384,#241385,#241386, #241387,#241388,#241389,#241390,#241391,#241392,#241393,#241394,#241395, #241396,#241397,#241398,#241399,#241400,#241401,#241402,#241403,#241404, #241405,#241406,#241407,#241408,#241409,#241410,#241411,#241412,#241413, #241414,#241415,#241416,#241417,#241418,#241419,#241420,#241421,#241422, #241423,#241424,#241425,#241426,#241427,#241428,#241429,#241430,#241431, #241432,#241433,#241434,#241435,#241436,#241437,#241438,#241439,#241440, #241441,#241442,#241443,#241444,#241445,#241446,#241447,#241448,#241449, #241450,#241451,#241452,#241453,#241454,#241455,#241456,#241457,#241458, #241459,#241460,#241461,#241462,#241463,#241464,#241465,#241466,#241467, #241468,#241469,#241470,#241471,#241472,#241473,#241474,#241475,#241476, #241477,#241478,#241479,#241480,#241481,#241482,#241483,#241484,#241485, #241486,#241487,#241488,#241489,#241490,#241491,#241492,#241493,#241494, #241495,#241496,#241497,#241498,#241499,#241500,#241501,#241502,#241503, #241504,#241505,#241506,#241507,#241508,#241509,#241510,#241511,#241512, #241513,#241514,#241515,#241516,#241517,#241518,#241519,#241520,#241521, #241522,#241523,#241524,#241525,#241526,#241527,#241528,#241529,#241530, #241531,#241532,#241533,#241534,#241535,#241536,#241537,#241538,#241539, #241540,#241541,#241542,#241543,#241544,#241545,#241546,#241547,#241548, #241549,#241550,#241551,#241552,#241553,#241554,#241555,#241556,#241557, #241558,#241559,#241560,#241561,#241562,#241563,#241564,#241565,#241566, #241567,#241568,#241569,#241570,#241571,#241572,#241573,#241574,#241575, #241576,#241577)); #44797=EDGE_LOOP('',(#241578,#241579,#241580,#241581,#241582,#241583,#241584, #241585,#241586,#241587,#241588,#241589,#241590,#241591,#241592,#241593, #241594,#241595,#241596,#241597,#241598,#241599,#241600,#241601,#241602, #241603,#241604,#241605,#241606,#241607,#241608,#241609,#241610,#241611, #241612,#241613)); #44798=EDGE_LOOP('',(#241614,#241615,#241616,#241617,#241618,#241619,#241620, #241621,#241622,#241623,#241624,#241625,#241626,#241627,#241628,#241629, #241630,#241631,#241632,#241633,#241634,#241635,#241636,#241637,#241638, #241639,#241640,#241641,#241642,#241643,#241644,#241645,#241646,#241647, #241648,#241649)); #44799=EDGE_LOOP('',(#241650,#241651,#241652,#241653,#241654,#241655,#241656, #241657)); #44800=EDGE_LOOP('',(#241658,#241659,#241660,#241661)); #44801=EDGE_LOOP('',(#241662,#241663,#241664,#241665)); #44802=EDGE_LOOP('',(#241666,#241667,#241668,#241669)); #44803=EDGE_LOOP('',(#241670,#241671,#241672,#241673)); #44804=EDGE_LOOP('',(#241674,#241675,#241676,#241677)); #44805=EDGE_LOOP('',(#241678,#241679,#241680,#241681)); #44806=EDGE_LOOP('',(#241682,#241683,#241684,#241685)); #44807=EDGE_LOOP('',(#241686,#241687,#241688,#241689)); #44808=EDGE_LOOP('',(#241690,#241691,#241692,#241693)); #44809=EDGE_LOOP('',(#241694,#241695,#241696,#241697)); #44810=EDGE_LOOP('',(#241698,#241699,#241700,#241701)); #44811=EDGE_LOOP('',(#241702,#241703,#241704,#241705)); #44812=EDGE_LOOP('',(#241706,#241707,#241708,#241709)); #44813=EDGE_LOOP('',(#241710,#241711,#241712,#241713)); #44814=EDGE_LOOP('',(#241714,#241715,#241716,#241717)); #44815=EDGE_LOOP('',(#241718,#241719,#241720,#241721)); #44816=EDGE_LOOP('',(#241722,#241723,#241724,#241725)); #44817=EDGE_LOOP('',(#241726,#241727,#241728,#241729)); #44818=EDGE_LOOP('',(#241730,#241731,#241732,#241733)); #44819=EDGE_LOOP('',(#241734,#241735,#241736,#241737)); #44820=EDGE_LOOP('',(#241738,#241739,#241740,#241741,#241742,#241743,#241744, #241745,#241746,#241747,#241748,#241749,#241750,#241751,#241752,#241753, #241754,#241755,#241756,#241757)); #44821=EDGE_LOOP('',(#241758,#241759,#241760,#241761,#241762,#241763,#241764, #241765,#241766,#241767,#241768,#241769,#241770,#241771,#241772,#241773, #241774,#241775,#241776,#241777)); #44822=EDGE_LOOP('',(#241778,#241779,#241780,#241781)); #44823=EDGE_LOOP('',(#241782,#241783,#241784,#241785)); #44824=EDGE_LOOP('',(#241786,#241787,#241788,#241789)); #44825=EDGE_LOOP('',(#241790,#241791,#241792,#241793)); #44826=EDGE_LOOP('',(#241794,#241795,#241796,#241797)); #44827=EDGE_LOOP('',(#241798,#241799,#241800,#241801)); #44828=EDGE_LOOP('',(#241802,#241803,#241804,#241805)); #44829=EDGE_LOOP('',(#241806,#241807,#241808,#241809)); #44830=EDGE_LOOP('',(#241810,#241811,#241812,#241813)); #44831=EDGE_LOOP('',(#241814,#241815,#241816,#241817)); #44832=EDGE_LOOP('',(#241818,#241819,#241820,#241821)); #44833=EDGE_LOOP('',(#241822,#241823,#241824,#241825)); #44834=EDGE_LOOP('',(#241826,#241827,#241828,#241829)); #44835=EDGE_LOOP('',(#241830,#241831,#241832,#241833)); #44836=EDGE_LOOP('',(#241834,#241835,#241836,#241837)); #44837=EDGE_LOOP('',(#241838,#241839,#241840,#241841)); #44838=EDGE_LOOP('',(#241842,#241843,#241844,#241845)); #44839=EDGE_LOOP('',(#241846,#241847,#241848,#241849)); #44840=EDGE_LOOP('',(#241850,#241851,#241852,#241853)); #44841=EDGE_LOOP('',(#241854,#241855,#241856,#241857)); #44842=EDGE_LOOP('',(#241858,#241859,#241860,#241861)); #44843=EDGE_LOOP('',(#241862,#241863,#241864,#241865)); #44844=EDGE_LOOP('',(#241866,#241867,#241868,#241869)); #44845=EDGE_LOOP('',(#241870,#241871,#241872,#241873)); #44846=EDGE_LOOP('',(#241874,#241875,#241876,#241877)); #44847=EDGE_LOOP('',(#241878,#241879,#241880,#241881)); #44848=EDGE_LOOP('',(#241882,#241883,#241884,#241885)); #44849=EDGE_LOOP('',(#241886,#241887,#241888,#241889)); #44850=EDGE_LOOP('',(#241890,#241891,#241892,#241893)); #44851=EDGE_LOOP('',(#241894,#241895,#241896,#241897)); #44852=EDGE_LOOP('',(#241898,#241899,#241900,#241901)); #44853=EDGE_LOOP('',(#241902,#241903,#241904,#241905)); #44854=EDGE_LOOP('',(#241906,#241907,#241908,#241909)); #44855=EDGE_LOOP('',(#241910,#241911,#241912,#241913)); #44856=EDGE_LOOP('',(#241914,#241915,#241916,#241917)); #44857=EDGE_LOOP('',(#241918,#241919,#241920,#241921)); #44858=EDGE_LOOP('',(#241922,#241923,#241924,#241925)); #44859=EDGE_LOOP('',(#241926,#241927,#241928,#241929)); #44860=EDGE_LOOP('',(#241930,#241931,#241932,#241933)); #44861=EDGE_LOOP('',(#241934,#241935,#241936,#241937)); #44862=EDGE_LOOP('',(#241938,#241939,#241940,#241941,#241942,#241943,#241944, #241945,#241946,#241947,#241948,#241949,#241950,#241951,#241952,#241953, #241954,#241955,#241956,#241957,#241958,#241959,#241960,#241961,#241962, #241963,#241964,#241965,#241966,#241967,#241968,#241969,#241970,#241971, #241972,#241973,#241974,#241975,#241976,#241977)); #44863=EDGE_LOOP('',(#241978,#241979,#241980,#241981,#241982,#241983,#241984, #241985,#241986,#241987,#241988,#241989,#241990,#241991,#241992,#241993, #241994,#241995,#241996,#241997,#241998,#241999,#242000,#242001,#242002, #242003,#242004,#242005,#242006,#242007,#242008,#242009,#242010,#242011, #242012,#242013,#242014,#242015,#242016,#242017)); #44864=EDGE_LOOP('',(#242018,#242019,#242020,#242021)); #44865=EDGE_LOOP('',(#242022,#242023,#242024,#242025)); #44866=EDGE_LOOP('',(#242026,#242027,#242028,#242029)); #44867=EDGE_LOOP('',(#242030,#242031,#242032,#242033)); #44868=EDGE_LOOP('',(#242034,#242035,#242036,#242037)); #44869=EDGE_LOOP('',(#242038,#242039,#242040,#242041)); #44870=EDGE_LOOP('',(#242042,#242043,#242044,#242045)); #44871=EDGE_LOOP('',(#242046,#242047,#242048,#242049)); #44872=EDGE_LOOP('',(#242050,#242051,#242052,#242053)); #44873=EDGE_LOOP('',(#242054,#242055,#242056,#242057)); #44874=EDGE_LOOP('',(#242058,#242059,#242060,#242061)); #44875=EDGE_LOOP('',(#242062,#242063,#242064,#242065)); #44876=EDGE_LOOP('',(#242066,#242067,#242068,#242069)); #44877=EDGE_LOOP('',(#242070,#242071,#242072,#242073)); #44878=EDGE_LOOP('',(#242074,#242075,#242076,#242077)); #44879=EDGE_LOOP('',(#242078,#242079,#242080,#242081)); #44880=EDGE_LOOP('',(#242082,#242083,#242084,#242085)); #44881=EDGE_LOOP('',(#242086,#242087,#242088,#242089)); #44882=EDGE_LOOP('',(#242090,#242091,#242092,#242093)); #44883=EDGE_LOOP('',(#242094,#242095,#242096,#242097)); #44884=EDGE_LOOP('',(#242098,#242099,#242100,#242101)); #44885=EDGE_LOOP('',(#242102,#242103,#242104,#242105)); #44886=EDGE_LOOP('',(#242106,#242107,#242108,#242109)); #44887=EDGE_LOOP('',(#242110,#242111,#242112,#242113)); #44888=EDGE_LOOP('',(#242114,#242115,#242116,#242117)); #44889=EDGE_LOOP('',(#242118,#242119,#242120,#242121)); #44890=EDGE_LOOP('',(#242122,#242123,#242124,#242125)); #44891=EDGE_LOOP('',(#242126,#242127,#242128,#242129)); #44892=EDGE_LOOP('',(#242130,#242131,#242132,#242133)); #44893=EDGE_LOOP('',(#242134,#242135,#242136,#242137)); #44894=EDGE_LOOP('',(#242138,#242139,#242140,#242141)); #44895=EDGE_LOOP('',(#242142,#242143,#242144,#242145)); #44896=EDGE_LOOP('',(#242146,#242147,#242148,#242149)); #44897=EDGE_LOOP('',(#242150,#242151,#242152,#242153)); #44898=EDGE_LOOP('',(#242154,#242155,#242156,#242157)); #44899=EDGE_LOOP('',(#242158,#242159,#242160,#242161)); #44900=EDGE_LOOP('',(#242162,#242163,#242164,#242165)); #44901=EDGE_LOOP('',(#242166,#242167,#242168,#242169)); #44902=EDGE_LOOP('',(#242170,#242171,#242172,#242173)); #44903=EDGE_LOOP('',(#242174,#242175,#242176,#242177)); #44904=EDGE_LOOP('',(#242178,#242179,#242180,#242181)); #44905=EDGE_LOOP('',(#242182,#242183,#242184,#242185)); #44906=EDGE_LOOP('',(#242186,#242187,#242188,#242189)); #44907=EDGE_LOOP('',(#242190,#242191,#242192,#242193)); #44908=EDGE_LOOP('',(#242194,#242195,#242196,#242197)); #44909=EDGE_LOOP('',(#242198,#242199,#242200,#242201)); #44910=EDGE_LOOP('',(#242202,#242203,#242204,#242205)); #44911=EDGE_LOOP('',(#242206,#242207,#242208,#242209)); #44912=EDGE_LOOP('',(#242210,#242211,#242212,#242213)); #44913=EDGE_LOOP('',(#242214,#242215,#242216,#242217)); #44914=EDGE_LOOP('',(#242218,#242219,#242220,#242221)); #44915=EDGE_LOOP('',(#242222,#242223,#242224,#242225)); #44916=EDGE_LOOP('',(#242226,#242227,#242228,#242229)); #44917=EDGE_LOOP('',(#242230,#242231,#242232,#242233)); #44918=EDGE_LOOP('',(#242234,#242235,#242236,#242237)); #44919=EDGE_LOOP('',(#242238,#242239,#242240,#242241)); #44920=EDGE_LOOP('',(#242242,#242243,#242244,#242245)); #44921=EDGE_LOOP('',(#242246,#242247,#242248,#242249)); #44922=EDGE_LOOP('',(#242250,#242251,#242252,#242253)); #44923=EDGE_LOOP('',(#242254,#242255,#242256,#242257)); #44924=EDGE_LOOP('',(#242258,#242259,#242260,#242261)); #44925=EDGE_LOOP('',(#242262,#242263,#242264,#242265)); #44926=EDGE_LOOP('',(#242266,#242267,#242268,#242269)); #44927=EDGE_LOOP('',(#242270,#242271,#242272,#242273)); #44928=EDGE_LOOP('',(#242274,#242275,#242276,#242277)); #44929=EDGE_LOOP('',(#242278,#242279,#242280,#242281)); #44930=EDGE_LOOP('',(#242282,#242283,#242284,#242285)); #44931=EDGE_LOOP('',(#242286,#242287,#242288,#242289)); #44932=EDGE_LOOP('',(#242290,#242291,#242292,#242293)); #44933=EDGE_LOOP('',(#242294,#242295,#242296,#242297)); #44934=EDGE_LOOP('',(#242298,#242299,#242300,#242301)); #44935=EDGE_LOOP('',(#242302,#242303,#242304,#242305)); #44936=EDGE_LOOP('',(#242306,#242307,#242308,#242309)); #44937=EDGE_LOOP('',(#242310,#242311,#242312,#242313)); #44938=EDGE_LOOP('',(#242314,#242315,#242316,#242317)); #44939=EDGE_LOOP('',(#242318,#242319,#242320,#242321)); #44940=EDGE_LOOP('',(#242322,#242323,#242324,#242325)); #44941=EDGE_LOOP('',(#242326,#242327,#242328,#242329)); #44942=EDGE_LOOP('',(#242330,#242331,#242332,#242333)); #44943=EDGE_LOOP('',(#242334,#242335,#242336,#242337)); #44944=EDGE_LOOP('',(#242338,#242339,#242340,#242341)); #44945=EDGE_LOOP('',(#242342,#242343,#242344,#242345)); #44946=EDGE_LOOP('',(#242346,#242347,#242348,#242349)); #44947=EDGE_LOOP('',(#242350,#242351,#242352,#242353)); #44948=EDGE_LOOP('',(#242354,#242355,#242356,#242357)); #44949=EDGE_LOOP('',(#242358,#242359,#242360,#242361)); #44950=EDGE_LOOP('',(#242362,#242363,#242364,#242365)); #44951=EDGE_LOOP('',(#242366,#242367,#242368,#242369)); #44952=EDGE_LOOP('',(#242370,#242371,#242372,#242373)); #44953=EDGE_LOOP('',(#242374,#242375,#242376,#242377)); #44954=EDGE_LOOP('',(#242378,#242379,#242380,#242381)); #44955=EDGE_LOOP('',(#242382,#242383,#242384,#242385)); #44956=EDGE_LOOP('',(#242386,#242387,#242388,#242389)); #44957=EDGE_LOOP('',(#242390,#242391,#242392,#242393)); #44958=EDGE_LOOP('',(#242394,#242395,#242396,#242397)); #44959=EDGE_LOOP('',(#242398,#242399,#242400,#242401)); #44960=EDGE_LOOP('',(#242402,#242403,#242404,#242405)); #44961=EDGE_LOOP('',(#242406,#242407,#242408,#242409)); #44962=EDGE_LOOP('',(#242410,#242411,#242412,#242413)); #44963=EDGE_LOOP('',(#242414,#242415,#242416,#242417)); #44964=EDGE_LOOP('',(#242418,#242419,#242420,#242421)); #44965=EDGE_LOOP('',(#242422,#242423,#242424,#242425)); #44966=EDGE_LOOP('',(#242426,#242427,#242428,#242429)); #44967=EDGE_LOOP('',(#242430,#242431,#242432,#242433)); #44968=EDGE_LOOP('',(#242434,#242435,#242436,#242437)); #44969=EDGE_LOOP('',(#242438,#242439,#242440,#242441)); #44970=EDGE_LOOP('',(#242442,#242443,#242444,#242445)); #44971=EDGE_LOOP('',(#242446,#242447,#242448,#242449)); #44972=EDGE_LOOP('',(#242450,#242451,#242452,#242453)); #44973=EDGE_LOOP('',(#242454,#242455,#242456,#242457)); #44974=EDGE_LOOP('',(#242458,#242459,#242460,#242461)); #44975=EDGE_LOOP('',(#242462,#242463,#242464,#242465)); #44976=EDGE_LOOP('',(#242466,#242467,#242468,#242469)); #44977=EDGE_LOOP('',(#242470,#242471,#242472,#242473)); #44978=EDGE_LOOP('',(#242474,#242475,#242476,#242477)); #44979=EDGE_LOOP('',(#242478,#242479,#242480,#242481)); #44980=EDGE_LOOP('',(#242482,#242483,#242484,#242485)); #44981=EDGE_LOOP('',(#242486,#242487,#242488,#242489)); #44982=EDGE_LOOP('',(#242490,#242491,#242492,#242493)); #44983=EDGE_LOOP('',(#242494,#242495,#242496,#242497)); #44984=EDGE_LOOP('',(#242498,#242499,#242500,#242501)); #44985=EDGE_LOOP('',(#242502,#242503,#242504,#242505)); #44986=EDGE_LOOP('',(#242506,#242507,#242508,#242509)); #44987=EDGE_LOOP('',(#242510,#242511,#242512,#242513)); #44988=EDGE_LOOP('',(#242514,#242515,#242516,#242517)); #44989=EDGE_LOOP('',(#242518,#242519,#242520,#242521)); #44990=EDGE_LOOP('',(#242522,#242523,#242524,#242525)); #44991=EDGE_LOOP('',(#242526,#242527,#242528,#242529)); #44992=EDGE_LOOP('',(#242530,#242531,#242532,#242533)); #44993=EDGE_LOOP('',(#242534,#242535,#242536,#242537)); #44994=EDGE_LOOP('',(#242538,#242539,#242540,#242541)); #44995=EDGE_LOOP('',(#242542,#242543,#242544,#242545)); #44996=EDGE_LOOP('',(#242546,#242547,#242548,#242549)); #44997=EDGE_LOOP('',(#242550,#242551,#242552,#242553)); #44998=EDGE_LOOP('',(#242554,#242555,#242556,#242557)); #44999=EDGE_LOOP('',(#242558,#242559,#242560,#242561)); #45000=EDGE_LOOP('',(#242562,#242563,#242564,#242565)); #45001=EDGE_LOOP('',(#242566,#242567,#242568,#242569)); #45002=EDGE_LOOP('',(#242570,#242571,#242572,#242573)); #45003=EDGE_LOOP('',(#242574,#242575,#242576,#242577)); #45004=EDGE_LOOP('',(#242578,#242579,#242580,#242581)); #45005=EDGE_LOOP('',(#242582,#242583,#242584,#242585)); #45006=EDGE_LOOP('',(#242586,#242587,#242588,#242589)); #45007=EDGE_LOOP('',(#242590,#242591,#242592,#242593)); #45008=EDGE_LOOP('',(#242594,#242595,#242596,#242597)); #45009=EDGE_LOOP('',(#242598,#242599,#242600,#242601)); #45010=EDGE_LOOP('',(#242602,#242603,#242604,#242605)); #45011=EDGE_LOOP('',(#242606,#242607,#242608,#242609)); #45012=EDGE_LOOP('',(#242610,#242611,#242612,#242613)); #45013=EDGE_LOOP('',(#242614,#242615,#242616,#242617)); #45014=EDGE_LOOP('',(#242618,#242619,#242620,#242621)); #45015=EDGE_LOOP('',(#242622,#242623,#242624,#242625)); #45016=EDGE_LOOP('',(#242626,#242627,#242628,#242629)); #45017=EDGE_LOOP('',(#242630,#242631,#242632,#242633)); #45018=EDGE_LOOP('',(#242634,#242635,#242636,#242637)); #45019=EDGE_LOOP('',(#242638,#242639,#242640,#242641)); #45020=EDGE_LOOP('',(#242642,#242643,#242644,#242645)); #45021=EDGE_LOOP('',(#242646,#242647,#242648,#242649)); #45022=EDGE_LOOP('',(#242650,#242651,#242652,#242653)); #45023=EDGE_LOOP('',(#242654,#242655,#242656,#242657)); #45024=EDGE_LOOP('',(#242658,#242659,#242660,#242661)); #45025=EDGE_LOOP('',(#242662,#242663,#242664,#242665)); #45026=EDGE_LOOP('',(#242666,#242667,#242668,#242669)); #45027=EDGE_LOOP('',(#242670,#242671,#242672,#242673)); #45028=EDGE_LOOP('',(#242674,#242675,#242676,#242677)); #45029=EDGE_LOOP('',(#242678,#242679,#242680,#242681)); #45030=EDGE_LOOP('',(#242682,#242683,#242684,#242685)); #45031=EDGE_LOOP('',(#242686,#242687,#242688,#242689)); #45032=EDGE_LOOP('',(#242690,#242691,#242692,#242693)); #45033=EDGE_LOOP('',(#242694,#242695,#242696,#242697)); #45034=EDGE_LOOP('',(#242698,#242699,#242700,#242701)); #45035=EDGE_LOOP('',(#242702,#242703,#242704,#242705)); #45036=EDGE_LOOP('',(#242706,#242707,#242708,#242709)); #45037=EDGE_LOOP('',(#242710,#242711,#242712,#242713)); #45038=EDGE_LOOP('',(#242714,#242715,#242716,#242717)); #45039=EDGE_LOOP('',(#242718,#242719,#242720,#242721)); #45040=EDGE_LOOP('',(#242722,#242723,#242724,#242725)); #45041=EDGE_LOOP('',(#242726,#242727,#242728,#242729)); #45042=EDGE_LOOP('',(#242730,#242731,#242732,#242733)); #45043=EDGE_LOOP('',(#242734,#242735,#242736,#242737)); #45044=EDGE_LOOP('',(#242738,#242739,#242740,#242741)); #45045=EDGE_LOOP('',(#242742,#242743,#242744,#242745)); #45046=EDGE_LOOP('',(#242746,#242747,#242748,#242749)); #45047=EDGE_LOOP('',(#242750,#242751,#242752,#242753)); #45048=EDGE_LOOP('',(#242754,#242755,#242756,#242757)); #45049=EDGE_LOOP('',(#242758,#242759,#242760,#242761)); #45050=EDGE_LOOP('',(#242762,#242763,#242764,#242765)); #45051=EDGE_LOOP('',(#242766,#242767,#242768,#242769)); #45052=EDGE_LOOP('',(#242770,#242771,#242772,#242773)); #45053=EDGE_LOOP('',(#242774,#242775,#242776,#242777)); #45054=EDGE_LOOP('',(#242778,#242779,#242780,#242781)); #45055=EDGE_LOOP('',(#242782,#242783,#242784,#242785)); #45056=EDGE_LOOP('',(#242786,#242787,#242788,#242789)); #45057=EDGE_LOOP('',(#242790,#242791,#242792,#242793)); #45058=EDGE_LOOP('',(#242794,#242795,#242796,#242797)); #45059=EDGE_LOOP('',(#242798,#242799,#242800,#242801)); #45060=EDGE_LOOP('',(#242802,#242803,#242804,#242805)); #45061=EDGE_LOOP('',(#242806,#242807,#242808,#242809)); #45062=EDGE_LOOP('',(#242810,#242811,#242812,#242813)); #45063=EDGE_LOOP('',(#242814,#242815,#242816,#242817)); #45064=EDGE_LOOP('',(#242818,#242819,#242820,#242821)); #45065=EDGE_LOOP('',(#242822,#242823,#242824,#242825)); #45066=EDGE_LOOP('',(#242826,#242827,#242828,#242829)); #45067=EDGE_LOOP('',(#242830,#242831,#242832,#242833)); #45068=EDGE_LOOP('',(#242834,#242835,#242836,#242837)); #45069=EDGE_LOOP('',(#242838,#242839,#242840,#242841)); #45070=EDGE_LOOP('',(#242842,#242843,#242844,#242845)); #45071=EDGE_LOOP('',(#242846,#242847,#242848,#242849)); #45072=EDGE_LOOP('',(#242850,#242851,#242852,#242853)); #45073=EDGE_LOOP('',(#242854,#242855,#242856,#242857)); #45074=EDGE_LOOP('',(#242858,#242859,#242860,#242861)); #45075=EDGE_LOOP('',(#242862,#242863,#242864,#242865)); #45076=EDGE_LOOP('',(#242866,#242867,#242868,#242869)); #45077=EDGE_LOOP('',(#242870,#242871,#242872,#242873)); #45078=EDGE_LOOP('',(#242874,#242875,#242876,#242877,#242878,#242879,#242880, #242881,#242882,#242883,#242884,#242885,#242886,#242887,#242888,#242889, #242890,#242891,#242892,#242893,#242894,#242895,#242896,#242897,#242898, #242899,#242900,#242901,#242902,#242903,#242904,#242905,#242906,#242907, #242908,#242909,#242910,#242911,#242912,#242913,#242914,#242915,#242916, #242917,#242918,#242919,#242920,#242921,#242922,#242923,#242924,#242925, #242926,#242927,#242928,#242929,#242930,#242931,#242932,#242933,#242934, #242935,#242936,#242937,#242938,#242939,#242940,#242941,#242942,#242943, #242944,#242945,#242946,#242947,#242948,#242949,#242950,#242951,#242952, #242953,#242954,#242955,#242956,#242957,#242958,#242959,#242960,#242961, #242962,#242963,#242964,#242965,#242966,#242967,#242968,#242969,#242970, #242971,#242972,#242973,#242974,#242975,#242976,#242977,#242978,#242979, #242980,#242981,#242982,#242983,#242984,#242985,#242986,#242987,#242988, #242989,#242990,#242991,#242992,#242993,#242994,#242995,#242996,#242997, #242998,#242999,#243000,#243001,#243002,#243003,#243004,#243005,#243006, #243007,#243008,#243009,#243010,#243011,#243012,#243013,#243014,#243015, #243016,#243017,#243018,#243019,#243020,#243021,#243022,#243023,#243024, #243025,#243026,#243027,#243028,#243029,#243030,#243031,#243032,#243033, #243034,#243035,#243036,#243037,#243038,#243039,#243040,#243041,#243042, #243043,#243044,#243045,#243046,#243047,#243048,#243049,#243050,#243051, #243052,#243053,#243054,#243055,#243056)); #45079=EDGE_LOOP('',(#243057,#243058,#243059,#243060)); #45080=EDGE_LOOP('',(#243061)); #45081=EDGE_LOOP('',(#243062)); #45082=EDGE_LOOP('',(#243063)); #45083=EDGE_LOOP('',(#243064)); #45084=EDGE_LOOP('',(#243065)); #45085=EDGE_LOOP('',(#243066)); #45086=EDGE_LOOP('',(#243067)); #45087=EDGE_LOOP('',(#243068)); #45088=EDGE_LOOP('',(#243069)); #45089=EDGE_LOOP('',(#243070)); #45090=EDGE_LOOP('',(#243071)); #45091=EDGE_LOOP('',(#243072,#243073,#243074,#243075,#243076,#243077,#243078, #243079)); #45092=EDGE_LOOP('',(#243080,#243081,#243082,#243083,#243084,#243085,#243086, #243087)); #45093=EDGE_LOOP('',(#243088,#243089,#243090,#243091,#243092,#243093,#243094, #243095,#243096,#243097,#243098,#243099,#243100,#243101,#243102,#243103, #243104,#243105,#243106,#243107,#243108,#243109,#243110,#243111,#243112, #243113,#243114,#243115,#243116,#243117,#243118,#243119,#243120,#243121, #243122,#243123,#243124,#243125,#243126,#243127,#243128,#243129,#243130, #243131,#243132,#243133,#243134,#243135,#243136,#243137,#243138,#243139, #243140,#243141,#243142,#243143,#243144,#243145,#243146,#243147,#243148, #243149,#243150,#243151,#243152,#243153,#243154,#243155,#243156,#243157, #243158,#243159,#243160,#243161,#243162,#243163,#243164,#243165,#243166, #243167,#243168,#243169,#243170,#243171,#243172,#243173,#243174,#243175, #243176,#243177,#243178,#243179,#243180,#243181,#243182,#243183,#243184, #243185,#243186,#243187,#243188,#243189,#243190,#243191,#243192,#243193, #243194,#243195,#243196,#243197,#243198,#243199,#243200,#243201,#243202, #243203,#243204,#243205,#243206,#243207,#243208,#243209,#243210,#243211, #243212,#243213,#243214,#243215,#243216,#243217,#243218,#243219,#243220, #243221,#243222,#243223,#243224,#243225,#243226,#243227,#243228,#243229, #243230,#243231,#243232,#243233,#243234,#243235,#243236,#243237,#243238, #243239,#243240,#243241,#243242,#243243,#243244,#243245,#243246,#243247, #243248,#243249,#243250,#243251,#243252,#243253,#243254,#243255,#243256, #243257,#243258,#243259,#243260,#243261,#243262,#243263,#243264,#243265, #243266,#243267,#243268,#243269,#243270)); #45094=EDGE_LOOP('',(#243271,#243272,#243273,#243274)); #45095=EDGE_LOOP('',(#243275)); #45096=EDGE_LOOP('',(#243276)); #45097=EDGE_LOOP('',(#243277)); #45098=EDGE_LOOP('',(#243278)); #45099=EDGE_LOOP('',(#243279)); #45100=EDGE_LOOP('',(#243280)); #45101=EDGE_LOOP('',(#243281)); #45102=EDGE_LOOP('',(#243282)); #45103=EDGE_LOOP('',(#243283)); #45104=EDGE_LOOP('',(#243284)); #45105=EDGE_LOOP('',(#243285)); #45106=EDGE_LOOP('',(#243286,#243287,#243288,#243289,#243290,#243291,#243292, #243293)); #45107=EDGE_LOOP('',(#243294,#243295,#243296,#243297,#243298,#243299,#243300, #243301)); #45108=EDGE_LOOP('',(#243302,#243303,#243304,#243305)); #45109=EDGE_LOOP('',(#243306,#243307,#243308,#243309)); #45110=EDGE_LOOP('',(#243310,#243311,#243312,#243313)); #45111=EDGE_LOOP('',(#243314,#243315,#243316,#243317)); #45112=EDGE_LOOP('',(#243318,#243319,#243320,#243321)); #45113=EDGE_LOOP('',(#243322,#243323,#243324,#243325)); #45114=EDGE_LOOP('',(#243326,#243327,#243328,#243329)); #45115=EDGE_LOOP('',(#243330,#243331,#243332,#243333)); #45116=EDGE_LOOP('',(#243334,#243335,#243336,#243337)); #45117=EDGE_LOOP('',(#243338,#243339,#243340,#243341)); #45118=EDGE_LOOP('',(#243342,#243343,#243344,#243345)); #45119=EDGE_LOOP('',(#243346,#243347,#243348,#243349)); #45120=EDGE_LOOP('',(#243350,#243351,#243352,#243353)); #45121=EDGE_LOOP('',(#243354,#243355,#243356,#243357)); #45122=EDGE_LOOP('',(#243358,#243359,#243360,#243361)); #45123=EDGE_LOOP('',(#243362,#243363,#243364,#243365)); #45124=EDGE_LOOP('',(#243366,#243367,#243368,#243369)); #45125=EDGE_LOOP('',(#243370,#243371,#243372,#243373)); #45126=EDGE_LOOP('',(#243374,#243375,#243376,#243377)); #45127=EDGE_LOOP('',(#243378,#243379,#243380,#243381)); #45128=EDGE_LOOP('',(#243382,#243383,#243384,#243385,#243386,#243387,#243388, #243389,#243390,#243391,#243392,#243393,#243394,#243395,#243396,#243397, #243398,#243399,#243400,#243401)); #45129=EDGE_LOOP('',(#243402,#243403,#243404,#243405,#243406,#243407,#243408, #243409,#243410,#243411,#243412,#243413,#243414,#243415,#243416,#243417, #243418,#243419,#243420,#243421)); #45130=EDGE_LOOP('',(#243422,#243423,#243424,#243425)); #45131=EDGE_LOOP('',(#243426,#243427,#243428,#243429)); #45132=EDGE_LOOP('',(#243430,#243431,#243432,#243433)); #45133=EDGE_LOOP('',(#243434,#243435,#243436,#243437)); #45134=EDGE_LOOP('',(#243438,#243439,#243440,#243441)); #45135=EDGE_LOOP('',(#243442,#243443,#243444,#243445)); #45136=EDGE_LOOP('',(#243446,#243447,#243448,#243449)); #45137=EDGE_LOOP('',(#243450,#243451,#243452,#243453)); #45138=EDGE_LOOP('',(#243454,#243455,#243456,#243457)); #45139=EDGE_LOOP('',(#243458,#243459,#243460,#243461)); #45140=EDGE_LOOP('',(#243462,#243463,#243464,#243465)); #45141=EDGE_LOOP('',(#243466,#243467,#243468,#243469)); #45142=EDGE_LOOP('',(#243470,#243471,#243472,#243473)); #45143=EDGE_LOOP('',(#243474,#243475,#243476,#243477)); #45144=EDGE_LOOP('',(#243478,#243479,#243480,#243481)); #45145=EDGE_LOOP('',(#243482,#243483,#243484,#243485)); #45146=EDGE_LOOP('',(#243486,#243487,#243488,#243489)); #45147=EDGE_LOOP('',(#243490,#243491,#243492,#243493)); #45148=EDGE_LOOP('',(#243494,#243495,#243496,#243497)); #45149=EDGE_LOOP('',(#243498,#243499,#243500,#243501)); #45150=EDGE_LOOP('',(#243502,#243503,#243504,#243505)); #45151=EDGE_LOOP('',(#243506,#243507,#243508,#243509,#243510,#243511,#243512, #243513,#243514,#243515,#243516,#243517,#243518,#243519,#243520,#243521, #243522,#243523,#243524,#243525,#243526)); #45152=EDGE_LOOP('',(#243527,#243528,#243529,#243530,#243531,#243532,#243533, #243534,#243535,#243536,#243537,#243538,#243539,#243540,#243541,#243542, #243543,#243544,#243545,#243546,#243547)); #45153=EDGE_LOOP('',(#243548,#243549,#243550,#243551)); #45154=EDGE_LOOP('',(#243552,#243553,#243554,#243555)); #45155=EDGE_LOOP('',(#243556,#243557,#243558,#243559)); #45156=EDGE_LOOP('',(#243560,#243561,#243562,#243563)); #45157=EDGE_LOOP('',(#243564,#243565,#243566,#243567)); #45158=EDGE_LOOP('',(#243568,#243569,#243570,#243571)); #45159=EDGE_LOOP('',(#243572,#243573,#243574,#243575)); #45160=EDGE_LOOP('',(#243576,#243577,#243578,#243579)); #45161=EDGE_LOOP('',(#243580,#243581,#243582,#243583)); #45162=EDGE_LOOP('',(#243584,#243585,#243586,#243587)); #45163=EDGE_LOOP('',(#243588,#243589,#243590,#243591)); #45164=EDGE_LOOP('',(#243592,#243593,#243594,#243595)); #45165=EDGE_LOOP('',(#243596,#243597,#243598,#243599)); #45166=EDGE_LOOP('',(#243600,#243601,#243602,#243603)); #45167=EDGE_LOOP('',(#243604,#243605,#243606,#243607)); #45168=EDGE_LOOP('',(#243608,#243609,#243610,#243611)); #45169=EDGE_LOOP('',(#243612,#243613,#243614,#243615)); #45170=EDGE_LOOP('',(#243616,#243617,#243618,#243619)); #45171=EDGE_LOOP('',(#243620,#243621,#243622,#243623)); #45172=EDGE_LOOP('',(#243624,#243625,#243626,#243627)); #45173=EDGE_LOOP('',(#243628,#243629,#243630,#243631)); #45174=EDGE_LOOP('',(#243632,#243633,#243634,#243635)); #45175=EDGE_LOOP('',(#243636,#243637,#243638,#243639)); #45176=EDGE_LOOP('',(#243640,#243641,#243642,#243643)); #45177=EDGE_LOOP('',(#243644,#243645,#243646,#243647)); #45178=EDGE_LOOP('',(#243648,#243649,#243650,#243651)); #45179=EDGE_LOOP('',(#243652,#243653,#243654,#243655)); #45180=EDGE_LOOP('',(#243656,#243657,#243658,#243659)); #45181=EDGE_LOOP('',(#243660,#243661,#243662,#243663)); #45182=EDGE_LOOP('',(#243664,#243665,#243666,#243667)); #45183=EDGE_LOOP('',(#243668,#243669,#243670,#243671)); #45184=EDGE_LOOP('',(#243672,#243673,#243674,#243675)); #45185=EDGE_LOOP('',(#243676,#243677,#243678,#243679)); #45186=EDGE_LOOP('',(#243680,#243681,#243682,#243683)); #45187=EDGE_LOOP('',(#243684,#243685,#243686,#243687)); #45188=EDGE_LOOP('',(#243688,#243689,#243690,#243691)); #45189=EDGE_LOOP('',(#243692,#243693,#243694,#243695)); #45190=EDGE_LOOP('',(#243696,#243697,#243698,#243699)); #45191=EDGE_LOOP('',(#243700,#243701,#243702,#243703)); #45192=EDGE_LOOP('',(#243704,#243705,#243706,#243707)); #45193=EDGE_LOOP('',(#243708,#243709,#243710,#243711)); #45194=EDGE_LOOP('',(#243712,#243713,#243714,#243715)); #45195=EDGE_LOOP('',(#243716,#243717,#243718,#243719)); #45196=EDGE_LOOP('',(#243720,#243721,#243722,#243723)); #45197=EDGE_LOOP('',(#243724,#243725,#243726,#243727)); #45198=EDGE_LOOP('',(#243728,#243729,#243730,#243731)); #45199=EDGE_LOOP('',(#243732,#243733,#243734,#243735)); #45200=EDGE_LOOP('',(#243736,#243737,#243738,#243739)); #45201=EDGE_LOOP('',(#243740,#243741,#243742,#243743)); #45202=EDGE_LOOP('',(#243744,#243745,#243746,#243747)); #45203=EDGE_LOOP('',(#243748,#243749,#243750,#243751)); #45204=EDGE_LOOP('',(#243752,#243753,#243754,#243755)); #45205=EDGE_LOOP('',(#243756,#243757,#243758,#243759)); #45206=EDGE_LOOP('',(#243760,#243761,#243762,#243763)); #45207=EDGE_LOOP('',(#243764,#243765,#243766,#243767)); #45208=EDGE_LOOP('',(#243768,#243769,#243770,#243771)); #45209=EDGE_LOOP('',(#243772,#243773,#243774,#243775)); #45210=EDGE_LOOP('',(#243776,#243777,#243778,#243779)); #45211=EDGE_LOOP('',(#243780,#243781,#243782,#243783)); #45212=EDGE_LOOP('',(#243784,#243785,#243786,#243787)); #45213=EDGE_LOOP('',(#243788,#243789,#243790,#243791)); #45214=EDGE_LOOP('',(#243792,#243793,#243794,#243795)); #45215=EDGE_LOOP('',(#243796,#243797,#243798,#243799)); #45216=EDGE_LOOP('',(#243800,#243801,#243802,#243803)); #45217=EDGE_LOOP('',(#243804,#243805,#243806,#243807)); #45218=EDGE_LOOP('',(#243808,#243809,#243810,#243811)); #45219=EDGE_LOOP('',(#243812,#243813,#243814,#243815)); #45220=EDGE_LOOP('',(#243816,#243817,#243818,#243819)); #45221=EDGE_LOOP('',(#243820,#243821,#243822,#243823)); #45222=EDGE_LOOP('',(#243824,#243825,#243826,#243827)); #45223=EDGE_LOOP('',(#243828,#243829,#243830,#243831)); #45224=EDGE_LOOP('',(#243832,#243833,#243834,#243835)); #45225=EDGE_LOOP('',(#243836,#243837,#243838,#243839)); #45226=EDGE_LOOP('',(#243840,#243841,#243842,#243843)); #45227=EDGE_LOOP('',(#243844,#243845,#243846,#243847)); #45228=EDGE_LOOP('',(#243848,#243849,#243850,#243851)); #45229=EDGE_LOOP('',(#243852,#243853,#243854,#243855)); #45230=EDGE_LOOP('',(#243856,#243857,#243858,#243859)); #45231=EDGE_LOOP('',(#243860,#243861,#243862,#243863)); #45232=EDGE_LOOP('',(#243864,#243865,#243866,#243867)); #45233=EDGE_LOOP('',(#243868,#243869,#243870,#243871)); #45234=EDGE_LOOP('',(#243872,#243873,#243874,#243875)); #45235=EDGE_LOOP('',(#243876,#243877,#243878,#243879)); #45236=EDGE_LOOP('',(#243880,#243881,#243882,#243883)); #45237=EDGE_LOOP('',(#243884,#243885,#243886,#243887)); #45238=EDGE_LOOP('',(#243888,#243889,#243890,#243891)); #45239=EDGE_LOOP('',(#243892,#243893,#243894,#243895)); #45240=EDGE_LOOP('',(#243896,#243897,#243898,#243899)); #45241=EDGE_LOOP('',(#243900,#243901,#243902,#243903)); #45242=EDGE_LOOP('',(#243904,#243905,#243906,#243907)); #45243=EDGE_LOOP('',(#243908,#243909,#243910,#243911)); #45244=EDGE_LOOP('',(#243912,#243913,#243914,#243915)); #45245=EDGE_LOOP('',(#243916,#243917,#243918,#243919)); #45246=EDGE_LOOP('',(#243920,#243921,#243922,#243923)); #45247=EDGE_LOOP('',(#243924,#243925,#243926,#243927)); #45248=EDGE_LOOP('',(#243928,#243929,#243930,#243931)); #45249=EDGE_LOOP('',(#243932,#243933,#243934,#243935)); #45250=EDGE_LOOP('',(#243936,#243937,#243938,#243939)); #45251=EDGE_LOOP('',(#243940,#243941,#243942,#243943)); #45252=EDGE_LOOP('',(#243944,#243945,#243946,#243947)); #45253=EDGE_LOOP('',(#243948,#243949,#243950,#243951)); #45254=EDGE_LOOP('',(#243952,#243953,#243954,#243955)); #45255=EDGE_LOOP('',(#243956,#243957,#243958,#243959)); #45256=EDGE_LOOP('',(#243960,#243961,#243962,#243963)); #45257=EDGE_LOOP('',(#243964,#243965,#243966,#243967)); #45258=EDGE_LOOP('',(#243968,#243969,#243970,#243971)); #45259=EDGE_LOOP('',(#243972,#243973,#243974,#243975)); #45260=EDGE_LOOP('',(#243976,#243977,#243978,#243979)); #45261=EDGE_LOOP('',(#243980,#243981,#243982,#243983)); #45262=EDGE_LOOP('',(#243984,#243985,#243986,#243987)); #45263=EDGE_LOOP('',(#243988,#243989,#243990,#243991)); #45264=EDGE_LOOP('',(#243992,#243993,#243994,#243995)); #45265=EDGE_LOOP('',(#243996,#243997,#243998,#243999)); #45266=EDGE_LOOP('',(#244000,#244001,#244002,#244003)); #45267=EDGE_LOOP('',(#244004,#244005,#244006,#244007)); #45268=EDGE_LOOP('',(#244008,#244009,#244010,#244011)); #45269=EDGE_LOOP('',(#244012,#244013,#244014,#244015)); #45270=EDGE_LOOP('',(#244016,#244017,#244018,#244019)); #45271=EDGE_LOOP('',(#244020,#244021,#244022,#244023)); #45272=EDGE_LOOP('',(#244024,#244025,#244026,#244027)); #45273=EDGE_LOOP('',(#244028,#244029,#244030,#244031)); #45274=EDGE_LOOP('',(#244032,#244033,#244034,#244035)); #45275=EDGE_LOOP('',(#244036,#244037,#244038,#244039)); #45276=EDGE_LOOP('',(#244040,#244041,#244042,#244043)); #45277=EDGE_LOOP('',(#244044,#244045,#244046,#244047)); #45278=EDGE_LOOP('',(#244048,#244049,#244050,#244051)); #45279=EDGE_LOOP('',(#244052,#244053,#244054,#244055)); #45280=EDGE_LOOP('',(#244056,#244057,#244058,#244059)); #45281=EDGE_LOOP('',(#244060,#244061,#244062,#244063)); #45282=EDGE_LOOP('',(#244064,#244065,#244066,#244067)); #45283=EDGE_LOOP('',(#244068,#244069,#244070,#244071)); #45284=EDGE_LOOP('',(#244072,#244073,#244074,#244075)); #45285=EDGE_LOOP('',(#244076,#244077,#244078,#244079)); #45286=EDGE_LOOP('',(#244080,#244081,#244082,#244083)); #45287=EDGE_LOOP('',(#244084,#244085,#244086,#244087)); #45288=EDGE_LOOP('',(#244088,#244089,#244090,#244091)); #45289=EDGE_LOOP('',(#244092,#244093,#244094,#244095)); #45290=EDGE_LOOP('',(#244096,#244097,#244098,#244099)); #45291=EDGE_LOOP('',(#244100,#244101,#244102,#244103)); #45292=EDGE_LOOP('',(#244104,#244105,#244106,#244107)); #45293=EDGE_LOOP('',(#244108,#244109,#244110,#244111)); #45294=EDGE_LOOP('',(#244112,#244113,#244114,#244115)); #45295=EDGE_LOOP('',(#244116,#244117,#244118,#244119)); #45296=EDGE_LOOP('',(#244120,#244121,#244122,#244123)); #45297=EDGE_LOOP('',(#244124,#244125,#244126,#244127)); #45298=EDGE_LOOP('',(#244128,#244129,#244130,#244131)); #45299=EDGE_LOOP('',(#244132,#244133,#244134,#244135)); #45300=EDGE_LOOP('',(#244136,#244137,#244138,#244139)); #45301=EDGE_LOOP('',(#244140,#244141,#244142,#244143)); #45302=EDGE_LOOP('',(#244144,#244145,#244146,#244147)); #45303=EDGE_LOOP('',(#244148,#244149,#244150,#244151)); #45304=EDGE_LOOP('',(#244152,#244153,#244154,#244155)); #45305=EDGE_LOOP('',(#244156,#244157,#244158,#244159)); #45306=EDGE_LOOP('',(#244160,#244161,#244162,#244163)); #45307=EDGE_LOOP('',(#244164,#244165,#244166,#244167)); #45308=EDGE_LOOP('',(#244168,#244169,#244170,#244171)); #45309=EDGE_LOOP('',(#244172,#244173,#244174,#244175)); #45310=EDGE_LOOP('',(#244176,#244177,#244178,#244179)); #45311=EDGE_LOOP('',(#244180,#244181,#244182,#244183)); #45312=EDGE_LOOP('',(#244184,#244185,#244186,#244187)); #45313=EDGE_LOOP('',(#244188,#244189,#244190,#244191)); #45314=EDGE_LOOP('',(#244192,#244193,#244194,#244195)); #45315=EDGE_LOOP('',(#244196,#244197,#244198,#244199)); #45316=EDGE_LOOP('',(#244200,#244201,#244202,#244203)); #45317=EDGE_LOOP('',(#244204,#244205,#244206,#244207)); #45318=EDGE_LOOP('',(#244208,#244209,#244210,#244211)); #45319=EDGE_LOOP('',(#244212,#244213,#244214,#244215)); #45320=EDGE_LOOP('',(#244216,#244217,#244218,#244219)); #45321=EDGE_LOOP('',(#244220,#244221,#244222,#244223)); #45322=EDGE_LOOP('',(#244224,#244225,#244226,#244227)); #45323=EDGE_LOOP('',(#244228,#244229,#244230,#244231)); #45324=EDGE_LOOP('',(#244232,#244233,#244234,#244235)); #45325=EDGE_LOOP('',(#244236,#244237,#244238,#244239)); #45326=EDGE_LOOP('',(#244240,#244241,#244242,#244243)); #45327=EDGE_LOOP('',(#244244,#244245,#244246,#244247)); #45328=EDGE_LOOP('',(#244248,#244249,#244250,#244251)); #45329=EDGE_LOOP('',(#244252,#244253,#244254,#244255)); #45330=EDGE_LOOP('',(#244256,#244257,#244258,#244259)); #45331=EDGE_LOOP('',(#244260,#244261,#244262,#244263)); #45332=EDGE_LOOP('',(#244264,#244265,#244266,#244267)); #45333=EDGE_LOOP('',(#244268,#244269,#244270,#244271)); #45334=EDGE_LOOP('',(#244272,#244273,#244274,#244275)); #45335=EDGE_LOOP('',(#244276,#244277,#244278,#244279)); #45336=EDGE_LOOP('',(#244280,#244281,#244282,#244283)); #45337=EDGE_LOOP('',(#244284,#244285,#244286,#244287)); #45338=EDGE_LOOP('',(#244288,#244289,#244290,#244291)); #45339=EDGE_LOOP('',(#244292,#244293,#244294,#244295)); #45340=EDGE_LOOP('',(#244296,#244297,#244298,#244299)); #45341=EDGE_LOOP('',(#244300,#244301,#244302,#244303)); #45342=EDGE_LOOP('',(#244304,#244305,#244306,#244307)); #45343=EDGE_LOOP('',(#244308,#244309,#244310,#244311)); #45344=EDGE_LOOP('',(#244312,#244313,#244314,#244315)); #45345=EDGE_LOOP('',(#244316,#244317,#244318,#244319)); #45346=EDGE_LOOP('',(#244320,#244321,#244322,#244323)); #45347=EDGE_LOOP('',(#244324,#244325,#244326,#244327)); #45348=EDGE_LOOP('',(#244328,#244329,#244330,#244331)); #45349=EDGE_LOOP('',(#244332,#244333,#244334,#244335)); #45350=EDGE_LOOP('',(#244336,#244337,#244338,#244339)); #45351=EDGE_LOOP('',(#244340,#244341,#244342,#244343)); #45352=EDGE_LOOP('',(#244344,#244345,#244346,#244347)); #45353=EDGE_LOOP('',(#244348,#244349,#244350,#244351)); #45354=EDGE_LOOP('',(#244352,#244353,#244354,#244355)); #45355=EDGE_LOOP('',(#244356,#244357,#244358,#244359)); #45356=EDGE_LOOP('',(#244360,#244361,#244362,#244363)); #45357=EDGE_LOOP('',(#244364,#244365,#244366,#244367)); #45358=EDGE_LOOP('',(#244368,#244369,#244370,#244371)); #45359=EDGE_LOOP('',(#244372,#244373,#244374,#244375)); #45360=EDGE_LOOP('',(#244376,#244377,#244378,#244379)); #45361=EDGE_LOOP('',(#244380,#244381,#244382,#244383)); #45362=EDGE_LOOP('',(#244384,#244385,#244386,#244387)); #45363=EDGE_LOOP('',(#244388,#244389,#244390,#244391)); #45364=EDGE_LOOP('',(#244392,#244393,#244394,#244395)); #45365=EDGE_LOOP('',(#244396,#244397,#244398,#244399)); #45366=EDGE_LOOP('',(#244400,#244401,#244402,#244403)); #45367=EDGE_LOOP('',(#244404,#244405,#244406,#244407)); #45368=EDGE_LOOP('',(#244408,#244409,#244410,#244411)); #45369=EDGE_LOOP('',(#244412,#244413,#244414,#244415)); #45370=EDGE_LOOP('',(#244416,#244417,#244418,#244419)); #45371=EDGE_LOOP('',(#244420,#244421,#244422,#244423)); #45372=EDGE_LOOP('',(#244424,#244425,#244426,#244427)); #45373=EDGE_LOOP('',(#244428,#244429,#244430,#244431)); #45374=EDGE_LOOP('',(#244432,#244433,#244434,#244435)); #45375=EDGE_LOOP('',(#244436,#244437,#244438,#244439)); #45376=EDGE_LOOP('',(#244440,#244441,#244442,#244443)); #45377=EDGE_LOOP('',(#244444,#244445,#244446,#244447)); #45378=EDGE_LOOP('',(#244448,#244449,#244450,#244451)); #45379=EDGE_LOOP('',(#244452,#244453,#244454,#244455)); #45380=EDGE_LOOP('',(#244456,#244457,#244458,#244459)); #45381=EDGE_LOOP('',(#244460,#244461,#244462,#244463)); #45382=EDGE_LOOP('',(#244464,#244465,#244466,#244467)); #45383=EDGE_LOOP('',(#244468,#244469,#244470,#244471)); #45384=EDGE_LOOP('',(#244472,#244473,#244474,#244475)); #45385=EDGE_LOOP('',(#244476,#244477,#244478,#244479)); #45386=EDGE_LOOP('',(#244480,#244481,#244482,#244483)); #45387=EDGE_LOOP('',(#244484,#244485,#244486,#244487)); #45388=EDGE_LOOP('',(#244488,#244489,#244490,#244491)); #45389=EDGE_LOOP('',(#244492,#244493,#244494,#244495)); #45390=EDGE_LOOP('',(#244496,#244497,#244498,#244499)); #45391=EDGE_LOOP('',(#244500,#244501,#244502,#244503)); #45392=EDGE_LOOP('',(#244504,#244505,#244506,#244507)); #45393=EDGE_LOOP('',(#244508,#244509,#244510,#244511)); #45394=EDGE_LOOP('',(#244512,#244513,#244514,#244515)); #45395=EDGE_LOOP('',(#244516,#244517,#244518,#244519)); #45396=EDGE_LOOP('',(#244520,#244521,#244522,#244523)); #45397=EDGE_LOOP('',(#244524,#244525,#244526,#244527)); #45398=EDGE_LOOP('',(#244528,#244529,#244530,#244531)); #45399=EDGE_LOOP('',(#244532,#244533,#244534,#244535)); #45400=EDGE_LOOP('',(#244536,#244537,#244538,#244539)); #45401=EDGE_LOOP('',(#244540,#244541,#244542,#244543)); #45402=EDGE_LOOP('',(#244544,#244545,#244546,#244547)); #45403=EDGE_LOOP('',(#244548,#244549,#244550,#244551)); #45404=EDGE_LOOP('',(#244552,#244553,#244554,#244555)); #45405=EDGE_LOOP('',(#244556,#244557,#244558,#244559)); #45406=EDGE_LOOP('',(#244560,#244561,#244562,#244563)); #45407=EDGE_LOOP('',(#244564,#244565,#244566,#244567)); #45408=EDGE_LOOP('',(#244568,#244569,#244570,#244571)); #45409=EDGE_LOOP('',(#244572,#244573,#244574,#244575)); #45410=EDGE_LOOP('',(#244576,#244577,#244578,#244579)); #45411=EDGE_LOOP('',(#244580,#244581,#244582,#244583)); #45412=EDGE_LOOP('',(#244584,#244585,#244586,#244587)); #45413=EDGE_LOOP('',(#244588,#244589,#244590,#244591)); #45414=EDGE_LOOP('',(#244592,#244593,#244594,#244595)); #45415=EDGE_LOOP('',(#244596,#244597,#244598,#244599)); #45416=EDGE_LOOP('',(#244600,#244601,#244602,#244603)); #45417=EDGE_LOOP('',(#244604,#244605,#244606,#244607)); #45418=EDGE_LOOP('',(#244608,#244609,#244610,#244611)); #45419=EDGE_LOOP('',(#244612,#244613,#244614,#244615)); #45420=EDGE_LOOP('',(#244616,#244617,#244618,#244619)); #45421=EDGE_LOOP('',(#244620,#244621,#244622,#244623)); #45422=EDGE_LOOP('',(#244624,#244625,#244626,#244627)); #45423=EDGE_LOOP('',(#244628,#244629,#244630,#244631)); #45424=EDGE_LOOP('',(#244632,#244633,#244634,#244635)); #45425=EDGE_LOOP('',(#244636,#244637,#244638,#244639)); #45426=EDGE_LOOP('',(#244640,#244641,#244642,#244643)); #45427=EDGE_LOOP('',(#244644,#244645,#244646,#244647)); #45428=EDGE_LOOP('',(#244648,#244649,#244650,#244651)); #45429=EDGE_LOOP('',(#244652,#244653,#244654,#244655)); #45430=EDGE_LOOP('',(#244656,#244657,#244658,#244659)); #45431=EDGE_LOOP('',(#244660,#244661,#244662,#244663)); #45432=EDGE_LOOP('',(#244664,#244665,#244666,#244667)); #45433=EDGE_LOOP('',(#244668,#244669,#244670,#244671)); #45434=EDGE_LOOP('',(#244672,#244673,#244674,#244675)); #45435=EDGE_LOOP('',(#244676,#244677,#244678,#244679)); #45436=EDGE_LOOP('',(#244680,#244681,#244682,#244683)); #45437=EDGE_LOOP('',(#244684,#244685,#244686,#244687)); #45438=EDGE_LOOP('',(#244688,#244689,#244690,#244691)); #45439=EDGE_LOOP('',(#244692,#244693,#244694,#244695)); #45440=EDGE_LOOP('',(#244696,#244697,#244698,#244699)); #45441=EDGE_LOOP('',(#244700,#244701,#244702,#244703)); #45442=EDGE_LOOP('',(#244704,#244705,#244706,#244707)); #45443=EDGE_LOOP('',(#244708,#244709,#244710,#244711)); #45444=EDGE_LOOP('',(#244712,#244713,#244714,#244715)); #45445=EDGE_LOOP('',(#244716,#244717,#244718,#244719)); #45446=EDGE_LOOP('',(#244720,#244721,#244722,#244723)); #45447=EDGE_LOOP('',(#244724,#244725,#244726,#244727)); #45448=EDGE_LOOP('',(#244728,#244729,#244730,#244731)); #45449=EDGE_LOOP('',(#244732,#244733,#244734,#244735)); #45450=EDGE_LOOP('',(#244736,#244737,#244738,#244739)); #45451=EDGE_LOOP('',(#244740,#244741,#244742,#244743)); #45452=EDGE_LOOP('',(#244744,#244745,#244746,#244747)); #45453=EDGE_LOOP('',(#244748,#244749,#244750,#244751)); #45454=EDGE_LOOP('',(#244752,#244753,#244754,#244755)); #45455=EDGE_LOOP('',(#244756,#244757,#244758,#244759)); #45456=EDGE_LOOP('',(#244760,#244761,#244762,#244763)); #45457=EDGE_LOOP('',(#244764,#244765,#244766,#244767)); #45458=EDGE_LOOP('',(#244768,#244769,#244770,#244771)); #45459=EDGE_LOOP('',(#244772,#244773,#244774,#244775)); #45460=EDGE_LOOP('',(#244776,#244777,#244778,#244779)); #45461=EDGE_LOOP('',(#244780,#244781,#244782,#244783)); #45462=EDGE_LOOP('',(#244784,#244785,#244786,#244787)); #45463=EDGE_LOOP('',(#244788,#244789,#244790,#244791)); #45464=EDGE_LOOP('',(#244792,#244793,#244794,#244795)); #45465=EDGE_LOOP('',(#244796,#244797,#244798,#244799)); #45466=EDGE_LOOP('',(#244800,#244801,#244802,#244803)); #45467=EDGE_LOOP('',(#244804,#244805,#244806,#244807)); #45468=EDGE_LOOP('',(#244808,#244809,#244810,#244811)); #45469=EDGE_LOOP('',(#244812,#244813,#244814,#244815)); #45470=EDGE_LOOP('',(#244816,#244817,#244818,#244819)); #45471=EDGE_LOOP('',(#244820,#244821,#244822,#244823)); #45472=EDGE_LOOP('',(#244824,#244825,#244826,#244827)); #45473=EDGE_LOOP('',(#244828,#244829,#244830,#244831)); #45474=EDGE_LOOP('',(#244832,#244833,#244834,#244835)); #45475=EDGE_LOOP('',(#244836,#244837,#244838,#244839)); #45476=EDGE_LOOP('',(#244840,#244841,#244842,#244843)); #45477=EDGE_LOOP('',(#244844,#244845,#244846,#244847)); #45478=EDGE_LOOP('',(#244848,#244849,#244850,#244851)); #45479=EDGE_LOOP('',(#244852,#244853,#244854,#244855)); #45480=EDGE_LOOP('',(#244856,#244857,#244858,#244859)); #45481=EDGE_LOOP('',(#244860,#244861,#244862,#244863)); #45482=EDGE_LOOP('',(#244864,#244865,#244866,#244867)); #45483=EDGE_LOOP('',(#244868,#244869,#244870,#244871)); #45484=EDGE_LOOP('',(#244872,#244873,#244874,#244875)); #45485=EDGE_LOOP('',(#244876,#244877,#244878,#244879)); #45486=EDGE_LOOP('',(#244880,#244881,#244882,#244883)); #45487=EDGE_LOOP('',(#244884,#244885,#244886,#244887)); #45488=EDGE_LOOP('',(#244888,#244889,#244890,#244891)); #45489=EDGE_LOOP('',(#244892,#244893,#244894,#244895)); #45490=EDGE_LOOP('',(#244896,#244897,#244898,#244899)); #45491=EDGE_LOOP('',(#244900,#244901,#244902,#244903)); #45492=EDGE_LOOP('',(#244904,#244905,#244906,#244907)); #45493=EDGE_LOOP('',(#244908,#244909,#244910,#244911)); #45494=EDGE_LOOP('',(#244912,#244913,#244914,#244915)); #45495=EDGE_LOOP('',(#244916,#244917,#244918,#244919)); #45496=EDGE_LOOP('',(#244920,#244921,#244922,#244923)); #45497=EDGE_LOOP('',(#244924,#244925,#244926,#244927)); #45498=EDGE_LOOP('',(#244928,#244929,#244930,#244931,#244932,#244933,#244934, #244935,#244936,#244937,#244938,#244939,#244940,#244941,#244942,#244943, #244944,#244945,#244946,#244947,#244948,#244949,#244950,#244951,#244952, #244953,#244954,#244955,#244956,#244957,#244958,#244959,#244960,#244961, #244962,#244963,#244964,#244965,#244966,#244967,#244968,#244969,#244970, #244971,#244972,#244973,#244974,#244975,#244976,#244977,#244978,#244979, #244980,#244981,#244982,#244983,#244984,#244985,#244986,#244987,#244988, #244989,#244990,#244991,#244992,#244993,#244994,#244995,#244996,#244997, #244998,#244999,#245000,#245001,#245002,#245003,#245004,#245005,#245006, #245007,#245008,#245009,#245010,#245011,#245012,#245013,#245014,#245015, #245016,#245017,#245018,#245019,#245020,#245021,#245022,#245023,#245024, #245025,#245026,#245027,#245028,#245029,#245030,#245031,#245032,#245033, #245034,#245035,#245036,#245037,#245038,#245039,#245040,#245041,#245042, #245043,#245044,#245045,#245046,#245047,#245048,#245049,#245050,#245051, #245052,#245053,#245054,#245055,#245056,#245057,#245058,#245059,#245060, #245061,#245062,#245063,#245064,#245065,#245066,#245067,#245068,#245069, #245070,#245071,#245072,#245073,#245074,#245075,#245076,#245077,#245078, #245079,#245080,#245081,#245082,#245083,#245084,#245085,#245086,#245087, #245088,#245089,#245090,#245091,#245092,#245093,#245094,#245095,#245096, #245097,#245098,#245099,#245100,#245101,#245102,#245103,#245104,#245105, #245106,#245107,#245108,#245109,#245110,#245111,#245112,#245113,#245114, #245115,#245116,#245117,#245118,#245119,#245120,#245121,#245122,#245123, #245124,#245125,#245126,#245127,#245128,#245129,#245130,#245131,#245132, #245133,#245134,#245135,#245136,#245137,#245138,#245139,#245140,#245141, #245142,#245143,#245144,#245145,#245146,#245147,#245148,#245149,#245150, #245151,#245152,#245153,#245154,#245155,#245156,#245157,#245158,#245159, #245160,#245161,#245162,#245163,#245164,#245165,#245166,#245167,#245168, #245169,#245170,#245171,#245172,#245173,#245174,#245175,#245176,#245177, #245178,#245179,#245180,#245181,#245182,#245183,#245184,#245185,#245186, #245187,#245188,#245189,#245190,#245191,#245192,#245193,#245194,#245195, #245196,#245197,#245198,#245199,#245200,#245201,#245202,#245203,#245204, #245205,#245206,#245207,#245208,#245209,#245210,#245211,#245212,#245213, #245214,#245215,#245216,#245217,#245218,#245219,#245220,#245221,#245222, #245223)); #45499=EDGE_LOOP('',(#245224)); #45500=EDGE_LOOP('',(#245225)); #45501=EDGE_LOOP('',(#245226)); #45502=EDGE_LOOP('',(#245227)); #45503=EDGE_LOOP('',(#245228)); #45504=EDGE_LOOP('',(#245229)); #45505=EDGE_LOOP('',(#245230)); #45506=EDGE_LOOP('',(#245231)); #45507=EDGE_LOOP('',(#245232)); #45508=EDGE_LOOP('',(#245233)); #45509=EDGE_LOOP('',(#245234)); #45510=EDGE_LOOP('',(#245235)); #45511=EDGE_LOOP('',(#245236)); #45512=EDGE_LOOP('',(#245237)); #45513=EDGE_LOOP('',(#245238)); #45514=EDGE_LOOP('',(#245239)); #45515=EDGE_LOOP('',(#245240)); #45516=EDGE_LOOP('',(#245241)); #45517=EDGE_LOOP('',(#245242)); #45518=EDGE_LOOP('',(#245243)); #45519=EDGE_LOOP('',(#245244)); #45520=EDGE_LOOP('',(#245245)); #45521=EDGE_LOOP('',(#245246)); #45522=EDGE_LOOP('',(#245247)); #45523=EDGE_LOOP('',(#245248)); #45524=EDGE_LOOP('',(#245249)); #45525=EDGE_LOOP('',(#245250)); #45526=EDGE_LOOP('',(#245251)); #45527=EDGE_LOOP('',(#245252)); #45528=EDGE_LOOP('',(#245253)); #45529=EDGE_LOOP('',(#245254)); #45530=EDGE_LOOP('',(#245255)); #45531=EDGE_LOOP('',(#245256)); #45532=EDGE_LOOP('',(#245257)); #45533=EDGE_LOOP('',(#245258)); #45534=EDGE_LOOP('',(#245259)); #45535=EDGE_LOOP('',(#245260)); #45536=EDGE_LOOP('',(#245261)); #45537=EDGE_LOOP('',(#245262)); #45538=EDGE_LOOP('',(#245263)); #45539=EDGE_LOOP('',(#245264)); #45540=EDGE_LOOP('',(#245265)); #45541=EDGE_LOOP('',(#245266)); #45542=EDGE_LOOP('',(#245267)); #45543=EDGE_LOOP('',(#245268)); #45544=EDGE_LOOP('',(#245269)); #45545=EDGE_LOOP('',(#245270)); #45546=EDGE_LOOP('',(#245271)); #45547=EDGE_LOOP('',(#245272)); #45548=EDGE_LOOP('',(#245273,#245274,#245275,#245276,#245277,#245278,#245279, #245280,#245281,#245282,#245283,#245284,#245285,#245286,#245287,#245288, #245289,#245290,#245291,#245292,#245293,#245294,#245295,#245296,#245297, #245298,#245299,#245300,#245301,#245302,#245303,#245304,#245305,#245306, #245307,#245308,#245309,#245310,#245311,#245312,#245313,#245314,#245315, #245316,#245317,#245318,#245319,#245320,#245321,#245322,#245323,#245324, #245325,#245326,#245327,#245328,#245329,#245330,#245331,#245332,#245333, #245334,#245335,#245336,#245337,#245338,#245339,#245340,#245341,#245342, #245343,#245344,#245345,#245346,#245347,#245348,#245349,#245350,#245351, #245352,#245353,#245354,#245355,#245356,#245357,#245358,#245359,#245360, #245361,#245362,#245363,#245364,#245365,#245366,#245367,#245368,#245369, #245370,#245371,#245372,#245373,#245374,#245375,#245376,#245377,#245378, #245379,#245380,#245381,#245382,#245383,#245384,#245385,#245386,#245387, #245388,#245389,#245390,#245391,#245392,#245393,#245394,#245395,#245396, #245397,#245398,#245399,#245400,#245401,#245402,#245403,#245404,#245405, #245406,#245407,#245408,#245409,#245410,#245411,#245412,#245413,#245414, #245415,#245416,#245417,#245418,#245419,#245420,#245421,#245422,#245423, #245424,#245425,#245426,#245427,#245428,#245429,#245430,#245431,#245432, #245433,#245434,#245435,#245436,#245437,#245438,#245439,#245440,#245441, #245442,#245443,#245444,#245445,#245446,#245447,#245448,#245449,#245450, #245451,#245452,#245453,#245454,#245455,#245456,#245457,#245458,#245459, #245460,#245461,#245462,#245463,#245464,#245465,#245466,#245467,#245468, #245469,#245470,#245471,#245472,#245473,#245474,#245475,#245476,#245477, #245478,#245479,#245480,#245481,#245482,#245483,#245484,#245485,#245486, #245487,#245488,#245489,#245490,#245491,#245492,#245493,#245494,#245495, #245496,#245497,#245498,#245499,#245500,#245501,#245502,#245503,#245504, #245505,#245506,#245507,#245508,#245509,#245510,#245511,#245512,#245513, #245514,#245515,#245516,#245517,#245518,#245519,#245520,#245521,#245522, #245523,#245524,#245525,#245526,#245527,#245528,#245529,#245530,#245531, #245532,#245533,#245534,#245535,#245536,#245537,#245538,#245539,#245540, #245541,#245542,#245543,#245544,#245545,#245546,#245547,#245548,#245549, #245550,#245551,#245552,#245553,#245554,#245555,#245556,#245557,#245558, #245559,#245560,#245561,#245562,#245563,#245564,#245565,#245566,#245567, #245568)); #45549=EDGE_LOOP('',(#245569)); #45550=EDGE_LOOP('',(#245570)); #45551=EDGE_LOOP('',(#245571)); #45552=EDGE_LOOP('',(#245572)); #45553=EDGE_LOOP('',(#245573)); #45554=EDGE_LOOP('',(#245574)); #45555=EDGE_LOOP('',(#245575)); #45556=EDGE_LOOP('',(#245576)); #45557=EDGE_LOOP('',(#245577)); #45558=EDGE_LOOP('',(#245578)); #45559=EDGE_LOOP('',(#245579)); #45560=EDGE_LOOP('',(#245580)); #45561=EDGE_LOOP('',(#245581)); #45562=EDGE_LOOP('',(#245582)); #45563=EDGE_LOOP('',(#245583)); #45564=EDGE_LOOP('',(#245584)); #45565=EDGE_LOOP('',(#245585)); #45566=EDGE_LOOP('',(#245586)); #45567=EDGE_LOOP('',(#245587)); #45568=EDGE_LOOP('',(#245588)); #45569=EDGE_LOOP('',(#245589)); #45570=EDGE_LOOP('',(#245590)); #45571=EDGE_LOOP('',(#245591)); #45572=EDGE_LOOP('',(#245592)); #45573=EDGE_LOOP('',(#245593)); #45574=EDGE_LOOP('',(#245594)); #45575=EDGE_LOOP('',(#245595)); #45576=EDGE_LOOP('',(#245596)); #45577=EDGE_LOOP('',(#245597)); #45578=EDGE_LOOP('',(#245598)); #45579=EDGE_LOOP('',(#245599)); #45580=EDGE_LOOP('',(#245600)); #45581=EDGE_LOOP('',(#245601)); #45582=EDGE_LOOP('',(#245602)); #45583=EDGE_LOOP('',(#245603)); #45584=EDGE_LOOP('',(#245604)); #45585=EDGE_LOOP('',(#245605)); #45586=EDGE_LOOP('',(#245606)); #45587=EDGE_LOOP('',(#245607)); #45588=EDGE_LOOP('',(#245608)); #45589=EDGE_LOOP('',(#245609)); #45590=EDGE_LOOP('',(#245610)); #45591=EDGE_LOOP('',(#245611)); #45592=EDGE_LOOP('',(#245612)); #45593=EDGE_LOOP('',(#245613)); #45594=EDGE_LOOP('',(#245614)); #45595=EDGE_LOOP('',(#245615)); #45596=EDGE_LOOP('',(#245616)); #45597=EDGE_LOOP('',(#245617)); #45598=EDGE_LOOP('',(#245618,#245619,#245620,#245621)); #45599=EDGE_LOOP('',(#245622,#245623,#245624,#245625)); #45600=EDGE_LOOP('',(#245626,#245627,#245628,#245629)); #45601=EDGE_LOOP('',(#245630,#245631,#245632,#245633)); #45602=EDGE_LOOP('',(#245634,#245635,#245636,#245637)); #45603=EDGE_LOOP('',(#245638,#245639,#245640,#245641)); #45604=EDGE_LOOP('',(#245642,#245643,#245644,#245645)); #45605=EDGE_LOOP('',(#245646,#245647,#245648,#245649)); #45606=EDGE_LOOP('',(#245650,#245651,#245652,#245653)); #45607=EDGE_LOOP('',(#245654,#245655,#245656,#245657)); #45608=EDGE_LOOP('',(#245658,#245659,#245660,#245661)); #45609=EDGE_LOOP('',(#245662,#245663,#245664,#245665)); #45610=EDGE_LOOP('',(#245666,#245667,#245668,#245669)); #45611=EDGE_LOOP('',(#245670,#245671,#245672,#245673)); #45612=EDGE_LOOP('',(#245674,#245675,#245676,#245677)); #45613=EDGE_LOOP('',(#245678,#245679,#245680,#245681)); #45614=EDGE_LOOP('',(#245682,#245683,#245684,#245685)); #45615=EDGE_LOOP('',(#245686,#245687,#245688,#245689)); #45616=EDGE_LOOP('',(#245690,#245691,#245692,#245693)); #45617=EDGE_LOOP('',(#245694,#245695,#245696,#245697)); #45618=EDGE_LOOP('',(#245698,#245699,#245700,#245701,#245702,#245703,#245704, #245705,#245706,#245707,#245708,#245709,#245710,#245711,#245712,#245713, #245714,#245715,#245716,#245717)); #45619=EDGE_LOOP('',(#245718,#245719,#245720,#245721,#245722,#245723,#245724, #245725,#245726,#245727,#245728,#245729,#245730,#245731,#245732,#245733, #245734,#245735,#245736,#245737)); #45620=EDGE_LOOP('',(#245738,#245739,#245740,#245741)); #45621=EDGE_LOOP('',(#245742,#245743,#245744,#245745)); #45622=EDGE_LOOP('',(#245746,#245747,#245748,#245749)); #45623=EDGE_LOOP('',(#245750,#245751,#245752,#245753)); #45624=EDGE_LOOP('',(#245754,#245755,#245756,#245757)); #45625=EDGE_LOOP('',(#245758,#245759,#245760,#245761)); #45626=EDGE_LOOP('',(#245762,#245763,#245764,#245765)); #45627=EDGE_LOOP('',(#245766,#245767,#245768,#245769)); #45628=EDGE_LOOP('',(#245770,#245771,#245772,#245773)); #45629=EDGE_LOOP('',(#245774,#245775,#245776,#245777)); #45630=EDGE_LOOP('',(#245778,#245779,#245780,#245781)); #45631=EDGE_LOOP('',(#245782,#245783,#245784,#245785)); #45632=EDGE_LOOP('',(#245786,#245787,#245788,#245789)); #45633=EDGE_LOOP('',(#245790,#245791,#245792,#245793)); #45634=EDGE_LOOP('',(#245794,#245795,#245796,#245797)); #45635=EDGE_LOOP('',(#245798,#245799,#245800,#245801)); #45636=EDGE_LOOP('',(#245802,#245803,#245804,#245805)); #45637=EDGE_LOOP('',(#245806,#245807,#245808,#245809)); #45638=EDGE_LOOP('',(#245810,#245811,#245812,#245813)); #45639=EDGE_LOOP('',(#245814,#245815,#245816,#245817)); #45640=EDGE_LOOP('',(#245818,#245819,#245820,#245821)); #45641=EDGE_LOOP('',(#245822,#245823,#245824,#245825)); #45642=EDGE_LOOP('',(#245826,#245827,#245828,#245829)); #45643=EDGE_LOOP('',(#245830,#245831,#245832,#245833)); #45644=EDGE_LOOP('',(#245834,#245835,#245836,#245837)); #45645=EDGE_LOOP('',(#245838,#245839,#245840,#245841)); #45646=EDGE_LOOP('',(#245842,#245843,#245844,#245845,#245846,#245847,#245848, #245849,#245850,#245851,#245852,#245853,#245854,#245855,#245856,#245857, #245858,#245859,#245860,#245861,#245862,#245863,#245864,#245865,#245866, #245867)); #45647=EDGE_LOOP('',(#245868,#245869,#245870,#245871,#245872,#245873,#245874, #245875,#245876,#245877,#245878,#245879,#245880,#245881,#245882,#245883, #245884,#245885,#245886,#245887,#245888,#245889,#245890,#245891,#245892, #245893)); #45648=EDGE_LOOP('',(#245894,#245895,#245896,#245897)); #45649=EDGE_LOOP('',(#245898,#245899,#245900,#245901)); #45650=EDGE_LOOP('',(#245902,#245903,#245904,#245905)); #45651=EDGE_LOOP('',(#245906,#245907,#245908,#245909)); #45652=EDGE_LOOP('',(#245910,#245911,#245912,#245913)); #45653=EDGE_LOOP('',(#245914,#245915,#245916,#245917)); #45654=EDGE_LOOP('',(#245918,#245919,#245920,#245921)); #45655=EDGE_LOOP('',(#245922,#245923,#245924,#245925)); #45656=EDGE_LOOP('',(#245926,#245927,#245928,#245929)); #45657=EDGE_LOOP('',(#245930,#245931,#245932,#245933)); #45658=EDGE_LOOP('',(#245934,#245935,#245936,#245937)); #45659=EDGE_LOOP('',(#245938,#245939,#245940,#245941)); #45660=EDGE_LOOP('',(#245942,#245943,#245944,#245945)); #45661=EDGE_LOOP('',(#245946,#245947,#245948,#245949)); #45662=EDGE_LOOP('',(#245950,#245951,#245952,#245953)); #45663=EDGE_LOOP('',(#245954,#245955,#245956,#245957)); #45664=EDGE_LOOP('',(#245958,#245959,#245960,#245961)); #45665=EDGE_LOOP('',(#245962,#245963,#245964,#245965)); #45666=EDGE_LOOP('',(#245966,#245967,#245968,#245969)); #45667=EDGE_LOOP('',(#245970,#245971,#245972,#245973)); #45668=EDGE_LOOP('',(#245974,#245975,#245976,#245977)); #45669=EDGE_LOOP('',(#245978,#245979,#245980,#245981,#245982,#245983,#245984, #245985,#245986,#245987,#245988,#245989,#245990,#245991,#245992,#245993, #245994,#245995,#245996,#245997,#245998)); #45670=EDGE_LOOP('',(#245999,#246000,#246001,#246002,#246003,#246004,#246005, #246006,#246007,#246008,#246009,#246010,#246011,#246012,#246013,#246014, #246015,#246016,#246017,#246018,#246019)); #45671=EDGE_LOOP('',(#246020,#246021,#246022,#246023)); #45672=EDGE_LOOP('',(#246024,#246025,#246026,#246027)); #45673=EDGE_LOOP('',(#246028,#246029,#246030,#246031)); #45674=EDGE_LOOP('',(#246032,#246033,#246034,#246035)); #45675=EDGE_LOOP('',(#246036,#246037,#246038,#246039)); #45676=EDGE_LOOP('',(#246040,#246041,#246042,#246043)); #45677=EDGE_LOOP('',(#246044,#246045,#246046,#246047)); #45678=EDGE_LOOP('',(#246048,#246049,#246050,#246051)); #45679=EDGE_LOOP('',(#246052,#246053,#246054,#246055)); #45680=EDGE_LOOP('',(#246056,#246057,#246058,#246059)); #45681=EDGE_LOOP('',(#246060,#246061,#246062,#246063)); #45682=EDGE_LOOP('',(#246064,#246065,#246066,#246067)); #45683=EDGE_LOOP('',(#246068,#246069,#246070,#246071)); #45684=EDGE_LOOP('',(#246072,#246073,#246074,#246075)); #45685=EDGE_LOOP('',(#246076,#246077,#246078,#246079)); #45686=EDGE_LOOP('',(#246080,#246081,#246082,#246083)); #45687=EDGE_LOOP('',(#246084,#246085,#246086,#246087)); #45688=EDGE_LOOP('',(#246088,#246089,#246090,#246091)); #45689=EDGE_LOOP('',(#246092,#246093,#246094,#246095)); #45690=EDGE_LOOP('',(#246096,#246097,#246098,#246099)); #45691=EDGE_LOOP('',(#246100,#246101,#246102,#246103)); #45692=EDGE_LOOP('',(#246104,#246105,#246106,#246107)); #45693=EDGE_LOOP('',(#246108,#246109,#246110,#246111)); #45694=EDGE_LOOP('',(#246112,#246113,#246114,#246115)); #45695=EDGE_LOOP('',(#246116,#246117,#246118,#246119)); #45696=EDGE_LOOP('',(#246120,#246121,#246122,#246123)); #45697=EDGE_LOOP('',(#246124,#246125,#246126,#246127)); #45698=EDGE_LOOP('',(#246128,#246129,#246130,#246131)); #45699=EDGE_LOOP('',(#246132,#246133,#246134,#246135)); #45700=EDGE_LOOP('',(#246136,#246137,#246138,#246139)); #45701=EDGE_LOOP('',(#246140,#246141,#246142,#246143)); #45702=EDGE_LOOP('',(#246144,#246145,#246146,#246147)); #45703=EDGE_LOOP('',(#246148,#246149,#246150,#246151)); #45704=EDGE_LOOP('',(#246152,#246153,#246154,#246155,#246156,#246157,#246158, #246159,#246160,#246161,#246162,#246163,#246164,#246165,#246166,#246167, #246168,#246169,#246170,#246171,#246172,#246173,#246174,#246175,#246176, #246177,#246178,#246179,#246180,#246181,#246182,#246183,#246184)); #45705=EDGE_LOOP('',(#246185,#246186,#246187,#246188,#246189,#246190,#246191, #246192,#246193,#246194,#246195,#246196,#246197,#246198,#246199,#246200, #246201,#246202,#246203,#246204,#246205,#246206,#246207,#246208,#246209, #246210,#246211,#246212,#246213,#246214,#246215,#246216,#246217)); #45706=EDGE_LOOP('',(#246218,#246219,#246220,#246221)); #45707=EDGE_LOOP('',(#246222,#246223,#246224,#246225)); #45708=EDGE_LOOP('',(#246226,#246227,#246228,#246229)); #45709=EDGE_LOOP('',(#246230,#246231,#246232,#246233)); #45710=EDGE_LOOP('',(#246234,#246235,#246236,#246237)); #45711=EDGE_LOOP('',(#246238,#246239,#246240,#246241)); #45712=EDGE_LOOP('',(#246242,#246243,#246244,#246245)); #45713=EDGE_LOOP('',(#246246,#246247,#246248,#246249)); #45714=EDGE_LOOP('',(#246250,#246251,#246252,#246253)); #45715=EDGE_LOOP('',(#246254,#246255,#246256,#246257)); #45716=EDGE_LOOP('',(#246258,#246259,#246260,#246261)); #45717=EDGE_LOOP('',(#246262,#246263,#246264,#246265)); #45718=EDGE_LOOP('',(#246266,#246267,#246268,#246269)); #45719=EDGE_LOOP('',(#246270,#246271,#246272,#246273)); #45720=EDGE_LOOP('',(#246274,#246275,#246276,#246277)); #45721=EDGE_LOOP('',(#246278,#246279,#246280,#246281)); #45722=EDGE_LOOP('',(#246282,#246283,#246284,#246285)); #45723=EDGE_LOOP('',(#246286,#246287,#246288,#246289)); #45724=EDGE_LOOP('',(#246290,#246291,#246292,#246293)); #45725=EDGE_LOOP('',(#246294,#246295,#246296,#246297)); #45726=EDGE_LOOP('',(#246298,#246299,#246300,#246301,#246302,#246303,#246304, #246305,#246306,#246307,#246308,#246309,#246310,#246311,#246312,#246313, #246314,#246315,#246316,#246317)); #45727=EDGE_LOOP('',(#246318,#246319,#246320,#246321,#246322,#246323,#246324, #246325,#246326,#246327,#246328,#246329,#246330,#246331,#246332,#246333, #246334,#246335,#246336,#246337)); #45728=EDGE_LOOP('',(#246338,#246339,#246340,#246341)); #45729=EDGE_LOOP('',(#246342,#246343,#246344,#246345)); #45730=EDGE_LOOP('',(#246346,#246347,#246348,#246349)); #45731=EDGE_LOOP('',(#246350,#246351,#246352,#246353)); #45732=EDGE_LOOP('',(#246354,#246355,#246356,#246357)); #45733=EDGE_LOOP('',(#246358,#246359,#246360,#246361)); #45734=EDGE_LOOP('',(#246362,#246363,#246364,#246365)); #45735=EDGE_LOOP('',(#246366,#246367,#246368,#246369)); #45736=EDGE_LOOP('',(#246370,#246371,#246372,#246373)); #45737=EDGE_LOOP('',(#246374,#246375,#246376,#246377)); #45738=EDGE_LOOP('',(#246378,#246379,#246380,#246381)); #45739=EDGE_LOOP('',(#246382,#246383,#246384,#246385)); #45740=EDGE_LOOP('',(#246386,#246387,#246388,#246389)); #45741=EDGE_LOOP('',(#246390,#246391,#246392,#246393)); #45742=EDGE_LOOP('',(#246394,#246395,#246396,#246397)); #45743=EDGE_LOOP('',(#246398,#246399,#246400,#246401)); #45744=EDGE_LOOP('',(#246402,#246403,#246404,#246405)); #45745=EDGE_LOOP('',(#246406,#246407,#246408,#246409)); #45746=EDGE_LOOP('',(#246410,#246411,#246412,#246413)); #45747=EDGE_LOOP('',(#246414,#246415,#246416,#246417)); #45748=EDGE_LOOP('',(#246418,#246419,#246420,#246421)); #45749=EDGE_LOOP('',(#246422,#246423,#246424,#246425)); #45750=EDGE_LOOP('',(#246426,#246427,#246428,#246429)); #45751=EDGE_LOOP('',(#246430,#246431,#246432,#246433,#246434,#246435,#246436, #246437,#246438,#246439,#246440,#246441,#246442,#246443,#246444,#246445, #246446,#246447,#246448,#246449,#246450,#246451,#246452)); #45752=EDGE_LOOP('',(#246453,#246454,#246455,#246456,#246457,#246458,#246459, #246460,#246461,#246462,#246463,#246464,#246465,#246466,#246467,#246468, #246469,#246470,#246471,#246472,#246473,#246474,#246475)); #45753=EDGE_LOOP('',(#246476,#246477,#246478,#246479)); #45754=EDGE_LOOP('',(#246480,#246481,#246482,#246483)); #45755=EDGE_LOOP('',(#246484,#246485,#246486,#246487)); #45756=EDGE_LOOP('',(#246488,#246489,#246490,#246491)); #45757=EDGE_LOOP('',(#246492,#246493,#246494,#246495)); #45758=EDGE_LOOP('',(#246496,#246497,#246498,#246499)); #45759=EDGE_LOOP('',(#246500,#246501,#246502,#246503)); #45760=EDGE_LOOP('',(#246504,#246505,#246506,#246507)); #45761=EDGE_LOOP('',(#246508,#246509,#246510,#246511)); #45762=EDGE_LOOP('',(#246512,#246513,#246514,#246515)); #45763=EDGE_LOOP('',(#246516,#246517,#246518,#246519)); #45764=EDGE_LOOP('',(#246520,#246521,#246522,#246523)); #45765=EDGE_LOOP('',(#246524,#246525,#246526,#246527)); #45766=EDGE_LOOP('',(#246528,#246529,#246530,#246531)); #45767=EDGE_LOOP('',(#246532,#246533,#246534,#246535)); #45768=EDGE_LOOP('',(#246536,#246537,#246538,#246539)); #45769=EDGE_LOOP('',(#246540,#246541,#246542,#246543)); #45770=EDGE_LOOP('',(#246544,#246545,#246546,#246547)); #45771=EDGE_LOOP('',(#246548,#246549,#246550,#246551)); #45772=EDGE_LOOP('',(#246552,#246553,#246554,#246555)); #45773=EDGE_LOOP('',(#246556,#246557,#246558,#246559)); #45774=EDGE_LOOP('',(#246560,#246561,#246562,#246563)); #45775=EDGE_LOOP('',(#246564,#246565,#246566,#246567)); #45776=EDGE_LOOP('',(#246568,#246569,#246570,#246571)); #45777=EDGE_LOOP('',(#246572,#246573,#246574,#246575)); #45778=EDGE_LOOP('',(#246576,#246577,#246578,#246579)); #45779=EDGE_LOOP('',(#246580,#246581,#246582,#246583)); #45780=EDGE_LOOP('',(#246584,#246585,#246586,#246587)); #45781=EDGE_LOOP('',(#246588,#246589,#246590,#246591)); #45782=EDGE_LOOP('',(#246592,#246593,#246594,#246595)); #45783=EDGE_LOOP('',(#246596,#246597,#246598,#246599)); #45784=EDGE_LOOP('',(#246600,#246601,#246602,#246603)); #45785=EDGE_LOOP('',(#246604,#246605,#246606,#246607)); #45786=EDGE_LOOP('',(#246608,#246609,#246610,#246611)); #45787=EDGE_LOOP('',(#246612,#246613,#246614,#246615)); #45788=EDGE_LOOP('',(#246616,#246617,#246618,#246619)); #45789=EDGE_LOOP('',(#246620,#246621,#246622,#246623)); #45790=EDGE_LOOP('',(#246624,#246625,#246626,#246627)); #45791=EDGE_LOOP('',(#246628,#246629,#246630,#246631)); #45792=EDGE_LOOP('',(#246632,#246633,#246634,#246635)); #45793=EDGE_LOOP('',(#246636,#246637,#246638,#246639)); #45794=EDGE_LOOP('',(#246640,#246641,#246642,#246643)); #45795=EDGE_LOOP('',(#246644,#246645,#246646,#246647)); #45796=EDGE_LOOP('',(#246648,#246649,#246650,#246651)); #45797=EDGE_LOOP('',(#246652,#246653,#246654,#246655)); #45798=EDGE_LOOP('',(#246656,#246657,#246658,#246659)); #45799=EDGE_LOOP('',(#246660,#246661,#246662,#246663)); #45800=EDGE_LOOP('',(#246664,#246665,#246666,#246667)); #45801=EDGE_LOOP('',(#246668,#246669,#246670,#246671)); #45802=EDGE_LOOP('',(#246672,#246673,#246674,#246675)); #45803=EDGE_LOOP('',(#246676,#246677,#246678,#246679)); #45804=EDGE_LOOP('',(#246680,#246681,#246682,#246683)); #45805=EDGE_LOOP('',(#246684,#246685,#246686,#246687)); #45806=EDGE_LOOP('',(#246688,#246689,#246690,#246691)); #45807=EDGE_LOOP('',(#246692,#246693,#246694,#246695)); #45808=EDGE_LOOP('',(#246696,#246697,#246698,#246699)); #45809=EDGE_LOOP('',(#246700,#246701,#246702,#246703)); #45810=EDGE_LOOP('',(#246704,#246705,#246706,#246707)); #45811=EDGE_LOOP('',(#246708,#246709,#246710,#246711)); #45812=EDGE_LOOP('',(#246712,#246713,#246714,#246715)); #45813=EDGE_LOOP('',(#246716,#246717,#246718,#246719)); #45814=EDGE_LOOP('',(#246720,#246721,#246722,#246723)); #45815=EDGE_LOOP('',(#246724,#246725,#246726,#246727)); #45816=EDGE_LOOP('',(#246728,#246729,#246730,#246731)); #45817=EDGE_LOOP('',(#246732,#246733,#246734,#246735)); #45818=EDGE_LOOP('',(#246736,#246737,#246738,#246739)); #45819=EDGE_LOOP('',(#246740,#246741,#246742,#246743)); #45820=EDGE_LOOP('',(#246744,#246745,#246746,#246747)); #45821=EDGE_LOOP('',(#246748,#246749,#246750,#246751)); #45822=EDGE_LOOP('',(#246752,#246753,#246754,#246755)); #45823=EDGE_LOOP('',(#246756,#246757,#246758,#246759)); #45824=EDGE_LOOP('',(#246760,#246761,#246762,#246763)); #45825=EDGE_LOOP('',(#246764,#246765,#246766,#246767)); #45826=EDGE_LOOP('',(#246768,#246769,#246770,#246771)); #45827=EDGE_LOOP('',(#246772,#246773,#246774,#246775)); #45828=EDGE_LOOP('',(#246776,#246777,#246778,#246779)); #45829=EDGE_LOOP('',(#246780,#246781,#246782,#246783)); #45830=EDGE_LOOP('',(#246784,#246785,#246786,#246787)); #45831=EDGE_LOOP('',(#246788,#246789,#246790,#246791)); #45832=EDGE_LOOP('',(#246792,#246793,#246794,#246795)); #45833=EDGE_LOOP('',(#246796,#246797,#246798,#246799)); #45834=EDGE_LOOP('',(#246800,#246801,#246802,#246803)); #45835=EDGE_LOOP('',(#246804,#246805,#246806,#246807)); #45836=EDGE_LOOP('',(#246808,#246809,#246810,#246811)); #45837=EDGE_LOOP('',(#246812,#246813,#246814,#246815)); #45838=EDGE_LOOP('',(#246816,#246817,#246818,#246819)); #45839=EDGE_LOOP('',(#246820,#246821,#246822,#246823)); #45840=EDGE_LOOP('',(#246824,#246825,#246826,#246827)); #45841=EDGE_LOOP('',(#246828,#246829,#246830,#246831)); #45842=EDGE_LOOP('',(#246832,#246833,#246834,#246835)); #45843=EDGE_LOOP('',(#246836,#246837,#246838,#246839,#246840,#246841,#246842, #246843,#246844,#246845,#246846,#246847,#246848,#246849,#246850,#246851, #246852,#246853,#246854,#246855,#246856,#246857,#246858,#246859,#246860, #246861,#246862,#246863,#246864,#246865,#246866,#246867,#246868,#246869, #246870,#246871,#246872,#246873,#246874,#246875,#246876,#246877,#246878, #246879,#246880,#246881,#246882,#246883,#246884,#246885,#246886,#246887, #246888,#246889,#246890,#246891,#246892,#246893,#246894,#246895,#246896, #246897,#246898,#246899,#246900,#246901,#246902,#246903,#246904,#246905, #246906,#246907,#246908,#246909,#246910,#246911,#246912,#246913,#246914, #246915,#246916,#246917,#246918,#246919,#246920,#246921,#246922)); #45844=EDGE_LOOP('',(#246923)); #45845=EDGE_LOOP('',(#246924)); #45846=EDGE_LOOP('',(#246925)); #45847=EDGE_LOOP('',(#246926,#246927,#246928,#246929,#246930,#246931,#246932, #246933,#246934,#246935,#246936,#246937,#246938,#246939,#246940,#246941, #246942,#246943,#246944,#246945,#246946,#246947,#246948,#246949,#246950, #246951,#246952,#246953,#246954,#246955,#246956,#246957,#246958,#246959, #246960,#246961,#246962,#246963,#246964,#246965,#246966,#246967,#246968, #246969,#246970,#246971,#246972,#246973,#246974,#246975,#246976,#246977, #246978,#246979,#246980,#246981,#246982,#246983,#246984,#246985,#246986, #246987,#246988,#246989,#246990,#246991,#246992,#246993,#246994,#246995, #246996,#246997,#246998,#246999,#247000,#247001,#247002,#247003,#247004, #247005,#247006,#247007,#247008,#247009,#247010,#247011,#247012)); #45848=EDGE_LOOP('',(#247013)); #45849=EDGE_LOOP('',(#247014)); #45850=EDGE_LOOP('',(#247015)); #45851=EDGE_LOOP('',(#247016,#247017,#247018,#247019)); #45852=EDGE_LOOP('',(#247020,#247021,#247022,#247023)); #45853=EDGE_LOOP('',(#247024,#247025,#247026,#247027)); #45854=EDGE_LOOP('',(#247028,#247029,#247030,#247031)); #45855=EDGE_LOOP('',(#247032,#247033,#247034,#247035)); #45856=EDGE_LOOP('',(#247036,#247037,#247038,#247039)); #45857=EDGE_LOOP('',(#247040,#247041,#247042,#247043)); #45858=EDGE_LOOP('',(#247044,#247045,#247046,#247047)); #45859=EDGE_LOOP('',(#247048,#247049,#247050,#247051)); #45860=EDGE_LOOP('',(#247052,#247053,#247054,#247055)); #45861=EDGE_LOOP('',(#247056,#247057,#247058,#247059)); #45862=EDGE_LOOP('',(#247060,#247061,#247062,#247063)); #45863=EDGE_LOOP('',(#247064,#247065,#247066,#247067)); #45864=EDGE_LOOP('',(#247068,#247069,#247070,#247071)); #45865=EDGE_LOOP('',(#247072,#247073,#247074,#247075)); #45866=EDGE_LOOP('',(#247076,#247077,#247078,#247079)); #45867=EDGE_LOOP('',(#247080,#247081,#247082,#247083)); #45868=EDGE_LOOP('',(#247084,#247085,#247086,#247087)); #45869=EDGE_LOOP('',(#247088,#247089,#247090,#247091)); #45870=EDGE_LOOP('',(#247092,#247093,#247094,#247095)); #45871=EDGE_LOOP('',(#247096,#247097,#247098,#247099)); #45872=EDGE_LOOP('',(#247100,#247101,#247102,#247103,#247104,#247105,#247106, #247107,#247108,#247109,#247110,#247111,#247112,#247113,#247114,#247115, #247116,#247117,#247118,#247119,#247120)); #45873=EDGE_LOOP('',(#247121,#247122,#247123,#247124,#247125,#247126,#247127, #247128,#247129,#247130,#247131,#247132,#247133,#247134,#247135,#247136, #247137,#247138,#247139,#247140,#247141)); #45874=EDGE_LOOP('',(#247142,#247143,#247144,#247145)); #45875=EDGE_LOOP('',(#247146,#247147,#247148,#247149)); #45876=EDGE_LOOP('',(#247150,#247151,#247152,#247153)); #45877=EDGE_LOOP('',(#247154,#247155,#247156,#247157)); #45878=EDGE_LOOP('',(#247158,#247159,#247160,#247161)); #45879=EDGE_LOOP('',(#247162,#247163,#247164,#247165)); #45880=EDGE_LOOP('',(#247166,#247167,#247168,#247169)); #45881=EDGE_LOOP('',(#247170,#247171,#247172,#247173)); #45882=EDGE_LOOP('',(#247174,#247175,#247176,#247177)); #45883=EDGE_LOOP('',(#247178,#247179,#247180,#247181)); #45884=EDGE_LOOP('',(#247182,#247183,#247184,#247185)); #45885=EDGE_LOOP('',(#247186,#247187,#247188,#247189)); #45886=EDGE_LOOP('',(#247190,#247191,#247192,#247193)); #45887=EDGE_LOOP('',(#247194,#247195,#247196,#247197)); #45888=EDGE_LOOP('',(#247198,#247199,#247200,#247201)); #45889=EDGE_LOOP('',(#247202,#247203,#247204,#247205)); #45890=EDGE_LOOP('',(#247206,#247207,#247208,#247209)); #45891=EDGE_LOOP('',(#247210,#247211,#247212,#247213)); #45892=EDGE_LOOP('',(#247214,#247215,#247216,#247217)); #45893=EDGE_LOOP('',(#247218,#247219,#247220,#247221,#247222,#247223,#247224, #247225,#247226,#247227,#247228,#247229,#247230,#247231,#247232,#247233, #247234,#247235,#247236)); #45894=EDGE_LOOP('',(#247237,#247238,#247239,#247240,#247241,#247242,#247243, #247244,#247245,#247246,#247247,#247248,#247249,#247250,#247251,#247252, #247253,#247254,#247255)); #45895=EDGE_LOOP('',(#247256,#247257,#247258,#247259)); #45896=EDGE_LOOP('',(#247260,#247261,#247262,#247263)); #45897=EDGE_LOOP('',(#247264,#247265,#247266,#247267)); #45898=EDGE_LOOP('',(#247268,#247269,#247270,#247271)); #45899=EDGE_LOOP('',(#247272,#247273,#247274,#247275)); #45900=EDGE_LOOP('',(#247276,#247277,#247278,#247279)); #45901=EDGE_LOOP('',(#247280,#247281,#247282,#247283)); #45902=EDGE_LOOP('',(#247284,#247285,#247286,#247287)); #45903=EDGE_LOOP('',(#247288,#247289,#247290,#247291)); #45904=EDGE_LOOP('',(#247292,#247293,#247294,#247295)); #45905=EDGE_LOOP('',(#247296,#247297,#247298,#247299)); #45906=EDGE_LOOP('',(#247300,#247301,#247302,#247303)); #45907=EDGE_LOOP('',(#247304,#247305,#247306,#247307)); #45908=EDGE_LOOP('',(#247308,#247309,#247310,#247311)); #45909=EDGE_LOOP('',(#247312,#247313,#247314,#247315)); #45910=EDGE_LOOP('',(#247316,#247317,#247318,#247319)); #45911=EDGE_LOOP('',(#247320,#247321,#247322,#247323)); #45912=EDGE_LOOP('',(#247324,#247325,#247326,#247327)); #45913=EDGE_LOOP('',(#247328,#247329,#247330,#247331)); #45914=EDGE_LOOP('',(#247332,#247333,#247334,#247335)); #45915=EDGE_LOOP('',(#247336,#247337,#247338,#247339)); #45916=EDGE_LOOP('',(#247340,#247341,#247342,#247343)); #45917=EDGE_LOOP('',(#247344,#247345,#247346,#247347)); #45918=EDGE_LOOP('',(#247348,#247349,#247350,#247351)); #45919=EDGE_LOOP('',(#247352,#247353,#247354,#247355)); #45920=EDGE_LOOP('',(#247356,#247357,#247358,#247359)); #45921=EDGE_LOOP('',(#247360,#247361,#247362,#247363)); #45922=EDGE_LOOP('',(#247364,#247365,#247366,#247367)); #45923=EDGE_LOOP('',(#247368,#247369,#247370,#247371)); #45924=EDGE_LOOP('',(#247372,#247373,#247374,#247375,#247376,#247377,#247378, #247379,#247380,#247381,#247382,#247383,#247384,#247385,#247386,#247387, #247388,#247389,#247390,#247391,#247392,#247393,#247394,#247395,#247396, #247397,#247398,#247399,#247400)); #45925=EDGE_LOOP('',(#247401,#247402,#247403,#247404,#247405,#247406,#247407, #247408,#247409,#247410,#247411,#247412,#247413,#247414,#247415,#247416, #247417,#247418,#247419,#247420,#247421,#247422,#247423,#247424,#247425, #247426,#247427,#247428,#247429)); #45926=EDGE_LOOP('',(#247430,#247431,#247432,#247433)); #45927=EDGE_LOOP('',(#247434,#247435,#247436,#247437)); #45928=EDGE_LOOP('',(#247438,#247439,#247440,#247441)); #45929=EDGE_LOOP('',(#247442,#247443,#247444,#247445)); #45930=EDGE_LOOP('',(#247446,#247447,#247448,#247449)); #45931=EDGE_LOOP('',(#247450,#247451,#247452,#247453)); #45932=EDGE_LOOP('',(#247454,#247455,#247456,#247457)); #45933=EDGE_LOOP('',(#247458,#247459,#247460,#247461)); #45934=EDGE_LOOP('',(#247462,#247463,#247464,#247465)); #45935=EDGE_LOOP('',(#247466,#247467,#247468,#247469)); #45936=EDGE_LOOP('',(#247470,#247471,#247472,#247473)); #45937=EDGE_LOOP('',(#247474,#247475,#247476,#247477)); #45938=EDGE_LOOP('',(#247478,#247479,#247480,#247481)); #45939=EDGE_LOOP('',(#247482,#247483,#247484,#247485)); #45940=EDGE_LOOP('',(#247486,#247487,#247488,#247489)); #45941=EDGE_LOOP('',(#247490,#247491,#247492,#247493)); #45942=EDGE_LOOP('',(#247494,#247495,#247496,#247497)); #45943=EDGE_LOOP('',(#247498,#247499,#247500,#247501)); #45944=EDGE_LOOP('',(#247502,#247503,#247504,#247505)); #45945=EDGE_LOOP('',(#247506,#247507,#247508,#247509)); #45946=EDGE_LOOP('',(#247510,#247511,#247512,#247513)); #45947=EDGE_LOOP('',(#247514,#247515,#247516,#247517,#247518,#247519,#247520, #247521,#247522,#247523,#247524,#247525,#247526,#247527,#247528,#247529, #247530,#247531,#247532,#247533,#247534)); #45948=EDGE_LOOP('',(#247535,#247536,#247537,#247538,#247539,#247540,#247541, #247542,#247543,#247544,#247545,#247546,#247547,#247548,#247549,#247550, #247551,#247552,#247553,#247554,#247555)); #45949=EDGE_LOOP('',(#247556,#247557,#247558,#247559)); #45950=EDGE_LOOP('',(#247560,#247561,#247562,#247563)); #45951=EDGE_LOOP('',(#247564,#247565,#247566,#247567)); #45952=EDGE_LOOP('',(#247568,#247569,#247570,#247571)); #45953=EDGE_LOOP('',(#247572,#247573,#247574,#247575)); #45954=EDGE_LOOP('',(#247576,#247577,#247578,#247579)); #45955=EDGE_LOOP('',(#247580,#247581,#247582,#247583)); #45956=EDGE_LOOP('',(#247584,#247585,#247586,#247587)); #45957=EDGE_LOOP('',(#247588,#247589,#247590,#247591)); #45958=EDGE_LOOP('',(#247592,#247593,#247594,#247595)); #45959=EDGE_LOOP('',(#247596,#247597,#247598,#247599)); #45960=EDGE_LOOP('',(#247600,#247601,#247602,#247603)); #45961=EDGE_LOOP('',(#247604,#247605,#247606,#247607)); #45962=EDGE_LOOP('',(#247608,#247609,#247610,#247611)); #45963=EDGE_LOOP('',(#247612,#247613,#247614,#247615)); #45964=EDGE_LOOP('',(#247616,#247617,#247618,#247619)); #45965=EDGE_LOOP('',(#247620,#247621,#247622,#247623)); #45966=EDGE_LOOP('',(#247624,#247625,#247626,#247627)); #45967=EDGE_LOOP('',(#247628,#247629,#247630,#247631)); #45968=EDGE_LOOP('',(#247632,#247633,#247634,#247635,#247636,#247637,#247638, #247639,#247640,#247641,#247642,#247643,#247644,#247645,#247646,#247647, #247648,#247649,#247650)); #45969=EDGE_LOOP('',(#247651,#247652,#247653,#247654,#247655,#247656,#247657, #247658,#247659,#247660,#247661,#247662,#247663,#247664,#247665,#247666, #247667,#247668,#247669)); #45970=EDGE_LOOP('',(#247670,#247671,#247672,#247673)); #45971=EDGE_LOOP('',(#247674,#247675,#247676,#247677)); #45972=EDGE_LOOP('',(#247678,#247679,#247680,#247681)); #45973=EDGE_LOOP('',(#247682,#247683,#247684,#247685)); #45974=EDGE_LOOP('',(#247686,#247687,#247688,#247689)); #45975=EDGE_LOOP('',(#247690,#247691,#247692,#247693)); #45976=EDGE_LOOP('',(#247694,#247695,#247696,#247697)); #45977=EDGE_LOOP('',(#247698,#247699,#247700,#247701)); #45978=EDGE_LOOP('',(#247702,#247703,#247704,#247705)); #45979=EDGE_LOOP('',(#247706,#247707,#247708,#247709)); #45980=EDGE_LOOP('',(#247710,#247711,#247712,#247713)); #45981=EDGE_LOOP('',(#247714,#247715,#247716,#247717)); #45982=EDGE_LOOP('',(#247718,#247719,#247720,#247721)); #45983=EDGE_LOOP('',(#247722,#247723,#247724,#247725)); #45984=EDGE_LOOP('',(#247726,#247727,#247728,#247729)); #45985=EDGE_LOOP('',(#247730,#247731,#247732,#247733)); #45986=EDGE_LOOP('',(#247734,#247735,#247736,#247737)); #45987=EDGE_LOOP('',(#247738,#247739,#247740,#247741)); #45988=EDGE_LOOP('',(#247742,#247743,#247744,#247745)); #45989=EDGE_LOOP('',(#247746,#247747,#247748,#247749,#247750,#247751,#247752, #247753,#247754,#247755,#247756,#247757,#247758,#247759,#247760,#247761, #247762,#247763,#247764)); #45990=EDGE_LOOP('',(#247765,#247766,#247767,#247768,#247769,#247770,#247771, #247772,#247773,#247774,#247775,#247776,#247777,#247778,#247779,#247780, #247781,#247782,#247783)); #45991=EDGE_LOOP('',(#247784,#247785,#247786,#247787)); #45992=EDGE_LOOP('',(#247788,#247789,#247790,#247791)); #45993=EDGE_LOOP('',(#247792,#247793,#247794,#247795)); #45994=EDGE_LOOP('',(#247796,#247797,#247798,#247799)); #45995=EDGE_LOOP('',(#247800,#247801,#247802,#247803)); #45996=EDGE_LOOP('',(#247804,#247805,#247806,#247807)); #45997=EDGE_LOOP('',(#247808,#247809,#247810,#247811)); #45998=EDGE_LOOP('',(#247812,#247813,#247814,#247815)); #45999=EDGE_LOOP('',(#247816,#247817,#247818,#247819)); #46000=EDGE_LOOP('',(#247820,#247821,#247822,#247823)); #46001=EDGE_LOOP('',(#247824,#247825,#247826,#247827)); #46002=EDGE_LOOP('',(#247828,#247829,#247830,#247831)); #46003=EDGE_LOOP('',(#247832,#247833,#247834,#247835)); #46004=EDGE_LOOP('',(#247836,#247837,#247838,#247839)); #46005=EDGE_LOOP('',(#247840,#247841,#247842,#247843)); #46006=EDGE_LOOP('',(#247844,#247845,#247846,#247847)); #46007=EDGE_LOOP('',(#247848,#247849,#247850,#247851)); #46008=EDGE_LOOP('',(#247852,#247853,#247854,#247855)); #46009=EDGE_LOOP('',(#247856,#247857,#247858,#247859)); #46010=EDGE_LOOP('',(#247860,#247861,#247862,#247863)); #46011=EDGE_LOOP('',(#247864,#247865,#247866,#247867)); #46012=EDGE_LOOP('',(#247868,#247869,#247870,#247871,#247872,#247873,#247874, #247875,#247876,#247877,#247878,#247879,#247880,#247881,#247882,#247883, #247884,#247885,#247886,#247887,#247888)); #46013=EDGE_LOOP('',(#247889,#247890,#247891,#247892,#247893,#247894,#247895, #247896,#247897,#247898,#247899,#247900,#247901,#247902,#247903,#247904, #247905,#247906,#247907,#247908,#247909)); #46014=EDGE_LOOP('',(#247910,#247911,#247912,#247913)); #46015=EDGE_LOOP('',(#247914,#247915,#247916,#247917)); #46016=EDGE_LOOP('',(#247918,#247919,#247920,#247921)); #46017=EDGE_LOOP('',(#247922,#247923,#247924,#247925)); #46018=EDGE_LOOP('',(#247926,#247927,#247928,#247929)); #46019=EDGE_LOOP('',(#247930,#247931,#247932,#247933)); #46020=EDGE_LOOP('',(#247934,#247935,#247936,#247937)); #46021=EDGE_LOOP('',(#247938,#247939,#247940,#247941)); #46022=EDGE_LOOP('',(#247942,#247943,#247944,#247945)); #46023=EDGE_LOOP('',(#247946,#247947,#247948,#247949)); #46024=EDGE_LOOP('',(#247950,#247951,#247952,#247953)); #46025=EDGE_LOOP('',(#247954,#247955,#247956,#247957)); #46026=EDGE_LOOP('',(#247958,#247959,#247960,#247961)); #46027=EDGE_LOOP('',(#247962,#247963,#247964,#247965)); #46028=EDGE_LOOP('',(#247966,#247967,#247968,#247969)); #46029=EDGE_LOOP('',(#247970,#247971,#247972,#247973)); #46030=EDGE_LOOP('',(#247974,#247975,#247976,#247977)); #46031=EDGE_LOOP('',(#247978,#247979,#247980,#247981)); #46032=EDGE_LOOP('',(#247982,#247983,#247984,#247985)); #46033=EDGE_LOOP('',(#247986,#247987,#247988,#247989)); #46034=EDGE_LOOP('',(#247990,#247991,#247992,#247993,#247994,#247995,#247996, #247997,#247998,#247999,#248000,#248001,#248002,#248003,#248004,#248005, #248006,#248007,#248008,#248009)); #46035=EDGE_LOOP('',(#248010,#248011,#248012,#248013,#248014,#248015,#248016, #248017,#248018,#248019,#248020,#248021,#248022,#248023,#248024,#248025, #248026,#248027,#248028,#248029)); #46036=EDGE_LOOP('',(#248030,#248031,#248032,#248033)); #46037=EDGE_LOOP('',(#248034,#248035,#248036,#248037)); #46038=EDGE_LOOP('',(#248038,#248039,#248040,#248041)); #46039=EDGE_LOOP('',(#248042,#248043,#248044,#248045)); #46040=EDGE_LOOP('',(#248046,#248047,#248048,#248049)); #46041=EDGE_LOOP('',(#248050,#248051,#248052,#248053)); #46042=EDGE_LOOP('',(#248054,#248055,#248056,#248057)); #46043=EDGE_LOOP('',(#248058,#248059,#248060,#248061)); #46044=EDGE_LOOP('',(#248062,#248063,#248064,#248065)); #46045=EDGE_LOOP('',(#248066,#248067,#248068,#248069)); #46046=EDGE_LOOP('',(#248070,#248071,#248072,#248073)); #46047=EDGE_LOOP('',(#248074,#248075,#248076,#248077)); #46048=EDGE_LOOP('',(#248078,#248079,#248080,#248081)); #46049=EDGE_LOOP('',(#248082,#248083,#248084,#248085)); #46050=EDGE_LOOP('',(#248086,#248087,#248088,#248089)); #46051=EDGE_LOOP('',(#248090,#248091,#248092,#248093)); #46052=EDGE_LOOP('',(#248094,#248095,#248096,#248097)); #46053=EDGE_LOOP('',(#248098,#248099,#248100,#248101)); #46054=EDGE_LOOP('',(#248102,#248103,#248104,#248105)); #46055=EDGE_LOOP('',(#248106,#248107,#248108,#248109)); #46056=EDGE_LOOP('',(#248110,#248111,#248112,#248113)); #46057=EDGE_LOOP('',(#248114,#248115,#248116,#248117)); #46058=EDGE_LOOP('',(#248118,#248119,#248120,#248121)); #46059=EDGE_LOOP('',(#248122,#248123,#248124,#248125)); #46060=EDGE_LOOP('',(#248126,#248127,#248128,#248129)); #46061=EDGE_LOOP('',(#248130,#248131,#248132,#248133)); #46062=EDGE_LOOP('',(#248134,#248135,#248136,#248137)); #46063=EDGE_LOOP('',(#248138,#248139,#248140,#248141)); #46064=EDGE_LOOP('',(#248142,#248143,#248144,#248145)); #46065=EDGE_LOOP('',(#248146,#248147,#248148,#248149)); #46066=EDGE_LOOP('',(#248150,#248151,#248152,#248153)); #46067=EDGE_LOOP('',(#248154,#248155,#248156,#248157)); #46068=EDGE_LOOP('',(#248158,#248159,#248160,#248161)); #46069=EDGE_LOOP('',(#248162,#248163,#248164,#248165)); #46070=EDGE_LOOP('',(#248166,#248167,#248168,#248169)); #46071=EDGE_LOOP('',(#248170,#248171,#248172,#248173)); #46072=EDGE_LOOP('',(#248174,#248175,#248176,#248177)); #46073=EDGE_LOOP('',(#248178,#248179,#248180,#248181,#248182,#248183,#248184, #248185,#248186,#248187,#248188,#248189,#248190,#248191,#248192,#248193, #248194,#248195,#248196,#248197,#248198,#248199,#248200,#248201,#248202, #248203,#248204,#248205,#248206,#248207,#248208,#248209,#248210,#248211, #248212,#248213,#248214)); #46074=EDGE_LOOP('',(#248215,#248216,#248217,#248218,#248219,#248220,#248221, #248222,#248223,#248224,#248225,#248226,#248227,#248228,#248229,#248230, #248231,#248232,#248233,#248234,#248235,#248236,#248237,#248238,#248239, #248240,#248241,#248242,#248243,#248244,#248245,#248246,#248247,#248248, #248249,#248250,#248251)); #46075=EDGE_LOOP('',(#248252,#248253,#248254,#248255)); #46076=EDGE_LOOP('',(#248256,#248257,#248258,#248259)); #46077=EDGE_LOOP('',(#248260,#248261,#248262,#248263)); #46078=EDGE_LOOP('',(#248264,#248265,#248266,#248267)); #46079=EDGE_LOOP('',(#248268,#248269,#248270,#248271)); #46080=EDGE_LOOP('',(#248272,#248273,#248274,#248275)); #46081=EDGE_LOOP('',(#248276,#248277,#248278,#248279)); #46082=EDGE_LOOP('',(#248280,#248281,#248282,#248283)); #46083=EDGE_LOOP('',(#248284,#248285,#248286,#248287)); #46084=EDGE_LOOP('',(#248288,#248289,#248290,#248291)); #46085=EDGE_LOOP('',(#248292,#248293,#248294,#248295)); #46086=EDGE_LOOP('',(#248296,#248297,#248298,#248299)); #46087=EDGE_LOOP('',(#248300,#248301,#248302,#248303)); #46088=EDGE_LOOP('',(#248304,#248305,#248306,#248307)); #46089=EDGE_LOOP('',(#248308,#248309,#248310,#248311)); #46090=EDGE_LOOP('',(#248312,#248313,#248314,#248315)); #46091=EDGE_LOOP('',(#248316,#248317,#248318,#248319)); #46092=EDGE_LOOP('',(#248320,#248321,#248322,#248323)); #46093=EDGE_LOOP('',(#248324,#248325,#248326,#248327)); #46094=EDGE_LOOP('',(#248328,#248329,#248330,#248331)); #46095=EDGE_LOOP('',(#248332,#248333,#248334,#248335,#248336,#248337,#248338, #248339,#248340,#248341,#248342,#248343,#248344,#248345,#248346,#248347, #248348,#248349,#248350,#248351)); #46096=EDGE_LOOP('',(#248352,#248353,#248354,#248355,#248356,#248357,#248358, #248359,#248360,#248361,#248362,#248363,#248364,#248365,#248366,#248367, #248368,#248369,#248370,#248371)); #46097=EDGE_LOOP('',(#248372,#248373,#248374,#248375)); #46098=EDGE_LOOP('',(#248376,#248377,#248378,#248379)); #46099=EDGE_LOOP('',(#248380,#248381,#248382,#248383)); #46100=EDGE_LOOP('',(#248384,#248385,#248386,#248387)); #46101=EDGE_LOOP('',(#248388,#248389,#248390,#248391)); #46102=EDGE_LOOP('',(#248392,#248393,#248394,#248395)); #46103=EDGE_LOOP('',(#248396,#248397,#248398,#248399)); #46104=EDGE_LOOP('',(#248400,#248401,#248402,#248403)); #46105=EDGE_LOOP('',(#248404,#248405,#248406,#248407)); #46106=EDGE_LOOP('',(#248408,#248409,#248410,#248411)); #46107=EDGE_LOOP('',(#248412,#248413,#248414,#248415)); #46108=EDGE_LOOP('',(#248416,#248417,#248418,#248419)); #46109=EDGE_LOOP('',(#248420,#248421,#248422,#248423)); #46110=EDGE_LOOP('',(#248424,#248425,#248426,#248427)); #46111=EDGE_LOOP('',(#248428,#248429,#248430,#248431)); #46112=EDGE_LOOP('',(#248432,#248433,#248434,#248435)); #46113=EDGE_LOOP('',(#248436,#248437,#248438,#248439)); #46114=EDGE_LOOP('',(#248440,#248441,#248442,#248443)); #46115=EDGE_LOOP('',(#248444,#248445,#248446,#248447)); #46116=EDGE_LOOP('',(#248448,#248449,#248450,#248451)); #46117=EDGE_LOOP('',(#248452,#248453,#248454,#248455)); #46118=EDGE_LOOP('',(#248456,#248457,#248458,#248459)); #46119=EDGE_LOOP('',(#248460,#248461,#248462,#248463)); #46120=EDGE_LOOP('',(#248464,#248465,#248466,#248467)); #46121=EDGE_LOOP('',(#248468,#248469,#248470,#248471)); #46122=EDGE_LOOP('',(#248472,#248473,#248474,#248475)); #46123=EDGE_LOOP('',(#248476,#248477,#248478,#248479)); #46124=EDGE_LOOP('',(#248480,#248481,#248482,#248483)); #46125=EDGE_LOOP('',(#248484,#248485,#248486,#248487)); #46126=EDGE_LOOP('',(#248488,#248489,#248490,#248491)); #46127=EDGE_LOOP('',(#248492,#248493,#248494,#248495)); #46128=EDGE_LOOP('',(#248496,#248497,#248498,#248499)); #46129=EDGE_LOOP('',(#248500,#248501,#248502,#248503)); #46130=EDGE_LOOP('',(#248504,#248505,#248506,#248507)); #46131=EDGE_LOOP('',(#248508,#248509,#248510,#248511)); #46132=EDGE_LOOP('',(#248512,#248513,#248514,#248515)); #46133=EDGE_LOOP('',(#248516,#248517,#248518,#248519)); #46134=EDGE_LOOP('',(#248520,#248521,#248522,#248523)); #46135=EDGE_LOOP('',(#248524,#248525,#248526,#248527)); #46136=EDGE_LOOP('',(#248528,#248529,#248530,#248531)); #46137=EDGE_LOOP('',(#248532,#248533,#248534,#248535)); #46138=EDGE_LOOP('',(#248536,#248537,#248538,#248539)); #46139=EDGE_LOOP('',(#248540,#248541,#248542,#248543)); #46140=EDGE_LOOP('',(#248544,#248545,#248546,#248547)); #46141=EDGE_LOOP('',(#248548,#248549,#248550,#248551)); #46142=EDGE_LOOP('',(#248552,#248553,#248554,#248555)); #46143=EDGE_LOOP('',(#248556,#248557,#248558,#248559)); #46144=EDGE_LOOP('',(#248560,#248561,#248562,#248563)); #46145=EDGE_LOOP('',(#248564,#248565,#248566,#248567,#248568,#248569,#248570, #248571,#248572,#248573,#248574,#248575,#248576,#248577,#248578,#248579, #248580,#248581,#248582,#248583,#248584,#248585,#248586,#248587,#248588, #248589,#248590,#248591,#248592,#248593,#248594,#248595,#248596,#248597, #248598,#248599,#248600,#248601,#248602,#248603,#248604,#248605,#248606, #248607,#248608,#248609,#248610,#248611)); #46146=EDGE_LOOP('',(#248612,#248613,#248614,#248615,#248616,#248617,#248618, #248619,#248620,#248621,#248622,#248623,#248624,#248625,#248626,#248627, #248628,#248629,#248630,#248631,#248632,#248633,#248634,#248635,#248636, #248637,#248638,#248639,#248640,#248641,#248642,#248643,#248644,#248645, #248646,#248647,#248648,#248649,#248650,#248651,#248652,#248653,#248654, #248655,#248656,#248657,#248658,#248659)); #46147=EDGE_LOOP('',(#248660,#248661,#248662,#248663)); #46148=EDGE_LOOP('',(#248664,#248665,#248666,#248667)); #46149=EDGE_LOOP('',(#248668,#248669,#248670,#248671)); #46150=EDGE_LOOP('',(#248672,#248673,#248674,#248675)); #46151=EDGE_LOOP('',(#248676,#248677,#248678,#248679)); #46152=EDGE_LOOP('',(#248680,#248681,#248682,#248683)); #46153=EDGE_LOOP('',(#248684,#248685,#248686,#248687)); #46154=EDGE_LOOP('',(#248688,#248689,#248690,#248691)); #46155=EDGE_LOOP('',(#248692,#248693,#248694,#248695)); #46156=EDGE_LOOP('',(#248696,#248697,#248698,#248699)); #46157=EDGE_LOOP('',(#248700,#248701,#248702,#248703)); #46158=EDGE_LOOP('',(#248704,#248705,#248706,#248707)); #46159=EDGE_LOOP('',(#248708,#248709,#248710,#248711)); #46160=EDGE_LOOP('',(#248712,#248713,#248714,#248715)); #46161=EDGE_LOOP('',(#248716,#248717,#248718,#248719)); #46162=EDGE_LOOP('',(#248720,#248721,#248722,#248723)); #46163=EDGE_LOOP('',(#248724,#248725,#248726,#248727)); #46164=EDGE_LOOP('',(#248728,#248729,#248730,#248731)); #46165=EDGE_LOOP('',(#248732,#248733,#248734,#248735)); #46166=EDGE_LOOP('',(#248736,#248737,#248738,#248739)); #46167=EDGE_LOOP('',(#248740,#248741,#248742,#248743,#248744,#248745,#248746, #248747,#248748,#248749,#248750,#248751,#248752,#248753,#248754,#248755, #248756,#248757,#248758,#248759)); #46168=EDGE_LOOP('',(#248760,#248761,#248762,#248763,#248764,#248765,#248766, #248767,#248768,#248769,#248770,#248771,#248772,#248773,#248774,#248775, #248776,#248777,#248778,#248779)); #46169=EDGE_LOOP('',(#248780,#248781,#248782,#248783)); #46170=EDGE_LOOP('',(#248784,#248785,#248786,#248787)); #46171=EDGE_LOOP('',(#248788,#248789,#248790,#248791)); #46172=EDGE_LOOP('',(#248792,#248793,#248794,#248795)); #46173=EDGE_LOOP('',(#248796,#248797,#248798,#248799)); #46174=EDGE_LOOP('',(#248800,#248801,#248802,#248803)); #46175=EDGE_LOOP('',(#248804,#248805,#248806,#248807)); #46176=EDGE_LOOP('',(#248808,#248809,#248810,#248811)); #46177=EDGE_LOOP('',(#248812,#248813,#248814,#248815)); #46178=EDGE_LOOP('',(#248816,#248817,#248818,#248819)); #46179=EDGE_LOOP('',(#248820,#248821,#248822,#248823)); #46180=EDGE_LOOP('',(#248824,#248825,#248826,#248827)); #46181=EDGE_LOOP('',(#248828,#248829,#248830,#248831)); #46182=EDGE_LOOP('',(#248832,#248833,#248834,#248835)); #46183=EDGE_LOOP('',(#248836,#248837,#248838,#248839)); #46184=EDGE_LOOP('',(#248840,#248841,#248842,#248843)); #46185=EDGE_LOOP('',(#248844,#248845,#248846,#248847)); #46186=EDGE_LOOP('',(#248848,#248849,#248850,#248851)); #46187=EDGE_LOOP('',(#248852,#248853,#248854,#248855)); #46188=EDGE_LOOP('',(#248856,#248857,#248858,#248859)); #46189=EDGE_LOOP('',(#248860,#248861,#248862,#248863)); #46190=EDGE_LOOP('',(#248864,#248865,#248866,#248867)); #46191=EDGE_LOOP('',(#248868,#248869,#248870,#248871)); #46192=EDGE_LOOP('',(#248872,#248873,#248874,#248875)); #46193=EDGE_LOOP('',(#248876,#248877,#248878,#248879)); #46194=EDGE_LOOP('',(#248880,#248881,#248882,#248883)); #46195=EDGE_LOOP('',(#248884,#248885,#248886,#248887)); #46196=EDGE_LOOP('',(#248888,#248889,#248890,#248891)); #46197=EDGE_LOOP('',(#248892,#248893,#248894,#248895)); #46198=EDGE_LOOP('',(#248896,#248897,#248898,#248899)); #46199=EDGE_LOOP('',(#248900,#248901,#248902,#248903)); #46200=EDGE_LOOP('',(#248904,#248905,#248906,#248907,#248908,#248909,#248910, #248911,#248912,#248913,#248914,#248915,#248916,#248917,#248918,#248919, #248920,#248921,#248922,#248923,#248924,#248925,#248926,#248927,#248928, #248929,#248930,#248931,#248932,#248933,#248934)); #46201=EDGE_LOOP('',(#248935,#248936,#248937,#248938,#248939,#248940,#248941, #248942,#248943,#248944,#248945,#248946,#248947,#248948,#248949,#248950, #248951,#248952,#248953,#248954,#248955,#248956,#248957,#248958,#248959, #248960,#248961,#248962,#248963,#248964,#248965)); #46202=EDGE_LOOP('',(#248966,#248967,#248968,#248969)); #46203=EDGE_LOOP('',(#248970,#248971,#248972,#248973)); #46204=EDGE_LOOP('',(#248974,#248975,#248976,#248977)); #46205=EDGE_LOOP('',(#248978,#248979,#248980,#248981)); #46206=EDGE_LOOP('',(#248982,#248983,#248984,#248985)); #46207=EDGE_LOOP('',(#248986,#248987,#248988,#248989)); #46208=EDGE_LOOP('',(#248990,#248991,#248992,#248993)); #46209=EDGE_LOOP('',(#248994,#248995,#248996,#248997)); #46210=EDGE_LOOP('',(#248998,#248999,#249000,#249001)); #46211=EDGE_LOOP('',(#249002,#249003,#249004,#249005)); #46212=EDGE_LOOP('',(#249006,#249007,#249008,#249009)); #46213=EDGE_LOOP('',(#249010,#249011,#249012,#249013)); #46214=EDGE_LOOP('',(#249014,#249015,#249016,#249017)); #46215=EDGE_LOOP('',(#249018,#249019,#249020,#249021)); #46216=EDGE_LOOP('',(#249022,#249023,#249024,#249025)); #46217=EDGE_LOOP('',(#249026,#249027,#249028,#249029)); #46218=EDGE_LOOP('',(#249030,#249031,#249032,#249033)); #46219=EDGE_LOOP('',(#249034,#249035,#249036,#249037)); #46220=EDGE_LOOP('',(#249038,#249039,#249040,#249041)); #46221=EDGE_LOOP('',(#249042,#249043,#249044,#249045)); #46222=EDGE_LOOP('',(#249046,#249047,#249048,#249049,#249050,#249051,#249052, #249053,#249054,#249055,#249056,#249057,#249058,#249059,#249060,#249061, #249062,#249063,#249064,#249065)); #46223=EDGE_LOOP('',(#249066,#249067,#249068,#249069,#249070,#249071,#249072, #249073,#249074,#249075,#249076,#249077,#249078,#249079,#249080,#249081, #249082,#249083,#249084,#249085)); #46224=EDGE_LOOP('',(#249086,#249087,#249088,#249089)); #46225=EDGE_LOOP('',(#249090,#249091,#249092,#249093)); #46226=EDGE_LOOP('',(#249094,#249095,#249096,#249097)); #46227=EDGE_LOOP('',(#249098,#249099,#249100,#249101)); #46228=EDGE_LOOP('',(#249102,#249103,#249104,#249105)); #46229=EDGE_LOOP('',(#249106,#249107,#249108,#249109)); #46230=EDGE_LOOP('',(#249110,#249111,#249112,#249113)); #46231=EDGE_LOOP('',(#249114,#249115,#249116,#249117)); #46232=EDGE_LOOP('',(#249118,#249119,#249120,#249121)); #46233=EDGE_LOOP('',(#249122,#249123,#249124,#249125)); #46234=EDGE_LOOP('',(#249126,#249127,#249128,#249129)); #46235=EDGE_LOOP('',(#249130,#249131,#249132,#249133)); #46236=EDGE_LOOP('',(#249134,#249135,#249136,#249137)); #46237=EDGE_LOOP('',(#249138,#249139,#249140,#249141)); #46238=EDGE_LOOP('',(#249142,#249143,#249144,#249145)); #46239=EDGE_LOOP('',(#249146,#249147,#249148,#249149)); #46240=EDGE_LOOP('',(#249150,#249151,#249152,#249153)); #46241=EDGE_LOOP('',(#249154,#249155,#249156,#249157)); #46242=EDGE_LOOP('',(#249158,#249159,#249160,#249161)); #46243=EDGE_LOOP('',(#249162,#249163,#249164,#249165)); #46244=EDGE_LOOP('',(#249166,#249167,#249168,#249169)); #46245=EDGE_LOOP('',(#249170,#249171,#249172,#249173)); #46246=EDGE_LOOP('',(#249174,#249175,#249176,#249177)); #46247=EDGE_LOOP('',(#249178,#249179,#249180,#249181)); #46248=EDGE_LOOP('',(#249182,#249183,#249184,#249185)); #46249=EDGE_LOOP('',(#249186,#249187,#249188,#249189)); #46250=EDGE_LOOP('',(#249190,#249191,#249192,#249193)); #46251=EDGE_LOOP('',(#249194,#249195,#249196,#249197)); #46252=EDGE_LOOP('',(#249198,#249199,#249200,#249201)); #46253=EDGE_LOOP('',(#249202,#249203,#249204,#249205)); #46254=EDGE_LOOP('',(#249206,#249207,#249208,#249209)); #46255=EDGE_LOOP('',(#249210,#249211,#249212,#249213,#249214,#249215,#249216, #249217,#249218,#249219,#249220,#249221,#249222,#249223,#249224,#249225, #249226,#249227,#249228,#249229,#249230,#249231,#249232,#249233,#249234, #249235,#249236,#249237,#249238,#249239,#249240)); #46256=EDGE_LOOP('',(#249241,#249242,#249243,#249244,#249245,#249246,#249247, #249248,#249249,#249250,#249251,#249252,#249253,#249254,#249255,#249256, #249257,#249258,#249259,#249260,#249261,#249262,#249263,#249264,#249265, #249266,#249267,#249268,#249269,#249270,#249271)); #46257=EDGE_LOOP('',(#249272,#249273,#249274,#249275)); #46258=EDGE_LOOP('',(#249276,#249277,#249278,#249279)); #46259=EDGE_LOOP('',(#249280,#249281,#249282,#249283)); #46260=EDGE_LOOP('',(#249284,#249285,#249286,#249287)); #46261=EDGE_LOOP('',(#249288,#249289,#249290,#249291)); #46262=EDGE_LOOP('',(#249292,#249293,#249294,#249295)); #46263=EDGE_LOOP('',(#249296,#249297,#249298,#249299)); #46264=EDGE_LOOP('',(#249300,#249301,#249302,#249303)); #46265=EDGE_LOOP('',(#249304,#249305,#249306,#249307)); #46266=EDGE_LOOP('',(#249308,#249309,#249310,#249311)); #46267=EDGE_LOOP('',(#249312,#249313,#249314,#249315)); #46268=EDGE_LOOP('',(#249316,#249317,#249318,#249319)); #46269=EDGE_LOOP('',(#249320,#249321,#249322,#249323)); #46270=EDGE_LOOP('',(#249324,#249325,#249326,#249327)); #46271=EDGE_LOOP('',(#249328,#249329,#249330,#249331)); #46272=EDGE_LOOP('',(#249332,#249333,#249334,#249335)); #46273=EDGE_LOOP('',(#249336,#249337,#249338,#249339)); #46274=EDGE_LOOP('',(#249340,#249341,#249342,#249343)); #46275=EDGE_LOOP('',(#249344,#249345,#249346,#249347)); #46276=EDGE_LOOP('',(#249348,#249349,#249350,#249351)); #46277=EDGE_LOOP('',(#249352,#249353,#249354,#249355)); #46278=EDGE_LOOP('',(#249356,#249357,#249358,#249359,#249360,#249361,#249362, #249363,#249364,#249365,#249366,#249367,#249368,#249369,#249370,#249371, #249372,#249373,#249374,#249375,#249376)); #46279=EDGE_LOOP('',(#249377,#249378,#249379,#249380,#249381,#249382,#249383, #249384,#249385,#249386,#249387,#249388,#249389,#249390,#249391,#249392, #249393,#249394,#249395,#249396,#249397)); #46280=EDGE_LOOP('',(#249398,#249399,#249400,#249401)); #46281=EDGE_LOOP('',(#249402,#249403,#249404,#249405)); #46282=EDGE_LOOP('',(#249406,#249407,#249408,#249409)); #46283=EDGE_LOOP('',(#249410,#249411,#249412,#249413)); #46284=EDGE_LOOP('',(#249414,#249415,#249416,#249417)); #46285=EDGE_LOOP('',(#249418,#249419,#249420,#249421)); #46286=EDGE_LOOP('',(#249422,#249423,#249424,#249425)); #46287=EDGE_LOOP('',(#249426,#249427,#249428,#249429)); #46288=EDGE_LOOP('',(#249430,#249431,#249432,#249433)); #46289=EDGE_LOOP('',(#249434,#249435,#249436,#249437)); #46290=EDGE_LOOP('',(#249438,#249439,#249440,#249441)); #46291=EDGE_LOOP('',(#249442,#249443,#249444,#249445)); #46292=EDGE_LOOP('',(#249446,#249447,#249448,#249449)); #46293=EDGE_LOOP('',(#249450,#249451,#249452,#249453)); #46294=EDGE_LOOP('',(#249454,#249455,#249456,#249457)); #46295=EDGE_LOOP('',(#249458,#249459,#249460,#249461)); #46296=EDGE_LOOP('',(#249462,#249463,#249464,#249465)); #46297=EDGE_LOOP('',(#249466,#249467,#249468,#249469)); #46298=EDGE_LOOP('',(#249470,#249471,#249472,#249473)); #46299=EDGE_LOOP('',(#249474,#249475,#249476,#249477)); #46300=EDGE_LOOP('',(#249478,#249479,#249480,#249481)); #46301=EDGE_LOOP('',(#249482,#249483,#249484,#249485)); #46302=EDGE_LOOP('',(#249486,#249487,#249488,#249489)); #46303=EDGE_LOOP('',(#249490,#249491,#249492,#249493)); #46304=EDGE_LOOP('',(#249494,#249495,#249496,#249497)); #46305=EDGE_LOOP('',(#249498,#249499,#249500,#249501)); #46306=EDGE_LOOP('',(#249502,#249503,#249504,#249505)); #46307=EDGE_LOOP('',(#249506,#249507,#249508,#249509)); #46308=EDGE_LOOP('',(#249510,#249511,#249512,#249513)); #46309=EDGE_LOOP('',(#249514,#249515,#249516,#249517)); #46310=EDGE_LOOP('',(#249518,#249519,#249520,#249521)); #46311=EDGE_LOOP('',(#249522,#249523,#249524,#249525)); #46312=EDGE_LOOP('',(#249526,#249527,#249528,#249529)); #46313=EDGE_LOOP('',(#249530,#249531,#249532,#249533)); #46314=EDGE_LOOP('',(#249534,#249535,#249536,#249537)); #46315=EDGE_LOOP('',(#249538,#249539,#249540,#249541)); #46316=EDGE_LOOP('',(#249542,#249543,#249544,#249545)); #46317=EDGE_LOOP('',(#249546,#249547,#249548,#249549)); #46318=EDGE_LOOP('',(#249550,#249551,#249552,#249553)); #46319=EDGE_LOOP('',(#249554,#249555,#249556,#249557)); #46320=EDGE_LOOP('',(#249558,#249559,#249560,#249561,#249562,#249563,#249564, #249565,#249566,#249567,#249568,#249569,#249570,#249571,#249572,#249573, #249574,#249575,#249576,#249577,#249578,#249579,#249580,#249581,#249582, #249583,#249584,#249585,#249586,#249587,#249588,#249589,#249590,#249591, #249592,#249593,#249594,#249595,#249596,#249597)); #46321=EDGE_LOOP('',(#249598,#249599,#249600,#249601,#249602,#249603,#249604, #249605,#249606,#249607,#249608,#249609,#249610,#249611,#249612,#249613, #249614,#249615,#249616,#249617,#249618,#249619,#249620,#249621,#249622, #249623,#249624,#249625,#249626,#249627,#249628,#249629,#249630,#249631, #249632,#249633,#249634,#249635,#249636,#249637)); #46322=EDGE_LOOP('',(#249638,#249639,#249640,#249641)); #46323=EDGE_LOOP('',(#249642,#249643,#249644,#249645)); #46324=EDGE_LOOP('',(#249646,#249647,#249648,#249649)); #46325=EDGE_LOOP('',(#249650,#249651,#249652,#249653)); #46326=EDGE_LOOP('',(#249654,#249655,#249656,#249657)); #46327=EDGE_LOOP('',(#249658,#249659,#249660,#249661)); #46328=EDGE_LOOP('',(#249662,#249663,#249664,#249665)); #46329=EDGE_LOOP('',(#249666,#249667,#249668,#249669)); #46330=EDGE_LOOP('',(#249670,#249671,#249672,#249673)); #46331=EDGE_LOOP('',(#249674,#249675,#249676,#249677)); #46332=EDGE_LOOP('',(#249678,#249679,#249680,#249681)); #46333=EDGE_LOOP('',(#249682,#249683,#249684,#249685)); #46334=EDGE_LOOP('',(#249686,#249687,#249688,#249689)); #46335=EDGE_LOOP('',(#249690,#249691,#249692,#249693)); #46336=EDGE_LOOP('',(#249694,#249695,#249696,#249697)); #46337=EDGE_LOOP('',(#249698,#249699,#249700,#249701)); #46338=EDGE_LOOP('',(#249702,#249703,#249704,#249705)); #46339=EDGE_LOOP('',(#249706,#249707,#249708,#249709)); #46340=EDGE_LOOP('',(#249710,#249711,#249712,#249713)); #46341=EDGE_LOOP('',(#249714,#249715,#249716,#249717)); #46342=EDGE_LOOP('',(#249718,#249719,#249720,#249721,#249722,#249723,#249724, #249725,#249726,#249727,#249728,#249729,#249730,#249731,#249732,#249733, #249734,#249735,#249736,#249737)); #46343=EDGE_LOOP('',(#249738,#249739,#249740,#249741,#249742,#249743,#249744, #249745,#249746,#249747,#249748,#249749,#249750,#249751,#249752,#249753, #249754,#249755,#249756,#249757)); #46344=EDGE_LOOP('',(#249758,#249759,#249760,#249761)); #46345=EDGE_LOOP('',(#249762,#249763,#249764,#249765)); #46346=EDGE_LOOP('',(#249766,#249767,#249768,#249769)); #46347=EDGE_LOOP('',(#249770,#249771,#249772,#249773)); #46348=EDGE_LOOP('',(#249774,#249775,#249776,#249777)); #46349=EDGE_LOOP('',(#249778,#249779,#249780,#249781)); #46350=EDGE_LOOP('',(#249782,#249783,#249784,#249785)); #46351=EDGE_LOOP('',(#249786,#249787,#249788,#249789)); #46352=EDGE_LOOP('',(#249790,#249791,#249792,#249793)); #46353=EDGE_LOOP('',(#249794,#249795,#249796,#249797)); #46354=EDGE_LOOP('',(#249798,#249799,#249800,#249801)); #46355=EDGE_LOOP('',(#249802,#249803,#249804,#249805)); #46356=EDGE_LOOP('',(#249806,#249807,#249808,#249809)); #46357=EDGE_LOOP('',(#249810,#249811,#249812,#249813)); #46358=EDGE_LOOP('',(#249814,#249815,#249816,#249817)); #46359=EDGE_LOOP('',(#249818,#249819,#249820,#249821)); #46360=EDGE_LOOP('',(#249822,#249823,#249824,#249825)); #46361=EDGE_LOOP('',(#249826,#249827,#249828,#249829)); #46362=EDGE_LOOP('',(#249830,#249831,#249832,#249833)); #46363=EDGE_LOOP('',(#249834,#249835,#249836,#249837)); #46364=EDGE_LOOP('',(#249838,#249839,#249840,#249841)); #46365=EDGE_LOOP('',(#249842,#249843,#249844,#249845)); #46366=EDGE_LOOP('',(#249846,#249847,#249848,#249849)); #46367=EDGE_LOOP('',(#249850,#249851,#249852,#249853)); #46368=EDGE_LOOP('',(#249854,#249855,#249856,#249857)); #46369=EDGE_LOOP('',(#249858,#249859,#249860,#249861)); #46370=EDGE_LOOP('',(#249862,#249863,#249864,#249865)); #46371=EDGE_LOOP('',(#249866,#249867,#249868,#249869)); #46372=EDGE_LOOP('',(#249870,#249871,#249872,#249873)); #46373=EDGE_LOOP('',(#249874,#249875,#249876,#249877)); #46374=EDGE_LOOP('',(#249878,#249879,#249880,#249881)); #46375=EDGE_LOOP('',(#249882,#249883,#249884,#249885)); #46376=EDGE_LOOP('',(#249886,#249887,#249888,#249889)); #46377=EDGE_LOOP('',(#249890,#249891,#249892,#249893)); #46378=EDGE_LOOP('',(#249894,#249895,#249896,#249897)); #46379=EDGE_LOOP('',(#249898,#249899,#249900,#249901)); #46380=EDGE_LOOP('',(#249902,#249903,#249904,#249905)); #46381=EDGE_LOOP('',(#249906,#249907,#249908,#249909)); #46382=EDGE_LOOP('',(#249910,#249911,#249912,#249913)); #46383=EDGE_LOOP('',(#249914,#249915,#249916,#249917)); #46384=EDGE_LOOP('',(#249918,#249919,#249920,#249921,#249922,#249923,#249924, #249925,#249926,#249927,#249928,#249929,#249930,#249931,#249932,#249933, #249934,#249935,#249936,#249937,#249938,#249939,#249940,#249941,#249942, #249943,#249944,#249945,#249946,#249947,#249948,#249949,#249950,#249951, #249952,#249953,#249954,#249955,#249956,#249957)); #46385=EDGE_LOOP('',(#249958,#249959,#249960,#249961,#249962,#249963,#249964, #249965,#249966,#249967,#249968,#249969,#249970,#249971,#249972,#249973, #249974,#249975,#249976,#249977,#249978,#249979,#249980,#249981,#249982, #249983,#249984,#249985,#249986,#249987,#249988,#249989,#249990,#249991, #249992,#249993,#249994,#249995,#249996,#249997)); #46386=EDGE_LOOP('',(#249998,#249999,#250000,#250001)); #46387=EDGE_LOOP('',(#250002,#250003,#250004,#250005)); #46388=EDGE_LOOP('',(#250006,#250007,#250008,#250009)); #46389=EDGE_LOOP('',(#250010,#250011,#250012,#250013)); #46390=EDGE_LOOP('',(#250014,#250015,#250016,#250017)); #46391=EDGE_LOOP('',(#250018,#250019,#250020,#250021)); #46392=EDGE_LOOP('',(#250022,#250023,#250024,#250025)); #46393=EDGE_LOOP('',(#250026,#250027,#250028,#250029)); #46394=EDGE_LOOP('',(#250030,#250031,#250032,#250033)); #46395=EDGE_LOOP('',(#250034,#250035,#250036,#250037)); #46396=EDGE_LOOP('',(#250038,#250039,#250040,#250041)); #46397=EDGE_LOOP('',(#250042,#250043,#250044,#250045)); #46398=EDGE_LOOP('',(#250046,#250047,#250048,#250049)); #46399=EDGE_LOOP('',(#250050,#250051,#250052,#250053)); #46400=EDGE_LOOP('',(#250054,#250055,#250056,#250057)); #46401=EDGE_LOOP('',(#250058,#250059,#250060,#250061)); #46402=EDGE_LOOP('',(#250062,#250063,#250064,#250065)); #46403=EDGE_LOOP('',(#250066,#250067,#250068,#250069)); #46404=EDGE_LOOP('',(#250070,#250071,#250072,#250073)); #46405=EDGE_LOOP('',(#250074,#250075,#250076,#250077)); #46406=EDGE_LOOP('',(#250078,#250079,#250080,#250081,#250082,#250083,#250084, #250085,#250086,#250087,#250088,#250089,#250090,#250091,#250092,#250093, #250094,#250095,#250096,#250097)); #46407=EDGE_LOOP('',(#250098,#250099,#250100,#250101,#250102,#250103,#250104, #250105,#250106,#250107,#250108,#250109,#250110,#250111,#250112,#250113, #250114,#250115,#250116,#250117)); #46408=EDGE_LOOP('',(#250118,#250119,#250120,#250121)); #46409=EDGE_LOOP('',(#250122,#250123,#250124,#250125)); #46410=EDGE_LOOP('',(#250126,#250127,#250128,#250129)); #46411=EDGE_LOOP('',(#250130,#250131,#250132,#250133)); #46412=EDGE_LOOP('',(#250134,#250135,#250136,#250137)); #46413=EDGE_LOOP('',(#250138,#250139,#250140,#250141)); #46414=EDGE_LOOP('',(#250142,#250143,#250144,#250145)); #46415=EDGE_LOOP('',(#250146,#250147,#250148,#250149)); #46416=EDGE_LOOP('',(#250150,#250151,#250152,#250153)); #46417=EDGE_LOOP('',(#250154,#250155,#250156,#250157)); #46418=EDGE_LOOP('',(#250158,#250159,#250160,#250161)); #46419=EDGE_LOOP('',(#250162,#250163,#250164,#250165)); #46420=EDGE_LOOP('',(#250166,#250167,#250168,#250169)); #46421=EDGE_LOOP('',(#250170,#250171,#250172,#250173)); #46422=EDGE_LOOP('',(#250174,#250175,#250176,#250177)); #46423=EDGE_LOOP('',(#250178,#250179,#250180,#250181)); #46424=EDGE_LOOP('',(#250182,#250183,#250184,#250185)); #46425=EDGE_LOOP('',(#250186,#250187,#250188,#250189)); #46426=EDGE_LOOP('',(#250190,#250191,#250192,#250193)); #46427=EDGE_LOOP('',(#250194,#250195,#250196,#250197)); #46428=EDGE_LOOP('',(#250198,#250199,#250200,#250201,#250202,#250203,#250204, #250205,#250206,#250207,#250208,#250209,#250210,#250211,#250212,#250213, #250214,#250215,#250216,#250217)); #46429=EDGE_LOOP('',(#250218,#250219,#250220,#250221,#250222,#250223,#250224, #250225,#250226,#250227,#250228,#250229,#250230,#250231,#250232,#250233, #250234,#250235,#250236,#250237)); #46430=EDGE_LOOP('',(#250238,#250239,#250240,#250241)); #46431=EDGE_LOOP('',(#250242,#250243,#250244,#250245)); #46432=EDGE_LOOP('',(#250246,#250247,#250248,#250249)); #46433=EDGE_LOOP('',(#250250,#250251,#250252,#250253)); #46434=EDGE_LOOP('',(#250254,#250255,#250256,#250257)); #46435=EDGE_LOOP('',(#250258,#250259,#250260,#250261)); #46436=EDGE_LOOP('',(#250262,#250263,#250264,#250265)); #46437=EDGE_LOOP('',(#250266,#250267,#250268,#250269)); #46438=EDGE_LOOP('',(#250270,#250271,#250272,#250273)); #46439=EDGE_LOOP('',(#250274,#250275,#250276,#250277)); #46440=EDGE_LOOP('',(#250278,#250279,#250280,#250281)); #46441=EDGE_LOOP('',(#250282,#250283,#250284,#250285)); #46442=EDGE_LOOP('',(#250286,#250287,#250288,#250289)); #46443=EDGE_LOOP('',(#250290,#250291,#250292,#250293)); #46444=EDGE_LOOP('',(#250294,#250295,#250296,#250297)); #46445=EDGE_LOOP('',(#250298,#250299,#250300,#250301)); #46446=EDGE_LOOP('',(#250302,#250303,#250304,#250305)); #46447=EDGE_LOOP('',(#250306,#250307,#250308,#250309)); #46448=EDGE_LOOP('',(#250310,#250311,#250312,#250313)); #46449=EDGE_LOOP('',(#250314,#250315,#250316,#250317)); #46450=EDGE_LOOP('',(#250318,#250319,#250320,#250321)); #46451=EDGE_LOOP('',(#250322,#250323,#250324,#250325)); #46452=EDGE_LOOP('',(#250326,#250327,#250328,#250329)); #46453=EDGE_LOOP('',(#250330,#250331,#250332,#250333)); #46454=EDGE_LOOP('',(#250334,#250335,#250336,#250337)); #46455=EDGE_LOOP('',(#250338,#250339,#250340,#250341)); #46456=EDGE_LOOP('',(#250342,#250343,#250344,#250345)); #46457=EDGE_LOOP('',(#250346,#250347,#250348,#250349)); #46458=EDGE_LOOP('',(#250350,#250351,#250352,#250353)); #46459=EDGE_LOOP('',(#250354,#250355,#250356,#250357)); #46460=EDGE_LOOP('',(#250358,#250359,#250360,#250361)); #46461=EDGE_LOOP('',(#250362,#250363,#250364,#250365)); #46462=EDGE_LOOP('',(#250366,#250367,#250368,#250369)); #46463=EDGE_LOOP('',(#250370,#250371,#250372,#250373)); #46464=EDGE_LOOP('',(#250374,#250375,#250376,#250377)); #46465=EDGE_LOOP('',(#250378,#250379,#250380,#250381)); #46466=EDGE_LOOP('',(#250382,#250383,#250384,#250385)); #46467=EDGE_LOOP('',(#250386,#250387,#250388,#250389)); #46468=EDGE_LOOP('',(#250390,#250391,#250392,#250393)); #46469=EDGE_LOOP('',(#250394,#250395,#250396,#250397)); #46470=EDGE_LOOP('',(#250398,#250399,#250400,#250401)); #46471=EDGE_LOOP('',(#250402,#250403,#250404,#250405)); #46472=EDGE_LOOP('',(#250406,#250407,#250408,#250409)); #46473=EDGE_LOOP('',(#250410,#250411,#250412,#250413)); #46474=EDGE_LOOP('',(#250414,#250415,#250416,#250417)); #46475=EDGE_LOOP('',(#250418,#250419,#250420,#250421)); #46476=EDGE_LOOP('',(#250422,#250423,#250424,#250425)); #46477=EDGE_LOOP('',(#250426,#250427,#250428,#250429)); #46478=EDGE_LOOP('',(#250430,#250431,#250432,#250433)); #46479=EDGE_LOOP('',(#250434,#250435,#250436,#250437)); #46480=EDGE_LOOP('',(#250438,#250439,#250440,#250441)); #46481=EDGE_LOOP('',(#250442,#250443,#250444,#250445)); #46482=EDGE_LOOP('',(#250446,#250447,#250448,#250449)); #46483=EDGE_LOOP('',(#250450,#250451,#250452,#250453)); #46484=EDGE_LOOP('',(#250454,#250455,#250456,#250457)); #46485=EDGE_LOOP('',(#250458,#250459,#250460,#250461)); #46486=EDGE_LOOP('',(#250462,#250463,#250464,#250465)); #46487=EDGE_LOOP('',(#250466,#250467,#250468,#250469)); #46488=EDGE_LOOP('',(#250470,#250471,#250472,#250473)); #46489=EDGE_LOOP('',(#250474,#250475,#250476,#250477)); #46490=EDGE_LOOP('',(#250478,#250479,#250480,#250481)); #46491=EDGE_LOOP('',(#250482,#250483,#250484,#250485)); #46492=EDGE_LOOP('',(#250486,#250487,#250488,#250489)); #46493=EDGE_LOOP('',(#250490,#250491,#250492,#250493)); #46494=EDGE_LOOP('',(#250494,#250495,#250496,#250497)); #46495=EDGE_LOOP('',(#250498,#250499,#250500,#250501)); #46496=EDGE_LOOP('',(#250502,#250503,#250504,#250505)); #46497=EDGE_LOOP('',(#250506,#250507,#250508,#250509)); #46498=EDGE_LOOP('',(#250510,#250511,#250512,#250513)); #46499=EDGE_LOOP('',(#250514,#250515,#250516,#250517)); #46500=EDGE_LOOP('',(#250518,#250519,#250520,#250521)); #46501=EDGE_LOOP('',(#250522,#250523,#250524,#250525)); #46502=EDGE_LOOP('',(#250526,#250527,#250528,#250529)); #46503=EDGE_LOOP('',(#250530,#250531,#250532,#250533)); #46504=EDGE_LOOP('',(#250534,#250535,#250536,#250537)); #46505=EDGE_LOOP('',(#250538,#250539,#250540,#250541)); #46506=EDGE_LOOP('',(#250542,#250543,#250544,#250545)); #46507=EDGE_LOOP('',(#250546,#250547,#250548,#250549)); #46508=EDGE_LOOP('',(#250550,#250551,#250552,#250553)); #46509=EDGE_LOOP('',(#250554,#250555,#250556,#250557)); #46510=EDGE_LOOP('',(#250558,#250559,#250560,#250561)); #46511=EDGE_LOOP('',(#250562,#250563,#250564,#250565)); #46512=EDGE_LOOP('',(#250566,#250567,#250568,#250569)); #46513=EDGE_LOOP('',(#250570,#250571,#250572,#250573)); #46514=EDGE_LOOP('',(#250574,#250575,#250576,#250577)); #46515=EDGE_LOOP('',(#250578,#250579,#250580,#250581)); #46516=EDGE_LOOP('',(#250582,#250583,#250584,#250585)); #46517=EDGE_LOOP('',(#250586,#250587,#250588,#250589)); #46518=EDGE_LOOP('',(#250590,#250591,#250592,#250593)); #46519=EDGE_LOOP('',(#250594,#250595,#250596,#250597)); #46520=EDGE_LOOP('',(#250598,#250599,#250600,#250601)); #46521=EDGE_LOOP('',(#250602,#250603,#250604,#250605)); #46522=EDGE_LOOP('',(#250606,#250607,#250608,#250609)); #46523=EDGE_LOOP('',(#250610,#250611,#250612,#250613)); #46524=EDGE_LOOP('',(#250614,#250615,#250616,#250617)); #46525=EDGE_LOOP('',(#250618,#250619,#250620,#250621)); #46526=EDGE_LOOP('',(#250622,#250623,#250624,#250625)); #46527=EDGE_LOOP('',(#250626,#250627,#250628,#250629)); #46528=EDGE_LOOP('',(#250630,#250631,#250632,#250633,#250634,#250635,#250636, #250637,#250638,#250639,#250640,#250641,#250642,#250643,#250644,#250645, #250646,#250647,#250648,#250649,#250650,#250651,#250652,#250653,#250654, #250655,#250656,#250657,#250658,#250659,#250660,#250661,#250662,#250663, #250664,#250665,#250666,#250667,#250668,#250669,#250670,#250671,#250672, #250673,#250674,#250675,#250676,#250677,#250678,#250679,#250680,#250681, #250682,#250683,#250684,#250685,#250686,#250687,#250688,#250689,#250690, #250691,#250692,#250693,#250694,#250695,#250696,#250697,#250698,#250699, #250700,#250701,#250702,#250703,#250704,#250705,#250706,#250707,#250708, #250709,#250710,#250711,#250712,#250713,#250714,#250715,#250716,#250717, #250718,#250719,#250720,#250721,#250722)); #46529=EDGE_LOOP('',(#250723)); #46530=EDGE_LOOP('',(#250724,#250725,#250726,#250727)); #46531=EDGE_LOOP('',(#250728,#250729,#250730,#250731,#250732,#250733,#250734, #250735,#250736,#250737,#250738,#250739,#250740,#250741,#250742,#250743, #250744,#250745,#250746,#250747,#250748,#250749,#250750,#250751,#250752, #250753,#250754,#250755,#250756,#250757,#250758,#250759,#250760,#250761, #250762,#250763,#250764,#250765,#250766,#250767,#250768,#250769,#250770, #250771,#250772,#250773,#250774,#250775,#250776,#250777,#250778,#250779, #250780,#250781,#250782,#250783,#250784,#250785,#250786,#250787,#250788, #250789,#250790,#250791,#250792,#250793,#250794,#250795,#250796,#250797, #250798,#250799,#250800,#250801,#250802,#250803,#250804,#250805,#250806, #250807,#250808,#250809,#250810,#250811,#250812,#250813,#250814,#250815, #250816,#250817,#250818,#250819,#250820)); #46532=EDGE_LOOP('',(#250821)); #46533=EDGE_LOOP('',(#250822,#250823,#250824,#250825)); #46534=EDGE_LOOP('',(#250826,#250827,#250828,#250829)); #46535=EDGE_LOOP('',(#250830,#250831,#250832,#250833)); #46536=EDGE_LOOP('',(#250834,#250835,#250836,#250837)); #46537=EDGE_LOOP('',(#250838,#250839,#250840,#250841)); #46538=EDGE_LOOP('',(#250842,#250843,#250844,#250845)); #46539=EDGE_LOOP('',(#250846,#250847,#250848,#250849)); #46540=EDGE_LOOP('',(#250850,#250851,#250852,#250853)); #46541=EDGE_LOOP('',(#250854,#250855,#250856,#250857)); #46542=EDGE_LOOP('',(#250858,#250859,#250860,#250861)); #46543=EDGE_LOOP('',(#250862,#250863,#250864,#250865)); #46544=EDGE_LOOP('',(#250866,#250867,#250868,#250869)); #46545=EDGE_LOOP('',(#250870,#250871,#250872,#250873)); #46546=EDGE_LOOP('',(#250874,#250875,#250876,#250877)); #46547=EDGE_LOOP('',(#250878,#250879,#250880,#250881)); #46548=EDGE_LOOP('',(#250882,#250883,#250884,#250885)); #46549=EDGE_LOOP('',(#250886,#250887,#250888,#250889)); #46550=EDGE_LOOP('',(#250890,#250891,#250892,#250893)); #46551=EDGE_LOOP('',(#250894,#250895,#250896,#250897)); #46552=EDGE_LOOP('',(#250898,#250899,#250900,#250901)); #46553=EDGE_LOOP('',(#250902,#250903,#250904,#250905)); #46554=EDGE_LOOP('',(#250906,#250907,#250908,#250909)); #46555=EDGE_LOOP('',(#250910,#250911,#250912,#250913)); #46556=EDGE_LOOP('',(#250914,#250915,#250916,#250917)); #46557=EDGE_LOOP('',(#250918,#250919,#250920,#250921)); #46558=EDGE_LOOP('',(#250922,#250923,#250924,#250925)); #46559=EDGE_LOOP('',(#250926,#250927,#250928,#250929)); #46560=EDGE_LOOP('',(#250930,#250931,#250932,#250933)); #46561=EDGE_LOOP('',(#250934,#250935,#250936,#250937)); #46562=EDGE_LOOP('',(#250938,#250939,#250940,#250941)); #46563=EDGE_LOOP('',(#250942,#250943,#250944,#250945)); #46564=EDGE_LOOP('',(#250946,#250947,#250948,#250949,#250950,#250951,#250952, #250953,#250954,#250955,#250956,#250957,#250958,#250959,#250960,#250961, #250962,#250963,#250964,#250965,#250966,#250967,#250968,#250969,#250970, #250971,#250972,#250973,#250974,#250975)); #46565=EDGE_LOOP('',(#250976,#250977,#250978,#250979,#250980,#250981,#250982, #250983,#250984,#250985,#250986,#250987,#250988,#250989,#250990,#250991, #250992,#250993,#250994,#250995,#250996,#250997,#250998,#250999,#251000, #251001,#251002,#251003,#251004,#251005)); #46566=EDGE_LOOP('',(#251006,#251007,#251008,#251009)); #46567=EDGE_LOOP('',(#251010,#251011,#251012,#251013)); #46568=EDGE_LOOP('',(#251014,#251015,#251016,#251017)); #46569=EDGE_LOOP('',(#251018,#251019,#251020,#251021)); #46570=EDGE_LOOP('',(#251022,#251023,#251024,#251025)); #46571=EDGE_LOOP('',(#251026,#251027,#251028,#251029)); #46572=EDGE_LOOP('',(#251030,#251031,#251032,#251033)); #46573=EDGE_LOOP('',(#251034,#251035,#251036,#251037)); #46574=EDGE_LOOP('',(#251038,#251039,#251040,#251041)); #46575=EDGE_LOOP('',(#251042,#251043,#251044,#251045)); #46576=EDGE_LOOP('',(#251046,#251047,#251048,#251049)); #46577=EDGE_LOOP('',(#251050,#251051,#251052,#251053)); #46578=EDGE_LOOP('',(#251054,#251055,#251056,#251057)); #46579=EDGE_LOOP('',(#251058,#251059,#251060,#251061)); #46580=EDGE_LOOP('',(#251062,#251063,#251064,#251065)); #46581=EDGE_LOOP('',(#251066,#251067,#251068,#251069)); #46582=EDGE_LOOP('',(#251070,#251071,#251072,#251073)); #46583=EDGE_LOOP('',(#251074,#251075,#251076,#251077)); #46584=EDGE_LOOP('',(#251078,#251079,#251080,#251081)); #46585=EDGE_LOOP('',(#251082,#251083,#251084,#251085)); #46586=EDGE_LOOP('',(#251086,#251087,#251088,#251089)); #46587=EDGE_LOOP('',(#251090,#251091,#251092,#251093)); #46588=EDGE_LOOP('',(#251094,#251095,#251096,#251097)); #46589=EDGE_LOOP('',(#251098,#251099,#251100,#251101)); #46590=EDGE_LOOP('',(#251102,#251103,#251104,#251105)); #46591=EDGE_LOOP('',(#251106,#251107,#251108,#251109)); #46592=EDGE_LOOP('',(#251110,#251111,#251112,#251113)); #46593=EDGE_LOOP('',(#251114,#251115,#251116,#251117)); #46594=EDGE_LOOP('',(#251118,#251119,#251120,#251121)); #46595=EDGE_LOOP('',(#251122,#251123,#251124,#251125)); #46596=EDGE_LOOP('',(#251126,#251127,#251128,#251129)); #46597=EDGE_LOOP('',(#251130,#251131,#251132,#251133)); #46598=EDGE_LOOP('',(#251134,#251135,#251136,#251137)); #46599=EDGE_LOOP('',(#251138,#251139,#251140,#251141)); #46600=EDGE_LOOP('',(#251142,#251143,#251144,#251145)); #46601=EDGE_LOOP('',(#251146,#251147,#251148,#251149)); #46602=EDGE_LOOP('',(#251150,#251151,#251152,#251153)); #46603=EDGE_LOOP('',(#251154,#251155,#251156,#251157)); #46604=EDGE_LOOP('',(#251158,#251159,#251160,#251161)); #46605=EDGE_LOOP('',(#251162,#251163,#251164,#251165)); #46606=EDGE_LOOP('',(#251166,#251167,#251168,#251169)); #46607=EDGE_LOOP('',(#251170,#251171,#251172,#251173)); #46608=EDGE_LOOP('',(#251174,#251175,#251176,#251177)); #46609=EDGE_LOOP('',(#251178,#251179,#251180,#251181)); #46610=EDGE_LOOP('',(#251182,#251183,#251184,#251185)); #46611=EDGE_LOOP('',(#251186,#251187,#251188,#251189)); #46612=EDGE_LOOP('',(#251190,#251191,#251192,#251193)); #46613=EDGE_LOOP('',(#251194,#251195,#251196,#251197)); #46614=EDGE_LOOP('',(#251198,#251199,#251200,#251201)); #46615=EDGE_LOOP('',(#251202,#251203,#251204,#251205)); #46616=EDGE_LOOP('',(#251206,#251207,#251208,#251209)); #46617=EDGE_LOOP('',(#251210,#251211,#251212,#251213)); #46618=EDGE_LOOP('',(#251214,#251215,#251216,#251217)); #46619=EDGE_LOOP('',(#251218,#251219,#251220,#251221)); #46620=EDGE_LOOP('',(#251222,#251223,#251224,#251225)); #46621=EDGE_LOOP('',(#251226,#251227,#251228,#251229)); #46622=EDGE_LOOP('',(#251230,#251231,#251232,#251233,#251234,#251235,#251236, #251237,#251238,#251239,#251240,#251241,#251242,#251243,#251244,#251245, #251246,#251247,#251248,#251249,#251250,#251251,#251252,#251253,#251254, #251255,#251256,#251257,#251258,#251259,#251260,#251261,#251262,#251263, #251264,#251265,#251266,#251267,#251268,#251269,#251270)); #46623=EDGE_LOOP('',(#251271)); #46624=EDGE_LOOP('',(#251272)); #46625=EDGE_LOOP('',(#251273)); #46626=EDGE_LOOP('',(#251274)); #46627=EDGE_LOOP('',(#251275)); #46628=EDGE_LOOP('',(#251276)); #46629=EDGE_LOOP('',(#251277)); #46630=EDGE_LOOP('',(#251278)); #46631=EDGE_LOOP('',(#251279)); #46632=EDGE_LOOP('',(#251280)); #46633=EDGE_LOOP('',(#251281)); #46634=EDGE_LOOP('',(#251282)); #46635=EDGE_LOOP('',(#251283)); #46636=EDGE_LOOP('',(#251284)); #46637=EDGE_LOOP('',(#251285)); #46638=EDGE_LOOP('',(#251286,#251287,#251288,#251289,#251290,#251291,#251292, #251293,#251294,#251295,#251296,#251297,#251298,#251299,#251300,#251301, #251302,#251303,#251304,#251305,#251306,#251307,#251308,#251309,#251310, #251311,#251312,#251313,#251314,#251315,#251316,#251317,#251318,#251319, #251320,#251321,#251322,#251323,#251324,#251325,#251326)); #46639=EDGE_LOOP('',(#251327)); #46640=EDGE_LOOP('',(#251328)); #46641=EDGE_LOOP('',(#251329)); #46642=EDGE_LOOP('',(#251330)); #46643=EDGE_LOOP('',(#251331)); #46644=EDGE_LOOP('',(#251332)); #46645=EDGE_LOOP('',(#251333)); #46646=EDGE_LOOP('',(#251334)); #46647=EDGE_LOOP('',(#251335)); #46648=EDGE_LOOP('',(#251336)); #46649=EDGE_LOOP('',(#251337)); #46650=EDGE_LOOP('',(#251338)); #46651=EDGE_LOOP('',(#251339)); #46652=EDGE_LOOP('',(#251340)); #46653=EDGE_LOOP('',(#251341)); #46654=EDGE_LOOP('',(#251342,#251343,#251344,#251345)); #46655=EDGE_LOOP('',(#251346,#251347,#251348,#251349)); #46656=EDGE_LOOP('',(#251350,#251351,#251352,#251353)); #46657=EDGE_LOOP('',(#251354,#251355,#251356,#251357)); #46658=EDGE_LOOP('',(#251358,#251359,#251360,#251361)); #46659=EDGE_LOOP('',(#251362,#251363,#251364,#251365)); #46660=EDGE_LOOP('',(#251366,#251367,#251368,#251369)); #46661=EDGE_LOOP('',(#251370,#251371,#251372,#251373)); #46662=EDGE_LOOP('',(#251374,#251375,#251376,#251377)); #46663=EDGE_LOOP('',(#251378,#251379,#251380,#251381)); #46664=EDGE_LOOP('',(#251382,#251383,#251384,#251385)); #46665=EDGE_LOOP('',(#251386,#251387,#251388,#251389)); #46666=EDGE_LOOP('',(#251390,#251391,#251392,#251393)); #46667=EDGE_LOOP('',(#251394,#251395,#251396,#251397)); #46668=EDGE_LOOP('',(#251398,#251399,#251400,#251401)); #46669=EDGE_LOOP('',(#251402,#251403,#251404,#251405)); #46670=EDGE_LOOP('',(#251406,#251407,#251408,#251409)); #46671=EDGE_LOOP('',(#251410,#251411,#251412,#251413)); #46672=EDGE_LOOP('',(#251414,#251415,#251416,#251417)); #46673=EDGE_LOOP('',(#251418,#251419,#251420,#251421)); #46674=EDGE_LOOP('',(#251422,#251423,#251424,#251425)); #46675=EDGE_LOOP('',(#251426,#251427,#251428,#251429)); #46676=EDGE_LOOP('',(#251430,#251431,#251432,#251433)); #46677=EDGE_LOOP('',(#251434,#251435,#251436,#251437)); #46678=EDGE_LOOP('',(#251438,#251439,#251440,#251441)); #46679=EDGE_LOOP('',(#251442,#251443,#251444,#251445)); #46680=EDGE_LOOP('',(#251446,#251447,#251448,#251449)); #46681=EDGE_LOOP('',(#251450,#251451,#251452,#251453)); #46682=EDGE_LOOP('',(#251454,#251455,#251456,#251457)); #46683=EDGE_LOOP('',(#251458,#251459,#251460,#251461)); #46684=EDGE_LOOP('',(#251462,#251463,#251464,#251465)); #46685=EDGE_LOOP('',(#251466,#251467,#251468,#251469)); #46686=EDGE_LOOP('',(#251470,#251471,#251472,#251473)); #46687=EDGE_LOOP('',(#251474,#251475,#251476,#251477)); #46688=EDGE_LOOP('',(#251478,#251479,#251480,#251481)); #46689=EDGE_LOOP('',(#251482,#251483,#251484,#251485)); #46690=EDGE_LOOP('',(#251486,#251487,#251488,#251489)); #46691=EDGE_LOOP('',(#251490,#251491,#251492,#251493)); #46692=EDGE_LOOP('',(#251494,#251495,#251496,#251497)); #46693=EDGE_LOOP('',(#251498,#251499,#251500,#251501)); #46694=EDGE_LOOP('',(#251502,#251503,#251504,#251505)); #46695=EDGE_LOOP('',(#251506,#251507,#251508,#251509)); #46696=EDGE_LOOP('',(#251510,#251511,#251512,#251513)); #46697=EDGE_LOOP('',(#251514,#251515,#251516,#251517,#251518,#251519,#251520, #251521,#251522,#251523,#251524,#251525,#251526,#251527,#251528,#251529, #251530,#251531,#251532,#251533,#251534,#251535,#251536,#251537,#251538, #251539,#251540,#251541,#251542,#251543,#251544,#251545,#251546,#251547, #251548,#251549,#251550,#251551,#251552,#251553,#251554,#251555)); #46698=EDGE_LOOP('',(#251556)); #46699=EDGE_LOOP('',(#251557,#251558,#251559,#251560,#251561,#251562,#251563, #251564,#251565,#251566,#251567,#251568,#251569,#251570,#251571,#251572, #251573,#251574,#251575,#251576,#251577,#251578,#251579,#251580,#251581, #251582,#251583,#251584,#251585,#251586,#251587,#251588,#251589,#251590, #251591,#251592,#251593,#251594,#251595,#251596,#251597,#251598)); #46700=EDGE_LOOP('',(#251599)); #46701=EDGE_LOOP('',(#251600,#251601,#251602,#251603)); #46702=EDGE_LOOP('',(#251604,#251605,#251606,#251607)); #46703=EDGE_LOOP('',(#251608,#251609,#251610,#251611)); #46704=EDGE_LOOP('',(#251612,#251613,#251614,#251615)); #46705=EDGE_LOOP('',(#251616,#251617,#251618,#251619)); #46706=EDGE_LOOP('',(#251620,#251621,#251622,#251623)); #46707=EDGE_LOOP('',(#251624,#251625,#251626,#251627)); #46708=EDGE_LOOP('',(#251628,#251629,#251630,#251631)); #46709=EDGE_LOOP('',(#251632,#251633,#251634,#251635)); #46710=EDGE_LOOP('',(#251636,#251637,#251638,#251639)); #46711=EDGE_LOOP('',(#251640,#251641,#251642,#251643)); #46712=EDGE_LOOP('',(#251644,#251645,#251646,#251647)); #46713=EDGE_LOOP('',(#251648,#251649,#251650,#251651)); #46714=EDGE_LOOP('',(#251652,#251653,#251654,#251655)); #46715=EDGE_LOOP('',(#251656,#251657,#251658,#251659)); #46716=EDGE_LOOP('',(#251660,#251661,#251662,#251663)); #46717=EDGE_LOOP('',(#251664,#251665,#251666,#251667)); #46718=EDGE_LOOP('',(#251668,#251669,#251670,#251671)); #46719=EDGE_LOOP('',(#251672,#251673,#251674,#251675)); #46720=EDGE_LOOP('',(#251676,#251677,#251678,#251679)); #46721=EDGE_LOOP('',(#251680,#251681,#251682,#251683)); #46722=EDGE_LOOP('',(#251684,#251685,#251686,#251687)); #46723=EDGE_LOOP('',(#251688,#251689,#251690,#251691)); #46724=EDGE_LOOP('',(#251692,#251693,#251694,#251695)); #46725=EDGE_LOOP('',(#251696,#251697,#251698,#251699)); #46726=EDGE_LOOP('',(#251700,#251701,#251702,#251703)); #46727=EDGE_LOOP('',(#251704,#251705,#251706,#251707)); #46728=EDGE_LOOP('',(#251708,#251709,#251710,#251711)); #46729=EDGE_LOOP('',(#251712,#251713,#251714,#251715)); #46730=EDGE_LOOP('',(#251716,#251717,#251718,#251719)); #46731=EDGE_LOOP('',(#251720,#251721,#251722,#251723)); #46732=EDGE_LOOP('',(#251724,#251725,#251726,#251727)); #46733=EDGE_LOOP('',(#251728,#251729,#251730,#251731)); #46734=EDGE_LOOP('',(#251732,#251733,#251734,#251735)); #46735=EDGE_LOOP('',(#251736,#251737,#251738,#251739)); #46736=EDGE_LOOP('',(#251740,#251741,#251742,#251743)); #46737=EDGE_LOOP('',(#251744,#251745,#251746,#251747)); #46738=EDGE_LOOP('',(#251748,#251749,#251750,#251751)); #46739=EDGE_LOOP('',(#251752,#251753,#251754,#251755)); #46740=EDGE_LOOP('',(#251756,#251757,#251758,#251759)); #46741=EDGE_LOOP('',(#251760,#251761,#251762,#251763)); #46742=EDGE_LOOP('',(#251764,#251765,#251766,#251767)); #46743=EDGE_LOOP('',(#251768,#251769,#251770,#251771)); #46744=EDGE_LOOP('',(#251772,#251773,#251774,#251775)); #46745=EDGE_LOOP('',(#251776,#251777,#251778,#251779)); #46746=EDGE_LOOP('',(#251780,#251781,#251782,#251783)); #46747=EDGE_LOOP('',(#251784,#251785,#251786,#251787)); #46748=EDGE_LOOP('',(#251788,#251789,#251790,#251791)); #46749=EDGE_LOOP('',(#251792,#251793,#251794,#251795)); #46750=EDGE_LOOP('',(#251796,#251797,#251798,#251799)); #46751=EDGE_LOOP('',(#251800,#251801,#251802,#251803)); #46752=EDGE_LOOP('',(#251804,#251805,#251806,#251807)); #46753=EDGE_LOOP('',(#251808,#251809,#251810,#251811)); #46754=EDGE_LOOP('',(#251812,#251813,#251814,#251815)); #46755=EDGE_LOOP('',(#251816,#251817,#251818,#251819)); #46756=EDGE_LOOP('',(#251820,#251821,#251822,#251823)); #46757=EDGE_LOOP('',(#251824,#251825,#251826,#251827)); #46758=EDGE_LOOP('',(#251828,#251829,#251830,#251831)); #46759=EDGE_LOOP('',(#251832,#251833,#251834,#251835)); #46760=EDGE_LOOP('',(#251836,#251837,#251838,#251839)); #46761=EDGE_LOOP('',(#251840,#251841,#251842,#251843)); #46762=EDGE_LOOP('',(#251844,#251845,#251846,#251847)); #46763=EDGE_LOOP('',(#251848,#251849,#251850,#251851)); #46764=EDGE_LOOP('',(#251852,#251853,#251854,#251855)); #46765=EDGE_LOOP('',(#251856,#251857,#251858,#251859,#251860,#251861,#251862, #251863,#251864,#251865,#251866,#251867,#251868,#251869,#251870,#251871, #251872,#251873,#251874,#251875,#251876,#251877,#251878,#251879,#251880, #251881,#251882,#251883,#251884,#251885,#251886,#251887,#251888,#251889, #251890,#251891,#251892,#251893,#251894,#251895,#251896,#251897,#251898, #251899,#251900,#251901,#251902,#251903,#251904)); #46766=EDGE_LOOP('',(#251905)); #46767=EDGE_LOOP('',(#251906)); #46768=EDGE_LOOP('',(#251907)); #46769=EDGE_LOOP('',(#251908)); #46770=EDGE_LOOP('',(#251909)); #46771=EDGE_LOOP('',(#251910)); #46772=EDGE_LOOP('',(#251911)); #46773=EDGE_LOOP('',(#251912)); #46774=EDGE_LOOP('',(#251913)); #46775=EDGE_LOOP('',(#251914)); #46776=EDGE_LOOP('',(#251915)); #46777=EDGE_LOOP('',(#251916)); #46778=EDGE_LOOP('',(#251917)); #46779=EDGE_LOOP('',(#251918)); #46780=EDGE_LOOP('',(#251919)); #46781=EDGE_LOOP('',(#251920,#251921,#251922,#251923,#251924,#251925,#251926, #251927,#251928,#251929,#251930,#251931,#251932,#251933,#251934,#251935, #251936,#251937,#251938,#251939,#251940,#251941,#251942,#251943,#251944, #251945,#251946,#251947,#251948,#251949,#251950,#251951,#251952,#251953, #251954,#251955,#251956,#251957,#251958,#251959,#251960,#251961,#251962, #251963,#251964,#251965,#251966,#251967,#251968)); #46782=EDGE_LOOP('',(#251969)); #46783=EDGE_LOOP('',(#251970)); #46784=EDGE_LOOP('',(#251971)); #46785=EDGE_LOOP('',(#251972)); #46786=EDGE_LOOP('',(#251973)); #46787=EDGE_LOOP('',(#251974)); #46788=EDGE_LOOP('',(#251975)); #46789=EDGE_LOOP('',(#251976)); #46790=EDGE_LOOP('',(#251977)); #46791=EDGE_LOOP('',(#251978)); #46792=EDGE_LOOP('',(#251979)); #46793=EDGE_LOOP('',(#251980)); #46794=EDGE_LOOP('',(#251981)); #46795=EDGE_LOOP('',(#251982)); #46796=EDGE_LOOP('',(#251983)); #46797=EDGE_LOOP('',(#251984,#251985,#251986,#251987)); #46798=EDGE_LOOP('',(#251988,#251989,#251990,#251991)); #46799=EDGE_LOOP('',(#251992,#251993,#251994,#251995)); #46800=EDGE_LOOP('',(#251996,#251997,#251998,#251999)); #46801=EDGE_LOOP('',(#252000,#252001,#252002,#252003)); #46802=EDGE_LOOP('',(#252004,#252005,#252006,#252007)); #46803=EDGE_LOOP('',(#252008,#252009,#252010,#252011)); #46804=EDGE_LOOP('',(#252012,#252013,#252014,#252015)); #46805=EDGE_LOOP('',(#252016,#252017,#252018,#252019)); #46806=EDGE_LOOP('',(#252020,#252021,#252022,#252023)); #46807=EDGE_LOOP('',(#252024,#252025,#252026,#252027)); #46808=EDGE_LOOP('',(#252028,#252029,#252030,#252031)); #46809=EDGE_LOOP('',(#252032,#252033,#252034,#252035)); #46810=EDGE_LOOP('',(#252036,#252037,#252038,#252039)); #46811=EDGE_LOOP('',(#252040,#252041,#252042,#252043)); #46812=EDGE_LOOP('',(#252044,#252045,#252046,#252047)); #46813=EDGE_LOOP('',(#252048,#252049,#252050,#252051)); #46814=EDGE_LOOP('',(#252052,#252053,#252054,#252055)); #46815=EDGE_LOOP('',(#252056,#252057,#252058,#252059)); #46816=EDGE_LOOP('',(#252060,#252061,#252062,#252063)); #46817=EDGE_LOOP('',(#252064,#252065,#252066,#252067)); #46818=EDGE_LOOP('',(#252068,#252069,#252070,#252071)); #46819=EDGE_LOOP('',(#252072,#252073,#252074,#252075)); #46820=EDGE_LOOP('',(#252076,#252077,#252078,#252079)); #46821=EDGE_LOOP('',(#252080,#252081,#252082,#252083)); #46822=EDGE_LOOP('',(#252084,#252085,#252086,#252087)); #46823=EDGE_LOOP('',(#252088,#252089,#252090,#252091)); #46824=EDGE_LOOP('',(#252092,#252093,#252094,#252095)); #46825=EDGE_LOOP('',(#252096,#252097,#252098,#252099)); #46826=EDGE_LOOP('',(#252100,#252101,#252102,#252103)); #46827=EDGE_LOOP('',(#252104,#252105,#252106,#252107)); #46828=EDGE_LOOP('',(#252108,#252109,#252110,#252111)); #46829=EDGE_LOOP('',(#252112,#252113,#252114,#252115)); #46830=EDGE_LOOP('',(#252116,#252117,#252118,#252119)); #46831=EDGE_LOOP('',(#252120,#252121,#252122,#252123)); #46832=EDGE_LOOP('',(#252124,#252125,#252126,#252127)); #46833=EDGE_LOOP('',(#252128,#252129,#252130,#252131)); #46834=EDGE_LOOP('',(#252132,#252133,#252134,#252135)); #46835=EDGE_LOOP('',(#252136,#252137,#252138,#252139)); #46836=EDGE_LOOP('',(#252140,#252141,#252142,#252143)); #46837=EDGE_LOOP('',(#252144,#252145,#252146,#252147)); #46838=EDGE_LOOP('',(#252148,#252149,#252150,#252151)); #46839=EDGE_LOOP('',(#252152,#252153,#252154,#252155)); #46840=EDGE_LOOP('',(#252156,#252157,#252158,#252159,#252160,#252161,#252162, #252163,#252164,#252165,#252166,#252167,#252168,#252169,#252170,#252171, #252172,#252173,#252174,#252175,#252176,#252177,#252178,#252179,#252180, #252181,#252182,#252183,#252184,#252185,#252186,#252187,#252188,#252189, #252190,#252191,#252192,#252193,#252194,#252195,#252196,#252197)); #46841=EDGE_LOOP('',(#252198)); #46842=EDGE_LOOP('',(#252199,#252200,#252201,#252202,#252203,#252204,#252205, #252206,#252207,#252208,#252209,#252210,#252211,#252212,#252213,#252214, #252215,#252216,#252217,#252218,#252219,#252220,#252221,#252222,#252223, #252224,#252225,#252226,#252227,#252228,#252229,#252230,#252231,#252232, #252233,#252234,#252235,#252236,#252237,#252238,#252239,#252240)); #46843=EDGE_LOOP('',(#252241)); #46844=EDGE_LOOP('',(#252242,#252243,#252244,#252245)); #46845=EDGE_LOOP('',(#252246,#252247,#252248,#252249)); #46846=EDGE_LOOP('',(#252250,#252251,#252252,#252253)); #46847=EDGE_LOOP('',(#252254,#252255,#252256,#252257)); #46848=EDGE_LOOP('',(#252258,#252259,#252260,#252261)); #46849=EDGE_LOOP('',(#252262,#252263,#252264,#252265)); #46850=EDGE_LOOP('',(#252266,#252267,#252268,#252269)); #46851=EDGE_LOOP('',(#252270,#252271,#252272,#252273)); #46852=EDGE_LOOP('',(#252274,#252275,#252276,#252277)); #46853=EDGE_LOOP('',(#252278,#252279,#252280,#252281)); #46854=EDGE_LOOP('',(#252282,#252283,#252284,#252285)); #46855=EDGE_LOOP('',(#252286,#252287,#252288,#252289)); #46856=EDGE_LOOP('',(#252290,#252291,#252292,#252293)); #46857=EDGE_LOOP('',(#252294,#252295,#252296,#252297)); #46858=EDGE_LOOP('',(#252298,#252299,#252300,#252301)); #46859=EDGE_LOOP('',(#252302,#252303,#252304,#252305)); #46860=EDGE_LOOP('',(#252306,#252307,#252308,#252309)); #46861=EDGE_LOOP('',(#252310,#252311,#252312,#252313)); #46862=EDGE_LOOP('',(#252314,#252315,#252316,#252317)); #46863=EDGE_LOOP('',(#252318,#252319,#252320,#252321)); #46864=EDGE_LOOP('',(#252322,#252323,#252324,#252325)); #46865=EDGE_LOOP('',(#252326,#252327,#252328,#252329)); #46866=EDGE_LOOP('',(#252330,#252331,#252332,#252333)); #46867=EDGE_LOOP('',(#252334,#252335,#252336,#252337)); #46868=EDGE_LOOP('',(#252338,#252339,#252340,#252341)); #46869=EDGE_LOOP('',(#252342,#252343,#252344,#252345)); #46870=EDGE_LOOP('',(#252346,#252347,#252348,#252349)); #46871=EDGE_LOOP('',(#252350,#252351,#252352,#252353)); #46872=EDGE_LOOP('',(#252354,#252355,#252356,#252357)); #46873=EDGE_LOOP('',(#252358,#252359,#252360,#252361)); #46874=EDGE_LOOP('',(#252362,#252363,#252364,#252365)); #46875=EDGE_LOOP('',(#252366,#252367,#252368,#252369)); #46876=EDGE_LOOP('',(#252370,#252371,#252372,#252373)); #46877=EDGE_LOOP('',(#252374,#252375,#252376,#252377)); #46878=EDGE_LOOP('',(#252378,#252379,#252380,#252381)); #46879=EDGE_LOOP('',(#252382,#252383,#252384,#252385)); #46880=EDGE_LOOP('',(#252386,#252387,#252388,#252389)); #46881=EDGE_LOOP('',(#252390,#252391,#252392,#252393)); #46882=EDGE_LOOP('',(#252394,#252395,#252396,#252397)); #46883=EDGE_LOOP('',(#252398,#252399,#252400,#252401)); #46884=EDGE_LOOP('',(#252402,#252403,#252404,#252405)); #46885=EDGE_LOOP('',(#252406,#252407,#252408,#252409)); #46886=EDGE_LOOP('',(#252410,#252411,#252412,#252413)); #46887=EDGE_LOOP('',(#252414,#252415,#252416,#252417)); #46888=EDGE_LOOP('',(#252418,#252419,#252420,#252421)); #46889=EDGE_LOOP('',(#252422,#252423,#252424,#252425)); #46890=EDGE_LOOP('',(#252426,#252427,#252428,#252429)); #46891=EDGE_LOOP('',(#252430,#252431,#252432,#252433)); #46892=EDGE_LOOP('',(#252434,#252435,#252436,#252437)); #46893=EDGE_LOOP('',(#252438,#252439,#252440,#252441)); #46894=EDGE_LOOP('',(#252442,#252443,#252444,#252445)); #46895=EDGE_LOOP('',(#252446,#252447,#252448,#252449)); #46896=EDGE_LOOP('',(#252450,#252451,#252452,#252453)); #46897=EDGE_LOOP('',(#252454,#252455,#252456,#252457)); #46898=EDGE_LOOP('',(#252458,#252459,#252460,#252461)); #46899=EDGE_LOOP('',(#252462,#252463,#252464,#252465)); #46900=EDGE_LOOP('',(#252466,#252467,#252468,#252469)); #46901=EDGE_LOOP('',(#252470,#252471,#252472,#252473)); #46902=EDGE_LOOP('',(#252474,#252475,#252476,#252477)); #46903=EDGE_LOOP('',(#252478,#252479,#252480,#252481)); #46904=EDGE_LOOP('',(#252482,#252483,#252484,#252485)); #46905=EDGE_LOOP('',(#252486,#252487,#252488,#252489)); #46906=EDGE_LOOP('',(#252490,#252491,#252492,#252493)); #46907=EDGE_LOOP('',(#252494,#252495,#252496,#252497)); #46908=EDGE_LOOP('',(#252498,#252499,#252500,#252501)); #46909=EDGE_LOOP('',(#252502,#252503,#252504,#252505)); #46910=EDGE_LOOP('',(#252506,#252507,#252508,#252509)); #46911=EDGE_LOOP('',(#252510,#252511,#252512,#252513)); #46912=EDGE_LOOP('',(#252514,#252515,#252516,#252517)); #46913=EDGE_LOOP('',(#252518,#252519,#252520,#252521)); #46914=EDGE_LOOP('',(#252522,#252523,#252524,#252525)); #46915=EDGE_LOOP('',(#252526,#252527,#252528,#252529)); #46916=EDGE_LOOP('',(#252530,#252531,#252532,#252533)); #46917=EDGE_LOOP('',(#252534,#252535,#252536,#252537)); #46918=EDGE_LOOP('',(#252538,#252539,#252540,#252541)); #46919=EDGE_LOOP('',(#252542,#252543,#252544,#252545)); #46920=EDGE_LOOP('',(#252546,#252547,#252548,#252549)); #46921=EDGE_LOOP('',(#252550,#252551,#252552,#252553)); #46922=EDGE_LOOP('',(#252554,#252555,#252556,#252557)); #46923=EDGE_LOOP('',(#252558,#252559,#252560,#252561)); #46924=EDGE_LOOP('',(#252562,#252563,#252564,#252565)); #46925=EDGE_LOOP('',(#252566,#252567,#252568,#252569)); #46926=EDGE_LOOP('',(#252570,#252571,#252572,#252573)); #46927=EDGE_LOOP('',(#252574,#252575,#252576,#252577)); #46928=EDGE_LOOP('',(#252578,#252579,#252580,#252581)); #46929=EDGE_LOOP('',(#252582,#252583,#252584,#252585)); #46930=EDGE_LOOP('',(#252586,#252587,#252588,#252589)); #46931=EDGE_LOOP('',(#252590,#252591,#252592,#252593)); #46932=EDGE_LOOP('',(#252594,#252595,#252596,#252597)); #46933=EDGE_LOOP('',(#252598,#252599,#252600,#252601)); #46934=EDGE_LOOP('',(#252602,#252603,#252604,#252605)); #46935=EDGE_LOOP('',(#252606,#252607,#252608,#252609)); #46936=EDGE_LOOP('',(#252610,#252611,#252612,#252613)); #46937=EDGE_LOOP('',(#252614,#252615,#252616,#252617)); #46938=EDGE_LOOP('',(#252618,#252619,#252620,#252621)); #46939=EDGE_LOOP('',(#252622,#252623,#252624,#252625)); #46940=EDGE_LOOP('',(#252626,#252627,#252628,#252629)); #46941=EDGE_LOOP('',(#252630,#252631,#252632,#252633)); #46942=EDGE_LOOP('',(#252634,#252635,#252636,#252637)); #46943=EDGE_LOOP('',(#252638,#252639,#252640,#252641)); #46944=EDGE_LOOP('',(#252642,#252643,#252644,#252645)); #46945=EDGE_LOOP('',(#252646,#252647,#252648,#252649)); #46946=EDGE_LOOP('',(#252650,#252651,#252652,#252653)); #46947=EDGE_LOOP('',(#252654,#252655,#252656,#252657)); #46948=EDGE_LOOP('',(#252658,#252659,#252660,#252661)); #46949=EDGE_LOOP('',(#252662,#252663,#252664,#252665)); #46950=EDGE_LOOP('',(#252666,#252667,#252668,#252669)); #46951=EDGE_LOOP('',(#252670,#252671,#252672,#252673)); #46952=EDGE_LOOP('',(#252674,#252675,#252676,#252677)); #46953=EDGE_LOOP('',(#252678,#252679,#252680,#252681)); #46954=EDGE_LOOP('',(#252682,#252683,#252684,#252685)); #46955=EDGE_LOOP('',(#252686,#252687,#252688,#252689)); #46956=EDGE_LOOP('',(#252690,#252691,#252692,#252693)); #46957=EDGE_LOOP('',(#252694,#252695,#252696,#252697)); #46958=EDGE_LOOP('',(#252698,#252699,#252700,#252701)); #46959=EDGE_LOOP('',(#252702,#252703,#252704,#252705)); #46960=EDGE_LOOP('',(#252706,#252707,#252708,#252709,#252710,#252711,#252712, #252713,#252714,#252715,#252716,#252717,#252718,#252719,#252720,#252721, #252722,#252723,#252724,#252725,#252726,#252727,#252728,#252729,#252730, #252731,#252732,#252733,#252734,#252735,#252736,#252737,#252738,#252739, #252740,#252741,#252742,#252743,#252744,#252745,#252746,#252747,#252748, #252749,#252750,#252751,#252752,#252753,#252754,#252755,#252756,#252757, #252758,#252759,#252760,#252761,#252762,#252763,#252764,#252765,#252766, #252767,#252768,#252769,#252770,#252771,#252772,#252773,#252774,#252775, #252776,#252777,#252778,#252779,#252780,#252781,#252782,#252783,#252784, #252785,#252786,#252787,#252788,#252789,#252790)); #46961=EDGE_LOOP('',(#252791)); #46962=EDGE_LOOP('',(#252792)); #46963=EDGE_LOOP('',(#252793)); #46964=EDGE_LOOP('',(#252794)); #46965=EDGE_LOOP('',(#252795)); #46966=EDGE_LOOP('',(#252796)); #46967=EDGE_LOOP('',(#252797)); #46968=EDGE_LOOP('',(#252798)); #46969=EDGE_LOOP('',(#252799)); #46970=EDGE_LOOP('',(#252800)); #46971=EDGE_LOOP('',(#252801)); #46972=EDGE_LOOP('',(#252802)); #46973=EDGE_LOOP('',(#252803)); #46974=EDGE_LOOP('',(#252804)); #46975=EDGE_LOOP('',(#252805)); #46976=EDGE_LOOP('',(#252806)); #46977=EDGE_LOOP('',(#252807)); #46978=EDGE_LOOP('',(#252808)); #46979=EDGE_LOOP('',(#252809)); #46980=EDGE_LOOP('',(#252810)); #46981=EDGE_LOOP('',(#252811)); #46982=EDGE_LOOP('',(#252812)); #46983=EDGE_LOOP('',(#252813)); #46984=EDGE_LOOP('',(#252814)); #46985=EDGE_LOOP('',(#252815)); #46986=EDGE_LOOP('',(#252816)); #46987=EDGE_LOOP('',(#252817)); #46988=EDGE_LOOP('',(#252818)); #46989=EDGE_LOOP('',(#252819)); #46990=EDGE_LOOP('',(#252820)); #46991=EDGE_LOOP('',(#252821)); #46992=EDGE_LOOP('',(#252822,#252823,#252824,#252825,#252826,#252827,#252828, #252829,#252830,#252831,#252832,#252833,#252834,#252835,#252836,#252837, #252838,#252839,#252840,#252841,#252842,#252843,#252844,#252845,#252846, #252847,#252848,#252849,#252850,#252851,#252852,#252853,#252854,#252855, #252856,#252857,#252858,#252859,#252860,#252861,#252862,#252863,#252864, #252865,#252866,#252867,#252868,#252869,#252870,#252871,#252872,#252873, #252874,#252875,#252876,#252877,#252878,#252879,#252880,#252881,#252882, #252883,#252884,#252885,#252886,#252887,#252888,#252889,#252890,#252891, #252892,#252893,#252894,#252895,#252896,#252897,#252898,#252899,#252900, #252901,#252902,#252903,#252904,#252905,#252906)); #46993=EDGE_LOOP('',(#252907)); #46994=EDGE_LOOP('',(#252908)); #46995=EDGE_LOOP('',(#252909)); #46996=EDGE_LOOP('',(#252910)); #46997=EDGE_LOOP('',(#252911)); #46998=EDGE_LOOP('',(#252912)); #46999=EDGE_LOOP('',(#252913)); #47000=EDGE_LOOP('',(#252914)); #47001=EDGE_LOOP('',(#252915)); #47002=EDGE_LOOP('',(#252916)); #47003=EDGE_LOOP('',(#252917)); #47004=EDGE_LOOP('',(#252918)); #47005=EDGE_LOOP('',(#252919)); #47006=EDGE_LOOP('',(#252920)); #47007=EDGE_LOOP('',(#252921)); #47008=EDGE_LOOP('',(#252922)); #47009=EDGE_LOOP('',(#252923)); #47010=EDGE_LOOP('',(#252924)); #47011=EDGE_LOOP('',(#252925)); #47012=EDGE_LOOP('',(#252926)); #47013=EDGE_LOOP('',(#252927)); #47014=EDGE_LOOP('',(#252928)); #47015=EDGE_LOOP('',(#252929)); #47016=EDGE_LOOP('',(#252930)); #47017=EDGE_LOOP('',(#252931)); #47018=EDGE_LOOP('',(#252932)); #47019=EDGE_LOOP('',(#252933)); #47020=EDGE_LOOP('',(#252934)); #47021=EDGE_LOOP('',(#252935)); #47022=EDGE_LOOP('',(#252936)); #47023=EDGE_LOOP('',(#252937)); #47024=EDGE_LOOP('',(#252938,#252939,#252940,#252941)); #47025=EDGE_LOOP('',(#252942,#252943,#252944,#252945)); #47026=EDGE_LOOP('',(#252946,#252947,#252948,#252949)); #47027=EDGE_LOOP('',(#252950,#252951,#252952,#252953)); #47028=EDGE_LOOP('',(#252954,#252955,#252956,#252957)); #47029=EDGE_LOOP('',(#252958,#252959,#252960,#252961)); #47030=EDGE_LOOP('',(#252962,#252963,#252964,#252965)); #47031=EDGE_LOOP('',(#252966,#252967,#252968,#252969)); #47032=EDGE_LOOP('',(#252970,#252971,#252972,#252973)); #47033=EDGE_LOOP('',(#252974,#252975,#252976,#252977)); #47034=EDGE_LOOP('',(#252978,#252979,#252980,#252981)); #47035=EDGE_LOOP('',(#252982,#252983,#252984,#252985)); #47036=EDGE_LOOP('',(#252986,#252987,#252988,#252989)); #47037=EDGE_LOOP('',(#252990,#252991,#252992,#252993)); #47038=EDGE_LOOP('',(#252994,#252995,#252996,#252997)); #47039=EDGE_LOOP('',(#252998,#252999,#253000,#253001)); #47040=EDGE_LOOP('',(#253002,#253003,#253004,#253005)); #47041=EDGE_LOOP('',(#253006,#253007,#253008,#253009)); #47042=EDGE_LOOP('',(#253010,#253011,#253012,#253013)); #47043=EDGE_LOOP('',(#253014,#253015,#253016,#253017)); #47044=EDGE_LOOP('',(#253018,#253019,#253020,#253021)); #47045=EDGE_LOOP('',(#253022,#253023,#253024,#253025)); #47046=EDGE_LOOP('',(#253026,#253027,#253028,#253029,#253030,#253031,#253032, #253033,#253034,#253035,#253036,#253037,#253038,#253039,#253040,#253041, #253042,#253043,#253044,#253045,#253046)); #47047=EDGE_LOOP('',(#253047)); #47048=EDGE_LOOP('',(#253048,#253049,#253050,#253051,#253052,#253053,#253054, #253055,#253056,#253057,#253058,#253059,#253060,#253061,#253062,#253063, #253064,#253065,#253066,#253067,#253068)); #47049=EDGE_LOOP('',(#253069)); #47050=EDGE_LOOP('',(#253070,#253071,#253072,#253073)); #47051=EDGE_LOOP('',(#253074,#253075,#253076,#253077)); #47052=EDGE_LOOP('',(#253078,#253079,#253080,#253081)); #47053=EDGE_LOOP('',(#253082,#253083,#253084,#253085)); #47054=EDGE_LOOP('',(#253086,#253087,#253088,#253089)); #47055=EDGE_LOOP('',(#253090,#253091,#253092,#253093)); #47056=EDGE_LOOP('',(#253094,#253095,#253096,#253097)); #47057=EDGE_LOOP('',(#253098,#253099,#253100,#253101)); #47058=EDGE_LOOP('',(#253102,#253103,#253104,#253105)); #47059=EDGE_LOOP('',(#253106,#253107,#253108,#253109)); #47060=EDGE_LOOP('',(#253110,#253111,#253112,#253113)); #47061=EDGE_LOOP('',(#253114,#253115,#253116,#253117)); #47062=EDGE_LOOP('',(#253118,#253119,#253120,#253121)); #47063=EDGE_LOOP('',(#253122,#253123,#253124,#253125)); #47064=EDGE_LOOP('',(#253126,#253127,#253128,#253129)); #47065=EDGE_LOOP('',(#253130,#253131,#253132,#253133)); #47066=EDGE_LOOP('',(#253134,#253135,#253136,#253137)); #47067=EDGE_LOOP('',(#253138,#253139,#253140,#253141)); #47068=EDGE_LOOP('',(#253142,#253143,#253144,#253145)); #47069=EDGE_LOOP('',(#253146,#253147,#253148,#253149)); #47070=EDGE_LOOP('',(#253150,#253151,#253152,#253153)); #47071=EDGE_LOOP('',(#253154,#253155,#253156,#253157)); #47072=EDGE_LOOP('',(#253158,#253159,#253160,#253161)); #47073=EDGE_LOOP('',(#253162,#253163,#253164,#253165)); #47074=EDGE_LOOP('',(#253166,#253167,#253168,#253169)); #47075=EDGE_LOOP('',(#253170,#253171,#253172,#253173)); #47076=EDGE_LOOP('',(#253174,#253175,#253176,#253177)); #47077=EDGE_LOOP('',(#253178,#253179,#253180,#253181)); #47078=EDGE_LOOP('',(#253182,#253183,#253184,#253185)); #47079=EDGE_LOOP('',(#253186,#253187,#253188,#253189)); #47080=EDGE_LOOP('',(#253190,#253191,#253192,#253193)); #47081=EDGE_LOOP('',(#253194,#253195,#253196,#253197)); #47082=EDGE_LOOP('',(#253198,#253199,#253200,#253201)); #47083=EDGE_LOOP('',(#253202,#253203,#253204,#253205)); #47084=EDGE_LOOP('',(#253206,#253207,#253208,#253209)); #47085=EDGE_LOOP('',(#253210,#253211,#253212,#253213)); #47086=EDGE_LOOP('',(#253214,#253215,#253216,#253217)); #47087=EDGE_LOOP('',(#253218,#253219,#253220,#253221)); #47088=EDGE_LOOP('',(#253222,#253223,#253224,#253225)); #47089=EDGE_LOOP('',(#253226,#253227,#253228,#253229)); #47090=EDGE_LOOP('',(#253230,#253231,#253232,#253233)); #47091=EDGE_LOOP('',(#253234,#253235,#253236,#253237)); #47092=EDGE_LOOP('',(#253238,#253239,#253240,#253241)); #47093=EDGE_LOOP('',(#253242,#253243,#253244,#253245)); #47094=EDGE_LOOP('',(#253246,#253247,#253248,#253249)); #47095=EDGE_LOOP('',(#253250,#253251,#253252,#253253)); #47096=EDGE_LOOP('',(#253254,#253255,#253256,#253257)); #47097=EDGE_LOOP('',(#253258,#253259,#253260,#253261)); #47098=EDGE_LOOP('',(#253262,#253263,#253264,#253265)); #47099=EDGE_LOOP('',(#253266,#253267,#253268,#253269)); #47100=EDGE_LOOP('',(#253270,#253271,#253272,#253273)); #47101=EDGE_LOOP('',(#253274,#253275,#253276,#253277)); #47102=EDGE_LOOP('',(#253278,#253279,#253280,#253281)); #47103=EDGE_LOOP('',(#253282,#253283,#253284,#253285)); #47104=EDGE_LOOP('',(#253286,#253287,#253288,#253289)); #47105=EDGE_LOOP('',(#253290,#253291,#253292,#253293)); #47106=EDGE_LOOP('',(#253294,#253295,#253296,#253297)); #47107=EDGE_LOOP('',(#253298,#253299,#253300,#253301)); #47108=EDGE_LOOP('',(#253302,#253303,#253304,#253305)); #47109=EDGE_LOOP('',(#253306,#253307,#253308,#253309)); #47110=EDGE_LOOP('',(#253310,#253311,#253312,#253313)); #47111=EDGE_LOOP('',(#253314,#253315,#253316,#253317)); #47112=EDGE_LOOP('',(#253318,#253319,#253320,#253321)); #47113=EDGE_LOOP('',(#253322,#253323,#253324,#253325)); #47114=EDGE_LOOP('',(#253326,#253327,#253328,#253329)); #47115=EDGE_LOOP('',(#253330,#253331,#253332,#253333)); #47116=EDGE_LOOP('',(#253334,#253335,#253336,#253337)); #47117=EDGE_LOOP('',(#253338,#253339,#253340,#253341)); #47118=EDGE_LOOP('',(#253342,#253343,#253344,#253345)); #47119=EDGE_LOOP('',(#253346,#253347,#253348,#253349)); #47120=EDGE_LOOP('',(#253350,#253351,#253352,#253353)); #47121=EDGE_LOOP('',(#253354,#253355,#253356,#253357)); #47122=EDGE_LOOP('',(#253358,#253359,#253360,#253361)); #47123=EDGE_LOOP('',(#253362,#253363,#253364,#253365)); #47124=EDGE_LOOP('',(#253366,#253367,#253368,#253369)); #47125=EDGE_LOOP('',(#253370,#253371,#253372,#253373)); #47126=EDGE_LOOP('',(#253374,#253375,#253376,#253377)); #47127=EDGE_LOOP('',(#253378,#253379,#253380,#253381)); #47128=EDGE_LOOP('',(#253382,#253383,#253384,#253385)); #47129=EDGE_LOOP('',(#253386,#253387,#253388,#253389)); #47130=EDGE_LOOP('',(#253390,#253391,#253392,#253393)); #47131=EDGE_LOOP('',(#253394,#253395,#253396,#253397)); #47132=EDGE_LOOP('',(#253398,#253399,#253400,#253401)); #47133=EDGE_LOOP('',(#253402,#253403,#253404,#253405)); #47134=EDGE_LOOP('',(#253406,#253407,#253408,#253409)); #47135=EDGE_LOOP('',(#253410,#253411,#253412,#253413)); #47136=EDGE_LOOP('',(#253414,#253415,#253416,#253417)); #47137=EDGE_LOOP('',(#253418,#253419,#253420,#253421)); #47138=EDGE_LOOP('',(#253422,#253423,#253424,#253425)); #47139=EDGE_LOOP('',(#253426,#253427,#253428,#253429)); #47140=EDGE_LOOP('',(#253430,#253431,#253432,#253433)); #47141=EDGE_LOOP('',(#253434,#253435,#253436,#253437)); #47142=EDGE_LOOP('',(#253438,#253439,#253440,#253441)); #47143=EDGE_LOOP('',(#253442,#253443,#253444,#253445)); #47144=EDGE_LOOP('',(#253446,#253447,#253448,#253449)); #47145=EDGE_LOOP('',(#253450,#253451,#253452,#253453)); #47146=EDGE_LOOP('',(#253454,#253455,#253456,#253457)); #47147=EDGE_LOOP('',(#253458,#253459,#253460,#253461)); #47148=EDGE_LOOP('',(#253462,#253463,#253464,#253465)); #47149=EDGE_LOOP('',(#253466,#253467,#253468,#253469)); #47150=EDGE_LOOP('',(#253470,#253471,#253472,#253473)); #47151=EDGE_LOOP('',(#253474,#253475,#253476,#253477)); #47152=EDGE_LOOP('',(#253478,#253479,#253480,#253481)); #47153=EDGE_LOOP('',(#253482,#253483,#253484,#253485)); #47154=EDGE_LOOP('',(#253486,#253487,#253488,#253489)); #47155=EDGE_LOOP('',(#253490,#253491,#253492,#253493)); #47156=EDGE_LOOP('',(#253494,#253495,#253496,#253497)); #47157=EDGE_LOOP('',(#253498,#253499,#253500,#253501)); #47158=EDGE_LOOP('',(#253502,#253503,#253504,#253505)); #47159=EDGE_LOOP('',(#253506,#253507,#253508,#253509)); #47160=EDGE_LOOP('',(#253510,#253511,#253512,#253513)); #47161=EDGE_LOOP('',(#253514,#253515,#253516,#253517,#253518,#253519,#253520, #253521,#253522,#253523,#253524,#253525,#253526,#253527,#253528,#253529, #253530,#253531,#253532,#253533,#253534,#253535,#253536,#253537,#253538, #253539,#253540,#253541,#253542,#253543,#253544,#253545,#253546,#253547, #253548,#253549,#253550,#253551,#253552,#253553,#253554,#253555,#253556, #253557,#253558,#253559,#253560,#253561,#253562,#253563,#253564,#253565, #253566,#253567,#253568,#253569,#253570,#253571,#253572,#253573,#253574, #253575,#253576,#253577,#253578,#253579,#253580,#253581,#253582,#253583, #253584,#253585,#253586,#253587,#253588,#253589,#253590,#253591,#253592, #253593)); #47162=EDGE_LOOP('',(#253594)); #47163=EDGE_LOOP('',(#253595)); #47164=EDGE_LOOP('',(#253596)); #47165=EDGE_LOOP('',(#253597)); #47166=EDGE_LOOP('',(#253598)); #47167=EDGE_LOOP('',(#253599)); #47168=EDGE_LOOP('',(#253600)); #47169=EDGE_LOOP('',(#253601)); #47170=EDGE_LOOP('',(#253602)); #47171=EDGE_LOOP('',(#253603)); #47172=EDGE_LOOP('',(#253604)); #47173=EDGE_LOOP('',(#253605)); #47174=EDGE_LOOP('',(#253606)); #47175=EDGE_LOOP('',(#253607)); #47176=EDGE_LOOP('',(#253608)); #47177=EDGE_LOOP('',(#253609)); #47178=EDGE_LOOP('',(#253610)); #47179=EDGE_LOOP('',(#253611)); #47180=EDGE_LOOP('',(#253612)); #47181=EDGE_LOOP('',(#253613)); #47182=EDGE_LOOP('',(#253614)); #47183=EDGE_LOOP('',(#253615)); #47184=EDGE_LOOP('',(#253616)); #47185=EDGE_LOOP('',(#253617)); #47186=EDGE_LOOP('',(#253618)); #47187=EDGE_LOOP('',(#253619)); #47188=EDGE_LOOP('',(#253620)); #47189=EDGE_LOOP('',(#253621)); #47190=EDGE_LOOP('',(#253622)); #47191=EDGE_LOOP('',(#253623)); #47192=EDGE_LOOP('',(#253624)); #47193=EDGE_LOOP('',(#253625,#253626,#253627,#253628,#253629,#253630,#253631, #253632,#253633,#253634,#253635,#253636,#253637,#253638,#253639,#253640, #253641,#253642,#253643,#253644,#253645,#253646,#253647,#253648,#253649, #253650,#253651,#253652,#253653,#253654,#253655,#253656,#253657,#253658, #253659,#253660,#253661,#253662,#253663,#253664,#253665,#253666,#253667, #253668,#253669,#253670,#253671,#253672,#253673,#253674,#253675,#253676, #253677,#253678,#253679,#253680,#253681,#253682,#253683,#253684,#253685, #253686,#253687,#253688,#253689,#253690,#253691,#253692,#253693,#253694, #253695,#253696,#253697,#253698,#253699,#253700,#253701,#253702,#253703, #253704)); #47194=EDGE_LOOP('',(#253705)); #47195=EDGE_LOOP('',(#253706)); #47196=EDGE_LOOP('',(#253707)); #47197=EDGE_LOOP('',(#253708)); #47198=EDGE_LOOP('',(#253709)); #47199=EDGE_LOOP('',(#253710)); #47200=EDGE_LOOP('',(#253711)); #47201=EDGE_LOOP('',(#253712)); #47202=EDGE_LOOP('',(#253713)); #47203=EDGE_LOOP('',(#253714)); #47204=EDGE_LOOP('',(#253715)); #47205=EDGE_LOOP('',(#253716)); #47206=EDGE_LOOP('',(#253717)); #47207=EDGE_LOOP('',(#253718)); #47208=EDGE_LOOP('',(#253719)); #47209=EDGE_LOOP('',(#253720)); #47210=EDGE_LOOP('',(#253721)); #47211=EDGE_LOOP('',(#253722)); #47212=EDGE_LOOP('',(#253723)); #47213=EDGE_LOOP('',(#253724)); #47214=EDGE_LOOP('',(#253725)); #47215=EDGE_LOOP('',(#253726)); #47216=EDGE_LOOP('',(#253727)); #47217=EDGE_LOOP('',(#253728)); #47218=EDGE_LOOP('',(#253729)); #47219=EDGE_LOOP('',(#253730)); #47220=EDGE_LOOP('',(#253731)); #47221=EDGE_LOOP('',(#253732)); #47222=EDGE_LOOP('',(#253733)); #47223=EDGE_LOOP('',(#253734)); #47224=EDGE_LOOP('',(#253735)); #47225=EDGE_LOOP('',(#253736,#253737,#253738,#253739)); #47226=EDGE_LOOP('',(#253740,#253741,#253742,#253743)); #47227=EDGE_LOOP('',(#253744,#253745,#253746,#253747)); #47228=EDGE_LOOP('',(#253748,#253749,#253750,#253751)); #47229=EDGE_LOOP('',(#253752,#253753,#253754,#253755)); #47230=EDGE_LOOP('',(#253756,#253757,#253758,#253759)); #47231=EDGE_LOOP('',(#253760,#253761,#253762,#253763)); #47232=EDGE_LOOP('',(#253764,#253765,#253766,#253767)); #47233=EDGE_LOOP('',(#253768,#253769,#253770,#253771)); #47234=EDGE_LOOP('',(#253772,#253773,#253774,#253775)); #47235=EDGE_LOOP('',(#253776,#253777,#253778,#253779)); #47236=EDGE_LOOP('',(#253780,#253781,#253782,#253783)); #47237=EDGE_LOOP('',(#253784,#253785,#253786,#253787)); #47238=EDGE_LOOP('',(#253788,#253789,#253790,#253791)); #47239=EDGE_LOOP('',(#253792,#253793,#253794,#253795)); #47240=EDGE_LOOP('',(#253796,#253797,#253798,#253799)); #47241=EDGE_LOOP('',(#253800,#253801,#253802,#253803)); #47242=EDGE_LOOP('',(#253804,#253805,#253806,#253807)); #47243=EDGE_LOOP('',(#253808,#253809,#253810,#253811)); #47244=EDGE_LOOP('',(#253812,#253813,#253814,#253815)); #47245=EDGE_LOOP('',(#253816,#253817,#253818,#253819)); #47246=EDGE_LOOP('',(#253820,#253821,#253822,#253823)); #47247=EDGE_LOOP('',(#253824,#253825,#253826,#253827,#253828,#253829,#253830, #253831,#253832,#253833,#253834,#253835,#253836,#253837,#253838,#253839, #253840,#253841,#253842,#253843,#253844)); #47248=EDGE_LOOP('',(#253845)); #47249=EDGE_LOOP('',(#253846,#253847,#253848,#253849,#253850,#253851,#253852, #253853,#253854,#253855,#253856,#253857,#253858,#253859,#253860,#253861, #253862,#253863,#253864,#253865,#253866)); #47250=EDGE_LOOP('',(#253867)); #47251=EDGE_LOOP('',(#253868,#253869,#253870,#253871)); #47252=EDGE_LOOP('',(#253872,#253873,#253874,#253875)); #47253=EDGE_LOOP('',(#253876,#253877,#253878,#253879)); #47254=EDGE_LOOP('',(#253880,#253881,#253882,#253883)); #47255=EDGE_LOOP('',(#253884,#253885,#253886,#253887)); #47256=EDGE_LOOP('',(#253888,#253889,#253890,#253891)); #47257=EDGE_LOOP('',(#253892,#253893,#253894,#253895)); #47258=EDGE_LOOP('',(#253896,#253897,#253898,#253899)); #47259=EDGE_LOOP('',(#253900,#253901,#253902,#253903)); #47260=EDGE_LOOP('',(#253904,#253905,#253906,#253907)); #47261=EDGE_LOOP('',(#253908,#253909,#253910,#253911)); #47262=EDGE_LOOP('',(#253912,#253913,#253914,#253915)); #47263=EDGE_LOOP('',(#253916,#253917,#253918,#253919)); #47264=EDGE_LOOP('',(#253920,#253921,#253922,#253923)); #47265=EDGE_LOOP('',(#253924,#253925,#253926,#253927)); #47266=EDGE_LOOP('',(#253928,#253929,#253930,#253931)); #47267=EDGE_LOOP('',(#253932,#253933,#253934,#253935)); #47268=EDGE_LOOP('',(#253936,#253937,#253938,#253939)); #47269=EDGE_LOOP('',(#253940,#253941,#253942,#253943)); #47270=EDGE_LOOP('',(#253944,#253945,#253946,#253947)); #47271=EDGE_LOOP('',(#253948,#253949,#253950,#253951)); #47272=EDGE_LOOP('',(#253952,#253953,#253954,#253955)); #47273=EDGE_LOOP('',(#253956,#253957,#253958,#253959)); #47274=EDGE_LOOP('',(#253960,#253961,#253962,#253963)); #47275=EDGE_LOOP('',(#253964,#253965,#253966,#253967)); #47276=EDGE_LOOP('',(#253968,#253969,#253970,#253971)); #47277=EDGE_LOOP('',(#253972,#253973,#253974,#253975)); #47278=EDGE_LOOP('',(#253976,#253977,#253978,#253979)); #47279=EDGE_LOOP('',(#253980,#253981,#253982,#253983)); #47280=EDGE_LOOP('',(#253984,#253985,#253986,#253987)); #47281=EDGE_LOOP('',(#253988,#253989,#253990,#253991)); #47282=EDGE_LOOP('',(#253992,#253993,#253994,#253995)); #47283=EDGE_LOOP('',(#253996,#253997,#253998,#253999)); #47284=EDGE_LOOP('',(#254000,#254001,#254002,#254003)); #47285=EDGE_LOOP('',(#254004,#254005,#254006,#254007)); #47286=EDGE_LOOP('',(#254008,#254009,#254010,#254011)); #47287=EDGE_LOOP('',(#254012,#254013,#254014,#254015)); #47288=EDGE_LOOP('',(#254016,#254017,#254018,#254019)); #47289=EDGE_LOOP('',(#254020,#254021,#254022,#254023)); #47290=EDGE_LOOP('',(#254024,#254025,#254026,#254027)); #47291=EDGE_LOOP('',(#254028,#254029,#254030,#254031)); #47292=EDGE_LOOP('',(#254032,#254033,#254034,#254035)); #47293=EDGE_LOOP('',(#254036,#254037,#254038,#254039)); #47294=EDGE_LOOP('',(#254040,#254041,#254042,#254043)); #47295=EDGE_LOOP('',(#254044,#254045,#254046,#254047)); #47296=EDGE_LOOP('',(#254048,#254049,#254050,#254051)); #47297=EDGE_LOOP('',(#254052,#254053,#254054,#254055)); #47298=EDGE_LOOP('',(#254056,#254057,#254058,#254059)); #47299=EDGE_LOOP('',(#254060,#254061,#254062,#254063)); #47300=EDGE_LOOP('',(#254064,#254065,#254066,#254067)); #47301=EDGE_LOOP('',(#254068,#254069,#254070,#254071)); #47302=EDGE_LOOP('',(#254072,#254073,#254074,#254075)); #47303=EDGE_LOOP('',(#254076,#254077,#254078,#254079)); #47304=EDGE_LOOP('',(#254080,#254081,#254082,#254083)); #47305=EDGE_LOOP('',(#254084,#254085,#254086,#254087)); #47306=EDGE_LOOP('',(#254088,#254089,#254090,#254091)); #47307=EDGE_LOOP('',(#254092,#254093,#254094,#254095)); #47308=EDGE_LOOP('',(#254096,#254097,#254098,#254099)); #47309=EDGE_LOOP('',(#254100,#254101,#254102,#254103)); #47310=EDGE_LOOP('',(#254104,#254105,#254106,#254107)); #47311=EDGE_LOOP('',(#254108,#254109,#254110,#254111)); #47312=EDGE_LOOP('',(#254112,#254113,#254114,#254115)); #47313=EDGE_LOOP('',(#254116,#254117,#254118,#254119)); #47314=EDGE_LOOP('',(#254120,#254121,#254122,#254123)); #47315=EDGE_LOOP('',(#254124,#254125,#254126,#254127)); #47316=EDGE_LOOP('',(#254128,#254129,#254130,#254131)); #47317=EDGE_LOOP('',(#254132,#254133,#254134,#254135)); #47318=EDGE_LOOP('',(#254136,#254137,#254138,#254139)); #47319=EDGE_LOOP('',(#254140,#254141,#254142,#254143)); #47320=EDGE_LOOP('',(#254144,#254145,#254146,#254147)); #47321=EDGE_LOOP('',(#254148,#254149,#254150,#254151)); #47322=EDGE_LOOP('',(#254152,#254153,#254154,#254155)); #47323=EDGE_LOOP('',(#254156,#254157,#254158,#254159)); #47324=EDGE_LOOP('',(#254160,#254161,#254162,#254163)); #47325=EDGE_LOOP('',(#254164,#254165,#254166,#254167)); #47326=EDGE_LOOP('',(#254168,#254169,#254170,#254171)); #47327=EDGE_LOOP('',(#254172,#254173,#254174,#254175)); #47328=EDGE_LOOP('',(#254176,#254177,#254178,#254179)); #47329=EDGE_LOOP('',(#254180,#254181,#254182,#254183)); #47330=EDGE_LOOP('',(#254184,#254185,#254186,#254187)); #47331=EDGE_LOOP('',(#254188,#254189,#254190,#254191)); #47332=EDGE_LOOP('',(#254192,#254193,#254194,#254195)); #47333=EDGE_LOOP('',(#254196,#254197,#254198,#254199)); #47334=EDGE_LOOP('',(#254200,#254201,#254202,#254203)); #47335=EDGE_LOOP('',(#254204,#254205,#254206,#254207)); #47336=EDGE_LOOP('',(#254208,#254209,#254210,#254211)); #47337=EDGE_LOOP('',(#254212,#254213,#254214,#254215)); #47338=EDGE_LOOP('',(#254216,#254217,#254218,#254219)); #47339=EDGE_LOOP('',(#254220,#254221,#254222,#254223)); #47340=EDGE_LOOP('',(#254224,#254225,#254226,#254227)); #47341=EDGE_LOOP('',(#254228,#254229,#254230,#254231)); #47342=EDGE_LOOP('',(#254232,#254233,#254234,#254235)); #47343=EDGE_LOOP('',(#254236,#254237,#254238,#254239)); #47344=EDGE_LOOP('',(#254240,#254241,#254242,#254243)); #47345=EDGE_LOOP('',(#254244,#254245,#254246,#254247)); #47346=EDGE_LOOP('',(#254248,#254249,#254250,#254251)); #47347=EDGE_LOOP('',(#254252,#254253,#254254,#254255)); #47348=EDGE_LOOP('',(#254256,#254257,#254258,#254259)); #47349=EDGE_LOOP('',(#254260,#254261,#254262,#254263)); #47350=EDGE_LOOP('',(#254264,#254265,#254266,#254267)); #47351=EDGE_LOOP('',(#254268,#254269,#254270,#254271)); #47352=EDGE_LOOP('',(#254272,#254273,#254274,#254275)); #47353=EDGE_LOOP('',(#254276,#254277,#254278,#254279)); #47354=EDGE_LOOP('',(#254280,#254281,#254282,#254283)); #47355=EDGE_LOOP('',(#254284,#254285,#254286,#254287)); #47356=EDGE_LOOP('',(#254288,#254289,#254290,#254291)); #47357=EDGE_LOOP('',(#254292,#254293,#254294,#254295)); #47358=EDGE_LOOP('',(#254296,#254297,#254298,#254299)); #47359=EDGE_LOOP('',(#254300,#254301,#254302,#254303)); #47360=EDGE_LOOP('',(#254304,#254305,#254306,#254307)); #47361=EDGE_LOOP('',(#254308,#254309,#254310,#254311)); #47362=EDGE_LOOP('',(#254312,#254313,#254314,#254315)); #47363=EDGE_LOOP('',(#254316,#254317,#254318,#254319)); #47364=EDGE_LOOP('',(#254320,#254321,#254322,#254323)); #47365=EDGE_LOOP('',(#254324,#254325,#254326,#254327)); #47366=EDGE_LOOP('',(#254328,#254329,#254330,#254331)); #47367=EDGE_LOOP('',(#254332,#254333,#254334,#254335)); #47368=EDGE_LOOP('',(#254336,#254337,#254338,#254339)); #47369=EDGE_LOOP('',(#254340,#254341,#254342,#254343)); #47370=EDGE_LOOP('',(#254344,#254345,#254346,#254347)); #47371=EDGE_LOOP('',(#254348,#254349,#254350,#254351)); #47372=EDGE_LOOP('',(#254352,#254353,#254354,#254355)); #47373=EDGE_LOOP('',(#254356,#254357,#254358,#254359)); #47374=EDGE_LOOP('',(#254360,#254361,#254362,#254363)); #47375=EDGE_LOOP('',(#254364,#254365,#254366,#254367)); #47376=EDGE_LOOP('',(#254368,#254369,#254370,#254371)); #47377=EDGE_LOOP('',(#254372,#254373,#254374,#254375)); #47378=EDGE_LOOP('',(#254376,#254377,#254378,#254379)); #47379=EDGE_LOOP('',(#254380,#254381,#254382,#254383)); #47380=EDGE_LOOP('',(#254384,#254385,#254386,#254387)); #47381=EDGE_LOOP('',(#254388,#254389,#254390,#254391)); #47382=EDGE_LOOP('',(#254392,#254393,#254394,#254395)); #47383=EDGE_LOOP('',(#254396,#254397,#254398,#254399)); #47384=EDGE_LOOP('',(#254400,#254401,#254402,#254403)); #47385=EDGE_LOOP('',(#254404,#254405,#254406,#254407)); #47386=EDGE_LOOP('',(#254408,#254409,#254410,#254411)); #47387=EDGE_LOOP('',(#254412,#254413,#254414,#254415)); #47388=EDGE_LOOP('',(#254416,#254417,#254418,#254419)); #47389=EDGE_LOOP('',(#254420,#254421,#254422,#254423)); #47390=EDGE_LOOP('',(#254424,#254425,#254426,#254427)); #47391=EDGE_LOOP('',(#254428,#254429,#254430,#254431)); #47392=EDGE_LOOP('',(#254432,#254433,#254434,#254435)); #47393=EDGE_LOOP('',(#254436,#254437,#254438,#254439)); #47394=EDGE_LOOP('',(#254440,#254441,#254442,#254443)); #47395=EDGE_LOOP('',(#254444,#254445,#254446,#254447)); #47396=EDGE_LOOP('',(#254448,#254449,#254450,#254451)); #47397=EDGE_LOOP('',(#254452,#254453,#254454,#254455)); #47398=EDGE_LOOP('',(#254456,#254457,#254458,#254459)); #47399=EDGE_LOOP('',(#254460,#254461,#254462,#254463)); #47400=EDGE_LOOP('',(#254464,#254465,#254466,#254467)); #47401=EDGE_LOOP('',(#254468,#254469,#254470,#254471)); #47402=EDGE_LOOP('',(#254472,#254473,#254474,#254475)); #47403=EDGE_LOOP('',(#254476,#254477,#254478,#254479)); #47404=EDGE_LOOP('',(#254480,#254481,#254482,#254483)); #47405=EDGE_LOOP('',(#254484,#254485,#254486,#254487)); #47406=EDGE_LOOP('',(#254488,#254489,#254490,#254491)); #47407=EDGE_LOOP('',(#254492,#254493,#254494,#254495)); #47408=EDGE_LOOP('',(#254496,#254497,#254498,#254499)); #47409=EDGE_LOOP('',(#254500,#254501,#254502,#254503)); #47410=EDGE_LOOP('',(#254504,#254505,#254506,#254507)); #47411=EDGE_LOOP('',(#254508,#254509,#254510,#254511)); #47412=EDGE_LOOP('',(#254512,#254513,#254514,#254515)); #47413=EDGE_LOOP('',(#254516,#254517,#254518,#254519)); #47414=EDGE_LOOP('',(#254520,#254521,#254522,#254523)); #47415=EDGE_LOOP('',(#254524,#254525,#254526,#254527)); #47416=EDGE_LOOP('',(#254528,#254529,#254530,#254531)); #47417=EDGE_LOOP('',(#254532,#254533,#254534,#254535)); #47418=EDGE_LOOP('',(#254536,#254537,#254538,#254539)); #47419=EDGE_LOOP('',(#254540,#254541,#254542,#254543)); #47420=EDGE_LOOP('',(#254544,#254545,#254546,#254547)); #47421=EDGE_LOOP('',(#254548,#254549,#254550,#254551)); #47422=EDGE_LOOP('',(#254552,#254553,#254554,#254555)); #47423=EDGE_LOOP('',(#254556,#254557,#254558,#254559)); #47424=EDGE_LOOP('',(#254560,#254561,#254562,#254563)); #47425=EDGE_LOOP('',(#254564,#254565,#254566,#254567)); #47426=EDGE_LOOP('',(#254568,#254569,#254570,#254571)); #47427=EDGE_LOOP('',(#254572,#254573,#254574,#254575)); #47428=EDGE_LOOP('',(#254576,#254577,#254578,#254579)); #47429=EDGE_LOOP('',(#254580,#254581,#254582,#254583)); #47430=EDGE_LOOP('',(#254584,#254585,#254586,#254587)); #47431=EDGE_LOOP('',(#254588,#254589,#254590,#254591)); #47432=EDGE_LOOP('',(#254592,#254593,#254594,#254595)); #47433=EDGE_LOOP('',(#254596,#254597,#254598,#254599)); #47434=EDGE_LOOP('',(#254600,#254601,#254602,#254603)); #47435=EDGE_LOOP('',(#254604,#254605,#254606,#254607)); #47436=EDGE_LOOP('',(#254608,#254609,#254610,#254611)); #47437=EDGE_LOOP('',(#254612,#254613,#254614,#254615)); #47438=EDGE_LOOP('',(#254616,#254617,#254618,#254619)); #47439=EDGE_LOOP('',(#254620,#254621,#254622,#254623)); #47440=EDGE_LOOP('',(#254624,#254625,#254626,#254627)); #47441=EDGE_LOOP('',(#254628,#254629,#254630,#254631)); #47442=EDGE_LOOP('',(#254632,#254633,#254634,#254635)); #47443=EDGE_LOOP('',(#254636,#254637,#254638,#254639)); #47444=EDGE_LOOP('',(#254640,#254641,#254642,#254643)); #47445=EDGE_LOOP('',(#254644,#254645,#254646,#254647)); #47446=EDGE_LOOP('',(#254648,#254649,#254650,#254651)); #47447=EDGE_LOOP('',(#254652,#254653,#254654,#254655)); #47448=EDGE_LOOP('',(#254656,#254657,#254658,#254659)); #47449=EDGE_LOOP('',(#254660,#254661,#254662,#254663)); #47450=EDGE_LOOP('',(#254664,#254665,#254666,#254667)); #47451=EDGE_LOOP('',(#254668,#254669,#254670,#254671)); #47452=EDGE_LOOP('',(#254672,#254673,#254674,#254675)); #47453=EDGE_LOOP('',(#254676,#254677,#254678,#254679)); #47454=EDGE_LOOP('',(#254680,#254681,#254682,#254683)); #47455=EDGE_LOOP('',(#254684,#254685,#254686,#254687)); #47456=EDGE_LOOP('',(#254688,#254689,#254690,#254691)); #47457=EDGE_LOOP('',(#254692,#254693,#254694,#254695)); #47458=EDGE_LOOP('',(#254696,#254697,#254698,#254699)); #47459=EDGE_LOOP('',(#254700,#254701,#254702,#254703)); #47460=EDGE_LOOP('',(#254704,#254705,#254706,#254707)); #47461=EDGE_LOOP('',(#254708,#254709,#254710,#254711)); #47462=EDGE_LOOP('',(#254712,#254713,#254714,#254715)); #47463=EDGE_LOOP('',(#254716,#254717,#254718,#254719)); #47464=EDGE_LOOP('',(#254720,#254721,#254722,#254723)); #47465=EDGE_LOOP('',(#254724,#254725,#254726,#254727)); #47466=EDGE_LOOP('',(#254728,#254729,#254730,#254731)); #47467=EDGE_LOOP('',(#254732,#254733,#254734,#254735)); #47468=EDGE_LOOP('',(#254736,#254737,#254738,#254739)); #47469=EDGE_LOOP('',(#254740,#254741,#254742,#254743)); #47470=EDGE_LOOP('',(#254744,#254745,#254746,#254747)); #47471=EDGE_LOOP('',(#254748,#254749,#254750,#254751)); #47472=EDGE_LOOP('',(#254752,#254753,#254754,#254755)); #47473=EDGE_LOOP('',(#254756,#254757,#254758,#254759)); #47474=EDGE_LOOP('',(#254760,#254761,#254762,#254763)); #47475=EDGE_LOOP('',(#254764,#254765,#254766,#254767)); #47476=EDGE_LOOP('',(#254768,#254769,#254770,#254771)); #47477=EDGE_LOOP('',(#254772,#254773,#254774,#254775)); #47478=EDGE_LOOP('',(#254776,#254777,#254778,#254779)); #47479=EDGE_LOOP('',(#254780,#254781,#254782,#254783)); #47480=EDGE_LOOP('',(#254784,#254785,#254786,#254787)); #47481=EDGE_LOOP('',(#254788,#254789,#254790,#254791)); #47482=EDGE_LOOP('',(#254792,#254793,#254794,#254795)); #47483=EDGE_LOOP('',(#254796,#254797,#254798,#254799)); #47484=EDGE_LOOP('',(#254800,#254801,#254802,#254803)); #47485=EDGE_LOOP('',(#254804,#254805,#254806,#254807)); #47486=EDGE_LOOP('',(#254808,#254809,#254810,#254811)); #47487=EDGE_LOOP('',(#254812,#254813,#254814,#254815)); #47488=EDGE_LOOP('',(#254816,#254817,#254818,#254819)); #47489=EDGE_LOOP('',(#254820,#254821,#254822,#254823)); #47490=EDGE_LOOP('',(#254824,#254825,#254826,#254827)); #47491=EDGE_LOOP('',(#254828,#254829,#254830,#254831)); #47492=EDGE_LOOP('',(#254832,#254833,#254834,#254835)); #47493=EDGE_LOOP('',(#254836,#254837,#254838,#254839)); #47494=EDGE_LOOP('',(#254840,#254841,#254842,#254843)); #47495=EDGE_LOOP('',(#254844,#254845,#254846,#254847)); #47496=EDGE_LOOP('',(#254848,#254849,#254850,#254851)); #47497=EDGE_LOOP('',(#254852,#254853,#254854,#254855)); #47498=EDGE_LOOP('',(#254856,#254857,#254858,#254859)); #47499=EDGE_LOOP('',(#254860,#254861,#254862,#254863)); #47500=EDGE_LOOP('',(#254864,#254865,#254866,#254867)); #47501=EDGE_LOOP('',(#254868,#254869,#254870,#254871)); #47502=EDGE_LOOP('',(#254872,#254873,#254874,#254875)); #47503=EDGE_LOOP('',(#254876,#254877,#254878,#254879)); #47504=EDGE_LOOP('',(#254880,#254881,#254882,#254883)); #47505=EDGE_LOOP('',(#254884,#254885,#254886,#254887)); #47506=EDGE_LOOP('',(#254888,#254889,#254890,#254891)); #47507=EDGE_LOOP('',(#254892,#254893,#254894,#254895)); #47508=EDGE_LOOP('',(#254896,#254897,#254898,#254899)); #47509=EDGE_LOOP('',(#254900,#254901,#254902,#254903)); #47510=EDGE_LOOP('',(#254904,#254905,#254906,#254907)); #47511=EDGE_LOOP('',(#254908,#254909,#254910,#254911)); #47512=EDGE_LOOP('',(#254912,#254913,#254914,#254915)); #47513=EDGE_LOOP('',(#254916,#254917,#254918,#254919)); #47514=EDGE_LOOP('',(#254920,#254921,#254922,#254923)); #47515=EDGE_LOOP('',(#254924,#254925,#254926,#254927)); #47516=EDGE_LOOP('',(#254928,#254929,#254930,#254931)); #47517=EDGE_LOOP('',(#254932,#254933,#254934,#254935)); #47518=EDGE_LOOP('',(#254936,#254937,#254938,#254939)); #47519=EDGE_LOOP('',(#254940,#254941,#254942,#254943)); #47520=EDGE_LOOP('',(#254944,#254945,#254946,#254947)); #47521=EDGE_LOOP('',(#254948,#254949,#254950,#254951)); #47522=EDGE_LOOP('',(#254952,#254953,#254954,#254955)); #47523=EDGE_LOOP('',(#254956,#254957,#254958,#254959)); #47524=EDGE_LOOP('',(#254960,#254961,#254962,#254963)); #47525=EDGE_LOOP('',(#254964,#254965,#254966,#254967)); #47526=EDGE_LOOP('',(#254968,#254969,#254970,#254971)); #47527=EDGE_LOOP('',(#254972,#254973,#254974,#254975)); #47528=EDGE_LOOP('',(#254976,#254977,#254978,#254979)); #47529=EDGE_LOOP('',(#254980,#254981,#254982,#254983)); #47530=EDGE_LOOP('',(#254984,#254985,#254986,#254987)); #47531=EDGE_LOOP('',(#254988,#254989,#254990,#254991)); #47532=EDGE_LOOP('',(#254992,#254993,#254994,#254995)); #47533=EDGE_LOOP('',(#254996,#254997,#254998,#254999)); #47534=EDGE_LOOP('',(#255000,#255001,#255002,#255003)); #47535=EDGE_LOOP('',(#255004,#255005,#255006,#255007)); #47536=EDGE_LOOP('',(#255008,#255009,#255010,#255011)); #47537=EDGE_LOOP('',(#255012,#255013,#255014,#255015)); #47538=EDGE_LOOP('',(#255016,#255017,#255018,#255019)); #47539=EDGE_LOOP('',(#255020,#255021,#255022,#255023)); #47540=EDGE_LOOP('',(#255024,#255025,#255026,#255027)); #47541=EDGE_LOOP('',(#255028,#255029,#255030,#255031)); #47542=EDGE_LOOP('',(#255032,#255033,#255034,#255035)); #47543=EDGE_LOOP('',(#255036,#255037,#255038,#255039)); #47544=EDGE_LOOP('',(#255040,#255041,#255042,#255043)); #47545=EDGE_LOOP('',(#255044,#255045,#255046,#255047)); #47546=EDGE_LOOP('',(#255048,#255049,#255050,#255051)); #47547=EDGE_LOOP('',(#255052,#255053,#255054,#255055)); #47548=EDGE_LOOP('',(#255056,#255057,#255058,#255059)); #47549=EDGE_LOOP('',(#255060,#255061,#255062,#255063)); #47550=EDGE_LOOP('',(#255064,#255065,#255066,#255067)); #47551=EDGE_LOOP('',(#255068,#255069,#255070,#255071)); #47552=EDGE_LOOP('',(#255072,#255073,#255074,#255075)); #47553=EDGE_LOOP('',(#255076,#255077,#255078,#255079)); #47554=EDGE_LOOP('',(#255080,#255081,#255082,#255083)); #47555=EDGE_LOOP('',(#255084,#255085,#255086,#255087)); #47556=EDGE_LOOP('',(#255088,#255089,#255090,#255091)); #47557=EDGE_LOOP('',(#255092,#255093,#255094,#255095)); #47558=EDGE_LOOP('',(#255096,#255097,#255098,#255099)); #47559=EDGE_LOOP('',(#255100,#255101,#255102,#255103)); #47560=EDGE_LOOP('',(#255104,#255105,#255106,#255107)); #47561=EDGE_LOOP('',(#255108,#255109,#255110,#255111)); #47562=EDGE_LOOP('',(#255112,#255113,#255114,#255115)); #47563=EDGE_LOOP('',(#255116,#255117,#255118,#255119)); #47564=EDGE_LOOP('',(#255120,#255121,#255122,#255123)); #47565=EDGE_LOOP('',(#255124,#255125,#255126,#255127)); #47566=EDGE_LOOP('',(#255128,#255129,#255130,#255131)); #47567=EDGE_LOOP('',(#255132,#255133,#255134,#255135)); #47568=EDGE_LOOP('',(#255136,#255137,#255138,#255139)); #47569=EDGE_LOOP('',(#255140,#255141,#255142,#255143)); #47570=EDGE_LOOP('',(#255144,#255145,#255146,#255147)); #47571=EDGE_LOOP('',(#255148,#255149,#255150,#255151)); #47572=EDGE_LOOP('',(#255152,#255153,#255154,#255155)); #47573=EDGE_LOOP('',(#255156,#255157,#255158,#255159)); #47574=EDGE_LOOP('',(#255160,#255161,#255162,#255163)); #47575=EDGE_LOOP('',(#255164,#255165,#255166,#255167)); #47576=EDGE_LOOP('',(#255168,#255169,#255170,#255171)); #47577=EDGE_LOOP('',(#255172,#255173,#255174,#255175)); #47578=EDGE_LOOP('',(#255176,#255177,#255178,#255179)); #47579=EDGE_LOOP('',(#255180,#255181,#255182,#255183)); #47580=EDGE_LOOP('',(#255184,#255185,#255186,#255187)); #47581=EDGE_LOOP('',(#255188,#255189,#255190,#255191)); #47582=EDGE_LOOP('',(#255192,#255193,#255194,#255195,#255196,#255197,#255198, #255199,#255200,#255201,#255202,#255203,#255204,#255205,#255206,#255207, #255208,#255209,#255210,#255211,#255212,#255213,#255214,#255215,#255216, #255217,#255218,#255219,#255220,#255221,#255222,#255223,#255224,#255225, #255226,#255227,#255228,#255229,#255230,#255231,#255232,#255233,#255234, #255235,#255236,#255237,#255238,#255239,#255240,#255241,#255242,#255243, #255244,#255245,#255246,#255247,#255248,#255249,#255250,#255251,#255252, #255253,#255254,#255255,#255256,#255257,#255258,#255259,#255260,#255261, #255262,#255263,#255264,#255265,#255266,#255267,#255268,#255269,#255270, #255271,#255272,#255273,#255274,#255275,#255276,#255277,#255278,#255279, #255280,#255281,#255282,#255283,#255284,#255285,#255286,#255287,#255288, #255289,#255290,#255291,#255292,#255293,#255294,#255295,#255296,#255297, #255298,#255299,#255300,#255301,#255302,#255303,#255304,#255305,#255306, #255307,#255308,#255309,#255310,#255311,#255312,#255313,#255314,#255315, #255316,#255317,#255318,#255319,#255320,#255321,#255322,#255323,#255324, #255325,#255326,#255327,#255328,#255329,#255330,#255331,#255332,#255333, #255334,#255335,#255336,#255337,#255338,#255339,#255340,#255341,#255342, #255343,#255344,#255345,#255346,#255347,#255348,#255349,#255350,#255351, #255352,#255353,#255354,#255355,#255356,#255357,#255358,#255359,#255360, #255361,#255362,#255363,#255364,#255365,#255366,#255367,#255368,#255369, #255370,#255371,#255372,#255373,#255374,#255375,#255376,#255377,#255378, #255379,#255380,#255381,#255382,#255383,#255384,#255385,#255386,#255387, #255388,#255389,#255390,#255391,#255392,#255393,#255394,#255395,#255396, #255397,#255398,#255399,#255400,#255401,#255402,#255403,#255404,#255405, #255406,#255407,#255408,#255409,#255410,#255411,#255412,#255413,#255414, #255415,#255416,#255417,#255418,#255419,#255420,#255421,#255422,#255423, #255424,#255425,#255426,#255427,#255428,#255429,#255430,#255431,#255432, #255433,#255434,#255435,#255436,#255437,#255438,#255439,#255440,#255441, #255442,#255443,#255444,#255445,#255446,#255447,#255448,#255449,#255450, #255451,#255452,#255453,#255454,#255455,#255456,#255457,#255458,#255459, #255460,#255461,#255462,#255463,#255464,#255465,#255466,#255467,#255468, #255469,#255470,#255471,#255472,#255473,#255474,#255475,#255476,#255477, #255478,#255479,#255480,#255481,#255482)); #47583=EDGE_LOOP('',(#255483)); #47584=EDGE_LOOP('',(#255484)); #47585=EDGE_LOOP('',(#255485)); #47586=EDGE_LOOP('',(#255486)); #47587=EDGE_LOOP('',(#255487)); #47588=EDGE_LOOP('',(#255488)); #47589=EDGE_LOOP('',(#255489)); #47590=EDGE_LOOP('',(#255490)); #47591=EDGE_LOOP('',(#255491)); #47592=EDGE_LOOP('',(#255492)); #47593=EDGE_LOOP('',(#255493)); #47594=EDGE_LOOP('',(#255494)); #47595=EDGE_LOOP('',(#255495)); #47596=EDGE_LOOP('',(#255496)); #47597=EDGE_LOOP('',(#255497)); #47598=EDGE_LOOP('',(#255498)); #47599=EDGE_LOOP('',(#255499,#255500,#255501,#255502,#255503,#255504,#255505, #255506,#255507,#255508,#255509,#255510,#255511,#255512,#255513,#255514, #255515,#255516,#255517,#255518,#255519,#255520,#255521,#255522)); #47600=EDGE_LOOP('',(#255523,#255524,#255525,#255526,#255527,#255528,#255529, #255530,#255531,#255532,#255533,#255534,#255535,#255536,#255537,#255538, #255539,#255540,#255541,#255542,#255543,#255544,#255545,#255546,#255547, #255548,#255549,#255550,#255551,#255552,#255553,#255554,#255555,#255556, #255557,#255558,#255559,#255560,#255561,#255562,#255563,#255564,#255565, #255566,#255567,#255568,#255569,#255570,#255571,#255572,#255573,#255574, #255575,#255576,#255577,#255578,#255579,#255580,#255581,#255582,#255583, #255584,#255585,#255586,#255587,#255588,#255589,#255590,#255591,#255592, #255593,#255594,#255595,#255596,#255597,#255598,#255599,#255600,#255601, #255602,#255603,#255604,#255605,#255606,#255607,#255608,#255609,#255610, #255611,#255612,#255613,#255614,#255615,#255616,#255617,#255618,#255619, #255620,#255621,#255622,#255623,#255624,#255625,#255626,#255627,#255628, #255629,#255630,#255631,#255632,#255633,#255634,#255635,#255636,#255637, #255638,#255639,#255640,#255641,#255642,#255643,#255644,#255645,#255646, #255647,#255648,#255649,#255650,#255651,#255652,#255653,#255654,#255655, #255656,#255657,#255658,#255659,#255660,#255661,#255662,#255663,#255664, #255665,#255666,#255667,#255668,#255669,#255670,#255671,#255672,#255673, #255674,#255675,#255676,#255677,#255678,#255679,#255680,#255681,#255682, #255683,#255684,#255685,#255686,#255687,#255688,#255689,#255690,#255691, #255692,#255693,#255694,#255695,#255696,#255697,#255698,#255699,#255700, #255701,#255702,#255703,#255704,#255705,#255706,#255707,#255708,#255709, #255710,#255711,#255712,#255713,#255714,#255715,#255716,#255717,#255718, #255719,#255720,#255721,#255722,#255723,#255724,#255725,#255726,#255727, #255728,#255729,#255730,#255731,#255732,#255733,#255734,#255735,#255736, #255737,#255738,#255739,#255740,#255741,#255742,#255743,#255744,#255745, #255746,#255747,#255748,#255749,#255750,#255751,#255752,#255753,#255754, #255755,#255756,#255757,#255758,#255759,#255760,#255761,#255762,#255763, #255764,#255765,#255766,#255767,#255768,#255769,#255770,#255771,#255772, #255773,#255774,#255775,#255776,#255777,#255778,#255779,#255780,#255781, #255782,#255783,#255784,#255785,#255786,#255787,#255788,#255789,#255790, #255791,#255792,#255793,#255794,#255795,#255796,#255797,#255798,#255799, #255800,#255801,#255802,#255803,#255804,#255805,#255806,#255807,#255808, #255809,#255810,#255811,#255812,#255813)); #47601=EDGE_LOOP('',(#255814)); #47602=EDGE_LOOP('',(#255815)); #47603=EDGE_LOOP('',(#255816)); #47604=EDGE_LOOP('',(#255817)); #47605=EDGE_LOOP('',(#255818)); #47606=EDGE_LOOP('',(#255819)); #47607=EDGE_LOOP('',(#255820)); #47608=EDGE_LOOP('',(#255821)); #47609=EDGE_LOOP('',(#255822)); #47610=EDGE_LOOP('',(#255823)); #47611=EDGE_LOOP('',(#255824)); #47612=EDGE_LOOP('',(#255825)); #47613=EDGE_LOOP('',(#255826)); #47614=EDGE_LOOP('',(#255827)); #47615=EDGE_LOOP('',(#255828)); #47616=EDGE_LOOP('',(#255829)); #47617=EDGE_LOOP('',(#255830,#255831,#255832,#255833,#255834,#255835,#255836, #255837,#255838,#255839,#255840,#255841,#255842,#255843,#255844,#255845, #255846,#255847,#255848,#255849,#255850,#255851,#255852,#255853)); #47618=EDGE_LOOP('',(#255854,#255855,#255856,#255857)); #47619=EDGE_LOOP('',(#255858,#255859,#255860,#255861)); #47620=EDGE_LOOP('',(#255862,#255863,#255864,#255865)); #47621=EDGE_LOOP('',(#255866,#255867,#255868,#255869)); #47622=EDGE_LOOP('',(#255870,#255871,#255872,#255873)); #47623=EDGE_LOOP('',(#255874,#255875,#255876,#255877)); #47624=EDGE_LOOP('',(#255878,#255879,#255880,#255881)); #47625=EDGE_LOOP('',(#255882,#255883,#255884,#255885)); #47626=EDGE_LOOP('',(#255886,#255887,#255888,#255889)); #47627=EDGE_LOOP('',(#255890,#255891,#255892,#255893)); #47628=EDGE_LOOP('',(#255894,#255895,#255896,#255897)); #47629=EDGE_LOOP('',(#255898,#255899,#255900,#255901)); #47630=EDGE_LOOP('',(#255902,#255903,#255904,#255905)); #47631=EDGE_LOOP('',(#255906,#255907,#255908,#255909)); #47632=EDGE_LOOP('',(#255910,#255911,#255912,#255913)); #47633=EDGE_LOOP('',(#255914,#255915,#255916,#255917)); #47634=EDGE_LOOP('',(#255918,#255919,#255920,#255921)); #47635=EDGE_LOOP('',(#255922,#255923,#255924,#255925)); #47636=EDGE_LOOP('',(#255926,#255927,#255928,#255929)); #47637=EDGE_LOOP('',(#255930,#255931,#255932,#255933)); #47638=EDGE_LOOP('',(#255934,#255935,#255936,#255937,#255938,#255939,#255940, #255941,#255942,#255943,#255944,#255945,#255946,#255947,#255948,#255949, #255950,#255951,#255952,#255953)); #47639=EDGE_LOOP('',(#255954,#255955,#255956,#255957,#255958,#255959,#255960, #255961,#255962,#255963,#255964,#255965,#255966,#255967,#255968,#255969, #255970,#255971,#255972,#255973)); #47640=EDGE_LOOP('',(#255974,#255975,#255976,#255977)); #47641=EDGE_LOOP('',(#255978,#255979,#255980,#255981)); #47642=EDGE_LOOP('',(#255982,#255983,#255984,#255985)); #47643=EDGE_LOOP('',(#255986,#255987,#255988,#255989)); #47644=EDGE_LOOP('',(#255990,#255991,#255992,#255993)); #47645=EDGE_LOOP('',(#255994,#255995,#255996,#255997)); #47646=EDGE_LOOP('',(#255998,#255999,#256000,#256001)); #47647=EDGE_LOOP('',(#256002,#256003,#256004,#256005)); #47648=EDGE_LOOP('',(#256006,#256007,#256008,#256009)); #47649=EDGE_LOOP('',(#256010,#256011,#256012,#256013)); #47650=EDGE_LOOP('',(#256014,#256015,#256016,#256017)); #47651=EDGE_LOOP('',(#256018,#256019,#256020,#256021)); #47652=EDGE_LOOP('',(#256022,#256023,#256024,#256025)); #47653=EDGE_LOOP('',(#256026,#256027,#256028,#256029)); #47654=EDGE_LOOP('',(#256030,#256031,#256032,#256033)); #47655=EDGE_LOOP('',(#256034,#256035,#256036,#256037)); #47656=EDGE_LOOP('',(#256038,#256039,#256040,#256041)); #47657=EDGE_LOOP('',(#256042,#256043,#256044,#256045)); #47658=EDGE_LOOP('',(#256046,#256047,#256048,#256049)); #47659=EDGE_LOOP('',(#256050,#256051,#256052,#256053)); #47660=EDGE_LOOP('',(#256054,#256055,#256056,#256057,#256058,#256059,#256060, #256061,#256062,#256063,#256064,#256065,#256066,#256067,#256068,#256069, #256070,#256071,#256072,#256073)); #47661=EDGE_LOOP('',(#256074,#256075,#256076,#256077,#256078,#256079,#256080, #256081,#256082,#256083,#256084,#256085,#256086,#256087,#256088,#256089, #256090,#256091,#256092,#256093)); #47662=EDGE_LOOP('',(#256094,#256095,#256096,#256097)); #47663=EDGE_LOOP('',(#256098,#256099,#256100,#256101)); #47664=EDGE_LOOP('',(#256102,#256103,#256104,#256105)); #47665=EDGE_LOOP('',(#256106,#256107,#256108,#256109)); #47666=EDGE_LOOP('',(#256110,#256111,#256112,#256113)); #47667=EDGE_LOOP('',(#256114,#256115,#256116,#256117)); #47668=EDGE_LOOP('',(#256118,#256119,#256120,#256121)); #47669=EDGE_LOOP('',(#256122,#256123,#256124,#256125)); #47670=EDGE_LOOP('',(#256126,#256127,#256128,#256129)); #47671=EDGE_LOOP('',(#256130,#256131,#256132,#256133)); #47672=EDGE_LOOP('',(#256134,#256135,#256136,#256137)); #47673=EDGE_LOOP('',(#256138,#256139,#256140,#256141)); #47674=EDGE_LOOP('',(#256142,#256143,#256144,#256145)); #47675=EDGE_LOOP('',(#256146,#256147,#256148,#256149)); #47676=EDGE_LOOP('',(#256150,#256151,#256152,#256153)); #47677=EDGE_LOOP('',(#256154,#256155,#256156,#256157)); #47678=EDGE_LOOP('',(#256158,#256159,#256160,#256161)); #47679=EDGE_LOOP('',(#256162,#256163,#256164,#256165)); #47680=EDGE_LOOP('',(#256166,#256167,#256168,#256169)); #47681=EDGE_LOOP('',(#256170,#256171,#256172,#256173)); #47682=EDGE_LOOP('',(#256174,#256175,#256176,#256177,#256178,#256179,#256180, #256181,#256182,#256183,#256184,#256185,#256186,#256187,#256188,#256189, #256190,#256191,#256192,#256193)); #47683=EDGE_LOOP('',(#256194,#256195,#256196,#256197,#256198,#256199,#256200, #256201,#256202,#256203,#256204,#256205,#256206,#256207,#256208,#256209, #256210,#256211,#256212,#256213)); #47684=EDGE_LOOP('',(#256214,#256215,#256216,#256217)); #47685=EDGE_LOOP('',(#256218,#256219,#256220,#256221)); #47686=EDGE_LOOP('',(#256222,#256223,#256224,#256225)); #47687=EDGE_LOOP('',(#256226,#256227,#256228,#256229)); #47688=EDGE_LOOP('',(#256230,#256231,#256232,#256233)); #47689=EDGE_LOOP('',(#256234,#256235,#256236,#256237)); #47690=EDGE_LOOP('',(#256238,#256239,#256240,#256241)); #47691=EDGE_LOOP('',(#256242,#256243,#256244,#256245)); #47692=EDGE_LOOP('',(#256246,#256247,#256248,#256249)); #47693=EDGE_LOOP('',(#256250,#256251,#256252,#256253)); #47694=EDGE_LOOP('',(#256254,#256255,#256256,#256257)); #47695=EDGE_LOOP('',(#256258,#256259,#256260,#256261)); #47696=EDGE_LOOP('',(#256262,#256263,#256264,#256265)); #47697=EDGE_LOOP('',(#256266,#256267,#256268,#256269)); #47698=EDGE_LOOP('',(#256270,#256271,#256272,#256273)); #47699=EDGE_LOOP('',(#256274,#256275,#256276,#256277)); #47700=EDGE_LOOP('',(#256278,#256279,#256280,#256281)); #47701=EDGE_LOOP('',(#256282,#256283,#256284,#256285)); #47702=EDGE_LOOP('',(#256286,#256287,#256288,#256289)); #47703=EDGE_LOOP('',(#256290,#256291,#256292,#256293)); #47704=EDGE_LOOP('',(#256294,#256295,#256296,#256297)); #47705=EDGE_LOOP('',(#256298,#256299,#256300,#256301)); #47706=EDGE_LOOP('',(#256302,#256303,#256304,#256305)); #47707=EDGE_LOOP('',(#256306,#256307,#256308,#256309,#256310,#256311,#256312, #256313,#256314,#256315,#256316,#256317,#256318,#256319,#256320,#256321, #256322,#256323,#256324,#256325,#256326,#256327,#256328)); #47708=EDGE_LOOP('',(#256329,#256330,#256331,#256332,#256333,#256334,#256335, #256336,#256337,#256338,#256339,#256340,#256341,#256342,#256343,#256344, #256345,#256346,#256347,#256348,#256349,#256350,#256351)); #47709=EDGE_LOOP('',(#256352,#256353,#256354,#256355)); #47710=EDGE_LOOP('',(#256356,#256357,#256358,#256359)); #47711=EDGE_LOOP('',(#256360,#256361,#256362,#256363)); #47712=EDGE_LOOP('',(#256364,#256365,#256366,#256367)); #47713=EDGE_LOOP('',(#256368,#256369,#256370,#256371)); #47714=EDGE_LOOP('',(#256372,#256373,#256374,#256375)); #47715=EDGE_LOOP('',(#256376,#256377,#256378,#256379)); #47716=EDGE_LOOP('',(#256380,#256381,#256382,#256383)); #47717=EDGE_LOOP('',(#256384,#256385,#256386,#256387)); #47718=EDGE_LOOP('',(#256388,#256389,#256390,#256391)); #47719=EDGE_LOOP('',(#256392,#256393,#256394,#256395)); #47720=EDGE_LOOP('',(#256396,#256397,#256398,#256399)); #47721=EDGE_LOOP('',(#256400,#256401,#256402,#256403)); #47722=EDGE_LOOP('',(#256404,#256405,#256406,#256407)); #47723=EDGE_LOOP('',(#256408,#256409,#256410,#256411)); #47724=EDGE_LOOP('',(#256412,#256413,#256414,#256415)); #47725=EDGE_LOOP('',(#256416,#256417,#256418,#256419)); #47726=EDGE_LOOP('',(#256420,#256421,#256422,#256423)); #47727=EDGE_LOOP('',(#256424,#256425,#256426,#256427)); #47728=EDGE_LOOP('',(#256428,#256429,#256430,#256431)); #47729=EDGE_LOOP('',(#256432,#256433,#256434,#256435,#256436,#256437,#256438, #256439,#256440,#256441,#256442,#256443,#256444,#256445,#256446,#256447, #256448,#256449,#256450,#256451)); #47730=EDGE_LOOP('',(#256452,#256453,#256454,#256455,#256456,#256457,#256458, #256459,#256460,#256461,#256462,#256463,#256464,#256465,#256466,#256467, #256468,#256469,#256470,#256471)); #47731=EDGE_LOOP('',(#256472,#256473,#256474,#256475)); #47732=EDGE_LOOP('',(#256476,#256477,#256478,#256479)); #47733=EDGE_LOOP('',(#256480,#256481,#256482,#256483)); #47734=EDGE_LOOP('',(#256484,#256485,#256486,#256487)); #47735=EDGE_LOOP('',(#256488,#256489,#256490,#256491)); #47736=EDGE_LOOP('',(#256492,#256493,#256494,#256495)); #47737=EDGE_LOOP('',(#256496,#256497,#256498,#256499)); #47738=EDGE_LOOP('',(#256500,#256501,#256502,#256503)); #47739=EDGE_LOOP('',(#256504,#256505,#256506,#256507)); #47740=EDGE_LOOP('',(#256508,#256509,#256510,#256511)); #47741=EDGE_LOOP('',(#256512,#256513,#256514,#256515)); #47742=EDGE_LOOP('',(#256516,#256517,#256518,#256519)); #47743=EDGE_LOOP('',(#256520,#256521,#256522,#256523)); #47744=EDGE_LOOP('',(#256524,#256525,#256526,#256527)); #47745=EDGE_LOOP('',(#256528,#256529,#256530,#256531)); #47746=EDGE_LOOP('',(#256532,#256533,#256534,#256535)); #47747=EDGE_LOOP('',(#256536,#256537,#256538,#256539)); #47748=EDGE_LOOP('',(#256540,#256541,#256542,#256543)); #47749=EDGE_LOOP('',(#256544,#256545,#256546,#256547)); #47750=EDGE_LOOP('',(#256548,#256549,#256550,#256551)); #47751=EDGE_LOOP('',(#256552,#256553,#256554,#256555)); #47752=EDGE_LOOP('',(#256556,#256557,#256558,#256559,#256560,#256561,#256562, #256563,#256564,#256565,#256566,#256567,#256568,#256569,#256570,#256571, #256572,#256573,#256574,#256575,#256576)); #47753=EDGE_LOOP('',(#256577,#256578,#256579,#256580,#256581,#256582,#256583, #256584,#256585,#256586,#256587,#256588,#256589,#256590,#256591,#256592, #256593,#256594,#256595,#256596,#256597)); #47754=EDGE_LOOP('',(#256598,#256599,#256600,#256601)); #47755=EDGE_LOOP('',(#256602,#256603,#256604,#256605)); #47756=EDGE_LOOP('',(#256606,#256607,#256608,#256609)); #47757=EDGE_LOOP('',(#256610,#256611,#256612,#256613)); #47758=EDGE_LOOP('',(#256614,#256615,#256616,#256617)); #47759=EDGE_LOOP('',(#256618,#256619,#256620,#256621)); #47760=EDGE_LOOP('',(#256622,#256623,#256624,#256625)); #47761=EDGE_LOOP('',(#256626,#256627,#256628,#256629)); #47762=EDGE_LOOP('',(#256630,#256631,#256632,#256633)); #47763=EDGE_LOOP('',(#256634,#256635,#256636,#256637)); #47764=EDGE_LOOP('',(#256638,#256639,#256640,#256641)); #47765=EDGE_LOOP('',(#256642,#256643,#256644,#256645)); #47766=EDGE_LOOP('',(#256646,#256647,#256648,#256649)); #47767=EDGE_LOOP('',(#256650,#256651,#256652,#256653)); #47768=EDGE_LOOP('',(#256654,#256655,#256656,#256657)); #47769=EDGE_LOOP('',(#256658,#256659,#256660,#256661)); #47770=EDGE_LOOP('',(#256662,#256663,#256664,#256665)); #47771=EDGE_LOOP('',(#256666,#256667,#256668,#256669)); #47772=EDGE_LOOP('',(#256670,#256671,#256672,#256673)); #47773=EDGE_LOOP('',(#256674,#256675,#256676,#256677)); #47774=EDGE_LOOP('',(#256678,#256679,#256680,#256681)); #47775=EDGE_LOOP('',(#256682,#256683,#256684,#256685)); #47776=EDGE_LOOP('',(#256686,#256687,#256688,#256689)); #47777=EDGE_LOOP('',(#256690,#256691,#256692,#256693)); #47778=EDGE_LOOP('',(#256694,#256695,#256696,#256697)); #47779=EDGE_LOOP('',(#256698,#256699,#256700,#256701,#256702,#256703,#256704, #256705,#256706,#256707,#256708,#256709,#256710,#256711,#256712,#256713, #256714,#256715,#256716,#256717,#256718,#256719,#256720,#256721)); #47780=EDGE_LOOP('',(#256722)); #47781=EDGE_LOOP('',(#256723,#256724,#256725,#256726,#256727,#256728,#256729, #256730,#256731,#256732,#256733,#256734,#256735,#256736,#256737,#256738, #256739,#256740,#256741,#256742,#256743,#256744,#256745,#256746)); #47782=EDGE_LOOP('',(#256747)); #47783=EDGE_LOOP('',(#256748,#256749,#256750,#256751)); #47784=EDGE_LOOP('',(#256752,#256753,#256754,#256755)); #47785=EDGE_LOOP('',(#256756,#256757,#256758,#256759)); #47786=EDGE_LOOP('',(#256760,#256761,#256762,#256763)); #47787=EDGE_LOOP('',(#256764,#256765,#256766,#256767)); #47788=EDGE_LOOP('',(#256768,#256769,#256770,#256771)); #47789=EDGE_LOOP('',(#256772,#256773,#256774,#256775)); #47790=EDGE_LOOP('',(#256776,#256777,#256778,#256779)); #47791=EDGE_LOOP('',(#256780,#256781,#256782,#256783)); #47792=EDGE_LOOP('',(#256784,#256785,#256786,#256787)); #47793=EDGE_LOOP('',(#256788,#256789,#256790,#256791)); #47794=EDGE_LOOP('',(#256792,#256793,#256794,#256795)); #47795=EDGE_LOOP('',(#256796,#256797,#256798,#256799)); #47796=EDGE_LOOP('',(#256800,#256801,#256802,#256803)); #47797=EDGE_LOOP('',(#256804,#256805,#256806,#256807)); #47798=EDGE_LOOP('',(#256808,#256809,#256810,#256811)); #47799=EDGE_LOOP('',(#256812,#256813,#256814,#256815)); #47800=EDGE_LOOP('',(#256816,#256817,#256818,#256819)); #47801=EDGE_LOOP('',(#256820,#256821,#256822,#256823)); #47802=EDGE_LOOP('',(#256824,#256825,#256826,#256827)); #47803=EDGE_LOOP('',(#256828,#256829,#256830,#256831)); #47804=EDGE_LOOP('',(#256832,#256833,#256834,#256835)); #47805=EDGE_LOOP('',(#256836,#256837,#256838,#256839)); #47806=EDGE_LOOP('',(#256840,#256841,#256842,#256843)); #47807=EDGE_LOOP('',(#256844,#256845,#256846,#256847)); #47808=EDGE_LOOP('',(#256848,#256849,#256850,#256851)); #47809=EDGE_LOOP('',(#256852,#256853,#256854,#256855)); #47810=EDGE_LOOP('',(#256856,#256857,#256858,#256859)); #47811=EDGE_LOOP('',(#256860,#256861,#256862,#256863)); #47812=EDGE_LOOP('',(#256864,#256865,#256866,#256867)); #47813=EDGE_LOOP('',(#256868,#256869,#256870,#256871)); #47814=EDGE_LOOP('',(#256872,#256873,#256874,#256875)); #47815=EDGE_LOOP('',(#256876,#256877,#256878,#256879)); #47816=EDGE_LOOP('',(#256880,#256881,#256882,#256883)); #47817=EDGE_LOOP('',(#256884,#256885,#256886,#256887)); #47818=EDGE_LOOP('',(#256888,#256889,#256890,#256891)); #47819=EDGE_LOOP('',(#256892,#256893,#256894,#256895)); #47820=EDGE_LOOP('',(#256896,#256897,#256898,#256899)); #47821=EDGE_LOOP('',(#256900,#256901,#256902,#256903)); #47822=EDGE_LOOP('',(#256904,#256905,#256906,#256907)); #47823=EDGE_LOOP('',(#256908,#256909,#256910,#256911)); #47824=EDGE_LOOP('',(#256912,#256913,#256914,#256915)); #47825=EDGE_LOOP('',(#256916,#256917,#256918,#256919)); #47826=EDGE_LOOP('',(#256920,#256921,#256922,#256923)); #47827=EDGE_LOOP('',(#256924,#256925,#256926,#256927)); #47828=EDGE_LOOP('',(#256928,#256929,#256930,#256931)); #47829=EDGE_LOOP('',(#256932,#256933,#256934,#256935)); #47830=EDGE_LOOP('',(#256936,#256937,#256938,#256939)); #47831=EDGE_LOOP('',(#256940,#256941,#256942,#256943)); #47832=EDGE_LOOP('',(#256944,#256945,#256946,#256947)); #47833=EDGE_LOOP('',(#256948,#256949,#256950,#256951)); #47834=EDGE_LOOP('',(#256952,#256953,#256954,#256955)); #47835=EDGE_LOOP('',(#256956,#256957,#256958,#256959)); #47836=EDGE_LOOP('',(#256960,#256961,#256962,#256963)); #47837=EDGE_LOOP('',(#256964,#256965,#256966,#256967)); #47838=EDGE_LOOP('',(#256968,#256969,#256970,#256971)); #47839=EDGE_LOOP('',(#256972,#256973,#256974,#256975)); #47840=EDGE_LOOP('',(#256976,#256977,#256978,#256979)); #47841=EDGE_LOOP('',(#256980,#256981,#256982,#256983)); #47842=EDGE_LOOP('',(#256984,#256985,#256986,#256987)); #47843=EDGE_LOOP('',(#256988,#256989,#256990,#256991)); #47844=EDGE_LOOP('',(#256992,#256993,#256994,#256995)); #47845=EDGE_LOOP('',(#256996,#256997,#256998,#256999)); #47846=EDGE_LOOP('',(#257000,#257001,#257002,#257003)); #47847=EDGE_LOOP('',(#257004,#257005,#257006,#257007)); #47848=EDGE_LOOP('',(#257008,#257009,#257010,#257011)); #47849=EDGE_LOOP('',(#257012,#257013,#257014,#257015)); #47850=EDGE_LOOP('',(#257016,#257017,#257018,#257019)); #47851=EDGE_LOOP('',(#257020,#257021,#257022,#257023)); #47852=EDGE_LOOP('',(#257024,#257025,#257026,#257027)); #47853=EDGE_LOOP('',(#257028,#257029,#257030,#257031)); #47854=EDGE_LOOP('',(#257032,#257033,#257034,#257035)); #47855=EDGE_LOOP('',(#257036,#257037,#257038,#257039)); #47856=EDGE_LOOP('',(#257040,#257041,#257042,#257043)); #47857=EDGE_LOOP('',(#257044,#257045,#257046,#257047)); #47858=EDGE_LOOP('',(#257048,#257049,#257050,#257051)); #47859=EDGE_LOOP('',(#257052,#257053,#257054,#257055)); #47860=EDGE_LOOP('',(#257056,#257057,#257058,#257059)); #47861=EDGE_LOOP('',(#257060,#257061,#257062,#257063)); #47862=EDGE_LOOP('',(#257064,#257065,#257066,#257067)); #47863=EDGE_LOOP('',(#257068,#257069,#257070,#257071)); #47864=EDGE_LOOP('',(#257072,#257073,#257074,#257075)); #47865=EDGE_LOOP('',(#257076,#257077,#257078,#257079)); #47866=EDGE_LOOP('',(#257080,#257081,#257082,#257083)); #47867=EDGE_LOOP('',(#257084,#257085,#257086,#257087)); #47868=EDGE_LOOP('',(#257088,#257089,#257090,#257091)); #47869=EDGE_LOOP('',(#257092,#257093,#257094,#257095)); #47870=EDGE_LOOP('',(#257096,#257097,#257098,#257099)); #47871=EDGE_LOOP('',(#257100,#257101,#257102,#257103)); #47872=EDGE_LOOP('',(#257104,#257105,#257106,#257107)); #47873=EDGE_LOOP('',(#257108,#257109,#257110,#257111)); #47874=EDGE_LOOP('',(#257112,#257113,#257114,#257115)); #47875=EDGE_LOOP('',(#257116,#257117,#257118,#257119)); #47876=EDGE_LOOP('',(#257120,#257121,#257122,#257123)); #47877=EDGE_LOOP('',(#257124,#257125,#257126,#257127)); #47878=EDGE_LOOP('',(#257128,#257129,#257130,#257131)); #47879=EDGE_LOOP('',(#257132,#257133,#257134,#257135)); #47880=EDGE_LOOP('',(#257136,#257137,#257138,#257139)); #47881=EDGE_LOOP('',(#257140,#257141,#257142,#257143)); #47882=EDGE_LOOP('',(#257144,#257145,#257146,#257147)); #47883=EDGE_LOOP('',(#257148,#257149,#257150,#257151)); #47884=EDGE_LOOP('',(#257152,#257153,#257154,#257155)); #47885=EDGE_LOOP('',(#257156,#257157,#257158,#257159)); #47886=EDGE_LOOP('',(#257160,#257161,#257162,#257163)); #47887=EDGE_LOOP('',(#257164,#257165,#257166,#257167)); #47888=EDGE_LOOP('',(#257168,#257169,#257170,#257171)); #47889=EDGE_LOOP('',(#257172,#257173,#257174,#257175)); #47890=EDGE_LOOP('',(#257176,#257177,#257178,#257179)); #47891=EDGE_LOOP('',(#257180,#257181,#257182,#257183)); #47892=EDGE_LOOP('',(#257184,#257185,#257186,#257187)); #47893=EDGE_LOOP('',(#257188,#257189,#257190,#257191)); #47894=EDGE_LOOP('',(#257192,#257193,#257194,#257195)); #47895=EDGE_LOOP('',(#257196,#257197,#257198,#257199)); #47896=EDGE_LOOP('',(#257200,#257201,#257202,#257203)); #47897=EDGE_LOOP('',(#257204,#257205,#257206,#257207)); #47898=EDGE_LOOP('',(#257208,#257209,#257210,#257211)); #47899=EDGE_LOOP('',(#257212,#257213,#257214,#257215)); #47900=EDGE_LOOP('',(#257216,#257217,#257218,#257219)); #47901=EDGE_LOOP('',(#257220,#257221,#257222,#257223)); #47902=EDGE_LOOP('',(#257224,#257225,#257226,#257227)); #47903=EDGE_LOOP('',(#257228,#257229,#257230,#257231)); #47904=EDGE_LOOP('',(#257232,#257233,#257234,#257235)); #47905=EDGE_LOOP('',(#257236,#257237,#257238,#257239)); #47906=EDGE_LOOP('',(#257240,#257241,#257242,#257243)); #47907=EDGE_LOOP('',(#257244,#257245,#257246,#257247)); #47908=EDGE_LOOP('',(#257248,#257249,#257250,#257251)); #47909=EDGE_LOOP('',(#257252,#257253,#257254,#257255)); #47910=EDGE_LOOP('',(#257256,#257257,#257258,#257259)); #47911=EDGE_LOOP('',(#257260,#257261,#257262,#257263)); #47912=EDGE_LOOP('',(#257264,#257265,#257266,#257267)); #47913=EDGE_LOOP('',(#257268,#257269,#257270,#257271)); #47914=EDGE_LOOP('',(#257272,#257273,#257274,#257275)); #47915=EDGE_LOOP('',(#257276,#257277,#257278,#257279)); #47916=EDGE_LOOP('',(#257280,#257281,#257282,#257283)); #47917=EDGE_LOOP('',(#257284,#257285,#257286,#257287)); #47918=EDGE_LOOP('',(#257288,#257289,#257290,#257291)); #47919=EDGE_LOOP('',(#257292,#257293,#257294,#257295,#257296,#257297,#257298, #257299,#257300,#257301,#257302,#257303,#257304,#257305,#257306,#257307, #257308,#257309,#257310,#257311,#257312,#257313,#257314,#257315,#257316, #257317,#257318,#257319,#257320,#257321,#257322,#257323,#257324,#257325, #257326,#257327,#257328,#257329,#257330,#257331,#257332,#257333,#257334, #257335,#257336,#257337,#257338,#257339,#257340,#257341,#257342,#257343, #257344,#257345,#257346,#257347,#257348,#257349,#257350,#257351,#257352, #257353,#257354,#257355,#257356,#257357,#257358,#257359,#257360,#257361, #257362,#257363,#257364,#257365,#257366,#257367,#257368,#257369,#257370, #257371,#257372,#257373,#257374,#257375,#257376,#257377,#257378,#257379, #257380,#257381,#257382,#257383,#257384,#257385,#257386,#257387,#257388, #257389,#257390,#257391,#257392,#257393,#257394,#257395,#257396,#257397, #257398,#257399,#257400,#257401,#257402,#257403,#257404,#257405,#257406, #257407,#257408,#257409,#257410,#257411,#257412,#257413,#257414,#257415)); #47920=EDGE_LOOP('',(#257416)); #47921=EDGE_LOOP('',(#257417)); #47922=EDGE_LOOP('',(#257418)); #47923=EDGE_LOOP('',(#257419)); #47924=EDGE_LOOP('',(#257420)); #47925=EDGE_LOOP('',(#257421)); #47926=EDGE_LOOP('',(#257422)); #47927=EDGE_LOOP('',(#257423)); #47928=EDGE_LOOP('',(#257424)); #47929=EDGE_LOOP('',(#257425)); #47930=EDGE_LOOP('',(#257426)); #47931=EDGE_LOOP('',(#257427)); #47932=EDGE_LOOP('',(#257428,#257429,#257430,#257431,#257432,#257433,#257434, #257435,#257436,#257437,#257438,#257439,#257440,#257441,#257442,#257443, #257444,#257445,#257446,#257447,#257448,#257449,#257450,#257451,#257452, #257453,#257454,#257455,#257456,#257457,#257458,#257459,#257460,#257461, #257462,#257463,#257464,#257465,#257466,#257467,#257468,#257469,#257470, #257471,#257472,#257473,#257474,#257475,#257476,#257477,#257478,#257479, #257480,#257481,#257482,#257483,#257484,#257485,#257486,#257487,#257488, #257489,#257490,#257491,#257492,#257493,#257494,#257495,#257496,#257497, #257498,#257499,#257500,#257501,#257502,#257503,#257504,#257505,#257506, #257507,#257508,#257509,#257510,#257511,#257512,#257513,#257514,#257515, #257516,#257517,#257518,#257519,#257520,#257521,#257522,#257523,#257524, #257525,#257526,#257527,#257528,#257529,#257530,#257531,#257532,#257533, #257534,#257535,#257536,#257537,#257538,#257539,#257540,#257541,#257542, #257543,#257544,#257545,#257546,#257547,#257548,#257549,#257550,#257551)); #47933=EDGE_LOOP('',(#257552)); #47934=EDGE_LOOP('',(#257553)); #47935=EDGE_LOOP('',(#257554)); #47936=EDGE_LOOP('',(#257555)); #47937=EDGE_LOOP('',(#257556)); #47938=EDGE_LOOP('',(#257557)); #47939=EDGE_LOOP('',(#257558)); #47940=EDGE_LOOP('',(#257559)); #47941=EDGE_LOOP('',(#257560)); #47942=EDGE_LOOP('',(#257561)); #47943=EDGE_LOOP('',(#257562)); #47944=EDGE_LOOP('',(#257563)); #47945=EDGE_LOOP('',(#257564,#257565,#257566,#257567)); #47946=EDGE_LOOP('',(#257568,#257569,#257570,#257571)); #47947=EDGE_LOOP('',(#257572,#257573,#257574,#257575)); #47948=EDGE_LOOP('',(#257576,#257577,#257578,#257579)); #47949=EDGE_LOOP('',(#257580,#257581,#257582)); #47950=EDGE_LOOP('',(#257583)); #47951=EDGE_LOOP('',(#257584,#257585,#257586)); #47952=EDGE_LOOP('',(#257587)); #47953=EDGE_LOOP('',(#257588,#257589,#257590,#257591)); #47954=EDGE_LOOP('',(#257592,#257593,#257594,#257595)); #47955=EDGE_LOOP('',(#257596,#257597,#257598,#257599)); #47956=EDGE_LOOP('',(#257600,#257601,#257602,#257603)); #47957=EDGE_LOOP('',(#257604,#257605,#257606)); #47958=EDGE_LOOP('',(#257607)); #47959=EDGE_LOOP('',(#257608,#257609,#257610)); #47960=EDGE_LOOP('',(#257611)); #47961=EDGE_LOOP('',(#257612,#257613,#257614,#257615)); #47962=EDGE_LOOP('',(#257616,#257617,#257618,#257619)); #47963=EDGE_LOOP('',(#257620,#257621,#257622,#257623)); #47964=EDGE_LOOP('',(#257624,#257625,#257626,#257627)); #47965=EDGE_LOOP('',(#257628,#257629,#257630)); #47966=EDGE_LOOP('',(#257631)); #47967=EDGE_LOOP('',(#257632,#257633,#257634)); #47968=EDGE_LOOP('',(#257635)); #47969=EDGE_LOOP('',(#257636,#257637,#257638,#257639)); #47970=EDGE_LOOP('',(#257640,#257641,#257642,#257643)); #47971=EDGE_LOOP('',(#257644,#257645,#257646,#257647)); #47972=EDGE_LOOP('',(#257648,#257649,#257650,#257651)); #47973=EDGE_LOOP('',(#257652,#257653,#257654,#257655)); #47974=EDGE_LOOP('',(#257656,#257657,#257658,#257659)); #47975=EDGE_LOOP('',(#257660,#257661,#257662,#257663)); #47976=EDGE_LOOP('',(#257664,#257665,#257666,#257667)); #47977=EDGE_LOOP('',(#257668,#257669,#257670,#257671)); #47978=EDGE_LOOP('',(#257672,#257673,#257674,#257675,#257676,#257677,#257678, #257679)); #47979=EDGE_LOOP('',(#257680)); #47980=EDGE_LOOP('',(#257681,#257682,#257683,#257684,#257685,#257686,#257687, #257688)); #47981=EDGE_LOOP('',(#257689)); #47982=EDGE_LOOP('',(#257690,#257691,#257692,#257693)); #47983=EDGE_LOOP('',(#257694,#257695,#257696,#257697)); #47984=EDGE_LOOP('',(#257698,#257699,#257700,#257701)); #47985=EDGE_LOOP('',(#257702,#257703,#257704,#257705)); #47986=EDGE_LOOP('',(#257706,#257707,#257708)); #47987=EDGE_LOOP('',(#257709)); #47988=EDGE_LOOP('',(#257710,#257711,#257712)); #47989=EDGE_LOOP('',(#257713)); #47990=EDGE_LOOP('',(#257714,#257715,#257716,#257717)); #47991=EDGE_LOOP('',(#257718,#257719,#257720,#257721)); #47992=EDGE_LOOP('',(#257722,#257723,#257724,#257725)); #47993=EDGE_LOOP('',(#257726,#257727,#257728,#257729)); #47994=EDGE_LOOP('',(#257730,#257731,#257732,#257733)); #47995=EDGE_LOOP('',(#257734,#257735,#257736,#257737)); #47996=EDGE_LOOP('',(#257738)); #47997=EDGE_LOOP('',(#257739,#257740,#257741,#257742)); #47998=EDGE_LOOP('',(#257743)); #47999=EDGE_LOOP('',(#257744,#257745,#257746,#257747)); #48000=EDGE_LOOP('',(#257748,#257749,#257750,#257751)); #48001=EDGE_LOOP('',(#257752,#257753,#257754,#257755)); #48002=EDGE_LOOP('',(#257756,#257757,#257758,#257759)); #48003=EDGE_LOOP('',(#257760,#257761,#257762)); #48004=EDGE_LOOP('',(#257763)); #48005=EDGE_LOOP('',(#257764,#257765,#257766)); #48006=EDGE_LOOP('',(#257767)); #48007=EDGE_LOOP('',(#257768,#257769,#257770,#257771)); #48008=EDGE_LOOP('',(#257772,#257773,#257774,#257775)); #48009=EDGE_LOOP('',(#257776,#257777,#257778,#257779)); #48010=EDGE_LOOP('',(#257780,#257781,#257782,#257783)); #48011=EDGE_LOOP('',(#257784,#257785,#257786,#257787)); #48012=EDGE_LOOP('',(#257788,#257789,#257790,#257791)); #48013=EDGE_LOOP('',(#257792,#257793,#257794,#257795)); #48014=EDGE_LOOP('',(#257796,#257797,#257798,#257799)); #48015=EDGE_LOOP('',(#257800,#257801,#257802,#257803)); #48016=EDGE_LOOP('',(#257804,#257805,#257806,#257807)); #48017=EDGE_LOOP('',(#257808,#257809,#257810,#257811)); #48018=EDGE_LOOP('',(#257812,#257813,#257814,#257815)); #48019=EDGE_LOOP('',(#257816,#257817,#257818,#257819)); #48020=EDGE_LOOP('',(#257820,#257821,#257822,#257823)); #48021=EDGE_LOOP('',(#257824,#257825,#257826,#257827)); #48022=EDGE_LOOP('',(#257828,#257829,#257830,#257831)); #48023=EDGE_LOOP('',(#257832,#257833,#257834,#257835)); #48024=EDGE_LOOP('',(#257836,#257837,#257838,#257839)); #48025=EDGE_LOOP('',(#257840,#257841,#257842,#257843)); #48026=EDGE_LOOP('',(#257844,#257845,#257846,#257847)); #48027=EDGE_LOOP('',(#257848,#257849,#257850,#257851)); #48028=EDGE_LOOP('',(#257852,#257853,#257854,#257855)); #48029=EDGE_LOOP('',(#257856,#257857,#257858,#257859)); #48030=EDGE_LOOP('',(#257860,#257861,#257862,#257863)); #48031=EDGE_LOOP('',(#257864,#257865,#257866,#257867)); #48032=EDGE_LOOP('',(#257868,#257869,#257870,#257871)); #48033=EDGE_LOOP('',(#257872,#257873,#257874,#257875)); #48034=EDGE_LOOP('',(#257876,#257877,#257878,#257879)); #48035=EDGE_LOOP('',(#257880,#257881,#257882,#257883)); #48036=EDGE_LOOP('',(#257884,#257885,#257886,#257887)); #48037=EDGE_LOOP('',(#257888,#257889,#257890,#257891)); #48038=EDGE_LOOP('',(#257892,#257893,#257894,#257895)); #48039=EDGE_LOOP('',(#257896,#257897,#257898,#257899)); #48040=EDGE_LOOP('',(#257900,#257901,#257902,#257903)); #48041=EDGE_LOOP('',(#257904,#257905,#257906,#257907)); #48042=EDGE_LOOP('',(#257908,#257909,#257910,#257911)); #48043=EDGE_LOOP('',(#257912,#257913,#257914,#257915)); #48044=EDGE_LOOP('',(#257916,#257917,#257918,#257919)); #48045=EDGE_LOOP('',(#257920,#257921,#257922,#257923)); #48046=EDGE_LOOP('',(#257924,#257925,#257926,#257927)); #48047=EDGE_LOOP('',(#257928,#257929,#257930,#257931)); #48048=EDGE_LOOP('',(#257932,#257933,#257934,#257935)); #48049=EDGE_LOOP('',(#257936,#257937,#257938,#257939)); #48050=EDGE_LOOP('',(#257940,#257941,#257942,#257943)); #48051=EDGE_LOOP('',(#257944,#257945,#257946,#257947)); #48052=EDGE_LOOP('',(#257948,#257949,#257950,#257951)); #48053=EDGE_LOOP('',(#257952,#257953,#257954,#257955)); #48054=EDGE_LOOP('',(#257956,#257957,#257958,#257959)); #48055=EDGE_LOOP('',(#257960,#257961,#257962,#257963)); #48056=EDGE_LOOP('',(#257964,#257965,#257966,#257967)); #48057=EDGE_LOOP('',(#257968,#257969,#257970,#257971)); #48058=EDGE_LOOP('',(#257972,#257973,#257974,#257975)); #48059=EDGE_LOOP('',(#257976,#257977,#257978,#257979)); #48060=EDGE_LOOP('',(#257980,#257981,#257982,#257983)); #48061=EDGE_LOOP('',(#257984,#257985,#257986,#257987)); #48062=EDGE_LOOP('',(#257988,#257989,#257990,#257991)); #48063=EDGE_LOOP('',(#257992,#257993,#257994,#257995)); #48064=EDGE_LOOP('',(#257996,#257997,#257998,#257999)); #48065=EDGE_LOOP('',(#258000,#258001,#258002,#258003)); #48066=EDGE_LOOP('',(#258004,#258005,#258006,#258007)); #48067=EDGE_LOOP('',(#258008,#258009,#258010,#258011,#258012,#258013,#258014, #258015,#258016,#258017,#258018,#258019,#258020,#258021,#258022,#258023, #258024,#258025,#258026,#258027,#258028,#258029,#258030,#258031,#258032, #258033,#258034,#258035,#258036,#258037,#258038,#258039,#258040,#258041, #258042,#258043,#258044,#258045,#258046,#258047,#258048,#258049,#258050, #258051,#258052,#258053,#258054,#258055,#258056,#258057,#258058,#258059, #258060,#258061,#258062,#258063)); #48068=EDGE_LOOP('',(#258064,#258065,#258066,#258067)); #48069=EDGE_LOOP('',(#258068,#258069,#258070,#258071,#258072,#258073,#258074, #258075,#258076,#258077,#258078,#258079,#258080,#258081,#258082,#258083, #258084,#258085,#258086,#258087,#258088,#258089,#258090,#258091,#258092, #258093,#258094,#258095,#258096,#258097,#258098,#258099,#258100,#258101, #258102,#258103,#258104,#258105,#258106,#258107,#258108,#258109,#258110, #258111,#258112,#258113,#258114,#258115,#258116,#258117,#258118,#258119, #258120,#258121,#258122,#258123)); #48070=EDGE_LOOP('',(#258124,#258125,#258126,#258127)); #48071=EDGE_LOOP('',(#258128,#258129,#258130,#258131)); #48072=EDGE_LOOP('',(#258132,#258133,#258134,#258135)); #48073=EDGE_LOOP('',(#258136,#258137,#258138,#258139)); #48074=EDGE_LOOP('',(#258140,#258141,#258142,#258143)); #48075=EDGE_LOOP('',(#258144,#258145,#258146)); #48076=EDGE_LOOP('',(#258147)); #48077=EDGE_LOOP('',(#258148,#258149,#258150)); #48078=EDGE_LOOP('',(#258151)); #48079=EDGE_LOOP('',(#258152,#258153,#258154,#258155)); #48080=EDGE_LOOP('',(#258156,#258157,#258158,#258159)); #48081=EDGE_LOOP('',(#258160,#258161,#258162,#258163)); #48082=EDGE_LOOP('',(#258164,#258165,#258166,#258167)); #48083=EDGE_LOOP('',(#258168,#258169,#258170,#258171)); #48084=EDGE_LOOP('',(#258172,#258173,#258174,#258175)); #48085=EDGE_LOOP('',(#258176,#258177,#258178,#258179)); #48086=EDGE_LOOP('',(#258180,#258181,#258182,#258183)); #48087=EDGE_LOOP('',(#258184,#258185,#258186,#258187)); #48088=EDGE_LOOP('',(#258188,#258189,#258190,#258191)); #48089=EDGE_LOOP('',(#258192,#258193,#258194,#258195)); #48090=EDGE_LOOP('',(#258196,#258197,#258198,#258199)); #48091=EDGE_LOOP('',(#258200,#258201,#258202,#258203)); #48092=EDGE_LOOP('',(#258204,#258205,#258206,#258207)); #48093=EDGE_LOOP('',(#258208,#258209,#258210,#258211)); #48094=EDGE_LOOP('',(#258212,#258213,#258214,#258215)); #48095=EDGE_LOOP('',(#258216,#258217,#258218,#258219)); #48096=EDGE_LOOP('',(#258220,#258221,#258222,#258223)); #48097=EDGE_LOOP('',(#258224,#258225,#258226,#258227)); #48098=EDGE_LOOP('',(#258228,#258229,#258230,#258231)); #48099=EDGE_LOOP('',(#258232,#258233,#258234,#258235)); #48100=EDGE_LOOP('',(#258236,#258237,#258238,#258239)); #48101=EDGE_LOOP('',(#258240,#258241,#258242,#258243)); #48102=EDGE_LOOP('',(#258244,#258245,#258246,#258247)); #48103=EDGE_LOOP('',(#258248,#258249,#258250,#258251)); #48104=EDGE_LOOP('',(#258252,#258253,#258254,#258255)); #48105=EDGE_LOOP('',(#258256,#258257,#258258,#258259)); #48106=EDGE_LOOP('',(#258260,#258261,#258262,#258263)); #48107=EDGE_LOOP('',(#258264,#258265,#258266,#258267)); #48108=EDGE_LOOP('',(#258268,#258269,#258270,#258271)); #48109=EDGE_LOOP('',(#258272,#258273,#258274,#258275)); #48110=EDGE_LOOP('',(#258276,#258277,#258278,#258279)); #48111=EDGE_LOOP('',(#258280,#258281,#258282,#258283)); #48112=EDGE_LOOP('',(#258284,#258285,#258286,#258287)); #48113=EDGE_LOOP('',(#258288,#258289,#258290,#258291)); #48114=EDGE_LOOP('',(#258292,#258293,#258294,#258295)); #48115=EDGE_LOOP('',(#258296,#258297,#258298,#258299)); #48116=EDGE_LOOP('',(#258300,#258301,#258302,#258303)); #48117=EDGE_LOOP('',(#258304,#258305,#258306,#258307)); #48118=EDGE_LOOP('',(#258308,#258309,#258310,#258311)); #48119=EDGE_LOOP('',(#258312,#258313,#258314,#258315)); #48120=EDGE_LOOP('',(#258316,#258317,#258318,#258319)); #48121=EDGE_LOOP('',(#258320,#258321,#258322,#258323)); #48122=EDGE_LOOP('',(#258324,#258325,#258326,#258327)); #48123=EDGE_LOOP('',(#258328,#258329,#258330,#258331)); #48124=EDGE_LOOP('',(#258332,#258333,#258334,#258335)); #48125=EDGE_LOOP('',(#258336,#258337,#258338,#258339)); #48126=EDGE_LOOP('',(#258340,#258341,#258342,#258343)); #48127=EDGE_LOOP('',(#258344,#258345,#258346,#258347)); #48128=EDGE_LOOP('',(#258348,#258349,#258350,#258351)); #48129=EDGE_LOOP('',(#258352,#258353,#258354,#258355)); #48130=EDGE_LOOP('',(#258356,#258357,#258358,#258359)); #48131=EDGE_LOOP('',(#258360,#258361,#258362,#258363)); #48132=EDGE_LOOP('',(#258364,#258365,#258366,#258367)); #48133=EDGE_LOOP('',(#258368,#258369,#258370,#258371)); #48134=EDGE_LOOP('',(#258372,#258373,#258374,#258375)); #48135=EDGE_LOOP('',(#258376,#258377,#258378,#258379)); #48136=EDGE_LOOP('',(#258380,#258381,#258382,#258383)); #48137=EDGE_LOOP('',(#258384,#258385,#258386,#258387)); #48138=EDGE_LOOP('',(#258388,#258389,#258390,#258391)); #48139=EDGE_LOOP('',(#258392,#258393,#258394,#258395,#258396,#258397,#258398, #258399,#258400,#258401,#258402,#258403,#258404,#258405,#258406,#258407, #258408,#258409,#258410,#258411,#258412,#258413,#258414,#258415,#258416, #258417,#258418,#258419,#258420,#258421,#258422,#258423,#258424,#258425, #258426,#258427,#258428,#258429,#258430,#258431,#258432,#258433,#258434, #258435,#258436,#258437,#258438,#258439,#258440,#258441,#258442,#258443, #258444,#258445,#258446,#258447)); #48140=EDGE_LOOP('',(#258448,#258449,#258450,#258451)); #48141=EDGE_LOOP('',(#258452,#258453,#258454,#258455,#258456,#258457,#258458, #258459,#258460,#258461,#258462,#258463,#258464,#258465,#258466,#258467, #258468,#258469,#258470,#258471,#258472,#258473,#258474,#258475,#258476, #258477,#258478,#258479,#258480,#258481,#258482,#258483,#258484,#258485, #258486,#258487,#258488,#258489,#258490,#258491,#258492,#258493,#258494, #258495,#258496,#258497,#258498,#258499,#258500,#258501,#258502,#258503, #258504,#258505,#258506,#258507)); #48142=EDGE_LOOP('',(#258508,#258509,#258510,#258511)); #48143=EDGE_LOOP('',(#258512,#258513,#258514,#258515)); #48144=EDGE_LOOP('',(#258516,#258517,#258518,#258519)); #48145=EDGE_LOOP('',(#258520,#258521,#258522,#258523)); #48146=EDGE_LOOP('',(#258524,#258525,#258526,#258527)); #48147=EDGE_LOOP('',(#258528,#258529,#258530,#258531)); #48148=EDGE_LOOP('',(#258532,#258533,#258534,#258535)); #48149=EDGE_LOOP('',(#258536,#258537,#258538,#258539)); #48150=EDGE_LOOP('',(#258540,#258541,#258542,#258543)); #48151=EDGE_LOOP('',(#258544,#258545,#258546,#258547)); #48152=EDGE_LOOP('',(#258548,#258549,#258550,#258551)); #48153=EDGE_LOOP('',(#258552,#258553,#258554,#258555)); #48154=EDGE_LOOP('',(#258556,#258557,#258558,#258559)); #48155=EDGE_LOOP('',(#258560,#258561,#258562,#258563)); #48156=EDGE_LOOP('',(#258564,#258565,#258566,#258567)); #48157=EDGE_LOOP('',(#258568,#258569,#258570,#258571)); #48158=EDGE_LOOP('',(#258572,#258573,#258574,#258575)); #48159=EDGE_LOOP('',(#258576,#258577,#258578,#258579)); #48160=EDGE_LOOP('',(#258580,#258581,#258582,#258583)); #48161=EDGE_LOOP('',(#258584,#258585,#258586,#258587)); #48162=EDGE_LOOP('',(#258588,#258589,#258590,#258591)); #48163=EDGE_LOOP('',(#258592,#258593,#258594,#258595)); #48164=EDGE_LOOP('',(#258596,#258597,#258598,#258599)); #48165=EDGE_LOOP('',(#258600,#258601,#258602,#258603)); #48166=EDGE_LOOP('',(#258604,#258605,#258606,#258607)); #48167=EDGE_LOOP('',(#258608,#258609,#258610,#258611)); #48168=EDGE_LOOP('',(#258612,#258613,#258614,#258615)); #48169=EDGE_LOOP('',(#258616,#258617,#258618,#258619)); #48170=EDGE_LOOP('',(#258620,#258621,#258622,#258623)); #48171=EDGE_LOOP('',(#258624,#258625,#258626,#258627)); #48172=EDGE_LOOP('',(#258628,#258629,#258630,#258631)); #48173=EDGE_LOOP('',(#258632,#258633,#258634,#258635)); #48174=EDGE_LOOP('',(#258636,#258637,#258638,#258639)); #48175=EDGE_LOOP('',(#258640,#258641,#258642,#258643)); #48176=EDGE_LOOP('',(#258644,#258645,#258646,#258647)); #48177=EDGE_LOOP('',(#258648,#258649,#258650,#258651)); #48178=EDGE_LOOP('',(#258652,#258653,#258654,#258655)); #48179=EDGE_LOOP('',(#258656,#258657,#258658,#258659)); #48180=EDGE_LOOP('',(#258660,#258661,#258662,#258663)); #48181=EDGE_LOOP('',(#258664,#258665,#258666,#258667)); #48182=EDGE_LOOP('',(#258668,#258669,#258670,#258671)); #48183=EDGE_LOOP('',(#258672,#258673,#258674,#258675)); #48184=EDGE_LOOP('',(#258676,#258677,#258678,#258679)); #48185=EDGE_LOOP('',(#258680,#258681,#258682,#258683)); #48186=EDGE_LOOP('',(#258684,#258685,#258686,#258687)); #48187=EDGE_LOOP('',(#258688,#258689,#258690,#258691)); #48188=EDGE_LOOP('',(#258692,#258693,#258694,#258695)); #48189=EDGE_LOOP('',(#258696,#258697,#258698,#258699)); #48190=EDGE_LOOP('',(#258700,#258701,#258702,#258703)); #48191=EDGE_LOOP('',(#258704,#258705,#258706,#258707)); #48192=EDGE_LOOP('',(#258708,#258709,#258710,#258711)); #48193=EDGE_LOOP('',(#258712,#258713,#258714,#258715)); #48194=EDGE_LOOP('',(#258716,#258717,#258718,#258719)); #48195=EDGE_LOOP('',(#258720,#258721,#258722,#258723)); #48196=EDGE_LOOP('',(#258724,#258725,#258726,#258727)); #48197=EDGE_LOOP('',(#258728,#258729,#258730,#258731)); #48198=EDGE_LOOP('',(#258732,#258733,#258734,#258735)); #48199=EDGE_LOOP('',(#258736,#258737,#258738,#258739)); #48200=EDGE_LOOP('',(#258740,#258741,#258742,#258743)); #48201=EDGE_LOOP('',(#258744,#258745,#258746,#258747)); #48202=EDGE_LOOP('',(#258748,#258749,#258750,#258751)); #48203=EDGE_LOOP('',(#258752,#258753,#258754,#258755)); #48204=EDGE_LOOP('',(#258756,#258757,#258758,#258759)); #48205=EDGE_LOOP('',(#258760,#258761,#258762,#258763)); #48206=EDGE_LOOP('',(#258764,#258765,#258766,#258767)); #48207=EDGE_LOOP('',(#258768,#258769,#258770,#258771)); #48208=EDGE_LOOP('',(#258772,#258773,#258774,#258775)); #48209=EDGE_LOOP('',(#258776,#258777,#258778,#258779)); #48210=EDGE_LOOP('',(#258780,#258781,#258782,#258783)); #48211=EDGE_LOOP('',(#258784,#258785,#258786,#258787)); #48212=EDGE_LOOP('',(#258788,#258789,#258790,#258791)); #48213=EDGE_LOOP('',(#258792,#258793,#258794,#258795)); #48214=EDGE_LOOP('',(#258796,#258797,#258798,#258799)); #48215=EDGE_LOOP('',(#258800,#258801,#258802,#258803)); #48216=EDGE_LOOP('',(#258804,#258805,#258806,#258807)); #48217=EDGE_LOOP('',(#258808,#258809,#258810,#258811)); #48218=EDGE_LOOP('',(#258812,#258813,#258814,#258815)); #48219=EDGE_LOOP('',(#258816,#258817,#258818,#258819,#258820,#258821,#258822, #258823,#258824,#258825,#258826,#258827,#258828,#258829,#258830,#258831, #258832,#258833,#258834,#258835,#258836,#258837,#258838,#258839,#258840, #258841,#258842,#258843,#258844,#258845,#258846,#258847,#258848,#258849, #258850,#258851,#258852,#258853,#258854,#258855,#258856,#258857,#258858, #258859,#258860,#258861,#258862,#258863,#258864,#258865,#258866,#258867, #258868,#258869,#258870,#258871,#258872,#258873,#258874,#258875,#258876, #258877,#258878,#258879,#258880,#258881,#258882,#258883,#258884,#258885, #258886,#258887)); #48220=EDGE_LOOP('',(#258888,#258889,#258890,#258891)); #48221=EDGE_LOOP('',(#258892,#258893,#258894,#258895,#258896,#258897,#258898, #258899,#258900,#258901,#258902,#258903,#258904,#258905,#258906,#258907, #258908,#258909,#258910,#258911,#258912,#258913,#258914,#258915,#258916, #258917,#258918,#258919,#258920,#258921,#258922,#258923,#258924,#258925, #258926,#258927,#258928,#258929,#258930,#258931,#258932,#258933,#258934, #258935,#258936,#258937,#258938,#258939,#258940,#258941,#258942,#258943, #258944,#258945,#258946,#258947,#258948,#258949,#258950,#258951,#258952, #258953,#258954,#258955,#258956,#258957,#258958,#258959,#258960,#258961, #258962,#258963)); #48222=EDGE_LOOP('',(#258964,#258965,#258966,#258967)); #48223=EDGE_LOOP('',(#258968,#258969,#258970,#258971)); #48224=EDGE_LOOP('',(#258972,#258973,#258974,#258975)); #48225=EDGE_LOOP('',(#258976,#258977,#258978,#258979)); #48226=EDGE_LOOP('',(#258980,#258981,#258982,#258983)); #48227=EDGE_LOOP('',(#258984,#258985,#258986,#258987)); #48228=EDGE_LOOP('',(#258988,#258989,#258990,#258991)); #48229=EDGE_LOOP('',(#258992,#258993,#258994,#258995)); #48230=EDGE_LOOP('',(#258996,#258997,#258998,#258999)); #48231=EDGE_LOOP('',(#259000,#259001,#259002,#259003)); #48232=EDGE_LOOP('',(#259004,#259005,#259006,#259007)); #48233=EDGE_LOOP('',(#259008,#259009,#259010,#259011)); #48234=EDGE_LOOP('',(#259012,#259013,#259014,#259015)); #48235=EDGE_LOOP('',(#259016,#259017,#259018,#259019)); #48236=EDGE_LOOP('',(#259020,#259021,#259022,#259023)); #48237=EDGE_LOOP('',(#259024,#259025,#259026,#259027)); #48238=EDGE_LOOP('',(#259028,#259029,#259030,#259031)); #48239=EDGE_LOOP('',(#259032,#259033,#259034,#259035)); #48240=EDGE_LOOP('',(#259036,#259037,#259038,#259039)); #48241=EDGE_LOOP('',(#259040,#259041,#259042,#259043)); #48242=EDGE_LOOP('',(#259044,#259045,#259046,#259047)); #48243=EDGE_LOOP('',(#259048,#259049,#259050,#259051)); #48244=EDGE_LOOP('',(#259052,#259053,#259054,#259055)); #48245=EDGE_LOOP('',(#259056,#259057,#259058,#259059)); #48246=EDGE_LOOP('',(#259060,#259061,#259062,#259063)); #48247=EDGE_LOOP('',(#259064,#259065,#259066,#259067)); #48248=EDGE_LOOP('',(#259068,#259069,#259070,#259071)); #48249=EDGE_LOOP('',(#259072,#259073,#259074,#259075)); #48250=EDGE_LOOP('',(#259076,#259077,#259078,#259079)); #48251=EDGE_LOOP('',(#259080,#259081,#259082,#259083)); #48252=EDGE_LOOP('',(#259084,#259085,#259086,#259087)); #48253=EDGE_LOOP('',(#259088,#259089,#259090,#259091)); #48254=EDGE_LOOP('',(#259092,#259093,#259094,#259095)); #48255=EDGE_LOOP('',(#259096,#259097,#259098,#259099)); #48256=EDGE_LOOP('',(#259100,#259101,#259102,#259103)); #48257=EDGE_LOOP('',(#259104,#259105,#259106,#259107)); #48258=EDGE_LOOP('',(#259108,#259109,#259110,#259111)); #48259=EDGE_LOOP('',(#259112,#259113,#259114,#259115)); #48260=EDGE_LOOP('',(#259116,#259117,#259118,#259119)); #48261=EDGE_LOOP('',(#259120,#259121,#259122,#259123)); #48262=EDGE_LOOP('',(#259124,#259125,#259126,#259127)); #48263=EDGE_LOOP('',(#259128,#259129,#259130,#259131)); #48264=EDGE_LOOP('',(#259132,#259133,#259134,#259135)); #48265=EDGE_LOOP('',(#259136,#259137,#259138,#259139)); #48266=EDGE_LOOP('',(#259140,#259141,#259142,#259143)); #48267=EDGE_LOOP('',(#259144,#259145,#259146,#259147)); #48268=EDGE_LOOP('',(#259148,#259149,#259150,#259151)); #48269=EDGE_LOOP('',(#259152,#259153,#259154,#259155)); #48270=EDGE_LOOP('',(#259156,#259157,#259158,#259159)); #48271=EDGE_LOOP('',(#259160,#259161,#259162,#259163)); #48272=EDGE_LOOP('',(#259164,#259165,#259166,#259167)); #48273=EDGE_LOOP('',(#259168,#259169,#259170,#259171)); #48274=EDGE_LOOP('',(#259172,#259173,#259174,#259175)); #48275=EDGE_LOOP('',(#259176,#259177,#259178,#259179)); #48276=EDGE_LOOP('',(#259180,#259181,#259182,#259183)); #48277=EDGE_LOOP('',(#259184,#259185,#259186,#259187)); #48278=EDGE_LOOP('',(#259188,#259189,#259190,#259191)); #48279=EDGE_LOOP('',(#259192,#259193,#259194,#259195)); #48280=EDGE_LOOP('',(#259196,#259197,#259198,#259199)); #48281=EDGE_LOOP('',(#259200,#259201,#259202,#259203)); #48282=EDGE_LOOP('',(#259204,#259205,#259206,#259207)); #48283=EDGE_LOOP('',(#259208,#259209,#259210,#259211,#259212,#259213,#259214, #259215,#259216,#259217,#259218,#259219,#259220,#259221,#259222,#259223, #259224,#259225,#259226,#259227,#259228,#259229,#259230,#259231,#259232, #259233,#259234,#259235,#259236,#259237,#259238,#259239,#259240,#259241, #259242,#259243,#259244,#259245,#259246,#259247,#259248,#259249,#259250, #259251,#259252,#259253,#259254,#259255,#259256,#259257,#259258,#259259, #259260,#259261,#259262,#259263)); #48284=EDGE_LOOP('',(#259264,#259265,#259266,#259267)); #48285=EDGE_LOOP('',(#259268,#259269,#259270,#259271,#259272,#259273,#259274, #259275,#259276,#259277,#259278,#259279,#259280,#259281,#259282,#259283, #259284,#259285,#259286,#259287,#259288,#259289,#259290,#259291,#259292, #259293,#259294,#259295,#259296,#259297,#259298,#259299,#259300,#259301, #259302,#259303,#259304,#259305,#259306,#259307,#259308,#259309,#259310, #259311,#259312,#259313,#259314,#259315,#259316,#259317,#259318,#259319, #259320,#259321,#259322,#259323)); #48286=EDGE_LOOP('',(#259324,#259325,#259326,#259327)); #48287=EDGE_LOOP('',(#259328,#259329,#259330,#259331)); #48288=EDGE_LOOP('',(#259332,#259333,#259334,#259335)); #48289=EDGE_LOOP('',(#259336,#259337,#259338,#259339)); #48290=EDGE_LOOP('',(#259340,#259341,#259342,#259343)); #48291=EDGE_LOOP('',(#259344,#259345,#259346)); #48292=EDGE_LOOP('',(#259347)); #48293=EDGE_LOOP('',(#259348,#259349,#259350)); #48294=EDGE_LOOP('',(#259351)); #48295=EDGE_LOOP('',(#259352,#259353,#259354,#259355)); #48296=EDGE_LOOP('',(#259356,#259357,#259358,#259359)); #48297=EDGE_LOOP('',(#259360,#259361,#259362,#259363)); #48298=EDGE_LOOP('',(#259364,#259365,#259366,#259367)); #48299=EDGE_LOOP('',(#259368,#259369,#259370,#259371)); #48300=EDGE_LOOP('',(#259372,#259373,#259374,#259375)); #48301=EDGE_LOOP('',(#259376,#259377,#259378,#259379)); #48302=EDGE_LOOP('',(#259380,#259381,#259382,#259383)); #48303=EDGE_LOOP('',(#259384,#259385,#259386,#259387)); #48304=EDGE_LOOP('',(#259388,#259389,#259390,#259391)); #48305=EDGE_LOOP('',(#259392,#259393,#259394,#259395)); #48306=EDGE_LOOP('',(#259396,#259397,#259398,#259399)); #48307=EDGE_LOOP('',(#259400,#259401,#259402,#259403)); #48308=EDGE_LOOP('',(#259404,#259405,#259406,#259407)); #48309=EDGE_LOOP('',(#259408,#259409,#259410,#259411)); #48310=EDGE_LOOP('',(#259412,#259413,#259414,#259415)); #48311=EDGE_LOOP('',(#259416,#259417,#259418,#259419)); #48312=EDGE_LOOP('',(#259420,#259421,#259422,#259423)); #48313=EDGE_LOOP('',(#259424,#259425,#259426,#259427)); #48314=EDGE_LOOP('',(#259428,#259429,#259430,#259431)); #48315=EDGE_LOOP('',(#259432,#259433,#259434,#259435)); #48316=EDGE_LOOP('',(#259436,#259437,#259438,#259439)); #48317=EDGE_LOOP('',(#259440,#259441,#259442,#259443)); #48318=EDGE_LOOP('',(#259444,#259445,#259446,#259447)); #48319=EDGE_LOOP('',(#259448,#259449,#259450,#259451)); #48320=EDGE_LOOP('',(#259452,#259453,#259454,#259455)); #48321=EDGE_LOOP('',(#259456,#259457,#259458,#259459)); #48322=EDGE_LOOP('',(#259460,#259461,#259462,#259463)); #48323=EDGE_LOOP('',(#259464,#259465,#259466,#259467)); #48324=EDGE_LOOP('',(#259468,#259469,#259470,#259471)); #48325=EDGE_LOOP('',(#259472,#259473,#259474,#259475)); #48326=EDGE_LOOP('',(#259476,#259477,#259478,#259479)); #48327=EDGE_LOOP('',(#259480,#259481,#259482,#259483)); #48328=EDGE_LOOP('',(#259484,#259485,#259486,#259487)); #48329=EDGE_LOOP('',(#259488,#259489,#259490,#259491)); #48330=EDGE_LOOP('',(#259492,#259493,#259494,#259495)); #48331=EDGE_LOOP('',(#259496,#259497,#259498,#259499)); #48332=EDGE_LOOP('',(#259500,#259501,#259502,#259503)); #48333=EDGE_LOOP('',(#259504,#259505,#259506,#259507)); #48334=EDGE_LOOP('',(#259508,#259509,#259510,#259511)); #48335=EDGE_LOOP('',(#259512,#259513,#259514,#259515)); #48336=EDGE_LOOP('',(#259516,#259517,#259518,#259519)); #48337=EDGE_LOOP('',(#259520,#259521,#259522,#259523)); #48338=EDGE_LOOP('',(#259524,#259525,#259526,#259527)); #48339=EDGE_LOOP('',(#259528,#259529,#259530,#259531)); #48340=EDGE_LOOP('',(#259532,#259533,#259534,#259535)); #48341=EDGE_LOOP('',(#259536,#259537,#259538,#259539)); #48342=EDGE_LOOP('',(#259540,#259541,#259542,#259543)); #48343=EDGE_LOOP('',(#259544,#259545,#259546,#259547)); #48344=EDGE_LOOP('',(#259548,#259549,#259550,#259551)); #48345=EDGE_LOOP('',(#259552,#259553,#259554,#259555)); #48346=EDGE_LOOP('',(#259556,#259557,#259558,#259559)); #48347=EDGE_LOOP('',(#259560,#259561,#259562,#259563)); #48348=EDGE_LOOP('',(#259564,#259565,#259566,#259567)); #48349=EDGE_LOOP('',(#259568,#259569,#259570,#259571)); #48350=EDGE_LOOP('',(#259572,#259573,#259574,#259575)); #48351=EDGE_LOOP('',(#259576,#259577,#259578,#259579)); #48352=EDGE_LOOP('',(#259580,#259581,#259582,#259583)); #48353=EDGE_LOOP('',(#259584,#259585,#259586,#259587)); #48354=EDGE_LOOP('',(#259588,#259589,#259590,#259591)); #48355=EDGE_LOOP('',(#259592,#259593,#259594,#259595,#259596,#259597,#259598, #259599,#259600,#259601,#259602,#259603,#259604,#259605,#259606,#259607, #259608,#259609,#259610,#259611,#259612,#259613,#259614,#259615,#259616, #259617,#259618,#259619,#259620,#259621,#259622,#259623,#259624,#259625, #259626,#259627,#259628,#259629,#259630,#259631,#259632,#259633,#259634, #259635,#259636,#259637,#259638,#259639,#259640,#259641,#259642,#259643, #259644,#259645,#259646,#259647)); #48356=EDGE_LOOP('',(#259648,#259649,#259650,#259651)); #48357=EDGE_LOOP('',(#259652,#259653,#259654,#259655,#259656,#259657,#259658, #259659,#259660,#259661,#259662,#259663,#259664,#259665,#259666,#259667, #259668,#259669,#259670,#259671,#259672,#259673,#259674,#259675,#259676, #259677,#259678,#259679,#259680,#259681,#259682,#259683,#259684,#259685, #259686,#259687,#259688,#259689,#259690,#259691,#259692,#259693,#259694, #259695,#259696,#259697,#259698,#259699,#259700,#259701,#259702,#259703, #259704,#259705,#259706,#259707)); #48358=EDGE_LOOP('',(#259708,#259709,#259710,#259711)); #48359=EDGE_LOOP('',(#259712,#259713,#259714,#259715)); #48360=EDGE_LOOP('',(#259716,#259717,#259718,#259719)); #48361=EDGE_LOOP('',(#259720,#259721,#259722,#259723)); #48362=EDGE_LOOP('',(#259724,#259725,#259726,#259727)); #48363=EDGE_LOOP('',(#259728,#259729,#259730,#259731)); #48364=EDGE_LOOP('',(#259732,#259733,#259734,#259735)); #48365=EDGE_LOOP('',(#259736,#259737,#259738,#259739)); #48366=EDGE_LOOP('',(#259740,#259741,#259742,#259743)); #48367=EDGE_LOOP('',(#259744,#259745,#259746,#259747)); #48368=EDGE_LOOP('',(#259748,#259749,#259750,#259751,#259752,#259753,#259754, #259755)); #48369=EDGE_LOOP('',(#259756)); #48370=EDGE_LOOP('',(#259757,#259758,#259759,#259760,#259761,#259762,#259763, #259764)); #48371=EDGE_LOOP('',(#259765)); #48372=EDGE_LOOP('',(#259766,#259767,#259768,#259769)); #48373=EDGE_LOOP('',(#259770,#259771,#259772,#259773)); #48374=EDGE_LOOP('',(#259774,#259775,#259776,#259777)); #48375=EDGE_LOOP('',(#259778,#259779,#259780,#259781)); #48376=EDGE_LOOP('',(#259782,#259783,#259784)); #48377=EDGE_LOOP('',(#259785)); #48378=EDGE_LOOP('',(#259786,#259787,#259788)); #48379=EDGE_LOOP('',(#259789)); #48380=EDGE_LOOP('',(#259790,#259791,#259792,#259793)); #48381=EDGE_LOOP('',(#259794,#259795,#259796,#259797)); #48382=EDGE_LOOP('',(#259798,#259799,#259800,#259801)); #48383=EDGE_LOOP('',(#259802,#259803,#259804,#259805)); #48384=EDGE_LOOP('',(#259806,#259807,#259808,#259809)); #48385=EDGE_LOOP('',(#259810,#259811,#259812,#259813)); #48386=EDGE_LOOP('',(#259814,#259815,#259816,#259817)); #48387=EDGE_LOOP('',(#259818,#259819,#259820,#259821)); #48388=EDGE_LOOP('',(#259822,#259823,#259824,#259825)); #48389=EDGE_LOOP('',(#259826,#259827,#259828,#259829)); #48390=EDGE_LOOP('',(#259830,#259831,#259832,#259833)); #48391=EDGE_LOOP('',(#259834,#259835,#259836,#259837)); #48392=EDGE_LOOP('',(#259838,#259839,#259840,#259841)); #48393=EDGE_LOOP('',(#259842,#259843,#259844,#259845)); #48394=EDGE_LOOP('',(#259846,#259847,#259848,#259849)); #48395=EDGE_LOOP('',(#259850,#259851,#259852,#259853)); #48396=EDGE_LOOP('',(#259854,#259855,#259856,#259857)); #48397=EDGE_LOOP('',(#259858,#259859,#259860,#259861)); #48398=EDGE_LOOP('',(#259862,#259863,#259864,#259865)); #48399=EDGE_LOOP('',(#259866,#259867,#259868,#259869)); #48400=EDGE_LOOP('',(#259870,#259871,#259872,#259873)); #48401=EDGE_LOOP('',(#259874,#259875,#259876,#259877)); #48402=EDGE_LOOP('',(#259878,#259879,#259880,#259881)); #48403=EDGE_LOOP('',(#259882,#259883,#259884,#259885)); #48404=EDGE_LOOP('',(#259886,#259887,#259888,#259889)); #48405=EDGE_LOOP('',(#259890,#259891,#259892,#259893)); #48406=EDGE_LOOP('',(#259894,#259895,#259896,#259897)); #48407=EDGE_LOOP('',(#259898,#259899,#259900,#259901)); #48408=EDGE_LOOP('',(#259902,#259903,#259904,#259905)); #48409=EDGE_LOOP('',(#259906,#259907,#259908,#259909)); #48410=EDGE_LOOP('',(#259910,#259911,#259912,#259913)); #48411=EDGE_LOOP('',(#259914,#259915,#259916,#259917)); #48412=EDGE_LOOP('',(#259918,#259919,#259920,#259921)); #48413=EDGE_LOOP('',(#259922,#259923,#259924,#259925)); #48414=EDGE_LOOP('',(#259926,#259927,#259928,#259929)); #48415=EDGE_LOOP('',(#259930,#259931,#259932,#259933)); #48416=EDGE_LOOP('',(#259934,#259935,#259936,#259937)); #48417=EDGE_LOOP('',(#259938,#259939,#259940,#259941)); #48418=EDGE_LOOP('',(#259942,#259943,#259944,#259945)); #48419=EDGE_LOOP('',(#259946,#259947,#259948,#259949)); #48420=EDGE_LOOP('',(#259950,#259951,#259952,#259953)); #48421=EDGE_LOOP('',(#259954,#259955,#259956,#259957)); #48422=EDGE_LOOP('',(#259958,#259959,#259960,#259961)); #48423=EDGE_LOOP('',(#259962,#259963,#259964,#259965)); #48424=EDGE_LOOP('',(#259966,#259967,#259968,#259969)); #48425=EDGE_LOOP('',(#259970,#259971,#259972,#259973)); #48426=EDGE_LOOP('',(#259974,#259975,#259976,#259977)); #48427=EDGE_LOOP('',(#259978,#259979,#259980,#259981)); #48428=EDGE_LOOP('',(#259982,#259983,#259984,#259985)); #48429=EDGE_LOOP('',(#259986,#259987,#259988,#259989)); #48430=EDGE_LOOP('',(#259990,#259991,#259992,#259993)); #48431=EDGE_LOOP('',(#259994,#259995,#259996,#259997)); #48432=EDGE_LOOP('',(#259998,#259999,#260000,#260001)); #48433=EDGE_LOOP('',(#260002,#260003,#260004,#260005)); #48434=EDGE_LOOP('',(#260006,#260007,#260008,#260009)); #48435=EDGE_LOOP('',(#260010,#260011,#260012,#260013)); #48436=EDGE_LOOP('',(#260014,#260015,#260016,#260017)); #48437=EDGE_LOOP('',(#260018,#260019,#260020,#260021)); #48438=EDGE_LOOP('',(#260022,#260023,#260024,#260025)); #48439=EDGE_LOOP('',(#260026,#260027,#260028,#260029)); #48440=EDGE_LOOP('',(#260030,#260031,#260032,#260033)); #48441=EDGE_LOOP('',(#260034,#260035,#260036,#260037)); #48442=EDGE_LOOP('',(#260038,#260039,#260040,#260041)); #48443=EDGE_LOOP('',(#260042,#260043,#260044,#260045)); #48444=EDGE_LOOP('',(#260046,#260047,#260048,#260049)); #48445=EDGE_LOOP('',(#260050,#260051,#260052,#260053)); #48446=EDGE_LOOP('',(#260054,#260055,#260056,#260057)); #48447=EDGE_LOOP('',(#260058,#260059,#260060,#260061)); #48448=EDGE_LOOP('',(#260062,#260063,#260064,#260065)); #48449=EDGE_LOOP('',(#260066,#260067,#260068,#260069)); #48450=EDGE_LOOP('',(#260070,#260071,#260072,#260073)); #48451=EDGE_LOOP('',(#260074,#260075,#260076,#260077)); #48452=EDGE_LOOP('',(#260078,#260079,#260080,#260081)); #48453=EDGE_LOOP('',(#260082,#260083,#260084,#260085)); #48454=EDGE_LOOP('',(#260086,#260087,#260088,#260089)); #48455=EDGE_LOOP('',(#260090,#260091,#260092,#260093)); #48456=EDGE_LOOP('',(#260094,#260095,#260096,#260097,#260098,#260099,#260100, #260101,#260102,#260103,#260104,#260105,#260106,#260107,#260108,#260109, #260110,#260111,#260112,#260113,#260114,#260115,#260116,#260117,#260118, #260119,#260120,#260121,#260122,#260123,#260124,#260125,#260126,#260127, #260128,#260129,#260130,#260131,#260132,#260133,#260134,#260135,#260136, #260137,#260138,#260139,#260140,#260141,#260142,#260143,#260144,#260145, #260146,#260147,#260148,#260149,#260150,#260151,#260152,#260153,#260154, #260155,#260156,#260157,#260158,#260159,#260160,#260161,#260162,#260163, #260164,#260165)); #48457=EDGE_LOOP('',(#260166,#260167,#260168,#260169)); #48458=EDGE_LOOP('',(#260170,#260171,#260172,#260173,#260174,#260175,#260176, #260177,#260178,#260179,#260180,#260181,#260182,#260183,#260184,#260185, #260186,#260187,#260188,#260189,#260190,#260191,#260192,#260193,#260194, #260195,#260196,#260197,#260198,#260199,#260200,#260201,#260202,#260203, #260204,#260205,#260206,#260207,#260208,#260209,#260210,#260211,#260212, #260213,#260214,#260215,#260216,#260217,#260218,#260219,#260220,#260221, #260222,#260223,#260224,#260225,#260226,#260227,#260228,#260229,#260230, #260231,#260232,#260233,#260234,#260235,#260236,#260237,#260238,#260239, #260240,#260241)); #48459=EDGE_LOOP('',(#260242,#260243,#260244,#260245)); #48460=EDGE_LOOP('',(#260246,#260247,#260248,#260249)); #48461=EDGE_LOOP('',(#260250,#260251,#260252,#260253)); #48462=EDGE_LOOP('',(#260254,#260255,#260256,#260257)); #48463=EDGE_LOOP('',(#260258,#260259,#260260,#260261)); #48464=EDGE_LOOP('',(#260262,#260263,#260264,#260265)); #48465=EDGE_LOOP('',(#260266,#260267,#260268,#260269)); #48466=EDGE_LOOP('',(#260270,#260271,#260272,#260273)); #48467=EDGE_LOOP('',(#260274,#260275,#260276,#260277)); #48468=EDGE_LOOP('',(#260278,#260279,#260280,#260281)); #48469=EDGE_LOOP('',(#260282,#260283,#260284,#260285,#260286,#260287,#260288, #260289)); #48470=EDGE_LOOP('',(#260290)); #48471=EDGE_LOOP('',(#260291,#260292,#260293,#260294,#260295,#260296,#260297, #260298)); #48472=EDGE_LOOP('',(#260299)); #48473=EDGE_LOOP('',(#260300,#260301,#260302,#260303)); #48474=EDGE_LOOP('',(#260304,#260305,#260306,#260307)); #48475=EDGE_LOOP('',(#260308,#260309,#260310,#260311)); #48476=EDGE_LOOP('',(#260312,#260313,#260314,#260315)); #48477=EDGE_LOOP('',(#260316,#260317,#260318)); #48478=EDGE_LOOP('',(#260319)); #48479=EDGE_LOOP('',(#260320,#260321,#260322)); #48480=EDGE_LOOP('',(#260323)); #48481=EDGE_LOOP('',(#260324,#260325,#260326,#260327)); #48482=EDGE_LOOP('',(#260328,#260329,#260330,#260331)); #48483=EDGE_LOOP('',(#260332,#260333,#260334,#260335)); #48484=EDGE_LOOP('',(#260336,#260337,#260338,#260339)); #48485=EDGE_LOOP('',(#260340,#260341,#260342)); #48486=EDGE_LOOP('',(#260343)); #48487=EDGE_LOOP('',(#260344,#260345,#260346)); #48488=EDGE_LOOP('',(#260347)); #48489=EDGE_LOOP('',(#260348,#260349,#260350,#260351)); #48490=EDGE_LOOP('',(#260352,#260353,#260354,#260355)); #48491=EDGE_LOOP('',(#260356,#260357,#260358,#260359)); #48492=EDGE_LOOP('',(#260360,#260361,#260362,#260363)); #48493=EDGE_LOOP('',(#260364,#260365,#260366)); #48494=EDGE_LOOP('',(#260367)); #48495=EDGE_LOOP('',(#260368,#260369,#260370)); #48496=EDGE_LOOP('',(#260371)); #48497=EDGE_LOOP('',(#260372,#260373,#260374,#260375)); #48498=EDGE_LOOP('',(#260376,#260377,#260378,#260379)); #48499=EDGE_LOOP('',(#260380,#260381,#260382,#260383)); #48500=EDGE_LOOP('',(#260384,#260385,#260386,#260387)); #48501=EDGE_LOOP('',(#260388,#260389,#260390,#260391)); #48502=EDGE_LOOP('',(#260392,#260393,#260394,#260395)); #48503=EDGE_LOOP('',(#260396)); #48504=EDGE_LOOP('',(#260397,#260398,#260399,#260400)); #48505=EDGE_LOOP('',(#260401)); #48506=EDGE_LOOP('',(#260402,#260403,#260404,#260405)); #48507=EDGE_LOOP('',(#260406,#260407,#260408,#260409)); #48508=EDGE_LOOP('',(#260410,#260411,#260412,#260413)); #48509=EDGE_LOOP('',(#260414,#260415,#260416,#260417)); #48510=EDGE_LOOP('',(#260418,#260419,#260420,#260421)); #48511=EDGE_LOOP('',(#260422,#260423,#260424,#260425)); #48512=EDGE_LOOP('',(#260426,#260427,#260428,#260429)); #48513=EDGE_LOOP('',(#260430,#260431,#260432,#260433)); #48514=EDGE_LOOP('',(#260434,#260435,#260436,#260437)); #48515=EDGE_LOOP('',(#260438,#260439,#260440,#260441,#260442,#260443,#260444, #260445)); #48516=EDGE_LOOP('',(#260446)); #48517=EDGE_LOOP('',(#260447,#260448,#260449,#260450,#260451,#260452,#260453, #260454)); #48518=EDGE_LOOP('',(#260455)); #48519=EDGE_LOOP('',(#260456,#260457,#260458,#260459)); #48520=EDGE_LOOP('',(#260460,#260461,#260462,#260463)); #48521=EDGE_LOOP('',(#260464,#260465,#260466,#260467)); #48522=EDGE_LOOP('',(#260468,#260469,#260470,#260471)); #48523=EDGE_LOOP('',(#260472,#260473,#260474)); #48524=EDGE_LOOP('',(#260475)); #48525=EDGE_LOOP('',(#260476,#260477,#260478)); #48526=EDGE_LOOP('',(#260479)); #48527=EDGE_LOOP('',(#260480,#260481,#260482,#260483)); #48528=EDGE_LOOP('',(#260484,#260485,#260486,#260487)); #48529=EDGE_LOOP('',(#260488,#260489,#260490,#260491)); #48530=EDGE_LOOP('',(#260492,#260493,#260494,#260495)); #48531=EDGE_LOOP('',(#260496,#260497,#260498)); #48532=EDGE_LOOP('',(#260499)); #48533=EDGE_LOOP('',(#260500,#260501,#260502)); #48534=EDGE_LOOP('',(#260503)); #48535=EDGE_LOOP('',(#260504,#260505,#260506,#260507)); #48536=EDGE_LOOP('',(#260508,#260509,#260510,#260511)); #48537=EDGE_LOOP('',(#260512,#260513,#260514,#260515)); #48538=EDGE_LOOP('',(#260516,#260517,#260518,#260519)); #48539=EDGE_LOOP('',(#260520,#260521,#260522,#260523)); #48540=EDGE_LOOP('',(#260524,#260525,#260526,#260527)); #48541=EDGE_LOOP('',(#260528,#260529,#260530,#260531)); #48542=EDGE_LOOP('',(#260532,#260533,#260534,#260535)); #48543=EDGE_LOOP('',(#260536,#260537,#260538,#260539)); #48544=EDGE_LOOP('',(#260540,#260541,#260542,#260543)); #48545=EDGE_LOOP('',(#260544,#260545,#260546,#260547)); #48546=EDGE_LOOP('',(#260548,#260549,#260550,#260551)); #48547=EDGE_LOOP('',(#260552,#260553,#260554,#260555)); #48548=EDGE_LOOP('',(#260556,#260557,#260558,#260559)); #48549=EDGE_LOOP('',(#260560,#260561,#260562,#260563)); #48550=EDGE_LOOP('',(#260564,#260565,#260566,#260567)); #48551=EDGE_LOOP('',(#260568,#260569,#260570,#260571)); #48552=EDGE_LOOP('',(#260572,#260573,#260574,#260575)); #48553=EDGE_LOOP('',(#260576,#260577,#260578,#260579)); #48554=EDGE_LOOP('',(#260580,#260581,#260582,#260583)); #48555=EDGE_LOOP('',(#260584,#260585,#260586,#260587)); #48556=EDGE_LOOP('',(#260588,#260589,#260590,#260591)); #48557=EDGE_LOOP('',(#260592,#260593,#260594,#260595)); #48558=EDGE_LOOP('',(#260596,#260597,#260598,#260599)); #48559=EDGE_LOOP('',(#260600,#260601,#260602,#260603)); #48560=EDGE_LOOP('',(#260604,#260605,#260606,#260607)); #48561=EDGE_LOOP('',(#260608,#260609,#260610,#260611)); #48562=EDGE_LOOP('',(#260612,#260613,#260614,#260615)); #48563=EDGE_LOOP('',(#260616,#260617,#260618,#260619)); #48564=EDGE_LOOP('',(#260620,#260621,#260622,#260623)); #48565=EDGE_LOOP('',(#260624,#260625,#260626,#260627)); #48566=EDGE_LOOP('',(#260628,#260629,#260630,#260631)); #48567=EDGE_LOOP('',(#260632,#260633,#260634,#260635)); #48568=EDGE_LOOP('',(#260636,#260637,#260638,#260639)); #48569=EDGE_LOOP('',(#260640,#260641,#260642,#260643)); #48570=EDGE_LOOP('',(#260644,#260645,#260646,#260647)); #48571=EDGE_LOOP('',(#260648,#260649,#260650,#260651)); #48572=EDGE_LOOP('',(#260652,#260653,#260654,#260655)); #48573=EDGE_LOOP('',(#260656,#260657,#260658,#260659)); #48574=EDGE_LOOP('',(#260660,#260661,#260662,#260663)); #48575=EDGE_LOOP('',(#260664,#260665,#260666,#260667)); #48576=EDGE_LOOP('',(#260668,#260669,#260670,#260671)); #48577=EDGE_LOOP('',(#260672,#260673,#260674,#260675)); #48578=EDGE_LOOP('',(#260676,#260677,#260678,#260679)); #48579=EDGE_LOOP('',(#260680,#260681,#260682,#260683)); #48580=EDGE_LOOP('',(#260684,#260685,#260686,#260687)); #48581=EDGE_LOOP('',(#260688,#260689,#260690,#260691)); #48582=EDGE_LOOP('',(#260692,#260693,#260694,#260695)); #48583=EDGE_LOOP('',(#260696,#260697,#260698,#260699)); #48584=EDGE_LOOP('',(#260700,#260701,#260702,#260703)); #48585=EDGE_LOOP('',(#260704,#260705,#260706,#260707)); #48586=EDGE_LOOP('',(#260708,#260709,#260710,#260711)); #48587=EDGE_LOOP('',(#260712,#260713,#260714,#260715)); #48588=EDGE_LOOP('',(#260716,#260717,#260718,#260719)); #48589=EDGE_LOOP('',(#260720,#260721,#260722,#260723)); #48590=EDGE_LOOP('',(#260724,#260725,#260726,#260727)); #48591=EDGE_LOOP('',(#260728,#260729,#260730,#260731)); #48592=EDGE_LOOP('',(#260732,#260733,#260734,#260735)); #48593=EDGE_LOOP('',(#260736,#260737,#260738,#260739)); #48594=EDGE_LOOP('',(#260740,#260741,#260742,#260743)); #48595=EDGE_LOOP('',(#260744,#260745,#260746,#260747)); #48596=EDGE_LOOP('',(#260748,#260749,#260750,#260751)); #48597=EDGE_LOOP('',(#260752,#260753,#260754,#260755)); #48598=EDGE_LOOP('',(#260756,#260757,#260758,#260759)); #48599=EDGE_LOOP('',(#260760,#260761,#260762,#260763)); #48600=EDGE_LOOP('',(#260764,#260765,#260766,#260767)); #48601=EDGE_LOOP('',(#260768,#260769,#260770,#260771)); #48602=EDGE_LOOP('',(#260772,#260773,#260774,#260775)); #48603=EDGE_LOOP('',(#260776,#260777,#260778,#260779)); #48604=EDGE_LOOP('',(#260780,#260781,#260782,#260783)); #48605=EDGE_LOOP('',(#260784,#260785,#260786,#260787)); #48606=EDGE_LOOP('',(#260788,#260789,#260790,#260791)); #48607=EDGE_LOOP('',(#260792,#260793,#260794,#260795)); #48608=EDGE_LOOP('',(#260796,#260797,#260798,#260799)); #48609=EDGE_LOOP('',(#260800,#260801,#260802,#260803)); #48610=EDGE_LOOP('',(#260804,#260805,#260806,#260807)); #48611=EDGE_LOOP('',(#260808,#260809,#260810,#260811)); #48612=EDGE_LOOP('',(#260812,#260813,#260814,#260815)); #48613=EDGE_LOOP('',(#260816,#260817,#260818,#260819)); #48614=EDGE_LOOP('',(#260820,#260821,#260822,#260823)); #48615=EDGE_LOOP('',(#260824,#260825,#260826,#260827)); #48616=EDGE_LOOP('',(#260828,#260829,#260830,#260831)); #48617=EDGE_LOOP('',(#260832,#260833,#260834,#260835)); #48618=EDGE_LOOP('',(#260836,#260837,#260838,#260839)); #48619=EDGE_LOOP('',(#260840,#260841,#260842,#260843)); #48620=EDGE_LOOP('',(#260844,#260845,#260846,#260847)); #48621=EDGE_LOOP('',(#260848,#260849,#260850,#260851)); #48622=EDGE_LOOP('',(#260852,#260853,#260854,#260855)); #48623=EDGE_LOOP('',(#260856,#260857,#260858,#260859)); #48624=EDGE_LOOP('',(#260860,#260861,#260862,#260863)); #48625=EDGE_LOOP('',(#260864,#260865,#260866,#260867)); #48626=EDGE_LOOP('',(#260868,#260869,#260870,#260871)); #48627=EDGE_LOOP('',(#260872,#260873,#260874,#260875)); #48628=EDGE_LOOP('',(#260876,#260877,#260878,#260879)); #48629=EDGE_LOOP('',(#260880,#260881,#260882,#260883)); #48630=EDGE_LOOP('',(#260884)); #48631=EDGE_LOOP('',(#260885)); #48632=EDGE_LOOP('',(#260886)); #48633=EDGE_LOOP('',(#260887)); #48634=EDGE_LOOP('',(#260888)); #48635=EDGE_LOOP('',(#260889)); #48636=EDGE_LOOP('',(#260890,#260891,#260892,#260893)); #48637=EDGE_LOOP('',(#260894)); #48638=EDGE_LOOP('',(#260895)); #48639=EDGE_LOOP('',(#260896)); #48640=EDGE_LOOP('',(#260897)); #48641=EDGE_LOOP('',(#260898)); #48642=EDGE_LOOP('',(#260899)); #48643=EDGE_LOOP('',(#260900,#260901,#260902,#260903)); #48644=EDGE_LOOP('',(#260904,#260905,#260906,#260907)); #48645=EDGE_LOOP('',(#260908,#260909,#260910,#260911)); #48646=EDGE_LOOP('',(#260912,#260913,#260914,#260915)); #48647=EDGE_LOOP('',(#260916,#260917,#260918,#260919)); #48648=EDGE_LOOP('',(#260920,#260921,#260922,#260923)); #48649=EDGE_LOOP('',(#260924,#260925,#260926,#260927)); #48650=EDGE_LOOP('',(#260928,#260929,#260930,#260931)); #48651=EDGE_LOOP('',(#260932,#260933,#260934,#260935)); #48652=EDGE_LOOP('',(#260936,#260937,#260938,#260939)); #48653=EDGE_LOOP('',(#260940,#260941,#260942,#260943)); #48654=EDGE_LOOP('',(#260944,#260945,#260946,#260947)); #48655=EDGE_LOOP('',(#260948,#260949,#260950,#260951)); #48656=EDGE_LOOP('',(#260952,#260953,#260954,#260955)); #48657=EDGE_LOOP('',(#260956,#260957,#260958,#260959)); #48658=EDGE_LOOP('',(#260960,#260961,#260962,#260963)); #48659=EDGE_LOOP('',(#260964,#260965,#260966,#260967)); #48660=EDGE_LOOP('',(#260968,#260969,#260970,#260971)); #48661=EDGE_LOOP('',(#260972,#260973,#260974,#260975)); #48662=EDGE_LOOP('',(#260976,#260977,#260978,#260979)); #48663=EDGE_LOOP('',(#260980,#260981,#260982,#260983)); #48664=EDGE_LOOP('',(#260984,#260985,#260986,#260987)); #48665=EDGE_LOOP('',(#260988,#260989,#260990,#260991)); #48666=EDGE_LOOP('',(#260992,#260993,#260994,#260995)); #48667=EDGE_LOOP('',(#260996,#260997,#260998,#260999)); #48668=EDGE_LOOP('',(#261000,#261001,#261002,#261003)); #48669=EDGE_LOOP('',(#261004,#261005,#261006,#261007)); #48670=EDGE_LOOP('',(#261008,#261009,#261010,#261011)); #48671=EDGE_LOOP('',(#261012,#261013,#261014,#261015)); #48672=EDGE_LOOP('',(#261016,#261017,#261018,#261019)); #48673=EDGE_LOOP('',(#261020,#261021,#261022,#261023)); #48674=EDGE_LOOP('',(#261024,#261025,#261026,#261027)); #48675=EDGE_LOOP('',(#261028,#261029,#261030,#261031)); #48676=EDGE_LOOP('',(#261032,#261033,#261034,#261035)); #48677=EDGE_LOOP('',(#261036,#261037,#261038,#261039)); #48678=EDGE_LOOP('',(#261040,#261041,#261042,#261043)); #48679=EDGE_LOOP('',(#261044,#261045,#261046,#261047)); #48680=EDGE_LOOP('',(#261048,#261049,#261050,#261051)); #48681=EDGE_LOOP('',(#261052,#261053,#261054,#261055)); #48682=EDGE_LOOP('',(#261056,#261057,#261058,#261059)); #48683=EDGE_LOOP('',(#261060,#261061,#261062,#261063)); #48684=EDGE_LOOP('',(#261064,#261065,#261066,#261067)); #48685=EDGE_LOOP('',(#261068,#261069,#261070,#261071)); #48686=EDGE_LOOP('',(#261072,#261073,#261074,#261075)); #48687=EDGE_LOOP('',(#261076,#261077,#261078,#261079)); #48688=EDGE_LOOP('',(#261080,#261081,#261082,#261083)); #48689=EDGE_LOOP('',(#261084,#261085,#261086,#261087)); #48690=EDGE_LOOP('',(#261088,#261089,#261090,#261091)); #48691=EDGE_LOOP('',(#261092,#261093,#261094,#261095)); #48692=EDGE_LOOP('',(#261096,#261097,#261098,#261099)); #48693=EDGE_LOOP('',(#261100,#261101,#261102,#261103)); #48694=EDGE_LOOP('',(#261104,#261105,#261106,#261107)); #48695=EDGE_LOOP('',(#261108,#261109,#261110,#261111)); #48696=EDGE_LOOP('',(#261112,#261113,#261114,#261115)); #48697=EDGE_LOOP('',(#261116,#261117,#261118,#261119)); #48698=EDGE_LOOP('',(#261120,#261121,#261122,#261123)); #48699=EDGE_LOOP('',(#261124,#261125,#261126,#261127)); #48700=EDGE_LOOP('',(#261128,#261129,#261130,#261131)); #48701=EDGE_LOOP('',(#261132,#261133,#261134,#261135)); #48702=EDGE_LOOP('',(#261136,#261137,#261138,#261139)); #48703=EDGE_LOOP('',(#261140,#261141,#261142,#261143)); #48704=EDGE_LOOP('',(#261144,#261145,#261146,#261147)); #48705=EDGE_LOOP('',(#261148,#261149,#261150,#261151)); #48706=EDGE_LOOP('',(#261152,#261153,#261154,#261155)); #48707=EDGE_LOOP('',(#261156,#261157,#261158,#261159)); #48708=EDGE_LOOP('',(#261160,#261161,#261162,#261163)); #48709=EDGE_LOOP('',(#261164,#261165,#261166,#261167)); #48710=EDGE_LOOP('',(#261168,#261169,#261170,#261171)); #48711=EDGE_LOOP('',(#261172,#261173,#261174,#261175)); #48712=EDGE_LOOP('',(#261176,#261177,#261178,#261179)); #48713=EDGE_LOOP('',(#261180,#261181,#261182,#261183)); #48714=EDGE_LOOP('',(#261184,#261185,#261186,#261187)); #48715=EDGE_LOOP('',(#261188,#261189,#261190,#261191)); #48716=EDGE_LOOP('',(#261192,#261193,#261194,#261195)); #48717=EDGE_LOOP('',(#261196,#261197,#261198,#261199)); #48718=EDGE_LOOP('',(#261200,#261201,#261202,#261203)); #48719=EDGE_LOOP('',(#261204,#261205,#261206,#261207)); #48720=EDGE_LOOP('',(#261208,#261209,#261210,#261211)); #48721=EDGE_LOOP('',(#261212,#261213,#261214,#261215)); #48722=EDGE_LOOP('',(#261216,#261217,#261218,#261219)); #48723=EDGE_LOOP('',(#261220,#261221,#261222,#261223)); #48724=EDGE_LOOP('',(#261224,#261225,#261226,#261227)); #48725=EDGE_LOOP('',(#261228,#261229,#261230,#261231)); #48726=EDGE_LOOP('',(#261232,#261233,#261234,#261235)); #48727=EDGE_LOOP('',(#261236,#261237,#261238,#261239)); #48728=EDGE_LOOP('',(#261240,#261241,#261242,#261243)); #48729=EDGE_LOOP('',(#261244,#261245,#261246,#261247)); #48730=EDGE_LOOP('',(#261248,#261249,#261250,#261251)); #48731=EDGE_LOOP('',(#261252,#261253,#261254,#261255)); #48732=EDGE_LOOP('',(#261256,#261257,#261258,#261259)); #48733=EDGE_LOOP('',(#261260,#261261,#261262,#261263)); #48734=EDGE_LOOP('',(#261264,#261265,#261266,#261267)); #48735=EDGE_LOOP('',(#261268,#261269,#261270,#261271)); #48736=EDGE_LOOP('',(#261272,#261273,#261274,#261275)); #48737=EDGE_LOOP('',(#261276,#261277,#261278,#261279)); #48738=EDGE_LOOP('',(#261280,#261281,#261282,#261283)); #48739=EDGE_LOOP('',(#261284,#261285,#261286,#261287)); #48740=EDGE_LOOP('',(#261288,#261289,#261290,#261291)); #48741=EDGE_LOOP('',(#261292,#261293,#261294,#261295)); #48742=EDGE_LOOP('',(#261296,#261297,#261298,#261299)); #48743=EDGE_LOOP('',(#261300,#261301,#261302,#261303)); #48744=EDGE_LOOP('',(#261304,#261305,#261306,#261307)); #48745=EDGE_LOOP('',(#261308,#261309,#261310,#261311)); #48746=EDGE_LOOP('',(#261312,#261313,#261314,#261315)); #48747=EDGE_LOOP('',(#261316,#261317,#261318,#261319)); #48748=EDGE_LOOP('',(#261320,#261321,#261322,#261323)); #48749=EDGE_LOOP('',(#261324,#261325,#261326,#261327)); #48750=EDGE_LOOP('',(#261328,#261329,#261330,#261331)); #48751=EDGE_LOOP('',(#261332,#261333,#261334,#261335)); #48752=EDGE_LOOP('',(#261336,#261337,#261338,#261339)); #48753=EDGE_LOOP('',(#261340,#261341,#261342,#261343)); #48754=EDGE_LOOP('',(#261344,#261345,#261346,#261347)); #48755=EDGE_LOOP('',(#261348,#261349,#261350,#261351)); #48756=EDGE_LOOP('',(#261352,#261353,#261354,#261355)); #48757=EDGE_LOOP('',(#261356,#261357,#261358,#261359)); #48758=EDGE_LOOP('',(#261360,#261361,#261362,#261363)); #48759=EDGE_LOOP('',(#261364,#261365,#261366,#261367)); #48760=EDGE_LOOP('',(#261368,#261369,#261370,#261371)); #48761=EDGE_LOOP('',(#261372,#261373,#261374,#261375)); #48762=EDGE_LOOP('',(#261376,#261377,#261378,#261379)); #48763=EDGE_LOOP('',(#261380,#261381,#261382,#261383)); #48764=EDGE_LOOP('',(#261384,#261385,#261386,#261387)); #48765=EDGE_LOOP('',(#261388,#261389,#261390,#261391)); #48766=EDGE_LOOP('',(#261392,#261393,#261394,#261395)); #48767=EDGE_LOOP('',(#261396,#261397,#261398,#261399)); #48768=EDGE_LOOP('',(#261400,#261401,#261402,#261403)); #48769=EDGE_LOOP('',(#261404,#261405,#261406,#261407)); #48770=EDGE_LOOP('',(#261408,#261409,#261410,#261411)); #48771=EDGE_LOOP('',(#261412,#261413,#261414,#261415)); #48772=EDGE_LOOP('',(#261416,#261417,#261418,#261419)); #48773=EDGE_LOOP('',(#261420,#261421,#261422,#261423)); #48774=EDGE_LOOP('',(#261424,#261425,#261426,#261427)); #48775=EDGE_LOOP('',(#261428,#261429,#261430,#261431)); #48776=EDGE_LOOP('',(#261432,#261433,#261434,#261435)); #48777=EDGE_LOOP('',(#261436,#261437,#261438,#261439)); #48778=EDGE_LOOP('',(#261440,#261441,#261442,#261443)); #48779=EDGE_LOOP('',(#261444,#261445,#261446,#261447)); #48780=EDGE_LOOP('',(#261448,#261449,#261450,#261451)); #48781=EDGE_LOOP('',(#261452,#261453,#261454,#261455)); #48782=EDGE_LOOP('',(#261456,#261457,#261458,#261459)); #48783=EDGE_LOOP('',(#261460,#261461,#261462,#261463)); #48784=EDGE_LOOP('',(#261464,#261465,#261466,#261467)); #48785=EDGE_LOOP('',(#261468,#261469,#261470,#261471)); #48786=EDGE_LOOP('',(#261472,#261473,#261474,#261475)); #48787=EDGE_LOOP('',(#261476,#261477,#261478,#261479)); #48788=EDGE_LOOP('',(#261480,#261481,#261482,#261483)); #48789=EDGE_LOOP('',(#261484,#261485,#261486,#261487)); #48790=EDGE_LOOP('',(#261488,#261489,#261490,#261491)); #48791=EDGE_LOOP('',(#261492,#261493,#261494,#261495)); #48792=EDGE_LOOP('',(#261496)); #48793=EDGE_LOOP('',(#261497)); #48794=EDGE_LOOP('',(#261498)); #48795=EDGE_LOOP('',(#261499)); #48796=EDGE_LOOP('',(#261500)); #48797=EDGE_LOOP('',(#261501)); #48798=EDGE_LOOP('',(#261502,#261503,#261504,#261505)); #48799=EDGE_LOOP('',(#261506)); #48800=EDGE_LOOP('',(#261507)); #48801=EDGE_LOOP('',(#261508)); #48802=EDGE_LOOP('',(#261509)); #48803=EDGE_LOOP('',(#261510)); #48804=EDGE_LOOP('',(#261511)); #48805=EDGE_LOOP('',(#261512,#261513,#261514,#261515)); #48806=EDGE_LOOP('',(#261516,#261517,#261518,#261519)); #48807=EDGE_LOOP('',(#261520,#261521,#261522,#261523)); #48808=EDGE_LOOP('',(#261524,#261525,#261526,#261527)); #48809=EDGE_LOOP('',(#261528,#261529,#261530,#261531)); #48810=EDGE_LOOP('',(#261532,#261533,#261534,#261535)); #48811=EDGE_LOOP('',(#261536,#261537,#261538,#261539)); #48812=EDGE_LOOP('',(#261540,#261541,#261542,#261543)); #48813=EDGE_LOOP('',(#261544,#261545,#261546,#261547)); #48814=EDGE_LOOP('',(#261548,#261549,#261550,#261551)); #48815=EDGE_LOOP('',(#261552,#261553,#261554,#261555)); #48816=EDGE_LOOP('',(#261556,#261557,#261558,#261559)); #48817=EDGE_LOOP('',(#261560,#261561,#261562,#261563)); #48818=EDGE_LOOP('',(#261564,#261565,#261566,#261567)); #48819=EDGE_LOOP('',(#261568,#261569,#261570,#261571)); #48820=EDGE_LOOP('',(#261572,#261573,#261574,#261575)); #48821=EDGE_LOOP('',(#261576,#261577,#261578,#261579)); #48822=EDGE_LOOP('',(#261580,#261581,#261582,#261583)); #48823=EDGE_LOOP('',(#261584,#261585,#261586,#261587)); #48824=EDGE_LOOP('',(#261588,#261589,#261590,#261591)); #48825=EDGE_LOOP('',(#261592,#261593,#261594,#261595)); #48826=EDGE_LOOP('',(#261596,#261597,#261598,#261599)); #48827=EDGE_LOOP('',(#261600,#261601,#261602,#261603)); #48828=EDGE_LOOP('',(#261604)); #48829=EDGE_LOOP('',(#261605)); #48830=EDGE_LOOP('',(#261606)); #48831=EDGE_LOOP('',(#261607)); #48832=EDGE_LOOP('',(#261608)); #48833=EDGE_LOOP('',(#261609)); #48834=EDGE_LOOP('',(#261610,#261611,#261612,#261613)); #48835=EDGE_LOOP('',(#261614)); #48836=EDGE_LOOP('',(#261615)); #48837=EDGE_LOOP('',(#261616)); #48838=EDGE_LOOP('',(#261617)); #48839=EDGE_LOOP('',(#261618)); #48840=EDGE_LOOP('',(#261619)); #48841=EDGE_LOOP('',(#261620,#261621,#261622,#261623)); #48842=EDGE_LOOP('',(#261624,#261625,#261626,#261627)); #48843=EDGE_LOOP('',(#261628,#261629,#261630,#261631)); #48844=EDGE_LOOP('',(#261632,#261633,#261634,#261635)); #48845=EDGE_LOOP('',(#261636,#261637,#261638,#261639)); #48846=EDGE_LOOP('',(#261640,#261641,#261642,#261643)); #48847=EDGE_LOOP('',(#261644,#261645,#261646,#261647)); #48848=EDGE_LOOP('',(#261648,#261649,#261650,#261651)); #48849=EDGE_LOOP('',(#261652,#261653,#261654,#261655)); #48850=EDGE_LOOP('',(#261656,#261657,#261658,#261659)); #48851=EDGE_LOOP('',(#261660,#261661,#261662,#261663)); #48852=EDGE_LOOP('',(#261664,#261665,#261666,#261667)); #48853=EDGE_LOOP('',(#261668,#261669,#261670,#261671)); #48854=EDGE_LOOP('',(#261672,#261673,#261674,#261675)); #48855=EDGE_LOOP('',(#261676,#261677,#261678,#261679)); #48856=EDGE_LOOP('',(#261680,#261681,#261682,#261683)); #48857=EDGE_LOOP('',(#261684,#261685,#261686,#261687)); #48858=EDGE_LOOP('',(#261688,#261689,#261690,#261691)); #48859=EDGE_LOOP('',(#261692,#261693,#261694,#261695)); #48860=EDGE_LOOP('',(#261696,#261697,#261698,#261699)); #48861=EDGE_LOOP('',(#261700,#261701,#261702,#261703)); #48862=EDGE_LOOP('',(#261704,#261705,#261706,#261707)); #48863=EDGE_LOOP('',(#261708,#261709,#261710,#261711)); #48864=EDGE_LOOP('',(#261712,#261713,#261714,#261715)); #48865=EDGE_LOOP('',(#261716,#261717,#261718,#261719)); #48866=EDGE_LOOP('',(#261720,#261721,#261722,#261723)); #48867=EDGE_LOOP('',(#261724,#261725,#261726,#261727)); #48868=EDGE_LOOP('',(#261728,#261729,#261730,#261731)); #48869=EDGE_LOOP('',(#261732,#261733,#261734,#261735)); #48870=EDGE_LOOP('',(#261736,#261737,#261738,#261739)); #48871=EDGE_LOOP('',(#261740,#261741,#261742,#261743)); #48872=EDGE_LOOP('',(#261744,#261745,#261746,#261747)); #48873=EDGE_LOOP('',(#261748,#261749,#261750,#261751)); #48874=EDGE_LOOP('',(#261752,#261753,#261754,#261755)); #48875=EDGE_LOOP('',(#261756,#261757,#261758,#261759)); #48876=EDGE_LOOP('',(#261760,#261761,#261762,#261763)); #48877=EDGE_LOOP('',(#261764,#261765,#261766,#261767)); #48878=EDGE_LOOP('',(#261768,#261769,#261770,#261771)); #48879=EDGE_LOOP('',(#261772,#261773,#261774,#261775)); #48880=EDGE_LOOP('',(#261776,#261777,#261778,#261779)); #48881=EDGE_LOOP('',(#261780,#261781,#261782,#261783)); #48882=EDGE_LOOP('',(#261784,#261785,#261786,#261787)); #48883=EDGE_LOOP('',(#261788,#261789,#261790,#261791)); #48884=EDGE_LOOP('',(#261792,#261793,#261794,#261795)); #48885=EDGE_LOOP('',(#261796,#261797,#261798,#261799)); #48886=EDGE_LOOP('',(#261800,#261801,#261802,#261803)); #48887=EDGE_LOOP('',(#261804,#261805,#261806,#261807)); #48888=EDGE_LOOP('',(#261808,#261809,#261810,#261811)); #48889=EDGE_LOOP('',(#261812,#261813,#261814,#261815)); #48890=EDGE_LOOP('',(#261816,#261817,#261818,#261819)); #48891=EDGE_LOOP('',(#261820,#261821,#261822,#261823)); #48892=EDGE_LOOP('',(#261824,#261825,#261826,#261827)); #48893=EDGE_LOOP('',(#261828,#261829,#261830,#261831)); #48894=EDGE_LOOP('',(#261832,#261833,#261834,#261835)); #48895=EDGE_LOOP('',(#261836,#261837,#261838,#261839)); #48896=EDGE_LOOP('',(#261840,#261841,#261842,#261843)); #48897=EDGE_LOOP('',(#261844,#261845,#261846,#261847)); #48898=EDGE_LOOP('',(#261848,#261849,#261850,#261851)); #48899=EDGE_LOOP('',(#261852,#261853,#261854,#261855)); #48900=EDGE_LOOP('',(#261856,#261857,#261858,#261859)); #48901=EDGE_LOOP('',(#261860,#261861,#261862,#261863)); #48902=EDGE_LOOP('',(#261864,#261865,#261866,#261867)); #48903=EDGE_LOOP('',(#261868,#261869,#261870,#261871)); #48904=EDGE_LOOP('',(#261872,#261873,#261874,#261875)); #48905=EDGE_LOOP('',(#261876,#261877,#261878,#261879)); #48906=EDGE_LOOP('',(#261880,#261881,#261882,#261883)); #48907=EDGE_LOOP('',(#261884,#261885,#261886,#261887)); #48908=EDGE_LOOP('',(#261888,#261889,#261890,#261891)); #48909=EDGE_LOOP('',(#261892,#261893,#261894,#261895)); #48910=EDGE_LOOP('',(#261896,#261897,#261898,#261899)); #48911=EDGE_LOOP('',(#261900,#261901,#261902,#261903)); #48912=EDGE_LOOP('',(#261904,#261905,#261906,#261907)); #48913=EDGE_LOOP('',(#261908,#261909,#261910,#261911)); #48914=EDGE_LOOP('',(#261912,#261913,#261914,#261915)); #48915=EDGE_LOOP('',(#261916,#261917,#261918,#261919)); #48916=EDGE_LOOP('',(#261920,#261921,#261922,#261923)); #48917=EDGE_LOOP('',(#261924,#261925,#261926,#261927)); #48918=EDGE_LOOP('',(#261928,#261929,#261930,#261931)); #48919=EDGE_LOOP('',(#261932,#261933,#261934,#261935)); #48920=EDGE_LOOP('',(#261936,#261937,#261938,#261939)); #48921=EDGE_LOOP('',(#261940,#261941,#261942,#261943)); #48922=EDGE_LOOP('',(#261944,#261945,#261946,#261947)); #48923=EDGE_LOOP('',(#261948,#261949,#261950,#261951)); #48924=EDGE_LOOP('',(#261952,#261953,#261954,#261955)); #48925=EDGE_LOOP('',(#261956,#261957,#261958,#261959)); #48926=EDGE_LOOP('',(#261960,#261961,#261962,#261963)); #48927=EDGE_LOOP('',(#261964,#261965,#261966,#261967)); #48928=EDGE_LOOP('',(#261968,#261969,#261970,#261971)); #48929=EDGE_LOOP('',(#261972,#261973,#261974,#261975)); #48930=EDGE_LOOP('',(#261976,#261977,#261978,#261979)); #48931=EDGE_LOOP('',(#261980,#261981,#261982,#261983)); #48932=EDGE_LOOP('',(#261984,#261985,#261986,#261987)); #48933=EDGE_LOOP('',(#261988,#261989,#261990,#261991)); #48934=EDGE_LOOP('',(#261992,#261993,#261994,#261995)); #48935=EDGE_LOOP('',(#261996,#261997,#261998,#261999)); #48936=EDGE_LOOP('',(#262000,#262001,#262002,#262003)); #48937=EDGE_LOOP('',(#262004,#262005,#262006,#262007)); #48938=EDGE_LOOP('',(#262008,#262009,#262010,#262011)); #48939=EDGE_LOOP('',(#262012,#262013,#262014,#262015)); #48940=EDGE_LOOP('',(#262016,#262017,#262018,#262019)); #48941=EDGE_LOOP('',(#262020,#262021,#262022,#262023)); #48942=EDGE_LOOP('',(#262024,#262025,#262026,#262027)); #48943=EDGE_LOOP('',(#262028,#262029,#262030,#262031)); #48944=EDGE_LOOP('',(#262032,#262033,#262034,#262035)); #48945=EDGE_LOOP('',(#262036,#262037,#262038,#262039)); #48946=EDGE_LOOP('',(#262040,#262041,#262042,#262043)); #48947=EDGE_LOOP('',(#262044,#262045,#262046,#262047)); #48948=EDGE_LOOP('',(#262048,#262049,#262050,#262051)); #48949=EDGE_LOOP('',(#262052,#262053,#262054,#262055)); #48950=EDGE_LOOP('',(#262056,#262057,#262058,#262059)); #48951=EDGE_LOOP('',(#262060,#262061,#262062,#262063)); #48952=EDGE_LOOP('',(#262064,#262065,#262066,#262067)); #48953=EDGE_LOOP('',(#262068,#262069,#262070,#262071)); #48954=EDGE_LOOP('',(#262072,#262073,#262074,#262075)); #48955=EDGE_LOOP('',(#262076,#262077,#262078,#262079)); #48956=EDGE_LOOP('',(#262080,#262081,#262082,#262083)); #48957=EDGE_LOOP('',(#262084,#262085,#262086,#262087)); #48958=EDGE_LOOP('',(#262088,#262089,#262090,#262091)); #48959=EDGE_LOOP('',(#262092,#262093,#262094,#262095)); #48960=EDGE_LOOP('',(#262096,#262097,#262098,#262099)); #48961=EDGE_LOOP('',(#262100,#262101,#262102,#262103)); #48962=EDGE_LOOP('',(#262104,#262105,#262106,#262107)); #48963=EDGE_LOOP('',(#262108,#262109,#262110,#262111)); #48964=EDGE_LOOP('',(#262112,#262113,#262114,#262115)); #48965=EDGE_LOOP('',(#262116,#262117,#262118,#262119)); #48966=EDGE_LOOP('',(#262120,#262121,#262122,#262123)); #48967=EDGE_LOOP('',(#262124,#262125,#262126,#262127)); #48968=EDGE_LOOP('',(#262128,#262129,#262130,#262131)); #48969=EDGE_LOOP('',(#262132,#262133,#262134,#262135)); #48970=EDGE_LOOP('',(#262136,#262137,#262138,#262139)); #48971=EDGE_LOOP('',(#262140,#262141,#262142,#262143)); #48972=EDGE_LOOP('',(#262144,#262145,#262146,#262147)); #48973=EDGE_LOOP('',(#262148,#262149,#262150,#262151)); #48974=EDGE_LOOP('',(#262152,#262153,#262154,#262155)); #48975=EDGE_LOOP('',(#262156,#262157,#262158,#262159)); #48976=EDGE_LOOP('',(#262160,#262161,#262162,#262163)); #48977=EDGE_LOOP('',(#262164,#262165,#262166,#262167)); #48978=EDGE_LOOP('',(#262168,#262169,#262170,#262171)); #48979=EDGE_LOOP('',(#262172)); #48980=EDGE_LOOP('',(#262173)); #48981=EDGE_LOOP('',(#262174)); #48982=EDGE_LOOP('',(#262175)); #48983=EDGE_LOOP('',(#262176)); #48984=EDGE_LOOP('',(#262177)); #48985=EDGE_LOOP('',(#262178)); #48986=EDGE_LOOP('',(#262179)); #48987=EDGE_LOOP('',(#262180)); #48988=EDGE_LOOP('',(#262181)); #48989=EDGE_LOOP('',(#262182)); #48990=EDGE_LOOP('',(#262183)); #48991=EDGE_LOOP('',(#262184)); #48992=EDGE_LOOP('',(#262185)); #48993=EDGE_LOOP('',(#262186)); #48994=EDGE_LOOP('',(#262187)); #48995=EDGE_LOOP('',(#262188)); #48996=EDGE_LOOP('',(#262189)); #48997=EDGE_LOOP('',(#262190)); #48998=EDGE_LOOP('',(#262191)); #48999=EDGE_LOOP('',(#262192)); #49000=EDGE_LOOP('',(#262193)); #49001=EDGE_LOOP('',(#262194)); #49002=EDGE_LOOP('',(#262195)); #49003=EDGE_LOOP('',(#262196)); #49004=EDGE_LOOP('',(#262197)); #49005=EDGE_LOOP('',(#262198)); #49006=EDGE_LOOP('',(#262199)); #49007=EDGE_LOOP('',(#262200)); #49008=EDGE_LOOP('',(#262201)); #49009=EDGE_LOOP('',(#262202)); #49010=EDGE_LOOP('',(#262203)); #49011=EDGE_LOOP('',(#262204)); #49012=EDGE_LOOP('',(#262205)); #49013=EDGE_LOOP('',(#262206)); #49014=EDGE_LOOP('',(#262207)); #49015=EDGE_LOOP('',(#262208)); #49016=EDGE_LOOP('',(#262209)); #49017=EDGE_LOOP('',(#262210)); #49018=EDGE_LOOP('',(#262211)); #49019=EDGE_LOOP('',(#262212)); #49020=EDGE_LOOP('',(#262213)); #49021=EDGE_LOOP('',(#262214)); #49022=EDGE_LOOP('',(#262215)); #49023=EDGE_LOOP('',(#262216)); #49024=EDGE_LOOP('',(#262217)); #49025=EDGE_LOOP('',(#262218)); #49026=EDGE_LOOP('',(#262219)); #49027=EDGE_LOOP('',(#262220)); #49028=EDGE_LOOP('',(#262221,#262222,#262223,#262224)); #49029=EDGE_LOOP('',(#262225)); #49030=EDGE_LOOP('',(#262226)); #49031=EDGE_LOOP('',(#262227)); #49032=EDGE_LOOP('',(#262228)); #49033=EDGE_LOOP('',(#262229)); #49034=EDGE_LOOP('',(#262230)); #49035=EDGE_LOOP('',(#262231)); #49036=EDGE_LOOP('',(#262232)); #49037=EDGE_LOOP('',(#262233)); #49038=EDGE_LOOP('',(#262234)); #49039=EDGE_LOOP('',(#262235)); #49040=EDGE_LOOP('',(#262236)); #49041=EDGE_LOOP('',(#262237)); #49042=EDGE_LOOP('',(#262238)); #49043=EDGE_LOOP('',(#262239)); #49044=EDGE_LOOP('',(#262240)); #49045=EDGE_LOOP('',(#262241)); #49046=EDGE_LOOP('',(#262242)); #49047=EDGE_LOOP('',(#262243)); #49048=EDGE_LOOP('',(#262244)); #49049=EDGE_LOOP('',(#262245)); #49050=EDGE_LOOP('',(#262246)); #49051=EDGE_LOOP('',(#262247)); #49052=EDGE_LOOP('',(#262248)); #49053=EDGE_LOOP('',(#262249)); #49054=EDGE_LOOP('',(#262250)); #49055=EDGE_LOOP('',(#262251)); #49056=EDGE_LOOP('',(#262252)); #49057=EDGE_LOOP('',(#262253)); #49058=EDGE_LOOP('',(#262254)); #49059=EDGE_LOOP('',(#262255)); #49060=EDGE_LOOP('',(#262256)); #49061=EDGE_LOOP('',(#262257)); #49062=EDGE_LOOP('',(#262258)); #49063=EDGE_LOOP('',(#262259)); #49064=EDGE_LOOP('',(#262260)); #49065=EDGE_LOOP('',(#262261)); #49066=EDGE_LOOP('',(#262262)); #49067=EDGE_LOOP('',(#262263)); #49068=EDGE_LOOP('',(#262264)); #49069=EDGE_LOOP('',(#262265)); #49070=EDGE_LOOP('',(#262266)); #49071=EDGE_LOOP('',(#262267)); #49072=EDGE_LOOP('',(#262268)); #49073=EDGE_LOOP('',(#262269)); #49074=EDGE_LOOP('',(#262270)); #49075=EDGE_LOOP('',(#262271)); #49076=EDGE_LOOP('',(#262272)); #49077=EDGE_LOOP('',(#262273)); #49078=EDGE_LOOP('',(#262274,#262275,#262276,#262277)); #49079=EDGE_LOOP('',(#262278,#262279,#262280,#262281)); #49080=EDGE_LOOP('',(#262282,#262283,#262284,#262285)); #49081=EDGE_LOOP('',(#262286,#262287,#262288,#262289)); #49082=EDGE_LOOP('',(#262290,#262291,#262292,#262293)); #49083=EDGE_LOOP('',(#262294,#262295,#262296,#262297)); #49084=EDGE_LOOP('',(#262298,#262299,#262300,#262301)); #49085=EDGE_LOOP('',(#262302,#262303,#262304,#262305)); #49086=EDGE_LOOP('',(#262306,#262307,#262308,#262309)); #49087=EDGE_LOOP('',(#262310,#262311,#262312,#262313)); #49088=EDGE_LOOP('',(#262314,#262315,#262316,#262317)); #49089=EDGE_LOOP('',(#262318,#262319,#262320,#262321)); #49090=EDGE_LOOP('',(#262322,#262323,#262324,#262325)); #49091=EDGE_LOOP('',(#262326,#262327,#262328,#262329)); #49092=EDGE_LOOP('',(#262330,#262331,#262332,#262333)); #49093=EDGE_LOOP('',(#262334,#262335,#262336,#262337)); #49094=EDGE_LOOP('',(#262338,#262339,#262340,#262341)); #49095=EDGE_LOOP('',(#262342,#262343,#262344,#262345)); #49096=EDGE_LOOP('',(#262346,#262347,#262348,#262349)); #49097=EDGE_LOOP('',(#262350,#262351,#262352,#262353)); #49098=EDGE_LOOP('',(#262354,#262355,#262356,#262357)); #49099=EDGE_LOOP('',(#262358,#262359,#262360,#262361)); #49100=EDGE_LOOP('',(#262362,#262363,#262364,#262365)); #49101=EDGE_LOOP('',(#262366,#262367,#262368,#262369)); #49102=EDGE_LOOP('',(#262370,#262371,#262372,#262373)); #49103=EDGE_LOOP('',(#262374,#262375,#262376,#262377)); #49104=EDGE_LOOP('',(#262378,#262379,#262380,#262381)); #49105=EDGE_LOOP('',(#262382,#262383,#262384,#262385)); #49106=EDGE_LOOP('',(#262386,#262387,#262388,#262389)); #49107=EDGE_LOOP('',(#262390,#262391,#262392,#262393)); #49108=EDGE_LOOP('',(#262394,#262395,#262396,#262397)); #49109=EDGE_LOOP('',(#262398,#262399,#262400,#262401)); #49110=EDGE_LOOP('',(#262402,#262403,#262404,#262405)); #49111=EDGE_LOOP('',(#262406,#262407,#262408,#262409)); #49112=EDGE_LOOP('',(#262410,#262411,#262412,#262413)); #49113=EDGE_LOOP('',(#262414,#262415,#262416,#262417)); #49114=EDGE_LOOP('',(#262418,#262419,#262420,#262421)); #49115=EDGE_LOOP('',(#262422,#262423,#262424,#262425)); #49116=EDGE_LOOP('',(#262426,#262427,#262428,#262429)); #49117=EDGE_LOOP('',(#262430,#262431,#262432,#262433)); #49118=EDGE_LOOP('',(#262434,#262435,#262436,#262437)); #49119=EDGE_LOOP('',(#262438,#262439,#262440,#262441)); #49120=EDGE_LOOP('',(#262442,#262443,#262444,#262445)); #49121=EDGE_LOOP('',(#262446,#262447,#262448,#262449)); #49122=EDGE_LOOP('',(#262450,#262451,#262452,#262453)); #49123=EDGE_LOOP('',(#262454,#262455,#262456,#262457)); #49124=EDGE_LOOP('',(#262458,#262459,#262460,#262461)); #49125=EDGE_LOOP('',(#262462,#262463,#262464,#262465)); #49126=EDGE_LOOP('',(#262466,#262467,#262468,#262469)); #49127=EDGE_LOOP('',(#262470,#262471,#262472,#262473)); #49128=EDGE_LOOP('',(#262474,#262475,#262476,#262477)); #49129=EDGE_LOOP('',(#262478,#262479,#262480,#262481)); #49130=EDGE_LOOP('',(#262482,#262483,#262484,#262485)); #49131=EDGE_LOOP('',(#262486,#262487,#262488,#262489)); #49132=EDGE_LOOP('',(#262490,#262491,#262492,#262493)); #49133=EDGE_LOOP('',(#262494,#262495,#262496,#262497)); #49134=EDGE_LOOP('',(#262498,#262499,#262500,#262501)); #49135=EDGE_LOOP('',(#262502,#262503,#262504,#262505)); #49136=EDGE_LOOP('',(#262506,#262507,#262508,#262509)); #49137=EDGE_LOOP('',(#262510,#262511,#262512,#262513)); #49138=EDGE_LOOP('',(#262514,#262515,#262516,#262517)); #49139=EDGE_LOOP('',(#262518,#262519,#262520,#262521)); #49140=EDGE_LOOP('',(#262522,#262523,#262524,#262525)); #49141=EDGE_LOOP('',(#262526,#262527,#262528,#262529)); #49142=EDGE_LOOP('',(#262530,#262531,#262532,#262533)); #49143=EDGE_LOOP('',(#262534,#262535,#262536,#262537)); #49144=EDGE_LOOP('',(#262538,#262539,#262540,#262541)); #49145=EDGE_LOOP('',(#262542,#262543,#262544,#262545)); #49146=EDGE_LOOP('',(#262546,#262547,#262548,#262549)); #49147=EDGE_LOOP('',(#262550,#262551,#262552,#262553)); #49148=EDGE_LOOP('',(#262554,#262555,#262556,#262557)); #49149=EDGE_LOOP('',(#262558,#262559,#262560,#262561)); #49150=EDGE_LOOP('',(#262562,#262563,#262564,#262565)); #49151=EDGE_LOOP('',(#262566,#262567,#262568,#262569)); #49152=EDGE_LOOP('',(#262570,#262571,#262572,#262573)); #49153=EDGE_LOOP('',(#262574,#262575,#262576,#262577)); #49154=EDGE_LOOP('',(#262578,#262579,#262580,#262581)); #49155=EDGE_LOOP('',(#262582,#262583,#262584,#262585)); #49156=EDGE_LOOP('',(#262586,#262587,#262588,#262589)); #49157=EDGE_LOOP('',(#262590,#262591,#262592,#262593)); #49158=EDGE_LOOP('',(#262594,#262595,#262596,#262597)); #49159=EDGE_LOOP('',(#262598,#262599,#262600,#262601)); #49160=EDGE_LOOP('',(#262602,#262603,#262604,#262605)); #49161=EDGE_LOOP('',(#262606,#262607,#262608,#262609)); #49162=EDGE_LOOP('',(#262610,#262611,#262612,#262613)); #49163=EDGE_LOOP('',(#262614,#262615,#262616,#262617)); #49164=EDGE_LOOP('',(#262618,#262619,#262620,#262621)); #49165=EDGE_LOOP('',(#262622,#262623,#262624,#262625)); #49166=EDGE_LOOP('',(#262626,#262627,#262628,#262629)); #49167=EDGE_LOOP('',(#262630,#262631,#262632,#262633)); #49168=EDGE_LOOP('',(#262634)); #49169=EDGE_LOOP('',(#262635,#262636,#262637,#262638)); #49170=EDGE_LOOP('',(#262639)); #49171=EDGE_LOOP('',(#262640,#262641,#262642,#262643)); #49172=EDGE_LOOP('',(#262644,#262645,#262646,#262647)); #49173=EDGE_LOOP('',(#262648,#262649,#262650,#262651)); #49174=EDGE_LOOP('',(#262652,#262653,#262654,#262655)); #49175=EDGE_LOOP('',(#262656,#262657,#262658,#262659)); #49176=EDGE_LOOP('',(#262660,#262661,#262662,#262663)); #49177=EDGE_LOOP('',(#262664,#262665,#262666,#262667)); #49178=EDGE_LOOP('',(#262668,#262669,#262670,#262671)); #49179=EDGE_LOOP('',(#262672,#262673,#262674,#262675)); #49180=EDGE_LOOP('',(#262676,#262677,#262678,#262679)); #49181=EDGE_LOOP('',(#262680,#262681,#262682,#262683)); #49182=EDGE_LOOP('',(#262684,#262685,#262686,#262687)); #49183=EDGE_LOOP('',(#262688,#262689,#262690,#262691)); #49184=EDGE_LOOP('',(#262692,#262693,#262694,#262695)); #49185=EDGE_LOOP('',(#262696,#262697,#262698,#262699)); #49186=EDGE_LOOP('',(#262700,#262701,#262702,#262703)); #49187=EDGE_LOOP('',(#262704,#262705,#262706,#262707)); #49188=EDGE_LOOP('',(#262708,#262709,#262710,#262711)); #49189=EDGE_LOOP('',(#262712)); #49190=EDGE_LOOP('',(#262713,#262714,#262715,#262716)); #49191=EDGE_LOOP('',(#262717)); #49192=EDGE_LOOP('',(#262718,#262719,#262720,#262721)); #49193=EDGE_LOOP('',(#262722,#262723,#262724,#262725)); #49194=EDGE_LOOP('',(#262726,#262727,#262728,#262729)); #49195=EDGE_LOOP('',(#262730,#262731,#262732,#262733)); #49196=EDGE_LOOP('',(#262734,#262735,#262736,#262737)); #49197=EDGE_LOOP('',(#262738,#262739,#262740,#262741)); #49198=EDGE_LOOP('',(#262742,#262743,#262744,#262745)); #49199=EDGE_LOOP('',(#262746,#262747,#262748,#262749)); #49200=EDGE_LOOP('',(#262750,#262751,#262752,#262753)); #49201=EDGE_LOOP('',(#262754,#262755,#262756,#262757)); #49202=EDGE_LOOP('',(#262758,#262759,#262760,#262761)); #49203=EDGE_LOOP('',(#262762,#262763,#262764,#262765)); #49204=EDGE_LOOP('',(#262766,#262767,#262768,#262769)); #49205=EDGE_LOOP('',(#262770,#262771,#262772,#262773)); #49206=EDGE_LOOP('',(#262774,#262775,#262776,#262777)); #49207=EDGE_LOOP('',(#262778,#262779,#262780,#262781)); #49208=EDGE_LOOP('',(#262782,#262783,#262784,#262785)); #49209=EDGE_LOOP('',(#262786,#262787,#262788,#262789)); #49210=EDGE_LOOP('',(#262790,#262791,#262792,#262793)); #49211=EDGE_LOOP('',(#262794,#262795,#262796,#262797)); #49212=EDGE_LOOP('',(#262798,#262799,#262800,#262801)); #49213=EDGE_LOOP('',(#262802,#262803,#262804,#262805)); #49214=EDGE_LOOP('',(#262806,#262807,#262808,#262809)); #49215=EDGE_LOOP('',(#262810,#262811,#262812,#262813)); #49216=EDGE_LOOP('',(#262814,#262815,#262816,#262817)); #49217=EDGE_LOOP('',(#262818,#262819,#262820,#262821)); #49218=EDGE_LOOP('',(#262822,#262823,#262824,#262825)); #49219=EDGE_LOOP('',(#262826,#262827,#262828,#262829)); #49220=EDGE_LOOP('',(#262830,#262831,#262832,#262833)); #49221=EDGE_LOOP('',(#262834,#262835,#262836,#262837)); #49222=EDGE_LOOP('',(#262838,#262839,#262840,#262841)); #49223=EDGE_LOOP('',(#262842,#262843,#262844,#262845)); #49224=EDGE_LOOP('',(#262846,#262847,#262848,#262849)); #49225=EDGE_LOOP('',(#262850,#262851,#262852,#262853)); #49226=EDGE_LOOP('',(#262854,#262855,#262856,#262857)); #49227=EDGE_LOOP('',(#262858,#262859,#262860,#262861)); #49228=EDGE_LOOP('',(#262862,#262863,#262864,#262865)); #49229=EDGE_LOOP('',(#262866,#262867,#262868,#262869)); #49230=EDGE_LOOP('',(#262870,#262871,#262872,#262873)); #49231=EDGE_LOOP('',(#262874,#262875,#262876,#262877)); #49232=EDGE_LOOP('',(#262878,#262879,#262880,#262881)); #49233=EDGE_LOOP('',(#262882,#262883,#262884,#262885)); #49234=EDGE_LOOP('',(#262886,#262887,#262888,#262889)); #49235=EDGE_LOOP('',(#262890,#262891,#262892,#262893)); #49236=EDGE_LOOP('',(#262894,#262895,#262896,#262897)); #49237=EDGE_LOOP('',(#262898,#262899,#262900,#262901)); #49238=EDGE_LOOP('',(#262902,#262903,#262904,#262905)); #49239=EDGE_LOOP('',(#262906,#262907,#262908,#262909)); #49240=EDGE_LOOP('',(#262910,#262911,#262912,#262913)); #49241=EDGE_LOOP('',(#262914,#262915,#262916,#262917)); #49242=EDGE_LOOP('',(#262918,#262919,#262920,#262921)); #49243=EDGE_LOOP('',(#262922,#262923,#262924,#262925)); #49244=EDGE_LOOP('',(#262926,#262927,#262928,#262929)); #49245=EDGE_LOOP('',(#262930,#262931,#262932,#262933)); #49246=EDGE_LOOP('',(#262934,#262935,#262936,#262937)); #49247=EDGE_LOOP('',(#262938,#262939,#262940,#262941)); #49248=EDGE_LOOP('',(#262942,#262943,#262944,#262945)); #49249=EDGE_LOOP('',(#262946,#262947,#262948,#262949)); #49250=EDGE_LOOP('',(#262950,#262951,#262952,#262953)); #49251=EDGE_LOOP('',(#262954,#262955,#262956,#262957)); #49252=EDGE_LOOP('',(#262958,#262959,#262960,#262961)); #49253=EDGE_LOOP('',(#262962,#262963,#262964,#262965)); #49254=EDGE_LOOP('',(#262966,#262967,#262968,#262969)); #49255=EDGE_LOOP('',(#262970,#262971,#262972,#262973)); #49256=EDGE_LOOP('',(#262974,#262975,#262976,#262977)); #49257=EDGE_LOOP('',(#262978,#262979,#262980,#262981)); #49258=EDGE_LOOP('',(#262982,#262983,#262984,#262985)); #49259=EDGE_LOOP('',(#262986,#262987,#262988,#262989)); #49260=EDGE_LOOP('',(#262990,#262991,#262992,#262993)); #49261=EDGE_LOOP('',(#262994,#262995,#262996,#262997)); #49262=EDGE_LOOP('',(#262998,#262999,#263000,#263001)); #49263=EDGE_LOOP('',(#263002,#263003,#263004,#263005)); #49264=EDGE_LOOP('',(#263006,#263007,#263008,#263009)); #49265=EDGE_LOOP('',(#263010,#263011,#263012,#263013)); #49266=EDGE_LOOP('',(#263014,#263015,#263016,#263017)); #49267=EDGE_LOOP('',(#263018,#263019,#263020,#263021)); #49268=EDGE_LOOP('',(#263022,#263023,#263024,#263025)); #49269=EDGE_LOOP('',(#263026,#263027,#263028,#263029)); #49270=EDGE_LOOP('',(#263030,#263031,#263032,#263033)); #49271=EDGE_LOOP('',(#263034,#263035,#263036,#263037)); #49272=EDGE_LOOP('',(#263038,#263039,#263040,#263041)); #49273=EDGE_LOOP('',(#263042,#263043,#263044,#263045)); #49274=EDGE_LOOP('',(#263046,#263047,#263048,#263049)); #49275=EDGE_LOOP('',(#263050,#263051,#263052,#263053)); #49276=EDGE_LOOP('',(#263054,#263055,#263056,#263057,#263058,#263059,#263060, #263061,#263062,#263063,#263064,#263065,#263066,#263067,#263068,#263069, #263070,#263071)); #49277=EDGE_LOOP('',(#263072)); #49278=EDGE_LOOP('',(#263073,#263074,#263075,#263076)); #49279=EDGE_LOOP('',(#263077,#263078,#263079,#263080,#263081,#263082,#263083, #263084,#263085,#263086,#263087,#263088,#263089,#263090,#263091,#263092, #263093,#263094)); #49280=EDGE_LOOP('',(#263095)); #49281=EDGE_LOOP('',(#263096,#263097,#263098,#263099)); #49282=EDGE_LOOP('',(#263100,#263101,#263102,#263103)); #49283=EDGE_LOOP('',(#263104,#263105,#263106,#263107)); #49284=EDGE_LOOP('',(#263108,#263109,#263110,#263111)); #49285=EDGE_LOOP('',(#263112,#263113,#263114,#263115)); #49286=EDGE_LOOP('',(#263116,#263117,#263118,#263119)); #49287=EDGE_LOOP('',(#263120,#263121,#263122,#263123)); #49288=EDGE_LOOP('',(#263124,#263125,#263126,#263127)); #49289=EDGE_LOOP('',(#263128,#263129,#263130,#263131)); #49290=EDGE_LOOP('',(#263132,#263133,#263134,#263135)); #49291=EDGE_LOOP('',(#263136,#263137,#263138,#263139)); #49292=EDGE_LOOP('',(#263140,#263141,#263142,#263143)); #49293=EDGE_LOOP('',(#263144)); #49294=EDGE_LOOP('',(#263145,#263146,#263147,#263148)); #49295=EDGE_LOOP('',(#263149)); #49296=EDGE_LOOP('',(#263150,#263151,#263152,#263153)); #49297=EDGE_LOOP('',(#263154,#263155,#263156,#263157)); #49298=EDGE_LOOP('',(#263158,#263159,#263160,#263161)); #49299=EDGE_LOOP('',(#263162,#263163,#263164,#263165)); #49300=EDGE_LOOP('',(#263166,#263167,#263168,#263169)); #49301=EDGE_LOOP('',(#263170,#263171,#263172,#263173)); #49302=EDGE_LOOP('',(#263174,#263175,#263176,#263177)); #49303=EDGE_LOOP('',(#263178,#263179,#263180,#263181)); #49304=EDGE_LOOP('',(#263182,#263183,#263184,#263185)); #49305=EDGE_LOOP('',(#263186,#263187,#263188,#263189)); #49306=EDGE_LOOP('',(#263190,#263191,#263192,#263193)); #49307=EDGE_LOOP('',(#263194,#263195,#263196,#263197)); #49308=EDGE_LOOP('',(#263198,#263199,#263200,#263201)); #49309=EDGE_LOOP('',(#263202,#263203,#263204,#263205)); #49310=EDGE_LOOP('',(#263206,#263207,#263208,#263209)); #49311=EDGE_LOOP('',(#263210,#263211,#263212,#263213)); #49312=EDGE_LOOP('',(#263214,#263215,#263216,#263217)); #49313=EDGE_LOOP('',(#263218,#263219,#263220,#263221)); #49314=EDGE_LOOP('',(#263222,#263223,#263224,#263225)); #49315=EDGE_LOOP('',(#263226,#263227,#263228,#263229)); #49316=EDGE_LOOP('',(#263230,#263231,#263232,#263233)); #49317=EDGE_LOOP('',(#263234,#263235,#263236,#263237)); #49318=EDGE_LOOP('',(#263238,#263239,#263240,#263241)); #49319=EDGE_LOOP('',(#263242,#263243,#263244,#263245)); #49320=EDGE_LOOP('',(#263246)); #49321=EDGE_LOOP('',(#263247,#263248,#263249,#263250)); #49322=EDGE_LOOP('',(#263251)); #49323=EDGE_LOOP('',(#263252,#263253,#263254,#263255)); #49324=EDGE_LOOP('',(#263256,#263257,#263258,#263259)); #49325=EDGE_LOOP('',(#263260,#263261,#263262,#263263)); #49326=EDGE_LOOP('',(#263264,#263265,#263266,#263267)); #49327=EDGE_LOOP('',(#263268,#263269,#263270,#263271)); #49328=EDGE_LOOP('',(#263272,#263273,#263274,#263275)); #49329=EDGE_LOOP('',(#263276)); #49330=EDGE_LOOP('',(#263277,#263278,#263279,#263280)); #49331=EDGE_LOOP('',(#263281)); #49332=EDGE_LOOP('',(#263282,#263283,#263284,#263285)); #49333=EDGE_LOOP('',(#263286,#263287,#263288,#263289)); #49334=EDGE_LOOP('',(#263290,#263291,#263292,#263293)); #49335=EDGE_LOOP('',(#263294,#263295,#263296,#263297)); #49336=EDGE_LOOP('',(#263298,#263299,#263300,#263301)); #49337=EDGE_LOOP('',(#263302,#263303,#263304,#263305)); #49338=EDGE_LOOP('',(#263306,#263307,#263308,#263309)); #49339=EDGE_LOOP('',(#263310,#263311,#263312,#263313)); #49340=EDGE_LOOP('',(#263314,#263315,#263316,#263317)); #49341=EDGE_LOOP('',(#263318,#263319,#263320,#263321)); #49342=EDGE_LOOP('',(#263322,#263323,#263324,#263325)); #49343=EDGE_LOOP('',(#263326,#263327,#263328,#263329)); #49344=EDGE_LOOP('',(#263330,#263331,#263332,#263333)); #49345=EDGE_LOOP('',(#263334,#263335,#263336,#263337)); #49346=EDGE_LOOP('',(#263338,#263339,#263340,#263341)); #49347=EDGE_LOOP('',(#263342,#263343,#263344,#263345)); #49348=EDGE_LOOP('',(#263346,#263347,#263348,#263349)); #49349=EDGE_LOOP('',(#263350,#263351,#263352,#263353)); #49350=EDGE_LOOP('',(#263354,#263355,#263356,#263357)); #49351=EDGE_LOOP('',(#263358,#263359,#263360,#263361)); #49352=EDGE_LOOP('',(#263362,#263363,#263364,#263365)); #49353=EDGE_LOOP('',(#263366,#263367,#263368,#263369)); #49354=EDGE_LOOP('',(#263370,#263371,#263372,#263373)); #49355=EDGE_LOOP('',(#263374,#263375,#263376,#263377)); #49356=EDGE_LOOP('',(#263378,#263379,#263380,#263381)); #49357=EDGE_LOOP('',(#263382,#263383,#263384,#263385)); #49358=EDGE_LOOP('',(#263386,#263387,#263388,#263389)); #49359=EDGE_LOOP('',(#263390,#263391,#263392,#263393)); #49360=EDGE_LOOP('',(#263394,#263395,#263396,#263397)); #49361=EDGE_LOOP('',(#263398,#263399,#263400,#263401)); #49362=EDGE_LOOP('',(#263402,#263403,#263404,#263405,#263406,#263407,#263408, #263409,#263410,#263411,#263412,#263413)); #49363=EDGE_LOOP('',(#263414)); #49364=EDGE_LOOP('',(#263415)); #49365=EDGE_LOOP('',(#263416,#263417,#263418,#263419)); #49366=EDGE_LOOP('',(#263420,#263421,#263422,#263423)); #49367=EDGE_LOOP('',(#263424,#263425,#263426,#263427,#263428,#263429,#263430, #263431,#263432,#263433,#263434,#263435)); #49368=EDGE_LOOP('',(#263436)); #49369=EDGE_LOOP('',(#263437)); #49370=EDGE_LOOP('',(#263438,#263439,#263440,#263441)); #49371=EDGE_LOOP('',(#263442,#263443,#263444,#263445)); #49372=EDGE_LOOP('',(#263446,#263447,#263448,#263449)); #49373=EDGE_LOOP('',(#263450,#263451,#263452,#263453)); #49374=EDGE_LOOP('',(#263454,#263455,#263456,#263457)); #49375=EDGE_LOOP('',(#263458,#263459,#263460,#263461)); #49376=EDGE_LOOP('',(#263462,#263463,#263464,#263465)); #49377=EDGE_LOOP('',(#263466,#263467,#263468,#263469)); #49378=EDGE_LOOP('',(#263470,#263471,#263472,#263473)); #49379=EDGE_LOOP('',(#263474,#263475,#263476,#263477)); #49380=EDGE_LOOP('',(#263478,#263479,#263480,#263481)); #49381=EDGE_LOOP('',(#263482,#263483,#263484,#263485)); #49382=EDGE_LOOP('',(#263486,#263487,#263488,#263489)); #49383=EDGE_LOOP('',(#263490,#263491,#263492,#263493)); #49384=EDGE_LOOP('',(#263494,#263495,#263496,#263497)); #49385=EDGE_LOOP('',(#263498,#263499,#263500,#263501)); #49386=EDGE_LOOP('',(#263502,#263503,#263504,#263505)); #49387=EDGE_LOOP('',(#263506,#263507,#263508,#263509)); #49388=EDGE_LOOP('',(#263510,#263511,#263512,#263513)); #49389=EDGE_LOOP('',(#263514,#263515,#263516,#263517)); #49390=EDGE_LOOP('',(#263518,#263519,#263520,#263521)); #49391=EDGE_LOOP('',(#263522,#263523,#263524,#263525)); #49392=EDGE_LOOP('',(#263526,#263527,#263528,#263529)); #49393=EDGE_LOOP('',(#263530,#263531,#263532,#263533)); #49394=EDGE_LOOP('',(#263534,#263535,#263536,#263537)); #49395=EDGE_LOOP('',(#263538,#263539,#263540,#263541)); #49396=EDGE_LOOP('',(#263542,#263543,#263544,#263545)); #49397=EDGE_LOOP('',(#263546,#263547,#263548,#263549)); #49398=EDGE_LOOP('',(#263550,#263551,#263552,#263553)); #49399=EDGE_LOOP('',(#263554,#263555,#263556,#263557)); #49400=EDGE_LOOP('',(#263558,#263559,#263560,#263561)); #49401=EDGE_LOOP('',(#263562,#263563,#263564,#263565)); #49402=EDGE_LOOP('',(#263566,#263567,#263568,#263569)); #49403=EDGE_LOOP('',(#263570,#263571,#263572,#263573)); #49404=EDGE_LOOP('',(#263574,#263575,#263576,#263577)); #49405=EDGE_LOOP('',(#263578,#263579,#263580,#263581)); #49406=EDGE_LOOP('',(#263582,#263583,#263584,#263585)); #49407=EDGE_LOOP('',(#263586,#263587,#263588,#263589)); #49408=EDGE_LOOP('',(#263590,#263591,#263592,#263593)); #49409=EDGE_LOOP('',(#263594,#263595,#263596,#263597)); #49410=EDGE_LOOP('',(#263598,#263599,#263600,#263601)); #49411=EDGE_LOOP('',(#263602,#263603,#263604,#263605)); #49412=EDGE_LOOP('',(#263606,#263607,#263608,#263609)); #49413=EDGE_LOOP('',(#263610,#263611,#263612,#263613)); #49414=EDGE_LOOP('',(#263614,#263615,#263616,#263617)); #49415=EDGE_LOOP('',(#263618,#263619,#263620,#263621)); #49416=EDGE_LOOP('',(#263622,#263623,#263624,#263625)); #49417=EDGE_LOOP('',(#263626,#263627,#263628,#263629)); #49418=EDGE_LOOP('',(#263630,#263631,#263632,#263633)); #49419=EDGE_LOOP('',(#263634,#263635,#263636,#263637)); #49420=EDGE_LOOP('',(#263638,#263639,#263640,#263641)); #49421=EDGE_LOOP('',(#263642,#263643,#263644,#263645)); #49422=EDGE_LOOP('',(#263646,#263647,#263648,#263649)); #49423=EDGE_LOOP('',(#263650,#263651,#263652,#263653)); #49424=EDGE_LOOP('',(#263654,#263655,#263656,#263657)); #49425=EDGE_LOOP('',(#263658,#263659,#263660,#263661)); #49426=EDGE_LOOP('',(#263662,#263663,#263664,#263665)); #49427=EDGE_LOOP('',(#263666,#263667,#263668,#263669)); #49428=EDGE_LOOP('',(#263670,#263671,#263672,#263673)); #49429=EDGE_LOOP('',(#263674,#263675,#263676,#263677)); #49430=EDGE_LOOP('',(#263678,#263679,#263680,#263681)); #49431=EDGE_LOOP('',(#263682,#263683,#263684,#263685)); #49432=EDGE_LOOP('',(#263686,#263687,#263688,#263689)); #49433=EDGE_LOOP('',(#263690,#263691,#263692,#263693)); #49434=EDGE_LOOP('',(#263694,#263695,#263696,#263697)); #49435=EDGE_LOOP('',(#263698,#263699,#263700,#263701)); #49436=EDGE_LOOP('',(#263702,#263703,#263704,#263705)); #49437=EDGE_LOOP('',(#263706,#263707,#263708,#263709)); #49438=EDGE_LOOP('',(#263710,#263711,#263712,#263713)); #49439=EDGE_LOOP('',(#263714,#263715,#263716,#263717)); #49440=EDGE_LOOP('',(#263718,#263719,#263720,#263721)); #49441=EDGE_LOOP('',(#263722,#263723,#263724,#263725)); #49442=EDGE_LOOP('',(#263726,#263727,#263728,#263729)); #49443=EDGE_LOOP('',(#263730,#263731,#263732,#263733)); #49444=EDGE_LOOP('',(#263734,#263735,#263736,#263737)); #49445=EDGE_LOOP('',(#263738,#263739,#263740,#263741)); #49446=EDGE_LOOP('',(#263742,#263743,#263744,#263745)); #49447=EDGE_LOOP('',(#263746,#263747,#263748,#263749)); #49448=EDGE_LOOP('',(#263750,#263751,#263752,#263753)); #49449=EDGE_LOOP('',(#263754,#263755,#263756,#263757)); #49450=EDGE_LOOP('',(#263758,#263759,#263760,#263761)); #49451=EDGE_LOOP('',(#263762,#263763,#263764,#263765)); #49452=EDGE_LOOP('',(#263766,#263767,#263768,#263769)); #49453=EDGE_LOOP('',(#263770,#263771,#263772,#263773)); #49454=EDGE_LOOP('',(#263774,#263775,#263776,#263777)); #49455=EDGE_LOOP('',(#263778,#263779,#263780,#263781)); #49456=EDGE_LOOP('',(#263782,#263783,#263784,#263785)); #49457=EDGE_LOOP('',(#263786,#263787,#263788,#263789)); #49458=EDGE_LOOP('',(#263790,#263791,#263792,#263793)); #49459=EDGE_LOOP('',(#263794,#263795,#263796,#263797)); #49460=EDGE_LOOP('',(#263798,#263799,#263800,#263801)); #49461=EDGE_LOOP('',(#263802,#263803,#263804,#263805)); #49462=EDGE_LOOP('',(#263806,#263807,#263808,#263809)); #49463=EDGE_LOOP('',(#263810,#263811,#263812,#263813)); #49464=EDGE_LOOP('',(#263814,#263815,#263816,#263817)); #49465=EDGE_LOOP('',(#263818,#263819,#263820,#263821)); #49466=EDGE_LOOP('',(#263822,#263823,#263824,#263825)); #49467=EDGE_LOOP('',(#263826,#263827,#263828,#263829)); #49468=EDGE_LOOP('',(#263830,#263831,#263832,#263833)); #49469=EDGE_LOOP('',(#263834,#263835,#263836,#263837)); #49470=EDGE_LOOP('',(#263838,#263839,#263840,#263841)); #49471=EDGE_LOOP('',(#263842,#263843,#263844,#263845)); #49472=EDGE_LOOP('',(#263846,#263847,#263848,#263849)); #49473=EDGE_LOOP('',(#263850,#263851,#263852,#263853)); #49474=EDGE_LOOP('',(#263854,#263855,#263856,#263857)); #49475=EDGE_LOOP('',(#263858,#263859,#263860,#263861)); #49476=EDGE_LOOP('',(#263862,#263863,#263864,#263865)); #49477=EDGE_LOOP('',(#263866,#263867,#263868,#263869)); #49478=EDGE_LOOP('',(#263870,#263871,#263872,#263873)); #49479=EDGE_LOOP('',(#263874,#263875,#263876,#263877)); #49480=EDGE_LOOP('',(#263878,#263879,#263880,#263881)); #49481=EDGE_LOOP('',(#263882,#263883,#263884,#263885)); #49482=EDGE_LOOP('',(#263886,#263887,#263888,#263889)); #49483=EDGE_LOOP('',(#263890,#263891,#263892,#263893)); #49484=EDGE_LOOP('',(#263894,#263895,#263896,#263897)); #49485=EDGE_LOOP('',(#263898,#263899,#263900,#263901)); #49486=EDGE_LOOP('',(#263902,#263903,#263904,#263905)); #49487=EDGE_LOOP('',(#263906,#263907,#263908,#263909)); #49488=EDGE_LOOP('',(#263910,#263911,#263912,#263913)); #49489=EDGE_LOOP('',(#263914,#263915,#263916,#263917)); #49490=EDGE_LOOP('',(#263918,#263919,#263920,#263921)); #49491=EDGE_LOOP('',(#263922,#263923,#263924,#263925)); #49492=EDGE_LOOP('',(#263926,#263927,#263928,#263929)); #49493=EDGE_LOOP('',(#263930,#263931,#263932,#263933)); #49494=EDGE_LOOP('',(#263934,#263935,#263936,#263937)); #49495=EDGE_LOOP('',(#263938,#263939,#263940,#263941)); #49496=EDGE_LOOP('',(#263942,#263943,#263944,#263945)); #49497=EDGE_LOOP('',(#263946,#263947,#263948,#263949)); #49498=EDGE_LOOP('',(#263950,#263951,#263952,#263953)); #49499=EDGE_LOOP('',(#263954,#263955,#263956,#263957)); #49500=EDGE_LOOP('',(#263958,#263959,#263960,#263961)); #49501=EDGE_LOOP('',(#263962,#263963,#263964,#263965)); #49502=EDGE_LOOP('',(#263966,#263967,#263968,#263969)); #49503=EDGE_LOOP('',(#263970,#263971,#263972,#263973)); #49504=EDGE_LOOP('',(#263974,#263975,#263976,#263977)); #49505=EDGE_LOOP('',(#263978,#263979,#263980,#263981)); #49506=EDGE_LOOP('',(#263982,#263983,#263984,#263985)); #49507=EDGE_LOOP('',(#263986,#263987,#263988,#263989)); #49508=EDGE_LOOP('',(#263990,#263991,#263992,#263993)); #49509=EDGE_LOOP('',(#263994,#263995,#263996,#263997)); #49510=EDGE_LOOP('',(#263998,#263999,#264000,#264001)); #49511=EDGE_LOOP('',(#264002,#264003,#264004,#264005)); #49512=EDGE_LOOP('',(#264006,#264007,#264008,#264009)); #49513=EDGE_LOOP('',(#264010,#264011,#264012,#264013)); #49514=EDGE_LOOP('',(#264014,#264015,#264016,#264017)); #49515=EDGE_LOOP('',(#264018,#264019,#264020,#264021)); #49516=EDGE_LOOP('',(#264022,#264023,#264024,#264025)); #49517=EDGE_LOOP('',(#264026,#264027,#264028,#264029)); #49518=EDGE_LOOP('',(#264030,#264031,#264032,#264033)); #49519=EDGE_LOOP('',(#264034,#264035,#264036,#264037)); #49520=EDGE_LOOP('',(#264038,#264039,#264040,#264041)); #49521=EDGE_LOOP('',(#264042,#264043,#264044,#264045)); #49522=EDGE_LOOP('',(#264046,#264047,#264048,#264049)); #49523=EDGE_LOOP('',(#264050,#264051,#264052,#264053)); #49524=EDGE_LOOP('',(#264054,#264055,#264056,#264057)); #49525=EDGE_LOOP('',(#264058,#264059,#264060,#264061)); #49526=EDGE_LOOP('',(#264062,#264063,#264064,#264065)); #49527=EDGE_LOOP('',(#264066,#264067,#264068,#264069)); #49528=EDGE_LOOP('',(#264070,#264071,#264072,#264073)); #49529=EDGE_LOOP('',(#264074,#264075,#264076,#264077)); #49530=EDGE_LOOP('',(#264078,#264079,#264080,#264081)); #49531=EDGE_LOOP('',(#264082)); #49532=EDGE_LOOP('',(#264083)); #49533=EDGE_LOOP('',(#264084)); #49534=EDGE_LOOP('',(#264085)); #49535=EDGE_LOOP('',(#264086)); #49536=EDGE_LOOP('',(#264087)); #49537=EDGE_LOOP('',(#264088,#264089,#264090,#264091)); #49538=EDGE_LOOP('',(#264092)); #49539=EDGE_LOOP('',(#264093)); #49540=EDGE_LOOP('',(#264094)); #49541=EDGE_LOOP('',(#264095)); #49542=EDGE_LOOP('',(#264096)); #49543=EDGE_LOOP('',(#264097)); #49544=EDGE_LOOP('',(#264098,#264099,#264100,#264101)); #49545=EDGE_LOOP('',(#264102,#264103,#264104,#264105)); #49546=EDGE_LOOP('',(#264106,#264107,#264108,#264109)); #49547=EDGE_LOOP('',(#264110,#264111,#264112,#264113)); #49548=EDGE_LOOP('',(#264114,#264115,#264116,#264117)); #49549=EDGE_LOOP('',(#264118,#264119,#264120,#264121)); #49550=EDGE_LOOP('',(#264122,#264123,#264124,#264125)); #49551=EDGE_LOOP('',(#264126,#264127,#264128,#264129)); #49552=EDGE_LOOP('',(#264130,#264131,#264132,#264133)); #49553=EDGE_LOOP('',(#264134,#264135,#264136,#264137)); #49554=EDGE_LOOP('',(#264138,#264139,#264140,#264141)); #49555=EDGE_LOOP('',(#264142,#264143,#264144,#264145)); #49556=EDGE_LOOP('',(#264146,#264147,#264148,#264149)); #49557=EDGE_LOOP('',(#264150,#264151,#264152,#264153)); #49558=EDGE_LOOP('',(#264154,#264155,#264156,#264157)); #49559=EDGE_LOOP('',(#264158,#264159,#264160,#264161)); #49560=EDGE_LOOP('',(#264162,#264163,#264164,#264165)); #49561=EDGE_LOOP('',(#264166,#264167,#264168,#264169)); #49562=EDGE_LOOP('',(#264170,#264171,#264172,#264173)); #49563=EDGE_LOOP('',(#264174,#264175,#264176,#264177)); #49564=EDGE_LOOP('',(#264178,#264179,#264180,#264181)); #49565=EDGE_LOOP('',(#264182,#264183,#264184,#264185)); #49566=EDGE_LOOP('',(#264186,#264187,#264188,#264189)); #49567=EDGE_LOOP('',(#264190,#264191,#264192,#264193)); #49568=EDGE_LOOP('',(#264194,#264195,#264196,#264197)); #49569=EDGE_LOOP('',(#264198,#264199,#264200,#264201)); #49570=EDGE_LOOP('',(#264202,#264203,#264204,#264205)); #49571=EDGE_LOOP('',(#264206,#264207,#264208,#264209)); #49572=EDGE_LOOP('',(#264210,#264211,#264212,#264213)); #49573=EDGE_LOOP('',(#264214,#264215,#264216,#264217)); #49574=EDGE_LOOP('',(#264218,#264219,#264220,#264221)); #49575=EDGE_LOOP('',(#264222,#264223,#264224,#264225)); #49576=EDGE_LOOP('',(#264226,#264227,#264228,#264229)); #49577=EDGE_LOOP('',(#264230,#264231,#264232,#264233)); #49578=EDGE_LOOP('',(#264234,#264235,#264236,#264237)); #49579=EDGE_LOOP('',(#264238,#264239,#264240,#264241)); #49580=EDGE_LOOP('',(#264242,#264243,#264244,#264245)); #49581=EDGE_LOOP('',(#264246,#264247,#264248,#264249)); #49582=EDGE_LOOP('',(#264250,#264251,#264252,#264253)); #49583=EDGE_LOOP('',(#264254,#264255,#264256,#264257)); #49584=EDGE_LOOP('',(#264258,#264259,#264260,#264261)); #49585=EDGE_LOOP('',(#264262,#264263,#264264,#264265)); #49586=EDGE_LOOP('',(#264266,#264267,#264268,#264269)); #49587=EDGE_LOOP('',(#264270,#264271,#264272,#264273)); #49588=EDGE_LOOP('',(#264274,#264275,#264276,#264277)); #49589=EDGE_LOOP('',(#264278,#264279,#264280,#264281)); #49590=EDGE_LOOP('',(#264282,#264283,#264284,#264285)); #49591=EDGE_LOOP('',(#264286,#264287,#264288,#264289)); #49592=EDGE_LOOP('',(#264290,#264291,#264292,#264293)); #49593=EDGE_LOOP('',(#264294,#264295,#264296,#264297)); #49594=EDGE_LOOP('',(#264298,#264299,#264300,#264301)); #49595=EDGE_LOOP('',(#264302,#264303,#264304,#264305)); #49596=EDGE_LOOP('',(#264306,#264307,#264308)); #49597=EDGE_LOOP('',(#264309)); #49598=EDGE_LOOP('',(#264310,#264311,#264312)); #49599=EDGE_LOOP('',(#264313)); #49600=EDGE_LOOP('',(#264314,#264315,#264316,#264317)); #49601=EDGE_LOOP('',(#264318,#264319,#264320,#264321)); #49602=EDGE_LOOP('',(#264322,#264323,#264324,#264325)); #49603=EDGE_LOOP('',(#264326,#264327,#264328,#264329)); #49604=EDGE_LOOP('',(#264330,#264331,#264332,#264333)); #49605=EDGE_LOOP('',(#264334,#264335,#264336,#264337)); #49606=EDGE_LOOP('',(#264338,#264339,#264340,#264341)); #49607=EDGE_LOOP('',(#264342,#264343,#264344,#264345)); #49608=EDGE_LOOP('',(#264346,#264347,#264348,#264349)); #49609=EDGE_LOOP('',(#264350,#264351,#264352,#264353)); #49610=EDGE_LOOP('',(#264354,#264355,#264356,#264357)); #49611=EDGE_LOOP('',(#264358,#264359,#264360,#264361)); #49612=EDGE_LOOP('',(#264362,#264363,#264364,#264365)); #49613=EDGE_LOOP('',(#264366,#264367,#264368,#264369)); #49614=EDGE_LOOP('',(#264370,#264371,#264372,#264373)); #49615=EDGE_LOOP('',(#264374,#264375,#264376,#264377)); #49616=EDGE_LOOP('',(#264378,#264379,#264380,#264381)); #49617=EDGE_LOOP('',(#264382,#264383,#264384,#264385)); #49618=EDGE_LOOP('',(#264386,#264387,#264388,#264389)); #49619=EDGE_LOOP('',(#264390)); #49620=EDGE_LOOP('',(#264391)); #49621=EDGE_LOOP('',(#264392,#264393,#264394,#264395)); #49622=EDGE_LOOP('',(#264396)); #49623=EDGE_LOOP('',(#264397)); #49624=EDGE_LOOP('',(#264398,#264399,#264400,#264401)); #49625=EDGE_LOOP('',(#264402,#264403,#264404,#264405)); #49626=EDGE_LOOP('',(#264406,#264407,#264408,#264409)); #49627=EDGE_LOOP('',(#264410,#264411,#264412,#264413)); #49628=EDGE_LOOP('',(#264414,#264415,#264416,#264417)); #49629=EDGE_LOOP('',(#264418,#264419,#264420,#264421)); #49630=EDGE_LOOP('',(#264422,#264423,#264424,#264425)); #49631=EDGE_LOOP('',(#264426,#264427,#264428,#264429)); #49632=EDGE_LOOP('',(#264430,#264431,#264432,#264433)); #49633=EDGE_LOOP('',(#264434,#264435,#264436,#264437)); #49634=EDGE_LOOP('',(#264438,#264439,#264440,#264441)); #49635=EDGE_LOOP('',(#264442,#264443,#264444,#264445)); #49636=EDGE_LOOP('',(#264446,#264447,#264448,#264449)); #49637=EDGE_LOOP('',(#264450)); #49638=EDGE_LOOP('',(#264451)); #49639=EDGE_LOOP('',(#264452,#264453,#264454,#264455)); #49640=EDGE_LOOP('',(#264456)); #49641=EDGE_LOOP('',(#264457)); #49642=EDGE_LOOP('',(#264458,#264459,#264460,#264461)); #49643=EDGE_LOOP('',(#264462,#264463,#264464,#264465)); #49644=EDGE_LOOP('',(#264466,#264467,#264468,#264469)); #49645=EDGE_LOOP('',(#264470,#264471,#264472,#264473)); #49646=EDGE_LOOP('',(#264474,#264475,#264476,#264477)); #49647=EDGE_LOOP('',(#264478,#264479,#264480,#264481)); #49648=EDGE_LOOP('',(#264482,#264483,#264484,#264485)); #49649=EDGE_LOOP('',(#264486,#264487,#264488,#264489)); #49650=EDGE_LOOP('',(#264490,#264491,#264492,#264493)); #49651=EDGE_LOOP('',(#264494,#264495,#264496,#264497)); #49652=EDGE_LOOP('',(#264498,#264499,#264500,#264501)); #49653=EDGE_LOOP('',(#264502,#264503,#264504,#264505)); #49654=EDGE_LOOP('',(#264506,#264507,#264508,#264509)); #49655=EDGE_LOOP('',(#264510)); #49656=EDGE_LOOP('',(#264511)); #49657=EDGE_LOOP('',(#264512,#264513,#264514,#264515)); #49658=EDGE_LOOP('',(#264516)); #49659=EDGE_LOOP('',(#264517)); #49660=EDGE_LOOP('',(#264518,#264519,#264520,#264521)); #49661=EDGE_LOOP('',(#264522,#264523,#264524,#264525)); #49662=EDGE_LOOP('',(#264526,#264527,#264528,#264529)); #49663=EDGE_LOOP('',(#264530,#264531,#264532,#264533)); #49664=EDGE_LOOP('',(#264534,#264535,#264536,#264537)); #49665=EDGE_LOOP('',(#264538,#264539,#264540,#264541)); #49666=EDGE_LOOP('',(#264542,#264543,#264544,#264545)); #49667=EDGE_LOOP('',(#264546,#264547,#264548,#264549)); #49668=EDGE_LOOP('',(#264550,#264551,#264552,#264553)); #49669=EDGE_LOOP('',(#264554,#264555,#264556,#264557)); #49670=EDGE_LOOP('',(#264558,#264559,#264560,#264561)); #49671=EDGE_LOOP('',(#264562,#264563,#264564,#264565)); #49672=EDGE_LOOP('',(#264566,#264567,#264568,#264569)); #49673=EDGE_LOOP('',(#264570)); #49674=EDGE_LOOP('',(#264571)); #49675=EDGE_LOOP('',(#264572,#264573,#264574,#264575)); #49676=EDGE_LOOP('',(#264576)); #49677=EDGE_LOOP('',(#264577)); #49678=EDGE_LOOP('',(#264578,#264579,#264580,#264581)); #49679=EDGE_LOOP('',(#264582,#264583,#264584,#264585)); #49680=EDGE_LOOP('',(#264586,#264587,#264588,#264589)); #49681=EDGE_LOOP('',(#264590,#264591,#264592,#264593)); #49682=EDGE_LOOP('',(#264594,#264595,#264596,#264597)); #49683=EDGE_LOOP('',(#264598,#264599,#264600,#264601)); #49684=EDGE_LOOP('',(#264602,#264603,#264604,#264605)); #49685=EDGE_LOOP('',(#264606,#264607,#264608,#264609)); #49686=EDGE_LOOP('',(#264610,#264611,#264612,#264613)); #49687=EDGE_LOOP('',(#264614,#264615,#264616,#264617)); #49688=EDGE_LOOP('',(#264618,#264619,#264620,#264621)); #49689=EDGE_LOOP('',(#264622,#264623,#264624,#264625)); #49690=EDGE_LOOP('',(#264626,#264627,#264628,#264629)); #49691=EDGE_LOOP('',(#264630,#264631,#264632,#264633)); #49692=EDGE_LOOP('',(#264634,#264635,#264636,#264637)); #49693=EDGE_LOOP('',(#264638,#264639,#264640,#264641)); #49694=EDGE_LOOP('',(#264642,#264643,#264644,#264645)); #49695=EDGE_LOOP('',(#264646,#264647,#264648,#264649)); #49696=EDGE_LOOP('',(#264650,#264651,#264652,#264653)); #49697=EDGE_LOOP('',(#264654,#264655,#264656,#264657)); #49698=EDGE_LOOP('',(#264658,#264659,#264660,#264661)); #49699=EDGE_LOOP('',(#264662,#264663,#264664,#264665)); #49700=EDGE_LOOP('',(#264666,#264667,#264668,#264669)); #49701=EDGE_LOOP('',(#264670,#264671,#264672,#264673)); #49702=EDGE_LOOP('',(#264674,#264675,#264676,#264677)); #49703=EDGE_LOOP('',(#264678,#264679,#264680,#264681)); #49704=EDGE_LOOP('',(#264682,#264683,#264684,#264685)); #49705=EDGE_LOOP('',(#264686,#264687,#264688,#264689)); #49706=EDGE_LOOP('',(#264690,#264691,#264692,#264693)); #49707=EDGE_LOOP('',(#264694,#264695,#264696,#264697)); #49708=EDGE_LOOP('',(#264698,#264699,#264700,#264701)); #49709=EDGE_LOOP('',(#264702,#264703,#264704,#264705)); #49710=EDGE_LOOP('',(#264706,#264707,#264708,#264709)); #49711=EDGE_LOOP('',(#264710,#264711,#264712,#264713)); #49712=EDGE_LOOP('',(#264714,#264715,#264716,#264717)); #49713=EDGE_LOOP('',(#264718,#264719,#264720,#264721)); #49714=EDGE_LOOP('',(#264722,#264723,#264724,#264725)); #49715=EDGE_LOOP('',(#264726,#264727,#264728,#264729)); #49716=EDGE_LOOP('',(#264730,#264731,#264732,#264733)); #49717=EDGE_LOOP('',(#264734,#264735,#264736,#264737)); #49718=EDGE_LOOP('',(#264738,#264739,#264740,#264741)); #49719=EDGE_LOOP('',(#264742,#264743,#264744,#264745)); #49720=EDGE_LOOP('',(#264746,#264747,#264748,#264749)); #49721=EDGE_LOOP('',(#264750,#264751,#264752,#264753)); #49722=EDGE_LOOP('',(#264754,#264755,#264756,#264757)); #49723=EDGE_LOOP('',(#264758,#264759,#264760,#264761)); #49724=EDGE_LOOP('',(#264762,#264763,#264764,#264765)); #49725=EDGE_LOOP('',(#264766,#264767,#264768,#264769)); #49726=EDGE_LOOP('',(#264770,#264771,#264772,#264773)); #49727=EDGE_LOOP('',(#264774,#264775,#264776,#264777)); #49728=EDGE_LOOP('',(#264778,#264779,#264780,#264781)); #49729=EDGE_LOOP('',(#264782,#264783,#264784,#264785)); #49730=EDGE_LOOP('',(#264786,#264787,#264788,#264789)); #49731=EDGE_LOOP('',(#264790,#264791,#264792,#264793)); #49732=EDGE_LOOP('',(#264794,#264795,#264796,#264797)); #49733=EDGE_LOOP('',(#264798,#264799,#264800,#264801)); #49734=EDGE_LOOP('',(#264802,#264803,#264804,#264805)); #49735=EDGE_LOOP('',(#264806,#264807,#264808,#264809)); #49736=EDGE_LOOP('',(#264810,#264811,#264812,#264813)); #49737=EDGE_LOOP('',(#264814,#264815,#264816,#264817)); #49738=EDGE_LOOP('',(#264818,#264819,#264820,#264821)); #49739=EDGE_LOOP('',(#264822,#264823,#264824,#264825)); #49740=EDGE_LOOP('',(#264826,#264827,#264828,#264829)); #49741=EDGE_LOOP('',(#264830,#264831,#264832,#264833)); #49742=EDGE_LOOP('',(#264834,#264835,#264836,#264837,#264838,#264839,#264840, #264841,#264842,#264843,#264844,#264845,#264846,#264847,#264848,#264849, #264850,#264851,#264852,#264853,#264854,#264855,#264856,#264857,#264858, #264859,#264860,#264861,#264862,#264863,#264864,#264865,#264866,#264867, #264868,#264869,#264870,#264871,#264872,#264873,#264874,#264875,#264876, #264877,#264878,#264879,#264880,#264881,#264882,#264883,#264884,#264885, #264886,#264887,#264888,#264889,#264890,#264891)); #49743=EDGE_LOOP('',(#264892,#264893,#264894,#264895,#264896,#264897,#264898, #264899,#264900,#264901,#264902,#264903,#264904,#264905,#264906,#264907, #264908,#264909,#264910,#264911,#264912,#264913,#264914,#264915,#264916, #264917,#264918,#264919,#264920,#264921,#264922,#264923,#264924,#264925, #264926,#264927,#264928,#264929,#264930,#264931,#264932,#264933,#264934, #264935,#264936,#264937,#264938,#264939,#264940,#264941,#264942,#264943, #264944,#264945,#264946,#264947,#264948,#264949)); #49744=EDGE_LOOP('',(#264950,#264951,#264952,#264953)); #49745=EDGE_LOOP('',(#264954,#264955,#264956,#264957)); #49746=EDGE_LOOP('',(#264958,#264959,#264960,#264961)); #49747=EDGE_LOOP('',(#264962,#264963,#264964,#264965)); #49748=EDGE_LOOP('',(#264966,#264967,#264968,#264969)); #49749=EDGE_LOOP('',(#264970,#264971,#264972,#264973)); #49750=EDGE_LOOP('',(#264974,#264975,#264976,#264977)); #49751=EDGE_LOOP('',(#264978,#264979,#264980,#264981)); #49752=EDGE_LOOP('',(#264982,#264983,#264984,#264985)); #49753=EDGE_LOOP('',(#264986,#264987,#264988,#264989)); #49754=EDGE_LOOP('',(#264990,#264991,#264992,#264993,#264994,#264995,#264996, #264997)); #49755=EDGE_LOOP('',(#264998)); #49756=EDGE_LOOP('',(#264999)); #49757=EDGE_LOOP('',(#265000,#265001,#265002,#265003,#265004,#265005,#265006, #265007)); #49758=EDGE_LOOP('',(#265008)); #49759=EDGE_LOOP('',(#265009)); #49760=EDGE_LOOP('',(#265010,#265011,#265012,#265013)); #49761=EDGE_LOOP('',(#265014,#265015,#265016,#265017)); #49762=EDGE_LOOP('',(#265018,#265019,#265020,#265021)); #49763=EDGE_LOOP('',(#265022,#265023,#265024,#265025)); #49764=EDGE_LOOP('',(#265026,#265027,#265028,#265029)); #49765=EDGE_LOOP('',(#265030,#265031,#265032,#265033)); #49766=EDGE_LOOP('',(#265034,#265035,#265036,#265037)); #49767=EDGE_LOOP('',(#265038,#265039,#265040,#265041)); #49768=EDGE_LOOP('',(#265042,#265043,#265044,#265045)); #49769=EDGE_LOOP('',(#265046,#265047,#265048,#265049)); #49770=EDGE_LOOP('',(#265050,#265051,#265052,#265053)); #49771=EDGE_LOOP('',(#265054,#265055,#265056,#265057,#265058,#265059,#265060, #265061,#265062,#265063)); #49772=EDGE_LOOP('',(#265064)); #49773=EDGE_LOOP('',(#265065,#265066,#265067,#265068,#265069,#265070,#265071, #265072,#265073,#265074)); #49774=EDGE_LOOP('',(#265075)); #49775=EDGE_LOOP('',(#265076,#265077,#265078,#265079)); #49776=EDGE_LOOP('',(#265080,#265081,#265082,#265083)); #49777=EDGE_LOOP('',(#265084,#265085,#265086,#265087)); #49778=EDGE_LOOP('',(#265088,#265089,#265090,#265091)); #49779=EDGE_LOOP('',(#265092,#265093,#265094,#265095)); #49780=EDGE_LOOP('',(#265096,#265097,#265098,#265099)); #49781=EDGE_LOOP('',(#265100,#265101,#265102,#265103)); #49782=EDGE_LOOP('',(#265104,#265105,#265106,#265107)); #49783=EDGE_LOOP('',(#265108,#265109,#265110,#265111)); #49784=EDGE_LOOP('',(#265112,#265113,#265114,#265115)); #49785=EDGE_LOOP('',(#265116,#265117,#265118,#265119)); #49786=EDGE_LOOP('',(#265120,#265121,#265122,#265123)); #49787=EDGE_LOOP('',(#265124,#265125,#265126,#265127)); #49788=EDGE_LOOP('',(#265128,#265129,#265130,#265131)); #49789=EDGE_LOOP('',(#265132,#265133,#265134,#265135,#265136,#265137,#265138, #265139,#265140,#265141,#265142,#265143,#265144)); #49790=EDGE_LOOP('',(#265145)); #49791=EDGE_LOOP('',(#265146,#265147,#265148,#265149,#265150,#265151,#265152, #265153,#265154,#265155,#265156,#265157,#265158)); #49792=EDGE_LOOP('',(#265159)); #49793=EDGE_LOOP('',(#265160,#265161,#265162,#265163)); #49794=EDGE_LOOP('',(#265164,#265165,#265166,#265167)); #49795=EDGE_LOOP('',(#265168,#265169,#265170,#265171)); #49796=EDGE_LOOP('',(#265172,#265173,#265174,#265175)); #49797=EDGE_LOOP('',(#265176,#265177,#265178,#265179)); #49798=EDGE_LOOP('',(#265180,#265181,#265182,#265183)); #49799=EDGE_LOOP('',(#265184,#265185,#265186,#265187)); #49800=EDGE_LOOP('',(#265188,#265189,#265190,#265191)); #49801=EDGE_LOOP('',(#265192,#265193,#265194,#265195)); #49802=EDGE_LOOP('',(#265196,#265197,#265198,#265199)); #49803=EDGE_LOOP('',(#265200,#265201,#265202,#265203)); #49804=EDGE_LOOP('',(#265204,#265205,#265206,#265207)); #49805=EDGE_LOOP('',(#265208,#265209,#265210,#265211)); #49806=EDGE_LOOP('',(#265212,#265213,#265214,#265215)); #49807=EDGE_LOOP('',(#265216,#265217,#265218,#265219)); #49808=EDGE_LOOP('',(#265220,#265221,#265222,#265223)); #49809=EDGE_LOOP('',(#265224,#265225,#265226,#265227)); #49810=EDGE_LOOP('',(#265228,#265229,#265230,#265231)); #49811=EDGE_LOOP('',(#265232,#265233,#265234,#265235)); #49812=EDGE_LOOP('',(#265236,#265237,#265238,#265239)); #49813=EDGE_LOOP('',(#265240,#265241,#265242,#265243)); #49814=EDGE_LOOP('',(#265244,#265245,#265246,#265247)); #49815=EDGE_LOOP('',(#265248,#265249,#265250,#265251)); #49816=EDGE_LOOP('',(#265252,#265253,#265254,#265255)); #49817=EDGE_LOOP('',(#265256,#265257,#265258,#265259)); #49818=EDGE_LOOP('',(#265260,#265261,#265262,#265263)); #49819=EDGE_LOOP('',(#265264,#265265,#265266,#265267)); #49820=EDGE_LOOP('',(#265268,#265269,#265270,#265271)); #49821=EDGE_LOOP('',(#265272,#265273,#265274,#265275)); #49822=EDGE_LOOP('',(#265276,#265277,#265278,#265279)); #49823=EDGE_LOOP('',(#265280,#265281,#265282,#265283)); #49824=EDGE_LOOP('',(#265284,#265285,#265286,#265287)); #49825=EDGE_LOOP('',(#265288,#265289,#265290,#265291)); #49826=EDGE_LOOP('',(#265292,#265293,#265294,#265295)); #49827=EDGE_LOOP('',(#265296,#265297,#265298,#265299)); #49828=EDGE_LOOP('',(#265300,#265301,#265302,#265303)); #49829=EDGE_LOOP('',(#265304,#265305,#265306,#265307)); #49830=EDGE_LOOP('',(#265308,#265309,#265310,#265311)); #49831=EDGE_LOOP('',(#265312,#265313,#265314,#265315)); #49832=EDGE_LOOP('',(#265316,#265317,#265318,#265319)); #49833=EDGE_LOOP('',(#265320,#265321,#265322,#265323)); #49834=EDGE_LOOP('',(#265324,#265325,#265326,#265327)); #49835=EDGE_LOOP('',(#265328,#265329,#265330,#265331)); #49836=EDGE_LOOP('',(#265332,#265333,#265334,#265335)); #49837=EDGE_LOOP('',(#265336,#265337,#265338,#265339)); #49838=EDGE_LOOP('',(#265340,#265341,#265342,#265343)); #49839=EDGE_LOOP('',(#265344,#265345,#265346,#265347,#265348,#265349,#265350, #265351,#265352,#265353,#265354,#265355,#265356,#265357,#265358,#265359, #265360,#265361,#265362,#265363,#265364,#265365,#265366,#265367,#265368, #265369,#265370,#265371,#265372,#265373,#265374,#265375,#265376,#265377, #265378,#265379,#265380,#265381,#265382,#265383,#265384,#265385,#265386, #265387)); #49840=EDGE_LOOP('',(#265388)); #49841=EDGE_LOOP('',(#265389)); #49842=EDGE_LOOP('',(#265390,#265391,#265392,#265393,#265394,#265395,#265396, #265397,#265398,#265399,#265400,#265401,#265402,#265403,#265404,#265405, #265406,#265407,#265408,#265409,#265410,#265411,#265412,#265413,#265414, #265415,#265416,#265417,#265418,#265419,#265420,#265421,#265422,#265423, #265424,#265425,#265426,#265427,#265428,#265429,#265430,#265431,#265432, #265433)); #49843=EDGE_LOOP('',(#265434)); #49844=EDGE_LOOP('',(#265435)); #49845=EDGE_LOOP('',(#265436,#265437,#265438,#265439)); #49846=EDGE_LOOP('',(#265440,#265441,#265442,#265443)); #49847=EDGE_LOOP('',(#265444,#265445,#265446,#265447)); #49848=EDGE_LOOP('',(#265448,#265449,#265450,#265451)); #49849=EDGE_LOOP('',(#265452,#265453,#265454,#265455)); #49850=EDGE_LOOP('',(#265456,#265457,#265458,#265459)); #49851=EDGE_LOOP('',(#265460,#265461,#265462,#265463)); #49852=EDGE_LOOP('',(#265464,#265465,#265466,#265467)); #49853=EDGE_LOOP('',(#265468,#265469,#265470,#265471)); #49854=EDGE_LOOP('',(#265472,#265473,#265474,#265475)); #49855=EDGE_LOOP('',(#265476,#265477,#265478,#265479)); #49856=EDGE_LOOP('',(#265480,#265481,#265482,#265483)); #49857=EDGE_LOOP('',(#265484,#265485,#265486,#265487)); #49858=EDGE_LOOP('',(#265488,#265489,#265490,#265491,#265492,#265493,#265494, #265495,#265496,#265497,#265498)); #49859=EDGE_LOOP('',(#265499)); #49860=EDGE_LOOP('',(#265500)); #49861=EDGE_LOOP('',(#265501,#265502,#265503,#265504,#265505,#265506,#265507, #265508,#265509,#265510,#265511)); #49862=EDGE_LOOP('',(#265512)); #49863=EDGE_LOOP('',(#265513)); #49864=EDGE_LOOP('',(#265514,#265515,#265516,#265517)); #49865=EDGE_LOOP('',(#265518,#265519,#265520,#265521)); #49866=EDGE_LOOP('',(#265522,#265523,#265524,#265525)); #49867=EDGE_LOOP('',(#265526,#265527,#265528,#265529)); #49868=EDGE_LOOP('',(#265530,#265531,#265532,#265533)); #49869=EDGE_LOOP('',(#265534,#265535,#265536,#265537)); #49870=EDGE_LOOP('',(#265538,#265539,#265540,#265541)); #49871=EDGE_LOOP('',(#265542,#265543,#265544,#265545)); #49872=EDGE_LOOP('',(#265546,#265547,#265548,#265549)); #49873=EDGE_LOOP('',(#265550,#265551,#265552,#265553)); #49874=EDGE_LOOP('',(#265554,#265555,#265556,#265557)); #49875=EDGE_LOOP('',(#265558,#265559,#265560,#265561)); #49876=EDGE_LOOP('',(#265562,#265563,#265564,#265565)); #49877=EDGE_LOOP('',(#265566,#265567,#265568,#265569)); #49878=EDGE_LOOP('',(#265570,#265571,#265572,#265573)); #49879=EDGE_LOOP('',(#265574,#265575,#265576,#265577)); #49880=EDGE_LOOP('',(#265578,#265579,#265580,#265581)); #49881=EDGE_LOOP('',(#265582,#265583,#265584,#265585)); #49882=EDGE_LOOP('',(#265586,#265587,#265588,#265589)); #49883=EDGE_LOOP('',(#265590,#265591,#265592,#265593)); #49884=EDGE_LOOP('',(#265594,#265595,#265596,#265597)); #49885=EDGE_LOOP('',(#265598,#265599,#265600,#265601)); #49886=EDGE_LOOP('',(#265602,#265603,#265604,#265605)); #49887=EDGE_LOOP('',(#265606,#265607,#265608,#265609)); #49888=EDGE_LOOP('',(#265610,#265611,#265612,#265613)); #49889=EDGE_LOOP('',(#265614,#265615,#265616,#265617,#265618,#265619,#265620, #265621,#265622,#265623,#265624,#265625,#265626,#265627,#265628,#265629, #265630,#265631,#265632,#265633,#265634,#265635,#265636,#265637)); #49890=EDGE_LOOP('',(#265638)); #49891=EDGE_LOOP('',(#265639,#265640,#265641,#265642,#265643,#265644,#265645, #265646,#265647,#265648,#265649,#265650,#265651,#265652,#265653,#265654, #265655,#265656,#265657,#265658,#265659,#265660,#265661,#265662)); #49892=EDGE_LOOP('',(#265663)); #49893=EDGE_LOOP('',(#265664,#265665,#265666,#265667)); #49894=EDGE_LOOP('',(#265668,#265669,#265670,#265671)); #49895=EDGE_LOOP('',(#265672,#265673,#265674,#265675)); #49896=EDGE_LOOP('',(#265676,#265677,#265678,#265679)); #49897=EDGE_LOOP('',(#265680,#265681,#265682,#265683)); #49898=EDGE_LOOP('',(#265684,#265685,#265686,#265687)); #49899=EDGE_LOOP('',(#265688,#265689,#265690,#265691)); #49900=EDGE_LOOP('',(#265692,#265693,#265694,#265695)); #49901=EDGE_LOOP('',(#265696,#265697,#265698,#265699)); #49902=EDGE_LOOP('',(#265700,#265701,#265702,#265703)); #49903=EDGE_LOOP('',(#265704,#265705,#265706,#265707)); #49904=EDGE_LOOP('',(#265708,#265709,#265710,#265711)); #49905=EDGE_LOOP('',(#265712,#265713,#265714,#265715)); #49906=EDGE_LOOP('',(#265716,#265717,#265718,#265719)); #49907=EDGE_LOOP('',(#265720,#265721,#265722,#265723)); #49908=EDGE_LOOP('',(#265724,#265725,#265726,#265727)); #49909=EDGE_LOOP('',(#265728,#265729,#265730,#265731)); #49910=EDGE_LOOP('',(#265732,#265733,#265734,#265735)); #49911=EDGE_LOOP('',(#265736,#265737,#265738,#265739)); #49912=EDGE_LOOP('',(#265740,#265741,#265742,#265743)); #49913=EDGE_LOOP('',(#265744,#265745,#265746,#265747)); #49914=EDGE_LOOP('',(#265748,#265749,#265750,#265751)); #49915=EDGE_LOOP('',(#265752,#265753,#265754,#265755)); #49916=EDGE_LOOP('',(#265756,#265757,#265758,#265759)); #49917=EDGE_LOOP('',(#265760,#265761,#265762,#265763)); #49918=EDGE_LOOP('',(#265764,#265765,#265766,#265767)); #49919=EDGE_LOOP('',(#265768,#265769,#265770,#265771)); #49920=EDGE_LOOP('',(#265772,#265773,#265774,#265775,#265776,#265777,#265778, #265779,#265780,#265781,#265782,#265783,#265784,#265785,#265786,#265787, #265788,#265789,#265790,#265791,#265792,#265793,#265794,#265795,#265796, #265797)); #49921=EDGE_LOOP('',(#265798)); #49922=EDGE_LOOP('',(#265799,#265800,#265801,#265802,#265803,#265804,#265805, #265806,#265807,#265808,#265809,#265810,#265811,#265812,#265813,#265814, #265815,#265816,#265817,#265818,#265819,#265820,#265821,#265822,#265823, #265824)); #49923=EDGE_LOOP('',(#265825)); #49924=EDGE_LOOP('',(#265826,#265827,#265828,#265829)); #49925=EDGE_LOOP('',(#265830,#265831,#265832,#265833)); #49926=EDGE_LOOP('',(#265834,#265835,#265836,#265837)); #49927=EDGE_LOOP('',(#265838,#265839,#265840,#265841)); #49928=EDGE_LOOP('',(#265842,#265843,#265844,#265845)); #49929=EDGE_LOOP('',(#265846,#265847,#265848,#265849)); #49930=EDGE_LOOP('',(#265850,#265851,#265852,#265853)); #49931=EDGE_LOOP('',(#265854,#265855,#265856,#265857)); #49932=EDGE_LOOP('',(#265858,#265859,#265860,#265861)); #49933=EDGE_LOOP('',(#265862,#265863,#265864,#265865)); #49934=EDGE_LOOP('',(#265866,#265867,#265868,#265869)); #49935=EDGE_LOOP('',(#265870,#265871,#265872,#265873)); #49936=EDGE_LOOP('',(#265874,#265875,#265876,#265877)); #49937=EDGE_LOOP('',(#265878,#265879,#265880,#265881)); #49938=EDGE_LOOP('',(#265882,#265883,#265884,#265885)); #49939=EDGE_LOOP('',(#265886,#265887,#265888,#265889)); #49940=EDGE_LOOP('',(#265890,#265891,#265892,#265893)); #49941=EDGE_LOOP('',(#265894,#265895,#265896,#265897)); #49942=EDGE_LOOP('',(#265898,#265899,#265900,#265901)); #49943=EDGE_LOOP('',(#265902,#265903,#265904,#265905)); #49944=EDGE_LOOP('',(#265906,#265907,#265908,#265909)); #49945=EDGE_LOOP('',(#265910,#265911,#265912,#265913)); #49946=EDGE_LOOP('',(#265914,#265915,#265916,#265917)); #49947=EDGE_LOOP('',(#265918,#265919,#265920,#265921)); #49948=EDGE_LOOP('',(#265922,#265923,#265924,#265925)); #49949=EDGE_LOOP('',(#265926,#265927,#265928,#265929)); #49950=EDGE_LOOP('',(#265930,#265931,#265932,#265933)); #49951=EDGE_LOOP('',(#265934,#265935,#265936,#265937)); #49952=EDGE_LOOP('',(#265938,#265939,#265940,#265941)); #49953=EDGE_LOOP('',(#265942,#265943,#265944,#265945)); #49954=EDGE_LOOP('',(#265946,#265947,#265948,#265949)); #49955=EDGE_LOOP('',(#265950,#265951,#265952,#265953)); #49956=EDGE_LOOP('',(#265954,#265955,#265956,#265957)); #49957=EDGE_LOOP('',(#265958,#265959,#265960,#265961)); #49958=EDGE_LOOP('',(#265962,#265963,#265964,#265965)); #49959=EDGE_LOOP('',(#265966,#265967,#265968,#265969)); #49960=EDGE_LOOP('',(#265970,#265971,#265972,#265973)); #49961=EDGE_LOOP('',(#265974,#265975,#265976,#265977)); #49962=EDGE_LOOP('',(#265978,#265979,#265980,#265981)); #49963=EDGE_LOOP('',(#265982,#265983,#265984,#265985)); #49964=EDGE_LOOP('',(#265986,#265987,#265988,#265989)); #49965=EDGE_LOOP('',(#265990,#265991,#265992,#265993)); #49966=EDGE_LOOP('',(#265994,#265995,#265996,#265997)); #49967=EDGE_LOOP('',(#265998,#265999,#266000,#266001)); #49968=EDGE_LOOP('',(#266002,#266003,#266004,#266005)); #49969=EDGE_LOOP('',(#266006,#266007,#266008,#266009)); #49970=EDGE_LOOP('',(#266010,#266011,#266012,#266013)); #49971=EDGE_LOOP('',(#266014,#266015,#266016,#266017)); #49972=EDGE_LOOP('',(#266018,#266019,#266020,#266021)); #49973=EDGE_LOOP('',(#266022,#266023,#266024,#266025)); #49974=EDGE_LOOP('',(#266026,#266027,#266028,#266029)); #49975=EDGE_LOOP('',(#266030,#266031,#266032,#266033)); #49976=EDGE_LOOP('',(#266034,#266035,#266036,#266037)); #49977=EDGE_LOOP('',(#266038,#266039,#266040,#266041)); #49978=EDGE_LOOP('',(#266042,#266043,#266044,#266045)); #49979=EDGE_LOOP('',(#266046,#266047,#266048,#266049)); #49980=EDGE_LOOP('',(#266050,#266051,#266052,#266053)); #49981=EDGE_LOOP('',(#266054,#266055,#266056,#266057)); #49982=EDGE_LOOP('',(#266058,#266059,#266060,#266061)); #49983=EDGE_LOOP('',(#266062,#266063,#266064,#266065)); #49984=EDGE_LOOP('',(#266066,#266067,#266068,#266069)); #49985=EDGE_LOOP('',(#266070,#266071,#266072,#266073)); #49986=EDGE_LOOP('',(#266074,#266075,#266076,#266077)); #49987=EDGE_LOOP('',(#266078,#266079,#266080,#266081,#266082,#266083,#266084, #266085,#266086,#266087,#266088,#266089,#266090,#266091,#266092,#266093, #266094,#266095,#266096,#266097,#266098,#266099,#266100,#266101,#266102, #266103,#266104,#266105,#266106,#266107,#266108,#266109,#266110,#266111, #266112,#266113,#266114,#266115,#266116,#266117,#266118,#266119,#266120, #266121,#266122,#266123,#266124,#266125,#266126,#266127,#266128,#266129, #266130,#266131,#266132,#266133,#266134,#266135,#266136,#266137,#266138)); #49988=EDGE_LOOP('',(#266139)); #49989=EDGE_LOOP('',(#266140)); #49990=EDGE_LOOP('',(#266141,#266142,#266143,#266144,#266145,#266146,#266147, #266148,#266149,#266150,#266151,#266152,#266153,#266154,#266155,#266156, #266157,#266158,#266159,#266160,#266161,#266162,#266163,#266164,#266165, #266166,#266167,#266168,#266169,#266170,#266171,#266172,#266173,#266174, #266175,#266176,#266177,#266178,#266179,#266180,#266181,#266182,#266183, #266184,#266185,#266186,#266187,#266188,#266189,#266190,#266191,#266192, #266193,#266194,#266195,#266196,#266197,#266198,#266199,#266200,#266201)); #49991=EDGE_LOOP('',(#266202)); #49992=EDGE_LOOP('',(#266203)); #49993=EDGE_LOOP('',(#266204,#266205,#266206,#266207)); #49994=EDGE_LOOP('',(#266208,#266209,#266210,#266211)); #49995=EDGE_LOOP('',(#266212,#266213,#266214,#266215)); #49996=EDGE_LOOP('',(#266216,#266217,#266218,#266219)); #49997=EDGE_LOOP('',(#266220,#266221,#266222,#266223)); #49998=EDGE_LOOP('',(#266224,#266225,#266226,#266227)); #49999=EDGE_LOOP('',(#266228,#266229,#266230,#266231)); #50000=EDGE_LOOP('',(#266232,#266233,#266234,#266235)); #50001=EDGE_LOOP('',(#266236,#266237,#266238,#266239)); #50002=EDGE_LOOP('',(#266240,#266241,#266242,#266243)); #50003=EDGE_LOOP('',(#266244,#266245,#266246,#266247,#266248,#266249,#266250, #266251)); #50004=EDGE_LOOP('',(#266252)); #50005=EDGE_LOOP('',(#266253)); #50006=EDGE_LOOP('',(#266254,#266255,#266256,#266257,#266258,#266259,#266260, #266261)); #50007=EDGE_LOOP('',(#266262)); #50008=EDGE_LOOP('',(#266263)); #50009=EDGE_LOOP('',(#266264,#266265,#266266,#266267)); #50010=EDGE_LOOP('',(#266268,#266269,#266270,#266271)); #50011=EDGE_LOOP('',(#266272,#266273,#266274,#266275)); #50012=EDGE_LOOP('',(#266276,#266277,#266278,#266279)); #50013=EDGE_LOOP('',(#266280,#266281,#266282,#266283)); #50014=EDGE_LOOP('',(#266284,#266285,#266286,#266287)); #50015=EDGE_LOOP('',(#266288,#266289,#266290,#266291)); #50016=EDGE_LOOP('',(#266292,#266293,#266294,#266295)); #50017=EDGE_LOOP('',(#266296,#266297,#266298,#266299)); #50018=EDGE_LOOP('',(#266300,#266301,#266302,#266303)); #50019=EDGE_LOOP('',(#266304,#266305,#266306,#266307,#266308,#266309,#266310, #266311)); #50020=EDGE_LOOP('',(#266312)); #50021=EDGE_LOOP('',(#266313)); #50022=EDGE_LOOP('',(#266314,#266315,#266316,#266317,#266318,#266319,#266320, #266321)); #50023=EDGE_LOOP('',(#266322)); #50024=EDGE_LOOP('',(#266323)); #50025=EDGE_LOOP('',(#266324,#266325,#266326,#266327)); #50026=EDGE_LOOP('',(#266328,#266329,#266330,#266331)); #50027=EDGE_LOOP('',(#266332,#266333,#266334,#266335)); #50028=EDGE_LOOP('',(#266336,#266337,#266338,#266339)); #50029=EDGE_LOOP('',(#266340,#266341,#266342,#266343)); #50030=EDGE_LOOP('',(#266344,#266345,#266346,#266347)); #50031=EDGE_LOOP('',(#266348,#266349,#266350,#266351)); #50032=EDGE_LOOP('',(#266352,#266353,#266354,#266355)); #50033=EDGE_LOOP('',(#266356,#266357,#266358,#266359)); #50034=EDGE_LOOP('',(#266360,#266361,#266362,#266363)); #50035=EDGE_LOOP('',(#266364,#266365,#266366,#266367,#266368,#266369,#266370, #266371)); #50036=EDGE_LOOP('',(#266372)); #50037=EDGE_LOOP('',(#266373)); #50038=EDGE_LOOP('',(#266374,#266375,#266376,#266377,#266378,#266379,#266380, #266381)); #50039=EDGE_LOOP('',(#266382)); #50040=EDGE_LOOP('',(#266383)); #50041=EDGE_LOOP('',(#266384,#266385,#266386,#266387)); #50042=EDGE_LOOP('',(#266388,#266389,#266390,#266391)); #50043=EDGE_LOOP('',(#266392,#266393,#266394,#266395)); #50044=EDGE_LOOP('',(#266396,#266397,#266398,#266399)); #50045=EDGE_LOOP('',(#266400,#266401,#266402,#266403)); #50046=EDGE_LOOP('',(#266404,#266405,#266406,#266407)); #50047=EDGE_LOOP('',(#266408,#266409,#266410,#266411)); #50048=EDGE_LOOP('',(#266412,#266413,#266414,#266415)); #50049=EDGE_LOOP('',(#266416,#266417,#266418,#266419)); #50050=EDGE_LOOP('',(#266420,#266421,#266422,#266423)); #50051=EDGE_LOOP('',(#266424,#266425,#266426,#266427)); #50052=EDGE_LOOP('',(#266428,#266429,#266430,#266431)); #50053=EDGE_LOOP('',(#266432,#266433,#266434,#266435)); #50054=EDGE_LOOP('',(#266436,#266437,#266438,#266439)); #50055=EDGE_LOOP('',(#266440,#266441,#266442,#266443)); #50056=EDGE_LOOP('',(#266444,#266445,#266446,#266447,#266448,#266449,#266450, #266451,#266452,#266453,#266454,#266455,#266456)); #50057=EDGE_LOOP('',(#266457)); #50058=EDGE_LOOP('',(#266458)); #50059=EDGE_LOOP('',(#266459,#266460,#266461,#266462,#266463,#266464,#266465, #266466,#266467,#266468,#266469,#266470,#266471)); #50060=EDGE_LOOP('',(#266472)); #50061=EDGE_LOOP('',(#266473)); #50062=EDGE_LOOP('',(#266474,#266475,#266476,#266477)); #50063=EDGE_LOOP('',(#266478,#266479,#266480,#266481)); #50064=EDGE_LOOP('',(#266482,#266483,#266484,#266485)); #50065=EDGE_LOOP('',(#266486,#266487,#266488,#266489)); #50066=EDGE_LOOP('',(#266490,#266491,#266492,#266493)); #50067=EDGE_LOOP('',(#266494,#266495,#266496,#266497)); #50068=EDGE_LOOP('',(#266498)); #50069=EDGE_LOOP('',(#266499,#266500,#266501,#266502)); #50070=EDGE_LOOP('',(#266503)); #50071=EDGE_LOOP('',(#266504,#266505,#266506,#266507)); #50072=EDGE_LOOP('',(#266508,#266509,#266510,#266511)); #50073=EDGE_LOOP('',(#266512,#266513,#266514,#266515)); #50074=EDGE_LOOP('',(#266516,#266517,#266518,#266519)); #50075=EDGE_LOOP('',(#266520,#266521,#266522,#266523)); #50076=EDGE_LOOP('',(#266524,#266525,#266526,#266527)); #50077=EDGE_LOOP('',(#266528)); #50078=EDGE_LOOP('',(#266529,#266530,#266531,#266532)); #50079=EDGE_LOOP('',(#266533)); #50080=EDGE_LOOP('',(#266534,#266535,#266536,#266537)); #50081=EDGE_LOOP('',(#266538,#266539,#266540,#266541)); #50082=EDGE_LOOP('',(#266542,#266543,#266544,#266545)); #50083=EDGE_LOOP('',(#266546,#266547,#266548,#266549)); #50084=EDGE_LOOP('',(#266550,#266551,#266552,#266553)); #50085=EDGE_LOOP('',(#266554,#266555,#266556,#266557)); #50086=EDGE_LOOP('',(#266558)); #50087=EDGE_LOOP('',(#266559,#266560,#266561,#266562)); #50088=EDGE_LOOP('',(#266563)); #50089=EDGE_LOOP('',(#266564,#266565,#266566,#266567)); #50090=EDGE_LOOP('',(#266568,#266569,#266570,#266571)); #50091=EDGE_LOOP('',(#266572,#266573,#266574,#266575)); #50092=EDGE_LOOP('',(#266576,#266577,#266578,#266579)); #50093=EDGE_LOOP('',(#266580,#266581,#266582,#266583)); #50094=EDGE_LOOP('',(#266584,#266585,#266586,#266587)); #50095=EDGE_LOOP('',(#266588,#266589,#266590,#266591)); #50096=EDGE_LOOP('',(#266592,#266593,#266594,#266595)); #50097=EDGE_LOOP('',(#266596,#266597,#266598,#266599)); #50098=EDGE_LOOP('',(#266600,#266601,#266602,#266603)); #50099=EDGE_LOOP('',(#266604,#266605,#266606,#266607)); #50100=EDGE_LOOP('',(#266608,#266609,#266610,#266611,#266612,#266613,#266614, #266615,#266616,#266617)); #50101=EDGE_LOOP('',(#266618)); #50102=EDGE_LOOP('',(#266619,#266620,#266621,#266622,#266623,#266624,#266625, #266626,#266627,#266628)); #50103=EDGE_LOOP('',(#266629)); #50104=EDGE_LOOP('',(#266630,#266631,#266632,#266633)); #50105=EDGE_LOOP('',(#266634,#266635,#266636,#266637)); #50106=EDGE_LOOP('',(#266638,#266639,#266640,#266641)); #50107=EDGE_LOOP('',(#266642,#266643,#266644,#266645)); #50108=EDGE_LOOP('',(#266646,#266647,#266648,#266649)); #50109=EDGE_LOOP('',(#266650,#266651,#266652,#266653)); #50110=EDGE_LOOP('',(#266654,#266655,#266656,#266657)); #50111=EDGE_LOOP('',(#266658,#266659,#266660,#266661)); #50112=EDGE_LOOP('',(#266662,#266663,#266664,#266665)); #50113=EDGE_LOOP('',(#266666,#266667,#266668,#266669,#266670,#266671,#266672)); #50114=EDGE_LOOP('',(#266673)); #50115=EDGE_LOOP('',(#266674)); #50116=EDGE_LOOP('',(#266675,#266676,#266677,#266678,#266679,#266680,#266681)); #50117=EDGE_LOOP('',(#266682)); #50118=EDGE_LOOP('',(#266683)); #50119=EDGE_LOOP('',(#266684,#266685,#266686,#266687)); #50120=EDGE_LOOP('',(#266688,#266689,#266690,#266691)); #50121=EDGE_LOOP('',(#266692,#266693,#266694,#266695)); #50122=EDGE_LOOP('',(#266696,#266697,#266698,#266699)); #50123=EDGE_LOOP('',(#266700,#266701,#266702,#266703)); #50124=EDGE_LOOP('',(#266704,#266705,#266706,#266707)); #50125=EDGE_LOOP('',(#266708,#266709,#266710,#266711)); #50126=EDGE_LOOP('',(#266712,#266713,#266714,#266715)); #50127=EDGE_LOOP('',(#266716,#266717,#266718,#266719)); #50128=EDGE_LOOP('',(#266720,#266721,#266722,#266723)); #50129=EDGE_LOOP('',(#266724,#266725,#266726,#266727)); #50130=EDGE_LOOP('',(#266728,#266729,#266730,#266731,#266732,#266733,#266734, #266735,#266736,#266737)); #50131=EDGE_LOOP('',(#266738)); #50132=EDGE_LOOP('',(#266739,#266740,#266741,#266742,#266743,#266744,#266745, #266746,#266747,#266748)); #50133=EDGE_LOOP('',(#266749)); #50134=EDGE_LOOP('',(#266750,#266751,#266752,#266753)); #50135=EDGE_LOOP('',(#266754,#266755,#266756,#266757)); #50136=EDGE_LOOP('',(#266758,#266759,#266760,#266761)); #50137=EDGE_LOOP('',(#266762,#266763,#266764,#266765)); #50138=EDGE_LOOP('',(#266766,#266767,#266768,#266769)); #50139=EDGE_LOOP('',(#266770,#266771,#266772,#266773)); #50140=EDGE_LOOP('',(#266774,#266775,#266776,#266777)); #50141=EDGE_LOOP('',(#266778,#266779,#266780,#266781)); #50142=EDGE_LOOP('',(#266782,#266783,#266784,#266785)); #50143=EDGE_LOOP('',(#266786,#266787,#266788,#266789,#266790,#266791,#266792)); #50144=EDGE_LOOP('',(#266793)); #50145=EDGE_LOOP('',(#266794)); #50146=EDGE_LOOP('',(#266795,#266796,#266797,#266798,#266799,#266800,#266801)); #50147=EDGE_LOOP('',(#266802)); #50148=EDGE_LOOP('',(#266803)); #50149=EDGE_LOOP('',(#266804,#266805,#266806,#266807)); #50150=EDGE_LOOP('',(#266808,#266809,#266810,#266811)); #50151=EDGE_LOOP('',(#266812,#266813,#266814,#266815)); #50152=EDGE_LOOP('',(#266816,#266817,#266818,#266819)); #50153=EDGE_LOOP('',(#266820,#266821,#266822,#266823)); #50154=EDGE_LOOP('',(#266824,#266825,#266826,#266827)); #50155=EDGE_LOOP('',(#266828,#266829,#266830,#266831)); #50156=EDGE_LOOP('',(#266832,#266833,#266834,#266835)); #50157=EDGE_LOOP('',(#266836,#266837,#266838,#266839)); #50158=EDGE_LOOP('',(#266840,#266841,#266842,#266843)); #50159=EDGE_LOOP('',(#266844,#266845,#266846,#266847)); #50160=EDGE_LOOP('',(#266848,#266849,#266850,#266851,#266852,#266853,#266854, #266855,#266856,#266857)); #50161=EDGE_LOOP('',(#266858)); #50162=EDGE_LOOP('',(#266859,#266860,#266861,#266862,#266863,#266864,#266865, #266866,#266867,#266868)); #50163=EDGE_LOOP('',(#266869)); #50164=EDGE_LOOP('',(#266870,#266871,#266872,#266873)); #50165=EDGE_LOOP('',(#266874,#266875,#266876,#266877)); #50166=EDGE_LOOP('',(#266878,#266879,#266880,#266881)); #50167=EDGE_LOOP('',(#266882,#266883,#266884,#266885)); #50168=EDGE_LOOP('',(#266886,#266887,#266888,#266889)); #50169=EDGE_LOOP('',(#266890,#266891,#266892,#266893)); #50170=EDGE_LOOP('',(#266894,#266895,#266896,#266897)); #50171=EDGE_LOOP('',(#266898,#266899,#266900,#266901)); #50172=EDGE_LOOP('',(#266902,#266903,#266904,#266905)); #50173=EDGE_LOOP('',(#266906,#266907,#266908,#266909)); #50174=EDGE_LOOP('',(#266910,#266911,#266912,#266913)); #50175=EDGE_LOOP('',(#266914,#266915,#266916,#266917,#266918,#266919,#266920, #266921,#266922,#266923)); #50176=EDGE_LOOP('',(#266924)); #50177=EDGE_LOOP('',(#266925,#266926,#266927,#266928,#266929,#266930,#266931, #266932,#266933,#266934)); #50178=EDGE_LOOP('',(#266935)); #50179=EDGE_LOOP('',(#266936,#266937,#266938,#266939)); #50180=EDGE_LOOP('',(#266940,#266941,#266942,#266943)); #50181=EDGE_LOOP('',(#266944,#266945,#266946,#266947)); #50182=EDGE_LOOP('',(#266948,#266949,#266950,#266951)); #50183=EDGE_LOOP('',(#266952,#266953,#266954,#266955)); #50184=EDGE_LOOP('',(#266956,#266957,#266958,#266959)); #50185=EDGE_LOOP('',(#266960,#266961,#266962,#266963)); #50186=EDGE_LOOP('',(#266964,#266965,#266966,#266967)); #50187=EDGE_LOOP('',(#266968,#266969,#266970,#266971)); #50188=EDGE_LOOP('',(#266972,#266973,#266974,#266975)); #50189=EDGE_LOOP('',(#266976,#266977,#266978,#266979)); #50190=EDGE_LOOP('',(#266980,#266981,#266982,#266983)); #50191=EDGE_LOOP('',(#266984,#266985,#266986,#266987)); #50192=EDGE_LOOP('',(#266988,#266989,#266990,#266991)); #50193=EDGE_LOOP('',(#266992,#266993,#266994,#266995)); #50194=EDGE_LOOP('',(#266996,#266997,#266998,#266999)); #50195=EDGE_LOOP('',(#267000,#267001,#267002,#267003)); #50196=EDGE_LOOP('',(#267004,#267005,#267006,#267007)); #50197=EDGE_LOOP('',(#267008,#267009,#267010,#267011,#267012,#267013,#267014, #267015,#267016,#267017,#267018,#267019,#267020,#267021,#267022,#267023, #267024)); #50198=EDGE_LOOP('',(#267025)); #50199=EDGE_LOOP('',(#267026,#267027,#267028,#267029,#267030,#267031,#267032, #267033,#267034,#267035,#267036,#267037,#267038,#267039,#267040,#267041, #267042)); #50200=EDGE_LOOP('',(#267043)); #50201=EDGE_LOOP('',(#267044,#267045,#267046,#267047)); #50202=EDGE_LOOP('',(#267048,#267049,#267050,#267051)); #50203=EDGE_LOOP('',(#267052,#267053,#267054,#267055)); #50204=EDGE_LOOP('',(#267056,#267057,#267058,#267059)); #50205=EDGE_LOOP('',(#267060,#267061,#267062,#267063)); #50206=EDGE_LOOP('',(#267064,#267065,#267066,#267067)); #50207=EDGE_LOOP('',(#267068,#267069,#267070,#267071)); #50208=EDGE_LOOP('',(#267072,#267073,#267074,#267075)); #50209=EDGE_LOOP('',(#267076,#267077,#267078,#267079)); #50210=EDGE_LOOP('',(#267080,#267081,#267082,#267083)); #50211=EDGE_LOOP('',(#267084,#267085,#267086,#267087)); #50212=EDGE_LOOP('',(#267088,#267089,#267090,#267091)); #50213=EDGE_LOOP('',(#267092,#267093,#267094,#267095)); #50214=EDGE_LOOP('',(#267096,#267097,#267098,#267099)); #50215=EDGE_LOOP('',(#267100,#267101,#267102,#267103)); #50216=EDGE_LOOP('',(#267104,#267105,#267106,#267107)); #50217=EDGE_LOOP('',(#267108,#267109,#267110,#267111,#267112,#267113,#267114, #267115,#267116,#267117,#267118,#267119,#267120,#267121,#267122)); #50218=EDGE_LOOP('',(#267123)); #50219=EDGE_LOOP('',(#267124,#267125,#267126,#267127,#267128,#267129,#267130, #267131,#267132,#267133,#267134,#267135,#267136,#267137,#267138)); #50220=EDGE_LOOP('',(#267139)); #50221=EDGE_LOOP('',(#267140,#267141,#267142,#267143)); #50222=EDGE_LOOP('',(#267144,#267145,#267146,#267147)); #50223=EDGE_LOOP('',(#267148,#267149,#267150,#267151)); #50224=EDGE_LOOP('',(#267152,#267153,#267154,#267155)); #50225=EDGE_LOOP('',(#267156,#267157,#267158)); #50226=EDGE_LOOP('',(#267159)); #50227=EDGE_LOOP('',(#267160,#267161,#267162)); #50228=EDGE_LOOP('',(#267163)); #50229=EDGE_LOOP('',(#267164,#267165,#267166,#267167)); #50230=EDGE_LOOP('',(#267168,#267169,#267170,#267171)); #50231=EDGE_LOOP('',(#267172,#267173,#267174,#267175)); #50232=EDGE_LOOP('',(#267176,#267177,#267178,#267179)); #50233=EDGE_LOOP('',(#267180,#267181,#267182,#267183)); #50234=EDGE_LOOP('',(#267184,#267185,#267186,#267187)); #50235=EDGE_LOOP('',(#267188,#267189,#267190,#267191)); #50236=EDGE_LOOP('',(#267192,#267193,#267194,#267195)); #50237=EDGE_LOOP('',(#267196,#267197,#267198,#267199)); #50238=EDGE_LOOP('',(#267200,#267201,#267202,#267203)); #50239=EDGE_LOOP('',(#267204,#267205,#267206,#267207)); #50240=EDGE_LOOP('',(#267208,#267209,#267210,#267211)); #50241=EDGE_LOOP('',(#267212,#267213,#267214,#267215)); #50242=EDGE_LOOP('',(#267216,#267217,#267218,#267219)); #50243=EDGE_LOOP('',(#267220,#267221,#267222,#267223)); #50244=EDGE_LOOP('',(#267224,#267225,#267226,#267227)); #50245=EDGE_LOOP('',(#267228,#267229,#267230,#267231)); #50246=EDGE_LOOP('',(#267232,#267233,#267234,#267235)); #50247=EDGE_LOOP('',(#267236,#267237,#267238,#267239)); #50248=EDGE_LOOP('',(#267240,#267241,#267242,#267243)); #50249=EDGE_LOOP('',(#267244,#267245,#267246,#267247)); #50250=EDGE_LOOP('',(#267248,#267249,#267250,#267251)); #50251=EDGE_LOOP('',(#267252,#267253,#267254,#267255,#267256,#267257,#267258, #267259,#267260,#267261,#267262,#267263,#267264,#267265,#267266,#267267, #267268,#267269,#267270,#267271,#267272)); #50252=EDGE_LOOP('',(#267273)); #50253=EDGE_LOOP('',(#267274,#267275,#267276,#267277,#267278,#267279,#267280, #267281,#267282,#267283,#267284,#267285,#267286,#267287,#267288,#267289, #267290,#267291,#267292,#267293,#267294)); #50254=EDGE_LOOP('',(#267295)); #50255=EDGE_LOOP('',(#267296,#267297,#267298,#267299)); #50256=EDGE_LOOP('',(#267300,#267301,#267302,#267303)); #50257=EDGE_LOOP('',(#267304,#267305,#267306,#267307)); #50258=EDGE_LOOP('',(#267308,#267309,#267310,#267311)); #50259=EDGE_LOOP('',(#267312,#267313,#267314,#267315)); #50260=EDGE_LOOP('',(#267316,#267317,#267318,#267319)); #50261=EDGE_LOOP('',(#267320,#267321,#267322,#267323)); #50262=EDGE_LOOP('',(#267324,#267325,#267326,#267327)); #50263=EDGE_LOOP('',(#267328,#267329,#267330,#267331)); #50264=EDGE_LOOP('',(#267332,#267333,#267334,#267335)); #50265=EDGE_LOOP('',(#267336,#267337,#267338,#267339)); #50266=EDGE_LOOP('',(#267340,#267341,#267342,#267343)); #50267=EDGE_LOOP('',(#267344,#267345,#267346,#267347)); #50268=EDGE_LOOP('',(#267348,#267349,#267350,#267351)); #50269=EDGE_LOOP('',(#267352,#267353,#267354,#267355)); #50270=EDGE_LOOP('',(#267356,#267357,#267358,#267359)); #50271=EDGE_LOOP('',(#267360,#267361,#267362,#267363,#267364,#267365,#267366, #267367,#267368,#267369,#267370,#267371,#267372,#267373,#267374)); #50272=EDGE_LOOP('',(#267375)); #50273=EDGE_LOOP('',(#267376,#267377,#267378,#267379,#267380,#267381,#267382, #267383,#267384,#267385,#267386,#267387,#267388,#267389,#267390)); #50274=EDGE_LOOP('',(#267391)); #50275=EDGE_LOOP('',(#267392,#267393,#267394,#267395)); #50276=EDGE_LOOP('',(#267396,#267397,#267398,#267399)); #50277=EDGE_LOOP('',(#267400,#267401,#267402,#267403)); #50278=EDGE_LOOP('',(#267404,#267405,#267406,#267407)); #50279=EDGE_LOOP('',(#267408,#267409,#267410,#267411)); #50280=EDGE_LOOP('',(#267412,#267413,#267414,#267415)); #50281=EDGE_LOOP('',(#267416,#267417,#267418,#267419)); #50282=EDGE_LOOP('',(#267420,#267421,#267422,#267423)); #50283=EDGE_LOOP('',(#267424,#267425,#267426,#267427)); #50284=EDGE_LOOP('',(#267428,#267429,#267430,#267431)); #50285=EDGE_LOOP('',(#267432,#267433,#267434,#267435)); #50286=EDGE_LOOP('',(#267436,#267437,#267438,#267439)); #50287=EDGE_LOOP('',(#267440,#267441,#267442,#267443)); #50288=EDGE_LOOP('',(#267444,#267445,#267446,#267447)); #50289=EDGE_LOOP('',(#267448,#267449,#267450,#267451,#267452,#267453,#267454, #267455,#267456,#267457,#267458,#267459,#267460,#267461)); #50290=EDGE_LOOP('',(#267462,#267463,#267464,#267465,#267466,#267467,#267468, #267469,#267470,#267471,#267472,#267473,#267474,#267475)); #50291=EDGE_LOOP('',(#267476,#267477,#267478,#267479)); #50292=EDGE_LOOP('',(#267480,#267481,#267482,#267483)); #50293=EDGE_LOOP('',(#267484,#267485,#267486,#267487)); #50294=EDGE_LOOP('',(#267488,#267489,#267490,#267491)); #50295=EDGE_LOOP('',(#267492,#267493,#267494,#267495)); #50296=EDGE_LOOP('',(#267496,#267497,#267498,#267499)); #50297=EDGE_LOOP('',(#267500,#267501,#267502,#267503)); #50298=EDGE_LOOP('',(#267504,#267505,#267506,#267507)); #50299=EDGE_LOOP('',(#267508,#267509,#267510,#267511)); #50300=EDGE_LOOP('',(#267512,#267513,#267514,#267515)); #50301=EDGE_LOOP('',(#267516,#267517,#267518,#267519)); #50302=EDGE_LOOP('',(#267520,#267521,#267522,#267523)); #50303=EDGE_LOOP('',(#267524,#267525,#267526,#267527)); #50304=EDGE_LOOP('',(#267528,#267529,#267530,#267531)); #50305=EDGE_LOOP('',(#267532,#267533,#267534,#267535,#267536,#267537,#267538, #267539,#267540,#267541,#267542,#267543,#267544,#267545)); #50306=EDGE_LOOP('',(#267546,#267547,#267548,#267549,#267550,#267551,#267552, #267553,#267554,#267555,#267556,#267557,#267558,#267559)); #50307=EDGE_LOOP('',(#267560,#267561,#267562,#267563)); #50308=EDGE_LOOP('',(#267564,#267565,#267566,#267567)); #50309=EDGE_LOOP('',(#267568,#267569,#267570,#267571)); #50310=EDGE_LOOP('',(#267572,#267573,#267574,#267575)); #50311=EDGE_LOOP('',(#267576,#267577,#267578,#267579)); #50312=EDGE_LOOP('',(#267580,#267581,#267582,#267583)); #50313=EDGE_LOOP('',(#267584,#267585,#267586,#267587)); #50314=EDGE_LOOP('',(#267588,#267589,#267590,#267591)); #50315=EDGE_LOOP('',(#267592,#267593,#267594,#267595)); #50316=EDGE_LOOP('',(#267596,#267597,#267598,#267599)); #50317=EDGE_LOOP('',(#267600,#267601,#267602,#267603)); #50318=EDGE_LOOP('',(#267604,#267605,#267606,#267607)); #50319=EDGE_LOOP('',(#267608,#267609,#267610,#267611)); #50320=EDGE_LOOP('',(#267612,#267613,#267614,#267615)); #50321=EDGE_LOOP('',(#267616,#267617,#267618,#267619)); #50322=EDGE_LOOP('',(#267620,#267621,#267622,#267623)); #50323=EDGE_LOOP('',(#267624,#267625,#267626,#267627)); #50324=EDGE_LOOP('',(#267628,#267629,#267630,#267631)); #50325=EDGE_LOOP('',(#267632,#267633,#267634,#267635)); #50326=EDGE_LOOP('',(#267636,#267637,#267638,#267639)); #50327=EDGE_LOOP('',(#267640,#267641,#267642,#267643)); #50328=EDGE_LOOP('',(#267644,#267645,#267646,#267647)); #50329=EDGE_LOOP('',(#267648,#267649,#267650,#267651)); #50330=EDGE_LOOP('',(#267652,#267653,#267654,#267655)); #50331=EDGE_LOOP('',(#267656,#267657,#267658,#267659)); #50332=EDGE_LOOP('',(#267660,#267661,#267662,#267663)); #50333=EDGE_LOOP('',(#267664,#267665,#267666,#267667)); #50334=EDGE_LOOP('',(#267668,#267669,#267670,#267671)); #50335=EDGE_LOOP('',(#267672,#267673,#267674,#267675)); #50336=EDGE_LOOP('',(#267676,#267677,#267678,#267679)); #50337=EDGE_LOOP('',(#267680,#267681,#267682,#267683)); #50338=EDGE_LOOP('',(#267684,#267685,#267686,#267687)); #50339=EDGE_LOOP('',(#267688,#267689,#267690,#267691)); #50340=EDGE_LOOP('',(#267692,#267693,#267694,#267695)); #50341=EDGE_LOOP('',(#267696,#267697,#267698,#267699)); #50342=EDGE_LOOP('',(#267700,#267701,#267702,#267703)); #50343=EDGE_LOOP('',(#267704,#267705,#267706,#267707)); #50344=EDGE_LOOP('',(#267708,#267709,#267710,#267711)); #50345=EDGE_LOOP('',(#267712,#267713,#267714,#267715)); #50346=EDGE_LOOP('',(#267716,#267717,#267718,#267719,#267720,#267721,#267722, #267723,#267724,#267725,#267726,#267727,#267728,#267729,#267730,#267731, #267732,#267733,#267734,#267735,#267736,#267737,#267738,#267739,#267740, #267741,#267742,#267743,#267744,#267745,#267746,#267747,#267748,#267749, #267750,#267751,#267752,#267753)); #50347=EDGE_LOOP('',(#267754)); #50348=EDGE_LOOP('',(#267755,#267756,#267757,#267758,#267759,#267760,#267761, #267762,#267763,#267764,#267765,#267766,#267767,#267768,#267769,#267770, #267771,#267772,#267773,#267774,#267775,#267776,#267777,#267778,#267779, #267780,#267781,#267782,#267783,#267784,#267785,#267786,#267787,#267788, #267789,#267790,#267791,#267792)); #50349=EDGE_LOOP('',(#267793)); #50350=EDGE_LOOP('',(#267794,#267795,#267796,#267797)); #50351=EDGE_LOOP('',(#267798,#267799,#267800,#267801)); #50352=EDGE_LOOP('',(#267802,#267803,#267804,#267805)); #50353=EDGE_LOOP('',(#267806,#267807,#267808,#267809)); #50354=EDGE_LOOP('',(#267810,#267811,#267812,#267813)); #50355=EDGE_LOOP('',(#267814,#267815,#267816,#267817)); #50356=EDGE_LOOP('',(#267818,#267819,#267820,#267821)); #50357=EDGE_LOOP('',(#267822,#267823,#267824,#267825)); #50358=EDGE_LOOP('',(#267826,#267827,#267828,#267829)); #50359=EDGE_LOOP('',(#267830,#267831,#267832,#267833,#267834,#267835,#267836)); #50360=EDGE_LOOP('',(#267837)); #50361=EDGE_LOOP('',(#267838)); #50362=EDGE_LOOP('',(#267839,#267840,#267841,#267842,#267843,#267844,#267845)); #50363=EDGE_LOOP('',(#267846)); #50364=EDGE_LOOP('',(#267847)); #50365=EDGE_LOOP('',(#267848,#267849,#267850,#267851)); #50366=EDGE_LOOP('',(#267852,#267853,#267854,#267855)); #50367=EDGE_LOOP('',(#267856,#267857,#267858,#267859)); #50368=EDGE_LOOP('',(#267860,#267861,#267862,#267863)); #50369=EDGE_LOOP('',(#267864,#267865,#267866,#267867)); #50370=EDGE_LOOP('',(#267868,#267869,#267870,#267871)); #50371=EDGE_LOOP('',(#267872)); #50372=EDGE_LOOP('',(#267873,#267874,#267875,#267876)); #50373=EDGE_LOOP('',(#267877)); #50374=EDGE_LOOP('',(#267878,#267879,#267880,#267881)); #50375=EDGE_LOOP('',(#267882,#267883,#267884,#267885)); #50376=EDGE_LOOP('',(#267886,#267887,#267888,#267889)); #50377=EDGE_LOOP('',(#267890,#267891,#267892,#267893)); #50378=EDGE_LOOP('',(#267894,#267895,#267896,#267897)); #50379=EDGE_LOOP('',(#267898,#267899,#267900,#267901)); #50380=EDGE_LOOP('',(#267902,#267903,#267904,#267905)); #50381=EDGE_LOOP('',(#267906,#267907,#267908,#267909)); #50382=EDGE_LOOP('',(#267910,#267911,#267912,#267913)); #50383=EDGE_LOOP('',(#267914,#267915,#267916,#267917)); #50384=EDGE_LOOP('',(#267918,#267919,#267920,#267921)); #50385=EDGE_LOOP('',(#267922,#267923,#267924,#267925)); #50386=EDGE_LOOP('',(#267926,#267927,#267928,#267929)); #50387=EDGE_LOOP('',(#267930,#267931,#267932,#267933)); #50388=EDGE_LOOP('',(#267934,#267935,#267936,#267937)); #50389=EDGE_LOOP('',(#267938,#267939,#267940,#267941)); #50390=EDGE_LOOP('',(#267942,#267943,#267944,#267945)); #50391=EDGE_LOOP('',(#267946,#267947,#267948,#267949)); #50392=EDGE_LOOP('',(#267950,#267951,#267952,#267953)); #50393=EDGE_LOOP('',(#267954,#267955,#267956,#267957)); #50394=EDGE_LOOP('',(#267958,#267959,#267960,#267961)); #50395=EDGE_LOOP('',(#267962,#267963,#267964,#267965)); #50396=EDGE_LOOP('',(#267966,#267967,#267968,#267969)); #50397=EDGE_LOOP('',(#267970,#267971,#267972,#267973)); #50398=EDGE_LOOP('',(#267974,#267975,#267976,#267977)); #50399=EDGE_LOOP('',(#267978,#267979,#267980,#267981,#267982,#267983,#267984, #267985,#267986,#267987,#267988,#267989,#267990,#267991,#267992,#267993, #267994,#267995,#267996,#267997,#267998,#267999,#268000)); #50400=EDGE_LOOP('',(#268001)); #50401=EDGE_LOOP('',(#268002)); #50402=EDGE_LOOP('',(#268003,#268004,#268005,#268006,#268007,#268008,#268009, #268010,#268011,#268012,#268013,#268014,#268015,#268016,#268017,#268018, #268019,#268020,#268021,#268022,#268023,#268024,#268025)); #50403=EDGE_LOOP('',(#268026)); #50404=EDGE_LOOP('',(#268027)); #50405=EDGE_LOOP('',(#268028,#268029,#268030,#268031)); #50406=EDGE_LOOP('',(#268032,#268033,#268034,#268035)); #50407=EDGE_LOOP('',(#268036,#268037,#268038,#268039)); #50408=EDGE_LOOP('',(#268040,#268041,#268042,#268043)); #50409=EDGE_LOOP('',(#268044,#268045,#268046,#268047)); #50410=EDGE_LOOP('',(#268048,#268049,#268050,#268051)); #50411=EDGE_LOOP('',(#268052,#268053,#268054,#268055)); #50412=EDGE_LOOP('',(#268056,#268057,#268058,#268059)); #50413=EDGE_LOOP('',(#268060,#268061,#268062,#268063)); #50414=EDGE_LOOP('',(#268064,#268065,#268066,#268067)); #50415=EDGE_LOOP('',(#268068,#268069,#268070,#268071,#268072,#268073,#268074, #268075)); #50416=EDGE_LOOP('',(#268076)); #50417=EDGE_LOOP('',(#268077)); #50418=EDGE_LOOP('',(#268078,#268079,#268080,#268081,#268082,#268083,#268084, #268085)); #50419=EDGE_LOOP('',(#268086)); #50420=EDGE_LOOP('',(#268087)); #50421=EDGE_LOOP('',(#268088,#268089,#268090,#268091)); #50422=EDGE_LOOP('',(#268092,#268093,#268094,#268095)); #50423=EDGE_LOOP('',(#268096,#268097,#268098,#268099)); #50424=EDGE_LOOP('',(#268100,#268101,#268102,#268103)); #50425=EDGE_LOOP('',(#268104,#268105,#268106,#268107)); #50426=EDGE_LOOP('',(#268108,#268109,#268110,#268111)); #50427=EDGE_LOOP('',(#268112)); #50428=EDGE_LOOP('',(#268113,#268114,#268115,#268116)); #50429=EDGE_LOOP('',(#268117)); #50430=EDGE_LOOP('',(#268118,#268119,#268120,#268121)); #50431=EDGE_LOOP('',(#268122,#268123,#268124,#268125)); #50432=EDGE_LOOP('',(#268126,#268127,#268128,#268129)); #50433=EDGE_LOOP('',(#268130,#268131,#268132,#268133)); #50434=EDGE_LOOP('',(#268134,#268135,#268136)); #50435=EDGE_LOOP('',(#268137)); #50436=EDGE_LOOP('',(#268138,#268139,#268140)); #50437=EDGE_LOOP('',(#268141)); #50438=EDGE_LOOP('',(#268142,#268143,#268144,#268145)); #50439=EDGE_LOOP('',(#268146,#268147,#268148,#268149)); #50440=EDGE_LOOP('',(#268150,#268151,#268152,#268153)); #50441=EDGE_LOOP('',(#268154,#268155,#268156,#268157)); #50442=EDGE_LOOP('',(#268158,#268159,#268160,#268161)); #50443=EDGE_LOOP('',(#268162,#268163,#268164,#268165)); #50444=EDGE_LOOP('',(#268166,#268167,#268168,#268169)); #50445=EDGE_LOOP('',(#268170,#268171,#268172,#268173)); #50446=EDGE_LOOP('',(#268174,#268175,#268176,#268177)); #50447=EDGE_LOOP('',(#268178,#268179,#268180,#268181)); #50448=EDGE_LOOP('',(#268182,#268183,#268184,#268185)); #50449=EDGE_LOOP('',(#268186,#268187,#268188,#268189)); #50450=EDGE_LOOP('',(#268190,#268191,#268192,#268193)); #50451=EDGE_LOOP('',(#268194,#268195,#268196,#268197)); #50452=EDGE_LOOP('',(#268198,#268199,#268200,#268201)); #50453=EDGE_LOOP('',(#268202,#268203,#268204,#268205)); #50454=EDGE_LOOP('',(#268206,#268207,#268208,#268209)); #50455=EDGE_LOOP('',(#268210,#268211,#268212,#268213)); #50456=EDGE_LOOP('',(#268214,#268215,#268216,#268217)); #50457=EDGE_LOOP('',(#268218,#268219,#268220,#268221)); #50458=EDGE_LOOP('',(#268222,#268223,#268224,#268225)); #50459=EDGE_LOOP('',(#268226,#268227,#268228,#268229)); #50460=EDGE_LOOP('',(#268230,#268231,#268232,#268233)); #50461=EDGE_LOOP('',(#268234,#268235,#268236,#268237)); #50462=EDGE_LOOP('',(#268238,#268239,#268240,#268241)); #50463=EDGE_LOOP('',(#268242,#268243,#268244,#268245)); #50464=EDGE_LOOP('',(#268246,#268247,#268248,#268249)); #50465=EDGE_LOOP('',(#268250,#268251,#268252,#268253)); #50466=EDGE_LOOP('',(#268254,#268255,#268256,#268257)); #50467=EDGE_LOOP('',(#268258,#268259,#268260,#268261)); #50468=EDGE_LOOP('',(#268262,#268263,#268264,#268265)); #50469=EDGE_LOOP('',(#268266,#268267,#268268,#268269)); #50470=EDGE_LOOP('',(#268270,#268271,#268272,#268273)); #50471=EDGE_LOOP('',(#268274,#268275,#268276,#268277)); #50472=EDGE_LOOP('',(#268278,#268279,#268280,#268281)); #50473=EDGE_LOOP('',(#268282,#268283,#268284,#268285)); #50474=EDGE_LOOP('',(#268286,#268287,#268288,#268289)); #50475=EDGE_LOOP('',(#268290,#268291,#268292,#268293)); #50476=EDGE_LOOP('',(#268294,#268295,#268296,#268297)); #50477=EDGE_LOOP('',(#268298,#268299,#268300,#268301)); #50478=EDGE_LOOP('',(#268302,#268303,#268304,#268305)); #50479=EDGE_LOOP('',(#268306,#268307,#268308,#268309)); #50480=EDGE_LOOP('',(#268310,#268311,#268312,#268313)); #50481=EDGE_LOOP('',(#268314,#268315,#268316,#268317)); #50482=EDGE_LOOP('',(#268318,#268319,#268320,#268321)); #50483=EDGE_LOOP('',(#268322,#268323,#268324,#268325)); #50484=EDGE_LOOP('',(#268326,#268327,#268328,#268329)); #50485=EDGE_LOOP('',(#268330,#268331,#268332,#268333)); #50486=EDGE_LOOP('',(#268334,#268335,#268336,#268337)); #50487=EDGE_LOOP('',(#268338,#268339,#268340,#268341)); #50488=EDGE_LOOP('',(#268342,#268343,#268344,#268345)); #50489=EDGE_LOOP('',(#268346,#268347,#268348,#268349)); #50490=EDGE_LOOP('',(#268350,#268351,#268352,#268353)); #50491=EDGE_LOOP('',(#268354,#268355,#268356,#268357)); #50492=EDGE_LOOP('',(#268358,#268359,#268360,#268361)); #50493=EDGE_LOOP('',(#268362,#268363,#268364,#268365)); #50494=EDGE_LOOP('',(#268366,#268367,#268368,#268369)); #50495=EDGE_LOOP('',(#268370,#268371,#268372,#268373)); #50496=EDGE_LOOP('',(#268374,#268375,#268376,#268377)); #50497=EDGE_LOOP('',(#268378,#268379,#268380,#268381)); #50498=EDGE_LOOP('',(#268382,#268383,#268384,#268385)); #50499=EDGE_LOOP('',(#268386,#268387,#268388,#268389)); #50500=EDGE_LOOP('',(#268390,#268391,#268392,#268393)); #50501=EDGE_LOOP('',(#268394,#268395,#268396,#268397)); #50502=EDGE_LOOP('',(#268398,#268399,#268400,#268401)); #50503=EDGE_LOOP('',(#268402,#268403,#268404,#268405)); #50504=EDGE_LOOP('',(#268406,#268407,#268408,#268409)); #50505=EDGE_LOOP('',(#268410,#268411,#268412,#268413)); #50506=EDGE_LOOP('',(#268414,#268415,#268416,#268417)); #50507=EDGE_LOOP('',(#268418,#268419,#268420,#268421)); #50508=EDGE_LOOP('',(#268422,#268423,#268424,#268425)); #50509=EDGE_LOOP('',(#268426,#268427,#268428,#268429)); #50510=EDGE_LOOP('',(#268430,#268431,#268432,#268433)); #50511=EDGE_LOOP('',(#268434,#268435,#268436,#268437)); #50512=EDGE_LOOP('',(#268438,#268439,#268440,#268441)); #50513=EDGE_LOOP('',(#268442,#268443,#268444,#268445)); #50514=EDGE_LOOP('',(#268446,#268447,#268448,#268449)); #50515=EDGE_LOOP('',(#268450,#268451,#268452,#268453)); #50516=EDGE_LOOP('',(#268454,#268455,#268456,#268457)); #50517=EDGE_LOOP('',(#268458,#268459,#268460,#268461)); #50518=EDGE_LOOP('',(#268462,#268463,#268464,#268465)); #50519=EDGE_LOOP('',(#268466,#268467,#268468,#268469)); #50520=EDGE_LOOP('',(#268470,#268471,#268472,#268473)); #50521=EDGE_LOOP('',(#268474,#268475,#268476,#268477)); #50522=EDGE_LOOP('',(#268478,#268479,#268480,#268481)); #50523=EDGE_LOOP('',(#268482,#268483,#268484,#268485)); #50524=EDGE_LOOP('',(#268486,#268487,#268488,#268489)); #50525=EDGE_LOOP('',(#268490,#268491,#268492,#268493)); #50526=EDGE_LOOP('',(#268494,#268495,#268496,#268497)); #50527=EDGE_LOOP('',(#268498,#268499,#268500,#268501)); #50528=EDGE_LOOP('',(#268502,#268503,#268504,#268505)); #50529=EDGE_LOOP('',(#268506,#268507,#268508,#268509)); #50530=EDGE_LOOP('',(#268510,#268511,#268512,#268513)); #50531=EDGE_LOOP('',(#268514,#268515,#268516,#268517)); #50532=EDGE_LOOP('',(#268518,#268519,#268520,#268521)); #50533=EDGE_LOOP('',(#268522,#268523,#268524,#268525)); #50534=EDGE_LOOP('',(#268526,#268527,#268528,#268529)); #50535=EDGE_LOOP('',(#268530,#268531,#268532,#268533)); #50536=EDGE_LOOP('',(#268534,#268535,#268536,#268537)); #50537=EDGE_LOOP('',(#268538,#268539,#268540,#268541)); #50538=EDGE_LOOP('',(#268542,#268543,#268544,#268545)); #50539=EDGE_LOOP('',(#268546,#268547,#268548,#268549)); #50540=EDGE_LOOP('',(#268550,#268551,#268552,#268553)); #50541=EDGE_LOOP('',(#268554,#268555,#268556,#268557)); #50542=EDGE_LOOP('',(#268558,#268559,#268560,#268561)); #50543=EDGE_LOOP('',(#268562,#268563,#268564,#268565)); #50544=EDGE_LOOP('',(#268566,#268567,#268568,#268569)); #50545=EDGE_LOOP('',(#268570,#268571,#268572,#268573)); #50546=EDGE_LOOP('',(#268574,#268575,#268576,#268577)); #50547=EDGE_LOOP('',(#268578,#268579,#268580,#268581)); #50548=EDGE_LOOP('',(#268582,#268583,#268584,#268585,#268586,#268587,#268588, #268589,#268590,#268591,#268592,#268593,#268594,#268595,#268596,#268597, #268598,#268599,#268600,#268601,#268602,#268603,#268604,#268605,#268606, #268607,#268608,#268609,#268610,#268611,#268612,#268613,#268614,#268615, #268616,#268617,#268618,#268619,#268620,#268621,#268622,#268623,#268624, #268625,#268626,#268627,#268628,#268629,#268630,#268631,#268632,#268633, #268634,#268635,#268636,#268637,#268638,#268639,#268640,#268641,#268642, #268643,#268644,#268645,#268646,#268647,#268648,#268649,#268650,#268651, #268652,#268653,#268654,#268655,#268656,#268657,#268658,#268659,#268660, #268661,#268662)); #50549=EDGE_LOOP('',(#268663)); #50550=EDGE_LOOP('',(#268664)); #50551=EDGE_LOOP('',(#268665)); #50552=EDGE_LOOP('',(#268666)); #50553=EDGE_LOOP('',(#268667)); #50554=EDGE_LOOP('',(#268668)); #50555=EDGE_LOOP('',(#268669)); #50556=EDGE_LOOP('',(#268670)); #50557=EDGE_LOOP('',(#268671)); #50558=EDGE_LOOP('',(#268672)); #50559=EDGE_LOOP('',(#268673)); #50560=EDGE_LOOP('',(#268674)); #50561=EDGE_LOOP('',(#268675)); #50562=EDGE_LOOP('',(#268676,#268677,#268678,#268679,#268680,#268681,#268682, #268683,#268684,#268685,#268686,#268687,#268688,#268689,#268690,#268691)); #50563=EDGE_LOOP('',(#268692,#268693,#268694,#268695,#268696,#268697,#268698, #268699,#268700,#268701,#268702,#268703,#268704,#268705,#268706,#268707, #268708,#268709,#268710,#268711,#268712,#268713,#268714,#268715,#268716, #268717,#268718,#268719,#268720,#268721,#268722,#268723,#268724,#268725, #268726,#268727,#268728,#268729,#268730,#268731,#268732,#268733,#268734, #268735,#268736,#268737,#268738,#268739,#268740,#268741,#268742,#268743, #268744,#268745,#268746,#268747,#268748,#268749,#268750,#268751,#268752, #268753,#268754,#268755,#268756,#268757,#268758,#268759,#268760,#268761, #268762,#268763,#268764,#268765,#268766,#268767,#268768,#268769,#268770, #268771,#268772)); #50564=EDGE_LOOP('',(#268773)); #50565=EDGE_LOOP('',(#268774)); #50566=EDGE_LOOP('',(#268775)); #50567=EDGE_LOOP('',(#268776)); #50568=EDGE_LOOP('',(#268777)); #50569=EDGE_LOOP('',(#268778)); #50570=EDGE_LOOP('',(#268779)); #50571=EDGE_LOOP('',(#268780)); #50572=EDGE_LOOP('',(#268781)); #50573=EDGE_LOOP('',(#268782)); #50574=EDGE_LOOP('',(#268783)); #50575=EDGE_LOOP('',(#268784)); #50576=EDGE_LOOP('',(#268785)); #50577=EDGE_LOOP('',(#268786,#268787,#268788,#268789,#268790,#268791,#268792, #268793,#268794,#268795,#268796,#268797,#268798,#268799,#268800,#268801)); #50578=EDGE_LOOP('',(#268802,#268803,#268804,#268805)); #50579=EDGE_LOOP('',(#268806,#268807,#268808,#268809)); #50580=EDGE_LOOP('',(#268810,#268811,#268812,#268813)); #50581=EDGE_LOOP('',(#268814,#268815,#268816,#268817)); #50582=EDGE_LOOP('',(#268818,#268819,#268820,#268821)); #50583=EDGE_LOOP('',(#268822,#268823,#268824,#268825)); #50584=EDGE_LOOP('',(#268826,#268827,#268828,#268829)); #50585=EDGE_LOOP('',(#268830,#268831,#268832,#268833)); #50586=EDGE_LOOP('',(#268834,#268835,#268836,#268837)); #50587=EDGE_LOOP('',(#268838,#268839,#268840,#268841)); #50588=EDGE_LOOP('',(#268842,#268843,#268844,#268845)); #50589=EDGE_LOOP('',(#268846,#268847,#268848,#268849)); #50590=EDGE_LOOP('',(#268850,#268851,#268852,#268853)); #50591=EDGE_LOOP('',(#268854,#268855,#268856,#268857)); #50592=EDGE_LOOP('',(#268858,#268859,#268860,#268861)); #50593=EDGE_LOOP('',(#268862,#268863,#268864,#268865)); #50594=EDGE_LOOP('',(#268866,#268867,#268868,#268869)); #50595=EDGE_LOOP('',(#268870,#268871,#268872,#268873)); #50596=EDGE_LOOP('',(#268874,#268875,#268876,#268877)); #50597=EDGE_LOOP('',(#268878,#268879,#268880,#268881)); #50598=EDGE_LOOP('',(#268882,#268883,#268884,#268885)); #50599=EDGE_LOOP('',(#268886,#268887,#268888,#268889)); #50600=EDGE_LOOP('',(#268890,#268891,#268892,#268893)); #50601=EDGE_LOOP('',(#268894,#268895,#268896,#268897)); #50602=EDGE_LOOP('',(#268898,#268899,#268900,#268901)); #50603=EDGE_LOOP('',(#268902,#268903,#268904,#268905)); #50604=EDGE_LOOP('',(#268906,#268907,#268908,#268909)); #50605=EDGE_LOOP('',(#268910,#268911,#268912,#268913)); #50606=EDGE_LOOP('',(#268914,#268915,#268916,#268917)); #50607=EDGE_LOOP('',(#268918,#268919,#268920,#268921)); #50608=EDGE_LOOP('',(#268922,#268923,#268924,#268925)); #50609=EDGE_LOOP('',(#268926,#268927,#268928,#268929)); #50610=EDGE_LOOP('',(#268930,#268931,#268932,#268933)); #50611=EDGE_LOOP('',(#268934,#268935,#268936,#268937)); #50612=EDGE_LOOP('',(#268938,#268939,#268940,#268941)); #50613=EDGE_LOOP('',(#268942,#268943,#268944,#268945)); #50614=EDGE_LOOP('',(#268946,#268947,#268948,#268949)); #50615=EDGE_LOOP('',(#268950,#268951,#268952,#268953)); #50616=EDGE_LOOP('',(#268954,#268955,#268956,#268957)); #50617=EDGE_LOOP('',(#268958,#268959,#268960,#268961)); #50618=EDGE_LOOP('',(#268962,#268963,#268964,#268965)); #50619=EDGE_LOOP('',(#268966,#268967,#268968,#268969)); #50620=EDGE_LOOP('',(#268970,#268971,#268972,#268973)); #50621=EDGE_LOOP('',(#268974,#268975,#268976,#268977)); #50622=EDGE_LOOP('',(#268978,#268979,#268980,#268981)); #50623=EDGE_LOOP('',(#268982,#268983,#268984,#268985)); #50624=EDGE_LOOP('',(#268986,#268987,#268988,#268989)); #50625=EDGE_LOOP('',(#268990,#268991,#268992,#268993)); #50626=EDGE_LOOP('',(#268994,#268995,#268996,#268997)); #50627=EDGE_LOOP('',(#268998,#268999,#269000,#269001)); #50628=EDGE_LOOP('',(#269002,#269003,#269004,#269005)); #50629=EDGE_LOOP('',(#269006,#269007,#269008,#269009)); #50630=EDGE_LOOP('',(#269010,#269011,#269012,#269013)); #50631=EDGE_LOOP('',(#269014,#269015,#269016,#269017)); #50632=EDGE_LOOP('',(#269018,#269019,#269020,#269021)); #50633=EDGE_LOOP('',(#269022,#269023,#269024,#269025)); #50634=EDGE_LOOP('',(#269026,#269027,#269028,#269029)); #50635=EDGE_LOOP('',(#269030,#269031,#269032,#269033)); #50636=EDGE_LOOP('',(#269034,#269035,#269036,#269037)); #50637=EDGE_LOOP('',(#269038,#269039,#269040,#269041)); #50638=EDGE_LOOP('',(#269042,#269043,#269044,#269045)); #50639=EDGE_LOOP('',(#269046,#269047,#269048,#269049)); #50640=EDGE_LOOP('',(#269050,#269051,#269052,#269053)); #50641=EDGE_LOOP('',(#269054,#269055,#269056,#269057)); #50642=EDGE_LOOP('',(#269058,#269059,#269060,#269061)); #50643=EDGE_LOOP('',(#269062,#269063,#269064,#269065)); #50644=EDGE_LOOP('',(#269066,#269067,#269068,#269069)); #50645=EDGE_LOOP('',(#269070,#269071,#269072,#269073)); #50646=EDGE_LOOP('',(#269074,#269075,#269076,#269077)); #50647=EDGE_LOOP('',(#269078,#269079,#269080,#269081)); #50648=EDGE_LOOP('',(#269082,#269083,#269084,#269085)); #50649=EDGE_LOOP('',(#269086,#269087,#269088,#269089)); #50650=EDGE_LOOP('',(#269090,#269091,#269092,#269093)); #50651=EDGE_LOOP('',(#269094,#269095,#269096,#269097)); #50652=EDGE_LOOP('',(#269098,#269099,#269100,#269101)); #50653=EDGE_LOOP('',(#269102,#269103,#269104,#269105)); #50654=EDGE_LOOP('',(#269106,#269107,#269108,#269109)); #50655=EDGE_LOOP('',(#269110,#269111,#269112,#269113)); #50656=EDGE_LOOP('',(#269114,#269115,#269116,#269117)); #50657=EDGE_LOOP('',(#269118,#269119,#269120,#269121)); #50658=EDGE_LOOP('',(#269122,#269123,#269124,#269125)); #50659=EDGE_LOOP('',(#269126,#269127,#269128,#269129)); #50660=EDGE_LOOP('',(#269130,#269131,#269132,#269133)); #50661=EDGE_LOOP('',(#269134,#269135,#269136,#269137)); #50662=EDGE_LOOP('',(#269138,#269139,#269140,#269141)); #50663=EDGE_LOOP('',(#269142,#269143,#269144,#269145)); #50664=EDGE_LOOP('',(#269146,#269147,#269148,#269149)); #50665=EDGE_LOOP('',(#269150,#269151,#269152,#269153)); #50666=EDGE_LOOP('',(#269154,#269155,#269156,#269157)); #50667=EDGE_LOOP('',(#269158,#269159,#269160,#269161)); #50668=EDGE_LOOP('',(#269162,#269163,#269164,#269165)); #50669=EDGE_LOOP('',(#269166,#269167,#269168,#269169)); #50670=EDGE_LOOP('',(#269170,#269171,#269172,#269173)); #50671=EDGE_LOOP('',(#269174,#269175,#269176,#269177)); #50672=EDGE_LOOP('',(#269178,#269179,#269180,#269181)); #50673=EDGE_LOOP('',(#269182,#269183,#269184,#269185)); #50674=EDGE_LOOP('',(#269186,#269187,#269188,#269189)); #50675=EDGE_LOOP('',(#269190,#269191,#269192,#269193)); #50676=EDGE_LOOP('',(#269194,#269195,#269196,#269197)); #50677=EDGE_LOOP('',(#269198,#269199,#269200,#269201)); #50678=EDGE_LOOP('',(#269202,#269203,#269204,#269205)); #50679=EDGE_LOOP('',(#269206,#269207,#269208,#269209)); #50680=EDGE_LOOP('',(#269210,#269211,#269212,#269213)); #50681=EDGE_LOOP('',(#269214,#269215,#269216,#269217)); #50682=EDGE_LOOP('',(#269218,#269219,#269220,#269221)); #50683=EDGE_LOOP('',(#269222,#269223,#269224,#269225)); #50684=EDGE_LOOP('',(#269226,#269227,#269228,#269229)); #50685=EDGE_LOOP('',(#269230,#269231,#269232,#269233)); #50686=EDGE_LOOP('',(#269234,#269235,#269236,#269237)); #50687=EDGE_LOOP('',(#269238,#269239,#269240,#269241)); #50688=EDGE_LOOP('',(#269242,#269243,#269244,#269245)); #50689=EDGE_LOOP('',(#269246,#269247,#269248,#269249)); #50690=EDGE_LOOP('',(#269250,#269251,#269252,#269253)); #50691=EDGE_LOOP('',(#269254,#269255,#269256,#269257)); #50692=EDGE_LOOP('',(#269258,#269259,#269260,#269261)); #50693=EDGE_LOOP('',(#269262,#269263,#269264,#269265)); #50694=EDGE_LOOP('',(#269266,#269267,#269268,#269269)); #50695=EDGE_LOOP('',(#269270,#269271,#269272,#269273)); #50696=EDGE_LOOP('',(#269274,#269275,#269276,#269277)); #50697=EDGE_LOOP('',(#269278,#269279,#269280,#269281)); #50698=EDGE_LOOP('',(#269282,#269283,#269284,#269285)); #50699=EDGE_LOOP('',(#269286,#269287,#269288,#269289)); #50700=EDGE_LOOP('',(#269290,#269291,#269292,#269293)); #50701=EDGE_LOOP('',(#269294,#269295,#269296,#269297)); #50702=EDGE_LOOP('',(#269298,#269299,#269300,#269301)); #50703=EDGE_LOOP('',(#269302,#269303,#269304,#269305)); #50704=EDGE_LOOP('',(#269306,#269307,#269308,#269309)); #50705=EDGE_LOOP('',(#269310,#269311,#269312,#269313)); #50706=EDGE_LOOP('',(#269314,#269315,#269316,#269317)); #50707=EDGE_LOOP('',(#269318,#269319,#269320,#269321)); #50708=EDGE_LOOP('',(#269322,#269323,#269324,#269325)); #50709=EDGE_LOOP('',(#269326,#269327,#269328,#269329)); #50710=EDGE_LOOP('',(#269330,#269331,#269332,#269333)); #50711=EDGE_LOOP('',(#269334,#269335,#269336,#269337)); #50712=EDGE_LOOP('',(#269338,#269339,#269340,#269341)); #50713=EDGE_LOOP('',(#269342,#269343,#269344,#269345)); #50714=EDGE_LOOP('',(#269346,#269347,#269348,#269349)); #50715=EDGE_LOOP('',(#269350,#269351,#269352,#269353)); #50716=EDGE_LOOP('',(#269354,#269355,#269356,#269357)); #50717=EDGE_LOOP('',(#269358,#269359,#269360,#269361)); #50718=EDGE_LOOP('',(#269362,#269363,#269364,#269365)); #50719=EDGE_LOOP('',(#269366,#269367,#269368,#269369)); #50720=EDGE_LOOP('',(#269370,#269371,#269372,#269373)); #50721=EDGE_LOOP('',(#269374,#269375,#269376,#269377)); #50722=EDGE_LOOP('',(#269378,#269379,#269380,#269381)); #50723=EDGE_LOOP('',(#269382,#269383,#269384,#269385)); #50724=EDGE_LOOP('',(#269386,#269387,#269388,#269389)); #50725=EDGE_LOOP('',(#269390,#269391,#269392,#269393)); #50726=EDGE_LOOP('',(#269394,#269395,#269396,#269397)); #50727=EDGE_LOOP('',(#269398,#269399,#269400,#269401)); #50728=EDGE_LOOP('',(#269402,#269403,#269404,#269405)); #50729=EDGE_LOOP('',(#269406,#269407,#269408,#269409)); #50730=EDGE_LOOP('',(#269410,#269411,#269412,#269413)); #50731=EDGE_LOOP('',(#269414,#269415,#269416,#269417)); #50732=EDGE_LOOP('',(#269418,#269419,#269420,#269421)); #50733=EDGE_LOOP('',(#269422,#269423,#269424,#269425)); #50734=EDGE_LOOP('',(#269426,#269427,#269428,#269429)); #50735=EDGE_LOOP('',(#269430,#269431,#269432,#269433)); #50736=EDGE_LOOP('',(#269434,#269435,#269436,#269437)); #50737=EDGE_LOOP('',(#269438,#269439,#269440,#269441)); #50738=EDGE_LOOP('',(#269442,#269443,#269444,#269445)); #50739=EDGE_LOOP('',(#269446,#269447,#269448,#269449)); #50740=EDGE_LOOP('',(#269450,#269451,#269452,#269453)); #50741=EDGE_LOOP('',(#269454,#269455,#269456,#269457)); #50742=EDGE_LOOP('',(#269458,#269459,#269460,#269461)); #50743=EDGE_LOOP('',(#269462,#269463,#269464,#269465)); #50744=EDGE_LOOP('',(#269466,#269467,#269468,#269469)); #50745=EDGE_LOOP('',(#269470,#269471,#269472,#269473)); #50746=EDGE_LOOP('',(#269474,#269475,#269476,#269477)); #50747=EDGE_LOOP('',(#269478,#269479,#269480,#269481)); #50748=EDGE_LOOP('',(#269482,#269483,#269484,#269485)); #50749=EDGE_LOOP('',(#269486,#269487,#269488,#269489)); #50750=EDGE_LOOP('',(#269490,#269491,#269492,#269493)); #50751=EDGE_LOOP('',(#269494,#269495,#269496,#269497)); #50752=EDGE_LOOP('',(#269498,#269499,#269500,#269501)); #50753=EDGE_LOOP('',(#269502,#269503,#269504,#269505)); #50754=EDGE_LOOP('',(#269506,#269507,#269508,#269509)); #50755=EDGE_LOOP('',(#269510,#269511,#269512,#269513)); #50756=EDGE_LOOP('',(#269514,#269515,#269516,#269517)); #50757=EDGE_LOOP('',(#269518,#269519,#269520,#269521)); #50758=EDGE_LOOP('',(#269522,#269523,#269524,#269525)); #50759=EDGE_LOOP('',(#269526,#269527,#269528,#269529)); #50760=EDGE_LOOP('',(#269530,#269531,#269532,#269533)); #50761=EDGE_LOOP('',(#269534,#269535,#269536,#269537)); #50762=EDGE_LOOP('',(#269538,#269539,#269540,#269541)); #50763=EDGE_LOOP('',(#269542,#269543,#269544,#269545)); #50764=EDGE_LOOP('',(#269546,#269547,#269548,#269549)); #50765=EDGE_LOOP('',(#269550,#269551,#269552,#269553)); #50766=EDGE_LOOP('',(#269554,#269555,#269556,#269557)); #50767=EDGE_LOOP('',(#269558,#269559,#269560,#269561)); #50768=EDGE_LOOP('',(#269562,#269563,#269564,#269565)); #50769=EDGE_LOOP('',(#269566,#269567,#269568,#269569)); #50770=EDGE_LOOP('',(#269570,#269571,#269572,#269573)); #50771=EDGE_LOOP('',(#269574,#269575,#269576,#269577)); #50772=EDGE_LOOP('',(#269578,#269579,#269580,#269581)); #50773=EDGE_LOOP('',(#269582,#269583,#269584,#269585)); #50774=EDGE_LOOP('',(#269586,#269587,#269588,#269589)); #50775=EDGE_LOOP('',(#269590,#269591,#269592,#269593)); #50776=EDGE_LOOP('',(#269594,#269595,#269596,#269597)); #50777=EDGE_LOOP('',(#269598,#269599,#269600,#269601)); #50778=EDGE_LOOP('',(#269602,#269603,#269604,#269605)); #50779=EDGE_LOOP('',(#269606,#269607,#269608,#269609)); #50780=EDGE_LOOP('',(#269610,#269611,#269612,#269613)); #50781=EDGE_LOOP('',(#269614,#269615,#269616,#269617)); #50782=EDGE_LOOP('',(#269618,#269619,#269620,#269621)); #50783=EDGE_LOOP('',(#269622,#269623,#269624,#269625)); #50784=EDGE_LOOP('',(#269626,#269627,#269628,#269629)); #50785=EDGE_LOOP('',(#269630,#269631,#269632,#269633)); #50786=EDGE_LOOP('',(#269634,#269635,#269636,#269637)); #50787=EDGE_LOOP('',(#269638,#269639,#269640,#269641)); #50788=EDGE_LOOP('',(#269642,#269643,#269644,#269645)); #50789=EDGE_LOOP('',(#269646,#269647,#269648,#269649)); #50790=EDGE_LOOP('',(#269650,#269651,#269652,#269653)); #50791=EDGE_LOOP('',(#269654,#269655,#269656,#269657)); #50792=EDGE_LOOP('',(#269658,#269659,#269660,#269661)); #50793=EDGE_LOOP('',(#269662,#269663,#269664,#269665)); #50794=EDGE_LOOP('',(#269666,#269667,#269668,#269669)); #50795=EDGE_LOOP('',(#269670,#269671,#269672,#269673)); #50796=EDGE_LOOP('',(#269674,#269675,#269676,#269677)); #50797=EDGE_LOOP('',(#269678,#269679,#269680,#269681)); #50798=EDGE_LOOP('',(#269682,#269683,#269684,#269685)); #50799=EDGE_LOOP('',(#269686,#269687,#269688,#269689)); #50800=EDGE_LOOP('',(#269690,#269691,#269692,#269693)); #50801=EDGE_LOOP('',(#269694,#269695,#269696,#269697)); #50802=EDGE_LOOP('',(#269698,#269699,#269700,#269701)); #50803=EDGE_LOOP('',(#269702,#269703,#269704,#269705)); #50804=EDGE_LOOP('',(#269706,#269707,#269708,#269709)); #50805=EDGE_LOOP('',(#269710,#269711,#269712,#269713)); #50806=EDGE_LOOP('',(#269714,#269715,#269716,#269717)); #50807=EDGE_LOOP('',(#269718,#269719,#269720,#269721)); #50808=EDGE_LOOP('',(#269722,#269723,#269724,#269725)); #50809=EDGE_LOOP('',(#269726,#269727,#269728,#269729)); #50810=EDGE_LOOP('',(#269730,#269731,#269732,#269733)); #50811=EDGE_LOOP('',(#269734,#269735,#269736,#269737)); #50812=EDGE_LOOP('',(#269738,#269739,#269740,#269741)); #50813=EDGE_LOOP('',(#269742,#269743,#269744,#269745)); #50814=EDGE_LOOP('',(#269746,#269747,#269748,#269749)); #50815=EDGE_LOOP('',(#269750,#269751,#269752,#269753)); #50816=EDGE_LOOP('',(#269754,#269755,#269756,#269757)); #50817=EDGE_LOOP('',(#269758,#269759,#269760,#269761)); #50818=EDGE_LOOP('',(#269762,#269763,#269764,#269765)); #50819=EDGE_LOOP('',(#269766,#269767,#269768,#269769)); #50820=EDGE_LOOP('',(#269770,#269771,#269772,#269773)); #50821=EDGE_LOOP('',(#269774,#269775,#269776,#269777)); #50822=EDGE_LOOP('',(#269778,#269779,#269780,#269781)); #50823=EDGE_LOOP('',(#269782,#269783,#269784,#269785)); #50824=EDGE_LOOP('',(#269786,#269787,#269788,#269789)); #50825=EDGE_LOOP('',(#269790,#269791,#269792,#269793)); #50826=EDGE_LOOP('',(#269794,#269795,#269796,#269797)); #50827=EDGE_LOOP('',(#269798,#269799,#269800,#269801)); #50828=EDGE_LOOP('',(#269802,#269803,#269804,#269805)); #50829=EDGE_LOOP('',(#269806,#269807,#269808,#269809)); #50830=EDGE_LOOP('',(#269810,#269811,#269812,#269813)); #50831=EDGE_LOOP('',(#269814,#269815,#269816,#269817)); #50832=EDGE_LOOP('',(#269818,#269819,#269820,#269821)); #50833=EDGE_LOOP('',(#269822,#269823,#269824,#269825)); #50834=EDGE_LOOP('',(#269826,#269827,#269828,#269829)); #50835=EDGE_LOOP('',(#269830,#269831,#269832,#269833)); #50836=EDGE_LOOP('',(#269834,#269835,#269836,#269837)); #50837=EDGE_LOOP('',(#269838,#269839,#269840,#269841)); #50838=EDGE_LOOP('',(#269842,#269843,#269844,#269845,#269846,#269847,#269848, #269849,#269850,#269851,#269852,#269853,#269854,#269855,#269856,#269857, #269858,#269859,#269860,#269861,#269862,#269863,#269864,#269865,#269866, #269867,#269868,#269869,#269870,#269871,#269872,#269873,#269874,#269875, #269876,#269877,#269878,#269879,#269880,#269881,#269882,#269883,#269884, #269885,#269886,#269887,#269888,#269889,#269890,#269891,#269892,#269893, #269894,#269895,#269896,#269897,#269898,#269899,#269900,#269901,#269902, #269903,#269904,#269905,#269906,#269907,#269908,#269909,#269910,#269911, #269912,#269913,#269914,#269915,#269916,#269917,#269918,#269919,#269920, #269921,#269922,#269923,#269924,#269925,#269926,#269927,#269928,#269929, #269930,#269931,#269932,#269933,#269934,#269935,#269936,#269937,#269938, #269939,#269940,#269941,#269942,#269943,#269944,#269945,#269946,#269947, #269948,#269949,#269950,#269951,#269952,#269953,#269954,#269955,#269956, #269957,#269958,#269959,#269960,#269961,#269962,#269963,#269964,#269965, #269966,#269967,#269968,#269969,#269970,#269971,#269972,#269973,#269974, #269975,#269976,#269977,#269978,#269979,#269980,#269981,#269982,#269983, #269984,#269985,#269986,#269987,#269988,#269989,#269990,#269991,#269992, #269993,#269994,#269995,#269996,#269997,#269998,#269999,#270000,#270001)); #50839=EDGE_LOOP('',(#270002)); #50840=EDGE_LOOP('',(#270003)); #50841=EDGE_LOOP('',(#270004)); #50842=EDGE_LOOP('',(#270005)); #50843=EDGE_LOOP('',(#270006)); #50844=EDGE_LOOP('',(#270007)); #50845=EDGE_LOOP('',(#270008)); #50846=EDGE_LOOP('',(#270009)); #50847=EDGE_LOOP('',(#270010)); #50848=EDGE_LOOP('',(#270011)); #50849=EDGE_LOOP('',(#270012)); #50850=EDGE_LOOP('',(#270013)); #50851=EDGE_LOOP('',(#270014,#270015,#270016,#270017,#270018,#270019,#270020, #270021,#270022,#270023,#270024,#270025,#270026,#270027,#270028,#270029, #270030,#270031,#270032,#270033,#270034,#270035,#270036,#270037)); #50852=EDGE_LOOP('',(#270038,#270039,#270040,#270041,#270042,#270043,#270044, #270045,#270046,#270047,#270048,#270049,#270050,#270051,#270052,#270053, #270054,#270055,#270056,#270057,#270058,#270059,#270060,#270061)); #50853=EDGE_LOOP('',(#270062,#270063,#270064,#270065,#270066,#270067,#270068, #270069)); #50854=EDGE_LOOP('',(#270070,#270071,#270072,#270073,#270074,#270075,#270076, #270077,#270078,#270079,#270080,#270081,#270082,#270083,#270084,#270085)); #50855=EDGE_LOOP('',(#270086,#270087,#270088,#270089,#270090,#270091,#270092, #270093,#270094,#270095,#270096,#270097,#270098,#270099,#270100,#270101)); #50856=EDGE_LOOP('',(#270102,#270103,#270104,#270105,#270106,#270107,#270108, #270109,#270110,#270111,#270112,#270113,#270114,#270115,#270116,#270117, #270118,#270119,#270120,#270121,#270122,#270123,#270124,#270125,#270126, #270127,#270128,#270129,#270130,#270131,#270132,#270133,#270134,#270135, #270136,#270137,#270138,#270139,#270140,#270141,#270142,#270143,#270144, #270145,#270146,#270147,#270148,#270149,#270150,#270151,#270152,#270153, #270154,#270155,#270156,#270157,#270158,#270159,#270160,#270161,#270162, #270163,#270164,#270165,#270166,#270167,#270168,#270169,#270170,#270171, #270172,#270173,#270174,#270175,#270176,#270177,#270178,#270179,#270180, #270181,#270182,#270183,#270184,#270185,#270186,#270187,#270188,#270189, #270190,#270191,#270192,#270193,#270194,#270195,#270196,#270197,#270198, #270199,#270200,#270201,#270202,#270203,#270204,#270205,#270206,#270207, #270208,#270209,#270210,#270211,#270212,#270213,#270214,#270215,#270216, #270217,#270218,#270219,#270220,#270221,#270222,#270223,#270224,#270225, #270226,#270227,#270228,#270229,#270230,#270231,#270232,#270233,#270234, #270235,#270236,#270237,#270238,#270239,#270240,#270241,#270242,#270243, #270244,#270245,#270246,#270247,#270248,#270249,#270250,#270251,#270252, #270253,#270254,#270255,#270256,#270257,#270258,#270259,#270260,#270261)); #50857=EDGE_LOOP('',(#270262)); #50858=EDGE_LOOP('',(#270263)); #50859=EDGE_LOOP('',(#270264)); #50860=EDGE_LOOP('',(#270265)); #50861=EDGE_LOOP('',(#270266)); #50862=EDGE_LOOP('',(#270267)); #50863=EDGE_LOOP('',(#270268)); #50864=EDGE_LOOP('',(#270269)); #50865=EDGE_LOOP('',(#270270)); #50866=EDGE_LOOP('',(#270271)); #50867=EDGE_LOOP('',(#270272)); #50868=EDGE_LOOP('',(#270273)); #50869=EDGE_LOOP('',(#270274,#270275,#270276,#270277,#270278,#270279,#270280, #270281,#270282,#270283,#270284,#270285,#270286,#270287,#270288,#270289, #270290,#270291,#270292,#270293,#270294,#270295,#270296,#270297)); #50870=EDGE_LOOP('',(#270298,#270299,#270300,#270301,#270302,#270303,#270304, #270305,#270306,#270307,#270308,#270309,#270310,#270311,#270312,#270313, #270314,#270315,#270316,#270317,#270318,#270319,#270320,#270321)); #50871=EDGE_LOOP('',(#270322,#270323,#270324,#270325,#270326,#270327,#270328, #270329)); #50872=EDGE_LOOP('',(#270330,#270331,#270332,#270333,#270334,#270335,#270336, #270337,#270338,#270339,#270340,#270341,#270342,#270343,#270344,#270345)); #50873=EDGE_LOOP('',(#270346,#270347,#270348,#270349,#270350,#270351,#270352, #270353,#270354,#270355,#270356,#270357,#270358,#270359,#270360,#270361)); #50874=EDGE_LOOP('',(#270362,#270363,#270364,#270365)); #50875=EDGE_LOOP('',(#270366,#270367,#270368,#270369)); #50876=EDGE_LOOP('',(#270370,#270371,#270372,#270373)); #50877=EDGE_LOOP('',(#270374,#270375,#270376,#270377)); #50878=EDGE_LOOP('',(#270378,#270379,#270380,#270381)); #50879=EDGE_LOOP('',(#270382,#270383,#270384,#270385)); #50880=EDGE_LOOP('',(#270386,#270387,#270388,#270389)); #50881=EDGE_LOOP('',(#270390,#270391,#270392,#270393)); #50882=EDGE_LOOP('',(#270394,#270395,#270396,#270397)); #50883=EDGE_LOOP('',(#270398,#270399,#270400,#270401)); #50884=EDGE_LOOP('',(#270402,#270403,#270404,#270405)); #50885=EDGE_LOOP('',(#270406,#270407,#270408,#270409)); #50886=EDGE_LOOP('',(#270410,#270411,#270412,#270413)); #50887=EDGE_LOOP('',(#270414,#270415,#270416,#270417)); #50888=EDGE_LOOP('',(#270418,#270419,#270420,#270421)); #50889=EDGE_LOOP('',(#270422,#270423,#270424,#270425)); #50890=EDGE_LOOP('',(#270426,#270427,#270428,#270429)); #50891=EDGE_LOOP('',(#270430,#270431,#270432,#270433)); #50892=EDGE_LOOP('',(#270434,#270435,#270436,#270437)); #50893=EDGE_LOOP('',(#270438,#270439,#270440,#270441)); #50894=EDGE_LOOP('',(#270442,#270443,#270444,#270445)); #50895=EDGE_LOOP('',(#270446,#270447,#270448,#270449)); #50896=EDGE_LOOP('',(#270450,#270451,#270452,#270453,#270454,#270455,#270456, #270457,#270458,#270459,#270460,#270461,#270462,#270463,#270464,#270465, #270466,#270467,#270468,#270469,#270470,#270471)); #50897=EDGE_LOOP('',(#270472,#270473,#270474,#270475,#270476,#270477,#270478, #270479,#270480,#270481,#270482,#270483,#270484,#270485,#270486,#270487, #270488,#270489,#270490,#270491,#270492,#270493)); #50898=EDGE_LOOP('',(#270494,#270495,#270496,#270497)); #50899=EDGE_LOOP('',(#270498,#270499,#270500,#270501)); #50900=EDGE_LOOP('',(#270502,#270503,#270504,#270505)); #50901=EDGE_LOOP('',(#270506,#270507,#270508,#270509)); #50902=EDGE_LOOP('',(#270510,#270511,#270512,#270513)); #50903=EDGE_LOOP('',(#270514,#270515,#270516,#270517)); #50904=EDGE_LOOP('',(#270518,#270519,#270520,#270521)); #50905=EDGE_LOOP('',(#270522,#270523,#270524,#270525)); #50906=EDGE_LOOP('',(#270526,#270527,#270528,#270529)); #50907=EDGE_LOOP('',(#270530,#270531,#270532,#270533)); #50908=EDGE_LOOP('',(#270534,#270535,#270536,#270537)); #50909=EDGE_LOOP('',(#270538,#270539,#270540,#270541)); #50910=EDGE_LOOP('',(#270542,#270543,#270544,#270545)); #50911=EDGE_LOOP('',(#270546,#270547,#270548,#270549)); #50912=EDGE_LOOP('',(#270550,#270551,#270552,#270553)); #50913=EDGE_LOOP('',(#270554,#270555,#270556,#270557)); #50914=EDGE_LOOP('',(#270558,#270559,#270560,#270561)); #50915=EDGE_LOOP('',(#270562,#270563,#270564,#270565)); #50916=EDGE_LOOP('',(#270566,#270567,#270568,#270569)); #50917=EDGE_LOOP('',(#270570,#270571,#270572,#270573)); #50918=EDGE_LOOP('',(#270574,#270575,#270576,#270577)); #50919=EDGE_LOOP('',(#270578,#270579,#270580,#270581,#270582,#270583,#270584, #270585,#270586,#270587,#270588,#270589,#270590,#270591,#270592,#270593, #270594,#270595,#270596,#270597,#270598)); #50920=EDGE_LOOP('',(#270599,#270600,#270601,#270602,#270603,#270604,#270605, #270606,#270607,#270608,#270609,#270610,#270611,#270612,#270613,#270614, #270615,#270616,#270617,#270618,#270619)); #50921=EDGE_LOOP('',(#270620,#270621,#270622,#270623)); #50922=EDGE_LOOP('',(#270624,#270625,#270626,#270627)); #50923=EDGE_LOOP('',(#270628,#270629,#270630,#270631)); #50924=EDGE_LOOP('',(#270632,#270633,#270634,#270635)); #50925=EDGE_LOOP('',(#270636,#270637,#270638,#270639)); #50926=EDGE_LOOP('',(#270640,#270641,#270642,#270643)); #50927=EDGE_LOOP('',(#270644,#270645,#270646,#270647)); #50928=EDGE_LOOP('',(#270648,#270649,#270650,#270651)); #50929=EDGE_LOOP('',(#270652,#270653,#270654,#270655)); #50930=EDGE_LOOP('',(#270656,#270657,#270658,#270659)); #50931=EDGE_LOOP('',(#270660,#270661,#270662,#270663)); #50932=EDGE_LOOP('',(#270664,#270665,#270666,#270667)); #50933=EDGE_LOOP('',(#270668,#270669,#270670,#270671)); #50934=EDGE_LOOP('',(#270672,#270673,#270674,#270675)); #50935=EDGE_LOOP('',(#270676,#270677,#270678,#270679)); #50936=EDGE_LOOP('',(#270680,#270681,#270682,#270683)); #50937=EDGE_LOOP('',(#270684,#270685,#270686,#270687)); #50938=EDGE_LOOP('',(#270688,#270689,#270690,#270691)); #50939=EDGE_LOOP('',(#270692,#270693,#270694,#270695)); #50940=EDGE_LOOP('',(#270696,#270697,#270698,#270699)); #50941=EDGE_LOOP('',(#270700,#270701,#270702,#270703,#270704,#270705,#270706, #270707,#270708,#270709,#270710,#270711,#270712,#270713,#270714,#270715, #270716,#270717,#270718,#270719)); #50942=EDGE_LOOP('',(#270720,#270721,#270722,#270723,#270724,#270725,#270726, #270727,#270728,#270729,#270730,#270731,#270732,#270733,#270734,#270735, #270736,#270737,#270738,#270739)); #50943=EDGE_LOOP('',(#270740,#270741,#270742,#270743)); #50944=EDGE_LOOP('',(#270744,#270745,#270746,#270747)); #50945=EDGE_LOOP('',(#270748,#270749,#270750,#270751)); #50946=EDGE_LOOP('',(#270752,#270753,#270754,#270755)); #50947=EDGE_LOOP('',(#270756,#270757,#270758,#270759)); #50948=EDGE_LOOP('',(#270760,#270761,#270762,#270763)); #50949=EDGE_LOOP('',(#270764,#270765,#270766,#270767)); #50950=EDGE_LOOP('',(#270768,#270769,#270770,#270771)); #50951=EDGE_LOOP('',(#270772,#270773,#270774,#270775)); #50952=EDGE_LOOP('',(#270776,#270777,#270778,#270779)); #50953=EDGE_LOOP('',(#270780,#270781,#270782,#270783)); #50954=EDGE_LOOP('',(#270784,#270785,#270786,#270787)); #50955=EDGE_LOOP('',(#270788,#270789,#270790,#270791)); #50956=EDGE_LOOP('',(#270792,#270793,#270794,#270795)); #50957=EDGE_LOOP('',(#270796,#270797,#270798,#270799)); #50958=EDGE_LOOP('',(#270800,#270801,#270802,#270803)); #50959=EDGE_LOOP('',(#270804,#270805,#270806,#270807)); #50960=EDGE_LOOP('',(#270808,#270809,#270810,#270811)); #50961=EDGE_LOOP('',(#270812,#270813,#270814,#270815)); #50962=EDGE_LOOP('',(#270816,#270817,#270818,#270819)); #50963=EDGE_LOOP('',(#270820,#270821,#270822,#270823)); #50964=EDGE_LOOP('',(#270824,#270825,#270826,#270827)); #50965=EDGE_LOOP('',(#270828,#270829,#270830,#270831)); #50966=EDGE_LOOP('',(#270832,#270833,#270834,#270835)); #50967=EDGE_LOOP('',(#270836,#270837,#270838,#270839)); #50968=EDGE_LOOP('',(#270840,#270841,#270842,#270843)); #50969=EDGE_LOOP('',(#270844,#270845,#270846,#270847)); #50970=EDGE_LOOP('',(#270848,#270849,#270850,#270851)); #50971=EDGE_LOOP('',(#270852,#270853,#270854,#270855)); #50972=EDGE_LOOP('',(#270856,#270857,#270858,#270859)); #50973=EDGE_LOOP('',(#270860,#270861,#270862,#270863)); #50974=EDGE_LOOP('',(#270864,#270865,#270866,#270867)); #50975=EDGE_LOOP('',(#270868,#270869,#270870,#270871)); #50976=EDGE_LOOP('',(#270872,#270873,#270874,#270875)); #50977=EDGE_LOOP('',(#270876,#270877,#270878,#270879)); #50978=EDGE_LOOP('',(#270880,#270881,#270882,#270883)); #50979=EDGE_LOOP('',(#270884,#270885,#270886,#270887)); #50980=EDGE_LOOP('',(#270888,#270889,#270890,#270891)); #50981=EDGE_LOOP('',(#270892,#270893,#270894,#270895)); #50982=EDGE_LOOP('',(#270896,#270897,#270898,#270899)); #50983=EDGE_LOOP('',(#270900,#270901,#270902,#270903)); #50984=EDGE_LOOP('',(#270904,#270905,#270906,#270907)); #50985=EDGE_LOOP('',(#270908,#270909,#270910,#270911)); #50986=EDGE_LOOP('',(#270912,#270913,#270914,#270915)); #50987=EDGE_LOOP('',(#270916,#270917,#270918,#270919)); #50988=EDGE_LOOP('',(#270920,#270921,#270922,#270923)); #50989=EDGE_LOOP('',(#270924,#270925,#270926,#270927)); #50990=EDGE_LOOP('',(#270928,#270929,#270930,#270931)); #50991=EDGE_LOOP('',(#270932,#270933,#270934,#270935)); #50992=EDGE_LOOP('',(#270936,#270937,#270938,#270939)); #50993=EDGE_LOOP('',(#270940,#270941,#270942,#270943)); #50994=EDGE_LOOP('',(#270944,#270945,#270946,#270947)); #50995=EDGE_LOOP('',(#270948,#270949,#270950,#270951)); #50996=EDGE_LOOP('',(#270952,#270953,#270954,#270955)); #50997=EDGE_LOOP('',(#270956,#270957,#270958,#270959)); #50998=EDGE_LOOP('',(#270960,#270961,#270962,#270963)); #50999=EDGE_LOOP('',(#270964,#270965,#270966,#270967)); #51000=EDGE_LOOP('',(#270968,#270969,#270970,#270971)); #51001=EDGE_LOOP('',(#270972,#270973,#270974,#270975)); #51002=EDGE_LOOP('',(#270976,#270977,#270978,#270979)); #51003=EDGE_LOOP('',(#270980,#270981,#270982,#270983)); #51004=EDGE_LOOP('',(#270984,#270985,#270986,#270987)); #51005=EDGE_LOOP('',(#270988,#270989,#270990,#270991)); #51006=EDGE_LOOP('',(#270992,#270993,#270994,#270995)); #51007=EDGE_LOOP('',(#270996,#270997,#270998,#270999)); #51008=EDGE_LOOP('',(#271000,#271001,#271002,#271003)); #51009=EDGE_LOOP('',(#271004,#271005,#271006,#271007)); #51010=EDGE_LOOP('',(#271008,#271009,#271010,#271011)); #51011=EDGE_LOOP('',(#271012,#271013,#271014,#271015)); #51012=EDGE_LOOP('',(#271016,#271017,#271018,#271019)); #51013=EDGE_LOOP('',(#271020,#271021,#271022,#271023)); #51014=EDGE_LOOP('',(#271024,#271025,#271026,#271027)); #51015=EDGE_LOOP('',(#271028,#271029,#271030,#271031)); #51016=EDGE_LOOP('',(#271032,#271033,#271034,#271035)); #51017=EDGE_LOOP('',(#271036,#271037,#271038,#271039)); #51018=EDGE_LOOP('',(#271040,#271041,#271042,#271043)); #51019=EDGE_LOOP('',(#271044,#271045,#271046,#271047,#271048,#271049,#271050, #271051,#271052,#271053,#271054,#271055,#271056,#271057,#271058,#271059, #271060,#271061,#271062,#271063,#271064,#271065,#271066,#271067,#271068, #271069,#271070,#271071,#271072,#271073,#271074,#271075,#271076,#271077, #271078,#271079,#271080,#271081,#271082,#271083,#271084,#271085,#271086, #271087,#271088,#271089,#271090,#271091,#271092,#271093,#271094,#271095, #271096,#271097,#271098,#271099,#271100,#271101,#271102,#271103,#271104, #271105,#271106,#271107,#271108,#271109,#271110,#271111,#271112,#271113, #271114,#271115)); #51020=EDGE_LOOP('',(#271116,#271117,#271118,#271119)); #51021=EDGE_LOOP('',(#271120,#271121,#271122,#271123,#271124,#271125,#271126, #271127,#271128,#271129,#271130,#271131,#271132,#271133,#271134,#271135, #271136,#271137,#271138,#271139,#271140,#271141,#271142,#271143,#271144, #271145,#271146,#271147,#271148,#271149,#271150,#271151,#271152,#271153, #271154,#271155,#271156,#271157,#271158,#271159,#271160,#271161,#271162, #271163,#271164,#271165,#271166,#271167,#271168,#271169,#271170,#271171, #271172,#271173,#271174,#271175,#271176,#271177,#271178,#271179,#271180, #271181,#271182,#271183,#271184,#271185,#271186,#271187,#271188,#271189, #271190,#271191)); #51022=EDGE_LOOP('',(#271192,#271193,#271194,#271195)); #51023=EDGE_LOOP('',(#271196,#271197,#271198,#271199)); #51024=EDGE_LOOP('',(#271200,#271201,#271202,#271203)); #51025=EDGE_LOOP('',(#271204,#271205,#271206,#271207)); #51026=EDGE_LOOP('',(#271208,#271209,#271210,#271211)); #51027=EDGE_LOOP('',(#271212,#271213,#271214,#271215)); #51028=EDGE_LOOP('',(#271216,#271217,#271218,#271219)); #51029=EDGE_LOOP('',(#271220,#271221,#271222,#271223)); #51030=EDGE_LOOP('',(#271224,#271225,#271226,#271227)); #51031=EDGE_LOOP('',(#271228,#271229,#271230,#271231)); #51032=EDGE_LOOP('',(#271232,#271233,#271234,#271235)); #51033=EDGE_LOOP('',(#271236,#271237,#271238,#271239)); #51034=EDGE_LOOP('',(#271240,#271241,#271242,#271243)); #51035=EDGE_LOOP('',(#271244,#271245,#271246,#271247)); #51036=EDGE_LOOP('',(#271248,#271249,#271250,#271251)); #51037=EDGE_LOOP('',(#271252,#271253,#271254,#271255)); #51038=EDGE_LOOP('',(#271256,#271257,#271258,#271259)); #51039=EDGE_LOOP('',(#271260,#271261,#271262,#271263)); #51040=EDGE_LOOP('',(#271264,#271265,#271266,#271267)); #51041=EDGE_LOOP('',(#271268,#271269,#271270,#271271)); #51042=EDGE_LOOP('',(#271272,#271273,#271274,#271275)); #51043=EDGE_LOOP('',(#271276,#271277,#271278,#271279)); #51044=EDGE_LOOP('',(#271280,#271281,#271282,#271283)); #51045=EDGE_LOOP('',(#271284,#271285,#271286,#271287)); #51046=EDGE_LOOP('',(#271288,#271289,#271290,#271291)); #51047=EDGE_LOOP('',(#271292,#271293,#271294,#271295)); #51048=EDGE_LOOP('',(#271296,#271297,#271298,#271299)); #51049=EDGE_LOOP('',(#271300,#271301,#271302,#271303)); #51050=EDGE_LOOP('',(#271304,#271305,#271306,#271307)); #51051=EDGE_LOOP('',(#271308,#271309,#271310,#271311)); #51052=EDGE_LOOP('',(#271312,#271313,#271314,#271315)); #51053=EDGE_LOOP('',(#271316,#271317,#271318,#271319)); #51054=EDGE_LOOP('',(#271320,#271321,#271322,#271323)); #51055=EDGE_LOOP('',(#271324,#271325,#271326,#271327)); #51056=EDGE_LOOP('',(#271328,#271329,#271330,#271331)); #51057=EDGE_LOOP('',(#271332,#271333,#271334,#271335,#271336,#271337,#271338, #271339,#271340,#271341,#271342,#271343,#271344,#271345,#271346,#271347, #271348,#271349,#271350,#271351,#271352,#271353,#271354,#271355,#271356, #271357,#271358,#271359,#271360,#271361,#271362,#271363,#271364,#271365)); #51058=EDGE_LOOP('',(#271366,#271367,#271368,#271369,#271370,#271371,#271372, #271373,#271374,#271375,#271376,#271377,#271378,#271379,#271380,#271381, #271382,#271383,#271384,#271385,#271386,#271387,#271388,#271389,#271390, #271391,#271392,#271393,#271394,#271395,#271396,#271397,#271398,#271399)); #51059=EDGE_LOOP('',(#271400,#271401,#271402,#271403)); #51060=EDGE_LOOP('',(#271404,#271405,#271406,#271407)); #51061=EDGE_LOOP('',(#271408,#271409,#271410,#271411)); #51062=EDGE_LOOP('',(#271412,#271413,#271414,#271415)); #51063=EDGE_LOOP('',(#271416,#271417,#271418,#271419)); #51064=EDGE_LOOP('',(#271420,#271421,#271422,#271423)); #51065=EDGE_LOOP('',(#271424,#271425,#271426,#271427)); #51066=EDGE_LOOP('',(#271428,#271429,#271430,#271431)); #51067=EDGE_LOOP('',(#271432,#271433,#271434,#271435)); #51068=EDGE_LOOP('',(#271436,#271437,#271438,#271439,#271440,#271441,#271442, #271443)); #51069=EDGE_LOOP('',(#271444)); #51070=EDGE_LOOP('',(#271445,#271446,#271447,#271448,#271449,#271450,#271451, #271452)); #51071=EDGE_LOOP('',(#271453)); #51072=EDGE_LOOP('',(#271454,#271455,#271456,#271457)); #51073=EDGE_LOOP('',(#271458,#271459,#271460,#271461)); #51074=EDGE_LOOP('',(#271462,#271463,#271464,#271465)); #51075=EDGE_LOOP('',(#271466,#271467,#271468,#271469)); #51076=EDGE_LOOP('',(#271470,#271471,#271472,#271473)); #51077=EDGE_LOOP('',(#271474,#271475,#271476,#271477)); #51078=EDGE_LOOP('',(#271478,#271479,#271480,#271481)); #51079=EDGE_LOOP('',(#271482,#271483,#271484,#271485)); #51080=EDGE_LOOP('',(#271486,#271487,#271488,#271489)); #51081=EDGE_LOOP('',(#271490,#271491,#271492,#271493)); #51082=EDGE_LOOP('',(#271494,#271495,#271496,#271497)); #51083=EDGE_LOOP('',(#271498,#271499,#271500,#271501)); #51084=EDGE_LOOP('',(#271502,#271503,#271504,#271505)); #51085=EDGE_LOOP('',(#271506,#271507,#271508,#271509)); #51086=EDGE_LOOP('',(#271510,#271511,#271512,#271513)); #51087=EDGE_LOOP('',(#271514,#271515,#271516,#271517)); #51088=EDGE_LOOP('',(#271518,#271519,#271520,#271521)); #51089=EDGE_LOOP('',(#271522,#271523,#271524,#271525)); #51090=EDGE_LOOP('',(#271526,#271527,#271528,#271529)); #51091=EDGE_LOOP('',(#271530,#271531,#271532,#271533)); #51092=EDGE_LOOP('',(#271534,#271535,#271536,#271537)); #51093=EDGE_LOOP('',(#271538,#271539,#271540,#271541)); #51094=EDGE_LOOP('',(#271542,#271543,#271544,#271545)); #51095=EDGE_LOOP('',(#271546,#271547,#271548,#271549)); #51096=EDGE_LOOP('',(#271550,#271551,#271552,#271553)); #51097=EDGE_LOOP('',(#271554,#271555,#271556,#271557)); #51098=EDGE_LOOP('',(#271558,#271559,#271560,#271561)); #51099=EDGE_LOOP('',(#271562,#271563,#271564,#271565)); #51100=EDGE_LOOP('',(#271566,#271567,#271568,#271569)); #51101=EDGE_LOOP('',(#271570,#271571,#271572,#271573)); #51102=EDGE_LOOP('',(#271574,#271575,#271576,#271577)); #51103=EDGE_LOOP('',(#271578,#271579,#271580,#271581)); #51104=EDGE_LOOP('',(#271582,#271583,#271584,#271585)); #51105=EDGE_LOOP('',(#271586,#271587,#271588,#271589)); #51106=EDGE_LOOP('',(#271590,#271591,#271592,#271593)); #51107=EDGE_LOOP('',(#271594,#271595,#271596,#271597)); #51108=EDGE_LOOP('',(#271598,#271599,#271600,#271601)); #51109=EDGE_LOOP('',(#271602,#271603,#271604,#271605)); #51110=EDGE_LOOP('',(#271606,#271607,#271608,#271609)); #51111=EDGE_LOOP('',(#271610,#271611,#271612,#271613)); #51112=EDGE_LOOP('',(#271614,#271615,#271616,#271617)); #51113=EDGE_LOOP('',(#271618,#271619,#271620,#271621)); #51114=EDGE_LOOP('',(#271622,#271623,#271624,#271625)); #51115=EDGE_LOOP('',(#271626,#271627,#271628,#271629)); #51116=EDGE_LOOP('',(#271630,#271631,#271632,#271633)); #51117=EDGE_LOOP('',(#271634,#271635,#271636,#271637)); #51118=EDGE_LOOP('',(#271638,#271639,#271640,#271641)); #51119=EDGE_LOOP('',(#271642,#271643,#271644,#271645)); #51120=EDGE_LOOP('',(#271646,#271647,#271648,#271649)); #51121=EDGE_LOOP('',(#271650,#271651,#271652,#271653)); #51122=EDGE_LOOP('',(#271654,#271655,#271656,#271657)); #51123=EDGE_LOOP('',(#271658,#271659,#271660,#271661)); #51124=EDGE_LOOP('',(#271662,#271663,#271664,#271665)); #51125=EDGE_LOOP('',(#271666,#271667,#271668,#271669)); #51126=EDGE_LOOP('',(#271670,#271671,#271672,#271673)); #51127=EDGE_LOOP('',(#271674,#271675,#271676,#271677)); #51128=EDGE_LOOP('',(#271678,#271679,#271680,#271681)); #51129=EDGE_LOOP('',(#271682,#271683,#271684,#271685)); #51130=EDGE_LOOP('',(#271686,#271687,#271688,#271689)); #51131=EDGE_LOOP('',(#271690,#271691,#271692,#271693)); #51132=EDGE_LOOP('',(#271694,#271695,#271696,#271697)); #51133=EDGE_LOOP('',(#271698,#271699,#271700,#271701)); #51134=EDGE_LOOP('',(#271702,#271703,#271704,#271705)); #51135=EDGE_LOOP('',(#271706,#271707,#271708,#271709)); #51136=EDGE_LOOP('',(#271710,#271711,#271712,#271713)); #51137=EDGE_LOOP('',(#271714,#271715,#271716,#271717)); #51138=EDGE_LOOP('',(#271718,#271719,#271720,#271721)); #51139=EDGE_LOOP('',(#271722,#271723,#271724,#271725)); #51140=EDGE_LOOP('',(#271726,#271727,#271728,#271729)); #51141=EDGE_LOOP('',(#271730,#271731,#271732,#271733)); #51142=EDGE_LOOP('',(#271734,#271735,#271736,#271737)); #51143=EDGE_LOOP('',(#271738,#271739,#271740,#271741)); #51144=EDGE_LOOP('',(#271742,#271743,#271744,#271745)); #51145=EDGE_LOOP('',(#271746,#271747,#271748,#271749)); #51146=EDGE_LOOP('',(#271750,#271751,#271752,#271753)); #51147=EDGE_LOOP('',(#271754,#271755,#271756,#271757)); #51148=EDGE_LOOP('',(#271758,#271759,#271760,#271761)); #51149=EDGE_LOOP('',(#271762,#271763,#271764,#271765)); #51150=EDGE_LOOP('',(#271766,#271767,#271768,#271769)); #51151=EDGE_LOOP('',(#271770,#271771,#271772,#271773)); #51152=EDGE_LOOP('',(#271774,#271775,#271776,#271777)); #51153=EDGE_LOOP('',(#271778,#271779,#271780,#271781)); #51154=EDGE_LOOP('',(#271782,#271783,#271784,#271785)); #51155=EDGE_LOOP('',(#271786,#271787,#271788,#271789)); #51156=EDGE_LOOP('',(#271790,#271791,#271792,#271793)); #51157=EDGE_LOOP('',(#271794,#271795,#271796,#271797)); #51158=EDGE_LOOP('',(#271798,#271799,#271800,#271801)); #51159=EDGE_LOOP('',(#271802,#271803,#271804,#271805)); #51160=EDGE_LOOP('',(#271806,#271807,#271808,#271809)); #51161=EDGE_LOOP('',(#271810,#271811,#271812,#271813)); #51162=EDGE_LOOP('',(#271814,#271815,#271816,#271817)); #51163=EDGE_LOOP('',(#271818,#271819,#271820,#271821)); #51164=EDGE_LOOP('',(#271822,#271823,#271824,#271825)); #51165=EDGE_LOOP('',(#271826,#271827,#271828,#271829)); #51166=EDGE_LOOP('',(#271830,#271831,#271832,#271833)); #51167=EDGE_LOOP('',(#271834,#271835,#271836,#271837)); #51168=EDGE_LOOP('',(#271838,#271839,#271840,#271841)); #51169=EDGE_LOOP('',(#271842,#271843,#271844,#271845)); #51170=EDGE_LOOP('',(#271846,#271847,#271848,#271849)); #51171=EDGE_LOOP('',(#271850,#271851,#271852,#271853)); #51172=EDGE_LOOP('',(#271854,#271855,#271856,#271857)); #51173=EDGE_LOOP('',(#271858,#271859,#271860,#271861)); #51174=EDGE_LOOP('',(#271862,#271863,#271864,#271865)); #51175=EDGE_LOOP('',(#271866,#271867,#271868,#271869)); #51176=EDGE_LOOP('',(#271870,#271871,#271872,#271873)); #51177=EDGE_LOOP('',(#271874,#271875,#271876,#271877)); #51178=EDGE_LOOP('',(#271878,#271879,#271880,#271881)); #51179=EDGE_LOOP('',(#271882,#271883,#271884,#271885)); #51180=EDGE_LOOP('',(#271886,#271887,#271888,#271889)); #51181=EDGE_LOOP('',(#271890,#271891,#271892,#271893)); #51182=EDGE_LOOP('',(#271894,#271895,#271896,#271897)); #51183=EDGE_LOOP('',(#271898,#271899,#271900,#271901)); #51184=EDGE_LOOP('',(#271902,#271903,#271904,#271905)); #51185=EDGE_LOOP('',(#271906,#271907,#271908,#271909)); #51186=EDGE_LOOP('',(#271910,#271911,#271912,#271913)); #51187=EDGE_LOOP('',(#271914,#271915,#271916,#271917)); #51188=EDGE_LOOP('',(#271918,#271919,#271920,#271921)); #51189=EDGE_LOOP('',(#271922,#271923,#271924,#271925)); #51190=EDGE_LOOP('',(#271926,#271927,#271928,#271929)); #51191=EDGE_LOOP('',(#271930,#271931,#271932,#271933)); #51192=EDGE_LOOP('',(#271934,#271935,#271936,#271937)); #51193=EDGE_LOOP('',(#271938,#271939,#271940,#271941)); #51194=EDGE_LOOP('',(#271942,#271943,#271944,#271945)); #51195=EDGE_LOOP('',(#271946,#271947,#271948,#271949)); #51196=EDGE_LOOP('',(#271950,#271951,#271952,#271953)); #51197=EDGE_LOOP('',(#271954,#271955,#271956,#271957)); #51198=EDGE_LOOP('',(#271958,#271959,#271960,#271961)); #51199=EDGE_LOOP('',(#271962,#271963,#271964,#271965)); #51200=EDGE_LOOP('',(#271966,#271967,#271968,#271969)); #51201=EDGE_LOOP('',(#271970,#271971,#271972,#271973)); #51202=EDGE_LOOP('',(#271974,#271975,#271976,#271977)); #51203=EDGE_LOOP('',(#271978,#271979,#271980,#271981)); #51204=EDGE_LOOP('',(#271982,#271983,#271984,#271985)); #51205=EDGE_LOOP('',(#271986,#271987,#271988,#271989)); #51206=EDGE_LOOP('',(#271990,#271991,#271992,#271993)); #51207=EDGE_LOOP('',(#271994,#271995,#271996,#271997)); #51208=EDGE_LOOP('',(#271998,#271999,#272000,#272001)); #51209=EDGE_LOOP('',(#272002,#272003,#272004,#272005)); #51210=EDGE_LOOP('',(#272006,#272007,#272008,#272009)); #51211=EDGE_LOOP('',(#272010,#272011,#272012,#272013)); #51212=EDGE_LOOP('',(#272014,#272015,#272016,#272017)); #51213=EDGE_LOOP('',(#272018,#272019,#272020,#272021)); #51214=EDGE_LOOP('',(#272022,#272023,#272024,#272025)); #51215=EDGE_LOOP('',(#272026,#272027,#272028,#272029)); #51216=EDGE_LOOP('',(#272030,#272031,#272032,#272033)); #51217=EDGE_LOOP('',(#272034,#272035,#272036,#272037)); #51218=EDGE_LOOP('',(#272038,#272039,#272040,#272041)); #51219=EDGE_LOOP('',(#272042,#272043,#272044,#272045)); #51220=EDGE_LOOP('',(#272046,#272047,#272048,#272049)); #51221=EDGE_LOOP('',(#272050,#272051,#272052,#272053)); #51222=EDGE_LOOP('',(#272054,#272055,#272056,#272057)); #51223=EDGE_LOOP('',(#272058,#272059,#272060,#272061)); #51224=EDGE_LOOP('',(#272062,#272063,#272064,#272065)); #51225=EDGE_LOOP('',(#272066,#272067,#272068,#272069)); #51226=EDGE_LOOP('',(#272070,#272071,#272072,#272073)); #51227=EDGE_LOOP('',(#272074,#272075,#272076,#272077)); #51228=EDGE_LOOP('',(#272078,#272079,#272080,#272081)); #51229=EDGE_LOOP('',(#272082,#272083,#272084,#272085)); #51230=EDGE_LOOP('',(#272086,#272087,#272088,#272089)); #51231=EDGE_LOOP('',(#272090,#272091,#272092,#272093)); #51232=EDGE_LOOP('',(#272094,#272095,#272096,#272097)); #51233=EDGE_LOOP('',(#272098,#272099,#272100,#272101)); #51234=EDGE_LOOP('',(#272102,#272103,#272104,#272105)); #51235=EDGE_LOOP('',(#272106,#272107,#272108,#272109)); #51236=EDGE_LOOP('',(#272110,#272111,#272112,#272113)); #51237=EDGE_LOOP('',(#272114,#272115,#272116,#272117)); #51238=EDGE_LOOP('',(#272118,#272119,#272120,#272121)); #51239=EDGE_LOOP('',(#272122,#272123,#272124,#272125)); #51240=EDGE_LOOP('',(#272126,#272127,#272128,#272129)); #51241=EDGE_LOOP('',(#272130,#272131,#272132,#272133)); #51242=EDGE_LOOP('',(#272134,#272135,#272136,#272137)); #51243=EDGE_LOOP('',(#272138,#272139,#272140,#272141)); #51244=EDGE_LOOP('',(#272142,#272143,#272144,#272145)); #51245=EDGE_LOOP('',(#272146,#272147,#272148,#272149)); #51246=EDGE_LOOP('',(#272150,#272151,#272152,#272153)); #51247=EDGE_LOOP('',(#272154,#272155,#272156,#272157)); #51248=EDGE_LOOP('',(#272158,#272159,#272160,#272161)); #51249=EDGE_LOOP('',(#272162,#272163,#272164,#272165)); #51250=EDGE_LOOP('',(#272166,#272167,#272168,#272169)); #51251=EDGE_LOOP('',(#272170,#272171,#272172,#272173)); #51252=EDGE_LOOP('',(#272174,#272175,#272176,#272177)); #51253=EDGE_LOOP('',(#272178,#272179,#272180,#272181)); #51254=EDGE_LOOP('',(#272182,#272183,#272184,#272185)); #51255=EDGE_LOOP('',(#272186,#272187,#272188,#272189)); #51256=EDGE_LOOP('',(#272190,#272191,#272192,#272193)); #51257=EDGE_LOOP('',(#272194,#272195,#272196,#272197)); #51258=EDGE_LOOP('',(#272198,#272199,#272200,#272201)); #51259=EDGE_LOOP('',(#272202,#272203,#272204,#272205)); #51260=EDGE_LOOP('',(#272206,#272207,#272208,#272209)); #51261=EDGE_LOOP('',(#272210,#272211,#272212,#272213)); #51262=EDGE_LOOP('',(#272214,#272215,#272216,#272217)); #51263=EDGE_LOOP('',(#272218,#272219,#272220,#272221)); #51264=EDGE_LOOP('',(#272222,#272223,#272224,#272225)); #51265=EDGE_LOOP('',(#272226,#272227,#272228,#272229)); #51266=EDGE_LOOP('',(#272230,#272231,#272232,#272233)); #51267=EDGE_LOOP('',(#272234,#272235,#272236,#272237)); #51268=EDGE_LOOP('',(#272238,#272239,#272240,#272241)); #51269=EDGE_LOOP('',(#272242,#272243,#272244,#272245)); #51270=EDGE_LOOP('',(#272246,#272247,#272248,#272249)); #51271=EDGE_LOOP('',(#272250,#272251,#272252,#272253)); #51272=EDGE_LOOP('',(#272254,#272255,#272256,#272257)); #51273=EDGE_LOOP('',(#272258,#272259,#272260,#272261)); #51274=EDGE_LOOP('',(#272262,#272263,#272264,#272265)); #51275=EDGE_LOOP('',(#272266,#272267,#272268,#272269)); #51276=EDGE_LOOP('',(#272270,#272271,#272272,#272273)); #51277=EDGE_LOOP('',(#272274,#272275,#272276,#272277)); #51278=EDGE_LOOP('',(#272278,#272279,#272280,#272281)); #51279=EDGE_LOOP('',(#272282,#272283,#272284,#272285)); #51280=EDGE_LOOP('',(#272286,#272287,#272288,#272289)); #51281=EDGE_LOOP('',(#272290,#272291,#272292,#272293)); #51282=EDGE_LOOP('',(#272294,#272295,#272296,#272297)); #51283=EDGE_LOOP('',(#272298,#272299,#272300,#272301)); #51284=EDGE_LOOP('',(#272302,#272303,#272304,#272305)); #51285=EDGE_LOOP('',(#272306,#272307,#272308,#272309)); #51286=EDGE_LOOP('',(#272310,#272311,#272312,#272313)); #51287=EDGE_LOOP('',(#272314,#272315,#272316,#272317)); #51288=EDGE_LOOP('',(#272318,#272319,#272320,#272321)); #51289=EDGE_LOOP('',(#272322,#272323,#272324,#272325)); #51290=EDGE_LOOP('',(#272326,#272327,#272328,#272329)); #51291=EDGE_LOOP('',(#272330,#272331,#272332,#272333)); #51292=EDGE_LOOP('',(#272334,#272335,#272336,#272337)); #51293=EDGE_LOOP('',(#272338,#272339,#272340,#272341)); #51294=EDGE_LOOP('',(#272342,#272343,#272344,#272345)); #51295=EDGE_LOOP('',(#272346,#272347,#272348,#272349)); #51296=EDGE_LOOP('',(#272350,#272351,#272352,#272353)); #51297=EDGE_LOOP('',(#272354,#272355,#272356,#272357)); #51298=EDGE_LOOP('',(#272358,#272359,#272360,#272361)); #51299=EDGE_LOOP('',(#272362,#272363,#272364,#272365)); #51300=EDGE_LOOP('',(#272366,#272367,#272368,#272369)); #51301=EDGE_LOOP('',(#272370,#272371,#272372,#272373)); #51302=EDGE_LOOP('',(#272374,#272375,#272376,#272377)); #51303=EDGE_LOOP('',(#272378,#272379,#272380,#272381)); #51304=EDGE_LOOP('',(#272382,#272383,#272384,#272385)); #51305=EDGE_LOOP('',(#272386,#272387,#272388,#272389)); #51306=EDGE_LOOP('',(#272390,#272391,#272392,#272393)); #51307=EDGE_LOOP('',(#272394,#272395,#272396,#272397)); #51308=EDGE_LOOP('',(#272398,#272399,#272400,#272401)); #51309=EDGE_LOOP('',(#272402,#272403,#272404,#272405)); #51310=EDGE_LOOP('',(#272406,#272407,#272408,#272409)); #51311=EDGE_LOOP('',(#272410,#272411,#272412,#272413)); #51312=EDGE_LOOP('',(#272414,#272415,#272416,#272417)); #51313=EDGE_LOOP('',(#272418,#272419,#272420,#272421)); #51314=EDGE_LOOP('',(#272422,#272423,#272424,#272425)); #51315=EDGE_LOOP('',(#272426,#272427,#272428,#272429)); #51316=EDGE_LOOP('',(#272430,#272431,#272432,#272433)); #51317=EDGE_LOOP('',(#272434,#272435,#272436,#272437)); #51318=EDGE_LOOP('',(#272438,#272439,#272440,#272441)); #51319=EDGE_LOOP('',(#272442,#272443,#272444,#272445)); #51320=EDGE_LOOP('',(#272446,#272447,#272448,#272449)); #51321=EDGE_LOOP('',(#272450,#272451,#272452,#272453)); #51322=EDGE_LOOP('',(#272454,#272455,#272456,#272457)); #51323=EDGE_LOOP('',(#272458,#272459,#272460,#272461)); #51324=EDGE_LOOP('',(#272462,#272463,#272464,#272465)); #51325=EDGE_LOOP('',(#272466,#272467,#272468,#272469)); #51326=EDGE_LOOP('',(#272470,#272471,#272472,#272473)); #51327=EDGE_LOOP('',(#272474,#272475,#272476,#272477)); #51328=EDGE_LOOP('',(#272478,#272479,#272480,#272481)); #51329=EDGE_LOOP('',(#272482,#272483,#272484,#272485)); #51330=EDGE_LOOP('',(#272486,#272487,#272488,#272489)); #51331=EDGE_LOOP('',(#272490,#272491,#272492,#272493)); #51332=EDGE_LOOP('',(#272494,#272495,#272496,#272497)); #51333=EDGE_LOOP('',(#272498,#272499,#272500,#272501)); #51334=EDGE_LOOP('',(#272502,#272503,#272504,#272505)); #51335=EDGE_LOOP('',(#272506,#272507,#272508,#272509)); #51336=EDGE_LOOP('',(#272510,#272511,#272512,#272513)); #51337=EDGE_LOOP('',(#272514,#272515,#272516,#272517)); #51338=EDGE_LOOP('',(#272518,#272519,#272520,#272521)); #51339=EDGE_LOOP('',(#272522,#272523,#272524,#272525)); #51340=EDGE_LOOP('',(#272526,#272527,#272528,#272529)); #51341=EDGE_LOOP('',(#272530,#272531,#272532,#272533)); #51342=EDGE_LOOP('',(#272534,#272535,#272536,#272537)); #51343=EDGE_LOOP('',(#272538,#272539,#272540,#272541)); #51344=EDGE_LOOP('',(#272542,#272543,#272544,#272545)); #51345=EDGE_LOOP('',(#272546,#272547,#272548,#272549)); #51346=EDGE_LOOP('',(#272550,#272551,#272552,#272553)); #51347=EDGE_LOOP('',(#272554,#272555,#272556,#272557)); #51348=EDGE_LOOP('',(#272558,#272559,#272560,#272561)); #51349=EDGE_LOOP('',(#272562,#272563,#272564,#272565)); #51350=EDGE_LOOP('',(#272566,#272567,#272568,#272569)); #51351=EDGE_LOOP('',(#272570,#272571,#272572,#272573)); #51352=EDGE_LOOP('',(#272574,#272575,#272576,#272577)); #51353=EDGE_LOOP('',(#272578,#272579,#272580,#272581)); #51354=EDGE_LOOP('',(#272582,#272583,#272584,#272585)); #51355=EDGE_LOOP('',(#272586,#272587,#272588,#272589)); #51356=EDGE_LOOP('',(#272590,#272591,#272592,#272593)); #51357=EDGE_LOOP('',(#272594,#272595,#272596,#272597)); #51358=EDGE_LOOP('',(#272598,#272599,#272600,#272601)); #51359=EDGE_LOOP('',(#272602,#272603,#272604,#272605)); #51360=EDGE_LOOP('',(#272606,#272607,#272608,#272609)); #51361=EDGE_LOOP('',(#272610,#272611,#272612,#272613)); #51362=EDGE_LOOP('',(#272614,#272615,#272616,#272617)); #51363=EDGE_LOOP('',(#272618,#272619,#272620,#272621)); #51364=EDGE_LOOP('',(#272622,#272623,#272624,#272625)); #51365=EDGE_LOOP('',(#272626,#272627,#272628,#272629)); #51366=EDGE_LOOP('',(#272630,#272631,#272632,#272633)); #51367=EDGE_LOOP('',(#272634,#272635,#272636,#272637)); #51368=EDGE_LOOP('',(#272638,#272639,#272640,#272641)); #51369=EDGE_LOOP('',(#272642,#272643,#272644,#272645)); #51370=EDGE_LOOP('',(#272646,#272647,#272648,#272649)); #51371=EDGE_LOOP('',(#272650,#272651,#272652,#272653)); #51372=EDGE_LOOP('',(#272654,#272655,#272656,#272657)); #51373=EDGE_LOOP('',(#272658,#272659,#272660,#272661)); #51374=EDGE_LOOP('',(#272662,#272663,#272664,#272665)); #51375=EDGE_LOOP('',(#272666,#272667,#272668,#272669)); #51376=EDGE_LOOP('',(#272670,#272671,#272672,#272673)); #51377=EDGE_LOOP('',(#272674,#272675,#272676,#272677)); #51378=EDGE_LOOP('',(#272678,#272679,#272680,#272681)); #51379=EDGE_LOOP('',(#272682,#272683,#272684,#272685)); #51380=EDGE_LOOP('',(#272686,#272687,#272688,#272689)); #51381=EDGE_LOOP('',(#272690,#272691,#272692,#272693)); #51382=EDGE_LOOP('',(#272694,#272695,#272696,#272697)); #51383=EDGE_LOOP('',(#272698,#272699,#272700,#272701)); #51384=EDGE_LOOP('',(#272702,#272703,#272704,#272705)); #51385=EDGE_LOOP('',(#272706,#272707,#272708,#272709)); #51386=EDGE_LOOP('',(#272710,#272711,#272712,#272713)); #51387=EDGE_LOOP('',(#272714,#272715,#272716,#272717)); #51388=EDGE_LOOP('',(#272718,#272719,#272720,#272721)); #51389=EDGE_LOOP('',(#272722,#272723,#272724,#272725)); #51390=EDGE_LOOP('',(#272726,#272727,#272728,#272729)); #51391=EDGE_LOOP('',(#272730,#272731,#272732,#272733)); #51392=EDGE_LOOP('',(#272734,#272735,#272736,#272737)); #51393=EDGE_LOOP('',(#272738,#272739,#272740,#272741)); #51394=EDGE_LOOP('',(#272742,#272743,#272744,#272745)); #51395=EDGE_LOOP('',(#272746,#272747,#272748,#272749)); #51396=EDGE_LOOP('',(#272750,#272751,#272752,#272753)); #51397=EDGE_LOOP('',(#272754,#272755,#272756,#272757)); #51398=EDGE_LOOP('',(#272758,#272759,#272760,#272761)); #51399=EDGE_LOOP('',(#272762,#272763,#272764,#272765)); #51400=EDGE_LOOP('',(#272766,#272767,#272768,#272769)); #51401=EDGE_LOOP('',(#272770,#272771,#272772,#272773)); #51402=EDGE_LOOP('',(#272774,#272775,#272776,#272777)); #51403=EDGE_LOOP('',(#272778,#272779,#272780,#272781)); #51404=EDGE_LOOP('',(#272782,#272783,#272784,#272785)); #51405=EDGE_LOOP('',(#272786,#272787,#272788,#272789)); #51406=EDGE_LOOP('',(#272790,#272791,#272792,#272793)); #51407=EDGE_LOOP('',(#272794,#272795,#272796,#272797)); #51408=EDGE_LOOP('',(#272798,#272799,#272800,#272801)); #51409=EDGE_LOOP('',(#272802,#272803,#272804,#272805)); #51410=EDGE_LOOP('',(#272806,#272807,#272808,#272809)); #51411=EDGE_LOOP('',(#272810,#272811,#272812,#272813)); #51412=EDGE_LOOP('',(#272814,#272815,#272816,#272817)); #51413=EDGE_LOOP('',(#272818,#272819,#272820,#272821)); #51414=EDGE_LOOP('',(#272822,#272823,#272824,#272825)); #51415=EDGE_LOOP('',(#272826,#272827,#272828,#272829)); #51416=EDGE_LOOP('',(#272830,#272831,#272832,#272833)); #51417=EDGE_LOOP('',(#272834,#272835,#272836,#272837)); #51418=EDGE_LOOP('',(#272838,#272839,#272840,#272841)); #51419=EDGE_LOOP('',(#272842,#272843,#272844,#272845)); #51420=EDGE_LOOP('',(#272846,#272847,#272848,#272849)); #51421=EDGE_LOOP('',(#272850,#272851,#272852,#272853)); #51422=EDGE_LOOP('',(#272854,#272855,#272856,#272857)); #51423=EDGE_LOOP('',(#272858,#272859,#272860,#272861)); #51424=EDGE_LOOP('',(#272862,#272863,#272864,#272865)); #51425=EDGE_LOOP('',(#272866,#272867,#272868,#272869)); #51426=EDGE_LOOP('',(#272870,#272871,#272872,#272873)); #51427=EDGE_LOOP('',(#272874,#272875,#272876,#272877)); #51428=EDGE_LOOP('',(#272878,#272879,#272880,#272881)); #51429=EDGE_LOOP('',(#272882,#272883,#272884,#272885)); #51430=EDGE_LOOP('',(#272886,#272887,#272888,#272889)); #51431=EDGE_LOOP('',(#272890,#272891,#272892,#272893)); #51432=EDGE_LOOP('',(#272894,#272895,#272896,#272897)); #51433=EDGE_LOOP('',(#272898,#272899,#272900,#272901)); #51434=EDGE_LOOP('',(#272902,#272903,#272904,#272905)); #51435=EDGE_LOOP('',(#272906,#272907,#272908,#272909)); #51436=EDGE_LOOP('',(#272910,#272911,#272912,#272913)); #51437=EDGE_LOOP('',(#272914,#272915,#272916,#272917)); #51438=EDGE_LOOP('',(#272918,#272919,#272920,#272921)); #51439=EDGE_LOOP('',(#272922,#272923,#272924,#272925)); #51440=EDGE_LOOP('',(#272926,#272927,#272928,#272929)); #51441=EDGE_LOOP('',(#272930,#272931,#272932,#272933)); #51442=EDGE_LOOP('',(#272934,#272935,#272936,#272937)); #51443=EDGE_LOOP('',(#272938,#272939,#272940,#272941)); #51444=EDGE_LOOP('',(#272942,#272943,#272944,#272945)); #51445=EDGE_LOOP('',(#272946,#272947,#272948,#272949)); #51446=EDGE_LOOP('',(#272950,#272951,#272952,#272953)); #51447=EDGE_LOOP('',(#272954,#272955,#272956,#272957)); #51448=EDGE_LOOP('',(#272958,#272959,#272960,#272961)); #51449=EDGE_LOOP('',(#272962,#272963,#272964,#272965)); #51450=EDGE_LOOP('',(#272966,#272967,#272968,#272969)); #51451=EDGE_LOOP('',(#272970,#272971,#272972,#272973)); #51452=EDGE_LOOP('',(#272974,#272975,#272976,#272977)); #51453=EDGE_LOOP('',(#272978,#272979,#272980,#272981)); #51454=EDGE_LOOP('',(#272982,#272983,#272984,#272985)); #51455=EDGE_LOOP('',(#272986,#272987,#272988,#272989)); #51456=EDGE_LOOP('',(#272990,#272991,#272992,#272993)); #51457=EDGE_LOOP('',(#272994,#272995,#272996,#272997)); #51458=EDGE_LOOP('',(#272998,#272999,#273000,#273001)); #51459=EDGE_LOOP('',(#273002,#273003,#273004,#273005)); #51460=EDGE_LOOP('',(#273006,#273007,#273008,#273009)); #51461=EDGE_LOOP('',(#273010,#273011,#273012,#273013)); #51462=EDGE_LOOP('',(#273014,#273015,#273016,#273017)); #51463=EDGE_LOOP('',(#273018,#273019,#273020,#273021)); #51464=EDGE_LOOP('',(#273022,#273023,#273024,#273025)); #51465=EDGE_LOOP('',(#273026,#273027,#273028,#273029)); #51466=EDGE_LOOP('',(#273030,#273031,#273032,#273033)); #51467=EDGE_LOOP('',(#273034,#273035,#273036,#273037)); #51468=EDGE_LOOP('',(#273038,#273039,#273040,#273041)); #51469=EDGE_LOOP('',(#273042,#273043,#273044,#273045)); #51470=EDGE_LOOP('',(#273046,#273047,#273048,#273049)); #51471=EDGE_LOOP('',(#273050,#273051,#273052,#273053)); #51472=EDGE_LOOP('',(#273054,#273055,#273056,#273057)); #51473=EDGE_LOOP('',(#273058,#273059,#273060,#273061)); #51474=EDGE_LOOP('',(#273062,#273063,#273064,#273065)); #51475=EDGE_LOOP('',(#273066,#273067,#273068,#273069)); #51476=EDGE_LOOP('',(#273070,#273071,#273072,#273073)); #51477=EDGE_LOOP('',(#273074,#273075,#273076,#273077)); #51478=EDGE_LOOP('',(#273078,#273079,#273080,#273081)); #51479=EDGE_LOOP('',(#273082,#273083,#273084,#273085)); #51480=EDGE_LOOP('',(#273086,#273087,#273088,#273089)); #51481=EDGE_LOOP('',(#273090,#273091,#273092,#273093)); #51482=EDGE_LOOP('',(#273094,#273095,#273096,#273097)); #51483=EDGE_LOOP('',(#273098,#273099,#273100,#273101)); #51484=EDGE_LOOP('',(#273102,#273103,#273104,#273105)); #51485=EDGE_LOOP('',(#273106,#273107,#273108,#273109)); #51486=EDGE_LOOP('',(#273110,#273111,#273112,#273113)); #51487=EDGE_LOOP('',(#273114,#273115,#273116,#273117)); #51488=EDGE_LOOP('',(#273118,#273119,#273120,#273121)); #51489=EDGE_LOOP('',(#273122,#273123,#273124,#273125)); #51490=EDGE_LOOP('',(#273126,#273127,#273128,#273129)); #51491=EDGE_LOOP('',(#273130,#273131,#273132,#273133)); #51492=EDGE_LOOP('',(#273134,#273135,#273136,#273137)); #51493=EDGE_LOOP('',(#273138,#273139,#273140,#273141)); #51494=EDGE_LOOP('',(#273142,#273143,#273144,#273145)); #51495=EDGE_LOOP('',(#273146,#273147,#273148,#273149)); #51496=EDGE_LOOP('',(#273150,#273151,#273152,#273153)); #51497=EDGE_LOOP('',(#273154,#273155,#273156,#273157)); #51498=EDGE_LOOP('',(#273158,#273159,#273160,#273161)); #51499=EDGE_LOOP('',(#273162,#273163,#273164,#273165)); #51500=EDGE_LOOP('',(#273166,#273167,#273168,#273169)); #51501=EDGE_LOOP('',(#273170,#273171,#273172,#273173)); #51502=EDGE_LOOP('',(#273174,#273175,#273176,#273177)); #51503=EDGE_LOOP('',(#273178,#273179,#273180,#273181)); #51504=EDGE_LOOP('',(#273182,#273183,#273184,#273185)); #51505=EDGE_LOOP('',(#273186,#273187,#273188,#273189)); #51506=EDGE_LOOP('',(#273190,#273191,#273192,#273193)); #51507=EDGE_LOOP('',(#273194,#273195,#273196,#273197)); #51508=EDGE_LOOP('',(#273198,#273199,#273200,#273201)); #51509=EDGE_LOOP('',(#273202,#273203,#273204,#273205)); #51510=EDGE_LOOP('',(#273206,#273207,#273208,#273209)); #51511=EDGE_LOOP('',(#273210,#273211,#273212,#273213)); #51512=EDGE_LOOP('',(#273214,#273215,#273216,#273217)); #51513=EDGE_LOOP('',(#273218,#273219,#273220,#273221)); #51514=EDGE_LOOP('',(#273222,#273223,#273224,#273225)); #51515=EDGE_LOOP('',(#273226,#273227,#273228,#273229)); #51516=EDGE_LOOP('',(#273230,#273231,#273232,#273233)); #51517=EDGE_LOOP('',(#273234,#273235,#273236,#273237)); #51518=EDGE_LOOP('',(#273238,#273239,#273240,#273241)); #51519=EDGE_LOOP('',(#273242,#273243,#273244,#273245)); #51520=EDGE_LOOP('',(#273246,#273247,#273248,#273249)); #51521=EDGE_LOOP('',(#273250,#273251,#273252,#273253)); #51522=EDGE_LOOP('',(#273254,#273255,#273256,#273257)); #51523=EDGE_LOOP('',(#273258,#273259,#273260,#273261)); #51524=EDGE_LOOP('',(#273262,#273263,#273264,#273265)); #51525=EDGE_LOOP('',(#273266,#273267,#273268,#273269)); #51526=EDGE_LOOP('',(#273270,#273271,#273272,#273273)); #51527=EDGE_LOOP('',(#273274,#273275,#273276,#273277)); #51528=EDGE_LOOP('',(#273278,#273279,#273280,#273281)); #51529=EDGE_LOOP('',(#273282,#273283,#273284,#273285)); #51530=EDGE_LOOP('',(#273286,#273287,#273288,#273289)); #51531=EDGE_LOOP('',(#273290,#273291,#273292,#273293)); #51532=EDGE_LOOP('',(#273294,#273295,#273296,#273297)); #51533=EDGE_LOOP('',(#273298,#273299,#273300,#273301)); #51534=EDGE_LOOP('',(#273302,#273303,#273304,#273305)); #51535=EDGE_LOOP('',(#273306,#273307,#273308,#273309)); #51536=EDGE_LOOP('',(#273310,#273311,#273312,#273313)); #51537=EDGE_LOOP('',(#273314,#273315,#273316,#273317)); #51538=EDGE_LOOP('',(#273318,#273319,#273320,#273321)); #51539=EDGE_LOOP('',(#273322,#273323,#273324,#273325)); #51540=EDGE_LOOP('',(#273326,#273327,#273328,#273329)); #51541=EDGE_LOOP('',(#273330,#273331,#273332,#273333)); #51542=EDGE_LOOP('',(#273334,#273335,#273336,#273337)); #51543=EDGE_LOOP('',(#273338,#273339,#273340,#273341)); #51544=EDGE_LOOP('',(#273342,#273343,#273344,#273345)); #51545=EDGE_LOOP('',(#273346,#273347,#273348,#273349)); #51546=EDGE_LOOP('',(#273350,#273351,#273352,#273353)); #51547=EDGE_LOOP('',(#273354,#273355,#273356,#273357)); #51548=EDGE_LOOP('',(#273358,#273359,#273360,#273361)); #51549=EDGE_LOOP('',(#273362,#273363,#273364,#273365)); #51550=EDGE_LOOP('',(#273366,#273367,#273368,#273369)); #51551=EDGE_LOOP('',(#273370,#273371,#273372,#273373)); #51552=EDGE_LOOP('',(#273374,#273375,#273376,#273377)); #51553=EDGE_LOOP('',(#273378,#273379,#273380,#273381)); #51554=EDGE_LOOP('',(#273382,#273383,#273384,#273385)); #51555=EDGE_LOOP('',(#273386,#273387,#273388,#273389)); #51556=EDGE_LOOP('',(#273390,#273391,#273392,#273393)); #51557=EDGE_LOOP('',(#273394,#273395,#273396,#273397)); #51558=EDGE_LOOP('',(#273398,#273399,#273400,#273401)); #51559=EDGE_LOOP('',(#273402,#273403,#273404,#273405)); #51560=EDGE_LOOP('',(#273406,#273407,#273408,#273409)); #51561=EDGE_LOOP('',(#273410,#273411,#273412,#273413)); #51562=EDGE_LOOP('',(#273414,#273415,#273416,#273417)); #51563=EDGE_LOOP('',(#273418,#273419,#273420,#273421)); #51564=EDGE_LOOP('',(#273422,#273423,#273424,#273425)); #51565=EDGE_LOOP('',(#273426,#273427,#273428,#273429)); #51566=EDGE_LOOP('',(#273430,#273431,#273432,#273433)); #51567=EDGE_LOOP('',(#273434,#273435,#273436,#273437)); #51568=EDGE_LOOP('',(#273438,#273439,#273440,#273441)); #51569=EDGE_LOOP('',(#273442,#273443,#273444,#273445)); #51570=EDGE_LOOP('',(#273446,#273447,#273448,#273449)); #51571=EDGE_LOOP('',(#273450,#273451,#273452,#273453)); #51572=EDGE_LOOP('',(#273454,#273455,#273456,#273457)); #51573=EDGE_LOOP('',(#273458,#273459,#273460,#273461)); #51574=EDGE_LOOP('',(#273462,#273463,#273464,#273465)); #51575=EDGE_LOOP('',(#273466,#273467,#273468,#273469)); #51576=EDGE_LOOP('',(#273470,#273471,#273472,#273473)); #51577=EDGE_LOOP('',(#273474,#273475,#273476,#273477)); #51578=EDGE_LOOP('',(#273478,#273479,#273480,#273481)); #51579=EDGE_LOOP('',(#273482,#273483,#273484,#273485)); #51580=EDGE_LOOP('',(#273486,#273487,#273488,#273489)); #51581=EDGE_LOOP('',(#273490,#273491,#273492,#273493)); #51582=EDGE_LOOP('',(#273494,#273495,#273496,#273497)); #51583=EDGE_LOOP('',(#273498,#273499,#273500,#273501)); #51584=EDGE_LOOP('',(#273502,#273503,#273504,#273505)); #51585=EDGE_LOOP('',(#273506,#273507,#273508,#273509)); #51586=EDGE_LOOP('',(#273510,#273511,#273512,#273513)); #51587=EDGE_LOOP('',(#273514,#273515,#273516,#273517)); #51588=EDGE_LOOP('',(#273518,#273519,#273520,#273521)); #51589=EDGE_LOOP('',(#273522,#273523,#273524,#273525)); #51590=EDGE_LOOP('',(#273526,#273527,#273528,#273529)); #51591=EDGE_LOOP('',(#273530,#273531,#273532,#273533)); #51592=EDGE_LOOP('',(#273534,#273535,#273536,#273537)); #51593=EDGE_LOOP('',(#273538,#273539,#273540,#273541)); #51594=EDGE_LOOP('',(#273542,#273543,#273544,#273545)); #51595=EDGE_LOOP('',(#273546,#273547,#273548,#273549)); #51596=EDGE_LOOP('',(#273550,#273551,#273552,#273553)); #51597=EDGE_LOOP('',(#273554,#273555,#273556,#273557)); #51598=EDGE_LOOP('',(#273558,#273559,#273560,#273561)); #51599=EDGE_LOOP('',(#273562,#273563,#273564,#273565)); #51600=EDGE_LOOP('',(#273566,#273567,#273568,#273569)); #51601=EDGE_LOOP('',(#273570,#273571,#273572,#273573)); #51602=EDGE_LOOP('',(#273574,#273575,#273576,#273577)); #51603=EDGE_LOOP('',(#273578,#273579,#273580,#273581)); #51604=EDGE_LOOP('',(#273582,#273583,#273584,#273585)); #51605=EDGE_LOOP('',(#273586,#273587,#273588,#273589)); #51606=EDGE_LOOP('',(#273590,#273591,#273592,#273593)); #51607=EDGE_LOOP('',(#273594,#273595,#273596,#273597)); #51608=EDGE_LOOP('',(#273598,#273599,#273600,#273601)); #51609=EDGE_LOOP('',(#273602,#273603,#273604,#273605)); #51610=EDGE_LOOP('',(#273606,#273607,#273608,#273609)); #51611=EDGE_LOOP('',(#273610,#273611,#273612,#273613)); #51612=EDGE_LOOP('',(#273614,#273615,#273616,#273617)); #51613=EDGE_LOOP('',(#273618,#273619,#273620,#273621)); #51614=EDGE_LOOP('',(#273622,#273623,#273624,#273625)); #51615=EDGE_LOOP('',(#273626,#273627,#273628,#273629)); #51616=EDGE_LOOP('',(#273630,#273631,#273632,#273633)); #51617=EDGE_LOOP('',(#273634,#273635,#273636,#273637)); #51618=EDGE_LOOP('',(#273638,#273639,#273640,#273641)); #51619=EDGE_LOOP('',(#273642,#273643,#273644,#273645)); #51620=EDGE_LOOP('',(#273646,#273647,#273648,#273649)); #51621=EDGE_LOOP('',(#273650,#273651,#273652,#273653)); #51622=EDGE_LOOP('',(#273654,#273655,#273656,#273657)); #51623=EDGE_LOOP('',(#273658,#273659,#273660,#273661)); #51624=EDGE_LOOP('',(#273662,#273663,#273664,#273665)); #51625=EDGE_LOOP('',(#273666,#273667,#273668,#273669)); #51626=EDGE_LOOP('',(#273670,#273671,#273672,#273673)); #51627=EDGE_LOOP('',(#273674,#273675,#273676,#273677)); #51628=EDGE_LOOP('',(#273678,#273679,#273680,#273681)); #51629=EDGE_LOOP('',(#273682,#273683,#273684,#273685)); #51630=EDGE_LOOP('',(#273686,#273687,#273688,#273689)); #51631=EDGE_LOOP('',(#273690,#273691,#273692,#273693)); #51632=EDGE_LOOP('',(#273694,#273695,#273696,#273697)); #51633=EDGE_LOOP('',(#273698,#273699,#273700,#273701)); #51634=EDGE_LOOP('',(#273702,#273703,#273704,#273705)); #51635=EDGE_LOOP('',(#273706,#273707,#273708,#273709)); #51636=EDGE_LOOP('',(#273710,#273711,#273712,#273713)); #51637=EDGE_LOOP('',(#273714,#273715,#273716,#273717)); #51638=EDGE_LOOP('',(#273718,#273719,#273720,#273721)); #51639=EDGE_LOOP('',(#273722,#273723,#273724,#273725)); #51640=EDGE_LOOP('',(#273726,#273727,#273728,#273729)); #51641=EDGE_LOOP('',(#273730,#273731,#273732,#273733)); #51642=EDGE_LOOP('',(#273734,#273735,#273736,#273737)); #51643=EDGE_LOOP('',(#273738,#273739,#273740,#273741)); #51644=EDGE_LOOP('',(#273742,#273743,#273744,#273745)); #51645=EDGE_LOOP('',(#273746,#273747,#273748,#273749)); #51646=EDGE_LOOP('',(#273750,#273751,#273752,#273753)); #51647=EDGE_LOOP('',(#273754,#273755,#273756,#273757)); #51648=EDGE_LOOP('',(#273758,#273759,#273760,#273761)); #51649=EDGE_LOOP('',(#273762,#273763,#273764,#273765)); #51650=EDGE_LOOP('',(#273766,#273767,#273768,#273769)); #51651=EDGE_LOOP('',(#273770,#273771,#273772,#273773)); #51652=EDGE_LOOP('',(#273774,#273775,#273776,#273777)); #51653=EDGE_LOOP('',(#273778,#273779,#273780,#273781)); #51654=EDGE_LOOP('',(#273782,#273783,#273784,#273785)); #51655=EDGE_LOOP('',(#273786,#273787,#273788,#273789)); #51656=EDGE_LOOP('',(#273790,#273791,#273792,#273793)); #51657=EDGE_LOOP('',(#273794,#273795,#273796,#273797)); #51658=EDGE_LOOP('',(#273798,#273799,#273800,#273801)); #51659=EDGE_LOOP('',(#273802,#273803,#273804,#273805)); #51660=EDGE_LOOP('',(#273806,#273807,#273808,#273809)); #51661=EDGE_LOOP('',(#273810,#273811,#273812,#273813)); #51662=EDGE_LOOP('',(#273814,#273815,#273816,#273817)); #51663=EDGE_LOOP('',(#273818,#273819,#273820,#273821)); #51664=EDGE_LOOP('',(#273822,#273823,#273824,#273825)); #51665=EDGE_LOOP('',(#273826,#273827,#273828,#273829)); #51666=EDGE_LOOP('',(#273830,#273831,#273832,#273833)); #51667=EDGE_LOOP('',(#273834,#273835,#273836,#273837)); #51668=EDGE_LOOP('',(#273838,#273839,#273840,#273841)); #51669=EDGE_LOOP('',(#273842,#273843,#273844,#273845)); #51670=EDGE_LOOP('',(#273846,#273847,#273848,#273849)); #51671=EDGE_LOOP('',(#273850,#273851,#273852,#273853)); #51672=EDGE_LOOP('',(#273854,#273855,#273856,#273857)); #51673=EDGE_LOOP('',(#273858,#273859,#273860,#273861)); #51674=EDGE_LOOP('',(#273862,#273863,#273864,#273865)); #51675=EDGE_LOOP('',(#273866,#273867,#273868,#273869)); #51676=EDGE_LOOP('',(#273870,#273871,#273872,#273873)); #51677=EDGE_LOOP('',(#273874,#273875,#273876,#273877)); #51678=EDGE_LOOP('',(#273878,#273879,#273880,#273881)); #51679=EDGE_LOOP('',(#273882,#273883,#273884,#273885)); #51680=EDGE_LOOP('',(#273886,#273887,#273888,#273889)); #51681=EDGE_LOOP('',(#273890,#273891,#273892,#273893)); #51682=EDGE_LOOP('',(#273894,#273895,#273896,#273897)); #51683=EDGE_LOOP('',(#273898,#273899,#273900,#273901)); #51684=EDGE_LOOP('',(#273902,#273903,#273904,#273905)); #51685=EDGE_LOOP('',(#273906,#273907,#273908,#273909)); #51686=EDGE_LOOP('',(#273910,#273911,#273912,#273913)); #51687=EDGE_LOOP('',(#273914,#273915,#273916,#273917)); #51688=EDGE_LOOP('',(#273918,#273919,#273920,#273921)); #51689=EDGE_LOOP('',(#273922,#273923,#273924,#273925)); #51690=EDGE_LOOP('',(#273926,#273927,#273928,#273929)); #51691=EDGE_LOOP('',(#273930,#273931,#273932,#273933)); #51692=EDGE_LOOP('',(#273934,#273935,#273936,#273937)); #51693=EDGE_LOOP('',(#273938,#273939,#273940,#273941)); #51694=EDGE_LOOP('',(#273942,#273943,#273944,#273945)); #51695=EDGE_LOOP('',(#273946,#273947,#273948,#273949)); #51696=EDGE_LOOP('',(#273950,#273951,#273952,#273953)); #51697=EDGE_LOOP('',(#273954,#273955,#273956,#273957)); #51698=EDGE_LOOP('',(#273958,#273959,#273960,#273961)); #51699=EDGE_LOOP('',(#273962,#273963,#273964,#273965)); #51700=EDGE_LOOP('',(#273966,#273967,#273968,#273969)); #51701=EDGE_LOOP('',(#273970,#273971,#273972,#273973)); #51702=EDGE_LOOP('',(#273974,#273975,#273976,#273977)); #51703=EDGE_LOOP('',(#273978,#273979,#273980,#273981)); #51704=EDGE_LOOP('',(#273982,#273983,#273984,#273985)); #51705=EDGE_LOOP('',(#273986,#273987,#273988,#273989)); #51706=EDGE_LOOP('',(#273990,#273991,#273992,#273993)); #51707=EDGE_LOOP('',(#273994,#273995,#273996,#273997)); #51708=EDGE_LOOP('',(#273998,#273999,#274000,#274001)); #51709=EDGE_LOOP('',(#274002,#274003,#274004,#274005)); #51710=EDGE_LOOP('',(#274006,#274007,#274008,#274009)); #51711=EDGE_LOOP('',(#274010,#274011,#274012,#274013)); #51712=EDGE_LOOP('',(#274014,#274015,#274016,#274017)); #51713=EDGE_LOOP('',(#274018,#274019,#274020,#274021)); #51714=EDGE_LOOP('',(#274022,#274023,#274024,#274025)); #51715=EDGE_LOOP('',(#274026,#274027,#274028,#274029)); #51716=EDGE_LOOP('',(#274030,#274031,#274032,#274033)); #51717=EDGE_LOOP('',(#274034,#274035,#274036,#274037)); #51718=EDGE_LOOP('',(#274038,#274039,#274040,#274041)); #51719=EDGE_LOOP('',(#274042,#274043,#274044,#274045)); #51720=EDGE_LOOP('',(#274046,#274047,#274048,#274049)); #51721=EDGE_LOOP('',(#274050,#274051,#274052,#274053)); #51722=EDGE_LOOP('',(#274054,#274055,#274056,#274057)); #51723=EDGE_LOOP('',(#274058,#274059,#274060,#274061)); #51724=EDGE_LOOP('',(#274062,#274063,#274064,#274065)); #51725=EDGE_LOOP('',(#274066,#274067,#274068,#274069)); #51726=EDGE_LOOP('',(#274070,#274071,#274072,#274073)); #51727=EDGE_LOOP('',(#274074,#274075,#274076,#274077)); #51728=EDGE_LOOP('',(#274078,#274079,#274080,#274081)); #51729=EDGE_LOOP('',(#274082,#274083,#274084,#274085)); #51730=EDGE_LOOP('',(#274086,#274087,#274088,#274089)); #51731=EDGE_LOOP('',(#274090,#274091,#274092,#274093)); #51732=EDGE_LOOP('',(#274094,#274095,#274096,#274097)); #51733=EDGE_LOOP('',(#274098,#274099,#274100,#274101)); #51734=EDGE_LOOP('',(#274102,#274103,#274104,#274105)); #51735=EDGE_LOOP('',(#274106,#274107,#274108,#274109)); #51736=EDGE_LOOP('',(#274110,#274111,#274112,#274113)); #51737=EDGE_LOOP('',(#274114,#274115,#274116,#274117)); #51738=EDGE_LOOP('',(#274118,#274119,#274120,#274121)); #51739=EDGE_LOOP('',(#274122,#274123,#274124,#274125)); #51740=EDGE_LOOP('',(#274126,#274127,#274128,#274129)); #51741=EDGE_LOOP('',(#274130,#274131,#274132,#274133)); #51742=EDGE_LOOP('',(#274134,#274135,#274136,#274137)); #51743=EDGE_LOOP('',(#274138,#274139,#274140,#274141)); #51744=EDGE_LOOP('',(#274142,#274143,#274144,#274145)); #51745=EDGE_LOOP('',(#274146,#274147,#274148,#274149)); #51746=EDGE_LOOP('',(#274150,#274151,#274152,#274153)); #51747=EDGE_LOOP('',(#274154,#274155,#274156,#274157)); #51748=EDGE_LOOP('',(#274158,#274159,#274160,#274161)); #51749=EDGE_LOOP('',(#274162,#274163,#274164,#274165)); #51750=EDGE_LOOP('',(#274166,#274167,#274168,#274169)); #51751=EDGE_LOOP('',(#274170,#274171,#274172,#274173)); #51752=EDGE_LOOP('',(#274174,#274175,#274176,#274177)); #51753=EDGE_LOOP('',(#274178,#274179,#274180,#274181)); #51754=EDGE_LOOP('',(#274182,#274183,#274184,#274185)); #51755=EDGE_LOOP('',(#274186,#274187,#274188,#274189)); #51756=EDGE_LOOP('',(#274190,#274191,#274192,#274193)); #51757=EDGE_LOOP('',(#274194,#274195,#274196,#274197)); #51758=EDGE_LOOP('',(#274198,#274199,#274200,#274201)); #51759=EDGE_LOOP('',(#274202,#274203,#274204,#274205)); #51760=EDGE_LOOP('',(#274206,#274207,#274208,#274209)); #51761=EDGE_LOOP('',(#274210,#274211,#274212,#274213)); #51762=EDGE_LOOP('',(#274214,#274215,#274216,#274217)); #51763=EDGE_LOOP('',(#274218,#274219,#274220,#274221)); #51764=EDGE_LOOP('',(#274222,#274223,#274224,#274225)); #51765=EDGE_LOOP('',(#274226,#274227,#274228,#274229)); #51766=EDGE_LOOP('',(#274230,#274231,#274232,#274233)); #51767=EDGE_LOOP('',(#274234,#274235,#274236,#274237)); #51768=EDGE_LOOP('',(#274238,#274239,#274240,#274241)); #51769=EDGE_LOOP('',(#274242,#274243,#274244,#274245)); #51770=EDGE_LOOP('',(#274246,#274247,#274248,#274249)); #51771=EDGE_LOOP('',(#274250,#274251,#274252,#274253)); #51772=EDGE_LOOP('',(#274254,#274255,#274256,#274257)); #51773=EDGE_LOOP('',(#274258,#274259,#274260,#274261)); #51774=EDGE_LOOP('',(#274262,#274263,#274264,#274265)); #51775=EDGE_LOOP('',(#274266,#274267,#274268,#274269)); #51776=EDGE_LOOP('',(#274270,#274271,#274272,#274273)); #51777=EDGE_LOOP('',(#274274,#274275,#274276,#274277)); #51778=EDGE_LOOP('',(#274278,#274279,#274280,#274281)); #51779=EDGE_LOOP('',(#274282,#274283,#274284,#274285)); #51780=EDGE_LOOP('',(#274286,#274287,#274288,#274289)); #51781=EDGE_LOOP('',(#274290,#274291,#274292,#274293)); #51782=EDGE_LOOP('',(#274294,#274295,#274296,#274297)); #51783=EDGE_LOOP('',(#274298,#274299,#274300,#274301)); #51784=EDGE_LOOP('',(#274302,#274303,#274304,#274305)); #51785=EDGE_LOOP('',(#274306,#274307,#274308,#274309)); #51786=EDGE_LOOP('',(#274310,#274311,#274312,#274313)); #51787=EDGE_LOOP('',(#274314,#274315,#274316,#274317)); #51788=EDGE_LOOP('',(#274318,#274319,#274320,#274321)); #51789=EDGE_LOOP('',(#274322,#274323,#274324,#274325)); #51790=EDGE_LOOP('',(#274326,#274327,#274328,#274329)); #51791=EDGE_LOOP('',(#274330,#274331,#274332,#274333)); #51792=EDGE_LOOP('',(#274334,#274335,#274336,#274337)); #51793=EDGE_LOOP('',(#274338,#274339,#274340,#274341)); #51794=EDGE_LOOP('',(#274342,#274343,#274344,#274345)); #51795=EDGE_LOOP('',(#274346,#274347,#274348,#274349)); #51796=EDGE_LOOP('',(#274350,#274351,#274352,#274353)); #51797=EDGE_LOOP('',(#274354,#274355,#274356,#274357)); #51798=EDGE_LOOP('',(#274358,#274359,#274360,#274361)); #51799=EDGE_LOOP('',(#274362,#274363,#274364,#274365)); #51800=EDGE_LOOP('',(#274366,#274367,#274368,#274369)); #51801=EDGE_LOOP('',(#274370,#274371,#274372,#274373)); #51802=EDGE_LOOP('',(#274374,#274375,#274376,#274377)); #51803=EDGE_LOOP('',(#274378,#274379,#274380,#274381)); #51804=EDGE_LOOP('',(#274382,#274383,#274384,#274385)); #51805=EDGE_LOOP('',(#274386,#274387,#274388,#274389)); #51806=EDGE_LOOP('',(#274390,#274391,#274392,#274393)); #51807=EDGE_LOOP('',(#274394,#274395,#274396,#274397)); #51808=EDGE_LOOP('',(#274398,#274399,#274400,#274401)); #51809=EDGE_LOOP('',(#274402,#274403,#274404,#274405)); #51810=EDGE_LOOP('',(#274406,#274407,#274408,#274409)); #51811=EDGE_LOOP('',(#274410,#274411,#274412,#274413)); #51812=EDGE_LOOP('',(#274414,#274415,#274416,#274417)); #51813=EDGE_LOOP('',(#274418,#274419,#274420,#274421)); #51814=EDGE_LOOP('',(#274422,#274423,#274424,#274425)); #51815=EDGE_LOOP('',(#274426,#274427,#274428,#274429)); #51816=EDGE_LOOP('',(#274430,#274431,#274432,#274433)); #51817=EDGE_LOOP('',(#274434,#274435,#274436,#274437)); #51818=EDGE_LOOP('',(#274438,#274439,#274440,#274441)); #51819=EDGE_LOOP('',(#274442,#274443,#274444,#274445)); #51820=EDGE_LOOP('',(#274446,#274447,#274448,#274449)); #51821=EDGE_LOOP('',(#274450,#274451,#274452,#274453)); #51822=EDGE_LOOP('',(#274454,#274455,#274456,#274457)); #51823=EDGE_LOOP('',(#274458,#274459,#274460,#274461)); #51824=EDGE_LOOP('',(#274462,#274463,#274464,#274465)); #51825=EDGE_LOOP('',(#274466,#274467,#274468,#274469)); #51826=EDGE_LOOP('',(#274470,#274471,#274472,#274473)); #51827=EDGE_LOOP('',(#274474,#274475,#274476,#274477)); #51828=EDGE_LOOP('',(#274478,#274479,#274480,#274481)); #51829=EDGE_LOOP('',(#274482,#274483,#274484,#274485)); #51830=EDGE_LOOP('',(#274486,#274487,#274488,#274489)); #51831=EDGE_LOOP('',(#274490,#274491,#274492,#274493)); #51832=EDGE_LOOP('',(#274494,#274495,#274496,#274497)); #51833=EDGE_LOOP('',(#274498,#274499,#274500,#274501)); #51834=EDGE_LOOP('',(#274502,#274503,#274504,#274505)); #51835=EDGE_LOOP('',(#274506,#274507,#274508,#274509)); #51836=EDGE_LOOP('',(#274510,#274511,#274512,#274513)); #51837=EDGE_LOOP('',(#274514,#274515,#274516,#274517)); #51838=EDGE_LOOP('',(#274518,#274519,#274520,#274521)); #51839=EDGE_LOOP('',(#274522,#274523,#274524,#274525)); #51840=EDGE_LOOP('',(#274526,#274527,#274528,#274529)); #51841=EDGE_LOOP('',(#274530,#274531,#274532,#274533)); #51842=EDGE_LOOP('',(#274534,#274535,#274536,#274537)); #51843=EDGE_LOOP('',(#274538,#274539,#274540,#274541)); #51844=EDGE_LOOP('',(#274542,#274543,#274544,#274545)); #51845=EDGE_LOOP('',(#274546,#274547,#274548,#274549)); #51846=EDGE_LOOP('',(#274550,#274551,#274552,#274553)); #51847=EDGE_LOOP('',(#274554,#274555,#274556,#274557)); #51848=EDGE_LOOP('',(#274558,#274559,#274560,#274561)); #51849=EDGE_LOOP('',(#274562,#274563,#274564,#274565)); #51850=EDGE_LOOP('',(#274566,#274567,#274568,#274569)); #51851=EDGE_LOOP('',(#274570,#274571,#274572,#274573)); #51852=EDGE_LOOP('',(#274574,#274575,#274576,#274577)); #51853=EDGE_LOOP('',(#274578,#274579,#274580,#274581)); #51854=EDGE_LOOP('',(#274582,#274583,#274584,#274585)); #51855=EDGE_LOOP('',(#274586,#274587,#274588,#274589)); #51856=EDGE_LOOP('',(#274590,#274591,#274592,#274593)); #51857=EDGE_LOOP('',(#274594,#274595,#274596,#274597)); #51858=EDGE_LOOP('',(#274598,#274599,#274600,#274601)); #51859=EDGE_LOOP('',(#274602,#274603,#274604,#274605)); #51860=EDGE_LOOP('',(#274606,#274607,#274608,#274609)); #51861=EDGE_LOOP('',(#274610,#274611,#274612,#274613)); #51862=EDGE_LOOP('',(#274614,#274615,#274616,#274617)); #51863=EDGE_LOOP('',(#274618,#274619,#274620,#274621)); #51864=EDGE_LOOP('',(#274622,#274623,#274624,#274625)); #51865=EDGE_LOOP('',(#274626,#274627,#274628,#274629)); #51866=EDGE_LOOP('',(#274630,#274631,#274632,#274633)); #51867=EDGE_LOOP('',(#274634,#274635,#274636,#274637)); #51868=EDGE_LOOP('',(#274638,#274639,#274640,#274641)); #51869=EDGE_LOOP('',(#274642,#274643,#274644,#274645)); #51870=EDGE_LOOP('',(#274646,#274647,#274648,#274649)); #51871=EDGE_LOOP('',(#274650,#274651,#274652,#274653)); #51872=EDGE_LOOP('',(#274654,#274655,#274656,#274657)); #51873=EDGE_LOOP('',(#274658,#274659,#274660,#274661)); #51874=EDGE_LOOP('',(#274662,#274663,#274664,#274665)); #51875=EDGE_LOOP('',(#274666,#274667,#274668,#274669)); #51876=EDGE_LOOP('',(#274670,#274671,#274672,#274673)); #51877=EDGE_LOOP('',(#274674,#274675,#274676,#274677)); #51878=EDGE_LOOP('',(#274678,#274679,#274680,#274681)); #51879=EDGE_LOOP('',(#274682,#274683,#274684,#274685)); #51880=EDGE_LOOP('',(#274686,#274687,#274688,#274689)); #51881=EDGE_LOOP('',(#274690,#274691,#274692,#274693)); #51882=EDGE_LOOP('',(#274694,#274695,#274696,#274697)); #51883=EDGE_LOOP('',(#274698,#274699,#274700,#274701)); #51884=EDGE_LOOP('',(#274702,#274703,#274704,#274705)); #51885=EDGE_LOOP('',(#274706,#274707,#274708,#274709)); #51886=EDGE_LOOP('',(#274710,#274711,#274712,#274713)); #51887=EDGE_LOOP('',(#274714,#274715,#274716,#274717)); #51888=EDGE_LOOP('',(#274718,#274719,#274720,#274721)); #51889=EDGE_LOOP('',(#274722,#274723,#274724,#274725)); #51890=EDGE_LOOP('',(#274726,#274727,#274728,#274729)); #51891=EDGE_LOOP('',(#274730,#274731,#274732,#274733)); #51892=EDGE_LOOP('',(#274734,#274735,#274736,#274737)); #51893=EDGE_LOOP('',(#274738,#274739,#274740,#274741)); #51894=EDGE_LOOP('',(#274742,#274743,#274744,#274745)); #51895=EDGE_LOOP('',(#274746,#274747,#274748,#274749)); #51896=EDGE_LOOP('',(#274750,#274751,#274752,#274753)); #51897=EDGE_LOOP('',(#274754,#274755,#274756,#274757)); #51898=EDGE_LOOP('',(#274758,#274759,#274760,#274761)); #51899=EDGE_LOOP('',(#274762,#274763,#274764,#274765)); #51900=EDGE_LOOP('',(#274766,#274767,#274768,#274769)); #51901=EDGE_LOOP('',(#274770,#274771,#274772,#274773)); #51902=EDGE_LOOP('',(#274774,#274775,#274776,#274777)); #51903=EDGE_LOOP('',(#274778,#274779,#274780,#274781)); #51904=EDGE_LOOP('',(#274782,#274783,#274784,#274785)); #51905=EDGE_LOOP('',(#274786,#274787,#274788,#274789)); #51906=EDGE_LOOP('',(#274790,#274791,#274792,#274793)); #51907=EDGE_LOOP('',(#274794,#274795,#274796,#274797)); #51908=EDGE_LOOP('',(#274798,#274799,#274800,#274801)); #51909=EDGE_LOOP('',(#274802,#274803,#274804,#274805)); #51910=EDGE_LOOP('',(#274806,#274807,#274808,#274809)); #51911=EDGE_LOOP('',(#274810,#274811,#274812,#274813)); #51912=EDGE_LOOP('',(#274814,#274815,#274816,#274817)); #51913=EDGE_LOOP('',(#274818,#274819,#274820,#274821)); #51914=EDGE_LOOP('',(#274822,#274823,#274824,#274825)); #51915=EDGE_LOOP('',(#274826,#274827,#274828,#274829)); #51916=EDGE_LOOP('',(#274830,#274831,#274832,#274833)); #51917=EDGE_LOOP('',(#274834,#274835,#274836,#274837)); #51918=EDGE_LOOP('',(#274838,#274839,#274840,#274841)); #51919=EDGE_LOOP('',(#274842,#274843,#274844,#274845)); #51920=EDGE_LOOP('',(#274846,#274847,#274848,#274849)); #51921=EDGE_LOOP('',(#274850,#274851,#274852,#274853)); #51922=EDGE_LOOP('',(#274854,#274855,#274856,#274857)); #51923=EDGE_LOOP('',(#274858,#274859,#274860,#274861)); #51924=EDGE_LOOP('',(#274862,#274863,#274864,#274865)); #51925=EDGE_LOOP('',(#274866,#274867,#274868,#274869)); #51926=EDGE_LOOP('',(#274870,#274871,#274872,#274873)); #51927=EDGE_LOOP('',(#274874,#274875,#274876,#274877)); #51928=EDGE_LOOP('',(#274878,#274879,#274880,#274881)); #51929=EDGE_LOOP('',(#274882,#274883,#274884,#274885)); #51930=EDGE_LOOP('',(#274886,#274887,#274888,#274889)); #51931=EDGE_LOOP('',(#274890,#274891,#274892,#274893)); #51932=EDGE_LOOP('',(#274894,#274895,#274896,#274897)); #51933=EDGE_LOOP('',(#274898,#274899,#274900,#274901)); #51934=EDGE_LOOP('',(#274902,#274903,#274904,#274905)); #51935=EDGE_LOOP('',(#274906,#274907,#274908,#274909)); #51936=EDGE_LOOP('',(#274910,#274911,#274912,#274913)); #51937=EDGE_LOOP('',(#274914,#274915,#274916,#274917)); #51938=EDGE_LOOP('',(#274918,#274919,#274920,#274921)); #51939=EDGE_LOOP('',(#274922,#274923,#274924,#274925)); #51940=EDGE_LOOP('',(#274926,#274927,#274928,#274929)); #51941=EDGE_LOOP('',(#274930,#274931,#274932,#274933)); #51942=EDGE_LOOP('',(#274934,#274935,#274936,#274937)); #51943=EDGE_LOOP('',(#274938,#274939,#274940,#274941)); #51944=EDGE_LOOP('',(#274942,#274943,#274944,#274945)); #51945=EDGE_LOOP('',(#274946,#274947,#274948,#274949)); #51946=EDGE_LOOP('',(#274950,#274951,#274952,#274953)); #51947=EDGE_LOOP('',(#274954,#274955,#274956,#274957)); #51948=EDGE_LOOP('',(#274958,#274959,#274960,#274961)); #51949=EDGE_LOOP('',(#274962,#274963,#274964,#274965)); #51950=EDGE_LOOP('',(#274966,#274967,#274968,#274969)); #51951=EDGE_LOOP('',(#274970,#274971,#274972,#274973)); #51952=EDGE_LOOP('',(#274974,#274975,#274976,#274977)); #51953=EDGE_LOOP('',(#274978,#274979,#274980,#274981)); #51954=EDGE_LOOP('',(#274982,#274983,#274984,#274985)); #51955=EDGE_LOOP('',(#274986,#274987,#274988,#274989)); #51956=EDGE_LOOP('',(#274990,#274991,#274992,#274993)); #51957=EDGE_LOOP('',(#274994,#274995,#274996,#274997)); #51958=EDGE_LOOP('',(#274998,#274999,#275000,#275001)); #51959=EDGE_LOOP('',(#275002,#275003,#275004,#275005)); #51960=EDGE_LOOP('',(#275006,#275007,#275008,#275009)); #51961=EDGE_LOOP('',(#275010,#275011,#275012,#275013)); #51962=EDGE_LOOP('',(#275014,#275015,#275016,#275017)); #51963=EDGE_LOOP('',(#275018,#275019,#275020,#275021)); #51964=EDGE_LOOP('',(#275022,#275023,#275024,#275025)); #51965=EDGE_LOOP('',(#275026,#275027,#275028,#275029)); #51966=EDGE_LOOP('',(#275030,#275031,#275032,#275033)); #51967=EDGE_LOOP('',(#275034,#275035,#275036,#275037)); #51968=EDGE_LOOP('',(#275038,#275039,#275040,#275041)); #51969=EDGE_LOOP('',(#275042,#275043,#275044,#275045)); #51970=EDGE_LOOP('',(#275046,#275047,#275048,#275049)); #51971=EDGE_LOOP('',(#275050,#275051,#275052,#275053)); #51972=EDGE_LOOP('',(#275054,#275055,#275056,#275057)); #51973=EDGE_LOOP('',(#275058,#275059,#275060,#275061)); #51974=EDGE_LOOP('',(#275062,#275063,#275064,#275065)); #51975=EDGE_LOOP('',(#275066,#275067,#275068,#275069)); #51976=EDGE_LOOP('',(#275070,#275071,#275072,#275073)); #51977=EDGE_LOOP('',(#275074,#275075,#275076,#275077)); #51978=EDGE_LOOP('',(#275078,#275079,#275080,#275081)); #51979=EDGE_LOOP('',(#275082,#275083,#275084,#275085)); #51980=EDGE_LOOP('',(#275086,#275087,#275088,#275089)); #51981=EDGE_LOOP('',(#275090,#275091,#275092,#275093)); #51982=EDGE_LOOP('',(#275094,#275095,#275096,#275097)); #51983=EDGE_LOOP('',(#275098,#275099,#275100,#275101)); #51984=EDGE_LOOP('',(#275102,#275103,#275104,#275105)); #51985=EDGE_LOOP('',(#275106,#275107,#275108,#275109)); #51986=EDGE_LOOP('',(#275110,#275111,#275112,#275113)); #51987=EDGE_LOOP('',(#275114,#275115,#275116,#275117)); #51988=EDGE_LOOP('',(#275118,#275119,#275120,#275121)); #51989=EDGE_LOOP('',(#275122,#275123,#275124,#275125)); #51990=EDGE_LOOP('',(#275126,#275127,#275128,#275129)); #51991=EDGE_LOOP('',(#275130,#275131,#275132,#275133)); #51992=EDGE_LOOP('',(#275134,#275135,#275136,#275137)); #51993=EDGE_LOOP('',(#275138,#275139,#275140,#275141)); #51994=EDGE_LOOP('',(#275142,#275143,#275144,#275145)); #51995=EDGE_LOOP('',(#275146,#275147,#275148,#275149)); #51996=EDGE_LOOP('',(#275150,#275151,#275152,#275153)); #51997=EDGE_LOOP('',(#275154,#275155,#275156,#275157)); #51998=EDGE_LOOP('',(#275158,#275159,#275160,#275161)); #51999=EDGE_LOOP('',(#275162,#275163,#275164,#275165)); #52000=EDGE_LOOP('',(#275166,#275167,#275168,#275169)); #52001=EDGE_LOOP('',(#275170,#275171,#275172,#275173)); #52002=EDGE_LOOP('',(#275174,#275175,#275176,#275177)); #52003=EDGE_LOOP('',(#275178,#275179,#275180,#275181)); #52004=EDGE_LOOP('',(#275182,#275183,#275184,#275185)); #52005=EDGE_LOOP('',(#275186,#275187,#275188,#275189)); #52006=EDGE_LOOP('',(#275190,#275191,#275192,#275193)); #52007=EDGE_LOOP('',(#275194,#275195,#275196,#275197)); #52008=EDGE_LOOP('',(#275198,#275199,#275200,#275201)); #52009=EDGE_LOOP('',(#275202,#275203,#275204,#275205)); #52010=EDGE_LOOP('',(#275206,#275207,#275208,#275209)); #52011=EDGE_LOOP('',(#275210,#275211,#275212,#275213)); #52012=EDGE_LOOP('',(#275214,#275215,#275216,#275217)); #52013=EDGE_LOOP('',(#275218,#275219,#275220,#275221)); #52014=EDGE_LOOP('',(#275222,#275223,#275224,#275225)); #52015=EDGE_LOOP('',(#275226,#275227,#275228,#275229)); #52016=EDGE_LOOP('',(#275230,#275231,#275232,#275233)); #52017=EDGE_LOOP('',(#275234,#275235,#275236,#275237)); #52018=EDGE_LOOP('',(#275238,#275239,#275240,#275241)); #52019=EDGE_LOOP('',(#275242,#275243,#275244,#275245)); #52020=EDGE_LOOP('',(#275246,#275247,#275248,#275249)); #52021=EDGE_LOOP('',(#275250,#275251,#275252,#275253)); #52022=EDGE_LOOP('',(#275254,#275255,#275256,#275257)); #52023=EDGE_LOOP('',(#275258,#275259,#275260,#275261)); #52024=EDGE_LOOP('',(#275262,#275263,#275264,#275265)); #52025=EDGE_LOOP('',(#275266,#275267,#275268,#275269)); #52026=EDGE_LOOP('',(#275270,#275271,#275272,#275273)); #52027=EDGE_LOOP('',(#275274,#275275,#275276,#275277)); #52028=EDGE_LOOP('',(#275278,#275279,#275280,#275281)); #52029=EDGE_LOOP('',(#275282,#275283,#275284,#275285)); #52030=EDGE_LOOP('',(#275286,#275287,#275288,#275289)); #52031=EDGE_LOOP('',(#275290,#275291,#275292,#275293)); #52032=EDGE_LOOP('',(#275294,#275295,#275296,#275297)); #52033=EDGE_LOOP('',(#275298,#275299,#275300,#275301)); #52034=EDGE_LOOP('',(#275302,#275303,#275304,#275305)); #52035=EDGE_LOOP('',(#275306,#275307,#275308,#275309)); #52036=EDGE_LOOP('',(#275310,#275311,#275312,#275313)); #52037=EDGE_LOOP('',(#275314,#275315,#275316,#275317)); #52038=EDGE_LOOP('',(#275318,#275319,#275320,#275321)); #52039=EDGE_LOOP('',(#275322,#275323,#275324,#275325)); #52040=EDGE_LOOP('',(#275326,#275327,#275328,#275329)); #52041=EDGE_LOOP('',(#275330,#275331,#275332,#275333)); #52042=EDGE_LOOP('',(#275334,#275335,#275336,#275337)); #52043=EDGE_LOOP('',(#275338,#275339,#275340,#275341)); #52044=EDGE_LOOP('',(#275342,#275343,#275344,#275345)); #52045=EDGE_LOOP('',(#275346,#275347,#275348,#275349)); #52046=EDGE_LOOP('',(#275350,#275351,#275352,#275353)); #52047=EDGE_LOOP('',(#275354,#275355,#275356,#275357)); #52048=EDGE_LOOP('',(#275358,#275359,#275360,#275361)); #52049=EDGE_LOOP('',(#275362,#275363,#275364,#275365)); #52050=EDGE_LOOP('',(#275366,#275367,#275368,#275369)); #52051=EDGE_LOOP('',(#275370,#275371,#275372,#275373)); #52052=EDGE_LOOP('',(#275374,#275375,#275376,#275377)); #52053=EDGE_LOOP('',(#275378,#275379,#275380,#275381)); #52054=EDGE_LOOP('',(#275382,#275383,#275384,#275385)); #52055=EDGE_LOOP('',(#275386,#275387,#275388,#275389)); #52056=EDGE_LOOP('',(#275390,#275391,#275392,#275393)); #52057=EDGE_LOOP('',(#275394,#275395,#275396,#275397)); #52058=EDGE_LOOP('',(#275398,#275399,#275400,#275401)); #52059=EDGE_LOOP('',(#275402,#275403,#275404,#275405)); #52060=EDGE_LOOP('',(#275406,#275407,#275408,#275409)); #52061=EDGE_LOOP('',(#275410,#275411,#275412,#275413)); #52062=EDGE_LOOP('',(#275414,#275415,#275416,#275417)); #52063=EDGE_LOOP('',(#275418,#275419,#275420,#275421)); #52064=EDGE_LOOP('',(#275422,#275423,#275424,#275425)); #52065=EDGE_LOOP('',(#275426,#275427,#275428,#275429)); #52066=EDGE_LOOP('',(#275430,#275431,#275432,#275433)); #52067=EDGE_LOOP('',(#275434,#275435,#275436,#275437)); #52068=EDGE_LOOP('',(#275438,#275439,#275440,#275441)); #52069=EDGE_LOOP('',(#275442,#275443,#275444,#275445)); #52070=EDGE_LOOP('',(#275446,#275447,#275448,#275449)); #52071=EDGE_LOOP('',(#275450,#275451,#275452,#275453)); #52072=EDGE_LOOP('',(#275454,#275455,#275456,#275457)); #52073=EDGE_LOOP('',(#275458,#275459,#275460,#275461)); #52074=EDGE_LOOP('',(#275462,#275463,#275464,#275465)); #52075=EDGE_LOOP('',(#275466,#275467,#275468,#275469)); #52076=EDGE_LOOP('',(#275470,#275471,#275472,#275473)); #52077=EDGE_LOOP('',(#275474,#275475,#275476,#275477)); #52078=EDGE_LOOP('',(#275478,#275479,#275480,#275481)); #52079=EDGE_LOOP('',(#275482,#275483,#275484,#275485)); #52080=EDGE_LOOP('',(#275486,#275487,#275488,#275489)); #52081=EDGE_LOOP('',(#275490,#275491,#275492,#275493)); #52082=EDGE_LOOP('',(#275494,#275495,#275496,#275497)); #52083=EDGE_LOOP('',(#275498,#275499,#275500,#275501)); #52084=EDGE_LOOP('',(#275502,#275503,#275504,#275505)); #52085=EDGE_LOOP('',(#275506,#275507,#275508,#275509)); #52086=EDGE_LOOP('',(#275510,#275511,#275512,#275513)); #52087=EDGE_LOOP('',(#275514,#275515,#275516,#275517)); #52088=EDGE_LOOP('',(#275518,#275519,#275520,#275521)); #52089=EDGE_LOOP('',(#275522,#275523,#275524,#275525)); #52090=EDGE_LOOP('',(#275526,#275527,#275528,#275529)); #52091=EDGE_LOOP('',(#275530,#275531,#275532,#275533)); #52092=EDGE_LOOP('',(#275534,#275535,#275536,#275537)); #52093=EDGE_LOOP('',(#275538,#275539,#275540,#275541)); #52094=EDGE_LOOP('',(#275542,#275543,#275544,#275545)); #52095=EDGE_LOOP('',(#275546,#275547,#275548,#275549)); #52096=EDGE_LOOP('',(#275550,#275551,#275552,#275553)); #52097=EDGE_LOOP('',(#275554,#275555,#275556,#275557)); #52098=EDGE_LOOP('',(#275558,#275559,#275560,#275561)); #52099=EDGE_LOOP('',(#275562,#275563,#275564,#275565)); #52100=EDGE_LOOP('',(#275566,#275567,#275568,#275569)); #52101=EDGE_LOOP('',(#275570,#275571,#275572,#275573)); #52102=EDGE_LOOP('',(#275574,#275575,#275576,#275577)); #52103=EDGE_LOOP('',(#275578,#275579,#275580,#275581)); #52104=EDGE_LOOP('',(#275582,#275583,#275584,#275585)); #52105=EDGE_LOOP('',(#275586,#275587,#275588,#275589)); #52106=EDGE_LOOP('',(#275590,#275591,#275592,#275593)); #52107=EDGE_LOOP('',(#275594,#275595,#275596,#275597)); #52108=EDGE_LOOP('',(#275598,#275599,#275600,#275601)); #52109=EDGE_LOOP('',(#275602,#275603,#275604,#275605)); #52110=EDGE_LOOP('',(#275606,#275607,#275608,#275609)); #52111=EDGE_LOOP('',(#275610,#275611,#275612,#275613)); #52112=EDGE_LOOP('',(#275614,#275615,#275616,#275617)); #52113=EDGE_LOOP('',(#275618,#275619,#275620,#275621)); #52114=EDGE_LOOP('',(#275622,#275623,#275624,#275625)); #52115=EDGE_LOOP('',(#275626,#275627,#275628,#275629)); #52116=EDGE_LOOP('',(#275630,#275631,#275632,#275633)); #52117=EDGE_LOOP('',(#275634,#275635,#275636,#275637)); #52118=EDGE_LOOP('',(#275638,#275639,#275640,#275641)); #52119=EDGE_LOOP('',(#275642,#275643,#275644,#275645)); #52120=EDGE_LOOP('',(#275646,#275647,#275648,#275649)); #52121=EDGE_LOOP('',(#275650,#275651,#275652,#275653)); #52122=EDGE_LOOP('',(#275654,#275655,#275656,#275657)); #52123=EDGE_LOOP('',(#275658,#275659,#275660,#275661)); #52124=EDGE_LOOP('',(#275662,#275663,#275664,#275665)); #52125=EDGE_LOOP('',(#275666,#275667,#275668,#275669)); #52126=EDGE_LOOP('',(#275670,#275671,#275672,#275673)); #52127=EDGE_LOOP('',(#275674,#275675,#275676,#275677)); #52128=EDGE_LOOP('',(#275678,#275679,#275680,#275681)); #52129=EDGE_LOOP('',(#275682,#275683,#275684,#275685)); #52130=EDGE_LOOP('',(#275686,#275687,#275688,#275689)); #52131=EDGE_LOOP('',(#275690,#275691,#275692,#275693)); #52132=EDGE_LOOP('',(#275694,#275695,#275696,#275697)); #52133=EDGE_LOOP('',(#275698,#275699,#275700,#275701)); #52134=EDGE_LOOP('',(#275702,#275703,#275704,#275705)); #52135=EDGE_LOOP('',(#275706,#275707,#275708,#275709)); #52136=EDGE_LOOP('',(#275710,#275711,#275712,#275713)); #52137=EDGE_LOOP('',(#275714,#275715,#275716,#275717)); #52138=EDGE_LOOP('',(#275718,#275719,#275720,#275721)); #52139=EDGE_LOOP('',(#275722,#275723,#275724,#275725)); #52140=EDGE_LOOP('',(#275726,#275727,#275728,#275729)); #52141=EDGE_LOOP('',(#275730,#275731,#275732,#275733)); #52142=EDGE_LOOP('',(#275734,#275735,#275736,#275737)); #52143=EDGE_LOOP('',(#275738,#275739,#275740,#275741)); #52144=EDGE_LOOP('',(#275742,#275743,#275744,#275745)); #52145=EDGE_LOOP('',(#275746,#275747,#275748,#275749)); #52146=EDGE_LOOP('',(#275750,#275751,#275752,#275753)); #52147=EDGE_LOOP('',(#275754,#275755,#275756,#275757)); #52148=EDGE_LOOP('',(#275758,#275759,#275760,#275761)); #52149=EDGE_LOOP('',(#275762,#275763,#275764,#275765)); #52150=EDGE_LOOP('',(#275766,#275767,#275768,#275769)); #52151=EDGE_LOOP('',(#275770,#275771,#275772,#275773)); #52152=EDGE_LOOP('',(#275774,#275775,#275776,#275777)); #52153=EDGE_LOOP('',(#275778,#275779,#275780,#275781)); #52154=EDGE_LOOP('',(#275782,#275783,#275784,#275785)); #52155=EDGE_LOOP('',(#275786,#275787,#275788,#275789)); #52156=EDGE_LOOP('',(#275790,#275791,#275792,#275793)); #52157=EDGE_LOOP('',(#275794,#275795,#275796,#275797)); #52158=EDGE_LOOP('',(#275798,#275799,#275800,#275801)); #52159=EDGE_LOOP('',(#275802,#275803,#275804,#275805)); #52160=EDGE_LOOP('',(#275806,#275807,#275808,#275809)); #52161=EDGE_LOOP('',(#275810,#275811,#275812,#275813)); #52162=EDGE_LOOP('',(#275814,#275815,#275816,#275817)); #52163=EDGE_LOOP('',(#275818,#275819,#275820,#275821)); #52164=EDGE_LOOP('',(#275822,#275823,#275824,#275825)); #52165=EDGE_LOOP('',(#275826,#275827,#275828,#275829)); #52166=EDGE_LOOP('',(#275830,#275831,#275832,#275833)); #52167=EDGE_LOOP('',(#275834,#275835,#275836,#275837)); #52168=EDGE_LOOP('',(#275838,#275839,#275840,#275841)); #52169=EDGE_LOOP('',(#275842,#275843,#275844,#275845)); #52170=EDGE_LOOP('',(#275846,#275847,#275848,#275849)); #52171=EDGE_LOOP('',(#275850,#275851,#275852,#275853)); #52172=EDGE_LOOP('',(#275854,#275855,#275856,#275857)); #52173=EDGE_LOOP('',(#275858,#275859,#275860,#275861)); #52174=EDGE_LOOP('',(#275862,#275863,#275864,#275865)); #52175=EDGE_LOOP('',(#275866,#275867,#275868,#275869)); #52176=EDGE_LOOP('',(#275870,#275871,#275872,#275873)); #52177=EDGE_LOOP('',(#275874,#275875,#275876,#275877)); #52178=EDGE_LOOP('',(#275878,#275879,#275880,#275881)); #52179=EDGE_LOOP('',(#275882,#275883,#275884,#275885)); #52180=EDGE_LOOP('',(#275886,#275887,#275888,#275889)); #52181=EDGE_LOOP('',(#275890,#275891,#275892,#275893)); #52182=EDGE_LOOP('',(#275894,#275895,#275896,#275897)); #52183=EDGE_LOOP('',(#275898,#275899,#275900,#275901)); #52184=EDGE_LOOP('',(#275902,#275903,#275904,#275905)); #52185=EDGE_LOOP('',(#275906,#275907,#275908,#275909)); #52186=EDGE_LOOP('',(#275910,#275911,#275912,#275913)); #52187=EDGE_LOOP('',(#275914,#275915,#275916,#275917)); #52188=EDGE_LOOP('',(#275918,#275919,#275920,#275921)); #52189=EDGE_LOOP('',(#275922,#275923,#275924,#275925)); #52190=EDGE_LOOP('',(#275926,#275927,#275928,#275929)); #52191=EDGE_LOOP('',(#275930,#275931,#275932,#275933)); #52192=EDGE_LOOP('',(#275934,#275935,#275936,#275937)); #52193=EDGE_LOOP('',(#275938,#275939,#275940,#275941)); #52194=EDGE_LOOP('',(#275942,#275943,#275944,#275945)); #52195=EDGE_LOOP('',(#275946,#275947,#275948,#275949)); #52196=EDGE_LOOP('',(#275950,#275951,#275952,#275953)); #52197=EDGE_LOOP('',(#275954,#275955,#275956,#275957)); #52198=EDGE_LOOP('',(#275958,#275959,#275960,#275961)); #52199=EDGE_LOOP('',(#275962,#275963,#275964,#275965)); #52200=EDGE_LOOP('',(#275966,#275967,#275968,#275969)); #52201=EDGE_LOOP('',(#275970,#275971,#275972,#275973)); #52202=EDGE_LOOP('',(#275974,#275975,#275976,#275977)); #52203=EDGE_LOOP('',(#275978,#275979,#275980,#275981)); #52204=EDGE_LOOP('',(#275982,#275983,#275984,#275985)); #52205=EDGE_LOOP('',(#275986,#275987,#275988,#275989)); #52206=EDGE_LOOP('',(#275990,#275991,#275992,#275993)); #52207=EDGE_LOOP('',(#275994,#275995,#275996,#275997)); #52208=EDGE_LOOP('',(#275998,#275999,#276000,#276001)); #52209=EDGE_LOOP('',(#276002,#276003,#276004,#276005)); #52210=EDGE_LOOP('',(#276006,#276007,#276008,#276009)); #52211=EDGE_LOOP('',(#276010,#276011,#276012,#276013)); #52212=EDGE_LOOP('',(#276014,#276015,#276016,#276017)); #52213=EDGE_LOOP('',(#276018,#276019,#276020,#276021)); #52214=EDGE_LOOP('',(#276022,#276023,#276024,#276025)); #52215=EDGE_LOOP('',(#276026,#276027,#276028,#276029)); #52216=EDGE_LOOP('',(#276030,#276031,#276032,#276033)); #52217=EDGE_LOOP('',(#276034,#276035,#276036,#276037)); #52218=EDGE_LOOP('',(#276038,#276039,#276040,#276041)); #52219=EDGE_LOOP('',(#276042,#276043,#276044,#276045)); #52220=EDGE_LOOP('',(#276046,#276047,#276048,#276049)); #52221=EDGE_LOOP('',(#276050,#276051,#276052,#276053)); #52222=EDGE_LOOP('',(#276054,#276055,#276056,#276057)); #52223=EDGE_LOOP('',(#276058,#276059,#276060,#276061)); #52224=EDGE_LOOP('',(#276062,#276063,#276064,#276065)); #52225=EDGE_LOOP('',(#276066,#276067,#276068,#276069)); #52226=EDGE_LOOP('',(#276070,#276071,#276072,#276073)); #52227=EDGE_LOOP('',(#276074,#276075,#276076,#276077)); #52228=EDGE_LOOP('',(#276078,#276079,#276080,#276081)); #52229=EDGE_LOOP('',(#276082,#276083,#276084,#276085)); #52230=EDGE_LOOP('',(#276086,#276087,#276088,#276089)); #52231=EDGE_LOOP('',(#276090,#276091,#276092,#276093)); #52232=EDGE_LOOP('',(#276094,#276095,#276096,#276097)); #52233=EDGE_LOOP('',(#276098,#276099,#276100,#276101)); #52234=EDGE_LOOP('',(#276102,#276103,#276104,#276105)); #52235=EDGE_LOOP('',(#276106,#276107,#276108,#276109)); #52236=EDGE_LOOP('',(#276110,#276111,#276112,#276113)); #52237=EDGE_LOOP('',(#276114,#276115,#276116,#276117)); #52238=EDGE_LOOP('',(#276118,#276119,#276120,#276121)); #52239=EDGE_LOOP('',(#276122,#276123,#276124,#276125)); #52240=EDGE_LOOP('',(#276126,#276127,#276128,#276129)); #52241=EDGE_LOOP('',(#276130,#276131,#276132,#276133)); #52242=EDGE_LOOP('',(#276134,#276135,#276136,#276137)); #52243=EDGE_LOOP('',(#276138,#276139,#276140,#276141)); #52244=EDGE_LOOP('',(#276142,#276143,#276144,#276145)); #52245=EDGE_LOOP('',(#276146,#276147,#276148,#276149)); #52246=EDGE_LOOP('',(#276150,#276151,#276152,#276153)); #52247=EDGE_LOOP('',(#276154,#276155,#276156,#276157)); #52248=EDGE_LOOP('',(#276158,#276159,#276160,#276161)); #52249=EDGE_LOOP('',(#276162,#276163,#276164,#276165)); #52250=EDGE_LOOP('',(#276166,#276167,#276168,#276169)); #52251=EDGE_LOOP('',(#276170,#276171,#276172,#276173)); #52252=EDGE_LOOP('',(#276174,#276175,#276176,#276177)); #52253=EDGE_LOOP('',(#276178,#276179,#276180,#276181)); #52254=EDGE_LOOP('',(#276182,#276183,#276184,#276185)); #52255=EDGE_LOOP('',(#276186,#276187,#276188,#276189)); #52256=EDGE_LOOP('',(#276190,#276191,#276192,#276193)); #52257=EDGE_LOOP('',(#276194,#276195,#276196,#276197)); #52258=EDGE_LOOP('',(#276198,#276199,#276200,#276201)); #52259=EDGE_LOOP('',(#276202,#276203,#276204,#276205)); #52260=EDGE_LOOP('',(#276206,#276207,#276208,#276209)); #52261=EDGE_LOOP('',(#276210,#276211,#276212,#276213)); #52262=EDGE_LOOP('',(#276214,#276215,#276216,#276217)); #52263=EDGE_LOOP('',(#276218,#276219,#276220,#276221)); #52264=EDGE_LOOP('',(#276222,#276223,#276224,#276225)); #52265=EDGE_LOOP('',(#276226,#276227,#276228,#276229)); #52266=EDGE_LOOP('',(#276230,#276231,#276232,#276233)); #52267=EDGE_LOOP('',(#276234,#276235,#276236,#276237)); #52268=EDGE_LOOP('',(#276238,#276239,#276240,#276241)); #52269=EDGE_LOOP('',(#276242,#276243,#276244,#276245)); #52270=EDGE_LOOP('',(#276246,#276247,#276248,#276249)); #52271=EDGE_LOOP('',(#276250,#276251,#276252,#276253)); #52272=EDGE_LOOP('',(#276254,#276255,#276256,#276257)); #52273=EDGE_LOOP('',(#276258,#276259,#276260,#276261)); #52274=EDGE_LOOP('',(#276262,#276263,#276264,#276265)); #52275=EDGE_LOOP('',(#276266,#276267,#276268,#276269)); #52276=EDGE_LOOP('',(#276270,#276271,#276272,#276273)); #52277=EDGE_LOOP('',(#276274,#276275,#276276,#276277)); #52278=EDGE_LOOP('',(#276278,#276279,#276280,#276281)); #52279=EDGE_LOOP('',(#276282,#276283,#276284,#276285)); #52280=EDGE_LOOP('',(#276286,#276287,#276288,#276289)); #52281=EDGE_LOOP('',(#276290,#276291,#276292,#276293)); #52282=EDGE_LOOP('',(#276294,#276295,#276296,#276297)); #52283=EDGE_LOOP('',(#276298,#276299,#276300,#276301)); #52284=EDGE_LOOP('',(#276302,#276303,#276304,#276305)); #52285=EDGE_LOOP('',(#276306,#276307,#276308,#276309)); #52286=EDGE_LOOP('',(#276310,#276311,#276312,#276313)); #52287=EDGE_LOOP('',(#276314,#276315,#276316,#276317)); #52288=EDGE_LOOP('',(#276318,#276319,#276320,#276321)); #52289=EDGE_LOOP('',(#276322,#276323,#276324,#276325)); #52290=EDGE_LOOP('',(#276326,#276327,#276328,#276329)); #52291=EDGE_LOOP('',(#276330,#276331,#276332,#276333)); #52292=EDGE_LOOP('',(#276334,#276335,#276336,#276337)); #52293=EDGE_LOOP('',(#276338,#276339,#276340,#276341)); #52294=EDGE_LOOP('',(#276342,#276343,#276344,#276345)); #52295=EDGE_LOOP('',(#276346,#276347,#276348,#276349)); #52296=EDGE_LOOP('',(#276350,#276351,#276352,#276353)); #52297=EDGE_LOOP('',(#276354,#276355,#276356,#276357)); #52298=EDGE_LOOP('',(#276358,#276359,#276360,#276361)); #52299=EDGE_LOOP('',(#276362,#276363,#276364,#276365)); #52300=EDGE_LOOP('',(#276366,#276367,#276368,#276369)); #52301=EDGE_LOOP('',(#276370,#276371,#276372,#276373)); #52302=EDGE_LOOP('',(#276374,#276375,#276376,#276377)); #52303=EDGE_LOOP('',(#276378,#276379,#276380,#276381)); #52304=EDGE_LOOP('',(#276382,#276383,#276384,#276385)); #52305=EDGE_LOOP('',(#276386,#276387,#276388,#276389)); #52306=EDGE_LOOP('',(#276390,#276391,#276392,#276393)); #52307=EDGE_LOOP('',(#276394,#276395,#276396,#276397)); #52308=EDGE_LOOP('',(#276398,#276399,#276400,#276401)); #52309=EDGE_LOOP('',(#276402,#276403,#276404,#276405)); #52310=EDGE_LOOP('',(#276406,#276407,#276408,#276409)); #52311=EDGE_LOOP('',(#276410,#276411,#276412,#276413)); #52312=EDGE_LOOP('',(#276414,#276415,#276416,#276417)); #52313=EDGE_LOOP('',(#276418,#276419,#276420,#276421)); #52314=EDGE_LOOP('',(#276422,#276423,#276424,#276425)); #52315=EDGE_LOOP('',(#276426,#276427,#276428,#276429)); #52316=EDGE_LOOP('',(#276430,#276431,#276432,#276433)); #52317=EDGE_LOOP('',(#276434,#276435,#276436,#276437)); #52318=EDGE_LOOP('',(#276438,#276439,#276440,#276441)); #52319=EDGE_LOOP('',(#276442,#276443,#276444,#276445)); #52320=EDGE_LOOP('',(#276446,#276447,#276448,#276449)); #52321=EDGE_LOOP('',(#276450,#276451,#276452,#276453)); #52322=EDGE_LOOP('',(#276454,#276455,#276456,#276457)); #52323=EDGE_LOOP('',(#276458,#276459,#276460,#276461)); #52324=EDGE_LOOP('',(#276462,#276463,#276464,#276465)); #52325=EDGE_LOOP('',(#276466,#276467,#276468,#276469)); #52326=EDGE_LOOP('',(#276470,#276471,#276472,#276473)); #52327=EDGE_LOOP('',(#276474,#276475,#276476,#276477)); #52328=EDGE_LOOP('',(#276478,#276479,#276480,#276481)); #52329=EDGE_LOOP('',(#276482,#276483,#276484,#276485)); #52330=EDGE_LOOP('',(#276486,#276487,#276488,#276489)); #52331=EDGE_LOOP('',(#276490,#276491,#276492,#276493)); #52332=EDGE_LOOP('',(#276494,#276495,#276496,#276497)); #52333=EDGE_LOOP('',(#276498,#276499,#276500,#276501)); #52334=EDGE_LOOP('',(#276502,#276503,#276504,#276505)); #52335=EDGE_LOOP('',(#276506,#276507,#276508,#276509)); #52336=EDGE_LOOP('',(#276510,#276511,#276512,#276513)); #52337=EDGE_LOOP('',(#276514,#276515,#276516,#276517)); #52338=EDGE_LOOP('',(#276518,#276519,#276520,#276521)); #52339=EDGE_LOOP('',(#276522,#276523,#276524,#276525)); #52340=EDGE_LOOP('',(#276526,#276527,#276528,#276529)); #52341=EDGE_LOOP('',(#276530,#276531,#276532,#276533)); #52342=EDGE_LOOP('',(#276534,#276535,#276536,#276537)); #52343=EDGE_LOOP('',(#276538,#276539,#276540,#276541)); #52344=EDGE_LOOP('',(#276542,#276543,#276544,#276545)); #52345=EDGE_LOOP('',(#276546,#276547,#276548,#276549)); #52346=EDGE_LOOP('',(#276550,#276551,#276552,#276553)); #52347=EDGE_LOOP('',(#276554,#276555,#276556,#276557)); #52348=EDGE_LOOP('',(#276558,#276559,#276560,#276561)); #52349=EDGE_LOOP('',(#276562,#276563,#276564,#276565)); #52350=EDGE_LOOP('',(#276566,#276567,#276568,#276569)); #52351=EDGE_LOOP('',(#276570,#276571,#276572,#276573)); #52352=EDGE_LOOP('',(#276574,#276575,#276576,#276577)); #52353=EDGE_LOOP('',(#276578,#276579,#276580,#276581)); #52354=EDGE_LOOP('',(#276582,#276583,#276584,#276585)); #52355=EDGE_LOOP('',(#276586,#276587,#276588,#276589)); #52356=EDGE_LOOP('',(#276590,#276591,#276592,#276593)); #52357=EDGE_LOOP('',(#276594,#276595,#276596,#276597)); #52358=EDGE_LOOP('',(#276598,#276599,#276600,#276601)); #52359=EDGE_LOOP('',(#276602,#276603,#276604,#276605)); #52360=EDGE_LOOP('',(#276606,#276607,#276608,#276609)); #52361=EDGE_LOOP('',(#276610,#276611,#276612,#276613)); #52362=EDGE_LOOP('',(#276614,#276615,#276616,#276617)); #52363=EDGE_LOOP('',(#276618,#276619,#276620,#276621)); #52364=EDGE_LOOP('',(#276622,#276623,#276624,#276625)); #52365=EDGE_LOOP('',(#276626,#276627,#276628,#276629)); #52366=EDGE_LOOP('',(#276630,#276631,#276632,#276633)); #52367=EDGE_LOOP('',(#276634,#276635,#276636,#276637)); #52368=EDGE_LOOP('',(#276638,#276639,#276640,#276641)); #52369=EDGE_LOOP('',(#276642,#276643,#276644,#276645)); #52370=EDGE_LOOP('',(#276646,#276647,#276648,#276649)); #52371=EDGE_LOOP('',(#276650,#276651,#276652,#276653)); #52372=EDGE_LOOP('',(#276654,#276655,#276656,#276657)); #52373=EDGE_LOOP('',(#276658,#276659,#276660,#276661)); #52374=EDGE_LOOP('',(#276662,#276663,#276664,#276665)); #52375=EDGE_LOOP('',(#276666,#276667,#276668,#276669)); #52376=EDGE_LOOP('',(#276670,#276671,#276672,#276673)); #52377=EDGE_LOOP('',(#276674,#276675,#276676,#276677)); #52378=EDGE_LOOP('',(#276678,#276679,#276680,#276681)); #52379=EDGE_LOOP('',(#276682,#276683,#276684,#276685)); #52380=EDGE_LOOP('',(#276686,#276687,#276688,#276689)); #52381=EDGE_LOOP('',(#276690,#276691,#276692,#276693)); #52382=EDGE_LOOP('',(#276694,#276695,#276696,#276697)); #52383=EDGE_LOOP('',(#276698,#276699,#276700,#276701)); #52384=EDGE_LOOP('',(#276702,#276703,#276704,#276705)); #52385=EDGE_LOOP('',(#276706,#276707,#276708,#276709)); #52386=EDGE_LOOP('',(#276710,#276711,#276712,#276713)); #52387=EDGE_LOOP('',(#276714,#276715,#276716,#276717)); #52388=EDGE_LOOP('',(#276718,#276719,#276720,#276721)); #52389=EDGE_LOOP('',(#276722,#276723,#276724,#276725)); #52390=EDGE_LOOP('',(#276726,#276727,#276728,#276729)); #52391=EDGE_LOOP('',(#276730,#276731,#276732,#276733)); #52392=EDGE_LOOP('',(#276734,#276735,#276736,#276737)); #52393=EDGE_LOOP('',(#276738,#276739,#276740,#276741)); #52394=EDGE_LOOP('',(#276742,#276743,#276744,#276745)); #52395=EDGE_LOOP('',(#276746,#276747,#276748,#276749)); #52396=EDGE_LOOP('',(#276750,#276751,#276752,#276753)); #52397=EDGE_LOOP('',(#276754,#276755,#276756,#276757)); #52398=EDGE_LOOP('',(#276758,#276759,#276760,#276761)); #52399=EDGE_LOOP('',(#276762,#276763,#276764,#276765)); #52400=EDGE_LOOP('',(#276766,#276767,#276768,#276769)); #52401=EDGE_LOOP('',(#276770,#276771,#276772,#276773)); #52402=EDGE_LOOP('',(#276774,#276775,#276776,#276777)); #52403=EDGE_LOOP('',(#276778,#276779,#276780,#276781)); #52404=EDGE_LOOP('',(#276782,#276783,#276784,#276785)); #52405=EDGE_LOOP('',(#276786,#276787,#276788,#276789)); #52406=EDGE_LOOP('',(#276790,#276791,#276792,#276793)); #52407=EDGE_LOOP('',(#276794,#276795,#276796,#276797)); #52408=EDGE_LOOP('',(#276798,#276799,#276800,#276801)); #52409=EDGE_LOOP('',(#276802,#276803,#276804,#276805)); #52410=EDGE_LOOP('',(#276806,#276807,#276808,#276809)); #52411=EDGE_LOOP('',(#276810,#276811,#276812,#276813)); #52412=EDGE_LOOP('',(#276814,#276815,#276816,#276817)); #52413=EDGE_LOOP('',(#276818,#276819,#276820,#276821)); #52414=EDGE_LOOP('',(#276822,#276823,#276824,#276825)); #52415=EDGE_LOOP('',(#276826,#276827,#276828,#276829)); #52416=EDGE_LOOP('',(#276830,#276831,#276832,#276833)); #52417=EDGE_LOOP('',(#276834,#276835,#276836,#276837)); #52418=EDGE_LOOP('',(#276838,#276839,#276840,#276841)); #52419=EDGE_LOOP('',(#276842,#276843,#276844,#276845)); #52420=EDGE_LOOP('',(#276846,#276847,#276848,#276849)); #52421=EDGE_LOOP('',(#276850,#276851,#276852,#276853)); #52422=EDGE_LOOP('',(#276854,#276855,#276856,#276857)); #52423=EDGE_LOOP('',(#276858,#276859,#276860,#276861)); #52424=EDGE_LOOP('',(#276862,#276863,#276864,#276865)); #52425=EDGE_LOOP('',(#276866,#276867,#276868,#276869)); #52426=EDGE_LOOP('',(#276870,#276871,#276872,#276873)); #52427=EDGE_LOOP('',(#276874,#276875,#276876,#276877)); #52428=EDGE_LOOP('',(#276878,#276879,#276880,#276881)); #52429=EDGE_LOOP('',(#276882,#276883,#276884,#276885)); #52430=EDGE_LOOP('',(#276886,#276887,#276888,#276889)); #52431=EDGE_LOOP('',(#276890,#276891,#276892,#276893)); #52432=EDGE_LOOP('',(#276894,#276895,#276896,#276897)); #52433=EDGE_LOOP('',(#276898,#276899,#276900,#276901)); #52434=EDGE_LOOP('',(#276902,#276903,#276904,#276905)); #52435=EDGE_LOOP('',(#276906,#276907,#276908,#276909)); #52436=EDGE_LOOP('',(#276910,#276911,#276912,#276913)); #52437=EDGE_LOOP('',(#276914,#276915,#276916,#276917)); #52438=EDGE_LOOP('',(#276918,#276919,#276920,#276921)); #52439=EDGE_LOOP('',(#276922,#276923,#276924,#276925)); #52440=EDGE_LOOP('',(#276926,#276927,#276928,#276929)); #52441=EDGE_LOOP('',(#276930,#276931,#276932,#276933)); #52442=EDGE_LOOP('',(#276934,#276935,#276936,#276937)); #52443=EDGE_LOOP('',(#276938,#276939,#276940,#276941)); #52444=EDGE_LOOP('',(#276942,#276943,#276944,#276945)); #52445=EDGE_LOOP('',(#276946,#276947,#276948,#276949)); #52446=EDGE_LOOP('',(#276950,#276951,#276952,#276953)); #52447=EDGE_LOOP('',(#276954,#276955,#276956,#276957)); #52448=EDGE_LOOP('',(#276958,#276959,#276960,#276961)); #52449=EDGE_LOOP('',(#276962,#276963,#276964,#276965)); #52450=EDGE_LOOP('',(#276966,#276967,#276968,#276969)); #52451=EDGE_LOOP('',(#276970,#276971,#276972,#276973)); #52452=EDGE_LOOP('',(#276974,#276975,#276976,#276977)); #52453=EDGE_LOOP('',(#276978,#276979,#276980,#276981)); #52454=EDGE_LOOP('',(#276982,#276983,#276984,#276985)); #52455=EDGE_LOOP('',(#276986,#276987,#276988,#276989)); #52456=EDGE_LOOP('',(#276990,#276991,#276992,#276993)); #52457=EDGE_LOOP('',(#276994,#276995,#276996,#276997)); #52458=EDGE_LOOP('',(#276998,#276999,#277000,#277001)); #52459=EDGE_LOOP('',(#277002,#277003,#277004,#277005)); #52460=EDGE_LOOP('',(#277006,#277007,#277008,#277009)); #52461=EDGE_LOOP('',(#277010,#277011,#277012,#277013)); #52462=EDGE_LOOP('',(#277014,#277015,#277016,#277017)); #52463=EDGE_LOOP('',(#277018,#277019,#277020,#277021)); #52464=EDGE_LOOP('',(#277022,#277023,#277024,#277025)); #52465=EDGE_LOOP('',(#277026,#277027,#277028,#277029)); #52466=EDGE_LOOP('',(#277030,#277031,#277032,#277033)); #52467=EDGE_LOOP('',(#277034,#277035,#277036,#277037)); #52468=EDGE_LOOP('',(#277038,#277039,#277040,#277041)); #52469=EDGE_LOOP('',(#277042,#277043,#277044,#277045)); #52470=EDGE_LOOP('',(#277046,#277047,#277048,#277049)); #52471=EDGE_LOOP('',(#277050,#277051,#277052,#277053)); #52472=EDGE_LOOP('',(#277054,#277055,#277056,#277057)); #52473=EDGE_LOOP('',(#277058,#277059,#277060,#277061)); #52474=EDGE_LOOP('',(#277062,#277063,#277064,#277065)); #52475=EDGE_LOOP('',(#277066,#277067,#277068,#277069)); #52476=EDGE_LOOP('',(#277070,#277071,#277072,#277073)); #52477=EDGE_LOOP('',(#277074,#277075,#277076,#277077)); #52478=EDGE_LOOP('',(#277078,#277079,#277080,#277081)); #52479=EDGE_LOOP('',(#277082,#277083,#277084,#277085)); #52480=EDGE_LOOP('',(#277086,#277087,#277088,#277089)); #52481=EDGE_LOOP('',(#277090,#277091,#277092,#277093)); #52482=EDGE_LOOP('',(#277094,#277095,#277096,#277097)); #52483=EDGE_LOOP('',(#277098,#277099,#277100,#277101)); #52484=EDGE_LOOP('',(#277102,#277103,#277104,#277105)); #52485=EDGE_LOOP('',(#277106,#277107,#277108,#277109)); #52486=EDGE_LOOP('',(#277110,#277111,#277112,#277113)); #52487=EDGE_LOOP('',(#277114,#277115,#277116,#277117)); #52488=EDGE_LOOP('',(#277118,#277119,#277120,#277121)); #52489=EDGE_LOOP('',(#277122,#277123,#277124,#277125)); #52490=EDGE_LOOP('',(#277126,#277127,#277128,#277129)); #52491=EDGE_LOOP('',(#277130,#277131,#277132,#277133)); #52492=EDGE_LOOP('',(#277134,#277135,#277136,#277137)); #52493=EDGE_LOOP('',(#277138,#277139,#277140,#277141)); #52494=EDGE_LOOP('',(#277142,#277143,#277144,#277145)); #52495=EDGE_LOOP('',(#277146,#277147,#277148,#277149)); #52496=EDGE_LOOP('',(#277150,#277151,#277152,#277153)); #52497=EDGE_LOOP('',(#277154,#277155,#277156,#277157)); #52498=EDGE_LOOP('',(#277158,#277159,#277160,#277161)); #52499=EDGE_LOOP('',(#277162,#277163,#277164,#277165)); #52500=EDGE_LOOP('',(#277166,#277167,#277168,#277169)); #52501=EDGE_LOOP('',(#277170,#277171,#277172,#277173)); #52502=EDGE_LOOP('',(#277174,#277175,#277176,#277177)); #52503=EDGE_LOOP('',(#277178,#277179,#277180,#277181)); #52504=EDGE_LOOP('',(#277182,#277183,#277184,#277185)); #52505=EDGE_LOOP('',(#277186,#277187,#277188,#277189)); #52506=EDGE_LOOP('',(#277190,#277191,#277192,#277193)); #52507=EDGE_LOOP('',(#277194,#277195,#277196,#277197)); #52508=EDGE_LOOP('',(#277198,#277199,#277200,#277201)); #52509=EDGE_LOOP('',(#277202,#277203,#277204,#277205)); #52510=EDGE_LOOP('',(#277206,#277207,#277208,#277209)); #52511=EDGE_LOOP('',(#277210,#277211,#277212,#277213)); #52512=EDGE_LOOP('',(#277214,#277215,#277216,#277217)); #52513=EDGE_LOOP('',(#277218,#277219,#277220,#277221)); #52514=EDGE_LOOP('',(#277222,#277223,#277224,#277225)); #52515=EDGE_LOOP('',(#277226,#277227,#277228,#277229)); #52516=EDGE_LOOP('',(#277230,#277231,#277232,#277233)); #52517=EDGE_LOOP('',(#277234,#277235,#277236,#277237)); #52518=EDGE_LOOP('',(#277238,#277239,#277240,#277241)); #52519=EDGE_LOOP('',(#277242,#277243,#277244,#277245)); #52520=EDGE_LOOP('',(#277246,#277247,#277248,#277249)); #52521=EDGE_LOOP('',(#277250,#277251,#277252,#277253)); #52522=EDGE_LOOP('',(#277254,#277255,#277256,#277257)); #52523=EDGE_LOOP('',(#277258,#277259,#277260,#277261)); #52524=EDGE_LOOP('',(#277262,#277263,#277264,#277265)); #52525=EDGE_LOOP('',(#277266,#277267,#277268,#277269)); #52526=EDGE_LOOP('',(#277270,#277271,#277272,#277273)); #52527=EDGE_LOOP('',(#277274,#277275,#277276,#277277)); #52528=EDGE_LOOP('',(#277278,#277279,#277280,#277281)); #52529=EDGE_LOOP('',(#277282,#277283,#277284,#277285)); #52530=EDGE_LOOP('',(#277286,#277287,#277288,#277289)); #52531=EDGE_LOOP('',(#277290,#277291,#277292,#277293)); #52532=EDGE_LOOP('',(#277294,#277295,#277296,#277297)); #52533=EDGE_LOOP('',(#277298,#277299,#277300,#277301)); #52534=EDGE_LOOP('',(#277302,#277303,#277304,#277305)); #52535=EDGE_LOOP('',(#277306,#277307,#277308,#277309)); #52536=EDGE_LOOP('',(#277310,#277311,#277312,#277313)); #52537=EDGE_LOOP('',(#277314,#277315,#277316,#277317)); #52538=EDGE_LOOP('',(#277318,#277319,#277320,#277321)); #52539=EDGE_LOOP('',(#277322,#277323,#277324,#277325)); #52540=EDGE_LOOP('',(#277326,#277327,#277328,#277329)); #52541=EDGE_LOOP('',(#277330,#277331,#277332,#277333)); #52542=EDGE_LOOP('',(#277334,#277335,#277336,#277337)); #52543=EDGE_LOOP('',(#277338,#277339,#277340,#277341)); #52544=EDGE_LOOP('',(#277342,#277343,#277344,#277345)); #52545=EDGE_LOOP('',(#277346,#277347,#277348,#277349)); #52546=EDGE_LOOP('',(#277350,#277351,#277352,#277353)); #52547=EDGE_LOOP('',(#277354,#277355,#277356,#277357)); #52548=EDGE_LOOP('',(#277358,#277359,#277360,#277361)); #52549=EDGE_LOOP('',(#277362,#277363,#277364,#277365)); #52550=EDGE_LOOP('',(#277366,#277367,#277368,#277369)); #52551=EDGE_LOOP('',(#277370,#277371,#277372,#277373)); #52552=EDGE_LOOP('',(#277374,#277375,#277376,#277377)); #52553=EDGE_LOOP('',(#277378,#277379,#277380,#277381)); #52554=EDGE_LOOP('',(#277382,#277383,#277384,#277385)); #52555=EDGE_LOOP('',(#277386,#277387,#277388,#277389)); #52556=EDGE_LOOP('',(#277390,#277391,#277392,#277393)); #52557=EDGE_LOOP('',(#277394,#277395,#277396,#277397)); #52558=EDGE_LOOP('',(#277398,#277399,#277400,#277401)); #52559=EDGE_LOOP('',(#277402,#277403,#277404,#277405)); #52560=EDGE_LOOP('',(#277406,#277407,#277408,#277409)); #52561=EDGE_LOOP('',(#277410,#277411,#277412,#277413)); #52562=EDGE_LOOP('',(#277414,#277415,#277416,#277417)); #52563=EDGE_LOOP('',(#277418,#277419,#277420,#277421)); #52564=EDGE_LOOP('',(#277422,#277423,#277424,#277425)); #52565=EDGE_LOOP('',(#277426,#277427,#277428,#277429)); #52566=EDGE_LOOP('',(#277430,#277431,#277432,#277433)); #52567=EDGE_LOOP('',(#277434,#277435,#277436,#277437)); #52568=EDGE_LOOP('',(#277438,#277439,#277440,#277441)); #52569=EDGE_LOOP('',(#277442,#277443,#277444,#277445)); #52570=EDGE_LOOP('',(#277446,#277447,#277448,#277449)); #52571=EDGE_LOOP('',(#277450,#277451,#277452,#277453)); #52572=EDGE_LOOP('',(#277454,#277455,#277456,#277457)); #52573=EDGE_LOOP('',(#277458,#277459,#277460,#277461)); #52574=EDGE_LOOP('',(#277462,#277463,#277464,#277465)); #52575=EDGE_LOOP('',(#277466,#277467,#277468,#277469)); #52576=EDGE_LOOP('',(#277470,#277471,#277472,#277473)); #52577=EDGE_LOOP('',(#277474,#277475,#277476,#277477)); #52578=EDGE_LOOP('',(#277478,#277479,#277480,#277481)); #52579=EDGE_LOOP('',(#277482,#277483,#277484,#277485)); #52580=EDGE_LOOP('',(#277486,#277487,#277488,#277489)); #52581=EDGE_LOOP('',(#277490,#277491,#277492,#277493)); #52582=EDGE_LOOP('',(#277494,#277495,#277496,#277497)); #52583=EDGE_LOOP('',(#277498,#277499,#277500,#277501)); #52584=EDGE_LOOP('',(#277502,#277503,#277504,#277505)); #52585=EDGE_LOOP('',(#277506,#277507,#277508,#277509)); #52586=EDGE_LOOP('',(#277510,#277511,#277512,#277513)); #52587=EDGE_LOOP('',(#277514,#277515,#277516,#277517)); #52588=EDGE_LOOP('',(#277518,#277519,#277520,#277521)); #52589=EDGE_LOOP('',(#277522,#277523,#277524,#277525)); #52590=EDGE_LOOP('',(#277526,#277527,#277528,#277529)); #52591=EDGE_LOOP('',(#277530,#277531,#277532,#277533)); #52592=EDGE_LOOP('',(#277534,#277535,#277536,#277537)); #52593=EDGE_LOOP('',(#277538,#277539,#277540,#277541)); #52594=EDGE_LOOP('',(#277542,#277543,#277544,#277545)); #52595=EDGE_LOOP('',(#277546,#277547,#277548,#277549)); #52596=EDGE_LOOP('',(#277550,#277551,#277552,#277553)); #52597=EDGE_LOOP('',(#277554,#277555,#277556,#277557)); #52598=EDGE_LOOP('',(#277558,#277559,#277560,#277561)); #52599=EDGE_LOOP('',(#277562,#277563,#277564,#277565)); #52600=EDGE_LOOP('',(#277566,#277567,#277568,#277569)); #52601=EDGE_LOOP('',(#277570,#277571,#277572,#277573)); #52602=EDGE_LOOP('',(#277574,#277575,#277576,#277577)); #52603=EDGE_LOOP('',(#277578,#277579,#277580,#277581)); #52604=EDGE_LOOP('',(#277582,#277583,#277584,#277585)); #52605=EDGE_LOOP('',(#277586,#277587,#277588,#277589)); #52606=EDGE_LOOP('',(#277590,#277591,#277592,#277593)); #52607=EDGE_LOOP('',(#277594,#277595,#277596,#277597)); #52608=EDGE_LOOP('',(#277598,#277599,#277600,#277601)); #52609=EDGE_LOOP('',(#277602,#277603,#277604,#277605)); #52610=EDGE_LOOP('',(#277606,#277607,#277608,#277609)); #52611=EDGE_LOOP('',(#277610,#277611,#277612,#277613)); #52612=EDGE_LOOP('',(#277614,#277615,#277616,#277617)); #52613=EDGE_LOOP('',(#277618,#277619,#277620,#277621)); #52614=EDGE_LOOP('',(#277622,#277623,#277624,#277625)); #52615=EDGE_LOOP('',(#277626,#277627,#277628,#277629)); #52616=EDGE_LOOP('',(#277630,#277631,#277632,#277633)); #52617=EDGE_LOOP('',(#277634,#277635,#277636,#277637)); #52618=EDGE_LOOP('',(#277638,#277639,#277640,#277641)); #52619=EDGE_LOOP('',(#277642,#277643,#277644,#277645)); #52620=EDGE_LOOP('',(#277646,#277647,#277648,#277649)); #52621=EDGE_LOOP('',(#277650,#277651,#277652,#277653)); #52622=EDGE_LOOP('',(#277654,#277655,#277656,#277657)); #52623=EDGE_LOOP('',(#277658,#277659,#277660,#277661)); #52624=EDGE_LOOP('',(#277662,#277663,#277664,#277665)); #52625=EDGE_LOOP('',(#277666,#277667,#277668,#277669)); #52626=EDGE_LOOP('',(#277670,#277671,#277672,#277673)); #52627=EDGE_LOOP('',(#277674,#277675,#277676,#277677)); #52628=EDGE_LOOP('',(#277678,#277679,#277680,#277681)); #52629=EDGE_LOOP('',(#277682,#277683,#277684,#277685)); #52630=EDGE_LOOP('',(#277686,#277687,#277688,#277689)); #52631=EDGE_LOOP('',(#277690,#277691,#277692,#277693)); #52632=EDGE_LOOP('',(#277694,#277695,#277696,#277697)); #52633=EDGE_LOOP('',(#277698,#277699,#277700,#277701)); #52634=EDGE_LOOP('',(#277702,#277703,#277704,#277705)); #52635=EDGE_LOOP('',(#277706,#277707,#277708,#277709)); #52636=EDGE_LOOP('',(#277710,#277711,#277712,#277713)); #52637=EDGE_LOOP('',(#277714,#277715,#277716,#277717)); #52638=EDGE_LOOP('',(#277718,#277719,#277720,#277721)); #52639=EDGE_LOOP('',(#277722,#277723,#277724,#277725)); #52640=EDGE_LOOP('',(#277726,#277727,#277728,#277729)); #52641=EDGE_LOOP('',(#277730,#277731,#277732,#277733)); #52642=EDGE_LOOP('',(#277734,#277735,#277736,#277737)); #52643=EDGE_LOOP('',(#277738,#277739,#277740,#277741)); #52644=EDGE_LOOP('',(#277742,#277743,#277744,#277745)); #52645=EDGE_LOOP('',(#277746,#277747,#277748,#277749)); #52646=EDGE_LOOP('',(#277750,#277751,#277752,#277753)); #52647=EDGE_LOOP('',(#277754,#277755,#277756,#277757)); #52648=EDGE_LOOP('',(#277758,#277759,#277760,#277761)); #52649=EDGE_LOOP('',(#277762,#277763,#277764,#277765)); #52650=EDGE_LOOP('',(#277766,#277767,#277768,#277769)); #52651=EDGE_LOOP('',(#277770,#277771,#277772,#277773)); #52652=EDGE_LOOP('',(#277774,#277775,#277776,#277777)); #52653=EDGE_LOOP('',(#277778,#277779,#277780,#277781,#277782,#277783,#277784, #277785,#277786,#277787,#277788,#277789,#277790,#277791,#277792,#277793, #277794,#277795,#277796,#277797,#277798,#277799,#277800,#277801,#277802, #277803,#277804,#277805,#277806,#277807,#277808,#277809,#277810,#277811, #277812,#277813,#277814,#277815,#277816,#277817,#277818,#277819,#277820, #277821,#277822,#277823,#277824,#277825,#277826,#277827,#277828,#277829, #277830,#277831,#277832,#277833,#277834,#277835,#277836,#277837,#277838, #277839,#277840,#277841,#277842,#277843,#277844,#277845,#277846,#277847, #277848,#277849,#277850,#277851,#277852,#277853,#277854,#277855,#277856, #277857,#277858,#277859,#277860,#277861,#277862,#277863,#277864,#277865, #277866,#277867,#277868,#277869,#277870,#277871,#277872,#277873,#277874, #277875,#277876,#277877,#277878,#277879,#277880,#277881,#277882,#277883, #277884,#277885,#277886,#277887,#277888,#277889,#277890,#277891,#277892, #277893,#277894,#277895,#277896,#277897)); #52654=EDGE_LOOP('',(#277898)); #52655=EDGE_LOOP('',(#277899)); #52656=EDGE_LOOP('',(#277900)); #52657=EDGE_LOOP('',(#277901)); #52658=EDGE_LOOP('',(#277902)); #52659=EDGE_LOOP('',(#277903)); #52660=EDGE_LOOP('',(#277904)); #52661=EDGE_LOOP('',(#277905)); #52662=EDGE_LOOP('',(#277906)); #52663=EDGE_LOOP('',(#277907)); #52664=EDGE_LOOP('',(#277908)); #52665=EDGE_LOOP('',(#277909)); #52666=EDGE_LOOP('',(#277910)); #52667=EDGE_LOOP('',(#277911)); #52668=EDGE_LOOP('',(#277912)); #52669=EDGE_LOOP('',(#277913)); #52670=EDGE_LOOP('',(#277914,#277915,#277916,#277917)); #52671=EDGE_LOOP('',(#277918,#277919,#277920,#277921)); #52672=EDGE_LOOP('',(#277922)); #52673=EDGE_LOOP('',(#277923)); #52674=EDGE_LOOP('',(#277924)); #52675=EDGE_LOOP('',(#277925)); #52676=EDGE_LOOP('',(#277926)); #52677=EDGE_LOOP('',(#277927)); #52678=EDGE_LOOP('',(#277928)); #52679=EDGE_LOOP('',(#277929)); #52680=EDGE_LOOP('',(#277930,#277931,#277932,#277933)); #52681=EDGE_LOOP('',(#277934,#277935,#277936,#277937)); #52682=EDGE_LOOP('',(#277938)); #52683=EDGE_LOOP('',(#277939)); #52684=EDGE_LOOP('',(#277940)); #52685=EDGE_LOOP('',(#277941)); #52686=EDGE_LOOP('',(#277942)); #52687=EDGE_LOOP('',(#277943)); #52688=EDGE_LOOP('',(#277944)); #52689=EDGE_LOOP('',(#277945)); #52690=EDGE_LOOP('',(#277946)); #52691=EDGE_LOOP('',(#277947)); #52692=EDGE_LOOP('',(#277948)); #52693=EDGE_LOOP('',(#277949)); #52694=EDGE_LOOP('',(#277950)); #52695=EDGE_LOOP('',(#277951)); #52696=EDGE_LOOP('',(#277952)); #52697=EDGE_LOOP('',(#277953)); #52698=EDGE_LOOP('',(#277954)); #52699=EDGE_LOOP('',(#277955)); #52700=EDGE_LOOP('',(#277956)); #52701=EDGE_LOOP('',(#277957)); #52702=EDGE_LOOP('',(#277958)); #52703=EDGE_LOOP('',(#277959)); #52704=EDGE_LOOP('',(#277960)); #52705=EDGE_LOOP('',(#277961)); #52706=EDGE_LOOP('',(#277962)); #52707=EDGE_LOOP('',(#277963)); #52708=EDGE_LOOP('',(#277964)); #52709=EDGE_LOOP('',(#277965)); #52710=EDGE_LOOP('',(#277966)); #52711=EDGE_LOOP('',(#277967)); #52712=EDGE_LOOP('',(#277968)); #52713=EDGE_LOOP('',(#277969)); #52714=EDGE_LOOP('',(#277970)); #52715=EDGE_LOOP('',(#277971)); #52716=EDGE_LOOP('',(#277972)); #52717=EDGE_LOOP('',(#277973)); #52718=EDGE_LOOP('',(#277974)); #52719=EDGE_LOOP('',(#277975)); #52720=EDGE_LOOP('',(#277976)); #52721=EDGE_LOOP('',(#277977)); #52722=EDGE_LOOP('',(#277978)); #52723=EDGE_LOOP('',(#277979)); #52724=EDGE_LOOP('',(#277980)); #52725=EDGE_LOOP('',(#277981)); #52726=EDGE_LOOP('',(#277982)); #52727=EDGE_LOOP('',(#277983)); #52728=EDGE_LOOP('',(#277984)); #52729=EDGE_LOOP('',(#277985)); #52730=EDGE_LOOP('',(#277986)); #52731=EDGE_LOOP('',(#277987)); #52732=EDGE_LOOP('',(#277988)); #52733=EDGE_LOOP('',(#277989)); #52734=EDGE_LOOP('',(#277990)); #52735=EDGE_LOOP('',(#277991)); #52736=EDGE_LOOP('',(#277992)); #52737=EDGE_LOOP('',(#277993)); #52738=EDGE_LOOP('',(#277994)); #52739=EDGE_LOOP('',(#277995)); #52740=EDGE_LOOP('',(#277996)); #52741=EDGE_LOOP('',(#277997)); #52742=EDGE_LOOP('',(#277998)); #52743=EDGE_LOOP('',(#277999)); #52744=EDGE_LOOP('',(#278000)); #52745=EDGE_LOOP('',(#278001)); #52746=EDGE_LOOP('',(#278002)); #52747=EDGE_LOOP('',(#278003)); #52748=EDGE_LOOP('',(#278004)); #52749=EDGE_LOOP('',(#278005)); #52750=EDGE_LOOP('',(#278006)); #52751=EDGE_LOOP('',(#278007)); #52752=EDGE_LOOP('',(#278008)); #52753=EDGE_LOOP('',(#278009)); #52754=EDGE_LOOP('',(#278010)); #52755=EDGE_LOOP('',(#278011)); #52756=EDGE_LOOP('',(#278012)); #52757=EDGE_LOOP('',(#278013)); #52758=EDGE_LOOP('',(#278014)); #52759=EDGE_LOOP('',(#278015)); #52760=EDGE_LOOP('',(#278016)); #52761=EDGE_LOOP('',(#278017)); #52762=EDGE_LOOP('',(#278018)); #52763=EDGE_LOOP('',(#278019)); #52764=EDGE_LOOP('',(#278020)); #52765=EDGE_LOOP('',(#278021)); #52766=EDGE_LOOP('',(#278022)); #52767=EDGE_LOOP('',(#278023)); #52768=EDGE_LOOP('',(#278024)); #52769=EDGE_LOOP('',(#278025)); #52770=EDGE_LOOP('',(#278026)); #52771=EDGE_LOOP('',(#278027)); #52772=EDGE_LOOP('',(#278028)); #52773=EDGE_LOOP('',(#278029)); #52774=EDGE_LOOP('',(#278030)); #52775=EDGE_LOOP('',(#278031)); #52776=EDGE_LOOP('',(#278032)); #52777=EDGE_LOOP('',(#278033)); #52778=EDGE_LOOP('',(#278034)); #52779=EDGE_LOOP('',(#278035)); #52780=EDGE_LOOP('',(#278036)); #52781=EDGE_LOOP('',(#278037,#278038,#278039,#278040,#278041,#278042,#278043, #278044,#278045,#278046,#278047,#278048,#278049,#278050,#278051,#278052, #278053,#278054,#278055,#278056,#278057,#278058,#278059,#278060,#278061, #278062,#278063,#278064,#278065,#278066)); #52782=EDGE_LOOP('',(#278067,#278068,#278069,#278070,#278071,#278072,#278073, #278074,#278075,#278076,#278077,#278078,#278079,#278080,#278081,#278082, #278083,#278084,#278085,#278086,#278087,#278088,#278089,#278090,#278091, #278092,#278093,#278094,#278095,#278096)); #52783=EDGE_LOOP('',(#278097,#278098,#278099,#278100,#278101,#278102,#278103, #278104,#278105,#278106,#278107,#278108,#278109,#278110,#278111,#278112, #278113,#278114,#278115,#278116,#278117,#278118,#278119,#278120,#278121, #278122,#278123,#278124,#278125,#278126)); #52784=EDGE_LOOP('',(#278127,#278128,#278129,#278130,#278131,#278132,#278133, #278134,#278135,#278136,#278137,#278138,#278139,#278140,#278141,#278142, #278143,#278144,#278145,#278146,#278147,#278148,#278149,#278150,#278151, #278152,#278153,#278154,#278155,#278156)); #52785=EDGE_LOOP('',(#278157,#278158,#278159,#278160,#278161,#278162,#278163, #278164,#278165,#278166,#278167,#278168,#278169,#278170,#278171,#278172, #278173,#278174,#278175,#278176,#278177,#278178,#278179,#278180)); #52786=EDGE_LOOP('',(#278181,#278182,#278183,#278184,#278185,#278186,#278187, #278188,#278189,#278190,#278191,#278192,#278193,#278194,#278195,#278196, #278197,#278198,#278199,#278200,#278201,#278202,#278203,#278204,#278205, #278206,#278207,#278208,#278209,#278210,#278211,#278212,#278213,#278214, #278215,#278216,#278217,#278218,#278219,#278220,#278221,#278222,#278223, #278224,#278225,#278226,#278227,#278228,#278229,#278230,#278231,#278232, #278233,#278234,#278235,#278236,#278237,#278238,#278239,#278240,#278241, #278242,#278243,#278244,#278245,#278246,#278247,#278248,#278249,#278250, #278251,#278252,#278253,#278254,#278255,#278256,#278257,#278258,#278259, #278260,#278261,#278262,#278263,#278264,#278265,#278266,#278267,#278268, #278269,#278270,#278271,#278272,#278273,#278274,#278275,#278276,#278277, #278278,#278279,#278280)); #52787=EDGE_LOOP('',(#278281,#278282,#278283,#278284,#278285,#278286,#278287, #278288,#278289,#278290,#278291,#278292,#278293,#278294,#278295,#278296, #278297,#278298,#278299,#278300,#278301,#278302,#278303,#278304,#278305, #278306,#278307,#278308,#278309,#278310,#278311,#278312,#278313,#278314, #278315,#278316,#278317,#278318,#278319,#278320,#278321,#278322,#278323, #278324,#278325,#278326,#278327,#278328,#278329,#278330,#278331,#278332, #278333,#278334,#278335,#278336,#278337,#278338,#278339,#278340,#278341, #278342,#278343,#278344,#278345,#278346,#278347,#278348,#278349,#278350, #278351,#278352,#278353,#278354,#278355,#278356,#278357,#278358,#278359, #278360,#278361,#278362,#278363,#278364,#278365,#278366,#278367,#278368, #278369,#278370,#278371,#278372,#278373,#278374,#278375,#278376,#278377, #278378,#278379,#278380,#278381,#278382,#278383,#278384,#278385,#278386, #278387,#278388,#278389,#278390,#278391,#278392,#278393,#278394,#278395, #278396,#278397,#278398,#278399,#278400,#278401,#278402,#278403,#278404, #278405,#278406,#278407,#278408,#278409,#278410,#278411,#278412,#278413, #278414,#278415,#278416,#278417,#278418,#278419,#278420,#278421,#278422, #278423,#278424,#278425,#278426,#278427,#278428,#278429,#278430,#278431, #278432,#278433,#278434,#278435,#278436,#278437,#278438,#278439,#278440, #278441,#278442,#278443,#278444,#278445,#278446,#278447,#278448,#278449, #278450,#278451,#278452,#278453,#278454,#278455,#278456,#278457,#278458, #278459,#278460,#278461,#278462,#278463,#278464,#278465,#278466,#278467, #278468,#278469,#278470,#278471,#278472,#278473,#278474,#278475,#278476, #278477,#278478,#278479,#278480,#278481,#278482,#278483,#278484,#278485)); #52788=EDGE_LOOP('',(#278486,#278487,#278488,#278489,#278490,#278491,#278492, #278493,#278494,#278495,#278496,#278497,#278498,#278499,#278500,#278501)); #52789=EDGE_LOOP('',(#278502,#278503,#278504,#278505,#278506,#278507,#278508, #278509,#278510,#278511,#278512,#278513,#278514,#278515,#278516,#278517, #278518,#278519,#278520,#278521,#278522,#278523,#278524,#278525,#278526, #278527,#278528,#278529,#278530,#278531,#278532,#278533,#278534,#278535, #278536,#278537,#278538,#278539,#278540,#278541,#278542,#278543,#278544, #278545,#278546,#278547,#278548,#278549,#278550,#278551,#278552,#278553, #278554,#278555,#278556,#278557,#278558,#278559,#278560,#278561,#278562, #278563,#278564,#278565,#278566,#278567,#278568,#278569,#278570,#278571, #278572,#278573,#278574,#278575,#278576,#278577,#278578,#278579,#278580, #278581,#278582,#278583,#278584,#278585,#278586,#278587,#278588,#278589, #278590,#278591,#278592,#278593,#278594,#278595,#278596,#278597,#278598, #278599,#278600,#278601,#278602,#278603,#278604,#278605,#278606,#278607, #278608,#278609,#278610,#278611,#278612,#278613,#278614,#278615,#278616, #278617,#278618,#278619,#278620,#278621,#278622,#278623,#278624,#278625, #278626,#278627,#278628,#278629,#278630,#278631,#278632,#278633,#278634, #278635,#278636,#278637,#278638,#278639,#278640,#278641,#278642,#278643, #278644,#278645,#278646,#278647,#278648,#278649,#278650,#278651,#278652, #278653,#278654,#278655,#278656,#278657,#278658,#278659,#278660,#278661, #278662,#278663,#278664,#278665,#278666,#278667,#278668,#278669,#278670, #278671,#278672,#278673,#278674,#278675,#278676,#278677,#278678,#278679, #278680,#278681,#278682,#278683,#278684,#278685,#278686,#278687,#278688, #278689,#278690,#278691,#278692,#278693,#278694,#278695,#278696,#278697, #278698,#278699,#278700,#278701,#278702,#278703,#278704,#278705,#278706, #278707,#278708,#278709,#278710,#278711,#278712,#278713,#278714,#278715, #278716,#278717,#278718,#278719,#278720,#278721,#278722,#278723,#278724, #278725,#278726,#278727,#278728,#278729,#278730,#278731,#278732,#278733, #278734,#278735,#278736,#278737,#278738,#278739,#278740,#278741,#278742, #278743,#278744,#278745,#278746,#278747,#278748,#278749,#278750,#278751, #278752,#278753,#278754,#278755,#278756,#278757,#278758,#278759,#278760, #278761,#278762,#278763,#278764,#278765,#278766,#278767,#278768,#278769, #278770,#278771,#278772,#278773,#278774,#278775,#278776,#278777,#278778, #278779,#278780,#278781,#278782,#278783,#278784,#278785,#278786,#278787, #278788,#278789,#278790,#278791,#278792,#278793,#278794,#278795,#278796, #278797,#278798,#278799,#278800,#278801,#278802,#278803,#278804,#278805, #278806,#278807,#278808,#278809,#278810,#278811,#278812,#278813,#278814, #278815,#278816,#278817,#278818,#278819,#278820,#278821,#278822,#278823, #278824,#278825,#278826,#278827,#278828,#278829,#278830,#278831,#278832, #278833,#278834,#278835,#278836,#278837,#278838,#278839,#278840,#278841, #278842,#278843,#278844,#278845,#278846,#278847,#278848,#278849,#278850, #278851,#278852,#278853,#278854,#278855,#278856,#278857,#278858,#278859, #278860,#278861,#278862,#278863,#278864,#278865,#278866,#278867,#278868, #278869,#278870,#278871,#278872,#278873,#278874,#278875,#278876,#278877, #278878,#278879,#278880,#278881,#278882,#278883,#278884,#278885,#278886, #278887,#278888,#278889,#278890,#278891,#278892,#278893,#278894,#278895, #278896,#278897,#278898,#278899,#278900,#278901,#278902,#278903,#278904, #278905,#278906,#278907,#278908,#278909,#278910,#278911,#278912,#278913, #278914,#278915,#278916,#278917,#278918,#278919,#278920,#278921,#278922, #278923,#278924,#278925,#278926,#278927,#278928,#278929,#278930,#278931, #278932,#278933,#278934,#278935,#278936,#278937,#278938,#278939,#278940, #278941,#278942,#278943,#278944,#278945,#278946,#278947,#278948,#278949, #278950,#278951,#278952,#278953,#278954,#278955,#278956,#278957,#278958, #278959,#278960,#278961,#278962,#278963,#278964,#278965,#278966,#278967, #278968,#278969,#278970,#278971,#278972,#278973,#278974,#278975,#278976, #278977,#278978,#278979,#278980,#278981,#278982,#278983,#278984,#278985, #278986,#278987,#278988,#278989,#278990,#278991,#278992,#278993,#278994, #278995,#278996,#278997,#278998,#278999,#279000,#279001,#279002,#279003, #279004,#279005,#279006,#279007,#279008,#279009,#279010,#279011,#279012, #279013,#279014,#279015,#279016,#279017,#279018)); #52790=EDGE_LOOP('',(#279019,#279020,#279021,#279022,#279023,#279024,#279025, #279026,#279027,#279028,#279029,#279030,#279031,#279032,#279033,#279034)); #52791=EDGE_LOOP('',(#279035,#279036,#279037,#279038,#279039,#279040,#279041, #279042,#279043,#279044,#279045,#279046,#279047,#279048,#279049,#279050, #279051,#279052,#279053,#279054,#279055,#279056,#279057,#279058,#279059, #279060,#279061,#279062,#279063,#279064,#279065,#279066,#279067,#279068, #279069,#279070,#279071,#279072,#279073,#279074)); #52792=EDGE_LOOP('',(#279075,#279076,#279077,#279078,#279079,#279080,#279081, #279082,#279083,#279084,#279085,#279086,#279087,#279088,#279089,#279090, #279091,#279092,#279093,#279094,#279095,#279096,#279097,#279098,#279099, #279100,#279101,#279102,#279103,#279104,#279105,#279106,#279107,#279108)); #52793=EDGE_LOOP('',(#279109,#279110,#279111,#279112,#279113,#279114,#279115, #279116,#279117,#279118,#279119,#279120,#279121,#279122,#279123,#279124, #279125,#279126,#279127,#279128,#279129,#279130,#279131,#279132,#279133, #279134,#279135,#279136,#279137,#279138,#279139,#279140,#279141,#279142)); #52794=EDGE_LOOP('',(#279143,#279144,#279145,#279146,#279147,#279148,#279149, #279150,#279151,#279152,#279153,#279154,#279155,#279156,#279157,#279158, #279159,#279160,#279161,#279162,#279163,#279164,#279165,#279166,#279167, #279168,#279169,#279170,#279171,#279172,#279173,#279174,#279175,#279176, #279177,#279178,#279179,#279180,#279181,#279182,#279183,#279184,#279185, #279186,#279187,#279188,#279189,#279190,#279191,#279192,#279193,#279194, #279195,#279196,#279197,#279198,#279199,#279200,#279201,#279202)); #52795=EDGE_LOOP('',(#279203,#279204,#279205,#279206,#279207,#279208,#279209, #279210)); #52796=EDGE_LOOP('',(#279211,#279212,#279213,#279214,#279215,#279216,#279217, #279218)); #52797=EDGE_LOOP('',(#279219,#279220,#279221,#279222,#279223,#279224,#279225, #279226)); #52798=EDGE_LOOP('',(#279227,#279228,#279229,#279230,#279231,#279232,#279233, #279234,#279235,#279236,#279237,#279238,#279239,#279240,#279241,#279242, #279243,#279244,#279245,#279246,#279247,#279248,#279249,#279250,#279251, #279252,#279253,#279254,#279255,#279256,#279257,#279258,#279259,#279260, #279261,#279262,#279263,#279264,#279265,#279266,#279267,#279268,#279269, #279270,#279271,#279272,#279273,#279274,#279275,#279276,#279277,#279278, #279279,#279280,#279281,#279282,#279283,#279284,#279285,#279286,#279287, #279288,#279289,#279290,#279291,#279292,#279293,#279294,#279295,#279296, #279297,#279298,#279299,#279300,#279301,#279302,#279303,#279304,#279305, #279306,#279307,#279308,#279309,#279310,#279311,#279312,#279313,#279314, #279315,#279316,#279317,#279318,#279319,#279320,#279321,#279322,#279323, #279324,#279325,#279326,#279327,#279328,#279329,#279330,#279331,#279332, #279333,#279334,#279335,#279336,#279337,#279338,#279339,#279340,#279341, #279342,#279343,#279344,#279345,#279346,#279347,#279348,#279349,#279350, #279351,#279352,#279353,#279354,#279355,#279356,#279357,#279358)); #52799=EDGE_LOOP('',(#279359,#279360,#279361,#279362,#279363,#279364,#279365, #279366,#279367,#279368,#279369,#279370,#279371,#279372,#279373,#279374, #279375,#279376,#279377,#279378,#279379,#279380,#279381,#279382,#279383, #279384,#279385,#279386,#279387,#279388,#279389,#279390,#279391,#279392, #279393,#279394,#279395,#279396,#279397,#279398,#279399,#279400,#279401, #279402,#279403,#279404,#279405,#279406,#279407,#279408,#279409,#279410, #279411,#279412,#279413,#279414,#279415,#279416,#279417,#279418,#279419, #279420,#279421,#279422,#279423,#279424,#279425,#279426,#279427,#279428, #279429,#279430,#279431,#279432,#279433,#279434,#279435,#279436,#279437, #279438,#279439,#279440,#279441,#279442,#279443,#279444,#279445,#279446, #279447,#279448,#279449,#279450,#279451,#279452,#279453,#279454,#279455, #279456,#279457,#279458,#279459,#279460,#279461,#279462,#279463,#279464, #279465,#279466,#279467,#279468,#279469,#279470,#279471,#279472,#279473, #279474,#279475,#279476,#279477,#279478)); #52800=EDGE_LOOP('',(#279479)); #52801=EDGE_LOOP('',(#279480)); #52802=EDGE_LOOP('',(#279481)); #52803=EDGE_LOOP('',(#279482)); #52804=EDGE_LOOP('',(#279483)); #52805=EDGE_LOOP('',(#279484)); #52806=EDGE_LOOP('',(#279485)); #52807=EDGE_LOOP('',(#279486)); #52808=EDGE_LOOP('',(#279487)); #52809=EDGE_LOOP('',(#279488)); #52810=EDGE_LOOP('',(#279489)); #52811=EDGE_LOOP('',(#279490)); #52812=EDGE_LOOP('',(#279491)); #52813=EDGE_LOOP('',(#279492)); #52814=EDGE_LOOP('',(#279493)); #52815=EDGE_LOOP('',(#279494)); #52816=EDGE_LOOP('',(#279495,#279496,#279497,#279498)); #52817=EDGE_LOOP('',(#279499,#279500,#279501,#279502)); #52818=EDGE_LOOP('',(#279503)); #52819=EDGE_LOOP('',(#279504)); #52820=EDGE_LOOP('',(#279505)); #52821=EDGE_LOOP('',(#279506)); #52822=EDGE_LOOP('',(#279507)); #52823=EDGE_LOOP('',(#279508)); #52824=EDGE_LOOP('',(#279509)); #52825=EDGE_LOOP('',(#279510)); #52826=EDGE_LOOP('',(#279511,#279512,#279513,#279514)); #52827=EDGE_LOOP('',(#279515,#279516,#279517,#279518)); #52828=EDGE_LOOP('',(#279519)); #52829=EDGE_LOOP('',(#279520)); #52830=EDGE_LOOP('',(#279521)); #52831=EDGE_LOOP('',(#279522)); #52832=EDGE_LOOP('',(#279523)); #52833=EDGE_LOOP('',(#279524)); #52834=EDGE_LOOP('',(#279525)); #52835=EDGE_LOOP('',(#279526)); #52836=EDGE_LOOP('',(#279527)); #52837=EDGE_LOOP('',(#279528)); #52838=EDGE_LOOP('',(#279529)); #52839=EDGE_LOOP('',(#279530)); #52840=EDGE_LOOP('',(#279531)); #52841=EDGE_LOOP('',(#279532)); #52842=EDGE_LOOP('',(#279533)); #52843=EDGE_LOOP('',(#279534)); #52844=EDGE_LOOP('',(#279535)); #52845=EDGE_LOOP('',(#279536)); #52846=EDGE_LOOP('',(#279537)); #52847=EDGE_LOOP('',(#279538)); #52848=EDGE_LOOP('',(#279539)); #52849=EDGE_LOOP('',(#279540)); #52850=EDGE_LOOP('',(#279541)); #52851=EDGE_LOOP('',(#279542)); #52852=EDGE_LOOP('',(#279543)); #52853=EDGE_LOOP('',(#279544)); #52854=EDGE_LOOP('',(#279545)); #52855=EDGE_LOOP('',(#279546)); #52856=EDGE_LOOP('',(#279547)); #52857=EDGE_LOOP('',(#279548)); #52858=EDGE_LOOP('',(#279549)); #52859=EDGE_LOOP('',(#279550)); #52860=EDGE_LOOP('',(#279551)); #52861=EDGE_LOOP('',(#279552)); #52862=EDGE_LOOP('',(#279553)); #52863=EDGE_LOOP('',(#279554)); #52864=EDGE_LOOP('',(#279555)); #52865=EDGE_LOOP('',(#279556)); #52866=EDGE_LOOP('',(#279557)); #52867=EDGE_LOOP('',(#279558)); #52868=EDGE_LOOP('',(#279559)); #52869=EDGE_LOOP('',(#279560)); #52870=EDGE_LOOP('',(#279561)); #52871=EDGE_LOOP('',(#279562)); #52872=EDGE_LOOP('',(#279563)); #52873=EDGE_LOOP('',(#279564)); #52874=EDGE_LOOP('',(#279565)); #52875=EDGE_LOOP('',(#279566)); #52876=EDGE_LOOP('',(#279567)); #52877=EDGE_LOOP('',(#279568)); #52878=EDGE_LOOP('',(#279569)); #52879=EDGE_LOOP('',(#279570)); #52880=EDGE_LOOP('',(#279571)); #52881=EDGE_LOOP('',(#279572)); #52882=EDGE_LOOP('',(#279573)); #52883=EDGE_LOOP('',(#279574)); #52884=EDGE_LOOP('',(#279575)); #52885=EDGE_LOOP('',(#279576)); #52886=EDGE_LOOP('',(#279577)); #52887=EDGE_LOOP('',(#279578)); #52888=EDGE_LOOP('',(#279579)); #52889=EDGE_LOOP('',(#279580)); #52890=EDGE_LOOP('',(#279581)); #52891=EDGE_LOOP('',(#279582)); #52892=EDGE_LOOP('',(#279583)); #52893=EDGE_LOOP('',(#279584)); #52894=EDGE_LOOP('',(#279585)); #52895=EDGE_LOOP('',(#279586)); #52896=EDGE_LOOP('',(#279587)); #52897=EDGE_LOOP('',(#279588)); #52898=EDGE_LOOP('',(#279589)); #52899=EDGE_LOOP('',(#279590)); #52900=EDGE_LOOP('',(#279591)); #52901=EDGE_LOOP('',(#279592)); #52902=EDGE_LOOP('',(#279593)); #52903=EDGE_LOOP('',(#279594)); #52904=EDGE_LOOP('',(#279595)); #52905=EDGE_LOOP('',(#279596)); #52906=EDGE_LOOP('',(#279597)); #52907=EDGE_LOOP('',(#279598)); #52908=EDGE_LOOP('',(#279599)); #52909=EDGE_LOOP('',(#279600)); #52910=EDGE_LOOP('',(#279601)); #52911=EDGE_LOOP('',(#279602)); #52912=EDGE_LOOP('',(#279603)); #52913=EDGE_LOOP('',(#279604)); #52914=EDGE_LOOP('',(#279605)); #52915=EDGE_LOOP('',(#279606)); #52916=EDGE_LOOP('',(#279607)); #52917=EDGE_LOOP('',(#279608)); #52918=EDGE_LOOP('',(#279609)); #52919=EDGE_LOOP('',(#279610)); #52920=EDGE_LOOP('',(#279611)); #52921=EDGE_LOOP('',(#279612)); #52922=EDGE_LOOP('',(#279613)); #52923=EDGE_LOOP('',(#279614)); #52924=EDGE_LOOP('',(#279615)); #52925=EDGE_LOOP('',(#279616)); #52926=EDGE_LOOP('',(#279617)); #52927=EDGE_LOOP('',(#279618,#279619,#279620,#279621,#279622,#279623,#279624, #279625,#279626,#279627,#279628,#279629,#279630,#279631,#279632,#279633, #279634,#279635,#279636,#279637,#279638,#279639,#279640,#279641,#279642, #279643,#279644,#279645,#279646,#279647)); #52928=EDGE_LOOP('',(#279648,#279649,#279650,#279651,#279652,#279653,#279654, #279655,#279656,#279657,#279658,#279659,#279660,#279661,#279662,#279663, #279664,#279665,#279666,#279667,#279668,#279669,#279670,#279671,#279672, #279673,#279674,#279675,#279676,#279677)); #52929=EDGE_LOOP('',(#279678,#279679,#279680,#279681,#279682,#279683,#279684, #279685,#279686,#279687,#279688,#279689,#279690,#279691,#279692,#279693, #279694,#279695,#279696,#279697,#279698,#279699,#279700,#279701,#279702, #279703,#279704,#279705,#279706,#279707)); #52930=EDGE_LOOP('',(#279708,#279709,#279710,#279711,#279712,#279713,#279714, #279715,#279716,#279717,#279718,#279719,#279720,#279721,#279722,#279723, #279724,#279725,#279726,#279727,#279728,#279729,#279730,#279731,#279732, #279733,#279734,#279735,#279736,#279737)); #52931=EDGE_LOOP('',(#279738,#279739,#279740,#279741,#279742,#279743,#279744, #279745,#279746,#279747,#279748,#279749,#279750,#279751,#279752,#279753, #279754,#279755,#279756,#279757,#279758,#279759,#279760,#279761)); #52932=EDGE_LOOP('',(#279762,#279763,#279764,#279765,#279766,#279767,#279768, #279769,#279770,#279771,#279772,#279773,#279774,#279775,#279776,#279777, #279778,#279779,#279780,#279781,#279782,#279783,#279784,#279785,#279786, #279787,#279788,#279789,#279790,#279791,#279792,#279793,#279794,#279795, #279796,#279797,#279798,#279799,#279800,#279801,#279802,#279803,#279804, #279805,#279806,#279807,#279808,#279809,#279810,#279811,#279812,#279813, #279814,#279815,#279816,#279817,#279818,#279819,#279820,#279821,#279822, #279823,#279824,#279825,#279826,#279827,#279828,#279829,#279830,#279831, #279832,#279833,#279834,#279835,#279836,#279837,#279838,#279839,#279840, #279841,#279842,#279843,#279844,#279845,#279846,#279847,#279848,#279849, #279850,#279851,#279852,#279853,#279854,#279855,#279856,#279857,#279858, #279859,#279860,#279861)); #52933=EDGE_LOOP('',(#279862,#279863,#279864,#279865,#279866,#279867,#279868, #279869,#279870,#279871,#279872,#279873,#279874,#279875,#279876,#279877, #279878,#279879,#279880,#279881,#279882,#279883,#279884,#279885,#279886, #279887,#279888,#279889,#279890,#279891,#279892,#279893,#279894,#279895, #279896,#279897,#279898,#279899,#279900,#279901,#279902,#279903,#279904, #279905,#279906,#279907,#279908,#279909,#279910,#279911,#279912,#279913, #279914,#279915,#279916,#279917,#279918,#279919,#279920,#279921,#279922, #279923,#279924,#279925,#279926,#279927,#279928,#279929,#279930,#279931, #279932,#279933,#279934,#279935,#279936,#279937,#279938,#279939,#279940, #279941,#279942,#279943,#279944,#279945,#279946,#279947,#279948,#279949, #279950,#279951,#279952,#279953,#279954,#279955,#279956,#279957,#279958, #279959,#279960,#279961,#279962,#279963,#279964,#279965,#279966,#279967, #279968,#279969,#279970,#279971,#279972,#279973,#279974,#279975,#279976, #279977,#279978,#279979,#279980,#279981,#279982,#279983,#279984,#279985, #279986,#279987,#279988,#279989,#279990,#279991,#279992,#279993,#279994, #279995,#279996,#279997,#279998,#279999,#280000,#280001,#280002,#280003, #280004,#280005,#280006,#280007,#280008,#280009,#280010,#280011,#280012, #280013,#280014,#280015,#280016,#280017,#280018,#280019,#280020,#280021, #280022,#280023,#280024,#280025,#280026,#280027,#280028,#280029,#280030, #280031,#280032,#280033,#280034,#280035,#280036,#280037,#280038,#280039, #280040,#280041,#280042,#280043,#280044,#280045,#280046,#280047,#280048, #280049,#280050,#280051,#280052,#280053,#280054,#280055,#280056,#280057, #280058,#280059,#280060,#280061,#280062,#280063,#280064,#280065,#280066)); #52934=EDGE_LOOP('',(#280067,#280068,#280069,#280070,#280071,#280072,#280073, #280074,#280075,#280076,#280077,#280078,#280079,#280080,#280081,#280082)); #52935=EDGE_LOOP('',(#280083,#280084,#280085,#280086,#280087,#280088,#280089, #280090,#280091,#280092,#280093,#280094,#280095,#280096,#280097,#280098, #280099,#280100,#280101,#280102,#280103,#280104,#280105,#280106,#280107, #280108,#280109,#280110,#280111,#280112,#280113,#280114,#280115,#280116, #280117,#280118,#280119,#280120,#280121,#280122,#280123,#280124,#280125, #280126,#280127,#280128,#280129,#280130,#280131,#280132,#280133,#280134, #280135,#280136,#280137,#280138,#280139,#280140,#280141,#280142,#280143, #280144,#280145,#280146,#280147,#280148,#280149,#280150,#280151,#280152, #280153,#280154,#280155,#280156,#280157,#280158,#280159,#280160,#280161, #280162,#280163,#280164,#280165,#280166,#280167,#280168,#280169,#280170, #280171,#280172,#280173,#280174,#280175,#280176,#280177,#280178,#280179, #280180,#280181,#280182,#280183,#280184,#280185,#280186,#280187,#280188, #280189,#280190,#280191,#280192,#280193,#280194,#280195,#280196,#280197, #280198,#280199,#280200,#280201,#280202,#280203,#280204,#280205,#280206, #280207,#280208,#280209,#280210,#280211,#280212,#280213,#280214,#280215, #280216,#280217,#280218,#280219,#280220,#280221,#280222,#280223,#280224, #280225,#280226,#280227,#280228,#280229,#280230,#280231,#280232,#280233, #280234,#280235,#280236,#280237,#280238,#280239,#280240,#280241,#280242, #280243,#280244,#280245,#280246,#280247,#280248,#280249,#280250,#280251, #280252,#280253,#280254,#280255,#280256,#280257,#280258,#280259,#280260, #280261,#280262,#280263,#280264,#280265,#280266,#280267,#280268,#280269, #280270,#280271,#280272,#280273,#280274,#280275,#280276,#280277,#280278, #280279,#280280,#280281,#280282,#280283,#280284,#280285,#280286,#280287, #280288,#280289,#280290,#280291,#280292,#280293,#280294,#280295,#280296, #280297,#280298,#280299,#280300,#280301,#280302,#280303,#280304,#280305, #280306,#280307,#280308,#280309,#280310,#280311,#280312,#280313,#280314, #280315,#280316,#280317,#280318,#280319,#280320,#280321,#280322,#280323, #280324,#280325,#280326,#280327,#280328,#280329,#280330,#280331,#280332, #280333,#280334,#280335,#280336,#280337,#280338,#280339,#280340,#280341, #280342,#280343,#280344,#280345,#280346,#280347,#280348,#280349,#280350, #280351,#280352,#280353,#280354,#280355,#280356,#280357,#280358,#280359, #280360,#280361,#280362,#280363,#280364,#280365,#280366,#280367,#280368, #280369,#280370,#280371,#280372,#280373,#280374,#280375,#280376,#280377, #280378,#280379,#280380,#280381,#280382,#280383,#280384,#280385,#280386, #280387,#280388,#280389,#280390,#280391,#280392,#280393,#280394,#280395, #280396,#280397,#280398,#280399,#280400,#280401,#280402,#280403,#280404, #280405,#280406,#280407,#280408,#280409,#280410,#280411,#280412,#280413, #280414,#280415,#280416,#280417,#280418,#280419,#280420,#280421,#280422, #280423,#280424,#280425,#280426,#280427,#280428,#280429,#280430,#280431, #280432,#280433,#280434,#280435,#280436,#280437,#280438,#280439,#280440, #280441,#280442,#280443,#280444,#280445,#280446,#280447,#280448,#280449, #280450,#280451,#280452,#280453,#280454,#280455,#280456,#280457,#280458, #280459,#280460,#280461,#280462,#280463,#280464,#280465,#280466,#280467, #280468,#280469,#280470,#280471,#280472,#280473,#280474,#280475,#280476, #280477,#280478,#280479,#280480,#280481,#280482,#280483,#280484,#280485, #280486,#280487,#280488,#280489,#280490,#280491,#280492,#280493,#280494, #280495,#280496,#280497,#280498,#280499,#280500,#280501,#280502,#280503, #280504,#280505,#280506,#280507,#280508,#280509,#280510,#280511,#280512, #280513,#280514,#280515,#280516,#280517,#280518,#280519,#280520,#280521, #280522,#280523,#280524,#280525,#280526,#280527,#280528,#280529,#280530, #280531,#280532,#280533,#280534,#280535,#280536,#280537,#280538,#280539, #280540,#280541,#280542,#280543,#280544,#280545,#280546,#280547,#280548, #280549,#280550,#280551,#280552,#280553,#280554,#280555,#280556,#280557, #280558,#280559,#280560,#280561,#280562,#280563,#280564,#280565,#280566, #280567,#280568,#280569,#280570,#280571,#280572,#280573,#280574,#280575, #280576,#280577,#280578,#280579,#280580,#280581,#280582,#280583,#280584, #280585,#280586,#280587,#280588,#280589,#280590,#280591,#280592,#280593, #280594,#280595,#280596,#280597,#280598,#280599)); #52936=EDGE_LOOP('',(#280600,#280601,#280602,#280603,#280604,#280605,#280606, #280607,#280608,#280609,#280610,#280611,#280612,#280613,#280614,#280615)); #52937=EDGE_LOOP('',(#280616,#280617,#280618,#280619,#280620,#280621,#280622, #280623,#280624,#280625,#280626,#280627,#280628,#280629,#280630,#280631, #280632,#280633,#280634,#280635,#280636,#280637,#280638,#280639,#280640, #280641,#280642,#280643,#280644,#280645,#280646,#280647,#280648,#280649, #280650,#280651,#280652,#280653,#280654,#280655)); #52938=EDGE_LOOP('',(#280656,#280657,#280658,#280659,#280660,#280661,#280662, #280663,#280664,#280665,#280666,#280667,#280668,#280669,#280670,#280671, #280672,#280673,#280674,#280675,#280676,#280677,#280678,#280679,#280680, #280681,#280682,#280683,#280684,#280685,#280686,#280687,#280688,#280689)); #52939=EDGE_LOOP('',(#280690,#280691,#280692,#280693,#280694,#280695,#280696, #280697,#280698,#280699,#280700,#280701,#280702,#280703,#280704,#280705, #280706,#280707,#280708,#280709,#280710,#280711,#280712,#280713,#280714, #280715,#280716,#280717,#280718,#280719,#280720,#280721,#280722,#280723)); #52940=EDGE_LOOP('',(#280724,#280725,#280726,#280727,#280728,#280729,#280730, #280731,#280732,#280733,#280734,#280735,#280736,#280737,#280738,#280739, #280740,#280741,#280742,#280743,#280744,#280745,#280746,#280747,#280748, #280749,#280750,#280751,#280752,#280753,#280754,#280755,#280756,#280757, #280758,#280759,#280760,#280761,#280762,#280763,#280764,#280765,#280766, #280767,#280768,#280769,#280770,#280771,#280772,#280773,#280774,#280775, #280776,#280777,#280778,#280779,#280780,#280781,#280782,#280783)); #52941=EDGE_LOOP('',(#280784,#280785,#280786,#280787,#280788,#280789,#280790, #280791)); #52942=EDGE_LOOP('',(#280792,#280793,#280794,#280795,#280796,#280797,#280798, #280799)); #52943=EDGE_LOOP('',(#280800,#280801,#280802,#280803,#280804,#280805,#280806, #280807)); #52944=EDGE_LOOP('',(#280808,#280809,#280810,#280811,#280812,#280813,#280814, #280815,#280816,#280817,#280818,#280819,#280820,#280821,#280822,#280823, #280824,#280825,#280826,#280827,#280828,#280829,#280830,#280831,#280832, #280833,#280834,#280835,#280836,#280837,#280838,#280839,#280840,#280841, #280842,#280843,#280844,#280845,#280846,#280847,#280848,#280849,#280850, #280851,#280852,#280853,#280854,#280855,#280856,#280857,#280858,#280859, #280860,#280861,#280862,#280863,#280864,#280865,#280866,#280867,#280868, #280869,#280870,#280871,#280872,#280873,#280874,#280875,#280876,#280877, #280878,#280879,#280880,#280881,#280882,#280883,#280884,#280885,#280886, #280887,#280888,#280889,#280890,#280891,#280892,#280893,#280894,#280895, #280896,#280897,#280898,#280899,#280900,#280901,#280902,#280903,#280904, #280905,#280906,#280907,#280908,#280909,#280910,#280911,#280912,#280913, #280914,#280915,#280916,#280917,#280918,#280919,#280920,#280921,#280922, #280923,#280924,#280925,#280926,#280927,#280928,#280929,#280930,#280931, #280932,#280933,#280934,#280935,#280936,#280937,#280938,#280939)); #52945=EDGE_LOOP('',(#280940,#280941,#280942,#280943)); #52946=EDGE_LOOP('',(#280944,#280945,#280946,#280947)); #52947=EDGE_LOOP('',(#280948,#280949,#280950,#280951)); #52948=EDGE_LOOP('',(#280952,#280953,#280954,#280955)); #52949=EDGE_LOOP('',(#280956,#280957,#280958,#280959)); #52950=EDGE_LOOP('',(#280960,#280961,#280962,#280963)); #52951=EDGE_LOOP('',(#280964,#280965,#280966,#280967)); #52952=EDGE_LOOP('',(#280968,#280969,#280970,#280971)); #52953=EDGE_LOOP('',(#280972,#280973,#280974,#280975)); #52954=EDGE_LOOP('',(#280976,#280977,#280978,#280979)); #52955=EDGE_LOOP('',(#280980,#280981,#280982,#280983)); #52956=EDGE_LOOP('',(#280984,#280985,#280986,#280987)); #52957=EDGE_LOOP('',(#280988,#280989,#280990,#280991)); #52958=EDGE_LOOP('',(#280992,#280993,#280994,#280995)); #52959=EDGE_LOOP('',(#280996,#280997,#280998,#280999)); #52960=EDGE_LOOP('',(#281000,#281001,#281002,#281003)); #52961=EDGE_LOOP('',(#281004,#281005,#281006,#281007)); #52962=EDGE_LOOP('',(#281008,#281009,#281010,#281011)); #52963=EDGE_LOOP('',(#281012,#281013,#281014,#281015)); #52964=EDGE_LOOP('',(#281016,#281017,#281018,#281019)); #52965=EDGE_LOOP('',(#281020,#281021,#281022,#281023)); #52966=EDGE_LOOP('',(#281024,#281025,#281026,#281027)); #52967=EDGE_LOOP('',(#281028,#281029,#281030,#281031)); #52968=EDGE_LOOP('',(#281032,#281033,#281034,#281035)); #52969=EDGE_LOOP('',(#281036,#281037,#281038,#281039)); #52970=EDGE_LOOP('',(#281040,#281041,#281042,#281043)); #52971=EDGE_LOOP('',(#281044,#281045,#281046,#281047)); #52972=EDGE_LOOP('',(#281048,#281049,#281050,#281051)); #52973=EDGE_LOOP('',(#281052,#281053,#281054,#281055)); #52974=EDGE_LOOP('',(#281056,#281057,#281058,#281059)); #52975=EDGE_LOOP('',(#281060,#281061,#281062,#281063)); #52976=EDGE_LOOP('',(#281064,#281065,#281066,#281067)); #52977=EDGE_LOOP('',(#281068,#281069,#281070,#281071,#281072,#281073,#281074, #281075,#281076,#281077,#281078,#281079,#281080,#281081,#281082,#281083, #281084,#281085,#281086,#281087,#281088,#281089,#281090,#281091,#281092, #281093,#281094,#281095,#281096,#281097,#281098,#281099)); #52978=EDGE_LOOP('',(#281100,#281101,#281102,#281103,#281104,#281105,#281106, #281107,#281108,#281109,#281110,#281111,#281112,#281113,#281114,#281115, #281116,#281117,#281118,#281119,#281120,#281121,#281122,#281123,#281124, #281125,#281126,#281127,#281128,#281129,#281130,#281131)); #52979=EDGE_LOOP('',(#281132,#281133,#281134,#281135)); #52980=EDGE_LOOP('',(#281136,#281137,#281138,#281139)); #52981=EDGE_LOOP('',(#281140,#281141,#281142,#281143)); #52982=EDGE_LOOP('',(#281144,#281145,#281146,#281147)); #52983=EDGE_LOOP('',(#281148,#281149,#281150,#281151)); #52984=EDGE_LOOP('',(#281152,#281153,#281154,#281155)); #52985=EDGE_LOOP('',(#281156,#281157,#281158,#281159)); #52986=EDGE_LOOP('',(#281160,#281161,#281162,#281163)); #52987=EDGE_LOOP('',(#281164,#281165,#281166,#281167)); #52988=EDGE_LOOP('',(#281168,#281169,#281170,#281171)); #52989=EDGE_LOOP('',(#281172,#281173,#281174,#281175)); #52990=EDGE_LOOP('',(#281176,#281177,#281178,#281179)); #52991=EDGE_LOOP('',(#281180,#281181,#281182,#281183)); #52992=EDGE_LOOP('',(#281184,#281185,#281186,#281187)); #52993=EDGE_LOOP('',(#281188,#281189,#281190,#281191)); #52994=EDGE_LOOP('',(#281192,#281193,#281194,#281195)); #52995=EDGE_LOOP('',(#281196,#281197,#281198,#281199)); #52996=EDGE_LOOP('',(#281200,#281201,#281202,#281203)); #52997=EDGE_LOOP('',(#281204,#281205,#281206,#281207)); #52998=EDGE_LOOP('',(#281208,#281209,#281210,#281211)); #52999=EDGE_LOOP('',(#281212,#281213,#281214,#281215)); #53000=EDGE_LOOP('',(#281216,#281217,#281218,#281219,#281220,#281221,#281222, #281223,#281224,#281225,#281226,#281227,#281228,#281229,#281230,#281231, #281232,#281233,#281234,#281235,#281236)); #53001=EDGE_LOOP('',(#281237,#281238,#281239,#281240,#281241,#281242,#281243, #281244,#281245,#281246,#281247,#281248,#281249,#281250,#281251,#281252, #281253,#281254,#281255,#281256,#281257)); #53002=EDGE_LOOP('',(#281258,#281259,#281260,#281261)); #53003=EDGE_LOOP('',(#281262,#281263,#281264,#281265)); #53004=EDGE_LOOP('',(#281266,#281267,#281268,#281269)); #53005=EDGE_LOOP('',(#281270,#281271,#281272,#281273)); #53006=EDGE_LOOP('',(#281274,#281275,#281276,#281277)); #53007=EDGE_LOOP('',(#281278,#281279,#281280,#281281)); #53008=EDGE_LOOP('',(#281282,#281283,#281284,#281285)); #53009=EDGE_LOOP('',(#281286,#281287,#281288,#281289)); #53010=EDGE_LOOP('',(#281290,#281291,#281292,#281293)); #53011=EDGE_LOOP('',(#281294,#281295,#281296,#281297)); #53012=EDGE_LOOP('',(#281298,#281299,#281300,#281301)); #53013=EDGE_LOOP('',(#281302,#281303,#281304,#281305)); #53014=EDGE_LOOP('',(#281306,#281307,#281308,#281309)); #53015=EDGE_LOOP('',(#281310,#281311,#281312,#281313)); #53016=EDGE_LOOP('',(#281314,#281315,#281316,#281317)); #53017=EDGE_LOOP('',(#281318,#281319,#281320,#281321)); #53018=EDGE_LOOP('',(#281322,#281323,#281324,#281325)); #53019=EDGE_LOOP('',(#281326,#281327,#281328,#281329)); #53020=EDGE_LOOP('',(#281330,#281331,#281332,#281333)); #53021=EDGE_LOOP('',(#281334,#281335,#281336,#281337)); #53022=EDGE_LOOP('',(#281338,#281339,#281340,#281341)); #53023=EDGE_LOOP('',(#281342,#281343,#281344,#281345)); #53024=EDGE_LOOP('',(#281346,#281347,#281348,#281349)); #53025=EDGE_LOOP('',(#281350,#281351,#281352,#281353)); #53026=EDGE_LOOP('',(#281354,#281355,#281356,#281357)); #53027=EDGE_LOOP('',(#281358,#281359,#281360,#281361)); #53028=EDGE_LOOP('',(#281362,#281363,#281364,#281365)); #53029=EDGE_LOOP('',(#281366,#281367,#281368,#281369)); #53030=EDGE_LOOP('',(#281370,#281371,#281372,#281373)); #53031=EDGE_LOOP('',(#281374,#281375,#281376,#281377)); #53032=EDGE_LOOP('',(#281378,#281379,#281380,#281381)); #53033=EDGE_LOOP('',(#281382,#281383,#281384,#281385)); #53034=EDGE_LOOP('',(#281386,#281387,#281388,#281389)); #53035=EDGE_LOOP('',(#281390,#281391,#281392,#281393)); #53036=EDGE_LOOP('',(#281394,#281395,#281396,#281397)); #53037=EDGE_LOOP('',(#281398,#281399,#281400,#281401)); #53038=EDGE_LOOP('',(#281402,#281403,#281404,#281405)); #53039=EDGE_LOOP('',(#281406,#281407,#281408,#281409)); #53040=EDGE_LOOP('',(#281410,#281411,#281412,#281413)); #53041=EDGE_LOOP('',(#281414,#281415,#281416,#281417)); #53042=EDGE_LOOP('',(#281418,#281419,#281420,#281421)); #53043=EDGE_LOOP('',(#281422,#281423,#281424,#281425)); #53044=EDGE_LOOP('',(#281426,#281427,#281428,#281429)); #53045=EDGE_LOOP('',(#281430,#281431,#281432,#281433)); #53046=EDGE_LOOP('',(#281434,#281435,#281436,#281437)); #53047=EDGE_LOOP('',(#281438,#281439,#281440,#281441)); #53048=EDGE_LOOP('',(#281442,#281443,#281444,#281445)); #53049=EDGE_LOOP('',(#281446,#281447,#281448,#281449)); #53050=EDGE_LOOP('',(#281450,#281451,#281452,#281453)); #53051=EDGE_LOOP('',(#281454,#281455,#281456,#281457)); #53052=EDGE_LOOP('',(#281458,#281459,#281460,#281461)); #53053=EDGE_LOOP('',(#281462,#281463,#281464,#281465)); #53054=EDGE_LOOP('',(#281466,#281467,#281468,#281469)); #53055=EDGE_LOOP('',(#281470,#281471,#281472,#281473)); #53056=EDGE_LOOP('',(#281474,#281475,#281476,#281477)); #53057=EDGE_LOOP('',(#281478,#281479,#281480,#281481)); #53058=EDGE_LOOP('',(#281482,#281483,#281484,#281485)); #53059=EDGE_LOOP('',(#281486,#281487,#281488,#281489)); #53060=EDGE_LOOP('',(#281490,#281491,#281492,#281493)); #53061=EDGE_LOOP('',(#281494,#281495,#281496,#281497)); #53062=EDGE_LOOP('',(#281498,#281499,#281500,#281501)); #53063=EDGE_LOOP('',(#281502,#281503,#281504,#281505)); #53064=EDGE_LOOP('',(#281506,#281507,#281508,#281509)); #53065=EDGE_LOOP('',(#281510,#281511,#281512,#281513)); #53066=EDGE_LOOP('',(#281514,#281515,#281516,#281517)); #53067=EDGE_LOOP('',(#281518,#281519,#281520,#281521)); #53068=EDGE_LOOP('',(#281522,#281523,#281524,#281525)); #53069=EDGE_LOOP('',(#281526,#281527,#281528,#281529)); #53070=EDGE_LOOP('',(#281530,#281531,#281532,#281533)); #53071=EDGE_LOOP('',(#281534,#281535,#281536,#281537)); #53072=EDGE_LOOP('',(#281538,#281539,#281540,#281541)); #53073=EDGE_LOOP('',(#281542,#281543,#281544,#281545)); #53074=EDGE_LOOP('',(#281546,#281547,#281548,#281549)); #53075=EDGE_LOOP('',(#281550,#281551,#281552,#281553)); #53076=EDGE_LOOP('',(#281554,#281555,#281556,#281557)); #53077=EDGE_LOOP('',(#281558,#281559,#281560,#281561)); #53078=EDGE_LOOP('',(#281562,#281563,#281564,#281565,#281566,#281567,#281568, #281569,#281570,#281571,#281572,#281573,#281574,#281575,#281576,#281577, #281578,#281579,#281580,#281581,#281582,#281583,#281584,#281585,#281586, #281587,#281588,#281589,#281590,#281591,#281592,#281593,#281594,#281595, #281596,#281597,#281598,#281599,#281600,#281601,#281602,#281603,#281604, #281605,#281606,#281607,#281608,#281609,#281610,#281611,#281612,#281613, #281614,#281615,#281616,#281617,#281618,#281619,#281620,#281621,#281622, #281623,#281624,#281625,#281626,#281627,#281628,#281629,#281630,#281631, #281632,#281633)); #53079=EDGE_LOOP('',(#281634,#281635,#281636,#281637)); #53080=EDGE_LOOP('',(#281638,#281639,#281640,#281641,#281642,#281643,#281644, #281645,#281646,#281647,#281648,#281649,#281650,#281651,#281652,#281653, #281654,#281655,#281656,#281657,#281658,#281659,#281660,#281661,#281662, #281663,#281664,#281665,#281666,#281667,#281668,#281669,#281670,#281671, #281672,#281673,#281674,#281675,#281676,#281677,#281678,#281679,#281680, #281681,#281682,#281683,#281684,#281685,#281686,#281687,#281688,#281689, #281690,#281691,#281692,#281693,#281694,#281695,#281696,#281697,#281698, #281699,#281700,#281701,#281702,#281703,#281704,#281705,#281706,#281707, #281708,#281709)); #53081=EDGE_LOOP('',(#281710,#281711,#281712,#281713)); #53082=EDGE_LOOP('',(#281714,#281715,#281716,#281717)); #53083=EDGE_LOOP('',(#281718,#281719,#281720,#281721)); #53084=EDGE_LOOP('',(#281722,#281723,#281724,#281725)); #53085=EDGE_LOOP('',(#281726,#281727,#281728,#281729)); #53086=EDGE_LOOP('',(#281730,#281731,#281732,#281733)); #53087=EDGE_LOOP('',(#281734,#281735,#281736,#281737)); #53088=EDGE_LOOP('',(#281738,#281739,#281740,#281741)); #53089=EDGE_LOOP('',(#281742,#281743,#281744,#281745)); #53090=EDGE_LOOP('',(#281746,#281747,#281748,#281749)); #53091=EDGE_LOOP('',(#281750,#281751,#281752,#281753)); #53092=EDGE_LOOP('',(#281754,#281755,#281756,#281757)); #53093=EDGE_LOOP('',(#281758,#281759,#281760,#281761)); #53094=EDGE_LOOP('',(#281762,#281763,#281764,#281765)); #53095=EDGE_LOOP('',(#281766,#281767,#281768,#281769)); #53096=EDGE_LOOP('',(#281770,#281771,#281772,#281773)); #53097=EDGE_LOOP('',(#281774,#281775,#281776,#281777)); #53098=EDGE_LOOP('',(#281778,#281779,#281780,#281781)); #53099=EDGE_LOOP('',(#281782,#281783,#281784,#281785)); #53100=EDGE_LOOP('',(#281786,#281787,#281788,#281789)); #53101=EDGE_LOOP('',(#281790,#281791,#281792,#281793)); #53102=EDGE_LOOP('',(#281794,#281795,#281796,#281797)); #53103=EDGE_LOOP('',(#281798,#281799,#281800,#281801)); #53104=EDGE_LOOP('',(#281802,#281803,#281804,#281805)); #53105=EDGE_LOOP('',(#281806,#281807,#281808,#281809)); #53106=EDGE_LOOP('',(#281810,#281811,#281812,#281813)); #53107=EDGE_LOOP('',(#281814,#281815,#281816,#281817)); #53108=EDGE_LOOP('',(#281818,#281819,#281820,#281821)); #53109=EDGE_LOOP('',(#281822,#281823,#281824,#281825,#281826,#281827,#281828, #281829,#281830,#281831,#281832,#281833,#281834,#281835,#281836,#281837, #281838,#281839,#281840,#281841,#281842,#281843,#281844,#281845,#281846, #281847,#281848)); #53110=EDGE_LOOP('',(#281849,#281850,#281851,#281852,#281853,#281854,#281855, #281856,#281857,#281858,#281859,#281860,#281861,#281862,#281863,#281864, #281865,#281866,#281867,#281868,#281869,#281870,#281871,#281872,#281873, #281874,#281875)); #53111=EDGE_LOOP('',(#281876,#281877,#281878,#281879)); #53112=EDGE_LOOP('',(#281880,#281881,#281882,#281883)); #53113=EDGE_LOOP('',(#281884,#281885,#281886,#281887)); #53114=EDGE_LOOP('',(#281888,#281889,#281890,#281891)); #53115=EDGE_LOOP('',(#281892,#281893,#281894,#281895)); #53116=EDGE_LOOP('',(#281896,#281897,#281898,#281899)); #53117=EDGE_LOOP('',(#281900,#281901,#281902,#281903)); #53118=EDGE_LOOP('',(#281904,#281905,#281906,#281907)); #53119=EDGE_LOOP('',(#281908,#281909,#281910,#281911)); #53120=EDGE_LOOP('',(#281912,#281913,#281914,#281915)); #53121=EDGE_LOOP('',(#281916,#281917,#281918,#281919)); #53122=EDGE_LOOP('',(#281920,#281921,#281922,#281923)); #53123=EDGE_LOOP('',(#281924,#281925,#281926,#281927)); #53124=EDGE_LOOP('',(#281928,#281929,#281930,#281931)); #53125=EDGE_LOOP('',(#281932,#281933,#281934,#281935)); #53126=EDGE_LOOP('',(#281936,#281937,#281938,#281939)); #53127=EDGE_LOOP('',(#281940,#281941,#281942,#281943)); #53128=EDGE_LOOP('',(#281944,#281945,#281946,#281947)); #53129=EDGE_LOOP('',(#281948,#281949,#281950,#281951)); #53130=EDGE_LOOP('',(#281952,#281953,#281954,#281955)); #53131=EDGE_LOOP('',(#281956,#281957,#281958,#281959)); #53132=EDGE_LOOP('',(#281960,#281961,#281962,#281963,#281964,#281965,#281966, #281967,#281968,#281969,#281970,#281971,#281972,#281973,#281974,#281975, #281976,#281977,#281978,#281979,#281980)); #53133=EDGE_LOOP('',(#281981,#281982,#281983,#281984,#281985,#281986,#281987, #281988,#281989,#281990,#281991,#281992,#281993,#281994,#281995,#281996, #281997,#281998,#281999,#282000,#282001)); #53134=EDGE_LOOP('',(#282002,#282003,#282004,#282005)); #53135=EDGE_LOOP('',(#282006,#282007,#282008,#282009)); #53136=EDGE_LOOP('',(#282010,#282011,#282012,#282013)); #53137=EDGE_LOOP('',(#282014,#282015,#282016,#282017)); #53138=EDGE_LOOP('',(#282018,#282019,#282020,#282021)); #53139=EDGE_LOOP('',(#282022,#282023,#282024,#282025)); #53140=EDGE_LOOP('',(#282026,#282027,#282028,#282029)); #53141=EDGE_LOOP('',(#282030,#282031,#282032,#282033)); #53142=EDGE_LOOP('',(#282034,#282035,#282036,#282037)); #53143=EDGE_LOOP('',(#282038,#282039,#282040,#282041)); #53144=EDGE_LOOP('',(#282042,#282043,#282044,#282045)); #53145=EDGE_LOOP('',(#282046,#282047,#282048,#282049)); #53146=EDGE_LOOP('',(#282050,#282051,#282052,#282053)); #53147=EDGE_LOOP('',(#282054,#282055,#282056,#282057)); #53148=EDGE_LOOP('',(#282058,#282059,#282060,#282061)); #53149=EDGE_LOOP('',(#282062,#282063,#282064,#282065)); #53150=EDGE_LOOP('',(#282066,#282067,#282068,#282069)); #53151=EDGE_LOOP('',(#282070,#282071,#282072,#282073)); #53152=EDGE_LOOP('',(#282074,#282075,#282076,#282077)); #53153=EDGE_LOOP('',(#282078,#282079,#282080,#282081)); #53154=EDGE_LOOP('',(#282082,#282083,#282084,#282085,#282086,#282087,#282088, #282089,#282090,#282091,#282092,#282093,#282094,#282095,#282096,#282097, #282098,#282099,#282100,#282101)); #53155=EDGE_LOOP('',(#282102,#282103,#282104,#282105,#282106,#282107,#282108, #282109,#282110,#282111,#282112,#282113,#282114,#282115,#282116,#282117, #282118,#282119,#282120,#282121)); #53156=EDGE_LOOP('',(#282122,#282123,#282124,#282125)); #53157=EDGE_LOOP('',(#282126,#282127,#282128,#282129)); #53158=EDGE_LOOP('',(#282130,#282131,#282132,#282133)); #53159=EDGE_LOOP('',(#282134,#282135,#282136,#282137)); #53160=EDGE_LOOP('',(#282138,#282139,#282140,#282141)); #53161=EDGE_LOOP('',(#282142,#282143,#282144,#282145)); #53162=EDGE_LOOP('',(#282146,#282147,#282148,#282149)); #53163=EDGE_LOOP('',(#282150,#282151,#282152,#282153)); #53164=EDGE_LOOP('',(#282154,#282155,#282156,#282157)); #53165=EDGE_LOOP('',(#282158,#282159,#282160,#282161,#282162,#282163,#282164, #282165)); #53166=EDGE_LOOP('',(#282166)); #53167=EDGE_LOOP('',(#282167,#282168,#282169,#282170,#282171,#282172,#282173, #282174)); #53168=EDGE_LOOP('',(#282175)); #53169=EDGE_LOOP('',(#282176,#282177,#282178,#282179)); #53170=EDGE_LOOP('',(#282180,#282181,#282182,#282183)); #53171=EDGE_LOOP('',(#282184,#282185,#282186,#282187)); #53172=EDGE_LOOP('',(#282188,#282189,#282190,#282191)); #53173=EDGE_LOOP('',(#282192,#282193,#282194,#282195)); #53174=EDGE_LOOP('',(#282196,#282197,#282198,#282199)); #53175=EDGE_LOOP('',(#282200,#282201,#282202,#282203)); #53176=EDGE_LOOP('',(#282204,#282205,#282206,#282207)); #53177=EDGE_LOOP('',(#282208,#282209,#282210,#282211)); #53178=EDGE_LOOP('',(#282212,#282213,#282214,#282215)); #53179=EDGE_LOOP('',(#282216,#282217,#282218,#282219)); #53180=EDGE_LOOP('',(#282220,#282221,#282222,#282223)); #53181=EDGE_LOOP('',(#282224,#282225,#282226,#282227)); #53182=EDGE_LOOP('',(#282228,#282229,#282230,#282231)); #53183=EDGE_LOOP('',(#282232,#282233,#282234,#282235)); #53184=EDGE_LOOP('',(#282236,#282237,#282238,#282239)); #53185=EDGE_LOOP('',(#282240,#282241,#282242,#282243)); #53186=EDGE_LOOP('',(#282244,#282245,#282246,#282247)); #53187=EDGE_LOOP('',(#282248,#282249,#282250,#282251)); #53188=EDGE_LOOP('',(#282252,#282253,#282254,#282255)); #53189=EDGE_LOOP('',(#282256,#282257,#282258,#282259)); #53190=EDGE_LOOP('',(#282260,#282261,#282262,#282263,#282264,#282265,#282266, #282267,#282268,#282269,#282270,#282271,#282272,#282273,#282274,#282275, #282276,#282277,#282278,#282279,#282280)); #53191=EDGE_LOOP('',(#282281,#282282,#282283,#282284,#282285,#282286,#282287, #282288,#282289,#282290,#282291,#282292,#282293,#282294,#282295,#282296, #282297,#282298,#282299,#282300,#282301)); #53192=EDGE_LOOP('',(#282302,#282303,#282304,#282305)); #53193=EDGE_LOOP('',(#282306,#282307,#282308,#282309)); #53194=EDGE_LOOP('',(#282310,#282311,#282312,#282313)); #53195=EDGE_LOOP('',(#282314,#282315,#282316,#282317)); #53196=EDGE_LOOP('',(#282318,#282319,#282320,#282321)); #53197=EDGE_LOOP('',(#282322,#282323,#282324,#282325)); #53198=EDGE_LOOP('',(#282326,#282327,#282328,#282329)); #53199=EDGE_LOOP('',(#282330,#282331,#282332,#282333)); #53200=EDGE_LOOP('',(#282334,#282335,#282336,#282337)); #53201=EDGE_LOOP('',(#282338,#282339,#282340,#282341)); #53202=EDGE_LOOP('',(#282342,#282343,#282344,#282345)); #53203=EDGE_LOOP('',(#282346,#282347,#282348,#282349)); #53204=EDGE_LOOP('',(#282350,#282351,#282352,#282353)); #53205=EDGE_LOOP('',(#282354,#282355,#282356,#282357)); #53206=EDGE_LOOP('',(#282358,#282359,#282360,#282361)); #53207=EDGE_LOOP('',(#282362,#282363,#282364,#282365)); #53208=EDGE_LOOP('',(#282366,#282367,#282368,#282369)); #53209=EDGE_LOOP('',(#282370,#282371,#282372,#282373)); #53210=EDGE_LOOP('',(#282374,#282375,#282376,#282377)); #53211=EDGE_LOOP('',(#282378,#282379,#282380,#282381)); #53212=EDGE_LOOP('',(#282382,#282383,#282384,#282385)); #53213=EDGE_LOOP('',(#282386,#282387,#282388,#282389)); #53214=EDGE_LOOP('',(#282390,#282391,#282392,#282393,#282394,#282395,#282396, #282397,#282398,#282399,#282400,#282401,#282402,#282403,#282404,#282405, #282406,#282407,#282408,#282409,#282410,#282411)); #53215=EDGE_LOOP('',(#282412,#282413,#282414,#282415,#282416,#282417,#282418, #282419,#282420,#282421,#282422,#282423,#282424,#282425,#282426,#282427, #282428,#282429,#282430,#282431,#282432,#282433)); #53216=EDGE_LOOP('',(#282434,#282435,#282436,#282437)); #53217=EDGE_LOOP('',(#282438,#282439,#282440,#282441)); #53218=EDGE_LOOP('',(#282442,#282443,#282444,#282445)); #53219=EDGE_LOOP('',(#282446,#282447,#282448,#282449)); #53220=EDGE_LOOP('',(#282450,#282451,#282452,#282453)); #53221=EDGE_LOOP('',(#282454,#282455,#282456,#282457)); #53222=EDGE_LOOP('',(#282458,#282459,#282460,#282461)); #53223=EDGE_LOOP('',(#282462,#282463,#282464,#282465)); #53224=EDGE_LOOP('',(#282466,#282467,#282468,#282469)); #53225=EDGE_LOOP('',(#282470,#282471,#282472,#282473)); #53226=EDGE_LOOP('',(#282474,#282475,#282476,#282477)); #53227=EDGE_LOOP('',(#282478,#282479,#282480,#282481)); #53228=EDGE_LOOP('',(#282482,#282483,#282484,#282485)); #53229=EDGE_LOOP('',(#282486,#282487,#282488,#282489)); #53230=EDGE_LOOP('',(#282490,#282491,#282492,#282493)); #53231=EDGE_LOOP('',(#282494,#282495,#282496,#282497)); #53232=EDGE_LOOP('',(#282498,#282499,#282500,#282501)); #53233=EDGE_LOOP('',(#282502,#282503,#282504,#282505)); #53234=EDGE_LOOP('',(#282506,#282507,#282508,#282509)); #53235=EDGE_LOOP('',(#282510,#282511,#282512,#282513)); #53236=EDGE_LOOP('',(#282514,#282515,#282516,#282517,#282518,#282519,#282520, #282521,#282522,#282523,#282524,#282525,#282526,#282527,#282528,#282529, #282530,#282531,#282532,#282533)); #53237=EDGE_LOOP('',(#282534,#282535,#282536,#282537,#282538,#282539,#282540, #282541,#282542,#282543,#282544,#282545,#282546,#282547,#282548,#282549, #282550,#282551,#282552,#282553)); #53238=EDGE_LOOP('',(#282554,#282555,#282556,#282557)); #53239=EDGE_LOOP('',(#282558,#282559,#282560,#282561)); #53240=EDGE_LOOP('',(#282562,#282563,#282564,#282565)); #53241=EDGE_LOOP('',(#282566,#282567,#282568,#282569)); #53242=EDGE_LOOP('',(#282570,#282571,#282572,#282573)); #53243=EDGE_LOOP('',(#282574,#282575,#282576,#282577)); #53244=EDGE_LOOP('',(#282578,#282579,#282580,#282581)); #53245=EDGE_LOOP('',(#282582,#282583,#282584,#282585)); #53246=EDGE_LOOP('',(#282586,#282587,#282588,#282589)); #53247=EDGE_LOOP('',(#282590,#282591,#282592,#282593)); #53248=EDGE_LOOP('',(#282594,#282595,#282596,#282597)); #53249=EDGE_LOOP('',(#282598,#282599,#282600,#282601)); #53250=EDGE_LOOP('',(#282602,#282603,#282604,#282605)); #53251=EDGE_LOOP('',(#282606,#282607,#282608,#282609)); #53252=EDGE_LOOP('',(#282610,#282611,#282612,#282613)); #53253=EDGE_LOOP('',(#282614,#282615,#282616,#282617)); #53254=EDGE_LOOP('',(#282618,#282619,#282620,#282621)); #53255=EDGE_LOOP('',(#282622,#282623,#282624,#282625)); #53256=EDGE_LOOP('',(#282626,#282627,#282628,#282629)); #53257=EDGE_LOOP('',(#282630,#282631,#282632,#282633)); #53258=EDGE_LOOP('',(#282634,#282635,#282636,#282637)); #53259=EDGE_LOOP('',(#282638,#282639,#282640,#282641)); #53260=EDGE_LOOP('',(#282642,#282643,#282644,#282645)); #53261=EDGE_LOOP('',(#282646,#282647,#282648,#282649)); #53262=EDGE_LOOP('',(#282650,#282651,#282652,#282653)); #53263=EDGE_LOOP('',(#282654,#282655,#282656,#282657)); #53264=EDGE_LOOP('',(#282658,#282659,#282660,#282661)); #53265=EDGE_LOOP('',(#282662,#282663,#282664,#282665)); #53266=EDGE_LOOP('',(#282666,#282667,#282668,#282669)); #53267=EDGE_LOOP('',(#282670,#282671,#282672,#282673)); #53268=EDGE_LOOP('',(#282674,#282675,#282676,#282677)); #53269=EDGE_LOOP('',(#282678,#282679,#282680,#282681)); #53270=EDGE_LOOP('',(#282682,#282683,#282684,#282685)); #53271=EDGE_LOOP('',(#282686,#282687,#282688,#282689)); #53272=EDGE_LOOP('',(#282690,#282691,#282692,#282693)); #53273=EDGE_LOOP('',(#282694,#282695,#282696,#282697)); #53274=EDGE_LOOP('',(#282698,#282699,#282700,#282701)); #53275=EDGE_LOOP('',(#282702,#282703,#282704,#282705)); #53276=EDGE_LOOP('',(#282706,#282707,#282708,#282709)); #53277=EDGE_LOOP('',(#282710,#282711,#282712,#282713)); #53278=EDGE_LOOP('',(#282714,#282715,#282716,#282717)); #53279=EDGE_LOOP('',(#282718,#282719,#282720,#282721)); #53280=EDGE_LOOP('',(#282722,#282723,#282724,#282725)); #53281=EDGE_LOOP('',(#282726,#282727,#282728,#282729)); #53282=EDGE_LOOP('',(#282730,#282731,#282732,#282733)); #53283=EDGE_LOOP('',(#282734,#282735,#282736,#282737)); #53284=EDGE_LOOP('',(#282738,#282739,#282740,#282741)); #53285=EDGE_LOOP('',(#282742,#282743,#282744,#282745,#282746,#282747,#282748, #282749,#282750,#282751,#282752,#282753,#282754,#282755,#282756,#282757, #282758,#282759,#282760,#282761,#282762,#282763,#282764,#282765,#282766, #282767,#282768,#282769,#282770,#282771,#282772,#282773,#282774,#282775, #282776,#282777,#282778,#282779,#282780,#282781,#282782,#282783,#282784, #282785,#282786,#282787,#282788)); #53286=EDGE_LOOP('',(#282789,#282790,#282791,#282792,#282793,#282794,#282795, #282796,#282797,#282798,#282799,#282800,#282801,#282802,#282803,#282804, #282805,#282806,#282807,#282808,#282809,#282810,#282811,#282812,#282813, #282814,#282815,#282816,#282817,#282818,#282819,#282820,#282821,#282822, #282823,#282824,#282825,#282826,#282827,#282828,#282829,#282830,#282831, #282832,#282833,#282834,#282835)); #53287=EDGE_LOOP('',(#282836,#282837,#282838,#282839)); #53288=EDGE_LOOP('',(#282840,#282841,#282842,#282843)); #53289=EDGE_LOOP('',(#282844,#282845,#282846,#282847)); #53290=EDGE_LOOP('',(#282848,#282849,#282850,#282851)); #53291=EDGE_LOOP('',(#282852,#282853,#282854,#282855)); #53292=EDGE_LOOP('',(#282856,#282857,#282858,#282859)); #53293=EDGE_LOOP('',(#282860,#282861,#282862,#282863)); #53294=EDGE_LOOP('',(#282864,#282865,#282866,#282867)); #53295=EDGE_LOOP('',(#282868,#282869,#282870,#282871)); #53296=EDGE_LOOP('',(#282872,#282873,#282874,#282875)); #53297=EDGE_LOOP('',(#282876,#282877,#282878,#282879)); #53298=EDGE_LOOP('',(#282880,#282881,#282882,#282883)); #53299=EDGE_LOOP('',(#282884,#282885,#282886,#282887)); #53300=EDGE_LOOP('',(#282888,#282889,#282890,#282891)); #53301=EDGE_LOOP('',(#282892,#282893,#282894,#282895)); #53302=EDGE_LOOP('',(#282896,#282897,#282898,#282899)); #53303=EDGE_LOOP('',(#282900,#282901,#282902,#282903)); #53304=EDGE_LOOP('',(#282904,#282905,#282906,#282907)); #53305=EDGE_LOOP('',(#282908,#282909,#282910,#282911)); #53306=EDGE_LOOP('',(#282912,#282913,#282914,#282915)); #53307=EDGE_LOOP('',(#282916,#282917,#282918,#282919)); #53308=EDGE_LOOP('',(#282920,#282921,#282922,#282923)); #53309=EDGE_LOOP('',(#282924,#282925,#282926,#282927)); #53310=EDGE_LOOP('',(#282928,#282929,#282930,#282931)); #53311=EDGE_LOOP('',(#282932,#282933,#282934,#282935)); #53312=EDGE_LOOP('',(#282936,#282937,#282938,#282939)); #53313=EDGE_LOOP('',(#282940,#282941,#282942,#282943)); #53314=EDGE_LOOP('',(#282944,#282945,#282946,#282947)); #53315=EDGE_LOOP('',(#282948,#282949,#282950,#282951,#282952,#282953,#282954, #282955,#282956,#282957,#282958,#282959,#282960,#282961,#282962,#282963, #282964,#282965,#282966,#282967,#282968,#282969,#282970,#282971,#282972, #282973,#282974,#282975)); #53316=EDGE_LOOP('',(#282976,#282977,#282978,#282979,#282980,#282981,#282982, #282983,#282984,#282985,#282986,#282987,#282988,#282989,#282990,#282991, #282992,#282993,#282994,#282995,#282996,#282997,#282998,#282999,#283000, #283001,#283002,#283003)); #53317=EDGE_LOOP('',(#283004,#283005,#283006,#283007)); #53318=EDGE_LOOP('',(#283008,#283009,#283010,#283011)); #53319=EDGE_LOOP('',(#283012,#283013,#283014,#283015)); #53320=EDGE_LOOP('',(#283016,#283017,#283018,#283019)); #53321=EDGE_LOOP('',(#283020,#283021,#283022,#283023)); #53322=EDGE_LOOP('',(#283024,#283025,#283026,#283027)); #53323=EDGE_LOOP('',(#283028,#283029,#283030,#283031)); #53324=EDGE_LOOP('',(#283032,#283033,#283034,#283035)); #53325=EDGE_LOOP('',(#283036,#283037,#283038,#283039)); #53326=EDGE_LOOP('',(#283040,#283041,#283042,#283043)); #53327=EDGE_LOOP('',(#283044,#283045,#283046,#283047)); #53328=EDGE_LOOP('',(#283048,#283049,#283050,#283051)); #53329=EDGE_LOOP('',(#283052,#283053,#283054,#283055)); #53330=EDGE_LOOP('',(#283056,#283057,#283058,#283059)); #53331=EDGE_LOOP('',(#283060,#283061,#283062,#283063)); #53332=EDGE_LOOP('',(#283064,#283065,#283066,#283067)); #53333=EDGE_LOOP('',(#283068,#283069,#283070,#283071)); #53334=EDGE_LOOP('',(#283072,#283073,#283074,#283075)); #53335=EDGE_LOOP('',(#283076,#283077,#283078,#283079)); #53336=EDGE_LOOP('',(#283080,#283081,#283082,#283083)); #53337=EDGE_LOOP('',(#283084,#283085,#283086,#283087)); #53338=EDGE_LOOP('',(#283088,#283089,#283090,#283091)); #53339=EDGE_LOOP('',(#283092,#283093,#283094,#283095)); #53340=EDGE_LOOP('',(#283096,#283097,#283098,#283099)); #53341=EDGE_LOOP('',(#283100,#283101,#283102,#283103)); #53342=EDGE_LOOP('',(#283104,#283105,#283106,#283107)); #53343=EDGE_LOOP('',(#283108,#283109,#283110,#283111)); #53344=EDGE_LOOP('',(#283112,#283113,#283114,#283115)); #53345=EDGE_LOOP('',(#283116,#283117,#283118,#283119)); #53346=EDGE_LOOP('',(#283120,#283121,#283122,#283123)); #53347=EDGE_LOOP('',(#283124,#283125,#283126,#283127)); #53348=EDGE_LOOP('',(#283128,#283129,#283130,#283131)); #53349=EDGE_LOOP('',(#283132,#283133,#283134,#283135)); #53350=EDGE_LOOP('',(#283136,#283137,#283138,#283139)); #53351=EDGE_LOOP('',(#283140,#283141,#283142,#283143)); #53352=EDGE_LOOP('',(#283144,#283145,#283146,#283147)); #53353=EDGE_LOOP('',(#283148,#283149,#283150,#283151)); #53354=EDGE_LOOP('',(#283152,#283153,#283154,#283155)); #53355=EDGE_LOOP('',(#283156,#283157,#283158,#283159)); #53356=EDGE_LOOP('',(#283160,#283161,#283162,#283163)); #53357=EDGE_LOOP('',(#283164,#283165,#283166,#283167)); #53358=EDGE_LOOP('',(#283168,#283169,#283170,#283171)); #53359=EDGE_LOOP('',(#283172,#283173,#283174,#283175)); #53360=EDGE_LOOP('',(#283176,#283177,#283178,#283179)); #53361=EDGE_LOOP('',(#283180,#283181,#283182,#283183)); #53362=EDGE_LOOP('',(#283184,#283185,#283186,#283187)); #53363=EDGE_LOOP('',(#283188,#283189,#283190,#283191)); #53364=EDGE_LOOP('',(#283192,#283193,#283194,#283195)); #53365=EDGE_LOOP('',(#283196,#283197,#283198,#283199)); #53366=EDGE_LOOP('',(#283200,#283201,#283202,#283203)); #53367=EDGE_LOOP('',(#283204,#283205,#283206,#283207)); #53368=EDGE_LOOP('',(#283208,#283209,#283210,#283211)); #53369=EDGE_LOOP('',(#283212,#283213,#283214,#283215)); #53370=EDGE_LOOP('',(#283216,#283217,#283218,#283219)); #53371=EDGE_LOOP('',(#283220,#283221,#283222,#283223)); #53372=EDGE_LOOP('',(#283224,#283225,#283226,#283227)); #53373=EDGE_LOOP('',(#283228,#283229,#283230,#283231)); #53374=EDGE_LOOP('',(#283232,#283233,#283234,#283235)); #53375=EDGE_LOOP('',(#283236,#283237,#283238,#283239)); #53376=EDGE_LOOP('',(#283240,#283241,#283242,#283243)); #53377=EDGE_LOOP('',(#283244,#283245,#283246,#283247)); #53378=EDGE_LOOP('',(#283248,#283249,#283250,#283251)); #53379=EDGE_LOOP('',(#283252,#283253,#283254,#283255)); #53380=EDGE_LOOP('',(#283256,#283257,#283258,#283259)); #53381=EDGE_LOOP('',(#283260,#283261,#283262,#283263)); #53382=EDGE_LOOP('',(#283264,#283265,#283266,#283267)); #53383=EDGE_LOOP('',(#283268,#283269,#283270,#283271)); #53384=EDGE_LOOP('',(#283272,#283273,#283274,#283275)); #53385=EDGE_LOOP('',(#283276,#283277,#283278,#283279)); #53386=EDGE_LOOP('',(#283280,#283281,#283282,#283283)); #53387=EDGE_LOOP('',(#283284,#283285,#283286,#283287)); #53388=EDGE_LOOP('',(#283288,#283289,#283290,#283291)); #53389=EDGE_LOOP('',(#283292,#283293,#283294,#283295)); #53390=EDGE_LOOP('',(#283296,#283297,#283298,#283299)); #53391=EDGE_LOOP('',(#283300,#283301,#283302,#283303)); #53392=EDGE_LOOP('',(#283304,#283305,#283306,#283307)); #53393=EDGE_LOOP('',(#283308,#283309,#283310,#283311)); #53394=EDGE_LOOP('',(#283312,#283313,#283314,#283315)); #53395=EDGE_LOOP('',(#283316,#283317,#283318,#283319)); #53396=EDGE_LOOP('',(#283320,#283321,#283322,#283323)); #53397=EDGE_LOOP('',(#283324,#283325,#283326,#283327)); #53398=EDGE_LOOP('',(#283328,#283329,#283330,#283331)); #53399=EDGE_LOOP('',(#283332,#283333,#283334,#283335)); #53400=EDGE_LOOP('',(#283336,#283337,#283338,#283339)); #53401=EDGE_LOOP('',(#283340,#283341,#283342,#283343)); #53402=EDGE_LOOP('',(#283344,#283345,#283346,#283347)); #53403=EDGE_LOOP('',(#283348,#283349,#283350,#283351)); #53404=EDGE_LOOP('',(#283352,#283353,#283354,#283355)); #53405=EDGE_LOOP('',(#283356,#283357,#283358,#283359)); #53406=EDGE_LOOP('',(#283360,#283361,#283362,#283363)); #53407=EDGE_LOOP('',(#283364,#283365,#283366,#283367,#283368,#283369,#283370, #283371,#283372,#283373,#283374,#283375,#283376,#283377,#283378,#283379, #283380,#283381,#283382,#283383,#283384,#283385,#283386,#283387,#283388, #283389,#283390,#283391,#283392,#283393,#283394,#283395,#283396,#283397, #283398,#283399,#283400,#283401,#283402,#283403,#283404,#283405,#283406, #283407,#283408,#283409,#283410,#283411,#283412,#283413,#283414,#283415, #283416,#283417,#283418,#283419,#283420,#283421,#283422,#283423,#283424, #283425,#283426,#283427,#283428,#283429,#283430,#283431,#283432,#283433, #283434,#283435,#283436,#283437)); #53408=EDGE_LOOP('',(#283438)); #53409=EDGE_LOOP('',(#283439)); #53410=EDGE_LOOP('',(#283440)); #53411=EDGE_LOOP('',(#283441)); #53412=EDGE_LOOP('',(#283442)); #53413=EDGE_LOOP('',(#283443)); #53414=EDGE_LOOP('',(#283444)); #53415=EDGE_LOOP('',(#283445)); #53416=EDGE_LOOP('',(#283446)); #53417=EDGE_LOOP('',(#283447)); #53418=EDGE_LOOP('',(#283448)); #53419=EDGE_LOOP('',(#283449)); #53420=EDGE_LOOP('',(#283450)); #53421=EDGE_LOOP('',(#283451)); #53422=EDGE_LOOP('',(#283452)); #53423=EDGE_LOOP('',(#283453)); #53424=EDGE_LOOP('',(#283454,#283455,#283456,#283457,#283458,#283459,#283460, #283461,#283462,#283463,#283464,#283465,#283466,#283467,#283468,#283469, #283470,#283471,#283472,#283473,#283474,#283475,#283476,#283477,#283478, #283479,#283480,#283481,#283482,#283483,#283484,#283485,#283486,#283487, #283488,#283489,#283490,#283491,#283492,#283493,#283494,#283495,#283496, #283497,#283498,#283499,#283500,#283501,#283502,#283503,#283504,#283505, #283506,#283507,#283508,#283509,#283510,#283511,#283512,#283513,#283514, #283515,#283516,#283517,#283518,#283519,#283520,#283521,#283522,#283523, #283524,#283525,#283526,#283527)); #53425=EDGE_LOOP('',(#283528)); #53426=EDGE_LOOP('',(#283529)); #53427=EDGE_LOOP('',(#283530)); #53428=EDGE_LOOP('',(#283531)); #53429=EDGE_LOOP('',(#283532)); #53430=EDGE_LOOP('',(#283533)); #53431=EDGE_LOOP('',(#283534)); #53432=EDGE_LOOP('',(#283535)); #53433=EDGE_LOOP('',(#283536)); #53434=EDGE_LOOP('',(#283537)); #53435=EDGE_LOOP('',(#283538)); #53436=EDGE_LOOP('',(#283539)); #53437=EDGE_LOOP('',(#283540)); #53438=EDGE_LOOP('',(#283541)); #53439=EDGE_LOOP('',(#283542)); #53440=EDGE_LOOP('',(#283543)); #53441=EDGE_LOOP('',(#283544,#283545,#283546,#283547)); #53442=EDGE_LOOP('',(#283548,#283549,#283550,#283551)); #53443=EDGE_LOOP('',(#283552,#283553,#283554,#283555)); #53444=EDGE_LOOP('',(#283556,#283557,#283558,#283559)); #53445=EDGE_LOOP('',(#283560,#283561,#283562,#283563)); #53446=EDGE_LOOP('',(#283564,#283565,#283566,#283567)); #53447=EDGE_LOOP('',(#283568,#283569,#283570,#283571)); #53448=EDGE_LOOP('',(#283572,#283573,#283574,#283575)); #53449=EDGE_LOOP('',(#283576,#283577,#283578,#283579)); #53450=EDGE_LOOP('',(#283580,#283581,#283582,#283583)); #53451=EDGE_LOOP('',(#283584,#283585,#283586,#283587)); #53452=EDGE_LOOP('',(#283588,#283589,#283590,#283591)); #53453=EDGE_LOOP('',(#283592,#283593,#283594,#283595)); #53454=EDGE_LOOP('',(#283596,#283597,#283598,#283599)); #53455=EDGE_LOOP('',(#283600,#283601,#283602,#283603)); #53456=EDGE_LOOP('',(#283604,#283605,#283606,#283607)); #53457=EDGE_LOOP('',(#283608,#283609,#283610,#283611)); #53458=EDGE_LOOP('',(#283612,#283613,#283614,#283615)); #53459=EDGE_LOOP('',(#283616,#283617,#283618,#283619)); #53460=EDGE_LOOP('',(#283620,#283621,#283622,#283623)); #53461=EDGE_LOOP('',(#283624,#283625,#283626,#283627)); #53462=EDGE_LOOP('',(#283628,#283629,#283630,#283631)); #53463=EDGE_LOOP('',(#283632,#283633,#283634,#283635)); #53464=EDGE_LOOP('',(#283636,#283637,#283638,#283639)); #53465=EDGE_LOOP('',(#283640,#283641,#283642,#283643)); #53466=EDGE_LOOP('',(#283644,#283645,#283646,#283647)); #53467=EDGE_LOOP('',(#283648,#283649,#283650,#283651)); #53468=EDGE_LOOP('',(#283652,#283653,#283654,#283655)); #53469=EDGE_LOOP('',(#283656,#283657,#283658,#283659)); #53470=EDGE_LOOP('',(#283660,#283661,#283662,#283663)); #53471=EDGE_LOOP('',(#283664,#283665,#283666,#283667)); #53472=EDGE_LOOP('',(#283668,#283669,#283670,#283671)); #53473=EDGE_LOOP('',(#283672,#283673,#283674,#283675)); #53474=EDGE_LOOP('',(#283676,#283677,#283678,#283679)); #53475=EDGE_LOOP('',(#283680,#283681,#283682,#283683)); #53476=EDGE_LOOP('',(#283684,#283685,#283686,#283687)); #53477=EDGE_LOOP('',(#283688,#283689,#283690,#283691)); #53478=EDGE_LOOP('',(#283692,#283693,#283694,#283695)); #53479=EDGE_LOOP('',(#283696,#283697,#283698,#283699)); #53480=EDGE_LOOP('',(#283700,#283701,#283702,#283703)); #53481=EDGE_LOOP('',(#283704,#283705,#283706,#283707)); #53482=EDGE_LOOP('',(#283708,#283709,#283710,#283711)); #53483=EDGE_LOOP('',(#283712,#283713,#283714,#283715)); #53484=EDGE_LOOP('',(#283716,#283717,#283718,#283719)); #53485=EDGE_LOOP('',(#283720,#283721,#283722,#283723)); #53486=EDGE_LOOP('',(#283724,#283725,#283726,#283727)); #53487=EDGE_LOOP('',(#283728,#283729,#283730,#283731)); #53488=EDGE_LOOP('',(#283732,#283733,#283734,#283735)); #53489=EDGE_LOOP('',(#283736,#283737,#283738,#283739)); #53490=EDGE_LOOP('',(#283740,#283741,#283742,#283743)); #53491=EDGE_LOOP('',(#283744,#283745,#283746,#283747)); #53492=EDGE_LOOP('',(#283748,#283749,#283750,#283751)); #53493=EDGE_LOOP('',(#283752,#283753,#283754,#283755)); #53494=EDGE_LOOP('',(#283756,#283757,#283758,#283759)); #53495=EDGE_LOOP('',(#283760,#283761,#283762,#283763)); #53496=EDGE_LOOP('',(#283764,#283765,#283766,#283767)); #53497=EDGE_LOOP('',(#283768,#283769,#283770,#283771)); #53498=EDGE_LOOP('',(#283772,#283773,#283774,#283775)); #53499=EDGE_LOOP('',(#283776,#283777,#283778,#283779)); #53500=EDGE_LOOP('',(#283780,#283781,#283782,#283783)); #53501=EDGE_LOOP('',(#283784,#283785,#283786,#283787)); #53502=EDGE_LOOP('',(#283788,#283789,#283790,#283791)); #53503=EDGE_LOOP('',(#283792,#283793,#283794,#283795)); #53504=EDGE_LOOP('',(#283796,#283797,#283798,#283799)); #53505=EDGE_LOOP('',(#283800,#283801,#283802,#283803)); #53506=EDGE_LOOP('',(#283804,#283805,#283806,#283807)); #53507=EDGE_LOOP('',(#283808,#283809,#283810,#283811)); #53508=EDGE_LOOP('',(#283812,#283813,#283814,#283815)); #53509=EDGE_LOOP('',(#283816,#283817,#283818,#283819)); #53510=EDGE_LOOP('',(#283820,#283821,#283822,#283823)); #53511=EDGE_LOOP('',(#283824,#283825,#283826,#283827)); #53512=EDGE_LOOP('',(#283828,#283829,#283830,#283831)); #53513=EDGE_LOOP('',(#283832,#283833,#283834,#283835)); #53514=EDGE_LOOP('',(#283836,#283837,#283838,#283839)); #53515=EDGE_LOOP('',(#283840,#283841,#283842,#283843)); #53516=EDGE_LOOP('',(#283844,#283845,#283846,#283847)); #53517=EDGE_LOOP('',(#283848,#283849,#283850,#283851)); #53518=EDGE_LOOP('',(#283852,#283853,#283854,#283855)); #53519=EDGE_LOOP('',(#283856,#283857,#283858,#283859)); #53520=EDGE_LOOP('',(#283860,#283861,#283862,#283863)); #53521=EDGE_LOOP('',(#283864,#283865,#283866,#283867)); #53522=EDGE_LOOP('',(#283868,#283869,#283870,#283871)); #53523=EDGE_LOOP('',(#283872,#283873,#283874,#283875)); #53524=EDGE_LOOP('',(#283876,#283877,#283878,#283879)); #53525=EDGE_LOOP('',(#283880,#283881,#283882,#283883)); #53526=EDGE_LOOP('',(#283884,#283885,#283886,#283887)); #53527=EDGE_LOOP('',(#283888,#283889,#283890,#283891,#283892,#283893,#283894, #283895,#283896,#283897,#283898,#283899,#283900,#283901,#283902,#283903, #283904,#283905,#283906,#283907,#283908,#283909,#283910,#283911,#283912, #283913,#283914,#283915,#283916,#283917,#283918,#283919,#283920,#283921, #283922,#283923,#283924,#283925,#283926,#283927,#283928,#283929,#283930, #283931,#283932,#283933,#283934,#283935,#283936,#283937,#283938,#283939, #283940,#283941,#283942,#283943,#283944,#283945,#283946,#283947,#283948, #283949,#283950,#283951,#283952,#283953,#283954,#283955,#283956,#283957)); #53528=EDGE_LOOP('',(#283958)); #53529=EDGE_LOOP('',(#283959)); #53530=EDGE_LOOP('',(#283960)); #53531=EDGE_LOOP('',(#283961)); #53532=EDGE_LOOP('',(#283962)); #53533=EDGE_LOOP('',(#283963)); #53534=EDGE_LOOP('',(#283964)); #53535=EDGE_LOOP('',(#283965)); #53536=EDGE_LOOP('',(#283966)); #53537=EDGE_LOOP('',(#283967)); #53538=EDGE_LOOP('',(#283968)); #53539=EDGE_LOOP('',(#283969)); #53540=EDGE_LOOP('',(#283970)); #53541=EDGE_LOOP('',(#283971)); #53542=EDGE_LOOP('',(#283972)); #53543=EDGE_LOOP('',(#283973)); #53544=EDGE_LOOP('',(#283974,#283975,#283976,#283977,#283978,#283979,#283980, #283981,#283982,#283983,#283984,#283985,#283986,#283987,#283988,#283989, #283990,#283991,#283992,#283993,#283994,#283995,#283996,#283997,#283998, #283999,#284000,#284001,#284002,#284003,#284004,#284005,#284006,#284007, #284008,#284009,#284010,#284011,#284012,#284013,#284014,#284015,#284016, #284017,#284018,#284019,#284020,#284021,#284022,#284023,#284024,#284025, #284026,#284027,#284028,#284029,#284030,#284031,#284032,#284033,#284034, #284035,#284036,#284037,#284038,#284039,#284040,#284041,#284042,#284043)); #53545=EDGE_LOOP('',(#284044)); #53546=EDGE_LOOP('',(#284045)); #53547=EDGE_LOOP('',(#284046)); #53548=EDGE_LOOP('',(#284047)); #53549=EDGE_LOOP('',(#284048)); #53550=EDGE_LOOP('',(#284049)); #53551=EDGE_LOOP('',(#284050)); #53552=EDGE_LOOP('',(#284051)); #53553=EDGE_LOOP('',(#284052)); #53554=EDGE_LOOP('',(#284053)); #53555=EDGE_LOOP('',(#284054)); #53556=EDGE_LOOP('',(#284055)); #53557=EDGE_LOOP('',(#284056)); #53558=EDGE_LOOP('',(#284057)); #53559=EDGE_LOOP('',(#284058)); #53560=EDGE_LOOP('',(#284059)); #53561=EDGE_LOOP('',(#284060,#284061,#284062,#284063)); #53562=EDGE_LOOP('',(#284064,#284065,#284066,#284067)); #53563=EDGE_LOOP('',(#284068,#284069,#284070,#284071)); #53564=EDGE_LOOP('',(#284072,#284073,#284074,#284075)); #53565=EDGE_LOOP('',(#284076,#284077,#284078,#284079)); #53566=EDGE_LOOP('',(#284080,#284081,#284082,#284083)); #53567=EDGE_LOOP('',(#284084,#284085,#284086,#284087)); #53568=EDGE_LOOP('',(#284088,#284089,#284090,#284091)); #53569=EDGE_LOOP('',(#284092,#284093,#284094,#284095)); #53570=EDGE_LOOP('',(#284096,#284097,#284098,#284099)); #53571=EDGE_LOOP('',(#284100,#284101,#284102,#284103)); #53572=EDGE_LOOP('',(#284104,#284105,#284106,#284107)); #53573=EDGE_LOOP('',(#284108,#284109,#284110,#284111)); #53574=EDGE_LOOP('',(#284112,#284113,#284114,#284115)); #53575=EDGE_LOOP('',(#284116,#284117,#284118,#284119)); #53576=EDGE_LOOP('',(#284120,#284121,#284122,#284123)); #53577=EDGE_LOOP('',(#284124,#284125,#284126,#284127)); #53578=EDGE_LOOP('',(#284128,#284129,#284130,#284131)); #53579=EDGE_LOOP('',(#284132,#284133,#284134,#284135)); #53580=EDGE_LOOP('',(#284136,#284137,#284138,#284139)); #53581=EDGE_LOOP('',(#284140,#284141,#284142,#284143)); #53582=EDGE_LOOP('',(#284144,#284145,#284146,#284147)); #53583=EDGE_LOOP('',(#284148,#284149,#284150,#284151)); #53584=EDGE_LOOP('',(#284152,#284153,#284154,#284155)); #53585=EDGE_LOOP('',(#284156,#284157,#284158,#284159)); #53586=EDGE_LOOP('',(#284160,#284161,#284162,#284163)); #53587=EDGE_LOOP('',(#284164,#284165,#284166,#284167)); #53588=EDGE_LOOP('',(#284168,#284169,#284170,#284171)); #53589=EDGE_LOOP('',(#284172,#284173,#284174,#284175)); #53590=EDGE_LOOP('',(#284176,#284177,#284178,#284179)); #53591=EDGE_LOOP('',(#284180,#284181,#284182,#284183)); #53592=EDGE_LOOP('',(#284184,#284185,#284186,#284187)); #53593=EDGE_LOOP('',(#284188,#284189,#284190,#284191)); #53594=EDGE_LOOP('',(#284192,#284193,#284194,#284195)); #53595=EDGE_LOOP('',(#284196,#284197,#284198,#284199)); #53596=EDGE_LOOP('',(#284200,#284201,#284202,#284203)); #53597=EDGE_LOOP('',(#284204,#284205,#284206,#284207)); #53598=EDGE_LOOP('',(#284208,#284209,#284210,#284211)); #53599=EDGE_LOOP('',(#284212,#284213,#284214,#284215)); #53600=EDGE_LOOP('',(#284216,#284217,#284218,#284219)); #53601=EDGE_LOOP('',(#284220,#284221,#284222,#284223)); #53602=EDGE_LOOP('',(#284224,#284225,#284226,#284227)); #53603=EDGE_LOOP('',(#284228,#284229,#284230,#284231)); #53604=EDGE_LOOP('',(#284232,#284233,#284234,#284235)); #53605=EDGE_LOOP('',(#284236,#284237,#284238,#284239)); #53606=EDGE_LOOP('',(#284240,#284241,#284242,#284243)); #53607=EDGE_LOOP('',(#284244,#284245,#284246,#284247)); #53608=EDGE_LOOP('',(#284248,#284249,#284250,#284251)); #53609=EDGE_LOOP('',(#284252,#284253,#284254,#284255)); #53610=EDGE_LOOP('',(#284256,#284257,#284258,#284259)); #53611=EDGE_LOOP('',(#284260,#284261,#284262,#284263)); #53612=EDGE_LOOP('',(#284264,#284265,#284266,#284267)); #53613=EDGE_LOOP('',(#284268,#284269,#284270,#284271)); #53614=EDGE_LOOP('',(#284272,#284273,#284274,#284275)); #53615=EDGE_LOOP('',(#284276,#284277,#284278,#284279)); #53616=EDGE_LOOP('',(#284280,#284281,#284282,#284283)); #53617=EDGE_LOOP('',(#284284,#284285,#284286,#284287)); #53618=EDGE_LOOP('',(#284288,#284289,#284290,#284291)); #53619=EDGE_LOOP('',(#284292,#284293,#284294,#284295)); #53620=EDGE_LOOP('',(#284296,#284297,#284298,#284299)); #53621=EDGE_LOOP('',(#284300,#284301,#284302,#284303)); #53622=EDGE_LOOP('',(#284304,#284305,#284306,#284307)); #53623=EDGE_LOOP('',(#284308,#284309,#284310,#284311)); #53624=EDGE_LOOP('',(#284312,#284313,#284314,#284315)); #53625=EDGE_LOOP('',(#284316,#284317,#284318,#284319)); #53626=EDGE_LOOP('',(#284320,#284321,#284322,#284323)); #53627=EDGE_LOOP('',(#284324,#284325,#284326,#284327)); #53628=EDGE_LOOP('',(#284328,#284329,#284330,#284331)); #53629=EDGE_LOOP('',(#284332,#284333,#284334,#284335)); #53630=EDGE_LOOP('',(#284336,#284337,#284338,#284339)); #53631=EDGE_LOOP('',(#284340,#284341,#284342,#284343)); #53632=EDGE_LOOP('',(#284344,#284345,#284346,#284347)); #53633=EDGE_LOOP('',(#284348,#284349,#284350,#284351)); #53634=EDGE_LOOP('',(#284352,#284353,#284354,#284355)); #53635=EDGE_LOOP('',(#284356,#284357,#284358,#284359)); #53636=EDGE_LOOP('',(#284360,#284361,#284362,#284363,#284364,#284365,#284366, #284367,#284368,#284369,#284370,#284371,#284372,#284373,#284374,#284375, #284376,#284377,#284378,#284379,#284380,#284381,#284382,#284383,#284384, #284385,#284386,#284387,#284388,#284389,#284390,#284391,#284392,#284393, #284394,#284395,#284396,#284397,#284398,#284399,#284400,#284401,#284402, #284403,#284404)); #53637=EDGE_LOOP('',(#284405)); #53638=EDGE_LOOP('',(#284406)); #53639=EDGE_LOOP('',(#284407)); #53640=EDGE_LOOP('',(#284408)); #53641=EDGE_LOOP('',(#284409)); #53642=EDGE_LOOP('',(#284410)); #53643=EDGE_LOOP('',(#284411)); #53644=EDGE_LOOP('',(#284412)); #53645=EDGE_LOOP('',(#284413)); #53646=EDGE_LOOP('',(#284414)); #53647=EDGE_LOOP('',(#284415)); #53648=EDGE_LOOP('',(#284416)); #53649=EDGE_LOOP('',(#284417)); #53650=EDGE_LOOP('',(#284418)); #53651=EDGE_LOOP('',(#284419)); #53652=EDGE_LOOP('',(#284420)); #53653=EDGE_LOOP('',(#284421)); #53654=EDGE_LOOP('',(#284422)); #53655=EDGE_LOOP('',(#284423)); #53656=EDGE_LOOP('',(#284424)); #53657=EDGE_LOOP('',(#284425)); #53658=EDGE_LOOP('',(#284426)); #53659=EDGE_LOOP('',(#284427)); #53660=EDGE_LOOP('',(#284428)); #53661=EDGE_LOOP('',(#284429)); #53662=EDGE_LOOP('',(#284430)); #53663=EDGE_LOOP('',(#284431)); #53664=EDGE_LOOP('',(#284432)); #53665=EDGE_LOOP('',(#284433)); #53666=EDGE_LOOP('',(#284434)); #53667=EDGE_LOOP('',(#284435,#284436,#284437,#284438,#284439,#284440,#284441, #284442,#284443,#284444,#284445,#284446,#284447,#284448,#284449,#284450, #284451,#284452,#284453,#284454,#284455,#284456,#284457,#284458,#284459, #284460,#284461,#284462,#284463,#284464,#284465,#284466,#284467,#284468, #284469,#284470,#284471,#284472,#284473,#284474,#284475,#284476,#284477, #284478,#284479)); #53668=EDGE_LOOP('',(#284480)); #53669=EDGE_LOOP('',(#284481)); #53670=EDGE_LOOP('',(#284482)); #53671=EDGE_LOOP('',(#284483)); #53672=EDGE_LOOP('',(#284484)); #53673=EDGE_LOOP('',(#284485)); #53674=EDGE_LOOP('',(#284486)); #53675=EDGE_LOOP('',(#284487)); #53676=EDGE_LOOP('',(#284488)); #53677=EDGE_LOOP('',(#284489)); #53678=EDGE_LOOP('',(#284490)); #53679=EDGE_LOOP('',(#284491)); #53680=EDGE_LOOP('',(#284492)); #53681=EDGE_LOOP('',(#284493)); #53682=EDGE_LOOP('',(#284494)); #53683=EDGE_LOOP('',(#284495)); #53684=EDGE_LOOP('',(#284496)); #53685=EDGE_LOOP('',(#284497)); #53686=EDGE_LOOP('',(#284498)); #53687=EDGE_LOOP('',(#284499)); #53688=EDGE_LOOP('',(#284500)); #53689=EDGE_LOOP('',(#284501)); #53690=EDGE_LOOP('',(#284502)); #53691=EDGE_LOOP('',(#284503)); #53692=EDGE_LOOP('',(#284504)); #53693=EDGE_LOOP('',(#284505)); #53694=EDGE_LOOP('',(#284506)); #53695=EDGE_LOOP('',(#284507)); #53696=EDGE_LOOP('',(#284508)); #53697=EDGE_LOOP('',(#284509)); #53698=EDGE_LOOP('',(#284510,#284511,#284512,#284513)); #53699=EDGE_LOOP('',(#284514,#284515,#284516,#284517)); #53700=EDGE_LOOP('',(#284518,#284519,#284520,#284521)); #53701=EDGE_LOOP('',(#284522,#284523,#284524,#284525)); #53702=EDGE_LOOP('',(#284526,#284527,#284528,#284529)); #53703=EDGE_LOOP('',(#284530,#284531,#284532,#284533)); #53704=EDGE_LOOP('',(#284534,#284535,#284536,#284537)); #53705=EDGE_LOOP('',(#284538,#284539,#284540,#284541)); #53706=EDGE_LOOP('',(#284542,#284543,#284544,#284545)); #53707=EDGE_LOOP('',(#284546,#284547,#284548,#284549,#284550,#284551,#284552)); #53708=EDGE_LOOP('',(#284553)); #53709=EDGE_LOOP('',(#284554)); #53710=EDGE_LOOP('',(#284555,#284556,#284557,#284558,#284559,#284560,#284561)); #53711=EDGE_LOOP('',(#284562)); #53712=EDGE_LOOP('',(#284563)); #53713=EDGE_LOOP('',(#284564,#284565,#284566,#284567)); #53714=EDGE_LOOP('',(#284568,#284569,#284570,#284571)); #53715=EDGE_LOOP('',(#284572,#284573,#284574,#284575)); #53716=EDGE_LOOP('',(#284576,#284577,#284578,#284579)); #53717=EDGE_LOOP('',(#284580,#284581,#284582,#284583)); #53718=EDGE_LOOP('',(#284584,#284585,#284586,#284587)); #53719=EDGE_LOOP('',(#284588,#284589,#284590,#284591)); #53720=EDGE_LOOP('',(#284592,#284593,#284594,#284595)); #53721=EDGE_LOOP('',(#284596,#284597,#284598,#284599)); #53722=EDGE_LOOP('',(#284600,#284601,#284602,#284603)); #53723=EDGE_LOOP('',(#284604,#284605,#284606,#284607)); #53724=EDGE_LOOP('',(#284608,#284609,#284610,#284611)); #53725=EDGE_LOOP('',(#284612,#284613,#284614,#284615)); #53726=EDGE_LOOP('',(#284616,#284617,#284618,#284619)); #53727=EDGE_LOOP('',(#284620,#284621,#284622,#284623)); #53728=EDGE_LOOP('',(#284624,#284625,#284626,#284627)); #53729=EDGE_LOOP('',(#284628,#284629,#284630,#284631)); #53730=EDGE_LOOP('',(#284632,#284633,#284634,#284635)); #53731=EDGE_LOOP('',(#284636,#284637,#284638,#284639)); #53732=EDGE_LOOP('',(#284640,#284641,#284642,#284643)); #53733=EDGE_LOOP('',(#284644,#284645,#284646,#284647)); #53734=EDGE_LOOP('',(#284648,#284649,#284650,#284651)); #53735=EDGE_LOOP('',(#284652,#284653,#284654,#284655)); #53736=EDGE_LOOP('',(#284656,#284657,#284658,#284659)); #53737=EDGE_LOOP('',(#284660,#284661,#284662,#284663)); #53738=EDGE_LOOP('',(#284664,#284665,#284666,#284667)); #53739=EDGE_LOOP('',(#284668,#284669,#284670,#284671)); #53740=EDGE_LOOP('',(#284672,#284673,#284674,#284675)); #53741=EDGE_LOOP('',(#284676,#284677,#284678,#284679)); #53742=EDGE_LOOP('',(#284680,#284681,#284682,#284683)); #53743=EDGE_LOOP('',(#284684,#284685,#284686,#284687)); #53744=EDGE_LOOP('',(#284688,#284689,#284690,#284691)); #53745=EDGE_LOOP('',(#284692,#284693,#284694,#284695)); #53746=EDGE_LOOP('',(#284696,#284697,#284698,#284699)); #53747=EDGE_LOOP('',(#284700,#284701,#284702,#284703)); #53748=EDGE_LOOP('',(#284704,#284705,#284706,#284707)); #53749=EDGE_LOOP('',(#284708,#284709,#284710,#284711)); #53750=EDGE_LOOP('',(#284712,#284713,#284714,#284715)); #53751=EDGE_LOOP('',(#284716,#284717,#284718,#284719)); #53752=EDGE_LOOP('',(#284720,#284721,#284722,#284723)); #53753=EDGE_LOOP('',(#284724,#284725,#284726,#284727)); #53754=EDGE_LOOP('',(#284728,#284729,#284730,#284731)); #53755=EDGE_LOOP('',(#284732,#284733,#284734,#284735)); #53756=EDGE_LOOP('',(#284736,#284737,#284738,#284739)); #53757=EDGE_LOOP('',(#284740,#284741,#284742,#284743)); #53758=EDGE_LOOP('',(#284744,#284745,#284746,#284747)); #53759=EDGE_LOOP('',(#284748,#284749,#284750,#284751)); #53760=EDGE_LOOP('',(#284752,#284753,#284754,#284755)); #53761=EDGE_LOOP('',(#284756,#284757,#284758,#284759)); #53762=EDGE_LOOP('',(#284760,#284761,#284762,#284763)); #53763=EDGE_LOOP('',(#284764,#284765,#284766,#284767)); #53764=EDGE_LOOP('',(#284768,#284769,#284770,#284771)); #53765=EDGE_LOOP('',(#284772,#284773,#284774,#284775)); #53766=EDGE_LOOP('',(#284776,#284777,#284778,#284779)); #53767=EDGE_LOOP('',(#284780,#284781,#284782,#284783)); #53768=EDGE_LOOP('',(#284784,#284785,#284786,#284787)); #53769=EDGE_LOOP('',(#284788,#284789,#284790,#284791)); #53770=EDGE_LOOP('',(#284792,#284793,#284794,#284795)); #53771=EDGE_LOOP('',(#284796,#284797,#284798,#284799)); #53772=EDGE_LOOP('',(#284800,#284801,#284802,#284803)); #53773=EDGE_LOOP('',(#284804,#284805,#284806,#284807)); #53774=EDGE_LOOP('',(#284808,#284809,#284810,#284811)); #53775=EDGE_LOOP('',(#284812,#284813,#284814,#284815)); #53776=EDGE_LOOP('',(#284816,#284817,#284818,#284819)); #53777=EDGE_LOOP('',(#284820,#284821,#284822,#284823)); #53778=EDGE_LOOP('',(#284824,#284825,#284826,#284827)); #53779=EDGE_LOOP('',(#284828,#284829,#284830,#284831)); #53780=EDGE_LOOP('',(#284832,#284833,#284834,#284835)); #53781=EDGE_LOOP('',(#284836,#284837,#284838,#284839)); #53782=EDGE_LOOP('',(#284840,#284841,#284842,#284843)); #53783=EDGE_LOOP('',(#284844,#284845,#284846,#284847)); #53784=EDGE_LOOP('',(#284848,#284849,#284850,#284851)); #53785=EDGE_LOOP('',(#284852,#284853,#284854,#284855)); #53786=EDGE_LOOP('',(#284856,#284857,#284858,#284859)); #53787=EDGE_LOOP('',(#284860,#284861,#284862,#284863)); #53788=EDGE_LOOP('',(#284864,#284865,#284866,#284867,#284868,#284869,#284870, #284871,#284872,#284873,#284874,#284875,#284876,#284877,#284878,#284879, #284880,#284881,#284882,#284883,#284884,#284885,#284886,#284887,#284888, #284889,#284890,#284891,#284892,#284893,#284894,#284895,#284896,#284897, #284898,#284899,#284900,#284901,#284902,#284903,#284904,#284905,#284906, #284907,#284908)); #53789=EDGE_LOOP('',(#284909)); #53790=EDGE_LOOP('',(#284910)); #53791=EDGE_LOOP('',(#284911)); #53792=EDGE_LOOP('',(#284912)); #53793=EDGE_LOOP('',(#284913)); #53794=EDGE_LOOP('',(#284914)); #53795=EDGE_LOOP('',(#284915)); #53796=EDGE_LOOP('',(#284916)); #53797=EDGE_LOOP('',(#284917)); #53798=EDGE_LOOP('',(#284918)); #53799=EDGE_LOOP('',(#284919)); #53800=EDGE_LOOP('',(#284920)); #53801=EDGE_LOOP('',(#284921)); #53802=EDGE_LOOP('',(#284922)); #53803=EDGE_LOOP('',(#284923)); #53804=EDGE_LOOP('',(#284924)); #53805=EDGE_LOOP('',(#284925)); #53806=EDGE_LOOP('',(#284926)); #53807=EDGE_LOOP('',(#284927)); #53808=EDGE_LOOP('',(#284928)); #53809=EDGE_LOOP('',(#284929)); #53810=EDGE_LOOP('',(#284930)); #53811=EDGE_LOOP('',(#284931)); #53812=EDGE_LOOP('',(#284932)); #53813=EDGE_LOOP('',(#284933)); #53814=EDGE_LOOP('',(#284934)); #53815=EDGE_LOOP('',(#284935)); #53816=EDGE_LOOP('',(#284936)); #53817=EDGE_LOOP('',(#284937)); #53818=EDGE_LOOP('',(#284938)); #53819=EDGE_LOOP('',(#284939,#284940,#284941,#284942,#284943,#284944,#284945, #284946,#284947,#284948,#284949,#284950,#284951,#284952,#284953,#284954, #284955,#284956,#284957,#284958,#284959,#284960,#284961,#284962,#284963, #284964,#284965,#284966,#284967,#284968,#284969,#284970,#284971,#284972, #284973,#284974,#284975,#284976,#284977,#284978,#284979,#284980,#284981, #284982,#284983)); #53820=EDGE_LOOP('',(#284984)); #53821=EDGE_LOOP('',(#284985)); #53822=EDGE_LOOP('',(#284986)); #53823=EDGE_LOOP('',(#284987)); #53824=EDGE_LOOP('',(#284988)); #53825=EDGE_LOOP('',(#284989)); #53826=EDGE_LOOP('',(#284990)); #53827=EDGE_LOOP('',(#284991)); #53828=EDGE_LOOP('',(#284992)); #53829=EDGE_LOOP('',(#284993)); #53830=EDGE_LOOP('',(#284994)); #53831=EDGE_LOOP('',(#284995)); #53832=EDGE_LOOP('',(#284996)); #53833=EDGE_LOOP('',(#284997)); #53834=EDGE_LOOP('',(#284998)); #53835=EDGE_LOOP('',(#284999)); #53836=EDGE_LOOP('',(#285000)); #53837=EDGE_LOOP('',(#285001)); #53838=EDGE_LOOP('',(#285002)); #53839=EDGE_LOOP('',(#285003)); #53840=EDGE_LOOP('',(#285004)); #53841=EDGE_LOOP('',(#285005)); #53842=EDGE_LOOP('',(#285006)); #53843=EDGE_LOOP('',(#285007)); #53844=EDGE_LOOP('',(#285008)); #53845=EDGE_LOOP('',(#285009)); #53846=EDGE_LOOP('',(#285010)); #53847=EDGE_LOOP('',(#285011)); #53848=EDGE_LOOP('',(#285012)); #53849=EDGE_LOOP('',(#285013)); #53850=EDGE_LOOP('',(#285014,#285015,#285016,#285017)); #53851=EDGE_LOOP('',(#285018,#285019,#285020,#285021)); #53852=EDGE_LOOP('',(#285022,#285023,#285024,#285025)); #53853=EDGE_LOOP('',(#285026,#285027,#285028,#285029)); #53854=EDGE_LOOP('',(#285030,#285031,#285032,#285033)); #53855=EDGE_LOOP('',(#285034,#285035,#285036,#285037)); #53856=EDGE_LOOP('',(#285038,#285039,#285040,#285041)); #53857=EDGE_LOOP('',(#285042,#285043,#285044,#285045)); #53858=EDGE_LOOP('',(#285046,#285047,#285048,#285049)); #53859=EDGE_LOOP('',(#285050,#285051,#285052,#285053,#285054,#285055,#285056)); #53860=EDGE_LOOP('',(#285057)); #53861=EDGE_LOOP('',(#285058)); #53862=EDGE_LOOP('',(#285059,#285060,#285061,#285062,#285063,#285064,#285065)); #53863=EDGE_LOOP('',(#285066)); #53864=EDGE_LOOP('',(#285067)); #53865=EDGE_LOOP('',(#285068,#285069,#285070,#285071)); #53866=EDGE_LOOP('',(#285072,#285073,#285074,#285075)); #53867=EDGE_LOOP('',(#285076,#285077,#285078,#285079)); #53868=EDGE_LOOP('',(#285080,#285081,#285082,#285083)); #53869=EDGE_LOOP('',(#285084,#285085,#285086,#285087)); #53870=EDGE_LOOP('',(#285088,#285089,#285090,#285091)); #53871=EDGE_LOOP('',(#285092,#285093,#285094,#285095)); #53872=EDGE_LOOP('',(#285096,#285097,#285098,#285099)); #53873=EDGE_LOOP('',(#285100,#285101,#285102,#285103)); #53874=EDGE_LOOP('',(#285104,#285105,#285106,#285107)); #53875=EDGE_LOOP('',(#285108,#285109,#285110,#285111)); #53876=EDGE_LOOP('',(#285112,#285113,#285114,#285115)); #53877=EDGE_LOOP('',(#285116,#285117,#285118,#285119)); #53878=EDGE_LOOP('',(#285120,#285121,#285122,#285123)); #53879=EDGE_LOOP('',(#285124,#285125,#285126,#285127)); #53880=EDGE_LOOP('',(#285128,#285129,#285130,#285131)); #53881=EDGE_LOOP('',(#285132,#285133,#285134,#285135)); #53882=EDGE_LOOP('',(#285136,#285137,#285138,#285139)); #53883=EDGE_LOOP('',(#285140,#285141,#285142,#285143)); #53884=EDGE_LOOP('',(#285144,#285145,#285146,#285147)); #53885=EDGE_LOOP('',(#285148,#285149,#285150,#285151)); #53886=EDGE_LOOP('',(#285152,#285153,#285154,#285155)); #53887=EDGE_LOOP('',(#285156,#285157,#285158,#285159)); #53888=EDGE_LOOP('',(#285160,#285161,#285162,#285163)); #53889=EDGE_LOOP('',(#285164,#285165,#285166,#285167)); #53890=EDGE_LOOP('',(#285168,#285169,#285170,#285171)); #53891=EDGE_LOOP('',(#285172,#285173,#285174,#285175)); #53892=EDGE_LOOP('',(#285176,#285177,#285178,#285179)); #53893=EDGE_LOOP('',(#285180,#285181,#285182,#285183)); #53894=EDGE_LOOP('',(#285184,#285185,#285186,#285187)); #53895=EDGE_LOOP('',(#285188,#285189,#285190,#285191)); #53896=EDGE_LOOP('',(#285192,#285193,#285194,#285195)); #53897=EDGE_LOOP('',(#285196,#285197,#285198,#285199)); #53898=EDGE_LOOP('',(#285200,#285201,#285202,#285203)); #53899=EDGE_LOOP('',(#285204,#285205,#285206,#285207)); #53900=EDGE_LOOP('',(#285208,#285209,#285210,#285211)); #53901=EDGE_LOOP('',(#285212,#285213,#285214,#285215)); #53902=EDGE_LOOP('',(#285216,#285217,#285218,#285219)); #53903=EDGE_LOOP('',(#285220,#285221,#285222,#285223)); #53904=EDGE_LOOP('',(#285224,#285225,#285226,#285227)); #53905=EDGE_LOOP('',(#285228,#285229,#285230,#285231)); #53906=EDGE_LOOP('',(#285232,#285233,#285234,#285235)); #53907=EDGE_LOOP('',(#285236,#285237,#285238,#285239)); #53908=EDGE_LOOP('',(#285240,#285241,#285242,#285243)); #53909=EDGE_LOOP('',(#285244,#285245,#285246,#285247)); #53910=EDGE_LOOP('',(#285248,#285249,#285250,#285251)); #53911=EDGE_LOOP('',(#285252,#285253,#285254,#285255)); #53912=EDGE_LOOP('',(#285256,#285257,#285258,#285259)); #53913=EDGE_LOOP('',(#285260,#285261,#285262,#285263)); #53914=EDGE_LOOP('',(#285264,#285265,#285266,#285267)); #53915=EDGE_LOOP('',(#285268,#285269,#285270,#285271)); #53916=EDGE_LOOP('',(#285272,#285273,#285274,#285275)); #53917=EDGE_LOOP('',(#285276,#285277,#285278,#285279)); #53918=EDGE_LOOP('',(#285280,#285281,#285282,#285283)); #53919=EDGE_LOOP('',(#285284,#285285,#285286,#285287)); #53920=EDGE_LOOP('',(#285288,#285289,#285290,#285291)); #53921=EDGE_LOOP('',(#285292,#285293,#285294,#285295)); #53922=EDGE_LOOP('',(#285296,#285297,#285298,#285299)); #53923=EDGE_LOOP('',(#285300,#285301,#285302,#285303)); #53924=EDGE_LOOP('',(#285304,#285305,#285306,#285307)); #53925=EDGE_LOOP('',(#285308,#285309,#285310,#285311)); #53926=EDGE_LOOP('',(#285312,#285313,#285314,#285315)); #53927=EDGE_LOOP('',(#285316,#285317,#285318,#285319)); #53928=EDGE_LOOP('',(#285320,#285321,#285322,#285323)); #53929=EDGE_LOOP('',(#285324,#285325,#285326,#285327)); #53930=EDGE_LOOP('',(#285328,#285329,#285330,#285331)); #53931=EDGE_LOOP('',(#285332,#285333,#285334,#285335)); #53932=EDGE_LOOP('',(#285336,#285337,#285338,#285339)); #53933=EDGE_LOOP('',(#285340,#285341,#285342,#285343)); #53934=EDGE_LOOP('',(#285344,#285345,#285346,#285347)); #53935=EDGE_LOOP('',(#285348,#285349,#285350,#285351)); #53936=EDGE_LOOP('',(#285352,#285353,#285354,#285355)); #53937=EDGE_LOOP('',(#285356,#285357,#285358,#285359)); #53938=EDGE_LOOP('',(#285360,#285361,#285362,#285363)); #53939=EDGE_LOOP('',(#285364,#285365,#285366,#285367)); #53940=EDGE_LOOP('',(#285368,#285369,#285370,#285371)); #53941=EDGE_LOOP('',(#285372,#285373,#285374,#285375)); #53942=EDGE_LOOP('',(#285376,#285377,#285378,#285379)); #53943=EDGE_LOOP('',(#285380,#285381,#285382,#285383)); #53944=EDGE_LOOP('',(#285384,#285385,#285386,#285387)); #53945=EDGE_LOOP('',(#285388,#285389,#285390,#285391)); #53946=EDGE_LOOP('',(#285392,#285393,#285394,#285395)); #53947=EDGE_LOOP('',(#285396,#285397,#285398,#285399)); #53948=EDGE_LOOP('',(#285400,#285401,#285402,#285403)); #53949=EDGE_LOOP('',(#285404,#285405,#285406,#285407)); #53950=EDGE_LOOP('',(#285408,#285409,#285410,#285411)); #53951=EDGE_LOOP('',(#285412,#285413,#285414,#285415)); #53952=EDGE_LOOP('',(#285416,#285417,#285418,#285419)); #53953=EDGE_LOOP('',(#285420,#285421,#285422,#285423)); #53954=EDGE_LOOP('',(#285424,#285425,#285426,#285427)); #53955=EDGE_LOOP('',(#285428,#285429,#285430,#285431)); #53956=EDGE_LOOP('',(#285432,#285433,#285434,#285435)); #53957=EDGE_LOOP('',(#285436,#285437,#285438,#285439)); #53958=EDGE_LOOP('',(#285440,#285441,#285442,#285443)); #53959=EDGE_LOOP('',(#285444,#285445,#285446,#285447)); #53960=EDGE_LOOP('',(#285448,#285449,#285450,#285451)); #53961=EDGE_LOOP('',(#285452,#285453,#285454,#285455)); #53962=EDGE_LOOP('',(#285456,#285457,#285458,#285459)); #53963=EDGE_LOOP('',(#285460,#285461,#285462,#285463)); #53964=EDGE_LOOP('',(#285464,#285465,#285466,#285467)); #53965=EDGE_LOOP('',(#285468,#285469,#285470,#285471)); #53966=EDGE_LOOP('',(#285472,#285473,#285474,#285475)); #53967=EDGE_LOOP('',(#285476,#285477,#285478,#285479)); #53968=EDGE_LOOP('',(#285480,#285481,#285482,#285483,#285484,#285485,#285486, #285487,#285488,#285489,#285490,#285491,#285492,#285493,#285494,#285495, #285496,#285497,#285498,#285499,#285500,#285501,#285502,#285503,#285504, #285505,#285506,#285507,#285508,#285509,#285510,#285511,#285512,#285513, #285514,#285515,#285516,#285517,#285518,#285519,#285520,#285521,#285522, #285523,#285524,#285525,#285526,#285527,#285528,#285529,#285530,#285531, #285532,#285533,#285534,#285535,#285536,#285537,#285538,#285539,#285540, #285541,#285542,#285543,#285544,#285545,#285546,#285547,#285548,#285549, #285550,#285551,#285552,#285553,#285554,#285555,#285556,#285557,#285558, #285559,#285560,#285561,#285562,#285563,#285564,#285565,#285566,#285567, #285568,#285569,#285570,#285571,#285572)); #53969=EDGE_LOOP('',(#285573)); #53970=EDGE_LOOP('',(#285574)); #53971=EDGE_LOOP('',(#285575,#285576,#285577,#285578,#285579,#285580,#285581, #285582)); #53972=EDGE_LOOP('',(#285583,#285584,#285585,#285586,#285587,#285588,#285589, #285590,#285591,#285592,#285593,#285594,#285595,#285596,#285597,#285598, #285599,#285600,#285601,#285602,#285603,#285604,#285605,#285606,#285607, #285608,#285609,#285610,#285611,#285612,#285613,#285614,#285615,#285616, #285617,#285618,#285619,#285620,#285621,#285622,#285623,#285624,#285625, #285626,#285627,#285628,#285629,#285630,#285631,#285632,#285633,#285634, #285635,#285636,#285637,#285638,#285639,#285640,#285641,#285642,#285643, #285644,#285645,#285646,#285647,#285648,#285649,#285650,#285651,#285652, #285653,#285654,#285655,#285656,#285657,#285658,#285659,#285660,#285661, #285662,#285663,#285664,#285665,#285666,#285667,#285668,#285669,#285670, #285671,#285672,#285673,#285674,#285675)); #53973=EDGE_LOOP('',(#285676)); #53974=EDGE_LOOP('',(#285677)); #53975=EDGE_LOOP('',(#285678,#285679,#285680,#285681,#285682,#285683,#285684, #285685)); #53976=EDGE_LOOP('',(#285686,#285687,#285688,#285689)); #53977=EDGE_LOOP('',(#285690,#285691,#285692,#285693)); #53978=EDGE_LOOP('',(#285694,#285695,#285696,#285697)); #53979=EDGE_LOOP('',(#285698,#285699,#285700,#285701)); #53980=EDGE_LOOP('',(#285702,#285703,#285704)); #53981=EDGE_LOOP('',(#285705)); #53982=EDGE_LOOP('',(#285706,#285707,#285708)); #53983=EDGE_LOOP('',(#285709)); #53984=EDGE_LOOP('',(#285710,#285711,#285712,#285713)); #53985=EDGE_LOOP('',(#285714,#285715,#285716,#285717)); #53986=EDGE_LOOP('',(#285718,#285719,#285720,#285721)); #53987=EDGE_LOOP('',(#285722,#285723,#285724,#285725)); #53988=EDGE_LOOP('',(#285726,#285727,#285728,#285729)); #53989=EDGE_LOOP('',(#285730,#285731,#285732,#285733)); #53990=EDGE_LOOP('',(#285734,#285735,#285736,#285737)); #53991=EDGE_LOOP('',(#285738,#285739,#285740,#285741)); #53992=EDGE_LOOP('',(#285742,#285743,#285744,#285745)); #53993=EDGE_LOOP('',(#285746,#285747,#285748,#285749)); #53994=EDGE_LOOP('',(#285750,#285751,#285752,#285753)); #53995=EDGE_LOOP('',(#285754,#285755,#285756,#285757)); #53996=EDGE_LOOP('',(#285758,#285759,#285760,#285761)); #53997=EDGE_LOOP('',(#285762,#285763,#285764,#285765)); #53998=EDGE_LOOP('',(#285766,#285767,#285768,#285769)); #53999=EDGE_LOOP('',(#285770,#285771,#285772,#285773)); #54000=EDGE_LOOP('',(#285774,#285775,#285776,#285777)); #54001=EDGE_LOOP('',(#285778,#285779,#285780,#285781)); #54002=EDGE_LOOP('',(#285782,#285783,#285784,#285785)); #54003=EDGE_LOOP('',(#285786,#285787,#285788,#285789)); #54004=EDGE_LOOP('',(#285790,#285791,#285792,#285793)); #54005=EDGE_LOOP('',(#285794,#285795,#285796,#285797)); #54006=EDGE_LOOP('',(#285798,#285799,#285800,#285801)); #54007=EDGE_LOOP('',(#285802,#285803,#285804,#285805)); #54008=EDGE_LOOP('',(#285806,#285807,#285808,#285809)); #54009=EDGE_LOOP('',(#285810,#285811,#285812,#285813)); #54010=EDGE_LOOP('',(#285814,#285815,#285816,#285817)); #54011=EDGE_LOOP('',(#285818,#285819,#285820,#285821)); #54012=EDGE_LOOP('',(#285822,#285823,#285824,#285825)); #54013=EDGE_LOOP('',(#285826,#285827,#285828,#285829)); #54014=EDGE_LOOP('',(#285830,#285831,#285832,#285833)); #54015=EDGE_LOOP('',(#285834,#285835,#285836,#285837)); #54016=EDGE_LOOP('',(#285838,#285839,#285840,#285841)); #54017=EDGE_LOOP('',(#285842,#285843,#285844,#285845)); #54018=EDGE_LOOP('',(#285846,#285847,#285848,#285849)); #54019=EDGE_LOOP('',(#285850,#285851,#285852,#285853)); #54020=EDGE_LOOP('',(#285854,#285855,#285856,#285857)); #54021=EDGE_LOOP('',(#285858,#285859,#285860,#285861)); #54022=EDGE_LOOP('',(#285862,#285863,#285864,#285865)); #54023=EDGE_LOOP('',(#285866,#285867,#285868,#285869)); #54024=EDGE_LOOP('',(#285870,#285871,#285872,#285873)); #54025=EDGE_LOOP('',(#285874,#285875,#285876,#285877)); #54026=EDGE_LOOP('',(#285878,#285879,#285880,#285881)); #54027=EDGE_LOOP('',(#285882,#285883,#285884,#285885)); #54028=EDGE_LOOP('',(#285886,#285887,#285888,#285889)); #54029=EDGE_LOOP('',(#285890,#285891,#285892,#285893)); #54030=EDGE_LOOP('',(#285894,#285895,#285896,#285897)); #54031=EDGE_LOOP('',(#285898,#285899,#285900,#285901)); #54032=EDGE_LOOP('',(#285902,#285903,#285904,#285905)); #54033=EDGE_LOOP('',(#285906,#285907,#285908,#285909)); #54034=EDGE_LOOP('',(#285910,#285911,#285912,#285913)); #54035=EDGE_LOOP('',(#285914,#285915,#285916,#285917)); #54036=EDGE_LOOP('',(#285918,#285919,#285920,#285921)); #54037=EDGE_LOOP('',(#285922,#285923,#285924,#285925)); #54038=EDGE_LOOP('',(#285926,#285927,#285928,#285929)); #54039=EDGE_LOOP('',(#285930,#285931,#285932,#285933)); #54040=EDGE_LOOP('',(#285934,#285935,#285936,#285937)); #54041=EDGE_LOOP('',(#285938,#285939,#285940,#285941)); #54042=EDGE_LOOP('',(#285942,#285943,#285944,#285945)); #54043=EDGE_LOOP('',(#285946,#285947,#285948,#285949)); #54044=EDGE_LOOP('',(#285950,#285951,#285952,#285953)); #54045=EDGE_LOOP('',(#285954,#285955,#285956,#285957)); #54046=EDGE_LOOP('',(#285958,#285959,#285960,#285961)); #54047=EDGE_LOOP('',(#285962,#285963,#285964,#285965)); #54048=EDGE_LOOP('',(#285966,#285967,#285968,#285969)); #54049=EDGE_LOOP('',(#285970,#285971,#285972,#285973)); #54050=EDGE_LOOP('',(#285974,#285975,#285976,#285977)); #54051=EDGE_LOOP('',(#285978,#285979,#285980,#285981)); #54052=EDGE_LOOP('',(#285982,#285983,#285984,#285985)); #54053=EDGE_LOOP('',(#285986,#285987,#285988,#285989)); #54054=EDGE_LOOP('',(#285990,#285991,#285992,#285993)); #54055=EDGE_LOOP('',(#285994,#285995,#285996,#285997)); #54056=EDGE_LOOP('',(#285998,#285999,#286000,#286001)); #54057=EDGE_LOOP('',(#286002,#286003,#286004,#286005)); #54058=EDGE_LOOP('',(#286006,#286007,#286008,#286009)); #54059=EDGE_LOOP('',(#286010,#286011,#286012,#286013)); #54060=EDGE_LOOP('',(#286014,#286015,#286016,#286017)); #54061=EDGE_LOOP('',(#286018,#286019,#286020,#286021)); #54062=EDGE_LOOP('',(#286022,#286023,#286024,#286025)); #54063=EDGE_LOOP('',(#286026,#286027,#286028,#286029)); #54064=EDGE_LOOP('',(#286030,#286031,#286032,#286033)); #54065=EDGE_LOOP('',(#286034,#286035,#286036,#286037)); #54066=EDGE_LOOP('',(#286038,#286039,#286040,#286041)); #54067=EDGE_LOOP('',(#286042,#286043,#286044,#286045)); #54068=EDGE_LOOP('',(#286046,#286047,#286048,#286049)); #54069=EDGE_LOOP('',(#286050,#286051,#286052,#286053)); #54070=EDGE_LOOP('',(#286054,#286055,#286056,#286057)); #54071=EDGE_LOOP('',(#286058,#286059,#286060,#286061)); #54072=EDGE_LOOP('',(#286062,#286063,#286064,#286065)); #54073=EDGE_LOOP('',(#286066,#286067,#286068,#286069)); #54074=EDGE_LOOP('',(#286070,#286071,#286072,#286073)); #54075=EDGE_LOOP('',(#286074,#286075,#286076,#286077)); #54076=EDGE_LOOP('',(#286078,#286079,#286080,#286081)); #54077=EDGE_LOOP('',(#286082,#286083,#286084,#286085)); #54078=EDGE_LOOP('',(#286086,#286087,#286088,#286089)); #54079=EDGE_LOOP('',(#286090,#286091,#286092,#286093)); #54080=EDGE_LOOP('',(#286094,#286095,#286096,#286097)); #54081=EDGE_LOOP('',(#286098,#286099,#286100,#286101)); #54082=EDGE_LOOP('',(#286102,#286103,#286104,#286105)); #54083=EDGE_LOOP('',(#286106,#286107,#286108,#286109)); #54084=EDGE_LOOP('',(#286110,#286111,#286112,#286113)); #54085=EDGE_LOOP('',(#286114,#286115,#286116,#286117)); #54086=EDGE_LOOP('',(#286118,#286119,#286120,#286121)); #54087=EDGE_LOOP('',(#286122,#286123,#286124,#286125)); #54088=EDGE_LOOP('',(#286126,#286127,#286128,#286129)); #54089=EDGE_LOOP('',(#286130,#286131,#286132,#286133)); #54090=EDGE_LOOP('',(#286134,#286135,#286136,#286137)); #54091=EDGE_LOOP('',(#286138,#286139,#286140,#286141)); #54092=EDGE_LOOP('',(#286142,#286143,#286144,#286145)); #54093=EDGE_LOOP('',(#286146,#286147,#286148,#286149)); #54094=EDGE_LOOP('',(#286150,#286151,#286152,#286153)); #54095=EDGE_LOOP('',(#286154,#286155,#286156,#286157)); #54096=EDGE_LOOP('',(#286158,#286159,#286160,#286161)); #54097=EDGE_LOOP('',(#286162,#286163,#286164,#286165)); #54098=EDGE_LOOP('',(#286166,#286167,#286168,#286169)); #54099=EDGE_LOOP('',(#286170,#286171,#286172,#286173)); #54100=EDGE_LOOP('',(#286174,#286175,#286176,#286177)); #54101=EDGE_LOOP('',(#286178,#286179,#286180,#286181)); #54102=EDGE_LOOP('',(#286182,#286183,#286184,#286185)); #54103=EDGE_LOOP('',(#286186,#286187,#286188,#286189)); #54104=EDGE_LOOP('',(#286190,#286191,#286192,#286193)); #54105=EDGE_LOOP('',(#286194,#286195,#286196,#286197)); #54106=EDGE_LOOP('',(#286198,#286199,#286200,#286201)); #54107=EDGE_LOOP('',(#286202,#286203,#286204,#286205)); #54108=EDGE_LOOP('',(#286206,#286207,#286208,#286209)); #54109=EDGE_LOOP('',(#286210,#286211,#286212,#286213)); #54110=EDGE_LOOP('',(#286214,#286215,#286216,#286217)); #54111=EDGE_LOOP('',(#286218,#286219,#286220,#286221)); #54112=EDGE_LOOP('',(#286222,#286223,#286224,#286225)); #54113=EDGE_LOOP('',(#286226,#286227,#286228,#286229)); #54114=EDGE_LOOP('',(#286230,#286231,#286232,#286233)); #54115=EDGE_LOOP('',(#286234,#286235,#286236,#286237)); #54116=EDGE_LOOP('',(#286238,#286239,#286240,#286241)); #54117=EDGE_LOOP('',(#286242,#286243,#286244,#286245)); #54118=EDGE_LOOP('',(#286246,#286247,#286248,#286249)); #54119=EDGE_LOOP('',(#286250,#286251,#286252,#286253)); #54120=EDGE_LOOP('',(#286254,#286255,#286256,#286257)); #54121=EDGE_LOOP('',(#286258,#286259,#286260,#286261)); #54122=EDGE_LOOP('',(#286262,#286263,#286264,#286265)); #54123=EDGE_LOOP('',(#286266,#286267,#286268,#286269)); #54124=EDGE_LOOP('',(#286270,#286271,#286272,#286273)); #54125=EDGE_LOOP('',(#286274,#286275,#286276,#286277)); #54126=EDGE_LOOP('',(#286278,#286279,#286280,#286281)); #54127=EDGE_LOOP('',(#286282,#286283,#286284,#286285)); #54128=EDGE_LOOP('',(#286286,#286287,#286288,#286289)); #54129=EDGE_LOOP('',(#286290,#286291,#286292,#286293)); #54130=EDGE_LOOP('',(#286294,#286295,#286296,#286297)); #54131=EDGE_LOOP('',(#286298,#286299,#286300,#286301)); #54132=EDGE_LOOP('',(#286302,#286303,#286304,#286305)); #54133=EDGE_LOOP('',(#286306,#286307,#286308,#286309)); #54134=EDGE_LOOP('',(#286310,#286311,#286312,#286313)); #54135=EDGE_LOOP('',(#286314,#286315,#286316,#286317)); #54136=EDGE_LOOP('',(#286318,#286319,#286320,#286321)); #54137=EDGE_LOOP('',(#286322,#286323,#286324,#286325)); #54138=EDGE_LOOP('',(#286326,#286327,#286328,#286329)); #54139=EDGE_LOOP('',(#286330,#286331,#286332,#286333)); #54140=EDGE_LOOP('',(#286334,#286335,#286336,#286337)); #54141=EDGE_LOOP('',(#286338,#286339,#286340,#286341)); #54142=EDGE_LOOP('',(#286342,#286343,#286344,#286345)); #54143=EDGE_LOOP('',(#286346,#286347,#286348,#286349)); #54144=EDGE_LOOP('',(#286350,#286351,#286352,#286353)); #54145=EDGE_LOOP('',(#286354,#286355,#286356,#286357)); #54146=EDGE_LOOP('',(#286358,#286359,#286360,#286361)); #54147=EDGE_LOOP('',(#286362,#286363,#286364,#286365)); #54148=EDGE_LOOP('',(#286366,#286367,#286368,#286369)); #54149=EDGE_LOOP('',(#286370,#286371,#286372,#286373)); #54150=EDGE_LOOP('',(#286374,#286375,#286376,#286377)); #54151=EDGE_LOOP('',(#286378,#286379,#286380,#286381)); #54152=EDGE_LOOP('',(#286382,#286383,#286384,#286385)); #54153=EDGE_LOOP('',(#286386,#286387,#286388,#286389)); #54154=EDGE_LOOP('',(#286390,#286391,#286392,#286393)); #54155=EDGE_LOOP('',(#286394,#286395,#286396,#286397)); #54156=EDGE_LOOP('',(#286398,#286399,#286400,#286401)); #54157=EDGE_LOOP('',(#286402,#286403,#286404,#286405)); #54158=EDGE_LOOP('',(#286406,#286407,#286408,#286409)); #54159=EDGE_LOOP('',(#286410,#286411,#286412,#286413)); #54160=EDGE_LOOP('',(#286414,#286415,#286416,#286417)); #54161=EDGE_LOOP('',(#286418,#286419,#286420,#286421)); #54162=EDGE_LOOP('',(#286422,#286423,#286424,#286425)); #54163=EDGE_LOOP('',(#286426,#286427,#286428,#286429)); #54164=EDGE_LOOP('',(#286430,#286431,#286432,#286433)); #54165=EDGE_LOOP('',(#286434,#286435,#286436,#286437)); #54166=EDGE_LOOP('',(#286438,#286439,#286440,#286441,#286442,#286443,#286444, #286445,#286446,#286447,#286448,#286449,#286450,#286451,#286452,#286453, #286454,#286455,#286456,#286457,#286458,#286459,#286460,#286461,#286462, #286463,#286464,#286465,#286466,#286467,#286468,#286469,#286470,#286471, #286472,#286473,#286474,#286475,#286476,#286477,#286478,#286479,#286480, #286481,#286482,#286483,#286484,#286485,#286486,#286487,#286488,#286489, #286490,#286491,#286492,#286493,#286494,#286495,#286496,#286497,#286498, #286499,#286500,#286501,#286502,#286503,#286504,#286505,#286506,#286507, #286508,#286509,#286510,#286511,#286512,#286513,#286514,#286515,#286516, #286517,#286518,#286519,#286520,#286521,#286522,#286523,#286524,#286525, #286526,#286527,#286528,#286529,#286530,#286531,#286532)); #54167=EDGE_LOOP('',(#286533)); #54168=EDGE_LOOP('',(#286534)); #54169=EDGE_LOOP('',(#286535)); #54170=EDGE_LOOP('',(#286536)); #54171=EDGE_LOOP('',(#286537)); #54172=EDGE_LOOP('',(#286538)); #54173=EDGE_LOOP('',(#286539)); #54174=EDGE_LOOP('',(#286540)); #54175=EDGE_LOOP('',(#286541)); #54176=EDGE_LOOP('',(#286542)); #54177=EDGE_LOOP('',(#286543)); #54178=EDGE_LOOP('',(#286544)); #54179=EDGE_LOOP('',(#286545)); #54180=EDGE_LOOP('',(#286546)); #54181=EDGE_LOOP('',(#286547)); #54182=EDGE_LOOP('',(#286548,#286549,#286550,#286551,#286552,#286553,#286554, #286555,#286556,#286557,#286558,#286559,#286560,#286561,#286562,#286563, #286564,#286565,#286566,#286567,#286568,#286569,#286570,#286571,#286572, #286573,#286574,#286575,#286576,#286577,#286578,#286579,#286580,#286581, #286582,#286583,#286584,#286585,#286586,#286587,#286588,#286589,#286590, #286591,#286592,#286593,#286594,#286595,#286596,#286597,#286598,#286599, #286600,#286601,#286602,#286603,#286604,#286605,#286606,#286607,#286608, #286609,#286610,#286611,#286612,#286613,#286614,#286615,#286616,#286617, #286618,#286619)); #54183=EDGE_LOOP('',(#286620,#286621,#286622,#286623,#286624,#286625,#286626, #286627,#286628,#286629,#286630,#286631,#286632,#286633,#286634,#286635, #286636,#286637,#286638,#286639,#286640,#286641,#286642,#286643,#286644, #286645,#286646,#286647,#286648,#286649,#286650,#286651,#286652,#286653, #286654,#286655,#286656,#286657,#286658,#286659,#286660,#286661,#286662, #286663,#286664,#286665,#286666,#286667,#286668,#286669,#286670,#286671, #286672,#286673,#286674,#286675,#286676,#286677,#286678,#286679,#286680, #286681,#286682,#286683,#286684,#286685,#286686,#286687,#286688,#286689, #286690,#286691,#286692,#286693,#286694,#286695,#286696,#286697,#286698, #286699,#286700,#286701,#286702,#286703,#286704,#286705,#286706,#286707, #286708,#286709,#286710,#286711,#286712,#286713,#286714)); #54184=EDGE_LOOP('',(#286715)); #54185=EDGE_LOOP('',(#286716)); #54186=EDGE_LOOP('',(#286717)); #54187=EDGE_LOOP('',(#286718)); #54188=EDGE_LOOP('',(#286719)); #54189=EDGE_LOOP('',(#286720)); #54190=EDGE_LOOP('',(#286721)); #54191=EDGE_LOOP('',(#286722)); #54192=EDGE_LOOP('',(#286723)); #54193=EDGE_LOOP('',(#286724)); #54194=EDGE_LOOP('',(#286725)); #54195=EDGE_LOOP('',(#286726)); #54196=EDGE_LOOP('',(#286727)); #54197=EDGE_LOOP('',(#286728)); #54198=EDGE_LOOP('',(#286729)); #54199=EDGE_LOOP('',(#286730,#286731,#286732,#286733,#286734,#286735,#286736, #286737,#286738,#286739,#286740,#286741,#286742,#286743,#286744,#286745, #286746,#286747,#286748,#286749,#286750,#286751,#286752,#286753,#286754, #286755,#286756,#286757,#286758,#286759,#286760,#286761,#286762,#286763, #286764,#286765,#286766,#286767,#286768,#286769,#286770,#286771,#286772, #286773,#286774,#286775,#286776,#286777,#286778,#286779,#286780,#286781, #286782,#286783,#286784,#286785,#286786,#286787,#286788,#286789,#286790, #286791,#286792,#286793,#286794,#286795,#286796,#286797,#286798,#286799, #286800,#286801)); #54200=EDGE_LOOP('',(#286802,#286803,#286804,#286805)); #54201=EDGE_LOOP('',(#286806,#286807,#286808,#286809)); #54202=EDGE_LOOP('',(#286810,#286811,#286812,#286813)); #54203=EDGE_LOOP('',(#286814,#286815,#286816,#286817)); #54204=EDGE_LOOP('',(#286818,#286819,#286820,#286821)); #54205=EDGE_LOOP('',(#286822,#286823,#286824,#286825)); #54206=EDGE_LOOP('',(#286826,#286827,#286828,#286829)); #54207=EDGE_LOOP('',(#286830,#286831,#286832,#286833)); #54208=EDGE_LOOP('',(#286834,#286835,#286836,#286837)); #54209=EDGE_LOOP('',(#286838,#286839,#286840,#286841)); #54210=EDGE_LOOP('',(#286842,#286843,#286844,#286845)); #54211=EDGE_LOOP('',(#286846,#286847,#286848,#286849)); #54212=EDGE_LOOP('',(#286850,#286851,#286852,#286853)); #54213=EDGE_LOOP('',(#286854,#286855,#286856,#286857)); #54214=EDGE_LOOP('',(#286858,#286859,#286860,#286861)); #54215=EDGE_LOOP('',(#286862,#286863,#286864,#286865)); #54216=EDGE_LOOP('',(#286866,#286867,#286868,#286869)); #54217=EDGE_LOOP('',(#286870,#286871,#286872,#286873)); #54218=EDGE_LOOP('',(#286874,#286875,#286876,#286877)); #54219=EDGE_LOOP('',(#286878,#286879,#286880,#286881)); #54220=EDGE_LOOP('',(#286882,#286883,#286884,#286885)); #54221=EDGE_LOOP('',(#286886,#286887,#286888,#286889)); #54222=EDGE_LOOP('',(#286890,#286891,#286892,#286893)); #54223=EDGE_LOOP('',(#286894,#286895,#286896,#286897)); #54224=EDGE_LOOP('',(#286898,#286899,#286900,#286901)); #54225=EDGE_LOOP('',(#286902,#286903,#286904,#286905)); #54226=EDGE_LOOP('',(#286906,#286907,#286908,#286909)); #54227=EDGE_LOOP('',(#286910,#286911,#286912,#286913)); #54228=EDGE_LOOP('',(#286914,#286915,#286916,#286917)); #54229=EDGE_LOOP('',(#286918,#286919,#286920,#286921)); #54230=EDGE_LOOP('',(#286922,#286923,#286924,#286925)); #54231=EDGE_LOOP('',(#286926,#286927,#286928,#286929)); #54232=EDGE_LOOP('',(#286930,#286931,#286932,#286933)); #54233=EDGE_LOOP('',(#286934,#286935,#286936,#286937)); #54234=EDGE_LOOP('',(#286938,#286939,#286940,#286941)); #54235=EDGE_LOOP('',(#286942,#286943,#286944,#286945)); #54236=EDGE_LOOP('',(#286946,#286947,#286948,#286949)); #54237=EDGE_LOOP('',(#286950,#286951,#286952,#286953)); #54238=EDGE_LOOP('',(#286954,#286955,#286956,#286957)); #54239=EDGE_LOOP('',(#286958,#286959,#286960,#286961)); #54240=EDGE_LOOP('',(#286962,#286963,#286964,#286965)); #54241=EDGE_LOOP('',(#286966,#286967,#286968,#286969)); #54242=EDGE_LOOP('',(#286970,#286971,#286972,#286973)); #54243=EDGE_LOOP('',(#286974,#286975,#286976,#286977,#286978,#286979,#286980, #286981,#286982,#286983,#286984,#286985,#286986,#286987,#286988,#286989, #286990,#286991,#286992,#286993,#286994,#286995,#286996,#286997,#286998, #286999,#287000,#287001,#287002,#287003,#287004,#287005,#287006,#287007, #287008,#287009,#287010,#287011,#287012,#287013,#287014)); #54244=EDGE_LOOP('',(#287015)); #54245=EDGE_LOOP('',(#287016)); #54246=EDGE_LOOP('',(#287017,#287018,#287019,#287020,#287021,#287022,#287023, #287024,#287025,#287026,#287027,#287028,#287029,#287030,#287031,#287032, #287033,#287034,#287035,#287036,#287037,#287038,#287039,#287040,#287041, #287042,#287043,#287044,#287045,#287046,#287047,#287048,#287049,#287050, #287051,#287052,#287053,#287054,#287055,#287056,#287057)); #54247=EDGE_LOOP('',(#287058)); #54248=EDGE_LOOP('',(#287059)); #54249=EDGE_LOOP('',(#287060,#287061,#287062,#287063)); #54250=EDGE_LOOP('',(#287064,#287065,#287066,#287067)); #54251=EDGE_LOOP('',(#287068,#287069,#287070,#287071)); #54252=EDGE_LOOP('',(#287072,#287073,#287074,#287075)); #54253=EDGE_LOOP('',(#287076,#287077,#287078)); #54254=EDGE_LOOP('',(#287079)); #54255=EDGE_LOOP('',(#287080,#287081,#287082)); #54256=EDGE_LOOP('',(#287083)); #54257=EDGE_LOOP('',(#287084,#287085,#287086,#287087)); #54258=EDGE_LOOP('',(#287088,#287089,#287090,#287091)); #54259=EDGE_LOOP('',(#287092,#287093,#287094,#287095)); #54260=EDGE_LOOP('',(#287096,#287097,#287098,#287099)); #54261=EDGE_LOOP('',(#287100,#287101,#287102,#287103)); #54262=EDGE_LOOP('',(#287104,#287105,#287106,#287107)); #54263=EDGE_LOOP('',(#287108,#287109,#287110,#287111)); #54264=EDGE_LOOP('',(#287112,#287113,#287114,#287115)); #54265=EDGE_LOOP('',(#287116,#287117,#287118,#287119)); #54266=EDGE_LOOP('',(#287120,#287121,#287122,#287123)); #54267=EDGE_LOOP('',(#287124,#287125,#287126,#287127)); #54268=EDGE_LOOP('',(#287128,#287129,#287130,#287131)); #54269=EDGE_LOOP('',(#287132,#287133,#287134,#287135)); #54270=EDGE_LOOP('',(#287136,#287137,#287138,#287139)); #54271=EDGE_LOOP('',(#287140,#287141,#287142,#287143)); #54272=EDGE_LOOP('',(#287144,#287145,#287146,#287147)); #54273=EDGE_LOOP('',(#287148,#287149,#287150,#287151)); #54274=EDGE_LOOP('',(#287152,#287153,#287154,#287155)); #54275=EDGE_LOOP('',(#287156,#287157,#287158,#287159)); #54276=EDGE_LOOP('',(#287160,#287161,#287162,#287163)); #54277=EDGE_LOOP('',(#287164,#287165,#287166,#287167)); #54278=EDGE_LOOP('',(#287168,#287169,#287170,#287171)); #54279=EDGE_LOOP('',(#287172,#287173,#287174,#287175)); #54280=EDGE_LOOP('',(#287176,#287177,#287178,#287179)); #54281=EDGE_LOOP('',(#287180,#287181,#287182,#287183)); #54282=EDGE_LOOP('',(#287184,#287185,#287186,#287187)); #54283=EDGE_LOOP('',(#287188,#287189,#287190,#287191)); #54284=EDGE_LOOP('',(#287192,#287193,#287194,#287195)); #54285=EDGE_LOOP('',(#287196,#287197,#287198,#287199)); #54286=EDGE_LOOP('',(#287200,#287201,#287202,#287203)); #54287=EDGE_LOOP('',(#287204,#287205,#287206,#287207)); #54288=EDGE_LOOP('',(#287208,#287209,#287210,#287211)); #54289=EDGE_LOOP('',(#287212,#287213,#287214,#287215)); #54290=EDGE_LOOP('',(#287216,#287217,#287218,#287219)); #54291=EDGE_LOOP('',(#287220,#287221,#287222,#287223,#287224,#287225,#287226, #287227,#287228,#287229,#287230,#287231,#287232,#287233,#287234,#287235, #287236,#287237,#287238,#287239,#287240,#287241,#287242,#287243)); #54292=EDGE_LOOP('',(#287244)); #54293=EDGE_LOOP('',(#287245)); #54294=EDGE_LOOP('',(#287246)); #54295=EDGE_LOOP('',(#287247)); #54296=EDGE_LOOP('',(#287248)); #54297=EDGE_LOOP('',(#287249)); #54298=EDGE_LOOP('',(#287250)); #54299=EDGE_LOOP('',(#287251)); #54300=EDGE_LOOP('',(#287252)); #54301=EDGE_LOOP('',(#287253)); #54302=EDGE_LOOP('',(#287254,#287255,#287256,#287257,#287258,#287259,#287260, #287261,#287262,#287263,#287264,#287265,#287266,#287267,#287268,#287269, #287270,#287271,#287272,#287273,#287274,#287275,#287276,#287277)); #54303=EDGE_LOOP('',(#287278)); #54304=EDGE_LOOP('',(#287279)); #54305=EDGE_LOOP('',(#287280)); #54306=EDGE_LOOP('',(#287281)); #54307=EDGE_LOOP('',(#287282)); #54308=EDGE_LOOP('',(#287283)); #54309=EDGE_LOOP('',(#287284)); #54310=EDGE_LOOP('',(#287285)); #54311=EDGE_LOOP('',(#287286)); #54312=EDGE_LOOP('',(#287287)); #54313=EDGE_LOOP('',(#287288,#287289,#287290,#287291)); #54314=EDGE_LOOP('',(#287292,#287293,#287294,#287295)); #54315=EDGE_LOOP('',(#287296,#287297,#287298,#287299)); #54316=EDGE_LOOP('',(#287300,#287301,#287302,#287303)); #54317=EDGE_LOOP('',(#287304,#287305,#287306)); #54318=EDGE_LOOP('',(#287307)); #54319=EDGE_LOOP('',(#287308,#287309,#287310)); #54320=EDGE_LOOP('',(#287311)); #54321=EDGE_LOOP('',(#287312,#287313,#287314,#287315)); #54322=EDGE_LOOP('',(#287316,#287317,#287318,#287319)); #54323=EDGE_LOOP('',(#287320,#287321,#287322,#287323)); #54324=EDGE_LOOP('',(#287324,#287325,#287326,#287327)); #54325=EDGE_LOOP('',(#287328,#287329,#287330)); #54326=EDGE_LOOP('',(#287331)); #54327=EDGE_LOOP('',(#287332,#287333,#287334)); #54328=EDGE_LOOP('',(#287335)); #54329=EDGE_LOOP('',(#287336,#287337,#287338,#287339)); #54330=EDGE_LOOP('',(#287340,#287341,#287342,#287343)); #54331=EDGE_LOOP('',(#287344,#287345,#287346,#287347)); #54332=EDGE_LOOP('',(#287348,#287349,#287350,#287351)); #54333=EDGE_LOOP('',(#287352,#287353,#287354)); #54334=EDGE_LOOP('',(#287355)); #54335=EDGE_LOOP('',(#287356,#287357,#287358)); #54336=EDGE_LOOP('',(#287359)); #54337=EDGE_LOOP('',(#287360,#287361,#287362,#287363)); #54338=EDGE_LOOP('',(#287364,#287365,#287366,#287367)); #54339=EDGE_LOOP('',(#287368,#287369,#287370,#287371)); #54340=EDGE_LOOP('',(#287372,#287373,#287374,#287375)); #54341=EDGE_LOOP('',(#287376,#287377,#287378,#287379)); #54342=EDGE_LOOP('',(#287380,#287381,#287382,#287383)); #54343=EDGE_LOOP('',(#287384,#287385,#287386,#287387)); #54344=EDGE_LOOP('',(#287388,#287389,#287390,#287391)); #54345=EDGE_LOOP('',(#287392,#287393,#287394,#287395)); #54346=EDGE_LOOP('',(#287396,#287397,#287398,#287399,#287400,#287401,#287402, #287403)); #54347=EDGE_LOOP('',(#287404)); #54348=EDGE_LOOP('',(#287405,#287406,#287407,#287408,#287409,#287410,#287411, #287412)); #54349=EDGE_LOOP('',(#287413)); #54350=EDGE_LOOP('',(#287414,#287415,#287416,#287417)); #54351=EDGE_LOOP('',(#287418,#287419,#287420,#287421)); #54352=EDGE_LOOP('',(#287422,#287423,#287424,#287425)); #54353=EDGE_LOOP('',(#287426,#287427,#287428,#287429)); #54354=EDGE_LOOP('',(#287430,#287431,#287432)); #54355=EDGE_LOOP('',(#287433)); #54356=EDGE_LOOP('',(#287434,#287435,#287436)); #54357=EDGE_LOOP('',(#287437)); #54358=EDGE_LOOP('',(#287438,#287439,#287440,#287441)); #54359=EDGE_LOOP('',(#287442,#287443,#287444,#287445)); #54360=EDGE_LOOP('',(#287446,#287447,#287448,#287449)); #54361=EDGE_LOOP('',(#287450,#287451,#287452,#287453)); #54362=EDGE_LOOP('',(#287454,#287455,#287456,#287457)); #54363=EDGE_LOOP('',(#287458,#287459,#287460,#287461)); #54364=EDGE_LOOP('',(#287462)); #54365=EDGE_LOOP('',(#287463,#287464,#287465,#287466)); #54366=EDGE_LOOP('',(#287467)); #54367=EDGE_LOOP('',(#287468,#287469,#287470,#287471)); #54368=EDGE_LOOP('',(#287472,#287473,#287474,#287475)); #54369=EDGE_LOOP('',(#287476,#287477,#287478,#287479)); #54370=EDGE_LOOP('',(#287480,#287481,#287482,#287483)); #54371=EDGE_LOOP('',(#287484,#287485,#287486)); #54372=EDGE_LOOP('',(#287487)); #54373=EDGE_LOOP('',(#287488,#287489,#287490)); #54374=EDGE_LOOP('',(#287491)); #54375=EDGE_LOOP('',(#287492,#287493,#287494,#287495)); #54376=EDGE_LOOP('',(#287496,#287497,#287498,#287499)); #54377=EDGE_LOOP('',(#287500,#287501,#287502,#287503)); #54378=EDGE_LOOP('',(#287504,#287505,#287506,#287507)); #54379=EDGE_LOOP('',(#287508,#287509,#287510,#287511)); #54380=EDGE_LOOP('',(#287512,#287513,#287514,#287515)); #54381=EDGE_LOOP('',(#287516,#287517,#287518,#287519)); #54382=EDGE_LOOP('',(#287520,#287521,#287522,#287523)); #54383=EDGE_LOOP('',(#287524,#287525,#287526,#287527)); #54384=EDGE_LOOP('',(#287528,#287529,#287530,#287531)); #54385=EDGE_LOOP('',(#287532,#287533,#287534,#287535)); #54386=EDGE_LOOP('',(#287536,#287537,#287538,#287539)); #54387=EDGE_LOOP('',(#287540,#287541,#287542,#287543)); #54388=EDGE_LOOP('',(#287544,#287545,#287546,#287547)); #54389=EDGE_LOOP('',(#287548,#287549,#287550,#287551)); #54390=EDGE_LOOP('',(#287552,#287553,#287554,#287555)); #54391=EDGE_LOOP('',(#287556,#287557,#287558,#287559)); #54392=EDGE_LOOP('',(#287560,#287561,#287562,#287563)); #54393=EDGE_LOOP('',(#287564,#287565,#287566,#287567)); #54394=EDGE_LOOP('',(#287568,#287569,#287570,#287571)); #54395=EDGE_LOOP('',(#287572,#287573,#287574,#287575)); #54396=EDGE_LOOP('',(#287576,#287577,#287578,#287579)); #54397=EDGE_LOOP('',(#287580,#287581,#287582,#287583)); #54398=EDGE_LOOP('',(#287584,#287585,#287586,#287587)); #54399=EDGE_LOOP('',(#287588,#287589,#287590,#287591)); #54400=EDGE_LOOP('',(#287592,#287593,#287594,#287595)); #54401=EDGE_LOOP('',(#287596,#287597,#287598,#287599)); #54402=EDGE_LOOP('',(#287600,#287601,#287602,#287603)); #54403=EDGE_LOOP('',(#287604,#287605,#287606,#287607)); #54404=EDGE_LOOP('',(#287608,#287609,#287610,#287611)); #54405=EDGE_LOOP('',(#287612,#287613,#287614,#287615)); #54406=EDGE_LOOP('',(#287616,#287617,#287618,#287619)); #54407=EDGE_LOOP('',(#287620,#287621,#287622,#287623)); #54408=EDGE_LOOP('',(#287624,#287625,#287626,#287627)); #54409=EDGE_LOOP('',(#287628,#287629,#287630,#287631)); #54410=EDGE_LOOP('',(#287632,#287633,#287634,#287635)); #54411=EDGE_LOOP('',(#287636,#287637,#287638,#287639)); #54412=EDGE_LOOP('',(#287640,#287641,#287642,#287643)); #54413=EDGE_LOOP('',(#287644,#287645,#287646,#287647)); #54414=EDGE_LOOP('',(#287648,#287649,#287650,#287651)); #54415=EDGE_LOOP('',(#287652,#287653,#287654,#287655)); #54416=EDGE_LOOP('',(#287656,#287657,#287658,#287659)); #54417=EDGE_LOOP('',(#287660,#287661,#287662,#287663)); #54418=EDGE_LOOP('',(#287664,#287665,#287666,#287667)); #54419=EDGE_LOOP('',(#287668,#287669,#287670,#287671)); #54420=EDGE_LOOP('',(#287672,#287673,#287674,#287675)); #54421=EDGE_LOOP('',(#287676,#287677,#287678,#287679)); #54422=EDGE_LOOP('',(#287680,#287681,#287682,#287683)); #54423=EDGE_LOOP('',(#287684,#287685,#287686,#287687)); #54424=EDGE_LOOP('',(#287688,#287689,#287690,#287691)); #54425=EDGE_LOOP('',(#287692,#287693,#287694,#287695)); #54426=EDGE_LOOP('',(#287696,#287697,#287698,#287699)); #54427=EDGE_LOOP('',(#287700,#287701,#287702,#287703)); #54428=EDGE_LOOP('',(#287704,#287705,#287706,#287707)); #54429=EDGE_LOOP('',(#287708,#287709,#287710,#287711)); #54430=EDGE_LOOP('',(#287712,#287713,#287714,#287715)); #54431=EDGE_LOOP('',(#287716,#287717,#287718,#287719)); #54432=EDGE_LOOP('',(#287720,#287721,#287722,#287723)); #54433=EDGE_LOOP('',(#287724,#287725,#287726,#287727)); #54434=EDGE_LOOP('',(#287728,#287729,#287730,#287731)); #54435=EDGE_LOOP('',(#287732,#287733,#287734,#287735,#287736,#287737,#287738, #287739,#287740,#287741,#287742,#287743,#287744,#287745,#287746,#287747, #287748,#287749,#287750,#287751,#287752,#287753,#287754,#287755,#287756, #287757,#287758,#287759,#287760,#287761,#287762,#287763,#287764,#287765, #287766,#287767,#287768,#287769,#287770,#287771,#287772,#287773,#287774, #287775,#287776,#287777,#287778,#287779,#287780,#287781,#287782,#287783, #287784,#287785,#287786,#287787)); #54436=EDGE_LOOP('',(#287788,#287789,#287790,#287791)); #54437=EDGE_LOOP('',(#287792,#287793,#287794,#287795,#287796,#287797,#287798, #287799,#287800,#287801,#287802,#287803,#287804,#287805,#287806,#287807, #287808,#287809,#287810,#287811,#287812,#287813,#287814,#287815,#287816, #287817,#287818,#287819,#287820,#287821,#287822,#287823,#287824,#287825, #287826,#287827,#287828,#287829,#287830,#287831,#287832,#287833,#287834, #287835,#287836,#287837,#287838,#287839,#287840,#287841,#287842,#287843, #287844,#287845,#287846,#287847)); #54438=EDGE_LOOP('',(#287848,#287849,#287850,#287851)); #54439=EDGE_LOOP('',(#287852,#287853,#287854,#287855)); #54440=EDGE_LOOP('',(#287856,#287857,#287858,#287859)); #54441=EDGE_LOOP('',(#287860,#287861,#287862,#287863)); #54442=EDGE_LOOP('',(#287864,#287865,#287866,#287867)); #54443=EDGE_LOOP('',(#287868,#287869,#287870)); #54444=EDGE_LOOP('',(#287871)); #54445=EDGE_LOOP('',(#287872,#287873,#287874)); #54446=EDGE_LOOP('',(#287875)); #54447=EDGE_LOOP('',(#287876,#287877,#287878,#287879)); #54448=EDGE_LOOP('',(#287880,#287881,#287882,#287883)); #54449=EDGE_LOOP('',(#287884,#287885,#287886,#287887)); #54450=EDGE_LOOP('',(#287888,#287889,#287890,#287891)); #54451=EDGE_LOOP('',(#287892,#287893,#287894,#287895)); #54452=EDGE_LOOP('',(#287896,#287897,#287898,#287899)); #54453=EDGE_LOOP('',(#287900,#287901,#287902,#287903)); #54454=EDGE_LOOP('',(#287904,#287905,#287906,#287907)); #54455=EDGE_LOOP('',(#287908,#287909,#287910,#287911)); #54456=EDGE_LOOP('',(#287912,#287913,#287914,#287915)); #54457=EDGE_LOOP('',(#287916,#287917,#287918,#287919)); #54458=EDGE_LOOP('',(#287920,#287921,#287922,#287923)); #54459=EDGE_LOOP('',(#287924,#287925,#287926,#287927)); #54460=EDGE_LOOP('',(#287928,#287929,#287930,#287931)); #54461=EDGE_LOOP('',(#287932,#287933,#287934,#287935)); #54462=EDGE_LOOP('',(#287936,#287937,#287938,#287939)); #54463=EDGE_LOOP('',(#287940,#287941,#287942,#287943)); #54464=EDGE_LOOP('',(#287944,#287945,#287946,#287947)); #54465=EDGE_LOOP('',(#287948,#287949,#287950,#287951)); #54466=EDGE_LOOP('',(#287952,#287953,#287954,#287955)); #54467=EDGE_LOOP('',(#287956,#287957,#287958,#287959)); #54468=EDGE_LOOP('',(#287960,#287961,#287962,#287963)); #54469=EDGE_LOOP('',(#287964,#287965,#287966,#287967)); #54470=EDGE_LOOP('',(#287968,#287969,#287970,#287971)); #54471=EDGE_LOOP('',(#287972,#287973,#287974,#287975)); #54472=EDGE_LOOP('',(#287976,#287977,#287978,#287979)); #54473=EDGE_LOOP('',(#287980,#287981,#287982,#287983)); #54474=EDGE_LOOP('',(#287984,#287985,#287986,#287987)); #54475=EDGE_LOOP('',(#287988,#287989,#287990,#287991)); #54476=EDGE_LOOP('',(#287992,#287993,#287994,#287995)); #54477=EDGE_LOOP('',(#287996,#287997,#287998,#287999)); #54478=EDGE_LOOP('',(#288000,#288001,#288002,#288003)); #54479=EDGE_LOOP('',(#288004,#288005,#288006,#288007)); #54480=EDGE_LOOP('',(#288008,#288009,#288010,#288011)); #54481=EDGE_LOOP('',(#288012,#288013,#288014,#288015)); #54482=EDGE_LOOP('',(#288016,#288017,#288018,#288019)); #54483=EDGE_LOOP('',(#288020,#288021,#288022,#288023)); #54484=EDGE_LOOP('',(#288024,#288025,#288026,#288027)); #54485=EDGE_LOOP('',(#288028,#288029,#288030,#288031)); #54486=EDGE_LOOP('',(#288032,#288033,#288034,#288035)); #54487=EDGE_LOOP('',(#288036,#288037,#288038,#288039)); #54488=EDGE_LOOP('',(#288040,#288041,#288042,#288043)); #54489=EDGE_LOOP('',(#288044,#288045,#288046,#288047)); #54490=EDGE_LOOP('',(#288048,#288049,#288050,#288051)); #54491=EDGE_LOOP('',(#288052,#288053,#288054,#288055)); #54492=EDGE_LOOP('',(#288056,#288057,#288058,#288059)); #54493=EDGE_LOOP('',(#288060,#288061,#288062,#288063)); #54494=EDGE_LOOP('',(#288064,#288065,#288066,#288067)); #54495=EDGE_LOOP('',(#288068,#288069,#288070,#288071)); #54496=EDGE_LOOP('',(#288072,#288073,#288074,#288075)); #54497=EDGE_LOOP('',(#288076,#288077,#288078,#288079)); #54498=EDGE_LOOP('',(#288080,#288081,#288082,#288083)); #54499=EDGE_LOOP('',(#288084,#288085,#288086,#288087)); #54500=EDGE_LOOP('',(#288088,#288089,#288090,#288091)); #54501=EDGE_LOOP('',(#288092,#288093,#288094,#288095)); #54502=EDGE_LOOP('',(#288096,#288097,#288098,#288099)); #54503=EDGE_LOOP('',(#288100,#288101,#288102,#288103)); #54504=EDGE_LOOP('',(#288104,#288105,#288106,#288107)); #54505=EDGE_LOOP('',(#288108,#288109,#288110,#288111)); #54506=EDGE_LOOP('',(#288112,#288113,#288114,#288115)); #54507=EDGE_LOOP('',(#288116,#288117,#288118,#288119,#288120,#288121,#288122, #288123,#288124,#288125,#288126,#288127,#288128,#288129,#288130,#288131, #288132,#288133,#288134,#288135,#288136,#288137,#288138,#288139,#288140, #288141,#288142,#288143,#288144,#288145,#288146,#288147,#288148,#288149, #288150,#288151,#288152,#288153,#288154,#288155,#288156,#288157,#288158, #288159,#288160,#288161,#288162,#288163,#288164,#288165,#288166,#288167, #288168,#288169,#288170,#288171)); #54508=EDGE_LOOP('',(#288172,#288173,#288174,#288175)); #54509=EDGE_LOOP('',(#288176,#288177,#288178,#288179,#288180,#288181,#288182, #288183,#288184,#288185,#288186,#288187,#288188,#288189,#288190,#288191, #288192,#288193,#288194,#288195,#288196,#288197,#288198,#288199,#288200, #288201,#288202,#288203,#288204,#288205,#288206,#288207,#288208,#288209, #288210,#288211,#288212,#288213,#288214,#288215,#288216,#288217,#288218, #288219,#288220,#288221,#288222,#288223,#288224,#288225,#288226,#288227, #288228,#288229,#288230,#288231)); #54510=EDGE_LOOP('',(#288232,#288233,#288234,#288235)); #54511=EDGE_LOOP('',(#288236,#288237,#288238,#288239)); #54512=EDGE_LOOP('',(#288240,#288241,#288242,#288243)); #54513=EDGE_LOOP('',(#288244,#288245,#288246,#288247)); #54514=EDGE_LOOP('',(#288248,#288249,#288250,#288251)); #54515=EDGE_LOOP('',(#288252,#288253,#288254,#288255)); #54516=EDGE_LOOP('',(#288256,#288257,#288258,#288259)); #54517=EDGE_LOOP('',(#288260,#288261,#288262,#288263)); #54518=EDGE_LOOP('',(#288264,#288265,#288266,#288267)); #54519=EDGE_LOOP('',(#288268,#288269,#288270,#288271)); #54520=EDGE_LOOP('',(#288272,#288273,#288274,#288275)); #54521=EDGE_LOOP('',(#288276,#288277,#288278,#288279)); #54522=EDGE_LOOP('',(#288280,#288281,#288282,#288283)); #54523=EDGE_LOOP('',(#288284,#288285,#288286,#288287)); #54524=EDGE_LOOP('',(#288288,#288289,#288290,#288291)); #54525=EDGE_LOOP('',(#288292,#288293,#288294,#288295)); #54526=EDGE_LOOP('',(#288296,#288297,#288298,#288299)); #54527=EDGE_LOOP('',(#288300,#288301,#288302,#288303)); #54528=EDGE_LOOP('',(#288304,#288305,#288306,#288307)); #54529=EDGE_LOOP('',(#288308,#288309,#288310,#288311)); #54530=EDGE_LOOP('',(#288312,#288313,#288314,#288315)); #54531=EDGE_LOOP('',(#288316,#288317,#288318,#288319)); #54532=EDGE_LOOP('',(#288320,#288321,#288322,#288323)); #54533=EDGE_LOOP('',(#288324,#288325,#288326,#288327)); #54534=EDGE_LOOP('',(#288328,#288329,#288330,#288331)); #54535=EDGE_LOOP('',(#288332,#288333,#288334,#288335)); #54536=EDGE_LOOP('',(#288336,#288337,#288338,#288339)); #54537=EDGE_LOOP('',(#288340,#288341,#288342,#288343)); #54538=EDGE_LOOP('',(#288344,#288345,#288346,#288347)); #54539=EDGE_LOOP('',(#288348,#288349,#288350,#288351)); #54540=EDGE_LOOP('',(#288352,#288353,#288354,#288355)); #54541=EDGE_LOOP('',(#288356,#288357,#288358,#288359)); #54542=EDGE_LOOP('',(#288360,#288361,#288362,#288363)); #54543=EDGE_LOOP('',(#288364,#288365,#288366,#288367)); #54544=EDGE_LOOP('',(#288368,#288369,#288370,#288371)); #54545=EDGE_LOOP('',(#288372,#288373,#288374,#288375)); #54546=EDGE_LOOP('',(#288376,#288377,#288378,#288379)); #54547=EDGE_LOOP('',(#288380,#288381,#288382,#288383)); #54548=EDGE_LOOP('',(#288384,#288385,#288386,#288387)); #54549=EDGE_LOOP('',(#288388,#288389,#288390,#288391)); #54550=EDGE_LOOP('',(#288392,#288393,#288394,#288395)); #54551=EDGE_LOOP('',(#288396,#288397,#288398,#288399)); #54552=EDGE_LOOP('',(#288400,#288401,#288402,#288403)); #54553=EDGE_LOOP('',(#288404,#288405,#288406,#288407)); #54554=EDGE_LOOP('',(#288408,#288409,#288410,#288411)); #54555=EDGE_LOOP('',(#288412,#288413,#288414,#288415)); #54556=EDGE_LOOP('',(#288416,#288417,#288418,#288419)); #54557=EDGE_LOOP('',(#288420,#288421,#288422,#288423)); #54558=EDGE_LOOP('',(#288424,#288425,#288426,#288427)); #54559=EDGE_LOOP('',(#288428,#288429,#288430,#288431)); #54560=EDGE_LOOP('',(#288432,#288433,#288434,#288435)); #54561=EDGE_LOOP('',(#288436,#288437,#288438,#288439)); #54562=EDGE_LOOP('',(#288440,#288441,#288442,#288443)); #54563=EDGE_LOOP('',(#288444,#288445,#288446,#288447)); #54564=EDGE_LOOP('',(#288448,#288449,#288450,#288451)); #54565=EDGE_LOOP('',(#288452,#288453,#288454,#288455)); #54566=EDGE_LOOP('',(#288456,#288457,#288458,#288459)); #54567=EDGE_LOOP('',(#288460,#288461,#288462,#288463)); #54568=EDGE_LOOP('',(#288464,#288465,#288466,#288467)); #54569=EDGE_LOOP('',(#288468,#288469,#288470,#288471)); #54570=EDGE_LOOP('',(#288472,#288473,#288474,#288475)); #54571=EDGE_LOOP('',(#288476,#288477,#288478,#288479)); #54572=EDGE_LOOP('',(#288480,#288481,#288482,#288483)); #54573=EDGE_LOOP('',(#288484,#288485,#288486,#288487)); #54574=EDGE_LOOP('',(#288488,#288489,#288490,#288491)); #54575=EDGE_LOOP('',(#288492,#288493,#288494,#288495)); #54576=EDGE_LOOP('',(#288496,#288497,#288498,#288499)); #54577=EDGE_LOOP('',(#288500,#288501,#288502,#288503)); #54578=EDGE_LOOP('',(#288504,#288505,#288506,#288507)); #54579=EDGE_LOOP('',(#288508,#288509,#288510,#288511)); #54580=EDGE_LOOP('',(#288512,#288513,#288514,#288515)); #54581=EDGE_LOOP('',(#288516,#288517,#288518,#288519)); #54582=EDGE_LOOP('',(#288520,#288521,#288522,#288523)); #54583=EDGE_LOOP('',(#288524,#288525,#288526,#288527)); #54584=EDGE_LOOP('',(#288528,#288529,#288530,#288531)); #54585=EDGE_LOOP('',(#288532,#288533,#288534,#288535)); #54586=EDGE_LOOP('',(#288536,#288537,#288538,#288539)); #54587=EDGE_LOOP('',(#288540,#288541,#288542,#288543,#288544,#288545,#288546, #288547,#288548,#288549,#288550,#288551,#288552,#288553,#288554,#288555, #288556,#288557,#288558,#288559,#288560,#288561,#288562,#288563,#288564, #288565,#288566,#288567,#288568,#288569,#288570,#288571,#288572,#288573, #288574,#288575,#288576,#288577,#288578,#288579,#288580,#288581,#288582, #288583,#288584,#288585,#288586,#288587,#288588,#288589,#288590,#288591, #288592,#288593,#288594,#288595,#288596,#288597,#288598,#288599,#288600, #288601,#288602,#288603,#288604,#288605,#288606,#288607,#288608,#288609, #288610,#288611)); #54588=EDGE_LOOP('',(#288612,#288613,#288614,#288615)); #54589=EDGE_LOOP('',(#288616,#288617,#288618,#288619,#288620,#288621,#288622, #288623,#288624,#288625,#288626,#288627,#288628,#288629,#288630,#288631, #288632,#288633,#288634,#288635,#288636,#288637,#288638,#288639,#288640, #288641,#288642,#288643,#288644,#288645,#288646,#288647,#288648,#288649, #288650,#288651,#288652,#288653,#288654,#288655,#288656,#288657,#288658, #288659,#288660,#288661,#288662,#288663,#288664,#288665,#288666,#288667, #288668,#288669,#288670,#288671,#288672,#288673,#288674,#288675,#288676, #288677,#288678,#288679,#288680,#288681,#288682,#288683,#288684,#288685, #288686,#288687)); #54590=EDGE_LOOP('',(#288688,#288689,#288690,#288691)); #54591=EDGE_LOOP('',(#288692,#288693,#288694,#288695)); #54592=EDGE_LOOP('',(#288696,#288697,#288698,#288699)); #54593=EDGE_LOOP('',(#288700,#288701,#288702,#288703)); #54594=EDGE_LOOP('',(#288704,#288705,#288706,#288707)); #54595=EDGE_LOOP('',(#288708,#288709,#288710,#288711)); #54596=EDGE_LOOP('',(#288712,#288713,#288714,#288715)); #54597=EDGE_LOOP('',(#288716,#288717,#288718,#288719)); #54598=EDGE_LOOP('',(#288720,#288721,#288722,#288723)); #54599=EDGE_LOOP('',(#288724,#288725,#288726,#288727)); #54600=EDGE_LOOP('',(#288728,#288729,#288730,#288731)); #54601=EDGE_LOOP('',(#288732,#288733,#288734,#288735)); #54602=EDGE_LOOP('',(#288736,#288737,#288738,#288739)); #54603=EDGE_LOOP('',(#288740,#288741,#288742,#288743)); #54604=EDGE_LOOP('',(#288744,#288745,#288746,#288747)); #54605=EDGE_LOOP('',(#288748,#288749,#288750,#288751)); #54606=EDGE_LOOP('',(#288752,#288753,#288754,#288755)); #54607=EDGE_LOOP('',(#288756,#288757,#288758,#288759)); #54608=EDGE_LOOP('',(#288760,#288761,#288762,#288763)); #54609=EDGE_LOOP('',(#288764,#288765,#288766,#288767)); #54610=EDGE_LOOP('',(#288768,#288769,#288770,#288771)); #54611=EDGE_LOOP('',(#288772,#288773,#288774,#288775)); #54612=EDGE_LOOP('',(#288776,#288777,#288778,#288779)); #54613=EDGE_LOOP('',(#288780,#288781,#288782,#288783)); #54614=EDGE_LOOP('',(#288784,#288785,#288786,#288787)); #54615=EDGE_LOOP('',(#288788,#288789,#288790,#288791)); #54616=EDGE_LOOP('',(#288792,#288793,#288794,#288795)); #54617=EDGE_LOOP('',(#288796,#288797,#288798,#288799)); #54618=EDGE_LOOP('',(#288800,#288801,#288802,#288803)); #54619=EDGE_LOOP('',(#288804,#288805,#288806,#288807)); #54620=EDGE_LOOP('',(#288808,#288809,#288810,#288811)); #54621=EDGE_LOOP('',(#288812,#288813,#288814,#288815)); #54622=EDGE_LOOP('',(#288816,#288817,#288818,#288819)); #54623=EDGE_LOOP('',(#288820,#288821,#288822,#288823)); #54624=EDGE_LOOP('',(#288824,#288825,#288826,#288827)); #54625=EDGE_LOOP('',(#288828,#288829,#288830,#288831)); #54626=EDGE_LOOP('',(#288832,#288833,#288834,#288835)); #54627=EDGE_LOOP('',(#288836,#288837,#288838,#288839)); #54628=EDGE_LOOP('',(#288840,#288841,#288842,#288843)); #54629=EDGE_LOOP('',(#288844,#288845,#288846,#288847)); #54630=EDGE_LOOP('',(#288848,#288849,#288850,#288851)); #54631=EDGE_LOOP('',(#288852,#288853,#288854,#288855)); #54632=EDGE_LOOP('',(#288856,#288857,#288858,#288859)); #54633=EDGE_LOOP('',(#288860,#288861,#288862,#288863)); #54634=EDGE_LOOP('',(#288864,#288865,#288866,#288867)); #54635=EDGE_LOOP('',(#288868,#288869,#288870,#288871)); #54636=EDGE_LOOP('',(#288872,#288873,#288874,#288875)); #54637=EDGE_LOOP('',(#288876,#288877,#288878,#288879)); #54638=EDGE_LOOP('',(#288880,#288881,#288882,#288883)); #54639=EDGE_LOOP('',(#288884,#288885,#288886,#288887)); #54640=EDGE_LOOP('',(#288888,#288889,#288890,#288891)); #54641=EDGE_LOOP('',(#288892,#288893,#288894,#288895)); #54642=EDGE_LOOP('',(#288896,#288897,#288898,#288899)); #54643=EDGE_LOOP('',(#288900,#288901,#288902,#288903)); #54644=EDGE_LOOP('',(#288904,#288905,#288906,#288907)); #54645=EDGE_LOOP('',(#288908,#288909,#288910,#288911)); #54646=EDGE_LOOP('',(#288912,#288913,#288914,#288915)); #54647=EDGE_LOOP('',(#288916,#288917,#288918,#288919)); #54648=EDGE_LOOP('',(#288920,#288921,#288922,#288923)); #54649=EDGE_LOOP('',(#288924,#288925,#288926,#288927)); #54650=EDGE_LOOP('',(#288928,#288929,#288930,#288931)); #54651=EDGE_LOOP('',(#288932,#288933,#288934,#288935,#288936,#288937,#288938, #288939,#288940,#288941,#288942,#288943,#288944,#288945,#288946,#288947, #288948,#288949,#288950,#288951,#288952,#288953,#288954,#288955,#288956, #288957,#288958,#288959,#288960,#288961,#288962,#288963,#288964,#288965, #288966,#288967,#288968,#288969,#288970,#288971,#288972,#288973,#288974, #288975,#288976,#288977,#288978,#288979,#288980,#288981,#288982,#288983, #288984,#288985,#288986,#288987)); #54652=EDGE_LOOP('',(#288988,#288989,#288990,#288991)); #54653=EDGE_LOOP('',(#288992,#288993,#288994,#288995,#288996,#288997,#288998, #288999,#289000,#289001,#289002,#289003,#289004,#289005,#289006,#289007, #289008,#289009,#289010,#289011,#289012,#289013,#289014,#289015,#289016, #289017,#289018,#289019,#289020,#289021,#289022,#289023,#289024,#289025, #289026,#289027,#289028,#289029,#289030,#289031,#289032,#289033,#289034, #289035,#289036,#289037,#289038,#289039,#289040,#289041,#289042,#289043, #289044,#289045,#289046,#289047)); #54654=EDGE_LOOP('',(#289048,#289049,#289050,#289051)); #54655=EDGE_LOOP('',(#289052,#289053,#289054,#289055)); #54656=EDGE_LOOP('',(#289056,#289057,#289058,#289059)); #54657=EDGE_LOOP('',(#289060,#289061,#289062,#289063)); #54658=EDGE_LOOP('',(#289064,#289065,#289066,#289067)); #54659=EDGE_LOOP('',(#289068,#289069,#289070)); #54660=EDGE_LOOP('',(#289071)); #54661=EDGE_LOOP('',(#289072,#289073,#289074)); #54662=EDGE_LOOP('',(#289075)); #54663=EDGE_LOOP('',(#289076,#289077,#289078,#289079)); #54664=EDGE_LOOP('',(#289080,#289081,#289082,#289083)); #54665=EDGE_LOOP('',(#289084,#289085,#289086,#289087)); #54666=EDGE_LOOP('',(#289088,#289089,#289090,#289091)); #54667=EDGE_LOOP('',(#289092,#289093,#289094,#289095)); #54668=EDGE_LOOP('',(#289096,#289097,#289098,#289099)); #54669=EDGE_LOOP('',(#289100,#289101,#289102,#289103)); #54670=EDGE_LOOP('',(#289104,#289105,#289106,#289107)); #54671=EDGE_LOOP('',(#289108,#289109,#289110,#289111)); #54672=EDGE_LOOP('',(#289112,#289113,#289114,#289115)); #54673=EDGE_LOOP('',(#289116,#289117,#289118,#289119)); #54674=EDGE_LOOP('',(#289120,#289121,#289122,#289123)); #54675=EDGE_LOOP('',(#289124,#289125,#289126,#289127)); #54676=EDGE_LOOP('',(#289128,#289129,#289130,#289131)); #54677=EDGE_LOOP('',(#289132,#289133,#289134,#289135)); #54678=EDGE_LOOP('',(#289136,#289137,#289138,#289139)); #54679=EDGE_LOOP('',(#289140,#289141,#289142,#289143)); #54680=EDGE_LOOP('',(#289144,#289145,#289146,#289147)); #54681=EDGE_LOOP('',(#289148,#289149,#289150,#289151)); #54682=EDGE_LOOP('',(#289152,#289153,#289154,#289155)); #54683=EDGE_LOOP('',(#289156,#289157,#289158,#289159)); #54684=EDGE_LOOP('',(#289160,#289161,#289162,#289163)); #54685=EDGE_LOOP('',(#289164,#289165,#289166,#289167)); #54686=EDGE_LOOP('',(#289168,#289169,#289170,#289171)); #54687=EDGE_LOOP('',(#289172,#289173,#289174,#289175)); #54688=EDGE_LOOP('',(#289176,#289177,#289178,#289179)); #54689=EDGE_LOOP('',(#289180,#289181,#289182,#289183)); #54690=EDGE_LOOP('',(#289184,#289185,#289186,#289187)); #54691=EDGE_LOOP('',(#289188,#289189,#289190,#289191)); #54692=EDGE_LOOP('',(#289192,#289193,#289194,#289195)); #54693=EDGE_LOOP('',(#289196,#289197,#289198,#289199)); #54694=EDGE_LOOP('',(#289200,#289201,#289202,#289203)); #54695=EDGE_LOOP('',(#289204,#289205,#289206,#289207)); #54696=EDGE_LOOP('',(#289208,#289209,#289210,#289211)); #54697=EDGE_LOOP('',(#289212,#289213,#289214,#289215)); #54698=EDGE_LOOP('',(#289216,#289217,#289218,#289219)); #54699=EDGE_LOOP('',(#289220,#289221,#289222,#289223)); #54700=EDGE_LOOP('',(#289224,#289225,#289226,#289227)); #54701=EDGE_LOOP('',(#289228,#289229,#289230,#289231)); #54702=EDGE_LOOP('',(#289232,#289233,#289234,#289235)); #54703=EDGE_LOOP('',(#289236,#289237,#289238,#289239)); #54704=EDGE_LOOP('',(#289240,#289241,#289242,#289243)); #54705=EDGE_LOOP('',(#289244,#289245,#289246,#289247)); #54706=EDGE_LOOP('',(#289248,#289249,#289250,#289251)); #54707=EDGE_LOOP('',(#289252,#289253,#289254,#289255)); #54708=EDGE_LOOP('',(#289256,#289257,#289258,#289259)); #54709=EDGE_LOOP('',(#289260,#289261,#289262,#289263)); #54710=EDGE_LOOP('',(#289264,#289265,#289266,#289267)); #54711=EDGE_LOOP('',(#289268,#289269,#289270,#289271)); #54712=EDGE_LOOP('',(#289272,#289273,#289274,#289275)); #54713=EDGE_LOOP('',(#289276,#289277,#289278,#289279)); #54714=EDGE_LOOP('',(#289280,#289281,#289282,#289283)); #54715=EDGE_LOOP('',(#289284,#289285,#289286,#289287)); #54716=EDGE_LOOP('',(#289288,#289289,#289290,#289291)); #54717=EDGE_LOOP('',(#289292,#289293,#289294,#289295)); #54718=EDGE_LOOP('',(#289296,#289297,#289298,#289299)); #54719=EDGE_LOOP('',(#289300,#289301,#289302,#289303)); #54720=EDGE_LOOP('',(#289304,#289305,#289306,#289307)); #54721=EDGE_LOOP('',(#289308,#289309,#289310,#289311)); #54722=EDGE_LOOP('',(#289312,#289313,#289314,#289315)); #54723=EDGE_LOOP('',(#289316,#289317,#289318,#289319,#289320,#289321,#289322, #289323,#289324,#289325,#289326,#289327,#289328,#289329,#289330,#289331, #289332,#289333,#289334,#289335,#289336,#289337,#289338,#289339,#289340, #289341,#289342,#289343,#289344,#289345,#289346,#289347,#289348,#289349, #289350,#289351,#289352,#289353,#289354,#289355,#289356,#289357,#289358, #289359,#289360,#289361,#289362,#289363,#289364,#289365,#289366,#289367, #289368,#289369,#289370,#289371)); #54724=EDGE_LOOP('',(#289372,#289373,#289374,#289375)); #54725=EDGE_LOOP('',(#289376,#289377,#289378,#289379,#289380,#289381,#289382, #289383,#289384,#289385,#289386,#289387,#289388,#289389,#289390,#289391, #289392,#289393,#289394,#289395,#289396,#289397,#289398,#289399,#289400, #289401,#289402,#289403,#289404,#289405,#289406,#289407,#289408,#289409, #289410,#289411,#289412,#289413,#289414,#289415,#289416,#289417,#289418, #289419,#289420,#289421,#289422,#289423,#289424,#289425,#289426,#289427, #289428,#289429,#289430,#289431)); #54726=EDGE_LOOP('',(#289432,#289433,#289434,#289435)); #54727=EDGE_LOOP('',(#289436,#289437,#289438,#289439)); #54728=EDGE_LOOP('',(#289440,#289441,#289442,#289443)); #54729=EDGE_LOOP('',(#289444,#289445,#289446,#289447)); #54730=EDGE_LOOP('',(#289448,#289449,#289450,#289451)); #54731=EDGE_LOOP('',(#289452,#289453,#289454,#289455)); #54732=EDGE_LOOP('',(#289456,#289457,#289458,#289459)); #54733=EDGE_LOOP('',(#289460,#289461,#289462,#289463)); #54734=EDGE_LOOP('',(#289464,#289465,#289466,#289467)); #54735=EDGE_LOOP('',(#289468,#289469,#289470,#289471)); #54736=EDGE_LOOP('',(#289472,#289473,#289474,#289475,#289476,#289477,#289478, #289479)); #54737=EDGE_LOOP('',(#289480)); #54738=EDGE_LOOP('',(#289481,#289482,#289483,#289484,#289485,#289486,#289487, #289488)); #54739=EDGE_LOOP('',(#289489)); #54740=EDGE_LOOP('',(#289490,#289491,#289492,#289493)); #54741=EDGE_LOOP('',(#289494,#289495,#289496,#289497)); #54742=EDGE_LOOP('',(#289498,#289499,#289500,#289501)); #54743=EDGE_LOOP('',(#289502,#289503,#289504,#289505)); #54744=EDGE_LOOP('',(#289506,#289507,#289508)); #54745=EDGE_LOOP('',(#289509)); #54746=EDGE_LOOP('',(#289510,#289511,#289512)); #54747=EDGE_LOOP('',(#289513)); #54748=EDGE_LOOP('',(#289514,#289515,#289516,#289517)); #54749=EDGE_LOOP('',(#289518,#289519,#289520,#289521)); #54750=EDGE_LOOP('',(#289522,#289523,#289524,#289525)); #54751=EDGE_LOOP('',(#289526,#289527,#289528,#289529)); #54752=EDGE_LOOP('',(#289530,#289531,#289532,#289533)); #54753=EDGE_LOOP('',(#289534,#289535,#289536,#289537)); #54754=EDGE_LOOP('',(#289538,#289539,#289540,#289541)); #54755=EDGE_LOOP('',(#289542,#289543,#289544,#289545)); #54756=EDGE_LOOP('',(#289546,#289547,#289548,#289549)); #54757=EDGE_LOOP('',(#289550,#289551,#289552,#289553)); #54758=EDGE_LOOP('',(#289554,#289555,#289556,#289557)); #54759=EDGE_LOOP('',(#289558,#289559,#289560,#289561)); #54760=EDGE_LOOP('',(#289562,#289563,#289564,#289565)); #54761=EDGE_LOOP('',(#289566,#289567,#289568,#289569)); #54762=EDGE_LOOP('',(#289570,#289571,#289572,#289573)); #54763=EDGE_LOOP('',(#289574,#289575,#289576,#289577)); #54764=EDGE_LOOP('',(#289578,#289579,#289580,#289581)); #54765=EDGE_LOOP('',(#289582,#289583,#289584,#289585)); #54766=EDGE_LOOP('',(#289586,#289587,#289588,#289589)); #54767=EDGE_LOOP('',(#289590,#289591,#289592,#289593)); #54768=EDGE_LOOP('',(#289594,#289595,#289596,#289597)); #54769=EDGE_LOOP('',(#289598,#289599,#289600,#289601)); #54770=EDGE_LOOP('',(#289602,#289603,#289604,#289605)); #54771=EDGE_LOOP('',(#289606,#289607,#289608,#289609)); #54772=EDGE_LOOP('',(#289610,#289611,#289612,#289613)); #54773=EDGE_LOOP('',(#289614,#289615,#289616,#289617)); #54774=EDGE_LOOP('',(#289618,#289619,#289620,#289621)); #54775=EDGE_LOOP('',(#289622,#289623,#289624,#289625)); #54776=EDGE_LOOP('',(#289626,#289627,#289628,#289629)); #54777=EDGE_LOOP('',(#289630,#289631,#289632,#289633)); #54778=EDGE_LOOP('',(#289634,#289635,#289636,#289637)); #54779=EDGE_LOOP('',(#289638,#289639,#289640,#289641)); #54780=EDGE_LOOP('',(#289642,#289643,#289644,#289645)); #54781=EDGE_LOOP('',(#289646,#289647,#289648,#289649)); #54782=EDGE_LOOP('',(#289650,#289651,#289652,#289653)); #54783=EDGE_LOOP('',(#289654,#289655,#289656,#289657)); #54784=EDGE_LOOP('',(#289658,#289659,#289660,#289661)); #54785=EDGE_LOOP('',(#289662,#289663,#289664,#289665)); #54786=EDGE_LOOP('',(#289666,#289667,#289668,#289669)); #54787=EDGE_LOOP('',(#289670,#289671,#289672,#289673)); #54788=EDGE_LOOP('',(#289674,#289675,#289676,#289677)); #54789=EDGE_LOOP('',(#289678,#289679,#289680,#289681)); #54790=EDGE_LOOP('',(#289682,#289683,#289684,#289685)); #54791=EDGE_LOOP('',(#289686,#289687,#289688,#289689)); #54792=EDGE_LOOP('',(#289690,#289691,#289692,#289693)); #54793=EDGE_LOOP('',(#289694,#289695,#289696,#289697)); #54794=EDGE_LOOP('',(#289698,#289699,#289700,#289701)); #54795=EDGE_LOOP('',(#289702,#289703,#289704,#289705)); #54796=EDGE_LOOP('',(#289706,#289707,#289708,#289709)); #54797=EDGE_LOOP('',(#289710,#289711,#289712,#289713)); #54798=EDGE_LOOP('',(#289714,#289715,#289716,#289717)); #54799=EDGE_LOOP('',(#289718,#289719,#289720,#289721)); #54800=EDGE_LOOP('',(#289722,#289723,#289724,#289725)); #54801=EDGE_LOOP('',(#289726,#289727,#289728,#289729)); #54802=EDGE_LOOP('',(#289730,#289731,#289732,#289733)); #54803=EDGE_LOOP('',(#289734,#289735,#289736,#289737)); #54804=EDGE_LOOP('',(#289738,#289739,#289740,#289741)); #54805=EDGE_LOOP('',(#289742,#289743,#289744,#289745)); #54806=EDGE_LOOP('',(#289746,#289747,#289748,#289749)); #54807=EDGE_LOOP('',(#289750,#289751,#289752,#289753)); #54808=EDGE_LOOP('',(#289754,#289755,#289756,#289757)); #54809=EDGE_LOOP('',(#289758,#289759,#289760,#289761)); #54810=EDGE_LOOP('',(#289762,#289763,#289764,#289765)); #54811=EDGE_LOOP('',(#289766,#289767,#289768,#289769)); #54812=EDGE_LOOP('',(#289770,#289771,#289772,#289773)); #54813=EDGE_LOOP('',(#289774,#289775,#289776,#289777)); #54814=EDGE_LOOP('',(#289778,#289779,#289780,#289781)); #54815=EDGE_LOOP('',(#289782,#289783,#289784,#289785)); #54816=EDGE_LOOP('',(#289786,#289787,#289788,#289789)); #54817=EDGE_LOOP('',(#289790,#289791,#289792,#289793)); #54818=EDGE_LOOP('',(#289794,#289795,#289796,#289797)); #54819=EDGE_LOOP('',(#289798,#289799,#289800,#289801)); #54820=EDGE_LOOP('',(#289802,#289803,#289804,#289805)); #54821=EDGE_LOOP('',(#289806,#289807,#289808,#289809)); #54822=EDGE_LOOP('',(#289810,#289811,#289812,#289813)); #54823=EDGE_LOOP('',(#289814,#289815,#289816,#289817)); #54824=EDGE_LOOP('',(#289818,#289819,#289820,#289821,#289822,#289823,#289824, #289825,#289826,#289827,#289828,#289829,#289830,#289831,#289832,#289833, #289834,#289835,#289836,#289837,#289838,#289839,#289840,#289841,#289842, #289843,#289844,#289845,#289846,#289847,#289848,#289849,#289850,#289851, #289852,#289853,#289854,#289855,#289856,#289857,#289858,#289859,#289860, #289861,#289862,#289863,#289864,#289865,#289866,#289867,#289868,#289869, #289870,#289871,#289872,#289873,#289874,#289875,#289876,#289877,#289878, #289879,#289880,#289881,#289882,#289883,#289884,#289885,#289886,#289887, #289888,#289889)); #54825=EDGE_LOOP('',(#289890,#289891,#289892,#289893)); #54826=EDGE_LOOP('',(#289894,#289895,#289896,#289897,#289898,#289899,#289900, #289901,#289902,#289903,#289904,#289905,#289906,#289907,#289908,#289909, #289910,#289911,#289912,#289913,#289914,#289915,#289916,#289917,#289918, #289919,#289920,#289921,#289922,#289923,#289924,#289925,#289926,#289927, #289928,#289929,#289930,#289931,#289932,#289933,#289934,#289935,#289936, #289937,#289938,#289939,#289940,#289941,#289942,#289943,#289944,#289945, #289946,#289947,#289948,#289949,#289950,#289951,#289952,#289953,#289954, #289955,#289956,#289957,#289958,#289959,#289960,#289961,#289962,#289963, #289964,#289965)); #54827=EDGE_LOOP('',(#289966,#289967,#289968,#289969)); #54828=EDGE_LOOP('',(#289970,#289971,#289972,#289973)); #54829=EDGE_LOOP('',(#289974,#289975,#289976,#289977)); #54830=EDGE_LOOP('',(#289978,#289979,#289980,#289981)); #54831=EDGE_LOOP('',(#289982,#289983,#289984,#289985)); #54832=EDGE_LOOP('',(#289986,#289987,#289988,#289989)); #54833=EDGE_LOOP('',(#289990,#289991,#289992,#289993)); #54834=EDGE_LOOP('',(#289994,#289995,#289996,#289997)); #54835=EDGE_LOOP('',(#289998,#289999,#290000,#290001)); #54836=EDGE_LOOP('',(#290002,#290003,#290004,#290005)); #54837=EDGE_LOOP('',(#290006,#290007,#290008,#290009,#290010,#290011,#290012, #290013)); #54838=EDGE_LOOP('',(#290014)); #54839=EDGE_LOOP('',(#290015,#290016,#290017,#290018,#290019,#290020,#290021, #290022)); #54840=EDGE_LOOP('',(#290023)); #54841=EDGE_LOOP('',(#290024,#290025,#290026,#290027)); #54842=EDGE_LOOP('',(#290028,#290029,#290030,#290031)); #54843=EDGE_LOOP('',(#290032,#290033,#290034,#290035)); #54844=EDGE_LOOP('',(#290036,#290037,#290038,#290039)); #54845=EDGE_LOOP('',(#290040,#290041,#290042)); #54846=EDGE_LOOP('',(#290043)); #54847=EDGE_LOOP('',(#290044,#290045,#290046)); #54848=EDGE_LOOP('',(#290047)); #54849=EDGE_LOOP('',(#290048,#290049,#290050,#290051)); #54850=EDGE_LOOP('',(#290052,#290053,#290054,#290055)); #54851=EDGE_LOOP('',(#290056,#290057,#290058,#290059)); #54852=EDGE_LOOP('',(#290060,#290061,#290062,#290063)); #54853=EDGE_LOOP('',(#290064,#290065,#290066)); #54854=EDGE_LOOP('',(#290067)); #54855=EDGE_LOOP('',(#290068,#290069,#290070)); #54856=EDGE_LOOP('',(#290071)); #54857=EDGE_LOOP('',(#290072,#290073,#290074,#290075)); #54858=EDGE_LOOP('',(#290076,#290077,#290078,#290079)); #54859=EDGE_LOOP('',(#290080,#290081,#290082,#290083)); #54860=EDGE_LOOP('',(#290084,#290085,#290086,#290087)); #54861=EDGE_LOOP('',(#290088,#290089,#290090)); #54862=EDGE_LOOP('',(#290091)); #54863=EDGE_LOOP('',(#290092,#290093,#290094)); #54864=EDGE_LOOP('',(#290095)); #54865=EDGE_LOOP('',(#290096,#290097,#290098,#290099)); #54866=EDGE_LOOP('',(#290100,#290101,#290102,#290103)); #54867=EDGE_LOOP('',(#290104,#290105,#290106,#290107)); #54868=EDGE_LOOP('',(#290108,#290109,#290110,#290111)); #54869=EDGE_LOOP('',(#290112,#290113,#290114,#290115)); #54870=EDGE_LOOP('',(#290116,#290117,#290118,#290119)); #54871=EDGE_LOOP('',(#290120)); #54872=EDGE_LOOP('',(#290121,#290122,#290123,#290124)); #54873=EDGE_LOOP('',(#290125)); #54874=EDGE_LOOP('',(#290126,#290127,#290128,#290129)); #54875=EDGE_LOOP('',(#290130,#290131,#290132,#290133)); #54876=EDGE_LOOP('',(#290134,#290135,#290136,#290137)); #54877=EDGE_LOOP('',(#290138,#290139,#290140,#290141)); #54878=EDGE_LOOP('',(#290142,#290143,#290144,#290145)); #54879=EDGE_LOOP('',(#290146,#290147,#290148,#290149)); #54880=EDGE_LOOP('',(#290150,#290151,#290152,#290153)); #54881=EDGE_LOOP('',(#290154,#290155,#290156,#290157)); #54882=EDGE_LOOP('',(#290158,#290159,#290160,#290161)); #54883=EDGE_LOOP('',(#290162,#290163,#290164,#290165,#290166,#290167,#290168, #290169)); #54884=EDGE_LOOP('',(#290170)); #54885=EDGE_LOOP('',(#290171,#290172,#290173,#290174,#290175,#290176,#290177, #290178)); #54886=EDGE_LOOP('',(#290179)); #54887=EDGE_LOOP('',(#290180,#290181,#290182,#290183)); #54888=EDGE_LOOP('',(#290184,#290185,#290186,#290187)); #54889=EDGE_LOOP('',(#290188,#290189,#290190,#290191)); #54890=EDGE_LOOP('',(#290192,#290193,#290194,#290195)); #54891=EDGE_LOOP('',(#290196,#290197,#290198)); #54892=EDGE_LOOP('',(#290199)); #54893=EDGE_LOOP('',(#290200,#290201,#290202)); #54894=EDGE_LOOP('',(#290203)); #54895=EDGE_LOOP('',(#290204,#290205,#290206,#290207)); #54896=EDGE_LOOP('',(#290208,#290209,#290210,#290211)); #54897=EDGE_LOOP('',(#290212,#290213,#290214,#290215)); #54898=EDGE_LOOP('',(#290216,#290217,#290218,#290219)); #54899=EDGE_LOOP('',(#290220,#290221,#290222)); #54900=EDGE_LOOP('',(#290223)); #54901=EDGE_LOOP('',(#290224,#290225,#290226)); #54902=EDGE_LOOP('',(#290227)); #54903=EDGE_LOOP('',(#290228,#290229,#290230,#290231)); #54904=EDGE_LOOP('',(#290232,#290233,#290234,#290235)); #54905=EDGE_LOOP('',(#290236,#290237,#290238,#290239)); #54906=EDGE_LOOP('',(#290240,#290241,#290242,#290243)); #54907=EDGE_LOOP('',(#290244,#290245,#290246,#290247)); #54908=EDGE_LOOP('',(#290248,#290249,#290250,#290251)); #54909=EDGE_LOOP('',(#290252,#290253,#290254,#290255)); #54910=EDGE_LOOP('',(#290256,#290257,#290258,#290259)); #54911=EDGE_LOOP('',(#290260,#290261,#290262,#290263)); #54912=EDGE_LOOP('',(#290264,#290265,#290266,#290267)); #54913=EDGE_LOOP('',(#290268,#290269,#290270,#290271)); #54914=EDGE_LOOP('',(#290272,#290273,#290274,#290275)); #54915=EDGE_LOOP('',(#290276,#290277,#290278,#290279)); #54916=EDGE_LOOP('',(#290280,#290281,#290282,#290283)); #54917=EDGE_LOOP('',(#290284,#290285,#290286,#290287)); #54918=EDGE_LOOP('',(#290288,#290289,#290290,#290291)); #54919=EDGE_LOOP('',(#290292,#290293,#290294,#290295)); #54920=EDGE_LOOP('',(#290296,#290297,#290298,#290299)); #54921=EDGE_LOOP('',(#290300,#290301,#290302,#290303)); #54922=EDGE_LOOP('',(#290304,#290305,#290306,#290307)); #54923=EDGE_LOOP('',(#290308,#290309,#290310,#290311)); #54924=EDGE_LOOP('',(#290312,#290313,#290314,#290315)); #54925=EDGE_LOOP('',(#290316,#290317,#290318,#290319)); #54926=EDGE_LOOP('',(#290320,#290321,#290322,#290323)); #54927=EDGE_LOOP('',(#290324,#290325,#290326,#290327)); #54928=EDGE_LOOP('',(#290328,#290329,#290330,#290331)); #54929=EDGE_LOOP('',(#290332,#290333,#290334,#290335)); #54930=EDGE_LOOP('',(#290336,#290337,#290338,#290339)); #54931=EDGE_LOOP('',(#290340,#290341,#290342,#290343)); #54932=EDGE_LOOP('',(#290344,#290345,#290346,#290347)); #54933=EDGE_LOOP('',(#290348,#290349,#290350,#290351)); #54934=EDGE_LOOP('',(#290352,#290353,#290354,#290355)); #54935=EDGE_LOOP('',(#290356,#290357,#290358,#290359)); #54936=EDGE_LOOP('',(#290360,#290361,#290362,#290363)); #54937=EDGE_LOOP('',(#290364,#290365,#290366,#290367)); #54938=EDGE_LOOP('',(#290368,#290369,#290370,#290371)); #54939=EDGE_LOOP('',(#290372,#290373,#290374,#290375)); #54940=EDGE_LOOP('',(#290376,#290377,#290378,#290379)); #54941=EDGE_LOOP('',(#290380,#290381,#290382,#290383)); #54942=EDGE_LOOP('',(#290384,#290385,#290386,#290387)); #54943=EDGE_LOOP('',(#290388,#290389,#290390,#290391)); #54944=EDGE_LOOP('',(#290392,#290393,#290394,#290395)); #54945=EDGE_LOOP('',(#290396,#290397,#290398,#290399)); #54946=EDGE_LOOP('',(#290400,#290401,#290402,#290403)); #54947=EDGE_LOOP('',(#290404,#290405,#290406,#290407)); #54948=EDGE_LOOP('',(#290408,#290409,#290410,#290411)); #54949=EDGE_LOOP('',(#290412,#290413,#290414,#290415)); #54950=EDGE_LOOP('',(#290416,#290417,#290418,#290419)); #54951=EDGE_LOOP('',(#290420,#290421,#290422,#290423)); #54952=EDGE_LOOP('',(#290424,#290425,#290426,#290427)); #54953=EDGE_LOOP('',(#290428,#290429,#290430,#290431)); #54954=EDGE_LOOP('',(#290432,#290433,#290434,#290435)); #54955=EDGE_LOOP('',(#290436,#290437,#290438,#290439)); #54956=EDGE_LOOP('',(#290440,#290441,#290442,#290443)); #54957=EDGE_LOOP('',(#290444,#290445,#290446,#290447)); #54958=EDGE_LOOP('',(#290448,#290449,#290450,#290451)); #54959=EDGE_LOOP('',(#290452,#290453,#290454,#290455)); #54960=EDGE_LOOP('',(#290456,#290457,#290458,#290459)); #54961=EDGE_LOOP('',(#290460,#290461,#290462,#290463)); #54962=EDGE_LOOP('',(#290464,#290465,#290466,#290467)); #54963=EDGE_LOOP('',(#290468,#290469,#290470,#290471)); #54964=EDGE_LOOP('',(#290472,#290473,#290474,#290475)); #54965=EDGE_LOOP('',(#290476,#290477,#290478,#290479)); #54966=EDGE_LOOP('',(#290480,#290481,#290482,#290483)); #54967=EDGE_LOOP('',(#290484,#290485,#290486,#290487)); #54968=EDGE_LOOP('',(#290488,#290489,#290490,#290491)); #54969=EDGE_LOOP('',(#290492,#290493,#290494,#290495)); #54970=EDGE_LOOP('',(#290496,#290497,#290498,#290499)); #54971=EDGE_LOOP('',(#290500,#290501,#290502,#290503)); #54972=EDGE_LOOP('',(#290504,#290505,#290506,#290507)); #54973=EDGE_LOOP('',(#290508,#290509,#290510,#290511)); #54974=EDGE_LOOP('',(#290512,#290513,#290514,#290515)); #54975=EDGE_LOOP('',(#290516,#290517,#290518,#290519)); #54976=EDGE_LOOP('',(#290520,#290521,#290522,#290523)); #54977=EDGE_LOOP('',(#290524,#290525,#290526,#290527)); #54978=EDGE_LOOP('',(#290528,#290529,#290530,#290531)); #54979=EDGE_LOOP('',(#290532,#290533,#290534,#290535)); #54980=EDGE_LOOP('',(#290536,#290537,#290538,#290539)); #54981=EDGE_LOOP('',(#290540,#290541,#290542,#290543)); #54982=EDGE_LOOP('',(#290544,#290545,#290546,#290547)); #54983=EDGE_LOOP('',(#290548,#290549,#290550,#290551)); #54984=EDGE_LOOP('',(#290552,#290553,#290554,#290555)); #54985=EDGE_LOOP('',(#290556,#290557,#290558,#290559)); #54986=EDGE_LOOP('',(#290560,#290561,#290562,#290563)); #54987=EDGE_LOOP('',(#290564,#290565,#290566,#290567)); #54988=EDGE_LOOP('',(#290568,#290569,#290570,#290571)); #54989=EDGE_LOOP('',(#290572,#290573,#290574,#290575)); #54990=EDGE_LOOP('',(#290576,#290577,#290578,#290579)); #54991=EDGE_LOOP('',(#290580,#290581,#290582,#290583)); #54992=EDGE_LOOP('',(#290584,#290585,#290586,#290587)); #54993=EDGE_LOOP('',(#290588,#290589,#290590,#290591)); #54994=EDGE_LOOP('',(#290592,#290593,#290594,#290595)); #54995=EDGE_LOOP('',(#290596,#290597,#290598,#290599)); #54996=EDGE_LOOP('',(#290600,#290601,#290602,#290603)); #54997=EDGE_LOOP('',(#290604,#290605,#290606,#290607)); #54998=EDGE_LOOP('',(#290608,#290609,#290610,#290611)); #54999=EDGE_LOOP('',(#290612,#290613,#290614,#290615)); #55000=EDGE_LOOP('',(#290616,#290617,#290618,#290619)); #55001=EDGE_LOOP('',(#290620,#290621,#290622,#290623)); #55002=EDGE_LOOP('',(#290624,#290625,#290626,#290627)); #55003=EDGE_LOOP('',(#290628,#290629,#290630,#290631)); #55004=EDGE_LOOP('',(#290632,#290633,#290634,#290635)); #55005=EDGE_LOOP('',(#290636,#290637,#290638,#290639)); #55006=EDGE_LOOP('',(#290640,#290641,#290642,#290643)); #55007=EDGE_LOOP('',(#290644,#290645,#290646,#290647)); #55008=EDGE_LOOP('',(#290648,#290649,#290650,#290651)); #55009=EDGE_LOOP('',(#290652,#290653,#290654,#290655)); #55010=EDGE_LOOP('',(#290656,#290657,#290658,#290659)); #55011=EDGE_LOOP('',(#290660,#290661,#290662,#290663)); #55012=EDGE_LOOP('',(#290664,#290665,#290666,#290667)); #55013=EDGE_LOOP('',(#290668,#290669,#290670,#290671)); #55014=EDGE_LOOP('',(#290672,#290673,#290674,#290675)); #55015=EDGE_LOOP('',(#290676,#290677,#290678,#290679)); #55016=EDGE_LOOP('',(#290680,#290681,#290682,#290683)); #55017=EDGE_LOOP('',(#290684,#290685,#290686,#290687)); #55018=EDGE_LOOP('',(#290688,#290689,#290690,#290691)); #55019=EDGE_LOOP('',(#290692,#290693,#290694,#290695)); #55020=EDGE_LOOP('',(#290696,#290697,#290698,#290699)); #55021=EDGE_LOOP('',(#290700,#290701,#290702,#290703)); #55022=EDGE_LOOP('',(#290704,#290705,#290706,#290707)); #55023=EDGE_LOOP('',(#290708,#290709,#290710,#290711)); #55024=EDGE_LOOP('',(#290712,#290713,#290714,#290715)); #55025=EDGE_LOOP('',(#290716,#290717,#290718,#290719)); #55026=EDGE_LOOP('',(#290720,#290721,#290722,#290723)); #55027=EDGE_LOOP('',(#290724,#290725,#290726,#290727)); #55028=EDGE_LOOP('',(#290728,#290729,#290730,#290731)); #55029=EDGE_LOOP('',(#290732,#290733,#290734,#290735)); #55030=EDGE_LOOP('',(#290736,#290737,#290738,#290739)); #55031=EDGE_LOOP('',(#290740,#290741,#290742,#290743)); #55032=EDGE_LOOP('',(#290744,#290745,#290746,#290747)); #55033=EDGE_LOOP('',(#290748,#290749,#290750,#290751)); #55034=EDGE_LOOP('',(#290752,#290753,#290754,#290755)); #55035=EDGE_LOOP('',(#290756,#290757,#290758,#290759)); #55036=EDGE_LOOP('',(#290760,#290761,#290762,#290763)); #55037=EDGE_LOOP('',(#290764,#290765,#290766,#290767)); #55038=EDGE_LOOP('',(#290768,#290769,#290770,#290771)); #55039=EDGE_LOOP('',(#290772,#290773,#290774,#290775)); #55040=EDGE_LOOP('',(#290776,#290777,#290778,#290779)); #55041=EDGE_LOOP('',(#290780,#290781,#290782,#290783)); #55042=EDGE_LOOP('',(#290784,#290785,#290786,#290787)); #55043=EDGE_LOOP('',(#290788,#290789,#290790,#290791)); #55044=EDGE_LOOP('',(#290792,#290793,#290794,#290795)); #55045=EDGE_LOOP('',(#290796,#290797,#290798,#290799)); #55046=EDGE_LOOP('',(#290800,#290801,#290802,#290803)); #55047=EDGE_LOOP('',(#290804,#290805,#290806,#290807)); #55048=EDGE_LOOP('',(#290808)); #55049=EDGE_LOOP('',(#290809)); #55050=EDGE_LOOP('',(#290810,#290811,#290812,#290813)); #55051=EDGE_LOOP('',(#290814)); #55052=EDGE_LOOP('',(#290815)); #55053=EDGE_LOOP('',(#290816,#290817,#290818,#290819)); #55054=EDGE_LOOP('',(#290820,#290821,#290822,#290823)); #55055=EDGE_LOOP('',(#290824,#290825,#290826,#290827)); #55056=EDGE_LOOP('',(#290828,#290829,#290830,#290831)); #55057=EDGE_LOOP('',(#290832,#290833,#290834,#290835)); #55058=EDGE_LOOP('',(#290836,#290837,#290838,#290839)); #55059=EDGE_LOOP('',(#290840,#290841,#290842,#290843)); #55060=EDGE_LOOP('',(#290844,#290845,#290846,#290847)); #55061=EDGE_LOOP('',(#290848,#290849,#290850,#290851)); #55062=EDGE_LOOP('',(#290852,#290853,#290854,#290855)); #55063=EDGE_LOOP('',(#290856,#290857,#290858,#290859)); #55064=EDGE_LOOP('',(#290860,#290861,#290862,#290863)); #55065=EDGE_LOOP('',(#290864,#290865,#290866,#290867)); #55066=EDGE_LOOP('',(#290868,#290869,#290870,#290871)); #55067=EDGE_LOOP('',(#290872,#290873,#290874,#290875)); #55068=EDGE_LOOP('',(#290876,#290877,#290878,#290879)); #55069=EDGE_LOOP('',(#290880,#290881,#290882,#290883)); #55070=EDGE_LOOP('',(#290884,#290885,#290886,#290887)); #55071=EDGE_LOOP('',(#290888,#290889,#290890,#290891)); #55072=EDGE_LOOP('',(#290892,#290893,#290894,#290895)); #55073=EDGE_LOOP('',(#290896,#290897,#290898,#290899)); #55074=EDGE_LOOP('',(#290900,#290901,#290902,#290903)); #55075=EDGE_LOOP('',(#290904,#290905,#290906,#290907)); #55076=EDGE_LOOP('',(#290908,#290909,#290910,#290911)); #55077=EDGE_LOOP('',(#290912,#290913,#290914,#290915)); #55078=EDGE_LOOP('',(#290916,#290917,#290918,#290919)); #55079=EDGE_LOOP('',(#290920,#290921,#290922,#290923)); #55080=EDGE_LOOP('',(#290924,#290925,#290926,#290927)); #55081=EDGE_LOOP('',(#290928,#290929,#290930,#290931)); #55082=EDGE_LOOP('',(#290932,#290933,#290934,#290935)); #55083=EDGE_LOOP('',(#290936,#290937,#290938,#290939)); #55084=EDGE_LOOP('',(#290940,#290941,#290942,#290943)); #55085=EDGE_LOOP('',(#290944,#290945,#290946,#290947)); #55086=EDGE_LOOP('',(#290948,#290949,#290950,#290951)); #55087=EDGE_LOOP('',(#290952,#290953,#290954,#290955)); #55088=EDGE_LOOP('',(#290956,#290957,#290958,#290959)); #55089=EDGE_LOOP('',(#290960,#290961,#290962,#290963)); #55090=EDGE_LOOP('',(#290964,#290965,#290966,#290967)); #55091=EDGE_LOOP('',(#290968,#290969,#290970,#290971)); #55092=EDGE_LOOP('',(#290972,#290973,#290974,#290975)); #55093=EDGE_LOOP('',(#290976,#290977,#290978,#290979)); #55094=EDGE_LOOP('',(#290980,#290981,#290982,#290983)); #55095=EDGE_LOOP('',(#290984,#290985,#290986,#290987)); #55096=EDGE_LOOP('',(#290988,#290989,#290990,#290991)); #55097=EDGE_LOOP('',(#290992,#290993,#290994,#290995)); #55098=EDGE_LOOP('',(#290996,#290997,#290998,#290999)); #55099=EDGE_LOOP('',(#291000,#291001,#291002,#291003)); #55100=EDGE_LOOP('',(#291004,#291005,#291006,#291007)); #55101=EDGE_LOOP('',(#291008,#291009,#291010,#291011)); #55102=EDGE_LOOP('',(#291012,#291013,#291014,#291015)); #55103=EDGE_LOOP('',(#291016,#291017,#291018,#291019)); #55104=EDGE_LOOP('',(#291020,#291021,#291022,#291023)); #55105=EDGE_LOOP('',(#291024,#291025,#291026,#291027)); #55106=EDGE_LOOP('',(#291028,#291029,#291030,#291031)); #55107=EDGE_LOOP('',(#291032,#291033,#291034,#291035)); #55108=EDGE_LOOP('',(#291036)); #55109=EDGE_LOOP('',(#291037)); #55110=EDGE_LOOP('',(#291038,#291039,#291040,#291041)); #55111=EDGE_LOOP('',(#291042)); #55112=EDGE_LOOP('',(#291043)); #55113=EDGE_LOOP('',(#291044,#291045,#291046,#291047)); #55114=EDGE_LOOP('',(#291048,#291049,#291050,#291051)); #55115=EDGE_LOOP('',(#291052,#291053,#291054,#291055)); #55116=EDGE_LOOP('',(#291056,#291057,#291058,#291059)); #55117=EDGE_LOOP('',(#291060,#291061,#291062,#291063)); #55118=EDGE_LOOP('',(#291064,#291065,#291066,#291067)); #55119=EDGE_LOOP('',(#291068,#291069,#291070,#291071)); #55120=EDGE_LOOP('',(#291072,#291073,#291074,#291075)); #55121=EDGE_LOOP('',(#291076,#291077,#291078,#291079)); #55122=EDGE_LOOP('',(#291080,#291081,#291082,#291083)); #55123=EDGE_LOOP('',(#291084,#291085,#291086,#291087)); #55124=EDGE_LOOP('',(#291088,#291089,#291090,#291091)); #55125=EDGE_LOOP('',(#291092,#291093,#291094,#291095)); #55126=EDGE_LOOP('',(#291096,#291097,#291098,#291099)); #55127=EDGE_LOOP('',(#291100,#291101,#291102,#291103)); #55128=EDGE_LOOP('',(#291104,#291105,#291106,#291107)); #55129=EDGE_LOOP('',(#291108,#291109,#291110,#291111)); #55130=EDGE_LOOP('',(#291112,#291113,#291114,#291115)); #55131=EDGE_LOOP('',(#291116,#291117,#291118,#291119)); #55132=EDGE_LOOP('',(#291120,#291121,#291122,#291123)); #55133=EDGE_LOOP('',(#291124,#291125,#291126,#291127)); #55134=EDGE_LOOP('',(#291128,#291129,#291130,#291131)); #55135=EDGE_LOOP('',(#291132,#291133,#291134,#291135)); #55136=EDGE_LOOP('',(#291136,#291137,#291138,#291139)); #55137=EDGE_LOOP('',(#291140,#291141,#291142,#291143)); #55138=EDGE_LOOP('',(#291144,#291145,#291146,#291147)); #55139=EDGE_LOOP('',(#291148,#291149,#291150,#291151)); #55140=EDGE_LOOP('',(#291152,#291153,#291154,#291155)); #55141=EDGE_LOOP('',(#291156,#291157,#291158,#291159)); #55142=EDGE_LOOP('',(#291160,#291161,#291162,#291163)); #55143=EDGE_LOOP('',(#291164,#291165,#291166,#291167)); #55144=EDGE_LOOP('',(#291168,#291169,#291170,#291171)); #55145=EDGE_LOOP('',(#291172,#291173,#291174,#291175)); #55146=EDGE_LOOP('',(#291176,#291177,#291178,#291179)); #55147=EDGE_LOOP('',(#291180,#291181,#291182,#291183)); #55148=EDGE_LOOP('',(#291184,#291185,#291186,#291187)); #55149=EDGE_LOOP('',(#291188,#291189,#291190,#291191)); #55150=EDGE_LOOP('',(#291192,#291193,#291194,#291195)); #55151=EDGE_LOOP('',(#291196,#291197,#291198,#291199)); #55152=EDGE_LOOP('',(#291200,#291201,#291202,#291203)); #55153=EDGE_LOOP('',(#291204,#291205,#291206,#291207)); #55154=EDGE_LOOP('',(#291208,#291209,#291210,#291211)); #55155=EDGE_LOOP('',(#291212,#291213,#291214,#291215)); #55156=EDGE_LOOP('',(#291216,#291217,#291218,#291219)); #55157=EDGE_LOOP('',(#291220,#291221,#291222,#291223)); #55158=EDGE_LOOP('',(#291224,#291225,#291226,#291227)); #55159=EDGE_LOOP('',(#291228,#291229,#291230,#291231)); #55160=EDGE_LOOP('',(#291232,#291233,#291234,#291235)); #55161=EDGE_LOOP('',(#291236,#291237,#291238,#291239)); #55162=EDGE_LOOP('',(#291240,#291241,#291242,#291243)); #55163=EDGE_LOOP('',(#291244,#291245,#291246,#291247)); #55164=EDGE_LOOP('',(#291248,#291249,#291250,#291251)); #55165=EDGE_LOOP('',(#291252,#291253,#291254,#291255)); #55166=EDGE_LOOP('',(#291256,#291257,#291258,#291259)); #55167=EDGE_LOOP('',(#291260)); #55168=EDGE_LOOP('',(#291261,#291262,#291263,#291264)); #55169=EDGE_LOOP('',(#291265)); #55170=EDGE_LOOP('',(#291266,#291267,#291268,#291269)); #55171=EDGE_LOOP('',(#291270,#291271,#291272,#291273)); #55172=EDGE_LOOP('',(#291274,#291275,#291276,#291277)); #55173=EDGE_LOOP('',(#291278,#291279,#291280,#291281)); #55174=EDGE_LOOP('',(#291282,#291283,#291284,#291285)); #55175=EDGE_LOOP('',(#291286,#291287,#291288,#291289)); #55176=EDGE_LOOP('',(#291290,#291291,#291292,#291293)); #55177=EDGE_LOOP('',(#291294,#291295,#291296,#291297)); #55178=EDGE_LOOP('',(#291298,#291299,#291300,#291301)); #55179=EDGE_LOOP('',(#291302,#291303,#291304,#291305)); #55180=EDGE_LOOP('',(#291306,#291307,#291308,#291309)); #55181=EDGE_LOOP('',(#291310,#291311,#291312,#291313)); #55182=EDGE_LOOP('',(#291314,#291315,#291316,#291317)); #55183=EDGE_LOOP('',(#291318,#291319,#291320,#291321)); #55184=EDGE_LOOP('',(#291322,#291323,#291324,#291325)); #55185=EDGE_LOOP('',(#291326,#291327,#291328,#291329)); #55186=EDGE_LOOP('',(#291330,#291331,#291332,#291333)); #55187=EDGE_LOOP('',(#291334,#291335,#291336,#291337)); #55188=EDGE_LOOP('',(#291338,#291339,#291340,#291341)); #55189=EDGE_LOOP('',(#291342,#291343,#291344,#291345)); #55190=EDGE_LOOP('',(#291346,#291347,#291348,#291349)); #55191=EDGE_LOOP('',(#291350,#291351,#291352,#291353)); #55192=EDGE_LOOP('',(#291354,#291355,#291356,#291357)); #55193=EDGE_LOOP('',(#291358,#291359,#291360,#291361)); #55194=EDGE_LOOP('',(#291362,#291363,#291364,#291365)); #55195=EDGE_LOOP('',(#291366,#291367,#291368,#291369)); #55196=EDGE_LOOP('',(#291370,#291371,#291372,#291373)); #55197=EDGE_LOOP('',(#291374,#291375,#291376,#291377)); #55198=EDGE_LOOP('',(#291378,#291379,#291380,#291381)); #55199=EDGE_LOOP('',(#291382,#291383,#291384,#291385)); #55200=EDGE_LOOP('',(#291386,#291387,#291388,#291389)); #55201=EDGE_LOOP('',(#291390,#291391,#291392,#291393)); #55202=EDGE_LOOP('',(#291394,#291395,#291396,#291397)); #55203=EDGE_LOOP('',(#291398,#291399,#291400,#291401)); #55204=EDGE_LOOP('',(#291402,#291403,#291404,#291405)); #55205=EDGE_LOOP('',(#291406,#291407,#291408,#291409)); #55206=EDGE_LOOP('',(#291410,#291411,#291412,#291413)); #55207=EDGE_LOOP('',(#291414,#291415,#291416,#291417)); #55208=EDGE_LOOP('',(#291418,#291419,#291420,#291421)); #55209=EDGE_LOOP('',(#291422,#291423,#291424,#291425)); #55210=EDGE_LOOP('',(#291426,#291427,#291428,#291429)); #55211=EDGE_LOOP('',(#291430,#291431,#291432,#291433)); #55212=EDGE_LOOP('',(#291434,#291435,#291436,#291437)); #55213=EDGE_LOOP('',(#291438,#291439,#291440,#291441)); #55214=EDGE_LOOP('',(#291442)); #55215=EDGE_LOOP('',(#291443)); #55216=EDGE_LOOP('',(#291444)); #55217=EDGE_LOOP('',(#291445)); #55218=EDGE_LOOP('',(#291446)); #55219=EDGE_LOOP('',(#291447)); #55220=EDGE_LOOP('',(#291448)); #55221=EDGE_LOOP('',(#291449)); #55222=EDGE_LOOP('',(#291450)); #55223=EDGE_LOOP('',(#291451)); #55224=EDGE_LOOP('',(#291452)); #55225=EDGE_LOOP('',(#291453)); #55226=EDGE_LOOP('',(#291454)); #55227=EDGE_LOOP('',(#291455)); #55228=EDGE_LOOP('',(#291456)); #55229=EDGE_LOOP('',(#291457,#291458,#291459,#291460)); #55230=EDGE_LOOP('',(#291461)); #55231=EDGE_LOOP('',(#291462)); #55232=EDGE_LOOP('',(#291463)); #55233=EDGE_LOOP('',(#291464)); #55234=EDGE_LOOP('',(#291465)); #55235=EDGE_LOOP('',(#291466)); #55236=EDGE_LOOP('',(#291467)); #55237=EDGE_LOOP('',(#291468)); #55238=EDGE_LOOP('',(#291469)); #55239=EDGE_LOOP('',(#291470)); #55240=EDGE_LOOP('',(#291471)); #55241=EDGE_LOOP('',(#291472)); #55242=EDGE_LOOP('',(#291473)); #55243=EDGE_LOOP('',(#291474)); #55244=EDGE_LOOP('',(#291475)); #55245=EDGE_LOOP('',(#291476,#291477,#291478,#291479)); #55246=EDGE_LOOP('',(#291480,#291481,#291482,#291483)); #55247=EDGE_LOOP('',(#291484,#291485,#291486,#291487)); #55248=EDGE_LOOP('',(#291488,#291489,#291490,#291491)); #55249=EDGE_LOOP('',(#291492,#291493,#291494,#291495)); #55250=EDGE_LOOP('',(#291496,#291497,#291498,#291499)); #55251=EDGE_LOOP('',(#291500,#291501,#291502,#291503)); #55252=EDGE_LOOP('',(#291504,#291505,#291506,#291507)); #55253=EDGE_LOOP('',(#291508,#291509,#291510,#291511)); #55254=EDGE_LOOP('',(#291512,#291513,#291514,#291515)); #55255=EDGE_LOOP('',(#291516,#291517,#291518,#291519)); #55256=EDGE_LOOP('',(#291520,#291521,#291522,#291523)); #55257=EDGE_LOOP('',(#291524,#291525,#291526,#291527)); #55258=EDGE_LOOP('',(#291528,#291529,#291530,#291531)); #55259=EDGE_LOOP('',(#291532,#291533,#291534,#291535)); #55260=EDGE_LOOP('',(#291536,#291537,#291538,#291539)); #55261=EDGE_LOOP('',(#291540,#291541,#291542,#291543)); #55262=EDGE_LOOP('',(#291544,#291545,#291546,#291547)); #55263=EDGE_LOOP('',(#291548,#291549,#291550,#291551)); #55264=EDGE_LOOP('',(#291552,#291553,#291554,#291555)); #55265=EDGE_LOOP('',(#291556,#291557,#291558,#291559)); #55266=EDGE_LOOP('',(#291560,#291561,#291562,#291563)); #55267=EDGE_LOOP('',(#291564,#291565,#291566,#291567)); #55268=EDGE_LOOP('',(#291568,#291569,#291570,#291571)); #55269=EDGE_LOOP('',(#291572)); #55270=EDGE_LOOP('',(#291573,#291574,#291575,#291576)); #55271=EDGE_LOOP('',(#291577)); #55272=EDGE_LOOP('',(#291578,#291579,#291580,#291581)); #55273=EDGE_LOOP('',(#291582,#291583,#291584,#291585)); #55274=EDGE_LOOP('',(#291586,#291587,#291588,#291589)); #55275=EDGE_LOOP('',(#291590,#291591,#291592,#291593)); #55276=EDGE_LOOP('',(#291594,#291595,#291596,#291597)); #55277=EDGE_LOOP('',(#291598,#291599,#291600,#291601)); #55278=EDGE_LOOP('',(#291602,#291603,#291604,#291605)); #55279=EDGE_LOOP('',(#291606,#291607,#291608,#291609)); #55280=EDGE_LOOP('',(#291610,#291611,#291612,#291613)); #55281=EDGE_LOOP('',(#291614,#291615,#291616,#291617)); #55282=EDGE_LOOP('',(#291618,#291619,#291620,#291621)); #55283=EDGE_LOOP('',(#291622,#291623,#291624,#291625)); #55284=EDGE_LOOP('',(#291626,#291627,#291628,#291629)); #55285=EDGE_LOOP('',(#291630,#291631,#291632,#291633)); #55286=EDGE_LOOP('',(#291634,#291635,#291636,#291637)); #55287=EDGE_LOOP('',(#291638,#291639,#291640,#291641)); #55288=EDGE_LOOP('',(#291642,#291643,#291644,#291645)); #55289=EDGE_LOOP('',(#291646,#291647,#291648,#291649)); #55290=EDGE_LOOP('',(#291650,#291651,#291652,#291653)); #55291=EDGE_LOOP('',(#291654,#291655,#291656,#291657)); #55292=EDGE_LOOP('',(#291658,#291659,#291660,#291661)); #55293=EDGE_LOOP('',(#291662,#291663,#291664,#291665)); #55294=EDGE_LOOP('',(#291666,#291667,#291668,#291669)); #55295=EDGE_LOOP('',(#291670,#291671,#291672,#291673)); #55296=EDGE_LOOP('',(#291674,#291675,#291676,#291677)); #55297=EDGE_LOOP('',(#291678,#291679,#291680,#291681)); #55298=EDGE_LOOP('',(#291682,#291683,#291684,#291685)); #55299=EDGE_LOOP('',(#291686,#291687,#291688,#291689)); #55300=EDGE_LOOP('',(#291690,#291691,#291692,#291693)); #55301=EDGE_LOOP('',(#291694,#291695,#291696,#291697)); #55302=EDGE_LOOP('',(#291698,#291699,#291700,#291701)); #55303=EDGE_LOOP('',(#291702,#291703,#291704,#291705)); #55304=EDGE_LOOP('',(#291706,#291707,#291708,#291709)); #55305=EDGE_LOOP('',(#291710,#291711,#291712,#291713)); #55306=EDGE_LOOP('',(#291714,#291715,#291716,#291717)); #55307=EDGE_LOOP('',(#291718,#291719,#291720,#291721)); #55308=EDGE_LOOP('',(#291722,#291723,#291724,#291725)); #55309=EDGE_LOOP('',(#291726,#291727,#291728,#291729)); #55310=EDGE_LOOP('',(#291730,#291731,#291732,#291733)); #55311=EDGE_LOOP('',(#291734,#291735,#291736,#291737)); #55312=EDGE_LOOP('',(#291738,#291739,#291740,#291741)); #55313=EDGE_LOOP('',(#291742,#291743,#291744,#291745)); #55314=EDGE_LOOP('',(#291746,#291747,#291748,#291749)); #55315=EDGE_LOOP('',(#291750,#291751,#291752,#291753)); #55316=EDGE_LOOP('',(#291754)); #55317=EDGE_LOOP('',(#291755)); #55318=EDGE_LOOP('',(#291756)); #55319=EDGE_LOOP('',(#291757)); #55320=EDGE_LOOP('',(#291758)); #55321=EDGE_LOOP('',(#291759)); #55322=EDGE_LOOP('',(#291760)); #55323=EDGE_LOOP('',(#291761)); #55324=EDGE_LOOP('',(#291762)); #55325=EDGE_LOOP('',(#291763)); #55326=EDGE_LOOP('',(#291764)); #55327=EDGE_LOOP('',(#291765)); #55328=EDGE_LOOP('',(#291766)); #55329=EDGE_LOOP('',(#291767)); #55330=EDGE_LOOP('',(#291768)); #55331=EDGE_LOOP('',(#291769,#291770,#291771,#291772)); #55332=EDGE_LOOP('',(#291773)); #55333=EDGE_LOOP('',(#291774)); #55334=EDGE_LOOP('',(#291775)); #55335=EDGE_LOOP('',(#291776)); #55336=EDGE_LOOP('',(#291777)); #55337=EDGE_LOOP('',(#291778)); #55338=EDGE_LOOP('',(#291779)); #55339=EDGE_LOOP('',(#291780)); #55340=EDGE_LOOP('',(#291781)); #55341=EDGE_LOOP('',(#291782)); #55342=EDGE_LOOP('',(#291783)); #55343=EDGE_LOOP('',(#291784)); #55344=EDGE_LOOP('',(#291785)); #55345=EDGE_LOOP('',(#291786)); #55346=EDGE_LOOP('',(#291787)); #55347=EDGE_LOOP('',(#291788,#291789,#291790,#291791)); #55348=EDGE_LOOP('',(#291792,#291793,#291794,#291795)); #55349=EDGE_LOOP('',(#291796,#291797,#291798,#291799)); #55350=EDGE_LOOP('',(#291800,#291801,#291802,#291803)); #55351=EDGE_LOOP('',(#291804,#291805,#291806,#291807)); #55352=EDGE_LOOP('',(#291808,#291809,#291810,#291811)); #55353=EDGE_LOOP('',(#291812,#291813,#291814,#291815)); #55354=EDGE_LOOP('',(#291816,#291817,#291818,#291819)); #55355=EDGE_LOOP('',(#291820,#291821,#291822,#291823)); #55356=EDGE_LOOP('',(#291824,#291825,#291826,#291827)); #55357=EDGE_LOOP('',(#291828,#291829,#291830,#291831)); #55358=EDGE_LOOP('',(#291832,#291833,#291834,#291835)); #55359=EDGE_LOOP('',(#291836,#291837,#291838,#291839)); #55360=EDGE_LOOP('',(#291840,#291841,#291842,#291843)); #55361=EDGE_LOOP('',(#291844,#291845,#291846,#291847)); #55362=EDGE_LOOP('',(#291848,#291849,#291850,#291851)); #55363=EDGE_LOOP('',(#291852,#291853,#291854,#291855)); #55364=EDGE_LOOP('',(#291856,#291857,#291858,#291859)); #55365=EDGE_LOOP('',(#291860,#291861,#291862,#291863)); #55366=EDGE_LOOP('',(#291864,#291865,#291866,#291867)); #55367=EDGE_LOOP('',(#291868,#291869,#291870,#291871)); #55368=EDGE_LOOP('',(#291872,#291873,#291874,#291875)); #55369=EDGE_LOOP('',(#291876,#291877,#291878,#291879)); #55370=EDGE_LOOP('',(#291880,#291881,#291882,#291883)); #55371=EDGE_LOOP('',(#291884)); #55372=EDGE_LOOP('',(#291885,#291886,#291887,#291888)); #55373=EDGE_LOOP('',(#291889)); #55374=EDGE_LOOP('',(#291890,#291891,#291892,#291893)); #55375=EDGE_LOOP('',(#291894,#291895,#291896,#291897)); #55376=EDGE_LOOP('',(#291898,#291899,#291900,#291901)); #55377=EDGE_LOOP('',(#291902,#291903,#291904,#291905)); #55378=EDGE_LOOP('',(#291906,#291907,#291908,#291909)); #55379=EDGE_LOOP('',(#291910,#291911,#291912,#291913)); #55380=EDGE_LOOP('',(#291914)); #55381=EDGE_LOOP('',(#291915,#291916,#291917,#291918)); #55382=EDGE_LOOP('',(#291919)); #55383=EDGE_LOOP('',(#291920,#291921,#291922,#291923)); #55384=EDGE_LOOP('',(#291924,#291925,#291926,#291927)); #55385=EDGE_LOOP('',(#291928,#291929,#291930,#291931)); #55386=EDGE_LOOP('',(#291932,#291933,#291934,#291935)); #55387=EDGE_LOOP('',(#291936,#291937,#291938,#291939)); #55388=EDGE_LOOP('',(#291940,#291941,#291942,#291943)); #55389=EDGE_LOOP('',(#291944)); #55390=EDGE_LOOP('',(#291945,#291946,#291947,#291948)); #55391=EDGE_LOOP('',(#291949)); #55392=EDGE_LOOP('',(#291950,#291951,#291952,#291953)); #55393=EDGE_LOOP('',(#291954,#291955,#291956,#291957)); #55394=EDGE_LOOP('',(#291958,#291959,#291960,#291961)); #55395=EDGE_LOOP('',(#291962,#291963,#291964,#291965)); #55396=EDGE_LOOP('',(#291966,#291967,#291968,#291969)); #55397=EDGE_LOOP('',(#291970,#291971,#291972,#291973)); #55398=EDGE_LOOP('',(#291974,#291975,#291976,#291977)); #55399=EDGE_LOOP('',(#291978,#291979,#291980,#291981)); #55400=EDGE_LOOP('',(#291982,#291983,#291984,#291985)); #55401=EDGE_LOOP('',(#291986,#291987,#291988,#291989)); #55402=EDGE_LOOP('',(#291990,#291991,#291992,#291993)); #55403=EDGE_LOOP('',(#291994,#291995,#291996,#291997)); #55404=EDGE_LOOP('',(#291998,#291999,#292000,#292001)); #55405=EDGE_LOOP('',(#292002,#292003,#292004,#292005)); #55406=EDGE_LOOP('',(#292006,#292007,#292008,#292009)); #55407=EDGE_LOOP('',(#292010,#292011,#292012,#292013)); #55408=EDGE_LOOP('',(#292014,#292015,#292016,#292017)); #55409=EDGE_LOOP('',(#292018,#292019,#292020,#292021)); #55410=EDGE_LOOP('',(#292022,#292023,#292024,#292025)); #55411=EDGE_LOOP('',(#292026,#292027,#292028,#292029)); #55412=EDGE_LOOP('',(#292030)); #55413=EDGE_LOOP('',(#292031)); #55414=EDGE_LOOP('',(#292032)); #55415=EDGE_LOOP('',(#292033)); #55416=EDGE_LOOP('',(#292034)); #55417=EDGE_LOOP('',(#292035)); #55418=EDGE_LOOP('',(#292036)); #55419=EDGE_LOOP('',(#292037)); #55420=EDGE_LOOP('',(#292038)); #55421=EDGE_LOOP('',(#292039)); #55422=EDGE_LOOP('',(#292040)); #55423=EDGE_LOOP('',(#292041)); #55424=EDGE_LOOP('',(#292042)); #55425=EDGE_LOOP('',(#292043)); #55426=EDGE_LOOP('',(#292044)); #55427=EDGE_LOOP('',(#292045,#292046,#292047,#292048)); #55428=EDGE_LOOP('',(#292049)); #55429=EDGE_LOOP('',(#292050)); #55430=EDGE_LOOP('',(#292051)); #55431=EDGE_LOOP('',(#292052)); #55432=EDGE_LOOP('',(#292053)); #55433=EDGE_LOOP('',(#292054)); #55434=EDGE_LOOP('',(#292055)); #55435=EDGE_LOOP('',(#292056)); #55436=EDGE_LOOP('',(#292057)); #55437=EDGE_LOOP('',(#292058)); #55438=EDGE_LOOP('',(#292059)); #55439=EDGE_LOOP('',(#292060)); #55440=EDGE_LOOP('',(#292061)); #55441=EDGE_LOOP('',(#292062)); #55442=EDGE_LOOP('',(#292063)); #55443=EDGE_LOOP('',(#292064,#292065,#292066,#292067)); #55444=EDGE_LOOP('',(#292068,#292069,#292070,#292071)); #55445=EDGE_LOOP('',(#292072,#292073,#292074,#292075)); #55446=EDGE_LOOP('',(#292076,#292077,#292078,#292079)); #55447=EDGE_LOOP('',(#292080,#292081,#292082,#292083)); #55448=EDGE_LOOP('',(#292084,#292085,#292086,#292087)); #55449=EDGE_LOOP('',(#292088,#292089,#292090,#292091)); #55450=EDGE_LOOP('',(#292092,#292093,#292094,#292095)); #55451=EDGE_LOOP('',(#292096,#292097,#292098,#292099)); #55452=EDGE_LOOP('',(#292100,#292101,#292102,#292103)); #55453=EDGE_LOOP('',(#292104,#292105,#292106,#292107)); #55454=EDGE_LOOP('',(#292108,#292109,#292110,#292111)); #55455=EDGE_LOOP('',(#292112,#292113,#292114,#292115)); #55456=EDGE_LOOP('',(#292116,#292117,#292118,#292119)); #55457=EDGE_LOOP('',(#292120,#292121,#292122,#292123)); #55458=EDGE_LOOP('',(#292124,#292125,#292126,#292127)); #55459=EDGE_LOOP('',(#292128,#292129,#292130,#292131)); #55460=EDGE_LOOP('',(#292132,#292133,#292134,#292135)); #55461=EDGE_LOOP('',(#292136,#292137,#292138,#292139)); #55462=EDGE_LOOP('',(#292140,#292141,#292142,#292143)); #55463=EDGE_LOOP('',(#292144,#292145,#292146,#292147)); #55464=EDGE_LOOP('',(#292148,#292149,#292150,#292151)); #55465=EDGE_LOOP('',(#292152,#292153,#292154,#292155)); #55466=EDGE_LOOP('',(#292156,#292157,#292158,#292159)); #55467=EDGE_LOOP('',(#292160,#292161,#292162,#292163)); #55468=EDGE_LOOP('',(#292164,#292165,#292166,#292167)); #55469=EDGE_LOOP('',(#292168,#292169,#292170,#292171)); #55470=EDGE_LOOP('',(#292172,#292173,#292174,#292175)); #55471=EDGE_LOOP('',(#292176,#292177,#292178,#292179)); #55472=EDGE_LOOP('',(#292180,#292181,#292182,#292183)); #55473=EDGE_LOOP('',(#292184,#292185,#292186,#292187)); #55474=EDGE_LOOP('',(#292188,#292189,#292190,#292191)); #55475=EDGE_LOOP('',(#292192,#292193,#292194,#292195)); #55476=EDGE_LOOP('',(#292196,#292197,#292198,#292199)); #55477=EDGE_LOOP('',(#292200,#292201,#292202,#292203)); #55478=EDGE_LOOP('',(#292204,#292205,#292206,#292207)); #55479=EDGE_LOOP('',(#292208,#292209,#292210,#292211)); #55480=EDGE_LOOP('',(#292212,#292213,#292214,#292215)); #55481=EDGE_LOOP('',(#292216,#292217,#292218,#292219)); #55482=EDGE_LOOP('',(#292220,#292221,#292222,#292223)); #55483=EDGE_LOOP('',(#292224,#292225,#292226,#292227)); #55484=EDGE_LOOP('',(#292228,#292229,#292230,#292231)); #55485=EDGE_LOOP('',(#292232,#292233,#292234,#292235)); #55486=EDGE_LOOP('',(#292236,#292237,#292238,#292239)); #55487=EDGE_LOOP('',(#292240,#292241,#292242,#292243)); #55488=EDGE_LOOP('',(#292244,#292245,#292246,#292247)); #55489=EDGE_LOOP('',(#292248,#292249,#292250,#292251)); #55490=EDGE_LOOP('',(#292252,#292253,#292254,#292255)); #55491=EDGE_LOOP('',(#292256,#292257,#292258,#292259)); #55492=EDGE_LOOP('',(#292260,#292261,#292262,#292263)); #55493=EDGE_LOOP('',(#292264,#292265,#292266,#292267)); #55494=EDGE_LOOP('',(#292268,#292269,#292270,#292271)); #55495=EDGE_LOOP('',(#292272,#292273,#292274,#292275)); #55496=EDGE_LOOP('',(#292276,#292277,#292278,#292279)); #55497=EDGE_LOOP('',(#292280,#292281,#292282,#292283)); #55498=EDGE_LOOP('',(#292284,#292285,#292286,#292287)); #55499=EDGE_LOOP('',(#292288,#292289,#292290,#292291)); #55500=EDGE_LOOP('',(#292292,#292293,#292294,#292295)); #55501=EDGE_LOOP('',(#292296,#292297,#292298,#292299)); #55502=EDGE_LOOP('',(#292300,#292301,#292302,#292303)); #55503=EDGE_LOOP('',(#292304,#292305,#292306,#292307)); #55504=EDGE_LOOP('',(#292308,#292309,#292310,#292311)); #55505=EDGE_LOOP('',(#292312,#292313,#292314,#292315)); #55506=EDGE_LOOP('',(#292316,#292317,#292318,#292319)); #55507=EDGE_LOOP('',(#292320,#292321,#292322,#292323)); #55508=EDGE_LOOP('',(#292324,#292325,#292326,#292327)); #55509=EDGE_LOOP('',(#292328,#292329,#292330,#292331)); #55510=EDGE_LOOP('',(#292332,#292333,#292334,#292335)); #55511=EDGE_LOOP('',(#292336,#292337,#292338,#292339)); #55512=EDGE_LOOP('',(#292340,#292341,#292342,#292343)); #55513=EDGE_LOOP('',(#292344,#292345,#292346,#292347)); #55514=EDGE_LOOP('',(#292348,#292349,#292350,#292351)); #55515=EDGE_LOOP('',(#292352,#292353,#292354,#292355)); #55516=EDGE_LOOP('',(#292356,#292357,#292358,#292359)); #55517=EDGE_LOOP('',(#292360,#292361,#292362,#292363)); #55518=EDGE_LOOP('',(#292364,#292365,#292366,#292367)); #55519=EDGE_LOOP('',(#292368,#292369,#292370,#292371)); #55520=EDGE_LOOP('',(#292372,#292373,#292374,#292375)); #55521=EDGE_LOOP('',(#292376,#292377,#292378,#292379)); #55522=EDGE_LOOP('',(#292380,#292381,#292382,#292383)); #55523=EDGE_LOOP('',(#292384,#292385,#292386,#292387)); #55524=EDGE_LOOP('',(#292388,#292389,#292390,#292391)); #55525=EDGE_LOOP('',(#292392,#292393,#292394,#292395)); #55526=EDGE_LOOP('',(#292396,#292397,#292398,#292399)); #55527=EDGE_LOOP('',(#292400,#292401,#292402,#292403)); #55528=EDGE_LOOP('',(#292404,#292405,#292406,#292407)); #55529=EDGE_LOOP('',(#292408,#292409,#292410,#292411)); #55530=EDGE_LOOP('',(#292412,#292413,#292414,#292415)); #55531=EDGE_LOOP('',(#292416,#292417,#292418,#292419)); #55532=EDGE_LOOP('',(#292420,#292421,#292422,#292423)); #55533=EDGE_LOOP('',(#292424,#292425,#292426,#292427)); #55534=EDGE_LOOP('',(#292428,#292429,#292430,#292431)); #55535=EDGE_LOOP('',(#292432,#292433,#292434,#292435)); #55536=EDGE_LOOP('',(#292436,#292437,#292438,#292439)); #55537=EDGE_LOOP('',(#292440,#292441,#292442,#292443)); #55538=EDGE_LOOP('',(#292444,#292445,#292446,#292447)); #55539=EDGE_LOOP('',(#292448,#292449,#292450,#292451)); #55540=EDGE_LOOP('',(#292452,#292453,#292454,#292455)); #55541=EDGE_LOOP('',(#292456,#292457,#292458,#292459)); #55542=EDGE_LOOP('',(#292460,#292461,#292462,#292463)); #55543=EDGE_LOOP('',(#292464,#292465,#292466,#292467)); #55544=EDGE_LOOP('',(#292468,#292469,#292470,#292471)); #55545=EDGE_LOOP('',(#292472,#292473,#292474,#292475)); #55546=EDGE_LOOP('',(#292476,#292477,#292478,#292479)); #55547=EDGE_LOOP('',(#292480,#292481,#292482,#292483)); #55548=EDGE_LOOP('',(#292484,#292485,#292486,#292487)); #55549=EDGE_LOOP('',(#292488,#292489,#292490,#292491)); #55550=EDGE_LOOP('',(#292492,#292493,#292494,#292495)); #55551=EDGE_LOOP('',(#292496,#292497,#292498,#292499)); #55552=EDGE_LOOP('',(#292500,#292501,#292502,#292503)); #55553=EDGE_LOOP('',(#292504,#292505,#292506,#292507)); #55554=EDGE_LOOP('',(#292508,#292509,#292510,#292511)); #55555=EDGE_LOOP('',(#292512,#292513,#292514,#292515)); #55556=EDGE_LOOP('',(#292516,#292517,#292518,#292519)); #55557=EDGE_LOOP('',(#292520,#292521,#292522,#292523)); #55558=EDGE_LOOP('',(#292524,#292525,#292526,#292527)); #55559=EDGE_LOOP('',(#292528,#292529,#292530,#292531)); #55560=EDGE_LOOP('',(#292532,#292533,#292534,#292535)); #55561=EDGE_LOOP('',(#292536,#292537,#292538,#292539)); #55562=EDGE_LOOP('',(#292540,#292541,#292542,#292543)); #55563=EDGE_LOOP('',(#292544,#292545,#292546,#292547)); #55564=EDGE_LOOP('',(#292548,#292549,#292550,#292551)); #55565=EDGE_LOOP('',(#292552,#292553,#292554,#292555)); #55566=EDGE_LOOP('',(#292556,#292557,#292558,#292559)); #55567=EDGE_LOOP('',(#292560,#292561,#292562,#292563)); #55568=EDGE_LOOP('',(#292564,#292565,#292566,#292567)); #55569=EDGE_LOOP('',(#292568,#292569,#292570,#292571)); #55570=EDGE_LOOP('',(#292572,#292573,#292574,#292575)); #55571=EDGE_LOOP('',(#292576,#292577,#292578,#292579)); #55572=EDGE_LOOP('',(#292580,#292581,#292582,#292583)); #55573=EDGE_LOOP('',(#292584,#292585,#292586,#292587)); #55574=EDGE_LOOP('',(#292588,#292589,#292590,#292591)); #55575=EDGE_LOOP('',(#292592,#292593,#292594,#292595)); #55576=EDGE_LOOP('',(#292596,#292597,#292598,#292599)); #55577=EDGE_LOOP('',(#292600,#292601,#292602,#292603)); #55578=EDGE_LOOP('',(#292604,#292605,#292606,#292607)); #55579=EDGE_LOOP('',(#292608,#292609,#292610,#292611)); #55580=EDGE_LOOP('',(#292612,#292613,#292614,#292615)); #55581=EDGE_LOOP('',(#292616,#292617,#292618,#292619)); #55582=EDGE_LOOP('',(#292620,#292621,#292622,#292623)); #55583=EDGE_LOOP('',(#292624)); #55584=EDGE_LOOP('',(#292625)); #55585=EDGE_LOOP('',(#292626)); #55586=EDGE_LOOP('',(#292627)); #55587=EDGE_LOOP('',(#292628)); #55588=EDGE_LOOP('',(#292629)); #55589=EDGE_LOOP('',(#292630)); #55590=EDGE_LOOP('',(#292631)); #55591=EDGE_LOOP('',(#292632)); #55592=EDGE_LOOP('',(#292633)); #55593=EDGE_LOOP('',(#292634)); #55594=EDGE_LOOP('',(#292635)); #55595=EDGE_LOOP('',(#292636)); #55596=EDGE_LOOP('',(#292637)); #55597=EDGE_LOOP('',(#292638)); #55598=EDGE_LOOP('',(#292639,#292640,#292641,#292642)); #55599=EDGE_LOOP('',(#292643)); #55600=EDGE_LOOP('',(#292644)); #55601=EDGE_LOOP('',(#292645)); #55602=EDGE_LOOP('',(#292646)); #55603=EDGE_LOOP('',(#292647)); #55604=EDGE_LOOP('',(#292648)); #55605=EDGE_LOOP('',(#292649)); #55606=EDGE_LOOP('',(#292650)); #55607=EDGE_LOOP('',(#292651)); #55608=EDGE_LOOP('',(#292652)); #55609=EDGE_LOOP('',(#292653)); #55610=EDGE_LOOP('',(#292654)); #55611=EDGE_LOOP('',(#292655)); #55612=EDGE_LOOP('',(#292656)); #55613=EDGE_LOOP('',(#292657)); #55614=EDGE_LOOP('',(#292658,#292659,#292660,#292661)); #55615=EDGE_LOOP('',(#292662,#292663,#292664,#292665)); #55616=EDGE_LOOP('',(#292666,#292667,#292668,#292669)); #55617=EDGE_LOOP('',(#292670,#292671,#292672,#292673)); #55618=EDGE_LOOP('',(#292674,#292675,#292676,#292677)); #55619=EDGE_LOOP('',(#292678,#292679,#292680,#292681)); #55620=EDGE_LOOP('',(#292682,#292683,#292684,#292685)); #55621=EDGE_LOOP('',(#292686,#292687,#292688,#292689)); #55622=EDGE_LOOP('',(#292690,#292691,#292692,#292693)); #55623=EDGE_LOOP('',(#292694,#292695,#292696,#292697)); #55624=EDGE_LOOP('',(#292698,#292699,#292700,#292701)); #55625=EDGE_LOOP('',(#292702,#292703,#292704,#292705)); #55626=EDGE_LOOP('',(#292706,#292707,#292708,#292709)); #55627=EDGE_LOOP('',(#292710,#292711,#292712,#292713)); #55628=EDGE_LOOP('',(#292714,#292715,#292716,#292717)); #55629=EDGE_LOOP('',(#292718,#292719,#292720,#292721)); #55630=EDGE_LOOP('',(#292722,#292723,#292724,#292725)); #55631=EDGE_LOOP('',(#292726,#292727,#292728,#292729)); #55632=EDGE_LOOP('',(#292730,#292731,#292732,#292733)); #55633=EDGE_LOOP('',(#292734,#292735,#292736,#292737)); #55634=EDGE_LOOP('',(#292738,#292739,#292740,#292741)); #55635=EDGE_LOOP('',(#292742,#292743,#292744,#292745)); #55636=EDGE_LOOP('',(#292746,#292747,#292748,#292749)); #55637=EDGE_LOOP('',(#292750,#292751,#292752,#292753)); #55638=EDGE_LOOP('',(#292754,#292755,#292756,#292757)); #55639=EDGE_LOOP('',(#292758,#292759,#292760,#292761)); #55640=EDGE_LOOP('',(#292762,#292763,#292764,#292765)); #55641=EDGE_LOOP('',(#292766,#292767,#292768,#292769)); #55642=EDGE_LOOP('',(#292770,#292771,#292772,#292773)); #55643=EDGE_LOOP('',(#292774,#292775,#292776,#292777)); #55644=EDGE_LOOP('',(#292778,#292779,#292780,#292781)); #55645=EDGE_LOOP('',(#292782,#292783,#292784,#292785)); #55646=EDGE_LOOP('',(#292786,#292787,#292788,#292789)); #55647=EDGE_LOOP('',(#292790,#292791,#292792,#292793)); #55648=EDGE_LOOP('',(#292794,#292795,#292796,#292797)); #55649=EDGE_LOOP('',(#292798,#292799,#292800,#292801)); #55650=EDGE_LOOP('',(#292802,#292803,#292804,#292805)); #55651=EDGE_LOOP('',(#292806,#292807,#292808,#292809)); #55652=EDGE_LOOP('',(#292810,#292811,#292812,#292813)); #55653=EDGE_LOOP('',(#292814,#292815,#292816,#292817)); #55654=EDGE_LOOP('',(#292818,#292819,#292820,#292821)); #55655=EDGE_LOOP('',(#292822,#292823,#292824,#292825)); #55656=EDGE_LOOP('',(#292826)); #55657=EDGE_LOOP('',(#292827,#292828,#292829,#292830)); #55658=EDGE_LOOP('',(#292831)); #55659=EDGE_LOOP('',(#292832,#292833,#292834,#292835)); #55660=EDGE_LOOP('',(#292836,#292837,#292838,#292839)); #55661=EDGE_LOOP('',(#292840,#292841,#292842,#292843)); #55662=EDGE_LOOP('',(#292844,#292845,#292846,#292847)); #55663=EDGE_LOOP('',(#292848,#292849,#292850,#292851)); #55664=EDGE_LOOP('',(#292852,#292853,#292854,#292855)); #55665=EDGE_LOOP('',(#292856,#292857,#292858,#292859)); #55666=EDGE_LOOP('',(#292860)); #55667=EDGE_LOOP('',(#292861)); #55668=EDGE_LOOP('',(#292862,#292863,#292864,#292865)); #55669=EDGE_LOOP('',(#292866)); #55670=EDGE_LOOP('',(#292867)); #55671=EDGE_LOOP('',(#292868,#292869,#292870,#292871)); #55672=EDGE_LOOP('',(#292872,#292873,#292874,#292875)); #55673=EDGE_LOOP('',(#292876,#292877,#292878,#292879)); #55674=EDGE_LOOP('',(#292880,#292881,#292882,#292883)); #55675=EDGE_LOOP('',(#292884,#292885,#292886,#292887)); #55676=EDGE_LOOP('',(#292888,#292889,#292890,#292891)); #55677=EDGE_LOOP('',(#292892,#292893,#292894,#292895)); #55678=EDGE_LOOP('',(#292896)); #55679=EDGE_LOOP('',(#292897)); #55680=EDGE_LOOP('',(#292898,#292899,#292900,#292901)); #55681=EDGE_LOOP('',(#292902)); #55682=EDGE_LOOP('',(#292903)); #55683=EDGE_LOOP('',(#292904,#292905,#292906,#292907)); #55684=EDGE_LOOP('',(#292908,#292909,#292910,#292911)); #55685=EDGE_LOOP('',(#292912,#292913,#292914,#292915)); #55686=EDGE_LOOP('',(#292916,#292917,#292918,#292919)); #55687=EDGE_LOOP('',(#292920,#292921,#292922,#292923)); #55688=EDGE_LOOP('',(#292924,#292925,#292926,#292927)); #55689=EDGE_LOOP('',(#292928)); #55690=EDGE_LOOP('',(#292929,#292930,#292931,#292932)); #55691=EDGE_LOOP('',(#292933)); #55692=EDGE_LOOP('',(#292934,#292935,#292936,#292937)); #55693=EDGE_LOOP('',(#292938,#292939,#292940,#292941)); #55694=EDGE_LOOP('',(#292942,#292943,#292944,#292945)); #55695=EDGE_LOOP('',(#292946,#292947,#292948,#292949)); #55696=EDGE_LOOP('',(#292950,#292951,#292952,#292953)); #55697=EDGE_LOOP('',(#292954,#292955,#292956,#292957)); #55698=EDGE_LOOP('',(#292958,#292959,#292960,#292961)); #55699=EDGE_LOOP('',(#292962,#292963,#292964,#292965)); #55700=EDGE_LOOP('',(#292966,#292967,#292968,#292969)); #55701=EDGE_LOOP('',(#292970,#292971,#292972,#292973)); #55702=EDGE_LOOP('',(#292974,#292975,#292976,#292977)); #55703=EDGE_LOOP('',(#292978,#292979,#292980,#292981)); #55704=EDGE_LOOP('',(#292982,#292983,#292984,#292985)); #55705=EDGE_LOOP('',(#292986,#292987,#292988,#292989)); #55706=EDGE_LOOP('',(#292990,#292991,#292992,#292993)); #55707=EDGE_LOOP('',(#292994,#292995,#292996,#292997)); #55708=EDGE_LOOP('',(#292998,#292999,#293000,#293001)); #55709=EDGE_LOOP('',(#293002,#293003,#293004,#293005)); #55710=EDGE_LOOP('',(#293006,#293007,#293008,#293009)); #55711=EDGE_LOOP('',(#293010,#293011,#293012,#293013)); #55712=EDGE_LOOP('',(#293014,#293015,#293016,#293017)); #55713=EDGE_LOOP('',(#293018,#293019,#293020,#293021)); #55714=EDGE_LOOP('',(#293022,#293023,#293024,#293025)); #55715=EDGE_LOOP('',(#293026,#293027,#293028,#293029)); #55716=EDGE_LOOP('',(#293030,#293031,#293032,#293033)); #55717=EDGE_LOOP('',(#293034,#293035,#293036,#293037)); #55718=EDGE_LOOP('',(#293038,#293039,#293040,#293041)); #55719=EDGE_LOOP('',(#293042,#293043,#293044,#293045)); #55720=EDGE_LOOP('',(#293046,#293047,#293048,#293049)); #55721=EDGE_LOOP('',(#293050,#293051,#293052,#293053)); #55722=EDGE_LOOP('',(#293054,#293055,#293056,#293057)); #55723=EDGE_LOOP('',(#293058,#293059,#293060,#293061)); #55724=EDGE_LOOP('',(#293062,#293063,#293064,#293065)); #55725=EDGE_LOOP('',(#293066,#293067,#293068,#293069)); #55726=EDGE_LOOP('',(#293070,#293071,#293072,#293073)); #55727=EDGE_LOOP('',(#293074,#293075,#293076,#293077)); #55728=EDGE_LOOP('',(#293078,#293079,#293080,#293081)); #55729=EDGE_LOOP('',(#293082,#293083,#293084,#293085)); #55730=EDGE_LOOP('',(#293086,#293087,#293088,#293089)); #55731=EDGE_LOOP('',(#293090,#293091,#293092,#293093)); #55732=EDGE_LOOP('',(#293094,#293095,#293096,#293097)); #55733=EDGE_LOOP('',(#293098,#293099,#293100,#293101)); #55734=EDGE_LOOP('',(#293102,#293103,#293104,#293105)); #55735=EDGE_LOOP('',(#293106,#293107,#293108,#293109)); #55736=EDGE_LOOP('',(#293110,#293111,#293112,#293113)); #55737=EDGE_LOOP('',(#293114,#293115,#293116,#293117)); #55738=EDGE_LOOP('',(#293118,#293119,#293120,#293121)); #55739=EDGE_LOOP('',(#293122,#293123,#293124,#293125)); #55740=EDGE_LOOP('',(#293126,#293127,#293128,#293129)); #55741=EDGE_LOOP('',(#293130,#293131,#293132,#293133)); #55742=EDGE_LOOP('',(#293134,#293135,#293136,#293137)); #55743=EDGE_LOOP('',(#293138,#293139,#293140,#293141)); #55744=EDGE_LOOP('',(#293142,#293143,#293144,#293145)); #55745=EDGE_LOOP('',(#293146,#293147,#293148,#293149)); #55746=EDGE_LOOP('',(#293150,#293151,#293152,#293153)); #55747=EDGE_LOOP('',(#293154,#293155,#293156,#293157)); #55748=EDGE_LOOP('',(#293158,#293159,#293160,#293161)); #55749=EDGE_LOOP('',(#293162,#293163,#293164,#293165)); #55750=EDGE_LOOP('',(#293166,#293167,#293168,#293169)); #55751=EDGE_LOOP('',(#293170,#293171,#293172,#293173)); #55752=EDGE_LOOP('',(#293174,#293175,#293176,#293177)); #55753=EDGE_LOOP('',(#293178,#293179,#293180,#293181)); #55754=EDGE_LOOP('',(#293182,#293183,#293184,#293185)); #55755=EDGE_LOOP('',(#293186,#293187,#293188,#293189)); #55756=EDGE_LOOP('',(#293190,#293191,#293192,#293193)); #55757=EDGE_LOOP('',(#293194,#293195,#293196,#293197)); #55758=EDGE_LOOP('',(#293198,#293199,#293200,#293201)); #55759=EDGE_LOOP('',(#293202,#293203,#293204,#293205)); #55760=EDGE_LOOP('',(#293206,#293207,#293208,#293209)); #55761=EDGE_LOOP('',(#293210,#293211,#293212,#293213)); #55762=EDGE_LOOP('',(#293214,#293215,#293216,#293217)); #55763=EDGE_LOOP('',(#293218,#293219,#293220,#293221)); #55764=EDGE_LOOP('',(#293222,#293223,#293224,#293225)); #55765=EDGE_LOOP('',(#293226,#293227,#293228,#293229)); #55766=EDGE_LOOP('',(#293230,#293231,#293232,#293233)); #55767=EDGE_LOOP('',(#293234,#293235,#293236,#293237)); #55768=EDGE_LOOP('',(#293238,#293239,#293240,#293241)); #55769=EDGE_LOOP('',(#293242,#293243,#293244,#293245)); #55770=EDGE_LOOP('',(#293246,#293247,#293248,#293249)); #55771=EDGE_LOOP('',(#293250,#293251,#293252,#293253)); #55772=EDGE_LOOP('',(#293254,#293255,#293256,#293257)); #55773=EDGE_LOOP('',(#293258,#293259,#293260,#293261)); #55774=EDGE_LOOP('',(#293262,#293263,#293264,#293265)); #55775=EDGE_LOOP('',(#293266,#293267,#293268,#293269)); #55776=EDGE_LOOP('',(#293270,#293271,#293272,#293273)); #55777=EDGE_LOOP('',(#293274,#293275,#293276,#293277)); #55778=EDGE_LOOP('',(#293278,#293279,#293280,#293281)); #55779=EDGE_LOOP('',(#293282,#293283,#293284,#293285)); #55780=EDGE_LOOP('',(#293286,#293287,#293288,#293289)); #55781=EDGE_LOOP('',(#293290,#293291,#293292,#293293)); #55782=EDGE_LOOP('',(#293294,#293295,#293296,#293297)); #55783=EDGE_LOOP('',(#293298,#293299,#293300,#293301)); #55784=EDGE_LOOP('',(#293302,#293303,#293304,#293305)); #55785=EDGE_LOOP('',(#293306,#293307,#293308,#293309)); #55786=EDGE_LOOP('',(#293310,#293311,#293312,#293313)); #55787=EDGE_LOOP('',(#293314,#293315,#293316,#293317)); #55788=EDGE_LOOP('',(#293318,#293319,#293320,#293321)); #55789=EDGE_LOOP('',(#293322,#293323,#293324,#293325)); #55790=EDGE_LOOP('',(#293326,#293327,#293328,#293329)); #55791=EDGE_LOOP('',(#293330,#293331,#293332,#293333)); #55792=EDGE_LOOP('',(#293334,#293335,#293336,#293337)); #55793=EDGE_LOOP('',(#293338,#293339,#293340,#293341)); #55794=EDGE_LOOP('',(#293342,#293343,#293344,#293345)); #55795=EDGE_LOOP('',(#293346,#293347,#293348,#293349)); #55796=EDGE_LOOP('',(#293350,#293351,#293352,#293353)); #55797=EDGE_LOOP('',(#293354,#293355,#293356,#293357)); #55798=EDGE_LOOP('',(#293358,#293359,#293360,#293361)); #55799=EDGE_LOOP('',(#293362,#293363,#293364,#293365)); #55800=EDGE_LOOP('',(#293366,#293367,#293368,#293369)); #55801=EDGE_LOOP('',(#293370,#293371,#293372,#293373)); #55802=EDGE_LOOP('',(#293374,#293375,#293376,#293377)); #55803=EDGE_LOOP('',(#293378,#293379,#293380,#293381)); #55804=EDGE_LOOP('',(#293382,#293383,#293384,#293385)); #55805=EDGE_LOOP('',(#293386,#293387,#293388,#293389)); #55806=EDGE_LOOP('',(#293390,#293391,#293392,#293393)); #55807=EDGE_LOOP('',(#293394,#293395,#293396,#293397)); #55808=EDGE_LOOP('',(#293398,#293399,#293400,#293401)); #55809=EDGE_LOOP('',(#293402,#293403,#293404,#293405)); #55810=EDGE_LOOP('',(#293406,#293407,#293408,#293409)); #55811=EDGE_LOOP('',(#293410,#293411,#293412,#293413)); #55812=EDGE_LOOP('',(#293414,#293415,#293416,#293417)); #55813=EDGE_LOOP('',(#293418,#293419,#293420,#293421)); #55814=EDGE_LOOP('',(#293422,#293423,#293424,#293425)); #55815=EDGE_LOOP('',(#293426,#293427,#293428,#293429)); #55816=EDGE_LOOP('',(#293430,#293431,#293432,#293433)); #55817=EDGE_LOOP('',(#293434,#293435,#293436,#293437)); #55818=EDGE_LOOP('',(#293438,#293439,#293440,#293441)); #55819=EDGE_LOOP('',(#293442,#293443,#293444,#293445)); #55820=EDGE_LOOP('',(#293446,#293447,#293448,#293449)); #55821=EDGE_LOOP('',(#293450,#293451,#293452,#293453)); #55822=EDGE_LOOP('',(#293454,#293455,#293456,#293457)); #55823=EDGE_LOOP('',(#293458,#293459,#293460,#293461)); #55824=EDGE_LOOP('',(#293462,#293463,#293464,#293465)); #55825=EDGE_LOOP('',(#293466,#293467,#293468,#293469)); #55826=EDGE_LOOP('',(#293470,#293471,#293472,#293473)); #55827=EDGE_LOOP('',(#293474,#293475,#293476,#293477)); #55828=EDGE_LOOP('',(#293478,#293479,#293480,#293481)); #55829=EDGE_LOOP('',(#293482,#293483,#293484,#293485)); #55830=EDGE_LOOP('',(#293486,#293487,#293488,#293489)); #55831=EDGE_LOOP('',(#293490,#293491,#293492,#293493)); #55832=EDGE_LOOP('',(#293494,#293495,#293496,#293497)); #55833=EDGE_LOOP('',(#293498,#293499,#293500,#293501)); #55834=EDGE_LOOP('',(#293502,#293503,#293504,#293505)); #55835=EDGE_LOOP('',(#293506,#293507,#293508,#293509)); #55836=EDGE_LOOP('',(#293510,#293511,#293512,#293513)); #55837=EDGE_LOOP('',(#293514,#293515,#293516,#293517)); #55838=EDGE_LOOP('',(#293518,#293519,#293520,#293521)); #55839=EDGE_LOOP('',(#293522,#293523,#293524,#293525)); #55840=EDGE_LOOP('',(#293526,#293527,#293528,#293529)); #55841=EDGE_LOOP('',(#293530,#293531,#293532,#293533)); #55842=EDGE_LOOP('',(#293534,#293535,#293536,#293537)); #55843=EDGE_LOOP('',(#293538,#293539,#293540,#293541)); #55844=EDGE_LOOP('',(#293542,#293543,#293544,#293545)); #55845=EDGE_LOOP('',(#293546,#293547,#293548,#293549)); #55846=EDGE_LOOP('',(#293550,#293551,#293552,#293553)); #55847=EDGE_LOOP('',(#293554,#293555,#293556,#293557)); #55848=EDGE_LOOP('',(#293558,#293559,#293560,#293561)); #55849=EDGE_LOOP('',(#293562,#293563,#293564,#293565)); #55850=EDGE_LOOP('',(#293566,#293567,#293568,#293569)); #55851=EDGE_LOOP('',(#293570,#293571,#293572,#293573)); #55852=EDGE_LOOP('',(#293574,#293575,#293576,#293577)); #55853=EDGE_LOOP('',(#293578,#293579,#293580,#293581)); #55854=EDGE_LOOP('',(#293582,#293583,#293584,#293585)); #55855=EDGE_LOOP('',(#293586,#293587,#293588,#293589)); #55856=EDGE_LOOP('',(#293590,#293591,#293592,#293593)); #55857=EDGE_LOOP('',(#293594,#293595,#293596,#293597)); #55858=EDGE_LOOP('',(#293598,#293599,#293600,#293601)); #55859=EDGE_LOOP('',(#293602,#293603,#293604,#293605)); #55860=EDGE_LOOP('',(#293606,#293607,#293608,#293609)); #55861=EDGE_LOOP('',(#293610,#293611,#293612,#293613)); #55862=EDGE_LOOP('',(#293614,#293615,#293616,#293617)); #55863=EDGE_LOOP('',(#293618,#293619,#293620,#293621)); #55864=EDGE_LOOP('',(#293622,#293623,#293624,#293625)); #55865=EDGE_LOOP('',(#293626,#293627,#293628,#293629)); #55866=EDGE_LOOP('',(#293630,#293631,#293632,#293633)); #55867=EDGE_LOOP('',(#293634,#293635,#293636,#293637)); #55868=EDGE_LOOP('',(#293638,#293639,#293640,#293641)); #55869=EDGE_LOOP('',(#293642,#293643,#293644,#293645)); #55870=EDGE_LOOP('',(#293646,#293647,#293648,#293649)); #55871=EDGE_LOOP('',(#293650,#293651,#293652,#293653)); #55872=EDGE_LOOP('',(#293654,#293655,#293656,#293657)); #55873=EDGE_LOOP('',(#293658,#293659,#293660,#293661)); #55874=EDGE_LOOP('',(#293662,#293663,#293664,#293665)); #55875=EDGE_LOOP('',(#293666,#293667,#293668,#293669)); #55876=EDGE_LOOP('',(#293670,#293671,#293672,#293673)); #55877=EDGE_LOOP('',(#293674,#293675,#293676,#293677)); #55878=EDGE_LOOP('',(#293678,#293679,#293680,#293681)); #55879=EDGE_LOOP('',(#293682,#293683,#293684,#293685)); #55880=EDGE_LOOP('',(#293686,#293687,#293688,#293689)); #55881=EDGE_LOOP('',(#293690,#293691,#293692,#293693)); #55882=EDGE_LOOP('',(#293694,#293695,#293696,#293697)); #55883=EDGE_LOOP('',(#293698,#293699,#293700,#293701)); #55884=EDGE_LOOP('',(#293702,#293703,#293704,#293705)); #55885=EDGE_LOOP('',(#293706,#293707,#293708,#293709)); #55886=EDGE_LOOP('',(#293710,#293711,#293712,#293713)); #55887=EDGE_LOOP('',(#293714,#293715,#293716,#293717)); #55888=EDGE_LOOP('',(#293718,#293719,#293720,#293721)); #55889=EDGE_LOOP('',(#293722,#293723,#293724,#293725)); #55890=EDGE_LOOP('',(#293726,#293727,#293728,#293729)); #55891=EDGE_LOOP('',(#293730,#293731,#293732,#293733)); #55892=EDGE_LOOP('',(#293734,#293735,#293736,#293737)); #55893=EDGE_LOOP('',(#293738,#293739,#293740,#293741)); #55894=EDGE_LOOP('',(#293742,#293743,#293744,#293745)); #55895=EDGE_LOOP('',(#293746,#293747,#293748,#293749)); #55896=EDGE_LOOP('',(#293750,#293751,#293752,#293753)); #55897=EDGE_LOOP('',(#293754,#293755,#293756,#293757)); #55898=EDGE_LOOP('',(#293758,#293759,#293760,#293761)); #55899=EDGE_LOOP('',(#293762,#293763,#293764,#293765)); #55900=EDGE_LOOP('',(#293766,#293767,#293768,#293769)); #55901=EDGE_LOOP('',(#293770,#293771,#293772,#293773)); #55902=EDGE_LOOP('',(#293774,#293775,#293776,#293777)); #55903=EDGE_LOOP('',(#293778,#293779,#293780,#293781)); #55904=EDGE_LOOP('',(#293782,#293783,#293784,#293785)); #55905=EDGE_LOOP('',(#293786,#293787,#293788,#293789)); #55906=EDGE_LOOP('',(#293790,#293791,#293792,#293793)); #55907=EDGE_LOOP('',(#293794,#293795,#293796,#293797)); #55908=EDGE_LOOP('',(#293798,#293799,#293800,#293801)); #55909=EDGE_LOOP('',(#293802,#293803,#293804,#293805)); #55910=EDGE_LOOP('',(#293806,#293807,#293808,#293809)); #55911=EDGE_LOOP('',(#293810,#293811,#293812,#293813)); #55912=EDGE_LOOP('',(#293814,#293815,#293816,#293817)); #55913=EDGE_LOOP('',(#293818,#293819,#293820,#293821)); #55914=EDGE_LOOP('',(#293822,#293823,#293824,#293825)); #55915=EDGE_LOOP('',(#293826,#293827,#293828,#293829)); #55916=EDGE_LOOP('',(#293830,#293831,#293832,#293833)); #55917=EDGE_LOOP('',(#293834,#293835,#293836,#293837)); #55918=EDGE_LOOP('',(#293838,#293839,#293840,#293841)); #55919=EDGE_LOOP('',(#293842,#293843,#293844,#293845)); #55920=EDGE_LOOP('',(#293846,#293847,#293848,#293849)); #55921=EDGE_LOOP('',(#293850,#293851,#293852,#293853)); #55922=EDGE_LOOP('',(#293854,#293855,#293856,#293857)); #55923=EDGE_LOOP('',(#293858,#293859,#293860,#293861)); #55924=EDGE_LOOP('',(#293862,#293863,#293864,#293865)); #55925=EDGE_LOOP('',(#293866,#293867,#293868,#293869)); #55926=EDGE_LOOP('',(#293870,#293871,#293872,#293873)); #55927=EDGE_LOOP('',(#293874,#293875,#293876,#293877)); #55928=EDGE_LOOP('',(#293878,#293879,#293880,#293881)); #55929=EDGE_LOOP('',(#293882,#293883,#293884,#293885)); #55930=EDGE_LOOP('',(#293886,#293887,#293888,#293889)); #55931=EDGE_LOOP('',(#293890,#293891,#293892,#293893)); #55932=EDGE_LOOP('',(#293894,#293895,#293896,#293897)); #55933=EDGE_LOOP('',(#293898,#293899,#293900,#293901)); #55934=EDGE_LOOP('',(#293902,#293903,#293904,#293905)); #55935=EDGE_LOOP('',(#293906,#293907,#293908,#293909)); #55936=EDGE_LOOP('',(#293910,#293911,#293912,#293913)); #55937=EDGE_LOOP('',(#293914,#293915,#293916,#293917)); #55938=EDGE_LOOP('',(#293918,#293919,#293920,#293921)); #55939=EDGE_LOOP('',(#293922,#293923,#293924,#293925)); #55940=EDGE_LOOP('',(#293926,#293927,#293928,#293929)); #55941=EDGE_LOOP('',(#293930,#293931,#293932,#293933)); #55942=EDGE_LOOP('',(#293934,#293935,#293936,#293937)); #55943=EDGE_LOOP('',(#293938,#293939,#293940,#293941)); #55944=EDGE_LOOP('',(#293942,#293943,#293944,#293945)); #55945=EDGE_LOOP('',(#293946,#293947,#293948,#293949)); #55946=EDGE_LOOP('',(#293950,#293951,#293952,#293953)); #55947=EDGE_LOOP('',(#293954,#293955,#293956,#293957)); #55948=EDGE_LOOP('',(#293958,#293959,#293960,#293961)); #55949=EDGE_LOOP('',(#293962,#293963,#293964,#293965)); #55950=EDGE_LOOP('',(#293966,#293967,#293968,#293969)); #55951=EDGE_LOOP('',(#293970,#293971,#293972,#293973)); #55952=EDGE_LOOP('',(#293974,#293975,#293976,#293977)); #55953=EDGE_LOOP('',(#293978,#293979,#293980,#293981)); #55954=EDGE_LOOP('',(#293982,#293983,#293984,#293985)); #55955=EDGE_LOOP('',(#293986,#293987,#293988,#293989)); #55956=EDGE_LOOP('',(#293990,#293991,#293992,#293993)); #55957=EDGE_LOOP('',(#293994,#293995,#293996,#293997)); #55958=EDGE_LOOP('',(#293998,#293999,#294000,#294001)); #55959=EDGE_LOOP('',(#294002,#294003,#294004,#294005)); #55960=EDGE_LOOP('',(#294006,#294007,#294008,#294009)); #55961=EDGE_LOOP('',(#294010,#294011,#294012,#294013)); #55962=EDGE_LOOP('',(#294014,#294015,#294016,#294017)); #55963=EDGE_LOOP('',(#294018,#294019,#294020,#294021)); #55964=EDGE_LOOP('',(#294022,#294023,#294024,#294025)); #55965=EDGE_LOOP('',(#294026,#294027,#294028,#294029)); #55966=EDGE_LOOP('',(#294030,#294031,#294032,#294033)); #55967=EDGE_LOOP('',(#294034,#294035,#294036,#294037)); #55968=EDGE_LOOP('',(#294038,#294039,#294040,#294041)); #55969=EDGE_LOOP('',(#294042,#294043,#294044,#294045)); #55970=EDGE_LOOP('',(#294046,#294047,#294048,#294049)); #55971=EDGE_LOOP('',(#294050,#294051,#294052,#294053)); #55972=EDGE_LOOP('',(#294054,#294055,#294056,#294057)); #55973=EDGE_LOOP('',(#294058,#294059,#294060,#294061)); #55974=EDGE_LOOP('',(#294062,#294063,#294064,#294065)); #55975=EDGE_LOOP('',(#294066,#294067,#294068,#294069)); #55976=EDGE_LOOP('',(#294070,#294071,#294072,#294073)); #55977=EDGE_LOOP('',(#294074,#294075,#294076,#294077)); #55978=EDGE_LOOP('',(#294078,#294079,#294080,#294081)); #55979=EDGE_LOOP('',(#294082,#294083,#294084,#294085)); #55980=EDGE_LOOP('',(#294086,#294087,#294088,#294089)); #55981=EDGE_LOOP('',(#294090,#294091,#294092,#294093)); #55982=EDGE_LOOP('',(#294094,#294095,#294096,#294097)); #55983=EDGE_LOOP('',(#294098,#294099,#294100,#294101)); #55984=EDGE_LOOP('',(#294102,#294103,#294104,#294105)); #55985=EDGE_LOOP('',(#294106,#294107,#294108,#294109)); #55986=EDGE_LOOP('',(#294110,#294111,#294112,#294113)); #55987=EDGE_LOOP('',(#294114,#294115,#294116,#294117)); #55988=EDGE_LOOP('',(#294118,#294119,#294120,#294121)); #55989=EDGE_LOOP('',(#294122,#294123,#294124,#294125)); #55990=EDGE_LOOP('',(#294126,#294127,#294128,#294129)); #55991=EDGE_LOOP('',(#294130,#294131,#294132,#294133)); #55992=EDGE_LOOP('',(#294134,#294135,#294136,#294137)); #55993=EDGE_LOOP('',(#294138,#294139,#294140,#294141)); #55994=EDGE_LOOP('',(#294142,#294143,#294144,#294145)); #55995=EDGE_LOOP('',(#294146,#294147,#294148,#294149)); #55996=EDGE_LOOP('',(#294150,#294151,#294152,#294153)); #55997=EDGE_LOOP('',(#294154,#294155,#294156,#294157)); #55998=EDGE_LOOP('',(#294158,#294159,#294160,#294161)); #55999=EDGE_LOOP('',(#294162,#294163,#294164,#294165)); #56000=EDGE_LOOP('',(#294166,#294167,#294168,#294169)); #56001=EDGE_LOOP('',(#294170,#294171,#294172,#294173)); #56002=EDGE_LOOP('',(#294174,#294175,#294176,#294177)); #56003=EDGE_LOOP('',(#294178,#294179,#294180,#294181)); #56004=EDGE_LOOP('',(#294182,#294183,#294184,#294185)); #56005=EDGE_LOOP('',(#294186,#294187,#294188,#294189)); #56006=EDGE_LOOP('',(#294190,#294191,#294192,#294193)); #56007=EDGE_LOOP('',(#294194,#294195,#294196,#294197)); #56008=EDGE_LOOP('',(#294198,#294199,#294200,#294201)); #56009=EDGE_LOOP('',(#294202,#294203,#294204,#294205)); #56010=EDGE_LOOP('',(#294206,#294207,#294208,#294209)); #56011=EDGE_LOOP('',(#294210,#294211,#294212,#294213)); #56012=EDGE_LOOP('',(#294214,#294215,#294216,#294217)); #56013=EDGE_LOOP('',(#294218,#294219,#294220,#294221)); #56014=EDGE_LOOP('',(#294222,#294223,#294224,#294225)); #56015=EDGE_LOOP('',(#294226,#294227,#294228,#294229)); #56016=EDGE_LOOP('',(#294230,#294231,#294232,#294233)); #56017=EDGE_LOOP('',(#294234,#294235,#294236,#294237)); #56018=EDGE_LOOP('',(#294238,#294239,#294240,#294241)); #56019=EDGE_LOOP('',(#294242,#294243,#294244,#294245)); #56020=EDGE_LOOP('',(#294246,#294247,#294248,#294249)); #56021=EDGE_LOOP('',(#294250,#294251,#294252,#294253)); #56022=EDGE_LOOP('',(#294254,#294255,#294256,#294257)); #56023=EDGE_LOOP('',(#294258,#294259,#294260,#294261)); #56024=EDGE_LOOP('',(#294262,#294263,#294264,#294265)); #56025=EDGE_LOOP('',(#294266,#294267,#294268,#294269)); #56026=EDGE_LOOP('',(#294270,#294271,#294272,#294273)); #56027=EDGE_LOOP('',(#294274,#294275,#294276,#294277)); #56028=EDGE_LOOP('',(#294278,#294279,#294280,#294281)); #56029=EDGE_LOOP('',(#294282,#294283,#294284,#294285)); #56030=EDGE_LOOP('',(#294286,#294287,#294288,#294289)); #56031=EDGE_LOOP('',(#294290,#294291,#294292,#294293)); #56032=EDGE_LOOP('',(#294294,#294295,#294296,#294297)); #56033=EDGE_LOOP('',(#294298,#294299,#294300,#294301)); #56034=EDGE_LOOP('',(#294302,#294303,#294304,#294305)); #56035=EDGE_LOOP('',(#294306,#294307,#294308,#294309)); #56036=EDGE_LOOP('',(#294310,#294311,#294312,#294313)); #56037=EDGE_LOOP('',(#294314,#294315,#294316,#294317)); #56038=EDGE_LOOP('',(#294318,#294319,#294320,#294321)); #56039=EDGE_LOOP('',(#294322,#294323,#294324,#294325)); #56040=EDGE_LOOP('',(#294326,#294327,#294328,#294329)); #56041=EDGE_LOOP('',(#294330,#294331,#294332,#294333)); #56042=EDGE_LOOP('',(#294334,#294335,#294336,#294337)); #56043=EDGE_LOOP('',(#294338,#294339,#294340,#294341)); #56044=EDGE_LOOP('',(#294342,#294343,#294344,#294345)); #56045=EDGE_LOOP('',(#294346,#294347,#294348,#294349)); #56046=EDGE_LOOP('',(#294350,#294351,#294352,#294353)); #56047=EDGE_LOOP('',(#294354,#294355,#294356,#294357)); #56048=EDGE_LOOP('',(#294358,#294359,#294360,#294361)); #56049=EDGE_LOOP('',(#294362)); #56050=EDGE_LOOP('',(#294363)); #56051=EDGE_LOOP('',(#294364)); #56052=EDGE_LOOP('',(#294365)); #56053=EDGE_LOOP('',(#294366)); #56054=EDGE_LOOP('',(#294367)); #56055=EDGE_LOOP('',(#294368)); #56056=EDGE_LOOP('',(#294369)); #56057=EDGE_LOOP('',(#294370)); #56058=EDGE_LOOP('',(#294371)); #56059=EDGE_LOOP('',(#294372)); #56060=EDGE_LOOP('',(#294373)); #56061=EDGE_LOOP('',(#294374)); #56062=EDGE_LOOP('',(#294375)); #56063=EDGE_LOOP('',(#294376)); #56064=EDGE_LOOP('',(#294377)); #56065=EDGE_LOOP('',(#294378)); #56066=EDGE_LOOP('',(#294379)); #56067=EDGE_LOOP('',(#294380)); #56068=EDGE_LOOP('',(#294381)); #56069=EDGE_LOOP('',(#294382)); #56070=EDGE_LOOP('',(#294383)); #56071=EDGE_LOOP('',(#294384)); #56072=EDGE_LOOP('',(#294385)); #56073=EDGE_LOOP('',(#294386)); #56074=EDGE_LOOP('',(#294387)); #56075=EDGE_LOOP('',(#294388)); #56076=EDGE_LOOP('',(#294389)); #56077=EDGE_LOOP('',(#294390)); #56078=EDGE_LOOP('',(#294391)); #56079=EDGE_LOOP('',(#294392)); #56080=EDGE_LOOP('',(#294393)); #56081=EDGE_LOOP('',(#294394)); #56082=EDGE_LOOP('',(#294395)); #56083=EDGE_LOOP('',(#294396)); #56084=EDGE_LOOP('',(#294397)); #56085=EDGE_LOOP('',(#294398)); #56086=EDGE_LOOP('',(#294399)); #56087=EDGE_LOOP('',(#294400)); #56088=EDGE_LOOP('',(#294401)); #56089=EDGE_LOOP('',(#294402,#294403,#294404,#294405)); #56090=EDGE_LOOP('',(#294406)); #56091=EDGE_LOOP('',(#294407,#294408,#294409,#294410)); #56092=EDGE_LOOP('',(#294411)); #56093=EDGE_LOOP('',(#294412)); #56094=EDGE_LOOP('',(#294413)); #56095=EDGE_LOOP('',(#294414)); #56096=EDGE_LOOP('',(#294415)); #56097=EDGE_LOOP('',(#294416)); #56098=EDGE_LOOP('',(#294417)); #56099=EDGE_LOOP('',(#294418)); #56100=EDGE_LOOP('',(#294419)); #56101=EDGE_LOOP('',(#294420)); #56102=EDGE_LOOP('',(#294421)); #56103=EDGE_LOOP('',(#294422,#294423,#294424,#294425)); #56104=EDGE_LOOP('',(#294426)); #56105=EDGE_LOOP('',(#294427,#294428,#294429,#294430)); #56106=EDGE_LOOP('',(#294431)); #56107=EDGE_LOOP('',(#294432,#294433,#294434,#294435)); #56108=EDGE_LOOP('',(#294436)); #56109=EDGE_LOOP('',(#294437,#294438,#294439,#294440)); #56110=EDGE_LOOP('',(#294441)); #56111=EDGE_LOOP('',(#294442)); #56112=EDGE_LOOP('',(#294443)); #56113=EDGE_LOOP('',(#294444)); #56114=EDGE_LOOP('',(#294445)); #56115=EDGE_LOOP('',(#294446)); #56116=EDGE_LOOP('',(#294447)); #56117=EDGE_LOOP('',(#294448)); #56118=EDGE_LOOP('',(#294449)); #56119=EDGE_LOOP('',(#294450)); #56120=EDGE_LOOP('',(#294451)); #56121=EDGE_LOOP('',(#294452)); #56122=EDGE_LOOP('',(#294453)); #56123=EDGE_LOOP('',(#294454)); #56124=EDGE_LOOP('',(#294455)); #56125=EDGE_LOOP('',(#294456)); #56126=EDGE_LOOP('',(#294457)); #56127=EDGE_LOOP('',(#294458)); #56128=EDGE_LOOP('',(#294459)); #56129=EDGE_LOOP('',(#294460)); #56130=EDGE_LOOP('',(#294461)); #56131=EDGE_LOOP('',(#294462)); #56132=EDGE_LOOP('',(#294463)); #56133=EDGE_LOOP('',(#294464)); #56134=EDGE_LOOP('',(#294465)); #56135=EDGE_LOOP('',(#294466)); #56136=EDGE_LOOP('',(#294467)); #56137=EDGE_LOOP('',(#294468)); #56138=EDGE_LOOP('',(#294469)); #56139=EDGE_LOOP('',(#294470)); #56140=EDGE_LOOP('',(#294471)); #56141=EDGE_LOOP('',(#294472)); #56142=EDGE_LOOP('',(#294473)); #56143=EDGE_LOOP('',(#294474)); #56144=EDGE_LOOP('',(#294475)); #56145=EDGE_LOOP('',(#294476)); #56146=EDGE_LOOP('',(#294477)); #56147=EDGE_LOOP('',(#294478)); #56148=EDGE_LOOP('',(#294479)); #56149=EDGE_LOOP('',(#294480)); #56150=EDGE_LOOP('',(#294481)); #56151=EDGE_LOOP('',(#294482)); #56152=EDGE_LOOP('',(#294483)); #56153=EDGE_LOOP('',(#294484)); #56154=EDGE_LOOP('',(#294485)); #56155=EDGE_LOOP('',(#294486)); #56156=EDGE_LOOP('',(#294487)); #56157=EDGE_LOOP('',(#294488)); #56158=EDGE_LOOP('',(#294489)); #56159=EDGE_LOOP('',(#294490)); #56160=EDGE_LOOP('',(#294491)); #56161=EDGE_LOOP('',(#294492)); #56162=EDGE_LOOP('',(#294493)); #56163=EDGE_LOOP('',(#294494)); #56164=EDGE_LOOP('',(#294495)); #56165=EDGE_LOOP('',(#294496)); #56166=EDGE_LOOP('',(#294497)); #56167=EDGE_LOOP('',(#294498)); #56168=EDGE_LOOP('',(#294499)); #56169=EDGE_LOOP('',(#294500)); #56170=EDGE_LOOP('',(#294501)); #56171=EDGE_LOOP('',(#294502)); #56172=EDGE_LOOP('',(#294503)); #56173=EDGE_LOOP('',(#294504)); #56174=EDGE_LOOP('',(#294505)); #56175=EDGE_LOOP('',(#294506)); #56176=EDGE_LOOP('',(#294507)); #56177=EDGE_LOOP('',(#294508)); #56178=EDGE_LOOP('',(#294509)); #56179=EDGE_LOOP('',(#294510)); #56180=EDGE_LOOP('',(#294511)); #56181=EDGE_LOOP('',(#294512)); #56182=EDGE_LOOP('',(#294513)); #56183=EDGE_LOOP('',(#294514)); #56184=EDGE_LOOP('',(#294515)); #56185=EDGE_LOOP('',(#294516)); #56186=EDGE_LOOP('',(#294517)); #56187=EDGE_LOOP('',(#294518)); #56188=EDGE_LOOP('',(#294519)); #56189=EDGE_LOOP('',(#294520)); #56190=EDGE_LOOP('',(#294521)); #56191=EDGE_LOOP('',(#294522)); #56192=EDGE_LOOP('',(#294523)); #56193=EDGE_LOOP('',(#294524)); #56194=EDGE_LOOP('',(#294525)); #56195=EDGE_LOOP('',(#294526)); #56196=EDGE_LOOP('',(#294527)); #56197=EDGE_LOOP('',(#294528)); #56198=EDGE_LOOP('',(#294529)); #56199=EDGE_LOOP('',(#294530)); #56200=EDGE_LOOP('',(#294531)); #56201=EDGE_LOOP('',(#294532)); #56202=EDGE_LOOP('',(#294533)); #56203=EDGE_LOOP('',(#294534)); #56204=EDGE_LOOP('',(#294535)); #56205=EDGE_LOOP('',(#294536)); #56206=EDGE_LOOP('',(#294537)); #56207=EDGE_LOOP('',(#294538)); #56208=EDGE_LOOP('',(#294539)); #56209=EDGE_LOOP('',(#294540)); #56210=EDGE_LOOP('',(#294541)); #56211=EDGE_LOOP('',(#294542)); #56212=EDGE_LOOP('',(#294543)); #56213=EDGE_LOOP('',(#294544)); #56214=EDGE_LOOP('',(#294545)); #56215=EDGE_LOOP('',(#294546)); #56216=EDGE_LOOP('',(#294547)); #56217=EDGE_LOOP('',(#294548)); #56218=EDGE_LOOP('',(#294549)); #56219=EDGE_LOOP('',(#294550)); #56220=EDGE_LOOP('',(#294551)); #56221=EDGE_LOOP('',(#294552)); #56222=EDGE_LOOP('',(#294553)); #56223=EDGE_LOOP('',(#294554)); #56224=EDGE_LOOP('',(#294555)); #56225=EDGE_LOOP('',(#294556)); #56226=EDGE_LOOP('',(#294557)); #56227=EDGE_LOOP('',(#294558)); #56228=EDGE_LOOP('',(#294559)); #56229=EDGE_LOOP('',(#294560)); #56230=EDGE_LOOP('',(#294561)); #56231=EDGE_LOOP('',(#294562)); #56232=EDGE_LOOP('',(#294563)); #56233=EDGE_LOOP('',(#294564)); #56234=EDGE_LOOP('',(#294565)); #56235=EDGE_LOOP('',(#294566)); #56236=EDGE_LOOP('',(#294567)); #56237=EDGE_LOOP('',(#294568)); #56238=EDGE_LOOP('',(#294569)); #56239=EDGE_LOOP('',(#294570)); #56240=EDGE_LOOP('',(#294571)); #56241=EDGE_LOOP('',(#294572)); #56242=EDGE_LOOP('',(#294573)); #56243=EDGE_LOOP('',(#294574)); #56244=EDGE_LOOP('',(#294575)); #56245=EDGE_LOOP('',(#294576)); #56246=EDGE_LOOP('',(#294577)); #56247=EDGE_LOOP('',(#294578)); #56248=EDGE_LOOP('',(#294579)); #56249=EDGE_LOOP('',(#294580)); #56250=EDGE_LOOP('',(#294581)); #56251=EDGE_LOOP('',(#294582)); #56252=EDGE_LOOP('',(#294583)); #56253=EDGE_LOOP('',(#294584)); #56254=EDGE_LOOP('',(#294585)); #56255=EDGE_LOOP('',(#294586)); #56256=EDGE_LOOP('',(#294587)); #56257=EDGE_LOOP('',(#294588)); #56258=EDGE_LOOP('',(#294589)); #56259=EDGE_LOOP('',(#294590)); #56260=EDGE_LOOP('',(#294591)); #56261=EDGE_LOOP('',(#294592)); #56262=EDGE_LOOP('',(#294593)); #56263=EDGE_LOOP('',(#294594)); #56264=EDGE_LOOP('',(#294595)); #56265=EDGE_LOOP('',(#294596)); #56266=EDGE_LOOP('',(#294597)); #56267=EDGE_LOOP('',(#294598)); #56268=EDGE_LOOP('',(#294599)); #56269=EDGE_LOOP('',(#294600)); #56270=EDGE_LOOP('',(#294601)); #56271=EDGE_LOOP('',(#294602)); #56272=EDGE_LOOP('',(#294603)); #56273=EDGE_LOOP('',(#294604)); #56274=EDGE_LOOP('',(#294605)); #56275=EDGE_LOOP('',(#294606)); #56276=EDGE_LOOP('',(#294607)); #56277=EDGE_LOOP('',(#294608)); #56278=EDGE_LOOP('',(#294609)); #56279=EDGE_LOOP('',(#294610)); #56280=EDGE_LOOP('',(#294611)); #56281=EDGE_LOOP('',(#294612)); #56282=EDGE_LOOP('',(#294613)); #56283=EDGE_LOOP('',(#294614)); #56284=EDGE_LOOP('',(#294615)); #56285=EDGE_LOOP('',(#294616)); #56286=EDGE_LOOP('',(#294617)); #56287=EDGE_LOOP('',(#294618)); #56288=EDGE_LOOP('',(#294619)); #56289=EDGE_LOOP('',(#294620)); #56290=EDGE_LOOP('',(#294621)); #56291=EDGE_LOOP('',(#294622)); #56292=EDGE_LOOP('',(#294623)); #56293=EDGE_LOOP('',(#294624)); #56294=EDGE_LOOP('',(#294625)); #56295=EDGE_LOOP('',(#294626)); #56296=EDGE_LOOP('',(#294627)); #56297=EDGE_LOOP('',(#294628)); #56298=EDGE_LOOP('',(#294629)); #56299=EDGE_LOOP('',(#294630)); #56300=EDGE_LOOP('',(#294631)); #56301=EDGE_LOOP('',(#294632)); #56302=EDGE_LOOP('',(#294633)); #56303=EDGE_LOOP('',(#294634)); #56304=EDGE_LOOP('',(#294635)); #56305=EDGE_LOOP('',(#294636)); #56306=EDGE_LOOP('',(#294637)); #56307=EDGE_LOOP('',(#294638)); #56308=EDGE_LOOP('',(#294639)); #56309=EDGE_LOOP('',(#294640)); #56310=EDGE_LOOP('',(#294641)); #56311=EDGE_LOOP('',(#294642)); #56312=EDGE_LOOP('',(#294643)); #56313=EDGE_LOOP('',(#294644)); #56314=EDGE_LOOP('',(#294645)); #56315=EDGE_LOOP('',(#294646)); #56316=EDGE_LOOP('',(#294647)); #56317=EDGE_LOOP('',(#294648)); #56318=EDGE_LOOP('',(#294649)); #56319=EDGE_LOOP('',(#294650)); #56320=EDGE_LOOP('',(#294651)); #56321=EDGE_LOOP('',(#294652)); #56322=EDGE_LOOP('',(#294653)); #56323=EDGE_LOOP('',(#294654)); #56324=EDGE_LOOP('',(#294655)); #56325=EDGE_LOOP('',(#294656)); #56326=EDGE_LOOP('',(#294657)); #56327=EDGE_LOOP('',(#294658)); #56328=EDGE_LOOP('',(#294659)); #56329=EDGE_LOOP('',(#294660)); #56330=EDGE_LOOP('',(#294661)); #56331=EDGE_LOOP('',(#294662)); #56332=EDGE_LOOP('',(#294663)); #56333=EDGE_LOOP('',(#294664)); #56334=EDGE_LOOP('',(#294665)); #56335=EDGE_LOOP('',(#294666)); #56336=EDGE_LOOP('',(#294667)); #56337=EDGE_LOOP('',(#294668)); #56338=EDGE_LOOP('',(#294669)); #56339=EDGE_LOOP('',(#294670)); #56340=EDGE_LOOP('',(#294671)); #56341=EDGE_LOOP('',(#294672)); #56342=EDGE_LOOP('',(#294673)); #56343=EDGE_LOOP('',(#294674)); #56344=EDGE_LOOP('',(#294675)); #56345=EDGE_LOOP('',(#294676)); #56346=EDGE_LOOP('',(#294677)); #56347=EDGE_LOOP('',(#294678)); #56348=EDGE_LOOP('',(#294679)); #56349=EDGE_LOOP('',(#294680)); #56350=EDGE_LOOP('',(#294681)); #56351=EDGE_LOOP('',(#294682)); #56352=EDGE_LOOP('',(#294683)); #56353=EDGE_LOOP('',(#294684)); #56354=EDGE_LOOP('',(#294685)); #56355=EDGE_LOOP('',(#294686)); #56356=EDGE_LOOP('',(#294687)); #56357=EDGE_LOOP('',(#294688)); #56358=EDGE_LOOP('',(#294689)); #56359=EDGE_LOOP('',(#294690)); #56360=EDGE_LOOP('',(#294691)); #56361=EDGE_LOOP('',(#294692)); #56362=EDGE_LOOP('',(#294693)); #56363=EDGE_LOOP('',(#294694)); #56364=EDGE_LOOP('',(#294695)); #56365=EDGE_LOOP('',(#294696)); #56366=EDGE_LOOP('',(#294697)); #56367=EDGE_LOOP('',(#294698)); #56368=EDGE_LOOP('',(#294699)); #56369=EDGE_LOOP('',(#294700)); #56370=EDGE_LOOP('',(#294701)); #56371=EDGE_LOOP('',(#294702)); #56372=EDGE_LOOP('',(#294703)); #56373=EDGE_LOOP('',(#294704)); #56374=EDGE_LOOP('',(#294705)); #56375=EDGE_LOOP('',(#294706)); #56376=EDGE_LOOP('',(#294707)); #56377=EDGE_LOOP('',(#294708)); #56378=EDGE_LOOP('',(#294709)); #56379=EDGE_LOOP('',(#294710)); #56380=EDGE_LOOP('',(#294711)); #56381=EDGE_LOOP('',(#294712)); #56382=EDGE_LOOP('',(#294713)); #56383=EDGE_LOOP('',(#294714)); #56384=EDGE_LOOP('',(#294715)); #56385=EDGE_LOOP('',(#294716)); #56386=EDGE_LOOP('',(#294717)); #56387=EDGE_LOOP('',(#294718)); #56388=EDGE_LOOP('',(#294719)); #56389=EDGE_LOOP('',(#294720)); #56390=EDGE_LOOP('',(#294721)); #56391=EDGE_LOOP('',(#294722)); #56392=EDGE_LOOP('',(#294723)); #56393=EDGE_LOOP('',(#294724)); #56394=EDGE_LOOP('',(#294725)); #56395=EDGE_LOOP('',(#294726)); #56396=EDGE_LOOP('',(#294727)); #56397=EDGE_LOOP('',(#294728)); #56398=EDGE_LOOP('',(#294729)); #56399=EDGE_LOOP('',(#294730)); #56400=EDGE_LOOP('',(#294731)); #56401=EDGE_LOOP('',(#294732)); #56402=EDGE_LOOP('',(#294733)); #56403=EDGE_LOOP('',(#294734)); #56404=EDGE_LOOP('',(#294735)); #56405=EDGE_LOOP('',(#294736)); #56406=EDGE_LOOP('',(#294737)); #56407=EDGE_LOOP('',(#294738)); #56408=EDGE_LOOP('',(#294739)); #56409=EDGE_LOOP('',(#294740)); #56410=EDGE_LOOP('',(#294741)); #56411=EDGE_LOOP('',(#294742)); #56412=EDGE_LOOP('',(#294743)); #56413=EDGE_LOOP('',(#294744)); #56414=EDGE_LOOP('',(#294745)); #56415=EDGE_LOOP('',(#294746)); #56416=EDGE_LOOP('',(#294747)); #56417=EDGE_LOOP('',(#294748)); #56418=EDGE_LOOP('',(#294749)); #56419=EDGE_LOOP('',(#294750)); #56420=EDGE_LOOP('',(#294751)); #56421=EDGE_LOOP('',(#294752)); #56422=EDGE_LOOP('',(#294753,#294754,#294755,#294756)); #56423=EDGE_LOOP('',(#294757)); #56424=EDGE_LOOP('',(#294758,#294759,#294760,#294761)); #56425=EDGE_LOOP('',(#294762)); #56426=EDGE_LOOP('',(#294763)); #56427=EDGE_LOOP('',(#294764)); #56428=EDGE_LOOP('',(#294765)); #56429=EDGE_LOOP('',(#294766)); #56430=EDGE_LOOP('',(#294767)); #56431=EDGE_LOOP('',(#294768)); #56432=EDGE_LOOP('',(#294769)); #56433=EDGE_LOOP('',(#294770)); #56434=EDGE_LOOP('',(#294771)); #56435=EDGE_LOOP('',(#294772)); #56436=EDGE_LOOP('',(#294773,#294774,#294775,#294776)); #56437=EDGE_LOOP('',(#294777)); #56438=EDGE_LOOP('',(#294778,#294779,#294780,#294781)); #56439=EDGE_LOOP('',(#294782)); #56440=EDGE_LOOP('',(#294783,#294784,#294785,#294786)); #56441=EDGE_LOOP('',(#294787)); #56442=EDGE_LOOP('',(#294788,#294789,#294790,#294791)); #56443=EDGE_LOOP('',(#294792)); #56444=EDGE_LOOP('',(#294793)); #56445=EDGE_LOOP('',(#294794)); #56446=EDGE_LOOP('',(#294795)); #56447=EDGE_LOOP('',(#294796)); #56448=EDGE_LOOP('',(#294797)); #56449=EDGE_LOOP('',(#294798)); #56450=EDGE_LOOP('',(#294799)); #56451=EDGE_LOOP('',(#294800)); #56452=EDGE_LOOP('',(#294801)); #56453=EDGE_LOOP('',(#294802)); #56454=EDGE_LOOP('',(#294803)); #56455=EDGE_LOOP('',(#294804)); #56456=EDGE_LOOP('',(#294805)); #56457=EDGE_LOOP('',(#294806)); #56458=EDGE_LOOP('',(#294807)); #56459=EDGE_LOOP('',(#294808)); #56460=EDGE_LOOP('',(#294809)); #56461=EDGE_LOOP('',(#294810)); #56462=EDGE_LOOP('',(#294811)); #56463=EDGE_LOOP('',(#294812)); #56464=EDGE_LOOP('',(#294813)); #56465=EDGE_LOOP('',(#294814)); #56466=EDGE_LOOP('',(#294815)); #56467=EDGE_LOOP('',(#294816)); #56468=EDGE_LOOP('',(#294817)); #56469=EDGE_LOOP('',(#294818)); #56470=EDGE_LOOP('',(#294819)); #56471=EDGE_LOOP('',(#294820)); #56472=EDGE_LOOP('',(#294821)); #56473=EDGE_LOOP('',(#294822)); #56474=EDGE_LOOP('',(#294823)); #56475=EDGE_LOOP('',(#294824)); #56476=EDGE_LOOP('',(#294825)); #56477=EDGE_LOOP('',(#294826)); #56478=EDGE_LOOP('',(#294827)); #56479=EDGE_LOOP('',(#294828)); #56480=EDGE_LOOP('',(#294829)); #56481=EDGE_LOOP('',(#294830)); #56482=EDGE_LOOP('',(#294831)); #56483=EDGE_LOOP('',(#294832)); #56484=EDGE_LOOP('',(#294833)); #56485=EDGE_LOOP('',(#294834)); #56486=EDGE_LOOP('',(#294835)); #56487=EDGE_LOOP('',(#294836)); #56488=EDGE_LOOP('',(#294837)); #56489=EDGE_LOOP('',(#294838)); #56490=EDGE_LOOP('',(#294839)); #56491=EDGE_LOOP('',(#294840)); #56492=EDGE_LOOP('',(#294841)); #56493=EDGE_LOOP('',(#294842)); #56494=EDGE_LOOP('',(#294843)); #56495=EDGE_LOOP('',(#294844)); #56496=EDGE_LOOP('',(#294845)); #56497=EDGE_LOOP('',(#294846)); #56498=EDGE_LOOP('',(#294847)); #56499=EDGE_LOOP('',(#294848)); #56500=EDGE_LOOP('',(#294849)); #56501=EDGE_LOOP('',(#294850)); #56502=EDGE_LOOP('',(#294851)); #56503=EDGE_LOOP('',(#294852)); #56504=EDGE_LOOP('',(#294853)); #56505=EDGE_LOOP('',(#294854)); #56506=EDGE_LOOP('',(#294855)); #56507=EDGE_LOOP('',(#294856)); #56508=EDGE_LOOP('',(#294857)); #56509=EDGE_LOOP('',(#294858)); #56510=EDGE_LOOP('',(#294859)); #56511=EDGE_LOOP('',(#294860)); #56512=EDGE_LOOP('',(#294861)); #56513=EDGE_LOOP('',(#294862)); #56514=EDGE_LOOP('',(#294863)); #56515=EDGE_LOOP('',(#294864)); #56516=EDGE_LOOP('',(#294865)); #56517=EDGE_LOOP('',(#294866)); #56518=EDGE_LOOP('',(#294867)); #56519=EDGE_LOOP('',(#294868)); #56520=EDGE_LOOP('',(#294869)); #56521=EDGE_LOOP('',(#294870)); #56522=EDGE_LOOP('',(#294871)); #56523=EDGE_LOOP('',(#294872)); #56524=EDGE_LOOP('',(#294873)); #56525=EDGE_LOOP('',(#294874)); #56526=EDGE_LOOP('',(#294875)); #56527=EDGE_LOOP('',(#294876)); #56528=EDGE_LOOP('',(#294877)); #56529=EDGE_LOOP('',(#294878)); #56530=EDGE_LOOP('',(#294879)); #56531=EDGE_LOOP('',(#294880)); #56532=EDGE_LOOP('',(#294881)); #56533=EDGE_LOOP('',(#294882)); #56534=EDGE_LOOP('',(#294883)); #56535=EDGE_LOOP('',(#294884)); #56536=EDGE_LOOP('',(#294885)); #56537=EDGE_LOOP('',(#294886)); #56538=EDGE_LOOP('',(#294887)); #56539=EDGE_LOOP('',(#294888)); #56540=EDGE_LOOP('',(#294889)); #56541=EDGE_LOOP('',(#294890)); #56542=EDGE_LOOP('',(#294891)); #56543=EDGE_LOOP('',(#294892)); #56544=EDGE_LOOP('',(#294893)); #56545=EDGE_LOOP('',(#294894)); #56546=EDGE_LOOP('',(#294895)); #56547=EDGE_LOOP('',(#294896)); #56548=EDGE_LOOP('',(#294897)); #56549=EDGE_LOOP('',(#294898)); #56550=EDGE_LOOP('',(#294899)); #56551=EDGE_LOOP('',(#294900)); #56552=EDGE_LOOP('',(#294901)); #56553=EDGE_LOOP('',(#294902)); #56554=EDGE_LOOP('',(#294903)); #56555=EDGE_LOOP('',(#294904)); #56556=EDGE_LOOP('',(#294905)); #56557=EDGE_LOOP('',(#294906)); #56558=EDGE_LOOP('',(#294907)); #56559=EDGE_LOOP('',(#294908)); #56560=EDGE_LOOP('',(#294909)); #56561=EDGE_LOOP('',(#294910)); #56562=EDGE_LOOP('',(#294911)); #56563=EDGE_LOOP('',(#294912)); #56564=EDGE_LOOP('',(#294913)); #56565=EDGE_LOOP('',(#294914)); #56566=EDGE_LOOP('',(#294915)); #56567=EDGE_LOOP('',(#294916)); #56568=EDGE_LOOP('',(#294917)); #56569=EDGE_LOOP('',(#294918)); #56570=EDGE_LOOP('',(#294919)); #56571=EDGE_LOOP('',(#294920)); #56572=EDGE_LOOP('',(#294921)); #56573=EDGE_LOOP('',(#294922)); #56574=EDGE_LOOP('',(#294923)); #56575=EDGE_LOOP('',(#294924)); #56576=EDGE_LOOP('',(#294925)); #56577=EDGE_LOOP('',(#294926)); #56578=EDGE_LOOP('',(#294927)); #56579=EDGE_LOOP('',(#294928)); #56580=EDGE_LOOP('',(#294929)); #56581=EDGE_LOOP('',(#294930)); #56582=EDGE_LOOP('',(#294931)); #56583=EDGE_LOOP('',(#294932)); #56584=EDGE_LOOP('',(#294933)); #56585=EDGE_LOOP('',(#294934)); #56586=EDGE_LOOP('',(#294935)); #56587=EDGE_LOOP('',(#294936)); #56588=EDGE_LOOP('',(#294937)); #56589=EDGE_LOOP('',(#294938)); #56590=EDGE_LOOP('',(#294939)); #56591=EDGE_LOOP('',(#294940)); #56592=EDGE_LOOP('',(#294941)); #56593=EDGE_LOOP('',(#294942)); #56594=EDGE_LOOP('',(#294943)); #56595=EDGE_LOOP('',(#294944)); #56596=EDGE_LOOP('',(#294945)); #56597=EDGE_LOOP('',(#294946)); #56598=EDGE_LOOP('',(#294947)); #56599=EDGE_LOOP('',(#294948)); #56600=EDGE_LOOP('',(#294949)); #56601=EDGE_LOOP('',(#294950)); #56602=EDGE_LOOP('',(#294951)); #56603=EDGE_LOOP('',(#294952)); #56604=EDGE_LOOP('',(#294953)); #56605=EDGE_LOOP('',(#294954)); #56606=EDGE_LOOP('',(#294955)); #56607=EDGE_LOOP('',(#294956)); #56608=EDGE_LOOP('',(#294957)); #56609=EDGE_LOOP('',(#294958)); #56610=EDGE_LOOP('',(#294959)); #56611=EDGE_LOOP('',(#294960)); #56612=EDGE_LOOP('',(#294961)); #56613=EDGE_LOOP('',(#294962)); #56614=EDGE_LOOP('',(#294963)); #56615=EDGE_LOOP('',(#294964)); #56616=EDGE_LOOP('',(#294965)); #56617=EDGE_LOOP('',(#294966)); #56618=EDGE_LOOP('',(#294967)); #56619=EDGE_LOOP('',(#294968)); #56620=EDGE_LOOP('',(#294969)); #56621=EDGE_LOOP('',(#294970)); #56622=EDGE_LOOP('',(#294971)); #56623=EDGE_LOOP('',(#294972)); #56624=EDGE_LOOP('',(#294973)); #56625=EDGE_LOOP('',(#294974)); #56626=EDGE_LOOP('',(#294975)); #56627=EDGE_LOOP('',(#294976)); #56628=EDGE_LOOP('',(#294977)); #56629=EDGE_LOOP('',(#294978)); #56630=EDGE_LOOP('',(#294979)); #56631=EDGE_LOOP('',(#294980)); #56632=EDGE_LOOP('',(#294981)); #56633=EDGE_LOOP('',(#294982)); #56634=EDGE_LOOP('',(#294983)); #56635=EDGE_LOOP('',(#294984)); #56636=EDGE_LOOP('',(#294985)); #56637=EDGE_LOOP('',(#294986)); #56638=EDGE_LOOP('',(#294987)); #56639=EDGE_LOOP('',(#294988)); #56640=EDGE_LOOP('',(#294989)); #56641=EDGE_LOOP('',(#294990)); #56642=EDGE_LOOP('',(#294991)); #56643=EDGE_LOOP('',(#294992)); #56644=EDGE_LOOP('',(#294993)); #56645=EDGE_LOOP('',(#294994)); #56646=EDGE_LOOP('',(#294995)); #56647=EDGE_LOOP('',(#294996)); #56648=EDGE_LOOP('',(#294997)); #56649=EDGE_LOOP('',(#294998)); #56650=EDGE_LOOP('',(#294999)); #56651=EDGE_LOOP('',(#295000)); #56652=EDGE_LOOP('',(#295001)); #56653=EDGE_LOOP('',(#295002)); #56654=EDGE_LOOP('',(#295003)); #56655=EDGE_LOOP('',(#295004)); #56656=EDGE_LOOP('',(#295005)); #56657=EDGE_LOOP('',(#295006)); #56658=EDGE_LOOP('',(#295007)); #56659=EDGE_LOOP('',(#295008)); #56660=EDGE_LOOP('',(#295009)); #56661=EDGE_LOOP('',(#295010)); #56662=EDGE_LOOP('',(#295011)); #56663=EDGE_LOOP('',(#295012)); #56664=EDGE_LOOP('',(#295013)); #56665=EDGE_LOOP('',(#295014)); #56666=EDGE_LOOP('',(#295015)); #56667=EDGE_LOOP('',(#295016)); #56668=EDGE_LOOP('',(#295017)); #56669=EDGE_LOOP('',(#295018)); #56670=EDGE_LOOP('',(#295019)); #56671=EDGE_LOOP('',(#295020)); #56672=EDGE_LOOP('',(#295021)); #56673=EDGE_LOOP('',(#295022)); #56674=EDGE_LOOP('',(#295023)); #56675=EDGE_LOOP('',(#295024)); #56676=EDGE_LOOP('',(#295025)); #56677=EDGE_LOOP('',(#295026)); #56678=EDGE_LOOP('',(#295027)); #56679=EDGE_LOOP('',(#295028)); #56680=EDGE_LOOP('',(#295029)); #56681=EDGE_LOOP('',(#295030)); #56682=EDGE_LOOP('',(#295031)); #56683=EDGE_LOOP('',(#295032)); #56684=EDGE_LOOP('',(#295033)); #56685=EDGE_LOOP('',(#295034)); #56686=EDGE_LOOP('',(#295035)); #56687=EDGE_LOOP('',(#295036)); #56688=EDGE_LOOP('',(#295037)); #56689=EDGE_LOOP('',(#295038)); #56690=EDGE_LOOP('',(#295039)); #56691=EDGE_LOOP('',(#295040)); #56692=EDGE_LOOP('',(#295041)); #56693=EDGE_LOOP('',(#295042)); #56694=EDGE_LOOP('',(#295043)); #56695=EDGE_LOOP('',(#295044)); #56696=EDGE_LOOP('',(#295045)); #56697=EDGE_LOOP('',(#295046)); #56698=EDGE_LOOP('',(#295047)); #56699=EDGE_LOOP('',(#295048)); #56700=EDGE_LOOP('',(#295049)); #56701=EDGE_LOOP('',(#295050)); #56702=EDGE_LOOP('',(#295051)); #56703=EDGE_LOOP('',(#295052)); #56704=EDGE_LOOP('',(#295053)); #56705=EDGE_LOOP('',(#295054)); #56706=EDGE_LOOP('',(#295055)); #56707=EDGE_LOOP('',(#295056)); #56708=EDGE_LOOP('',(#295057)); #56709=EDGE_LOOP('',(#295058)); #56710=EDGE_LOOP('',(#295059)); #56711=EDGE_LOOP('',(#295060)); #56712=EDGE_LOOP('',(#295061)); #56713=EDGE_LOOP('',(#295062)); #56714=EDGE_LOOP('',(#295063)); #56715=EDGE_LOOP('',(#295064,#295065,#295066,#295067)); #56716=EDGE_LOOP('',(#295068,#295069,#295070,#295071)); #56717=EDGE_LOOP('',(#295072,#295073,#295074,#295075)); #56718=EDGE_LOOP('',(#295076,#295077,#295078,#295079)); #56719=EDGE_LOOP('',(#295080,#295081,#295082,#295083)); #56720=EDGE_LOOP('',(#295084,#295085,#295086,#295087)); #56721=EDGE_LOOP('',(#295088,#295089,#295090,#295091)); #56722=EDGE_LOOP('',(#295092,#295093,#295094,#295095)); #56723=EDGE_LOOP('',(#295096,#295097,#295098,#295099)); #56724=EDGE_LOOP('',(#295100,#295101,#295102,#295103)); #56725=EDGE_LOOP('',(#295104,#295105,#295106,#295107)); #56726=EDGE_LOOP('',(#295108,#295109,#295110,#295111)); #56727=EDGE_LOOP('',(#295112,#295113,#295114,#295115)); #56728=EDGE_LOOP('',(#295116,#295117,#295118,#295119)); #56729=EDGE_LOOP('',(#295120,#295121,#295122,#295123)); #56730=EDGE_LOOP('',(#295124,#295125,#295126,#295127)); #56731=EDGE_LOOP('',(#295128,#295129,#295130,#295131)); #56732=EDGE_LOOP('',(#295132,#295133,#295134,#295135)); #56733=EDGE_LOOP('',(#295136,#295137,#295138,#295139)); #56734=EDGE_LOOP('',(#295140,#295141,#295142,#295143)); #56735=EDGE_LOOP('',(#295144,#295145,#295146,#295147)); #56736=EDGE_LOOP('',(#295148,#295149,#295150,#295151)); #56737=EDGE_LOOP('',(#295152,#295153,#295154,#295155)); #56738=EDGE_LOOP('',(#295156,#295157,#295158,#295159)); #56739=EDGE_LOOP('',(#295160,#295161,#295162,#295163)); #56740=EDGE_LOOP('',(#295164,#295165,#295166,#295167)); #56741=EDGE_LOOP('',(#295168,#295169,#295170,#295171)); #56742=EDGE_LOOP('',(#295172,#295173,#295174,#295175)); #56743=EDGE_LOOP('',(#295176,#295177,#295178,#295179)); #56744=EDGE_LOOP('',(#295180,#295181,#295182,#295183)); #56745=EDGE_LOOP('',(#295184,#295185,#295186,#295187)); #56746=EDGE_LOOP('',(#295188,#295189,#295190,#295191)); #56747=EDGE_LOOP('',(#295192,#295193,#295194,#295195)); #56748=EDGE_LOOP('',(#295196,#295197,#295198,#295199)); #56749=EDGE_LOOP('',(#295200,#295201,#295202,#295203)); #56750=EDGE_LOOP('',(#295204,#295205,#295206,#295207)); #56751=EDGE_LOOP('',(#295208,#295209,#295210,#295211)); #56752=EDGE_LOOP('',(#295212,#295213,#295214,#295215)); #56753=EDGE_LOOP('',(#295216,#295217,#295218,#295219)); #56754=EDGE_LOOP('',(#295220,#295221,#295222,#295223)); #56755=EDGE_LOOP('',(#295224,#295225,#295226,#295227)); #56756=EDGE_LOOP('',(#295228,#295229,#295230,#295231)); #56757=EDGE_LOOP('',(#295232,#295233,#295234,#295235)); #56758=EDGE_LOOP('',(#295236,#295237,#295238,#295239)); #56759=EDGE_LOOP('',(#295240,#295241,#295242,#295243)); #56760=EDGE_LOOP('',(#295244,#295245,#295246,#295247)); #56761=EDGE_LOOP('',(#295248,#295249,#295250,#295251)); #56762=EDGE_LOOP('',(#295252,#295253,#295254,#295255)); #56763=EDGE_LOOP('',(#295256,#295257,#295258,#295259)); #56764=EDGE_LOOP('',(#295260,#295261,#295262,#295263)); #56765=EDGE_LOOP('',(#295264,#295265,#295266,#295267)); #56766=EDGE_LOOP('',(#295268,#295269,#295270,#295271)); #56767=EDGE_LOOP('',(#295272,#295273,#295274,#295275)); #56768=EDGE_LOOP('',(#295276,#295277,#295278,#295279)); #56769=EDGE_LOOP('',(#295280,#295281,#295282,#295283)); #56770=EDGE_LOOP('',(#295284,#295285,#295286,#295287)); #56771=EDGE_LOOP('',(#295288,#295289,#295290,#295291)); #56772=EDGE_LOOP('',(#295292,#295293,#295294,#295295)); #56773=EDGE_LOOP('',(#295296,#295297,#295298,#295299)); #56774=EDGE_LOOP('',(#295300,#295301,#295302,#295303)); #56775=EDGE_LOOP('',(#295304,#295305,#295306,#295307)); #56776=EDGE_LOOP('',(#295308,#295309,#295310,#295311)); #56777=EDGE_LOOP('',(#295312,#295313,#295314,#295315)); #56778=EDGE_LOOP('',(#295316,#295317,#295318,#295319)); #56779=EDGE_LOOP('',(#295320,#295321,#295322,#295323)); #56780=EDGE_LOOP('',(#295324,#295325,#295326,#295327)); #56781=EDGE_LOOP('',(#295328,#295329,#295330,#295331)); #56782=EDGE_LOOP('',(#295332,#295333,#295334,#295335)); #56783=EDGE_LOOP('',(#295336,#295337,#295338,#295339)); #56784=EDGE_LOOP('',(#295340,#295341,#295342,#295343)); #56785=EDGE_LOOP('',(#295344,#295345,#295346,#295347)); #56786=EDGE_LOOP('',(#295348,#295349,#295350,#295351)); #56787=EDGE_LOOP('',(#295352,#295353,#295354,#295355)); #56788=EDGE_LOOP('',(#295356,#295357,#295358,#295359)); #56789=EDGE_LOOP('',(#295360,#295361,#295362,#295363)); #56790=EDGE_LOOP('',(#295364,#295365,#295366,#295367)); #56791=EDGE_LOOP('',(#295368,#295369,#295370,#295371)); #56792=EDGE_LOOP('',(#295372,#295373,#295374,#295375)); #56793=EDGE_LOOP('',(#295376,#295377,#295378,#295379)); #56794=EDGE_LOOP('',(#295380,#295381,#295382,#295383)); #56795=EDGE_LOOP('',(#295384,#295385,#295386,#295387)); #56796=EDGE_LOOP('',(#295388,#295389,#295390,#295391)); #56797=EDGE_LOOP('',(#295392,#295393,#295394,#295395)); #56798=EDGE_LOOP('',(#295396,#295397,#295398,#295399)); #56799=EDGE_LOOP('',(#295400,#295401,#295402,#295403)); #56800=EDGE_LOOP('',(#295404,#295405,#295406,#295407)); #56801=EDGE_LOOP('',(#295408,#295409,#295410,#295411)); #56802=EDGE_LOOP('',(#295412,#295413,#295414,#295415)); #56803=EDGE_LOOP('',(#295416,#295417,#295418,#295419)); #56804=EDGE_LOOP('',(#295420,#295421,#295422,#295423)); #56805=EDGE_LOOP('',(#295424,#295425,#295426,#295427)); #56806=EDGE_LOOP('',(#295428,#295429,#295430,#295431)); #56807=EDGE_LOOP('',(#295432,#295433,#295434,#295435)); #56808=EDGE_LOOP('',(#295436,#295437,#295438,#295439)); #56809=EDGE_LOOP('',(#295440,#295441,#295442,#295443)); #56810=EDGE_LOOP('',(#295444,#295445,#295446,#295447)); #56811=EDGE_LOOP('',(#295448,#295449,#295450,#295451)); #56812=EDGE_LOOP('',(#295452,#295453,#295454,#295455)); #56813=EDGE_LOOP('',(#295456,#295457,#295458,#295459)); #56814=EDGE_LOOP('',(#295460,#295461,#295462,#295463)); #56815=EDGE_LOOP('',(#295464,#295465,#295466,#295467)); #56816=EDGE_LOOP('',(#295468,#295469,#295470,#295471)); #56817=EDGE_LOOP('',(#295472,#295473,#295474,#295475)); #56818=EDGE_LOOP('',(#295476,#295477,#295478,#295479)); #56819=EDGE_LOOP('',(#295480,#295481,#295482,#295483)); #56820=EDGE_LOOP('',(#295484,#295485,#295486,#295487)); #56821=EDGE_LOOP('',(#295488,#295489,#295490,#295491)); #56822=EDGE_LOOP('',(#295492,#295493,#295494,#295495)); #56823=EDGE_LOOP('',(#295496,#295497,#295498,#295499)); #56824=EDGE_LOOP('',(#295500,#295501,#295502,#295503)); #56825=EDGE_LOOP('',(#295504,#295505,#295506,#295507)); #56826=EDGE_LOOP('',(#295508,#295509,#295510,#295511)); #56827=EDGE_LOOP('',(#295512,#295513,#295514,#295515)); #56828=EDGE_LOOP('',(#295516,#295517,#295518,#295519)); #56829=EDGE_LOOP('',(#295520,#295521,#295522,#295523)); #56830=EDGE_LOOP('',(#295524,#295525,#295526,#295527)); #56831=EDGE_LOOP('',(#295528,#295529,#295530,#295531)); #56832=EDGE_LOOP('',(#295532,#295533,#295534,#295535)); #56833=EDGE_LOOP('',(#295536,#295537,#295538,#295539)); #56834=EDGE_LOOP('',(#295540,#295541,#295542,#295543)); #56835=EDGE_LOOP('',(#295544,#295545,#295546,#295547)); #56836=EDGE_LOOP('',(#295548,#295549,#295550,#295551)); #56837=EDGE_LOOP('',(#295552,#295553,#295554,#295555)); #56838=EDGE_LOOP('',(#295556,#295557,#295558,#295559)); #56839=EDGE_LOOP('',(#295560,#295561,#295562,#295563)); #56840=EDGE_LOOP('',(#295564,#295565,#295566,#295567)); #56841=EDGE_LOOP('',(#295568,#295569,#295570,#295571)); #56842=EDGE_LOOP('',(#295572,#295573,#295574,#295575)); #56843=EDGE_LOOP('',(#295576,#295577,#295578,#295579)); #56844=EDGE_LOOP('',(#295580,#295581,#295582,#295583)); #56845=EDGE_LOOP('',(#295584,#295585,#295586,#295587)); #56846=EDGE_LOOP('',(#295588,#295589,#295590,#295591)); #56847=EDGE_LOOP('',(#295592,#295593,#295594,#295595)); #56848=EDGE_LOOP('',(#295596,#295597,#295598,#295599)); #56849=EDGE_LOOP('',(#295600,#295601,#295602,#295603)); #56850=EDGE_LOOP('',(#295604,#295605,#295606,#295607)); #56851=EDGE_LOOP('',(#295608,#295609,#295610,#295611)); #56852=EDGE_LOOP('',(#295612,#295613,#295614,#295615)); #56853=EDGE_LOOP('',(#295616,#295617,#295618,#295619)); #56854=EDGE_LOOP('',(#295620,#295621,#295622,#295623)); #56855=EDGE_LOOP('',(#295624,#295625,#295626,#295627)); #56856=EDGE_LOOP('',(#295628,#295629,#295630,#295631)); #56857=EDGE_LOOP('',(#295632,#295633,#295634,#295635)); #56858=EDGE_LOOP('',(#295636,#295637,#295638,#295639)); #56859=EDGE_LOOP('',(#295640,#295641,#295642,#295643)); #56860=EDGE_LOOP('',(#295644,#295645,#295646,#295647)); #56861=EDGE_LOOP('',(#295648,#295649,#295650,#295651)); #56862=EDGE_LOOP('',(#295652,#295653,#295654,#295655)); #56863=EDGE_LOOP('',(#295656,#295657,#295658,#295659)); #56864=EDGE_LOOP('',(#295660,#295661,#295662,#295663)); #56865=EDGE_LOOP('',(#295664,#295665,#295666,#295667)); #56866=EDGE_LOOP('',(#295668,#295669,#295670,#295671)); #56867=EDGE_LOOP('',(#295672,#295673,#295674,#295675)); #56868=EDGE_LOOP('',(#295676,#295677,#295678,#295679)); #56869=EDGE_LOOP('',(#295680,#295681,#295682,#295683)); #56870=EDGE_LOOP('',(#295684,#295685,#295686,#295687)); #56871=EDGE_LOOP('',(#295688,#295689,#295690,#295691)); #56872=EDGE_LOOP('',(#295692,#295693,#295694,#295695)); #56873=EDGE_LOOP('',(#295696,#295697,#295698,#295699)); #56874=EDGE_LOOP('',(#295700,#295701,#295702,#295703)); #56875=EDGE_LOOP('',(#295704,#295705,#295706,#295707)); #56876=EDGE_LOOP('',(#295708,#295709,#295710,#295711)); #56877=EDGE_LOOP('',(#295712,#295713,#295714,#295715)); #56878=EDGE_LOOP('',(#295716,#295717,#295718,#295719)); #56879=EDGE_LOOP('',(#295720,#295721,#295722,#295723)); #56880=EDGE_LOOP('',(#295724,#295725,#295726,#295727)); #56881=EDGE_LOOP('',(#295728,#295729,#295730,#295731)); #56882=EDGE_LOOP('',(#295732,#295733,#295734,#295735)); #56883=EDGE_LOOP('',(#295736,#295737,#295738,#295739)); #56884=EDGE_LOOP('',(#295740,#295741,#295742,#295743)); #56885=EDGE_LOOP('',(#295744,#295745,#295746,#295747)); #56886=EDGE_LOOP('',(#295748,#295749,#295750,#295751)); #56887=EDGE_LOOP('',(#295752,#295753,#295754,#295755)); #56888=EDGE_LOOP('',(#295756,#295757,#295758,#295759)); #56889=EDGE_LOOP('',(#295760,#295761,#295762,#295763)); #56890=EDGE_LOOP('',(#295764,#295765,#295766,#295767)); #56891=EDGE_LOOP('',(#295768,#295769,#295770,#295771)); #56892=EDGE_LOOP('',(#295772,#295773,#295774,#295775)); #56893=EDGE_LOOP('',(#295776,#295777,#295778,#295779)); #56894=EDGE_LOOP('',(#295780,#295781,#295782,#295783)); #56895=EDGE_LOOP('',(#295784,#295785,#295786,#295787)); #56896=EDGE_LOOP('',(#295788,#295789,#295790,#295791)); #56897=EDGE_LOOP('',(#295792,#295793,#295794,#295795)); #56898=EDGE_LOOP('',(#295796,#295797,#295798,#295799)); #56899=EDGE_LOOP('',(#295800,#295801,#295802,#295803)); #56900=EDGE_LOOP('',(#295804,#295805,#295806,#295807)); #56901=EDGE_LOOP('',(#295808,#295809,#295810,#295811)); #56902=EDGE_LOOP('',(#295812,#295813,#295814,#295815)); #56903=EDGE_LOOP('',(#295816,#295817,#295818,#295819)); #56904=EDGE_LOOP('',(#295820,#295821,#295822,#295823)); #56905=EDGE_LOOP('',(#295824,#295825,#295826,#295827)); #56906=EDGE_LOOP('',(#295828,#295829,#295830,#295831)); #56907=EDGE_LOOP('',(#295832,#295833,#295834,#295835)); #56908=EDGE_LOOP('',(#295836,#295837,#295838,#295839)); #56909=EDGE_LOOP('',(#295840,#295841,#295842,#295843)); #56910=EDGE_LOOP('',(#295844,#295845,#295846,#295847)); #56911=EDGE_LOOP('',(#295848,#295849,#295850,#295851)); #56912=EDGE_LOOP('',(#295852,#295853,#295854,#295855)); #56913=EDGE_LOOP('',(#295856,#295857,#295858,#295859)); #56914=EDGE_LOOP('',(#295860,#295861,#295862,#295863)); #56915=EDGE_LOOP('',(#295864,#295865,#295866,#295867)); #56916=EDGE_LOOP('',(#295868,#295869,#295870,#295871)); #56917=EDGE_LOOP('',(#295872,#295873,#295874,#295875)); #56918=EDGE_LOOP('',(#295876,#295877,#295878,#295879)); #56919=EDGE_LOOP('',(#295880,#295881,#295882,#295883)); #56920=EDGE_LOOP('',(#295884,#295885,#295886,#295887)); #56921=EDGE_LOOP('',(#295888,#295889,#295890,#295891)); #56922=EDGE_LOOP('',(#295892,#295893,#295894,#295895)); #56923=EDGE_LOOP('',(#295896,#295897,#295898,#295899)); #56924=EDGE_LOOP('',(#295900,#295901,#295902,#295903)); #56925=EDGE_LOOP('',(#295904,#295905,#295906,#295907)); #56926=EDGE_LOOP('',(#295908,#295909,#295910,#295911)); #56927=EDGE_LOOP('',(#295912,#295913,#295914,#295915)); #56928=EDGE_LOOP('',(#295916,#295917,#295918,#295919)); #56929=EDGE_LOOP('',(#295920,#295921,#295922,#295923)); #56930=EDGE_LOOP('',(#295924,#295925,#295926,#295927)); #56931=EDGE_LOOP('',(#295928,#295929,#295930,#295931)); #56932=EDGE_LOOP('',(#295932,#295933,#295934,#295935)); #56933=EDGE_LOOP('',(#295936,#295937,#295938,#295939)); #56934=EDGE_LOOP('',(#295940,#295941,#295942,#295943)); #56935=EDGE_LOOP('',(#295944,#295945,#295946,#295947)); #56936=EDGE_LOOP('',(#295948,#295949,#295950,#295951)); #56937=EDGE_LOOP('',(#295952,#295953,#295954,#295955)); #56938=EDGE_LOOP('',(#295956,#295957,#295958,#295959)); #56939=EDGE_LOOP('',(#295960,#295961,#295962,#295963)); #56940=EDGE_LOOP('',(#295964,#295965,#295966,#295967)); #56941=EDGE_LOOP('',(#295968,#295969,#295970,#295971)); #56942=EDGE_LOOP('',(#295972,#295973,#295974,#295975)); #56943=EDGE_LOOP('',(#295976,#295977,#295978,#295979)); #56944=EDGE_LOOP('',(#295980,#295981,#295982,#295983)); #56945=EDGE_LOOP('',(#295984,#295985,#295986,#295987)); #56946=EDGE_LOOP('',(#295988,#295989,#295990,#295991)); #56947=EDGE_LOOP('',(#295992,#295993,#295994,#295995)); #56948=EDGE_LOOP('',(#295996,#295997,#295998,#295999)); #56949=EDGE_LOOP('',(#296000,#296001,#296002,#296003)); #56950=EDGE_LOOP('',(#296004,#296005,#296006,#296007)); #56951=EDGE_LOOP('',(#296008,#296009,#296010,#296011)); #56952=EDGE_LOOP('',(#296012,#296013,#296014,#296015)); #56953=EDGE_LOOP('',(#296016,#296017,#296018,#296019)); #56954=EDGE_LOOP('',(#296020,#296021,#296022,#296023)); #56955=EDGE_LOOP('',(#296024,#296025,#296026,#296027)); #56956=EDGE_LOOP('',(#296028,#296029,#296030,#296031)); #56957=EDGE_LOOP('',(#296032,#296033,#296034,#296035)); #56958=EDGE_LOOP('',(#296036,#296037,#296038,#296039)); #56959=EDGE_LOOP('',(#296040,#296041,#296042,#296043)); #56960=EDGE_LOOP('',(#296044,#296045,#296046,#296047)); #56961=EDGE_LOOP('',(#296048,#296049,#296050,#296051)); #56962=EDGE_LOOP('',(#296052,#296053,#296054,#296055)); #56963=EDGE_LOOP('',(#296056,#296057,#296058,#296059)); #56964=EDGE_LOOP('',(#296060,#296061,#296062,#296063)); #56965=EDGE_LOOP('',(#296064,#296065,#296066,#296067)); #56966=EDGE_LOOP('',(#296068,#296069,#296070,#296071)); #56967=EDGE_LOOP('',(#296072,#296073,#296074,#296075)); #56968=EDGE_LOOP('',(#296076,#296077,#296078,#296079)); #56969=EDGE_LOOP('',(#296080,#296081,#296082,#296083)); #56970=EDGE_LOOP('',(#296084,#296085,#296086,#296087)); #56971=EDGE_LOOP('',(#296088,#296089,#296090,#296091)); #56972=EDGE_LOOP('',(#296092,#296093,#296094,#296095)); #56973=EDGE_LOOP('',(#296096,#296097,#296098,#296099)); #56974=EDGE_LOOP('',(#296100,#296101,#296102,#296103)); #56975=EDGE_LOOP('',(#296104,#296105,#296106,#296107)); #56976=EDGE_LOOP('',(#296108,#296109,#296110,#296111)); #56977=EDGE_LOOP('',(#296112,#296113,#296114,#296115)); #56978=EDGE_LOOP('',(#296116,#296117,#296118,#296119)); #56979=EDGE_LOOP('',(#296120,#296121,#296122,#296123)); #56980=EDGE_LOOP('',(#296124,#296125,#296126,#296127)); #56981=EDGE_LOOP('',(#296128,#296129,#296130,#296131)); #56982=EDGE_LOOP('',(#296132,#296133,#296134,#296135)); #56983=EDGE_LOOP('',(#296136,#296137,#296138,#296139)); #56984=EDGE_LOOP('',(#296140,#296141,#296142,#296143)); #56985=EDGE_LOOP('',(#296144,#296145,#296146,#296147)); #56986=EDGE_LOOP('',(#296148,#296149,#296150,#296151)); #56987=EDGE_LOOP('',(#296152,#296153,#296154,#296155)); #56988=EDGE_LOOP('',(#296156,#296157,#296158,#296159)); #56989=EDGE_LOOP('',(#296160,#296161,#296162,#296163)); #56990=EDGE_LOOP('',(#296164,#296165,#296166,#296167)); #56991=EDGE_LOOP('',(#296168,#296169,#296170,#296171)); #56992=EDGE_LOOP('',(#296172,#296173,#296174,#296175)); #56993=EDGE_LOOP('',(#296176,#296177,#296178,#296179)); #56994=EDGE_LOOP('',(#296180,#296181,#296182,#296183)); #56995=EDGE_LOOP('',(#296184,#296185,#296186,#296187)); #56996=EDGE_LOOP('',(#296188,#296189,#296190,#296191)); #56997=EDGE_LOOP('',(#296192,#296193,#296194,#296195)); #56998=EDGE_LOOP('',(#296196,#296197,#296198,#296199)); #56999=EDGE_LOOP('',(#296200,#296201,#296202,#296203)); #57000=EDGE_LOOP('',(#296204,#296205,#296206,#296207)); #57001=EDGE_LOOP('',(#296208,#296209,#296210,#296211)); #57002=EDGE_LOOP('',(#296212,#296213,#296214,#296215)); #57003=EDGE_LOOP('',(#296216,#296217,#296218,#296219)); #57004=EDGE_LOOP('',(#296220,#296221,#296222,#296223)); #57005=EDGE_LOOP('',(#296224,#296225,#296226,#296227)); #57006=EDGE_LOOP('',(#296228,#296229,#296230,#296231)); #57007=EDGE_LOOP('',(#296232,#296233,#296234,#296235)); #57008=EDGE_LOOP('',(#296236,#296237,#296238,#296239)); #57009=EDGE_LOOP('',(#296240,#296241,#296242,#296243)); #57010=EDGE_LOOP('',(#296244,#296245,#296246,#296247)); #57011=EDGE_LOOP('',(#296248,#296249,#296250,#296251)); #57012=EDGE_LOOP('',(#296252,#296253,#296254,#296255)); #57013=EDGE_LOOP('',(#296256,#296257,#296258,#296259)); #57014=EDGE_LOOP('',(#296260,#296261,#296262,#296263)); #57015=EDGE_LOOP('',(#296264,#296265,#296266,#296267)); #57016=EDGE_LOOP('',(#296268,#296269,#296270,#296271)); #57017=EDGE_LOOP('',(#296272,#296273,#296274,#296275)); #57018=EDGE_LOOP('',(#296276,#296277,#296278,#296279)); #57019=EDGE_LOOP('',(#296280,#296281,#296282,#296283)); #57020=EDGE_LOOP('',(#296284,#296285,#296286,#296287)); #57021=EDGE_LOOP('',(#296288,#296289,#296290,#296291)); #57022=EDGE_LOOP('',(#296292,#296293,#296294,#296295)); #57023=EDGE_LOOP('',(#296296,#296297,#296298,#296299)); #57024=EDGE_LOOP('',(#296300,#296301,#296302,#296303)); #57025=EDGE_LOOP('',(#296304,#296305,#296306,#296307)); #57026=EDGE_LOOP('',(#296308,#296309,#296310,#296311)); #57027=EDGE_LOOP('',(#296312,#296313,#296314,#296315)); #57028=EDGE_LOOP('',(#296316,#296317,#296318,#296319)); #57029=EDGE_LOOP('',(#296320,#296321,#296322,#296323)); #57030=EDGE_LOOP('',(#296324,#296325,#296326,#296327)); #57031=EDGE_LOOP('',(#296328,#296329,#296330,#296331)); #57032=EDGE_LOOP('',(#296332,#296333,#296334,#296335)); #57033=EDGE_LOOP('',(#296336,#296337,#296338,#296339)); #57034=EDGE_LOOP('',(#296340,#296341,#296342,#296343)); #57035=EDGE_LOOP('',(#296344,#296345,#296346,#296347)); #57036=EDGE_LOOP('',(#296348,#296349,#296350,#296351)); #57037=EDGE_LOOP('',(#296352,#296353,#296354,#296355)); #57038=EDGE_LOOP('',(#296356,#296357,#296358,#296359)); #57039=EDGE_LOOP('',(#296360,#296361,#296362,#296363)); #57040=EDGE_LOOP('',(#296364,#296365,#296366,#296367)); #57041=EDGE_LOOP('',(#296368,#296369,#296370,#296371)); #57042=EDGE_LOOP('',(#296372,#296373,#296374,#296375)); #57043=EDGE_LOOP('',(#296376,#296377,#296378,#296379)); #57044=EDGE_LOOP('',(#296380,#296381,#296382,#296383)); #57045=EDGE_LOOP('',(#296384,#296385,#296386,#296387)); #57046=EDGE_LOOP('',(#296388,#296389,#296390,#296391)); #57047=EDGE_LOOP('',(#296392,#296393,#296394,#296395)); #57048=EDGE_LOOP('',(#296396,#296397,#296398,#296399)); #57049=EDGE_LOOP('',(#296400,#296401,#296402,#296403)); #57050=EDGE_LOOP('',(#296404,#296405,#296406,#296407)); #57051=EDGE_LOOP('',(#296408,#296409,#296410,#296411)); #57052=EDGE_LOOP('',(#296412,#296413,#296414,#296415)); #57053=EDGE_LOOP('',(#296416,#296417,#296418,#296419)); #57054=EDGE_LOOP('',(#296420,#296421,#296422,#296423)); #57055=EDGE_LOOP('',(#296424,#296425,#296426,#296427)); #57056=EDGE_LOOP('',(#296428,#296429,#296430,#296431)); #57057=EDGE_LOOP('',(#296432,#296433,#296434,#296435)); #57058=EDGE_LOOP('',(#296436,#296437,#296438,#296439)); #57059=EDGE_LOOP('',(#296440,#296441,#296442,#296443)); #57060=EDGE_LOOP('',(#296444,#296445,#296446,#296447)); #57061=EDGE_LOOP('',(#296448,#296449,#296450,#296451)); #57062=EDGE_LOOP('',(#296452,#296453,#296454,#296455)); #57063=EDGE_LOOP('',(#296456,#296457,#296458,#296459)); #57064=EDGE_LOOP('',(#296460,#296461,#296462,#296463)); #57065=EDGE_LOOP('',(#296464,#296465,#296466,#296467)); #57066=EDGE_LOOP('',(#296468)); #57067=EDGE_LOOP('',(#296469)); #57068=EDGE_LOOP('',(#296470)); #57069=EDGE_LOOP('',(#296471)); #57070=EDGE_LOOP('',(#296472)); #57071=EDGE_LOOP('',(#296473)); #57072=EDGE_LOOP('',(#296474)); #57073=EDGE_LOOP('',(#296475)); #57074=EDGE_LOOP('',(#296476)); #57075=EDGE_LOOP('',(#296477)); #57076=EDGE_LOOP('',(#296478)); #57077=EDGE_LOOP('',(#296479)); #57078=EDGE_LOOP('',(#296480)); #57079=EDGE_LOOP('',(#296481)); #57080=EDGE_LOOP('',(#296482)); #57081=EDGE_LOOP('',(#296483)); #57082=EDGE_LOOP('',(#296484)); #57083=EDGE_LOOP('',(#296485)); #57084=EDGE_LOOP('',(#296486)); #57085=EDGE_LOOP('',(#296487)); #57086=EDGE_LOOP('',(#296488)); #57087=EDGE_LOOP('',(#296489)); #57088=EDGE_LOOP('',(#296490)); #57089=EDGE_LOOP('',(#296491)); #57090=EDGE_LOOP('',(#296492)); #57091=EDGE_LOOP('',(#296493)); #57092=EDGE_LOOP('',(#296494)); #57093=EDGE_LOOP('',(#296495)); #57094=EDGE_LOOP('',(#296496)); #57095=EDGE_LOOP('',(#296497)); #57096=EDGE_LOOP('',(#296498)); #57097=EDGE_LOOP('',(#296499)); #57098=EDGE_LOOP('',(#296500)); #57099=EDGE_LOOP('',(#296501)); #57100=EDGE_LOOP('',(#296502)); #57101=EDGE_LOOP('',(#296503)); #57102=EDGE_LOOP('',(#296504)); #57103=EDGE_LOOP('',(#296505)); #57104=EDGE_LOOP('',(#296506)); #57105=EDGE_LOOP('',(#296507)); #57106=EDGE_LOOP('',(#296508,#296509,#296510,#296511)); #57107=EDGE_LOOP('',(#296512)); #57108=EDGE_LOOP('',(#296513,#296514,#296515,#296516)); #57109=EDGE_LOOP('',(#296517)); #57110=EDGE_LOOP('',(#296518)); #57111=EDGE_LOOP('',(#296519)); #57112=EDGE_LOOP('',(#296520)); #57113=EDGE_LOOP('',(#296521)); #57114=EDGE_LOOP('',(#296522)); #57115=EDGE_LOOP('',(#296523)); #57116=EDGE_LOOP('',(#296524)); #57117=EDGE_LOOP('',(#296525)); #57118=EDGE_LOOP('',(#296526)); #57119=EDGE_LOOP('',(#296527)); #57120=EDGE_LOOP('',(#296528,#296529,#296530,#296531)); #57121=EDGE_LOOP('',(#296532)); #57122=EDGE_LOOP('',(#296533,#296534,#296535,#296536)); #57123=EDGE_LOOP('',(#296537)); #57124=EDGE_LOOP('',(#296538,#296539,#296540,#296541)); #57125=EDGE_LOOP('',(#296542)); #57126=EDGE_LOOP('',(#296543,#296544,#296545,#296546)); #57127=EDGE_LOOP('',(#296547)); #57128=EDGE_LOOP('',(#296548)); #57129=EDGE_LOOP('',(#296549)); #57130=EDGE_LOOP('',(#296550)); #57131=EDGE_LOOP('',(#296551)); #57132=EDGE_LOOP('',(#296552)); #57133=EDGE_LOOP('',(#296553)); #57134=EDGE_LOOP('',(#296554)); #57135=EDGE_LOOP('',(#296555)); #57136=EDGE_LOOP('',(#296556)); #57137=EDGE_LOOP('',(#296557)); #57138=EDGE_LOOP('',(#296558)); #57139=EDGE_LOOP('',(#296559)); #57140=EDGE_LOOP('',(#296560)); #57141=EDGE_LOOP('',(#296561)); #57142=EDGE_LOOP('',(#296562)); #57143=EDGE_LOOP('',(#296563)); #57144=EDGE_LOOP('',(#296564)); #57145=EDGE_LOOP('',(#296565)); #57146=EDGE_LOOP('',(#296566)); #57147=EDGE_LOOP('',(#296567)); #57148=EDGE_LOOP('',(#296568)); #57149=EDGE_LOOP('',(#296569)); #57150=EDGE_LOOP('',(#296570)); #57151=EDGE_LOOP('',(#296571)); #57152=EDGE_LOOP('',(#296572)); #57153=EDGE_LOOP('',(#296573)); #57154=EDGE_LOOP('',(#296574)); #57155=EDGE_LOOP('',(#296575)); #57156=EDGE_LOOP('',(#296576)); #57157=EDGE_LOOP('',(#296577)); #57158=EDGE_LOOP('',(#296578)); #57159=EDGE_LOOP('',(#296579)); #57160=EDGE_LOOP('',(#296580)); #57161=EDGE_LOOP('',(#296581)); #57162=EDGE_LOOP('',(#296582)); #57163=EDGE_LOOP('',(#296583)); #57164=EDGE_LOOP('',(#296584)); #57165=EDGE_LOOP('',(#296585)); #57166=EDGE_LOOP('',(#296586)); #57167=EDGE_LOOP('',(#296587)); #57168=EDGE_LOOP('',(#296588)); #57169=EDGE_LOOP('',(#296589)); #57170=EDGE_LOOP('',(#296590)); #57171=EDGE_LOOP('',(#296591)); #57172=EDGE_LOOP('',(#296592)); #57173=EDGE_LOOP('',(#296593)); #57174=EDGE_LOOP('',(#296594)); #57175=EDGE_LOOP('',(#296595)); #57176=EDGE_LOOP('',(#296596)); #57177=EDGE_LOOP('',(#296597)); #57178=EDGE_LOOP('',(#296598)); #57179=EDGE_LOOP('',(#296599)); #57180=EDGE_LOOP('',(#296600)); #57181=EDGE_LOOP('',(#296601)); #57182=EDGE_LOOP('',(#296602)); #57183=EDGE_LOOP('',(#296603)); #57184=EDGE_LOOP('',(#296604)); #57185=EDGE_LOOP('',(#296605)); #57186=EDGE_LOOP('',(#296606)); #57187=EDGE_LOOP('',(#296607)); #57188=EDGE_LOOP('',(#296608)); #57189=EDGE_LOOP('',(#296609)); #57190=EDGE_LOOP('',(#296610)); #57191=EDGE_LOOP('',(#296611)); #57192=EDGE_LOOP('',(#296612)); #57193=EDGE_LOOP('',(#296613)); #57194=EDGE_LOOP('',(#296614)); #57195=EDGE_LOOP('',(#296615)); #57196=EDGE_LOOP('',(#296616)); #57197=EDGE_LOOP('',(#296617)); #57198=EDGE_LOOP('',(#296618)); #57199=EDGE_LOOP('',(#296619)); #57200=EDGE_LOOP('',(#296620)); #57201=EDGE_LOOP('',(#296621)); #57202=EDGE_LOOP('',(#296622)); #57203=EDGE_LOOP('',(#296623)); #57204=EDGE_LOOP('',(#296624)); #57205=EDGE_LOOP('',(#296625)); #57206=EDGE_LOOP('',(#296626)); #57207=EDGE_LOOP('',(#296627)); #57208=EDGE_LOOP('',(#296628)); #57209=EDGE_LOOP('',(#296629)); #57210=EDGE_LOOP('',(#296630)); #57211=EDGE_LOOP('',(#296631)); #57212=EDGE_LOOP('',(#296632)); #57213=EDGE_LOOP('',(#296633)); #57214=EDGE_LOOP('',(#296634)); #57215=EDGE_LOOP('',(#296635)); #57216=EDGE_LOOP('',(#296636)); #57217=EDGE_LOOP('',(#296637)); #57218=EDGE_LOOP('',(#296638)); #57219=EDGE_LOOP('',(#296639)); #57220=EDGE_LOOP('',(#296640)); #57221=EDGE_LOOP('',(#296641)); #57222=EDGE_LOOP('',(#296642)); #57223=EDGE_LOOP('',(#296643)); #57224=EDGE_LOOP('',(#296644)); #57225=EDGE_LOOP('',(#296645)); #57226=EDGE_LOOP('',(#296646)); #57227=EDGE_LOOP('',(#296647)); #57228=EDGE_LOOP('',(#296648)); #57229=EDGE_LOOP('',(#296649)); #57230=EDGE_LOOP('',(#296650)); #57231=EDGE_LOOP('',(#296651)); #57232=EDGE_LOOP('',(#296652)); #57233=EDGE_LOOP('',(#296653)); #57234=EDGE_LOOP('',(#296654)); #57235=EDGE_LOOP('',(#296655)); #57236=EDGE_LOOP('',(#296656)); #57237=EDGE_LOOP('',(#296657)); #57238=EDGE_LOOP('',(#296658)); #57239=EDGE_LOOP('',(#296659)); #57240=EDGE_LOOP('',(#296660)); #57241=EDGE_LOOP('',(#296661)); #57242=EDGE_LOOP('',(#296662)); #57243=EDGE_LOOP('',(#296663)); #57244=EDGE_LOOP('',(#296664)); #57245=EDGE_LOOP('',(#296665)); #57246=EDGE_LOOP('',(#296666)); #57247=EDGE_LOOP('',(#296667)); #57248=EDGE_LOOP('',(#296668)); #57249=EDGE_LOOP('',(#296669)); #57250=EDGE_LOOP('',(#296670)); #57251=EDGE_LOOP('',(#296671)); #57252=EDGE_LOOP('',(#296672)); #57253=EDGE_LOOP('',(#296673)); #57254=EDGE_LOOP('',(#296674)); #57255=EDGE_LOOP('',(#296675)); #57256=EDGE_LOOP('',(#296676)); #57257=EDGE_LOOP('',(#296677)); #57258=EDGE_LOOP('',(#296678)); #57259=EDGE_LOOP('',(#296679)); #57260=EDGE_LOOP('',(#296680)); #57261=EDGE_LOOP('',(#296681)); #57262=EDGE_LOOP('',(#296682)); #57263=EDGE_LOOP('',(#296683)); #57264=EDGE_LOOP('',(#296684)); #57265=EDGE_LOOP('',(#296685)); #57266=EDGE_LOOP('',(#296686)); #57267=EDGE_LOOP('',(#296687)); #57268=EDGE_LOOP('',(#296688)); #57269=EDGE_LOOP('',(#296689)); #57270=EDGE_LOOP('',(#296690)); #57271=EDGE_LOOP('',(#296691)); #57272=EDGE_LOOP('',(#296692)); #57273=EDGE_LOOP('',(#296693)); #57274=EDGE_LOOP('',(#296694)); #57275=EDGE_LOOP('',(#296695)); #57276=EDGE_LOOP('',(#296696)); #57277=EDGE_LOOP('',(#296697)); #57278=EDGE_LOOP('',(#296698)); #57279=EDGE_LOOP('',(#296699)); #57280=EDGE_LOOP('',(#296700)); #57281=EDGE_LOOP('',(#296701)); #57282=EDGE_LOOP('',(#296702)); #57283=EDGE_LOOP('',(#296703)); #57284=EDGE_LOOP('',(#296704)); #57285=EDGE_LOOP('',(#296705)); #57286=EDGE_LOOP('',(#296706)); #57287=EDGE_LOOP('',(#296707)); #57288=EDGE_LOOP('',(#296708)); #57289=EDGE_LOOP('',(#296709)); #57290=EDGE_LOOP('',(#296710)); #57291=EDGE_LOOP('',(#296711)); #57292=EDGE_LOOP('',(#296712)); #57293=EDGE_LOOP('',(#296713)); #57294=EDGE_LOOP('',(#296714)); #57295=EDGE_LOOP('',(#296715)); #57296=EDGE_LOOP('',(#296716)); #57297=EDGE_LOOP('',(#296717)); #57298=EDGE_LOOP('',(#296718)); #57299=EDGE_LOOP('',(#296719)); #57300=EDGE_LOOP('',(#296720)); #57301=EDGE_LOOP('',(#296721)); #57302=EDGE_LOOP('',(#296722)); #57303=EDGE_LOOP('',(#296723)); #57304=EDGE_LOOP('',(#296724)); #57305=EDGE_LOOP('',(#296725)); #57306=EDGE_LOOP('',(#296726)); #57307=EDGE_LOOP('',(#296727)); #57308=EDGE_LOOP('',(#296728)); #57309=EDGE_LOOP('',(#296729)); #57310=EDGE_LOOP('',(#296730)); #57311=EDGE_LOOP('',(#296731)); #57312=EDGE_LOOP('',(#296732)); #57313=EDGE_LOOP('',(#296733)); #57314=EDGE_LOOP('',(#296734)); #57315=EDGE_LOOP('',(#296735)); #57316=EDGE_LOOP('',(#296736)); #57317=EDGE_LOOP('',(#296737)); #57318=EDGE_LOOP('',(#296738)); #57319=EDGE_LOOP('',(#296739)); #57320=EDGE_LOOP('',(#296740)); #57321=EDGE_LOOP('',(#296741)); #57322=EDGE_LOOP('',(#296742)); #57323=EDGE_LOOP('',(#296743)); #57324=EDGE_LOOP('',(#296744)); #57325=EDGE_LOOP('',(#296745)); #57326=EDGE_LOOP('',(#296746)); #57327=EDGE_LOOP('',(#296747)); #57328=EDGE_LOOP('',(#296748)); #57329=EDGE_LOOP('',(#296749)); #57330=EDGE_LOOP('',(#296750)); #57331=EDGE_LOOP('',(#296751)); #57332=EDGE_LOOP('',(#296752)); #57333=EDGE_LOOP('',(#296753)); #57334=EDGE_LOOP('',(#296754)); #57335=EDGE_LOOP('',(#296755)); #57336=EDGE_LOOP('',(#296756)); #57337=EDGE_LOOP('',(#296757)); #57338=EDGE_LOOP('',(#296758)); #57339=EDGE_LOOP('',(#296759)); #57340=EDGE_LOOP('',(#296760)); #57341=EDGE_LOOP('',(#296761)); #57342=EDGE_LOOP('',(#296762)); #57343=EDGE_LOOP('',(#296763)); #57344=EDGE_LOOP('',(#296764)); #57345=EDGE_LOOP('',(#296765)); #57346=EDGE_LOOP('',(#296766)); #57347=EDGE_LOOP('',(#296767)); #57348=EDGE_LOOP('',(#296768)); #57349=EDGE_LOOP('',(#296769)); #57350=EDGE_LOOP('',(#296770)); #57351=EDGE_LOOP('',(#296771)); #57352=EDGE_LOOP('',(#296772)); #57353=EDGE_LOOP('',(#296773)); #57354=EDGE_LOOP('',(#296774)); #57355=EDGE_LOOP('',(#296775)); #57356=EDGE_LOOP('',(#296776)); #57357=EDGE_LOOP('',(#296777)); #57358=EDGE_LOOP('',(#296778)); #57359=EDGE_LOOP('',(#296779)); #57360=EDGE_LOOP('',(#296780)); #57361=EDGE_LOOP('',(#296781)); #57362=EDGE_LOOP('',(#296782)); #57363=EDGE_LOOP('',(#296783)); #57364=EDGE_LOOP('',(#296784)); #57365=EDGE_LOOP('',(#296785)); #57366=EDGE_LOOP('',(#296786)); #57367=EDGE_LOOP('',(#296787)); #57368=EDGE_LOOP('',(#296788)); #57369=EDGE_LOOP('',(#296789)); #57370=EDGE_LOOP('',(#296790)); #57371=EDGE_LOOP('',(#296791)); #57372=EDGE_LOOP('',(#296792)); #57373=EDGE_LOOP('',(#296793)); #57374=EDGE_LOOP('',(#296794)); #57375=EDGE_LOOP('',(#296795)); #57376=EDGE_LOOP('',(#296796)); #57377=EDGE_LOOP('',(#296797)); #57378=EDGE_LOOP('',(#296798)); #57379=EDGE_LOOP('',(#296799)); #57380=EDGE_LOOP('',(#296800)); #57381=EDGE_LOOP('',(#296801)); #57382=EDGE_LOOP('',(#296802)); #57383=EDGE_LOOP('',(#296803)); #57384=EDGE_LOOP('',(#296804)); #57385=EDGE_LOOP('',(#296805)); #57386=EDGE_LOOP('',(#296806)); #57387=EDGE_LOOP('',(#296807)); #57388=EDGE_LOOP('',(#296808)); #57389=EDGE_LOOP('',(#296809)); #57390=EDGE_LOOP('',(#296810)); #57391=EDGE_LOOP('',(#296811)); #57392=EDGE_LOOP('',(#296812)); #57393=EDGE_LOOP('',(#296813)); #57394=EDGE_LOOP('',(#296814)); #57395=EDGE_LOOP('',(#296815)); #57396=EDGE_LOOP('',(#296816)); #57397=EDGE_LOOP('',(#296817)); #57398=EDGE_LOOP('',(#296818)); #57399=EDGE_LOOP('',(#296819)); #57400=EDGE_LOOP('',(#296820)); #57401=EDGE_LOOP('',(#296821)); #57402=EDGE_LOOP('',(#296822)); #57403=EDGE_LOOP('',(#296823)); #57404=EDGE_LOOP('',(#296824)); #57405=EDGE_LOOP('',(#296825)); #57406=EDGE_LOOP('',(#296826)); #57407=EDGE_LOOP('',(#296827)); #57408=EDGE_LOOP('',(#296828)); #57409=EDGE_LOOP('',(#296829)); #57410=EDGE_LOOP('',(#296830)); #57411=EDGE_LOOP('',(#296831)); #57412=EDGE_LOOP('',(#296832)); #57413=EDGE_LOOP('',(#296833)); #57414=EDGE_LOOP('',(#296834)); #57415=EDGE_LOOP('',(#296835)); #57416=EDGE_LOOP('',(#296836)); #57417=EDGE_LOOP('',(#296837)); #57418=EDGE_LOOP('',(#296838)); #57419=EDGE_LOOP('',(#296839)); #57420=EDGE_LOOP('',(#296840)); #57421=EDGE_LOOP('',(#296841)); #57422=EDGE_LOOP('',(#296842)); #57423=EDGE_LOOP('',(#296843)); #57424=EDGE_LOOP('',(#296844)); #57425=EDGE_LOOP('',(#296845)); #57426=EDGE_LOOP('',(#296846)); #57427=EDGE_LOOP('',(#296847)); #57428=EDGE_LOOP('',(#296848)); #57429=EDGE_LOOP('',(#296849)); #57430=EDGE_LOOP('',(#296850)); #57431=EDGE_LOOP('',(#296851)); #57432=EDGE_LOOP('',(#296852)); #57433=EDGE_LOOP('',(#296853)); #57434=EDGE_LOOP('',(#296854)); #57435=EDGE_LOOP('',(#296855)); #57436=EDGE_LOOP('',(#296856)); #57437=EDGE_LOOP('',(#296857)); #57438=EDGE_LOOP('',(#296858)); #57439=EDGE_LOOP('',(#296859,#296860,#296861,#296862)); #57440=EDGE_LOOP('',(#296863)); #57441=EDGE_LOOP('',(#296864,#296865,#296866,#296867)); #57442=EDGE_LOOP('',(#296868)); #57443=EDGE_LOOP('',(#296869)); #57444=EDGE_LOOP('',(#296870)); #57445=EDGE_LOOP('',(#296871)); #57446=EDGE_LOOP('',(#296872)); #57447=EDGE_LOOP('',(#296873)); #57448=EDGE_LOOP('',(#296874)); #57449=EDGE_LOOP('',(#296875)); #57450=EDGE_LOOP('',(#296876)); #57451=EDGE_LOOP('',(#296877)); #57452=EDGE_LOOP('',(#296878)); #57453=EDGE_LOOP('',(#296879,#296880,#296881,#296882)); #57454=EDGE_LOOP('',(#296883)); #57455=EDGE_LOOP('',(#296884,#296885,#296886,#296887)); #57456=EDGE_LOOP('',(#296888)); #57457=EDGE_LOOP('',(#296889,#296890,#296891,#296892)); #57458=EDGE_LOOP('',(#296893)); #57459=EDGE_LOOP('',(#296894,#296895,#296896,#296897)); #57460=EDGE_LOOP('',(#296898)); #57461=EDGE_LOOP('',(#296899)); #57462=EDGE_LOOP('',(#296900)); #57463=EDGE_LOOP('',(#296901)); #57464=EDGE_LOOP('',(#296902)); #57465=EDGE_LOOP('',(#296903)); #57466=EDGE_LOOP('',(#296904)); #57467=EDGE_LOOP('',(#296905)); #57468=EDGE_LOOP('',(#296906)); #57469=EDGE_LOOP('',(#296907)); #57470=EDGE_LOOP('',(#296908)); #57471=EDGE_LOOP('',(#296909)); #57472=EDGE_LOOP('',(#296910)); #57473=EDGE_LOOP('',(#296911)); #57474=EDGE_LOOP('',(#296912)); #57475=EDGE_LOOP('',(#296913)); #57476=EDGE_LOOP('',(#296914)); #57477=EDGE_LOOP('',(#296915)); #57478=EDGE_LOOP('',(#296916)); #57479=EDGE_LOOP('',(#296917)); #57480=EDGE_LOOP('',(#296918)); #57481=EDGE_LOOP('',(#296919)); #57482=EDGE_LOOP('',(#296920)); #57483=EDGE_LOOP('',(#296921)); #57484=EDGE_LOOP('',(#296922)); #57485=EDGE_LOOP('',(#296923)); #57486=EDGE_LOOP('',(#296924)); #57487=EDGE_LOOP('',(#296925)); #57488=EDGE_LOOP('',(#296926)); #57489=EDGE_LOOP('',(#296927)); #57490=EDGE_LOOP('',(#296928)); #57491=EDGE_LOOP('',(#296929)); #57492=EDGE_LOOP('',(#296930)); #57493=EDGE_LOOP('',(#296931)); #57494=EDGE_LOOP('',(#296932)); #57495=EDGE_LOOP('',(#296933)); #57496=EDGE_LOOP('',(#296934)); #57497=EDGE_LOOP('',(#296935)); #57498=EDGE_LOOP('',(#296936)); #57499=EDGE_LOOP('',(#296937)); #57500=EDGE_LOOP('',(#296938)); #57501=EDGE_LOOP('',(#296939)); #57502=EDGE_LOOP('',(#296940)); #57503=EDGE_LOOP('',(#296941)); #57504=EDGE_LOOP('',(#296942)); #57505=EDGE_LOOP('',(#296943)); #57506=EDGE_LOOP('',(#296944)); #57507=EDGE_LOOP('',(#296945)); #57508=EDGE_LOOP('',(#296946)); #57509=EDGE_LOOP('',(#296947)); #57510=EDGE_LOOP('',(#296948)); #57511=EDGE_LOOP('',(#296949)); #57512=EDGE_LOOP('',(#296950)); #57513=EDGE_LOOP('',(#296951)); #57514=EDGE_LOOP('',(#296952)); #57515=EDGE_LOOP('',(#296953)); #57516=EDGE_LOOP('',(#296954)); #57517=EDGE_LOOP('',(#296955)); #57518=EDGE_LOOP('',(#296956)); #57519=EDGE_LOOP('',(#296957)); #57520=EDGE_LOOP('',(#296958)); #57521=EDGE_LOOP('',(#296959)); #57522=EDGE_LOOP('',(#296960)); #57523=EDGE_LOOP('',(#296961)); #57524=EDGE_LOOP('',(#296962)); #57525=EDGE_LOOP('',(#296963)); #57526=EDGE_LOOP('',(#296964)); #57527=EDGE_LOOP('',(#296965)); #57528=EDGE_LOOP('',(#296966)); #57529=EDGE_LOOP('',(#296967)); #57530=EDGE_LOOP('',(#296968)); #57531=EDGE_LOOP('',(#296969)); #57532=EDGE_LOOP('',(#296970)); #57533=EDGE_LOOP('',(#296971)); #57534=EDGE_LOOP('',(#296972)); #57535=EDGE_LOOP('',(#296973)); #57536=EDGE_LOOP('',(#296974)); #57537=EDGE_LOOP('',(#296975)); #57538=EDGE_LOOP('',(#296976)); #57539=EDGE_LOOP('',(#296977)); #57540=EDGE_LOOP('',(#296978)); #57541=EDGE_LOOP('',(#296979)); #57542=EDGE_LOOP('',(#296980)); #57543=EDGE_LOOP('',(#296981)); #57544=EDGE_LOOP('',(#296982)); #57545=EDGE_LOOP('',(#296983)); #57546=EDGE_LOOP('',(#296984)); #57547=EDGE_LOOP('',(#296985)); #57548=EDGE_LOOP('',(#296986)); #57549=EDGE_LOOP('',(#296987)); #57550=EDGE_LOOP('',(#296988)); #57551=EDGE_LOOP('',(#296989)); #57552=EDGE_LOOP('',(#296990)); #57553=EDGE_LOOP('',(#296991)); #57554=EDGE_LOOP('',(#296992)); #57555=EDGE_LOOP('',(#296993)); #57556=EDGE_LOOP('',(#296994)); #57557=EDGE_LOOP('',(#296995)); #57558=EDGE_LOOP('',(#296996)); #57559=EDGE_LOOP('',(#296997)); #57560=EDGE_LOOP('',(#296998)); #57561=EDGE_LOOP('',(#296999)); #57562=EDGE_LOOP('',(#297000)); #57563=EDGE_LOOP('',(#297001)); #57564=EDGE_LOOP('',(#297002)); #57565=EDGE_LOOP('',(#297003)); #57566=EDGE_LOOP('',(#297004)); #57567=EDGE_LOOP('',(#297005)); #57568=EDGE_LOOP('',(#297006)); #57569=EDGE_LOOP('',(#297007)); #57570=EDGE_LOOP('',(#297008)); #57571=EDGE_LOOP('',(#297009)); #57572=EDGE_LOOP('',(#297010)); #57573=EDGE_LOOP('',(#297011)); #57574=EDGE_LOOP('',(#297012)); #57575=EDGE_LOOP('',(#297013)); #57576=EDGE_LOOP('',(#297014)); #57577=EDGE_LOOP('',(#297015)); #57578=EDGE_LOOP('',(#297016)); #57579=EDGE_LOOP('',(#297017)); #57580=EDGE_LOOP('',(#297018)); #57581=EDGE_LOOP('',(#297019)); #57582=EDGE_LOOP('',(#297020)); #57583=EDGE_LOOP('',(#297021)); #57584=EDGE_LOOP('',(#297022)); #57585=EDGE_LOOP('',(#297023)); #57586=EDGE_LOOP('',(#297024)); #57587=EDGE_LOOP('',(#297025)); #57588=EDGE_LOOP('',(#297026)); #57589=EDGE_LOOP('',(#297027)); #57590=EDGE_LOOP('',(#297028)); #57591=EDGE_LOOP('',(#297029)); #57592=EDGE_LOOP('',(#297030)); #57593=EDGE_LOOP('',(#297031)); #57594=EDGE_LOOP('',(#297032)); #57595=EDGE_LOOP('',(#297033)); #57596=EDGE_LOOP('',(#297034)); #57597=EDGE_LOOP('',(#297035)); #57598=EDGE_LOOP('',(#297036)); #57599=EDGE_LOOP('',(#297037)); #57600=EDGE_LOOP('',(#297038)); #57601=EDGE_LOOP('',(#297039)); #57602=EDGE_LOOP('',(#297040)); #57603=EDGE_LOOP('',(#297041)); #57604=EDGE_LOOP('',(#297042)); #57605=EDGE_LOOP('',(#297043)); #57606=EDGE_LOOP('',(#297044)); #57607=EDGE_LOOP('',(#297045)); #57608=EDGE_LOOP('',(#297046)); #57609=EDGE_LOOP('',(#297047)); #57610=EDGE_LOOP('',(#297048)); #57611=EDGE_LOOP('',(#297049)); #57612=EDGE_LOOP('',(#297050)); #57613=EDGE_LOOP('',(#297051)); #57614=EDGE_LOOP('',(#297052)); #57615=EDGE_LOOP('',(#297053)); #57616=EDGE_LOOP('',(#297054)); #57617=EDGE_LOOP('',(#297055)); #57618=EDGE_LOOP('',(#297056)); #57619=EDGE_LOOP('',(#297057)); #57620=EDGE_LOOP('',(#297058)); #57621=EDGE_LOOP('',(#297059)); #57622=EDGE_LOOP('',(#297060)); #57623=EDGE_LOOP('',(#297061)); #57624=EDGE_LOOP('',(#297062)); #57625=EDGE_LOOP('',(#297063)); #57626=EDGE_LOOP('',(#297064)); #57627=EDGE_LOOP('',(#297065)); #57628=EDGE_LOOP('',(#297066)); #57629=EDGE_LOOP('',(#297067)); #57630=EDGE_LOOP('',(#297068)); #57631=EDGE_LOOP('',(#297069)); #57632=EDGE_LOOP('',(#297070)); #57633=EDGE_LOOP('',(#297071)); #57634=EDGE_LOOP('',(#297072)); #57635=EDGE_LOOP('',(#297073)); #57636=EDGE_LOOP('',(#297074)); #57637=EDGE_LOOP('',(#297075)); #57638=EDGE_LOOP('',(#297076)); #57639=EDGE_LOOP('',(#297077)); #57640=EDGE_LOOP('',(#297078)); #57641=EDGE_LOOP('',(#297079)); #57642=EDGE_LOOP('',(#297080)); #57643=EDGE_LOOP('',(#297081)); #57644=EDGE_LOOP('',(#297082)); #57645=EDGE_LOOP('',(#297083)); #57646=EDGE_LOOP('',(#297084)); #57647=EDGE_LOOP('',(#297085)); #57648=EDGE_LOOP('',(#297086)); #57649=EDGE_LOOP('',(#297087)); #57650=EDGE_LOOP('',(#297088)); #57651=EDGE_LOOP('',(#297089)); #57652=EDGE_LOOP('',(#297090)); #57653=EDGE_LOOP('',(#297091)); #57654=EDGE_LOOP('',(#297092)); #57655=EDGE_LOOP('',(#297093)); #57656=EDGE_LOOP('',(#297094)); #57657=EDGE_LOOP('',(#297095)); #57658=EDGE_LOOP('',(#297096)); #57659=EDGE_LOOP('',(#297097)); #57660=EDGE_LOOP('',(#297098)); #57661=EDGE_LOOP('',(#297099)); #57662=EDGE_LOOP('',(#297100)); #57663=EDGE_LOOP('',(#297101)); #57664=EDGE_LOOP('',(#297102)); #57665=EDGE_LOOP('',(#297103)); #57666=EDGE_LOOP('',(#297104)); #57667=EDGE_LOOP('',(#297105)); #57668=EDGE_LOOP('',(#297106)); #57669=EDGE_LOOP('',(#297107)); #57670=EDGE_LOOP('',(#297108)); #57671=EDGE_LOOP('',(#297109)); #57672=EDGE_LOOP('',(#297110)); #57673=EDGE_LOOP('',(#297111)); #57674=EDGE_LOOP('',(#297112)); #57675=EDGE_LOOP('',(#297113)); #57676=EDGE_LOOP('',(#297114)); #57677=EDGE_LOOP('',(#297115)); #57678=EDGE_LOOP('',(#297116)); #57679=EDGE_LOOP('',(#297117)); #57680=EDGE_LOOP('',(#297118)); #57681=EDGE_LOOP('',(#297119)); #57682=EDGE_LOOP('',(#297120)); #57683=EDGE_LOOP('',(#297121)); #57684=EDGE_LOOP('',(#297122)); #57685=EDGE_LOOP('',(#297123)); #57686=EDGE_LOOP('',(#297124)); #57687=EDGE_LOOP('',(#297125)); #57688=EDGE_LOOP('',(#297126)); #57689=EDGE_LOOP('',(#297127)); #57690=EDGE_LOOP('',(#297128)); #57691=EDGE_LOOP('',(#297129)); #57692=EDGE_LOOP('',(#297130)); #57693=EDGE_LOOP('',(#297131)); #57694=EDGE_LOOP('',(#297132)); #57695=EDGE_LOOP('',(#297133)); #57696=EDGE_LOOP('',(#297134)); #57697=EDGE_LOOP('',(#297135)); #57698=EDGE_LOOP('',(#297136)); #57699=EDGE_LOOP('',(#297137)); #57700=EDGE_LOOP('',(#297138)); #57701=EDGE_LOOP('',(#297139)); #57702=EDGE_LOOP('',(#297140)); #57703=EDGE_LOOP('',(#297141)); #57704=EDGE_LOOP('',(#297142)); #57705=EDGE_LOOP('',(#297143)); #57706=EDGE_LOOP('',(#297144)); #57707=EDGE_LOOP('',(#297145)); #57708=EDGE_LOOP('',(#297146)); #57709=EDGE_LOOP('',(#297147)); #57710=EDGE_LOOP('',(#297148)); #57711=EDGE_LOOP('',(#297149)); #57712=EDGE_LOOP('',(#297150)); #57713=EDGE_LOOP('',(#297151)); #57714=EDGE_LOOP('',(#297152)); #57715=EDGE_LOOP('',(#297153)); #57716=EDGE_LOOP('',(#297154)); #57717=EDGE_LOOP('',(#297155)); #57718=EDGE_LOOP('',(#297156)); #57719=EDGE_LOOP('',(#297157)); #57720=EDGE_LOOP('',(#297158)); #57721=EDGE_LOOP('',(#297159)); #57722=EDGE_LOOP('',(#297160)); #57723=EDGE_LOOP('',(#297161)); #57724=EDGE_LOOP('',(#297162)); #57725=EDGE_LOOP('',(#297163)); #57726=EDGE_LOOP('',(#297164)); #57727=EDGE_LOOP('',(#297165)); #57728=EDGE_LOOP('',(#297166)); #57729=EDGE_LOOP('',(#297167)); #57730=EDGE_LOOP('',(#297168)); #57731=EDGE_LOOP('',(#297169)); #57732=EDGE_LOOP('',(#297170,#297171,#297172,#297173)); #57733=EDGE_LOOP('',(#297174,#297175,#297176,#297177)); #57734=EDGE_LOOP('',(#297178,#297179,#297180,#297181,#297182,#297183,#297184, #297185,#297186,#297187,#297188,#297189,#297190,#297191,#297192,#297193, #297194,#297195,#297196,#297197,#297198,#297199,#297200,#297201,#297202, #297203)); #57735=EDGE_LOOP('',(#297204,#297205,#297206,#297207)); #57736=EDGE_LOOP('',(#297208,#297209,#297210,#297211,#297212,#297213)); #57737=EDGE_LOOP('',(#297214,#297215,#297216,#297217,#297218,#297219,#297220, #297221)); #57738=EDGE_LOOP('',(#297222,#297223,#297224,#297225,#297226,#297227,#297228, #297229)); #57739=EDGE_LOOP('',(#297230,#297231,#297232,#297233)); #57740=EDGE_LOOP('',(#297234,#297235,#297236,#297237)); #57741=EDGE_LOOP('',(#297238,#297239,#297240,#297241)); #57742=EDGE_LOOP('',(#297242,#297243,#297244,#297245)); #57743=EDGE_LOOP('',(#297246,#297247,#297248,#297249)); #57744=EDGE_LOOP('',(#297250,#297251,#297252,#297253)); #57745=EDGE_LOOP('',(#297254,#297255,#297256,#297257)); #57746=EDGE_LOOP('',(#297258,#297259,#297260,#297261)); #57747=EDGE_LOOP('',(#297262,#297263,#297264,#297265)); #57748=EDGE_LOOP('',(#297266,#297267,#297268,#297269)); #57749=EDGE_LOOP('',(#297270,#297271,#297272,#297273)); #57750=EDGE_LOOP('',(#297274,#297275,#297276,#297277)); #57751=EDGE_LOOP('',(#297278,#297279,#297280,#297281)); #57752=EDGE_LOOP('',(#297282,#297283,#297284,#297285)); #57753=EDGE_LOOP('',(#297286,#297287,#297288,#297289)); #57754=EDGE_LOOP('',(#297290,#297291,#297292,#297293,#297294,#297295,#297296, #297297,#297298,#297299,#297300,#297301,#297302,#297303,#297304,#297305, #297306,#297307,#297308,#297309)); #57755=EDGE_LOOP('',(#297310,#297311,#297312,#297313)); #57756=EDGE_LOOP('',(#297314,#297315,#297316,#297317)); #57757=EDGE_LOOP('',(#297318,#297319,#297320,#297321)); #57758=EDGE_LOOP('',(#297322,#297323,#297324,#297325,#297326,#297327,#297328, #297329)); #57759=EDGE_LOOP('',(#297330,#297331,#297332,#297333)); #57760=EDGE_LOOP('',(#297334,#297335,#297336,#297337)); #57761=EDGE_LOOP('',(#297338,#297339,#297340,#297341)); #57762=EDGE_LOOP('',(#297342,#297343,#297344,#297345)); #57763=EDGE_LOOP('',(#297346,#297347,#297348,#297349)); #57764=EDGE_LOOP('',(#297350,#297351,#297352,#297353)); #57765=EDGE_LOOP('',(#297354,#297355,#297356,#297357)); #57766=EDGE_LOOP('',(#297358,#297359,#297360,#297361)); #57767=EDGE_LOOP('',(#297362,#297363,#297364,#297365)); #57768=EDGE_LOOP('',(#297366,#297367,#297368,#297369)); #57769=EDGE_LOOP('',(#297370,#297371,#297372,#297373)); #57770=EDGE_LOOP('',(#297374,#297375,#297376,#297377)); #57771=EDGE_LOOP('',(#297378,#297379,#297380,#297381)); #57772=EDGE_LOOP('',(#297382,#297383,#297384,#297385)); #57773=EDGE_LOOP('',(#297386,#297387,#297388,#297389)); #57774=EDGE_LOOP('',(#297390,#297391,#297392,#297393)); #57775=EDGE_LOOP('',(#297394,#297395,#297396,#297397)); #57776=EDGE_LOOP('',(#297398,#297399,#297400,#297401)); #57777=EDGE_LOOP('',(#297402,#297403,#297404,#297405)); #57778=EDGE_LOOP('',(#297406,#297407,#297408,#297409)); #57779=EDGE_LOOP('',(#297410,#297411,#297412,#297413)); #57780=EDGE_LOOP('',(#297414,#297415,#297416,#297417)); #57781=EDGE_LOOP('',(#297418,#297419,#297420,#297421)); #57782=EDGE_LOOP('',(#297422,#297423,#297424,#297425)); #57783=EDGE_LOOP('',(#297426,#297427,#297428,#297429)); #57784=EDGE_LOOP('',(#297430,#297431,#297432,#297433)); #57785=EDGE_LOOP('',(#297434,#297435,#297436,#297437)); #57786=EDGE_LOOP('',(#297438,#297439,#297440,#297441)); #57787=EDGE_LOOP('',(#297442,#297443,#297444,#297445)); #57788=EDGE_LOOP('',(#297446,#297447,#297448,#297449)); #57789=EDGE_LOOP('',(#297450,#297451,#297452,#297453)); #57790=EDGE_LOOP('',(#297454,#297455,#297456,#297457)); #57791=EDGE_LOOP('',(#297458,#297459,#297460,#297461)); #57792=EDGE_LOOP('',(#297462,#297463,#297464,#297465)); #57793=EDGE_LOOP('',(#297466,#297467,#297468,#297469)); #57794=EDGE_LOOP('',(#297470,#297471,#297472,#297473)); #57795=EDGE_LOOP('',(#297474,#297475,#297476,#297477)); #57796=EDGE_LOOP('',(#297478,#297479,#297480,#297481)); #57797=EDGE_LOOP('',(#297482,#297483,#297484,#297485)); #57798=EDGE_LOOP('',(#297486,#297487,#297488,#297489)); #57799=EDGE_LOOP('',(#297490,#297491,#297492,#297493)); #57800=EDGE_LOOP('',(#297494,#297495,#297496,#297497)); #57801=EDGE_LOOP('',(#297498,#297499,#297500,#297501)); #57802=EDGE_LOOP('',(#297502,#297503,#297504,#297505)); #57803=EDGE_LOOP('',(#297506,#297507,#297508,#297509)); #57804=EDGE_LOOP('',(#297510,#297511,#297512,#297513)); #57805=EDGE_LOOP('',(#297514,#297515,#297516,#297517)); #57806=EDGE_LOOP('',(#297518,#297519,#297520,#297521)); #57807=EDGE_LOOP('',(#297522,#297523,#297524,#297525)); #57808=EDGE_LOOP('',(#297526,#297527,#297528,#297529)); #57809=EDGE_LOOP('',(#297530,#297531,#297532,#297533)); #57810=EDGE_LOOP('',(#297534,#297535,#297536,#297537)); #57811=EDGE_LOOP('',(#297538,#297539,#297540,#297541)); #57812=EDGE_LOOP('',(#297542,#297543,#297544,#297545)); #57813=EDGE_LOOP('',(#297546,#297547,#297548,#297549)); #57814=EDGE_LOOP('',(#297550,#297551,#297552,#297553)); #57815=EDGE_LOOP('',(#297554,#297555,#297556,#297557)); #57816=EDGE_LOOP('',(#297558,#297559,#297560,#297561)); #57817=EDGE_LOOP('',(#297562,#297563,#297564,#297565)); #57818=EDGE_LOOP('',(#297566,#297567,#297568,#297569)); #57819=EDGE_LOOP('',(#297570,#297571,#297572,#297573)); #57820=EDGE_LOOP('',(#297574,#297575,#297576,#297577)); #57821=EDGE_LOOP('',(#297578,#297579,#297580,#297581)); #57822=EDGE_LOOP('',(#297582,#297583,#297584,#297585)); #57823=EDGE_LOOP('',(#297586,#297587,#297588,#297589)); #57824=EDGE_LOOP('',(#297590,#297591,#297592,#297593)); #57825=EDGE_LOOP('',(#297594,#297595,#297596,#297597)); #57826=EDGE_LOOP('',(#297598,#297599,#297600,#297601)); #57827=EDGE_LOOP('',(#297602,#297603,#297604,#297605)); #57828=EDGE_LOOP('',(#297606,#297607,#297608,#297609)); #57829=EDGE_LOOP('',(#297610,#297611,#297612,#297613)); #57830=EDGE_LOOP('',(#297614,#297615,#297616,#297617)); #57831=EDGE_LOOP('',(#297618,#297619,#297620,#297621)); #57832=EDGE_LOOP('',(#297622,#297623,#297624,#297625)); #57833=EDGE_LOOP('',(#297626,#297627,#297628,#297629)); #57834=EDGE_LOOP('',(#297630,#297631,#297632,#297633)); #57835=EDGE_LOOP('',(#297634,#297635,#297636,#297637)); #57836=EDGE_LOOP('',(#297638,#297639,#297640,#297641)); #57837=EDGE_LOOP('',(#297642,#297643,#297644,#297645)); #57838=EDGE_LOOP('',(#297646,#297647,#297648,#297649)); #57839=EDGE_LOOP('',(#297650,#297651,#297652,#297653)); #57840=EDGE_LOOP('',(#297654,#297655,#297656,#297657)); #57841=EDGE_LOOP('',(#297658,#297659,#297660,#297661)); #57842=EDGE_LOOP('',(#297662,#297663,#297664,#297665)); #57843=EDGE_LOOP('',(#297666,#297667,#297668,#297669)); #57844=EDGE_LOOP('',(#297670,#297671,#297672)); #57845=EDGE_LOOP('',(#297673,#297674,#297675)); #57846=EDGE_LOOP('',(#297676,#297677,#297678)); #57847=EDGE_LOOP('',(#297679,#297680,#297681)); #57848=EDGE_LOOP('',(#297682,#297683,#297684)); #57849=EDGE_LOOP('',(#297685,#297686,#297687,#297688)); #57850=EDGE_LOOP('',(#297689,#297690,#297691)); #57851=EDGE_LOOP('',(#297692,#297693,#297694)); #57852=EDGE_LOOP('',(#297695,#297696,#297697,#297698)); #57853=EDGE_LOOP('',(#297699,#297700,#297701,#297702)); #57854=EDGE_LOOP('',(#297703,#297704,#297705)); #57855=EDGE_LOOP('',(#297706,#297707,#297708,#297709)); #57856=EDGE_LOOP('',(#297710,#297711,#297712,#297713)); #57857=EDGE_LOOP('',(#297714,#297715,#297716,#297717)); #57858=EDGE_LOOP('',(#297718,#297719,#297720,#297721)); #57859=EDGE_LOOP('',(#297722,#297723,#297724,#297725)); #57860=EDGE_LOOP('',(#297726,#297727,#297728,#297729)); #57861=EDGE_LOOP('',(#297730,#297731,#297732,#297733)); #57862=EDGE_LOOP('',(#297734,#297735,#297736,#297737)); #57863=EDGE_LOOP('',(#297738,#297739,#297740,#297741)); #57864=EDGE_LOOP('',(#297742,#297743,#297744,#297745)); #57865=EDGE_LOOP('',(#297746,#297747,#297748,#297749)); #57866=EDGE_LOOP('',(#297750,#297751,#297752,#297753)); #57867=EDGE_LOOP('',(#297754,#297755,#297756,#297757)); #57868=EDGE_LOOP('',(#297758,#297759,#297760,#297761)); #57869=EDGE_LOOP('',(#297762,#297763,#297764,#297765)); #57870=EDGE_LOOP('',(#297766,#297767,#297768)); #57871=EDGE_LOOP('',(#297769,#297770,#297771)); #57872=EDGE_LOOP('',(#297772,#297773,#297774)); #57873=EDGE_LOOP('',(#297775,#297776,#297777)); #57874=EDGE_LOOP('',(#297778,#297779,#297780)); #57875=EDGE_LOOP('',(#297781,#297782,#297783,#297784)); #57876=EDGE_LOOP('',(#297785,#297786,#297787)); #57877=EDGE_LOOP('',(#297788,#297789,#297790)); #57878=EDGE_LOOP('',(#297791,#297792,#297793,#297794)); #57879=EDGE_LOOP('',(#297795,#297796,#297797,#297798)); #57880=EDGE_LOOP('',(#297799,#297800,#297801)); #57881=EDGE_LOOP('',(#297802,#297803,#297804,#297805)); #57882=EDGE_LOOP('',(#297806,#297807,#297808,#297809)); #57883=EDGE_LOOP('',(#297810,#297811,#297812,#297813)); #57884=EDGE_LOOP('',(#297814,#297815,#297816,#297817)); #57885=EDGE_LOOP('',(#297818,#297819,#297820,#297821)); #57886=EDGE_LOOP('',(#297822,#297823,#297824,#297825)); #57887=EDGE_LOOP('',(#297826,#297827,#297828,#297829)); #57888=EDGE_LOOP('',(#297830,#297831,#297832,#297833)); #57889=EDGE_LOOP('',(#297834,#297835,#297836,#297837)); #57890=EDGE_LOOP('',(#297838,#297839,#297840,#297841)); #57891=EDGE_LOOP('',(#297842,#297843,#297844,#297845)); #57892=EDGE_LOOP('',(#297846,#297847,#297848,#297849)); #57893=EDGE_LOOP('',(#297850,#297851,#297852,#297853)); #57894=EDGE_LOOP('',(#297854,#297855,#297856,#297857)); #57895=EDGE_LOOP('',(#297858,#297859,#297860,#297861)); #57896=EDGE_LOOP('',(#297862,#297863,#297864,#297865)); #57897=EDGE_LOOP('',(#297866,#297867,#297868,#297869)); #57898=EDGE_LOOP('',(#297870,#297871,#297872,#297873)); #57899=EDGE_LOOP('',(#297874,#297875,#297876,#297877)); #57900=EDGE_LOOP('',(#297878,#297879,#297880,#297881)); #57901=EDGE_LOOP('',(#297882,#297883,#297884,#297885)); #57902=EDGE_LOOP('',(#297886,#297887,#297888,#297889)); #57903=EDGE_LOOP('',(#297890,#297891,#297892,#297893)); #57904=EDGE_LOOP('',(#297894,#297895,#297896,#297897)); #57905=EDGE_LOOP('',(#297898,#297899,#297900,#297901)); #57906=EDGE_LOOP('',(#297902,#297903,#297904,#297905)); #57907=EDGE_LOOP('',(#297906,#297907,#297908,#297909)); #57908=EDGE_LOOP('',(#297910,#297911,#297912,#297913)); #57909=EDGE_LOOP('',(#297914,#297915,#297916,#297917)); #57910=EDGE_LOOP('',(#297918,#297919,#297920,#297921)); #57911=EDGE_LOOP('',(#297922,#297923,#297924,#297925)); #57912=EDGE_LOOP('',(#297926,#297927,#297928,#297929)); #57913=EDGE_LOOP('',(#297930,#297931,#297932,#297933)); #57914=EDGE_LOOP('',(#297934,#297935,#297936,#297937,#297938,#297939,#297940, #297941,#297942,#297943,#297944,#297945,#297946,#297947,#297948,#297949)); #57915=EDGE_LOOP('',(#297950,#297951,#297952,#297953)); #57916=EDGE_LOOP('',(#297954,#297955,#297956,#297957,#297958,#297959,#297960, #297961)); #57917=EDGE_LOOP('',(#297962,#297963,#297964,#297965,#297966,#297967,#297968, #297969)); #57918=EDGE_LOOP('',(#297970,#297971,#297972,#297973)); #57919=EDGE_LOOP('',(#297974,#297975,#297976,#297977)); #57920=EDGE_LOOP('',(#297978,#297979,#297980,#297981,#297982,#297983,#297984, #297985,#297986,#297987,#297988,#297989,#297990,#297991,#297992,#297993)); #57921=EDGE_LOOP('',(#297994,#297995,#297996,#297997)); #57922=EDGE_LOOP('',(#297998,#297999,#298000,#298001,#298002,#298003,#298004, #298005,#298006,#298007,#298008,#298009,#298010,#298011,#298012,#298013, #298014,#298015,#298016)); #57923=EDGE_LOOP('',(#298017,#298018,#298019,#298020,#298021)); #57924=EDGE_LOOP('',(#298022,#298023,#298024,#298025,#298026)); #57925=EDGE_LOOP('',(#298027,#298028,#298029,#298030,#298031,#298032,#298033, #298034)); #57926=EDGE_LOOP('',(#298035,#298036,#298037,#298038)); #57927=EDGE_LOOP('',(#298039,#298040,#298041,#298042)); #57928=EDGE_LOOP('',(#298043,#298044,#298045,#298046)); #57929=EDGE_LOOP('',(#298047,#298048,#298049,#298050)); #57930=EDGE_LOOP('',(#298051,#298052,#298053,#298054)); #57931=EDGE_LOOP('',(#298055,#298056,#298057,#298058)); #57932=EDGE_LOOP('',(#298059,#298060,#298061,#298062)); #57933=EDGE_LOOP('',(#298063,#298064,#298065,#298066)); #57934=EDGE_LOOP('',(#298067,#298068,#298069,#298070)); #57935=EDGE_LOOP('',(#298071,#298072,#298073,#298074)); #57936=EDGE_LOOP('',(#298075,#298076,#298077,#298078)); #57937=EDGE_LOOP('',(#298079,#298080,#298081,#298082)); #57938=EDGE_LOOP('',(#298083,#298084,#298085,#298086)); #57939=EDGE_LOOP('',(#298087,#298088,#298089,#298090)); #57940=EDGE_LOOP('',(#298091,#298092,#298093,#298094)); #57941=EDGE_LOOP('',(#298095,#298096,#298097,#298098)); #57942=EDGE_LOOP('',(#298099,#298100,#298101,#298102)); #57943=EDGE_LOOP('',(#298103,#298104,#298105,#298106)); #57944=EDGE_LOOP('',(#298107,#298108,#298109,#298110)); #57945=EDGE_LOOP('',(#298111,#298112,#298113,#298114)); #57946=EDGE_LOOP('',(#298115,#298116,#298117,#298118)); #57947=EDGE_LOOP('',(#298119,#298120,#298121,#298122)); #57948=EDGE_LOOP('',(#298123,#298124,#298125,#298126)); #57949=EDGE_LOOP('',(#298127,#298128,#298129,#298130)); #57950=EDGE_LOOP('',(#298131,#298132,#298133,#298134)); #57951=EDGE_LOOP('',(#298135,#298136,#298137,#298138)); #57952=EDGE_LOOP('',(#298139,#298140,#298141,#298142)); #57953=EDGE_LOOP('',(#298143,#298144,#298145,#298146)); #57954=EDGE_LOOP('',(#298147,#298148,#298149,#298150)); #57955=EDGE_LOOP('',(#298151,#298152,#298153,#298154)); #57956=EDGE_LOOP('',(#298155,#298156,#298157,#298158)); #57957=EDGE_LOOP('',(#298159,#298160,#298161,#298162)); #57958=EDGE_LOOP('',(#298163,#298164,#298165,#298166)); #57959=EDGE_LOOP('',(#298167,#298168,#298169,#298170)); #57960=EDGE_LOOP('',(#298171,#298172,#298173,#298174)); #57961=EDGE_LOOP('',(#298175,#298176,#298177,#298178)); #57962=EDGE_LOOP('',(#298179,#298180,#298181,#298182)); #57963=EDGE_LOOP('',(#298183,#298184,#298185,#298186)); #57964=EDGE_LOOP('',(#298187,#298188,#298189,#298190)); #57965=EDGE_LOOP('',(#298191,#298192,#298193,#298194)); #57966=EDGE_LOOP('',(#298195,#298196,#298197,#298198)); #57967=EDGE_LOOP('',(#298199,#298200,#298201,#298202)); #57968=EDGE_LOOP('',(#298203,#298204,#298205,#298206)); #57969=EDGE_LOOP('',(#298207,#298208,#298209,#298210)); #57970=EDGE_LOOP('',(#298211,#298212,#298213,#298214)); #57971=EDGE_LOOP('',(#298215,#298216,#298217,#298218)); #57972=EDGE_LOOP('',(#298219,#298220,#298221,#298222)); #57973=EDGE_LOOP('',(#298223,#298224,#298225,#298226)); #57974=EDGE_LOOP('',(#298227,#298228,#298229,#298230)); #57975=EDGE_LOOP('',(#298231,#298232,#298233,#298234)); #57976=EDGE_LOOP('',(#298235,#298236,#298237,#298238)); #57977=EDGE_LOOP('',(#298239,#298240,#298241,#298242)); #57978=EDGE_LOOP('',(#298243,#298244,#298245,#298246)); #57979=EDGE_LOOP('',(#298247,#298248,#298249,#298250)); #57980=EDGE_LOOP('',(#298251,#298252,#298253,#298254)); #57981=EDGE_LOOP('',(#298255,#298256,#298257,#298258)); #57982=EDGE_LOOP('',(#298259,#298260,#298261,#298262)); #57983=EDGE_LOOP('',(#298263,#298264,#298265,#298266)); #57984=EDGE_LOOP('',(#298267,#298268,#298269,#298270)); #57985=EDGE_LOOP('',(#298271,#298272,#298273,#298274)); #57986=EDGE_LOOP('',(#298275,#298276,#298277,#298278,#298279,#298280)); #57987=EDGE_LOOP('',(#298281,#298282,#298283,#298284,#298285,#298286)); #57988=EDGE_LOOP('',(#298287,#298288,#298289,#298290,#298291,#298292)); #57989=EDGE_LOOP('',(#298293,#298294,#298295,#298296,#298297,#298298,#298299, #298300,#298301,#298302,#298303,#298304,#298305,#298306,#298307,#298308, #298309,#298310,#298311,#298312,#298313,#298314,#298315,#298316,#298317, #298318,#298319,#298320,#298321,#298322,#298323,#298324,#298325,#298326, #298327,#298328,#298329,#298330,#298331,#298332,#298333,#298334,#298335, #298336,#298337,#298338,#298339,#298340,#298341,#298342,#298343,#298344, #298345,#298346,#298347,#298348,#298349,#298350,#298351,#298352,#298353, #298354,#298355,#298356,#298357,#298358,#298359,#298360,#298361,#298362, #298363,#298364,#298365,#298366,#298367,#298368)); #57990=EDGE_LOOP('',(#298369,#298370,#298371,#298372,#298373,#298374,#298375)); #57991=EDGE_LOOP('',(#298376,#298377,#298378,#298379,#298380,#298381,#298382)); #57992=EDGE_LOOP('',(#298383,#298384,#298385,#298386)); #57993=EDGE_LOOP('',(#298387,#298388,#298389,#298390)); #57994=EDGE_LOOP('',(#298391,#298392,#298393,#298394)); #57995=EDGE_LOOP('',(#298395,#298396,#298397,#298398,#298399,#298400,#298401, #298402,#298403,#298404,#298405,#298406,#298407,#298408)); #57996=EDGE_LOOP('',(#298409,#298410,#298411,#298412)); #57997=EDGE_LOOP('',(#298413,#298414,#298415,#298416)); #57998=EDGE_LOOP('',(#298417,#298418,#298419,#298420,#298421,#298422,#298423, #298424,#298425,#298426,#298427,#298428)); #57999=EDGE_LOOP('',(#298429,#298430,#298431,#298432)); #58000=EDGE_LOOP('',(#298433,#298434,#298435,#298436)); #58001=EDGE_LOOP('',(#298437,#298438,#298439,#298440,#298441,#298442,#298443, #298444,#298445,#298446,#298447,#298448)); #58002=EDGE_LOOP('',(#298449,#298450,#298451,#298452)); #58003=EDGE_LOOP('',(#298453,#298454,#298455,#298456)); #58004=EDGE_LOOP('',(#298457,#298458,#298459,#298460)); #58005=EDGE_LOOP('',(#298461,#298462,#298463,#298464)); #58006=EDGE_LOOP('',(#298465,#298466,#298467,#298468)); #58007=EDGE_LOOP('',(#298469,#298470,#298471,#298472,#298473,#298474)); #58008=EDGE_LOOP('',(#298475,#298476,#298477,#298478)); #58009=EDGE_LOOP('',(#298479,#298480,#298481,#298482)); #58010=EDGE_LOOP('',(#298483,#298484,#298485,#298486,#298487,#298488)); #58011=EDGE_LOOP('',(#298489,#298490,#298491,#298492,#298493,#298494,#298495, #298496,#298497,#298498,#298499,#298500,#298501,#298502)); #58012=EDGE_LOOP('',(#298503,#298504,#298505,#298506,#298507,#298508,#298509, #298510)); #58013=EDGE_LOOP('',(#298511,#298512,#298513,#298514)); #58014=EDGE_LOOP('',(#298515,#298516,#298517,#298518,#298519,#298520,#298521, #298522)); #58015=EDGE_LOOP('',(#298523,#298524,#298525,#298526,#298527,#298528,#298529, #298530,#298531,#298532,#298533,#298534)); #58016=EDGE_LOOP('',(#298535,#298536,#298537,#298538,#298539,#298540,#298541, #298542)); #58017=EDGE_LOOP('',(#298543,#298544,#298545,#298546)); #58018=EDGE_LOOP('',(#298547,#298548,#298549,#298550)); #58019=EDGE_LOOP('',(#298551,#298552,#298553,#298554)); #58020=EDGE_LOOP('',(#298555,#298556,#298557,#298558)); #58021=EDGE_LOOP('',(#298559,#298560,#298561,#298562)); #58022=EDGE_LOOP('',(#298563,#298564,#298565,#298566)); #58023=EDGE_LOOP('',(#298567,#298568,#298569,#298570)); #58024=EDGE_LOOP('',(#298571,#298572,#298573,#298574)); #58025=EDGE_LOOP('',(#298575,#298576,#298577,#298578)); #58026=EDGE_LOOP('',(#298579,#298580,#298581,#298582)); #58027=EDGE_LOOP('',(#298583,#298584,#298585,#298586,#298587,#298588,#298589, #298590,#298591,#298592,#298593,#298594)); #58028=EDGE_LOOP('',(#298595,#298596,#298597,#298598,#298599,#298600,#298601, #298602,#298603,#298604,#298605,#298606)); #58029=EDGE_LOOP('',(#298607,#298608,#298609,#298610)); #58030=EDGE_LOOP('',(#298611,#298612,#298613,#298614)); #58031=EDGE_LOOP('',(#298615,#298616,#298617,#298618)); #58032=EDGE_LOOP('',(#298619,#298620,#298621,#298622)); #58033=EDGE_LOOP('',(#298623,#298624,#298625,#298626)); #58034=EDGE_LOOP('',(#298627,#298628,#298629,#298630)); #58035=EDGE_LOOP('',(#298631,#298632,#298633,#298634)); #58036=EDGE_LOOP('',(#298635,#298636,#298637,#298638)); #58037=EDGE_LOOP('',(#298639,#298640,#298641,#298642)); #58038=EDGE_LOOP('',(#298643,#298644,#298645,#298646)); #58039=EDGE_LOOP('',(#298647,#298648,#298649,#298650)); #58040=EDGE_LOOP('',(#298651,#298652,#298653,#298654)); #58041=EDGE_LOOP('',(#298655,#298656,#298657,#298658)); #58042=EDGE_LOOP('',(#298659,#298660,#298661,#298662)); #58043=EDGE_LOOP('',(#298663,#298664,#298665,#298666)); #58044=EDGE_LOOP('',(#298667,#298668,#298669,#298670)); #58045=EDGE_LOOP('',(#298671,#298672,#298673,#298674,#298675,#298676)); #58046=EDGE_LOOP('',(#298677,#298678,#298679,#298680,#298681,#298682)); #58047=EDGE_LOOP('',(#298683,#298684,#298685,#298686,#298687)); #58048=EDGE_LOOP('',(#298688,#298689,#298690,#298691,#298692)); #58049=EDGE_LOOP('',(#298693,#298694,#298695,#298696)); #58050=EDGE_LOOP('',(#298697,#298698,#298699,#298700,#298701)); #58051=EDGE_LOOP('',(#298702,#298703,#298704,#298705,#298706)); #58052=EDGE_LOOP('',(#298707,#298708,#298709,#298710)); #58053=EDGE_LOOP('',(#298711,#298712,#298713,#298714,#298715)); #58054=EDGE_LOOP('',(#298716,#298717,#298718,#298719,#298720,#298721,#298722, #298723,#298724,#298725,#298726)); #58055=EDGE_LOOP('',(#298727,#298728,#298729,#298730)); #58056=EDGE_LOOP('',(#298731,#298732,#298733,#298734,#298735)); #58057=EDGE_LOOP('',(#298736,#298737,#298738,#298739,#298740,#298741,#298742, #298743)); #58058=EDGE_LOOP('',(#298744,#298745,#298746,#298747)); #58059=EDGE_LOOP('',(#298748,#298749,#298750,#298751)); #58060=EDGE_LOOP('',(#298752,#298753,#298754,#298755,#298756)); #58061=EDGE_LOOP('',(#298757,#298758,#298759,#298760,#298761,#298762,#298763, #298764,#298765,#298766,#298767)); #58062=EDGE_LOOP('',(#298768,#298769,#298770,#298771)); #58063=EDGE_LOOP('',(#298772,#298773,#298774,#298775,#298776)); #58064=EDGE_LOOP('',(#298777,#298778,#298779,#298780,#298781)); #58065=EDGE_LOOP('',(#298782,#298783,#298784,#298785,#298786)); #58066=EDGE_LOOP('',(#298787,#298788,#298789,#298790)); #58067=EDGE_LOOP('',(#298791,#298792,#298793,#298794)); #58068=EDGE_LOOP('',(#298795,#298796,#298797,#298798)); #58069=EDGE_LOOP('',(#298799,#298800,#298801,#298802,#298803,#298804)); #58070=EDGE_LOOP('',(#298805,#298806,#298807,#298808)); #58071=EDGE_LOOP('',(#298809,#298810,#298811,#298812)); #58072=EDGE_LOOP('',(#298813,#298814,#298815,#298816,#298817,#298818,#298819, #298820)); #58073=EDGE_LOOP('',(#298821)); #58074=EDGE_LOOP('',(#298822)); #58075=EDGE_LOOP('',(#298823,#298824,#298825,#298826)); #58076=EDGE_LOOP('',(#298827)); #58077=EDGE_LOOP('',(#298828,#298829,#298830,#298831)); #58078=EDGE_LOOP('',(#298832)); #58079=EDGE_LOOP('',(#298833,#298834,#298835,#298836)); #58080=EDGE_LOOP('',(#298837,#298838,#298839,#298840)); #58081=EDGE_LOOP('',(#298841,#298842,#298843,#298844)); #58082=EDGE_LOOP('',(#298845,#298846,#298847,#298848)); #58083=EDGE_LOOP('',(#298849,#298850,#298851,#298852,#298853,#298854,#298855, #298856)); #58084=EDGE_LOOP('',(#298857,#298858,#298859,#298860)); #58085=EDGE_LOOP('',(#298861,#298862,#298863,#298864)); #58086=EDGE_LOOP('',(#298865,#298866,#298867,#298868)); #58087=EDGE_LOOP('',(#298869,#298870,#298871,#298872)); #58088=EDGE_LOOP('',(#298873,#298874,#298875,#298876,#298877,#298878,#298879, #298880,#298881,#298882,#298883,#298884,#298885,#298886,#298887,#298888, #298889,#298890,#298891,#298892,#298893,#298894,#298895,#298896,#298897, #298898,#298899,#298900,#298901,#298902,#298903,#298904,#298905,#298906, #298907,#298908,#298909,#298910,#298911,#298912,#298913,#298914,#298915, #298916,#298917,#298918,#298919,#298920,#298921,#298922,#298923,#298924)); #58089=EDGE_LOOP('',(#298925,#298926,#298927,#298928)); #58090=EDGE_LOOP('',(#298929,#298930,#298931,#298932)); #58091=EDGE_LOOP('',(#298933,#298934,#298935,#298936)); #58092=EDGE_LOOP('',(#298937,#298938,#298939,#298940)); #58093=EDGE_LOOP('',(#298941,#298942,#298943,#298944)); #58094=EDGE_LOOP('',(#298945,#298946,#298947,#298948)); #58095=EDGE_LOOP('',(#298949,#298950,#298951,#298952)); #58096=EDGE_LOOP('',(#298953,#298954,#298955,#298956)); #58097=EDGE_LOOP('',(#298957,#298958,#298959,#298960)); #58098=EDGE_LOOP('',(#298961,#298962,#298963,#298964)); #58099=EDGE_LOOP('',(#298965,#298966,#298967,#298968)); #58100=EDGE_LOOP('',(#298969,#298970,#298971,#298972)); #58101=EDGE_LOOP('',(#298973,#298974,#298975,#298976)); #58102=EDGE_LOOP('',(#298977,#298978,#298979,#298980)); #58103=EDGE_LOOP('',(#298981,#298982,#298983,#298984)); #58104=EDGE_LOOP('',(#298985,#298986,#298987,#298988)); #58105=EDGE_LOOP('',(#298989,#298990,#298991,#298992)); #58106=EDGE_LOOP('',(#298993,#298994,#298995,#298996)); #58107=EDGE_LOOP('',(#298997,#298998,#298999,#299000)); #58108=EDGE_LOOP('',(#299001,#299002,#299003,#299004)); #58109=EDGE_LOOP('',(#299005,#299006,#299007,#299008)); #58110=EDGE_LOOP('',(#299009,#299010,#299011,#299012)); #58111=EDGE_LOOP('',(#299013,#299014,#299015,#299016)); #58112=EDGE_LOOP('',(#299017,#299018,#299019,#299020)); #58113=EDGE_LOOP('',(#299021,#299022,#299023,#299024,#299025,#299026)); #58114=EDGE_LOOP('',(#299027,#299028,#299029,#299030)); #58115=EDGE_LOOP('',(#299031,#299032,#299033,#299034,#299035,#299036,#299037, #299038)); #58116=EDGE_LOOP('',(#299039,#299040,#299041,#299042,#299043,#299044,#299045, #299046)); #58117=EDGE_LOOP('',(#299047,#299048,#299049,#299050)); #58118=EDGE_LOOP('',(#299051,#299052,#299053,#299054,#299055,#299056)); #58119=EDGE_LOOP('',(#299057,#299058,#299059,#299060,#299061,#299062,#299063, #299064)); #58120=EDGE_LOOP('',(#299065,#299066,#299067,#299068,#299069,#299070,#299071, #299072,#299073,#299074,#299075,#299076,#299077,#299078,#299079,#299080, #299081,#299082,#299083)); #58121=EDGE_LOOP('',(#299084,#299085,#299086,#299087)); #58122=EDGE_LOOP('',(#299088,#299089,#299090,#299091)); #58123=EDGE_LOOP('',(#299092,#299093,#299094,#299095,#299096)); #58124=EDGE_LOOP('',(#299097,#299098,#299099,#299100)); #58125=EDGE_LOOP('',(#299101,#299102,#299103,#299104)); #58126=EDGE_LOOP('',(#299105,#299106,#299107,#299108)); #58127=EDGE_LOOP('',(#299109,#299110,#299111,#299112,#299113)); #58128=EDGE_LOOP('',(#299114,#299115,#299116,#299117)); #58129=EDGE_LOOP('',(#299118,#299119,#299120,#299121)); #58130=EDGE_LOOP('',(#299122,#299123,#299124,#299125)); #58131=EDGE_LOOP('',(#299126,#299127,#299128,#299129)); #58132=EDGE_LOOP('',(#299130,#299131,#299132,#299133)); #58133=EDGE_LOOP('',(#299134,#299135,#299136,#299137)); #58134=EDGE_LOOP('',(#299138,#299139,#299140,#299141)); #58135=EDGE_LOOP('',(#299142,#299143,#299144,#299145)); #58136=EDGE_LOOP('',(#299146,#299147,#299148,#299149)); #58137=EDGE_LOOP('',(#299150,#299151,#299152,#299153)); #58138=EDGE_LOOP('',(#299154,#299155,#299156,#299157)); #58139=EDGE_LOOP('',(#299158,#299159,#299160,#299161)); #58140=EDGE_LOOP('',(#299162,#299163,#299164,#299165)); #58141=EDGE_LOOP('',(#299166,#299167,#299168,#299169)); #58142=EDGE_LOOP('',(#299170,#299171,#299172,#299173)); #58143=EDGE_LOOP('',(#299174,#299175,#299176,#299177)); #58144=EDGE_LOOP('',(#299178,#299179,#299180,#299181)); #58145=EDGE_LOOP('',(#299182,#299183,#299184,#299185)); #58146=EDGE_LOOP('',(#299186,#299187,#299188,#299189)); #58147=EDGE_LOOP('',(#299190,#299191,#299192,#299193)); #58148=EDGE_LOOP('',(#299194,#299195,#299196,#299197)); #58149=EDGE_LOOP('',(#299198,#299199,#299200,#299201)); #58150=EDGE_LOOP('',(#299202,#299203,#299204,#299205)); #58151=EDGE_LOOP('',(#299206,#299207,#299208,#299209)); #58152=EDGE_LOOP('',(#299210,#299211,#299212,#299213)); #58153=EDGE_LOOP('',(#299214,#299215,#299216,#299217)); #58154=EDGE_LOOP('',(#299218,#299219,#299220,#299221)); #58155=EDGE_LOOP('',(#299222,#299223,#299224,#299225)); #58156=EDGE_LOOP('',(#299226,#299227,#299228,#299229)); #58157=EDGE_LOOP('',(#299230,#299231,#299232,#299233)); #58158=EDGE_LOOP('',(#299234,#299235,#299236,#299237)); #58159=EDGE_LOOP('',(#299238,#299239,#299240,#299241,#299242,#299243,#299244, #299245,#299246,#299247,#299248,#299249,#299250,#299251,#299252,#299253, #299254,#299255,#299256,#299257,#299258,#299259,#299260,#299261,#299262, #299263,#299264,#299265,#299266,#299267,#299268,#299269,#299270,#299271)); #58160=EDGE_LOOP('',(#299272,#299273,#299274,#299275)); #58161=EDGE_LOOP('',(#299276,#299277,#299278,#299279)); #58162=EDGE_LOOP('',(#299280,#299281,#299282,#299283)); #58163=EDGE_LOOP('',(#299284,#299285,#299286,#299287)); #58164=EDGE_LOOP('',(#299288,#299289,#299290,#299291)); #58165=EDGE_LOOP('',(#299292,#299293,#299294,#299295)); #58166=EDGE_LOOP('',(#299296,#299297,#299298,#299299,#299300)); #58167=EDGE_LOOP('',(#299301,#299302,#299303,#299304)); #58168=EDGE_LOOP('',(#299305,#299306,#299307,#299308,#299309)); #58169=EDGE_LOOP('',(#299310,#299311,#299312,#299313)); #58170=EDGE_LOOP('',(#299314,#299315,#299316,#299317)); #58171=EDGE_LOOP('',(#299318,#299319,#299320,#299321,#299322,#299323,#299324, #299325,#299326,#299327,#299328,#299329)); #58172=EDGE_LOOP('',(#299330,#299331,#299332,#299333,#299334,#299335,#299336, #299337,#299338,#299339,#299340,#299341)); #58173=EDGE_LOOP('',(#299342,#299343,#299344,#299345)); #58174=EDGE_LOOP('',(#299346,#299347,#299348,#299349,#299350,#299351)); #58175=EDGE_LOOP('',(#299352,#299353,#299354,#299355)); #58176=EDGE_LOOP('',(#299356,#299357,#299358,#299359,#299360,#299361)); #58177=EDGE_LOOP('',(#299362,#299363,#299364,#299365,#299366,#299367,#299368, #299369)); #58178=EDGE_LOOP('',(#299370,#299371,#299372,#299373,#299374,#299375,#299376, #299377)); #58179=EDGE_LOOP('',(#299378,#299379,#299380,#299381)); #58180=EDGE_LOOP('',(#299382,#299383,#299384,#299385)); #58181=EDGE_LOOP('',(#299386,#299387,#299388,#299389)); #58182=EDGE_LOOP('',(#299390,#299391,#299392,#299393)); #58183=EDGE_LOOP('',(#299394,#299395,#299396,#299397)); #58184=EDGE_LOOP('',(#299398,#299399,#299400,#299401)); #58185=EDGE_LOOP('',(#299402,#299403,#299404,#299405)); #58186=EDGE_LOOP('',(#299406,#299407,#299408,#299409)); #58187=EDGE_LOOP('',(#299410,#299411,#299412,#299413)); #58188=EDGE_LOOP('',(#299414,#299415,#299416,#299417)); #58189=EDGE_LOOP('',(#299418,#299419,#299420,#299421)); #58190=EDGE_LOOP('',(#299422,#299423,#299424,#299425)); #58191=EDGE_LOOP('',(#299426,#299427,#299428,#299429,#299430,#299431,#299432, #299433,#299434,#299435,#299436,#299437)); #58192=EDGE_LOOP('',(#299438,#299439,#299440,#299441,#299442,#299443,#299444, #299445,#299446,#299447,#299448,#299449)); #58193=EDGE_LOOP('',(#299450,#299451,#299452,#299453)); #58194=EDGE_LOOP('',(#299454,#299455,#299456,#299457,#299458,#299459)); #58195=EDGE_LOOP('',(#299460,#299461,#299462,#299463)); #58196=EDGE_LOOP('',(#299464,#299465,#299466,#299467,#299468,#299469)); #58197=EDGE_LOOP('',(#299470,#299471,#299472,#299473,#299474,#299475,#299476, #299477)); #58198=EDGE_LOOP('',(#299478,#299479,#299480,#299481,#299482,#299483,#299484, #299485)); #58199=EDGE_LOOP('',(#299486,#299487,#299488,#299489)); #58200=EDGE_LOOP('',(#299490,#299491,#299492,#299493)); #58201=EDGE_LOOP('',(#299494,#299495,#299496,#299497)); #58202=EDGE_LOOP('',(#299498,#299499,#299500,#299501)); #58203=EDGE_LOOP('',(#299502,#299503,#299504,#299505)); #58204=EDGE_LOOP('',(#299506,#299507,#299508,#299509)); #58205=EDGE_LOOP('',(#299510,#299511,#299512,#299513)); #58206=EDGE_LOOP('',(#299514,#299515,#299516,#299517)); #58207=EDGE_LOOP('',(#299518,#299519,#299520,#299521)); #58208=EDGE_LOOP('',(#299522,#299523,#299524,#299525)); #58209=EDGE_LOOP('',(#299526,#299527,#299528,#299529)); #58210=EDGE_LOOP('',(#299530,#299531,#299532,#299533)); #58211=EDGE_LOOP('',(#299534,#299535,#299536,#299537,#299538,#299539,#299540, #299541,#299542,#299543,#299544,#299545)); #58212=EDGE_LOOP('',(#299546,#299547,#299548,#299549,#299550,#299551,#299552, #299553,#299554,#299555,#299556,#299557)); #58213=EDGE_LOOP('',(#299558,#299559,#299560,#299561)); #58214=EDGE_LOOP('',(#299562,#299563,#299564,#299565,#299566,#299567)); #58215=EDGE_LOOP('',(#299568,#299569,#299570,#299571)); #58216=EDGE_LOOP('',(#299572,#299573,#299574,#299575,#299576,#299577)); #58217=EDGE_LOOP('',(#299578,#299579,#299580,#299581,#299582,#299583,#299584, #299585)); #58218=EDGE_LOOP('',(#299586,#299587,#299588,#299589,#299590,#299591,#299592, #299593)); #58219=EDGE_LOOP('',(#299594,#299595,#299596,#299597)); #58220=EDGE_LOOP('',(#299598,#299599,#299600,#299601)); #58221=EDGE_LOOP('',(#299602,#299603,#299604,#299605)); #58222=EDGE_LOOP('',(#299606,#299607,#299608,#299609)); #58223=EDGE_LOOP('',(#299610,#299611,#299612,#299613)); #58224=EDGE_LOOP('',(#299614,#299615,#299616,#299617)); #58225=EDGE_LOOP('',(#299618,#299619,#299620,#299621)); #58226=EDGE_LOOP('',(#299622,#299623,#299624,#299625)); #58227=EDGE_LOOP('',(#299626,#299627,#299628,#299629)); #58228=EDGE_LOOP('',(#299630,#299631,#299632,#299633)); #58229=EDGE_LOOP('',(#299634,#299635,#299636,#299637)); #58230=EDGE_LOOP('',(#299638,#299639,#299640,#299641)); #58231=EDGE_LOOP('',(#299642,#299643,#299644,#299645,#299646,#299647,#299648, #299649,#299650,#299651,#299652,#299653)); #58232=EDGE_LOOP('',(#299654,#299655,#299656,#299657,#299658,#299659,#299660, #299661,#299662,#299663,#299664,#299665)); #58233=EDGE_LOOP('',(#299666,#299667,#299668,#299669)); #58234=EDGE_LOOP('',(#299670,#299671,#299672,#299673,#299674,#299675)); #58235=EDGE_LOOP('',(#299676,#299677,#299678,#299679)); #58236=EDGE_LOOP('',(#299680,#299681,#299682,#299683,#299684,#299685)); #58237=EDGE_LOOP('',(#299686,#299687,#299688,#299689,#299690,#299691,#299692, #299693)); #58238=EDGE_LOOP('',(#299694,#299695,#299696,#299697,#299698,#299699,#299700, #299701)); #58239=EDGE_LOOP('',(#299702,#299703,#299704,#299705)); #58240=EDGE_LOOP('',(#299706,#299707,#299708,#299709)); #58241=EDGE_LOOP('',(#299710,#299711,#299712,#299713)); #58242=EDGE_LOOP('',(#299714,#299715,#299716,#299717)); #58243=EDGE_LOOP('',(#299718,#299719,#299720,#299721)); #58244=EDGE_LOOP('',(#299722,#299723,#299724,#299725)); #58245=EDGE_LOOP('',(#299726,#299727,#299728,#299729)); #58246=EDGE_LOOP('',(#299730,#299731,#299732,#299733)); #58247=EDGE_LOOP('',(#299734,#299735,#299736,#299737)); #58248=EDGE_LOOP('',(#299738,#299739,#299740,#299741)); #58249=EDGE_LOOP('',(#299742,#299743,#299744,#299745)); #58250=EDGE_LOOP('',(#299746,#299747,#299748,#299749)); #58251=EDGE_LOOP('',(#299750,#299751,#299752,#299753,#299754,#299755,#299756, #299757,#299758,#299759,#299760,#299761)); #58252=EDGE_LOOP('',(#299762,#299763,#299764,#299765,#299766,#299767,#299768, #299769,#299770,#299771,#299772,#299773)); #58253=EDGE_LOOP('',(#299774,#299775,#299776,#299777)); #58254=EDGE_LOOP('',(#299778,#299779,#299780,#299781,#299782,#299783)); #58255=EDGE_LOOP('',(#299784,#299785,#299786,#299787)); #58256=EDGE_LOOP('',(#299788,#299789,#299790,#299791,#299792,#299793)); #58257=EDGE_LOOP('',(#299794,#299795,#299796,#299797,#299798,#299799,#299800, #299801)); #58258=EDGE_LOOP('',(#299802,#299803,#299804,#299805,#299806,#299807,#299808, #299809)); #58259=EDGE_LOOP('',(#299810,#299811,#299812,#299813)); #58260=EDGE_LOOP('',(#299814,#299815,#299816,#299817)); #58261=EDGE_LOOP('',(#299818,#299819,#299820,#299821)); #58262=EDGE_LOOP('',(#299822,#299823,#299824,#299825)); #58263=EDGE_LOOP('',(#299826,#299827,#299828,#299829)); #58264=EDGE_LOOP('',(#299830,#299831,#299832,#299833)); #58265=EDGE_LOOP('',(#299834,#299835,#299836,#299837)); #58266=EDGE_LOOP('',(#299838,#299839,#299840,#299841)); #58267=EDGE_LOOP('',(#299842,#299843,#299844,#299845)); #58268=EDGE_LOOP('',(#299846,#299847,#299848,#299849)); #58269=EDGE_LOOP('',(#299850,#299851,#299852,#299853)); #58270=EDGE_LOOP('',(#299854,#299855,#299856,#299857)); #58271=EDGE_LOOP('',(#299858,#299859,#299860,#299861,#299862,#299863,#299864, #299865,#299866,#299867,#299868,#299869)); #58272=EDGE_LOOP('',(#299870,#299871,#299872,#299873,#299874,#299875,#299876, #299877,#299878,#299879,#299880,#299881)); #58273=EDGE_LOOP('',(#299882,#299883,#299884,#299885)); #58274=EDGE_LOOP('',(#299886,#299887,#299888,#299889,#299890,#299891)); #58275=EDGE_LOOP('',(#299892,#299893,#299894,#299895)); #58276=EDGE_LOOP('',(#299896,#299897,#299898,#299899,#299900,#299901)); #58277=EDGE_LOOP('',(#299902,#299903,#299904,#299905,#299906,#299907,#299908, #299909)); #58278=EDGE_LOOP('',(#299910,#299911,#299912,#299913,#299914,#299915,#299916, #299917)); #58279=EDGE_LOOP('',(#299918,#299919,#299920,#299921)); #58280=EDGE_LOOP('',(#299922,#299923,#299924,#299925)); #58281=EDGE_LOOP('',(#299926,#299927,#299928,#299929)); #58282=EDGE_LOOP('',(#299930,#299931,#299932,#299933)); #58283=EDGE_LOOP('',(#299934,#299935,#299936,#299937)); #58284=EDGE_LOOP('',(#299938,#299939,#299940,#299941)); #58285=EDGE_LOOP('',(#299942,#299943,#299944,#299945)); #58286=EDGE_LOOP('',(#299946,#299947,#299948,#299949)); #58287=EDGE_LOOP('',(#299950,#299951,#299952,#299953)); #58288=EDGE_LOOP('',(#299954,#299955,#299956,#299957)); #58289=EDGE_LOOP('',(#299958,#299959,#299960,#299961)); #58290=EDGE_LOOP('',(#299962,#299963,#299964,#299965)); #58291=EDGE_LOOP('',(#299966,#299967,#299968,#299969,#299970,#299971,#299972, #299973,#299974,#299975,#299976,#299977)); #58292=EDGE_LOOP('',(#299978,#299979,#299980,#299981,#299982,#299983,#299984, #299985,#299986,#299987,#299988,#299989)); #58293=EDGE_LOOP('',(#299990,#299991,#299992,#299993)); #58294=EDGE_LOOP('',(#299994,#299995,#299996,#299997,#299998,#299999)); #58295=EDGE_LOOP('',(#300000,#300001,#300002,#300003)); #58296=EDGE_LOOP('',(#300004,#300005,#300006,#300007,#300008,#300009)); #58297=EDGE_LOOP('',(#300010,#300011,#300012,#300013,#300014,#300015,#300016, #300017)); #58298=EDGE_LOOP('',(#300018,#300019,#300020,#300021,#300022,#300023,#300024, #300025)); #58299=EDGE_LOOP('',(#300026,#300027,#300028,#300029)); #58300=EDGE_LOOP('',(#300030,#300031,#300032,#300033)); #58301=EDGE_LOOP('',(#300034,#300035,#300036,#300037)); #58302=EDGE_LOOP('',(#300038,#300039,#300040,#300041)); #58303=EDGE_LOOP('',(#300042,#300043,#300044,#300045)); #58304=EDGE_LOOP('',(#300046,#300047,#300048,#300049)); #58305=EDGE_LOOP('',(#300050,#300051,#300052,#300053)); #58306=EDGE_LOOP('',(#300054,#300055,#300056,#300057)); #58307=EDGE_LOOP('',(#300058,#300059,#300060,#300061)); #58308=EDGE_LOOP('',(#300062,#300063,#300064,#300065)); #58309=EDGE_LOOP('',(#300066,#300067,#300068,#300069)); #58310=EDGE_LOOP('',(#300070,#300071,#300072,#300073)); #58311=EDGE_LOOP('',(#300074,#300075,#300076,#300077,#300078,#300079,#300080, #300081,#300082,#300083,#300084,#300085)); #58312=EDGE_LOOP('',(#300086,#300087,#300088,#300089,#300090,#300091,#300092, #300093,#300094,#300095,#300096,#300097)); #58313=EDGE_LOOP('',(#300098,#300099,#300100,#300101)); #58314=EDGE_LOOP('',(#300102,#300103,#300104,#300105,#300106,#300107)); #58315=EDGE_LOOP('',(#300108,#300109,#300110,#300111)); #58316=EDGE_LOOP('',(#300112,#300113,#300114,#300115,#300116,#300117)); #58317=EDGE_LOOP('',(#300118,#300119,#300120,#300121,#300122,#300123,#300124, #300125)); #58318=EDGE_LOOP('',(#300126,#300127,#300128,#300129,#300130,#300131,#300132, #300133)); #58319=EDGE_LOOP('',(#300134,#300135,#300136,#300137)); #58320=EDGE_LOOP('',(#300138,#300139,#300140,#300141)); #58321=EDGE_LOOP('',(#300142,#300143,#300144,#300145)); #58322=EDGE_LOOP('',(#300146,#300147,#300148,#300149)); #58323=EDGE_LOOP('',(#300150,#300151,#300152,#300153)); #58324=EDGE_LOOP('',(#300154,#300155,#300156,#300157)); #58325=EDGE_LOOP('',(#300158,#300159,#300160,#300161)); #58326=EDGE_LOOP('',(#300162,#300163,#300164,#300165)); #58327=EDGE_LOOP('',(#300166,#300167,#300168,#300169)); #58328=EDGE_LOOP('',(#300170,#300171,#300172,#300173)); #58329=EDGE_LOOP('',(#300174,#300175,#300176,#300177)); #58330=EDGE_LOOP('',(#300178,#300179,#300180,#300181)); #58331=EDGE_LOOP('',(#300182,#300183,#300184,#300185,#300186,#300187,#300188, #300189,#300190,#300191,#300192,#300193)); #58332=EDGE_LOOP('',(#300194,#300195,#300196,#300197,#300198,#300199,#300200, #300201,#300202,#300203,#300204,#300205)); #58333=EDGE_LOOP('',(#300206,#300207,#300208,#300209)); #58334=EDGE_LOOP('',(#300210,#300211,#300212,#300213,#300214,#300215)); #58335=EDGE_LOOP('',(#300216,#300217,#300218,#300219)); #58336=EDGE_LOOP('',(#300220,#300221,#300222,#300223,#300224,#300225)); #58337=EDGE_LOOP('',(#300226,#300227,#300228,#300229,#300230,#300231,#300232, #300233)); #58338=EDGE_LOOP('',(#300234,#300235,#300236,#300237,#300238,#300239,#300240, #300241)); #58339=EDGE_LOOP('',(#300242,#300243,#300244,#300245)); #58340=EDGE_LOOP('',(#300246,#300247,#300248,#300249)); #58341=EDGE_LOOP('',(#300250,#300251,#300252,#300253)); #58342=EDGE_LOOP('',(#300254,#300255,#300256,#300257)); #58343=EDGE_LOOP('',(#300258,#300259,#300260,#300261)); #58344=EDGE_LOOP('',(#300262,#300263,#300264,#300265)); #58345=EDGE_LOOP('',(#300266,#300267,#300268,#300269)); #58346=EDGE_LOOP('',(#300270,#300271,#300272,#300273)); #58347=EDGE_LOOP('',(#300274,#300275,#300276,#300277)); #58348=EDGE_LOOP('',(#300278,#300279,#300280,#300281)); #58349=EDGE_LOOP('',(#300282,#300283,#300284,#300285)); #58350=EDGE_LOOP('',(#300286,#300287,#300288,#300289)); #58351=EDGE_LOOP('',(#300290,#300291,#300292,#300293,#300294,#300295,#300296, #300297,#300298,#300299,#300300,#300301)); #58352=EDGE_LOOP('',(#300302,#300303,#300304,#300305,#300306,#300307,#300308, #300309,#300310,#300311,#300312,#300313)); #58353=EDGE_LOOP('',(#300314,#300315,#300316,#300317)); #58354=EDGE_LOOP('',(#300318,#300319,#300320,#300321,#300322,#300323)); #58355=EDGE_LOOP('',(#300324,#300325,#300326,#300327)); #58356=EDGE_LOOP('',(#300328,#300329,#300330,#300331,#300332,#300333)); #58357=EDGE_LOOP('',(#300334,#300335,#300336,#300337,#300338,#300339,#300340, #300341)); #58358=EDGE_LOOP('',(#300342,#300343,#300344,#300345,#300346,#300347,#300348, #300349)); #58359=EDGE_LOOP('',(#300350,#300351,#300352,#300353)); #58360=EDGE_LOOP('',(#300354,#300355,#300356,#300357)); #58361=EDGE_LOOP('',(#300358,#300359,#300360,#300361)); #58362=EDGE_LOOP('',(#300362,#300363,#300364,#300365)); #58363=EDGE_LOOP('',(#300366,#300367,#300368,#300369)); #58364=EDGE_LOOP('',(#300370,#300371,#300372,#300373)); #58365=EDGE_LOOP('',(#300374,#300375,#300376,#300377)); #58366=EDGE_LOOP('',(#300378,#300379,#300380,#300381)); #58367=EDGE_LOOP('',(#300382,#300383,#300384,#300385)); #58368=EDGE_LOOP('',(#300386,#300387,#300388,#300389)); #58369=EDGE_LOOP('',(#300390,#300391,#300392,#300393)); #58370=EDGE_LOOP('',(#300394,#300395,#300396,#300397)); #58371=EDGE_LOOP('',(#300398,#300399,#300400,#300401,#300402,#300403,#300404, #300405,#300406,#300407,#300408,#300409)); #58372=EDGE_LOOP('',(#300410,#300411,#300412,#300413,#300414,#300415,#300416, #300417,#300418,#300419,#300420,#300421)); #58373=EDGE_LOOP('',(#300422,#300423,#300424,#300425)); #58374=EDGE_LOOP('',(#300426,#300427,#300428,#300429,#300430,#300431)); #58375=EDGE_LOOP('',(#300432,#300433,#300434,#300435)); #58376=EDGE_LOOP('',(#300436,#300437,#300438,#300439,#300440,#300441)); #58377=EDGE_LOOP('',(#300442,#300443,#300444,#300445,#300446,#300447,#300448, #300449)); #58378=EDGE_LOOP('',(#300450,#300451,#300452,#300453,#300454,#300455,#300456, #300457)); #58379=EDGE_LOOP('',(#300458,#300459,#300460,#300461)); #58380=EDGE_LOOP('',(#300462,#300463,#300464,#300465)); #58381=EDGE_LOOP('',(#300466,#300467,#300468,#300469)); #58382=EDGE_LOOP('',(#300470,#300471,#300472,#300473)); #58383=EDGE_LOOP('',(#300474,#300475,#300476,#300477)); #58384=EDGE_LOOP('',(#300478,#300479,#300480,#300481)); #58385=EDGE_LOOP('',(#300482,#300483,#300484,#300485)); #58386=EDGE_LOOP('',(#300486,#300487,#300488,#300489)); #58387=EDGE_LOOP('',(#300490,#300491,#300492,#300493)); #58388=EDGE_LOOP('',(#300494,#300495,#300496,#300497)); #58389=EDGE_LOOP('',(#300498,#300499,#300500,#300501)); #58390=EDGE_LOOP('',(#300502,#300503,#300504,#300505)); #58391=EDGE_LOOP('',(#300506,#300507,#300508,#300509,#300510,#300511,#300512, #300513,#300514,#300515,#300516,#300517)); #58392=EDGE_LOOP('',(#300518,#300519,#300520,#300521,#300522,#300523,#300524, #300525,#300526,#300527,#300528,#300529)); #58393=EDGE_LOOP('',(#300530,#300531,#300532,#300533)); #58394=EDGE_LOOP('',(#300534,#300535,#300536,#300537,#300538,#300539)); #58395=EDGE_LOOP('',(#300540,#300541,#300542,#300543)); #58396=EDGE_LOOP('',(#300544,#300545,#300546,#300547,#300548,#300549)); #58397=EDGE_LOOP('',(#300550,#300551,#300552,#300553,#300554,#300555,#300556, #300557)); #58398=EDGE_LOOP('',(#300558,#300559,#300560,#300561,#300562,#300563,#300564, #300565)); #58399=EDGE_LOOP('',(#300566,#300567,#300568,#300569)); #58400=EDGE_LOOP('',(#300570,#300571,#300572,#300573)); #58401=EDGE_LOOP('',(#300574,#300575,#300576,#300577)); #58402=EDGE_LOOP('',(#300578,#300579,#300580,#300581)); #58403=EDGE_LOOP('',(#300582,#300583,#300584,#300585)); #58404=EDGE_LOOP('',(#300586,#300587,#300588,#300589)); #58405=EDGE_LOOP('',(#300590,#300591,#300592,#300593)); #58406=EDGE_LOOP('',(#300594,#300595,#300596,#300597)); #58407=EDGE_LOOP('',(#300598,#300599,#300600,#300601)); #58408=EDGE_LOOP('',(#300602,#300603,#300604,#300605)); #58409=EDGE_LOOP('',(#300606,#300607,#300608,#300609)); #58410=EDGE_LOOP('',(#300610,#300611,#300612,#300613)); #58411=EDGE_LOOP('',(#300614,#300615,#300616,#300617,#300618,#300619,#300620, #300621,#300622,#300623,#300624,#300625)); #58412=EDGE_LOOP('',(#300626,#300627,#300628,#300629,#300630,#300631,#300632, #300633,#300634,#300635,#300636,#300637)); #58413=EDGE_LOOP('',(#300638,#300639,#300640,#300641)); #58414=EDGE_LOOP('',(#300642,#300643,#300644,#300645,#300646,#300647)); #58415=EDGE_LOOP('',(#300648,#300649,#300650,#300651)); #58416=EDGE_LOOP('',(#300652,#300653,#300654,#300655,#300656,#300657)); #58417=EDGE_LOOP('',(#300658,#300659,#300660,#300661,#300662,#300663,#300664, #300665)); #58418=EDGE_LOOP('',(#300666,#300667,#300668,#300669,#300670,#300671,#300672, #300673)); #58419=EDGE_LOOP('',(#300674,#300675,#300676,#300677)); #58420=EDGE_LOOP('',(#300678,#300679,#300680,#300681)); #58421=EDGE_LOOP('',(#300682,#300683,#300684,#300685)); #58422=EDGE_LOOP('',(#300686,#300687,#300688,#300689)); #58423=EDGE_LOOP('',(#300690,#300691,#300692,#300693)); #58424=EDGE_LOOP('',(#300694,#300695,#300696,#300697)); #58425=EDGE_LOOP('',(#300698,#300699,#300700,#300701)); #58426=EDGE_LOOP('',(#300702,#300703,#300704,#300705)); #58427=EDGE_LOOP('',(#300706,#300707,#300708,#300709)); #58428=EDGE_LOOP('',(#300710,#300711,#300712,#300713)); #58429=EDGE_LOOP('',(#300714,#300715,#300716,#300717)); #58430=EDGE_LOOP('',(#300718,#300719,#300720,#300721)); #58431=EDGE_LOOP('',(#300722,#300723,#300724,#300725,#300726,#300727,#300728, #300729,#300730,#300731,#300732,#300733)); #58432=EDGE_LOOP('',(#300734,#300735,#300736,#300737,#300738,#300739,#300740, #300741,#300742,#300743,#300744,#300745)); #58433=EDGE_LOOP('',(#300746,#300747,#300748,#300749)); #58434=EDGE_LOOP('',(#300750,#300751,#300752,#300753,#300754,#300755)); #58435=EDGE_LOOP('',(#300756,#300757,#300758,#300759)); #58436=EDGE_LOOP('',(#300760,#300761,#300762,#300763,#300764,#300765)); #58437=EDGE_LOOP('',(#300766,#300767,#300768,#300769,#300770,#300771,#300772, #300773)); #58438=EDGE_LOOP('',(#300774,#300775,#300776,#300777,#300778,#300779,#300780, #300781)); #58439=EDGE_LOOP('',(#300782,#300783,#300784,#300785)); #58440=EDGE_LOOP('',(#300786,#300787,#300788,#300789)); #58441=EDGE_LOOP('',(#300790,#300791,#300792,#300793)); #58442=EDGE_LOOP('',(#300794,#300795,#300796,#300797)); #58443=EDGE_LOOP('',(#300798,#300799,#300800,#300801)); #58444=EDGE_LOOP('',(#300802,#300803,#300804,#300805)); #58445=EDGE_LOOP('',(#300806,#300807,#300808,#300809)); #58446=EDGE_LOOP('',(#300810,#300811,#300812,#300813)); #58447=EDGE_LOOP('',(#300814,#300815,#300816,#300817)); #58448=EDGE_LOOP('',(#300818,#300819,#300820,#300821)); #58449=EDGE_LOOP('',(#300822,#300823,#300824,#300825)); #58450=EDGE_LOOP('',(#300826,#300827,#300828,#300829)); #58451=EDGE_LOOP('',(#300830,#300831,#300832,#300833)); #58452=EDGE_LOOP('',(#300834,#300835,#300836,#300837)); #58453=EDGE_LOOP('',(#300838,#300839,#300840,#300841)); #58454=EDGE_LOOP('',(#300842,#300843,#300844,#300845)); #58455=EDGE_LOOP('',(#300846,#300847,#300848,#300849)); #58456=EDGE_LOOP('',(#300850,#300851,#300852,#300853)); #58457=EDGE_LOOP('',(#300854,#300855,#300856,#300857)); #58458=EDGE_LOOP('',(#300858,#300859,#300860,#300861)); #58459=EDGE_LOOP('',(#300862,#300863,#300864,#300865)); #58460=EDGE_LOOP('',(#300866,#300867,#300868,#300869)); #58461=EDGE_LOOP('',(#300870,#300871,#300872,#300873)); #58462=EDGE_LOOP('',(#300874,#300875,#300876,#300877)); #58463=EDGE_LOOP('',(#300878,#300879,#300880,#300881)); #58464=EDGE_LOOP('',(#300882,#300883,#300884,#300885)); #58465=EDGE_LOOP('',(#300886,#300887,#300888,#300889)); #58466=EDGE_LOOP('',(#300890,#300891,#300892,#300893)); #58467=EDGE_LOOP('',(#300894,#300895,#300896,#300897)); #58468=EDGE_LOOP('',(#300898,#300899,#300900,#300901)); #58469=EDGE_LOOP('',(#300902,#300903,#300904,#300905)); #58470=EDGE_LOOP('',(#300906,#300907,#300908,#300909)); #58471=EDGE_LOOP('',(#300910,#300911,#300912,#300913)); #58472=EDGE_LOOP('',(#300914,#300915,#300916,#300917)); #58473=EDGE_LOOP('',(#300918,#300919,#300920,#300921)); #58474=EDGE_LOOP('',(#300922,#300923,#300924,#300925)); #58475=EDGE_LOOP('',(#300926,#300927,#300928,#300929)); #58476=EDGE_LOOP('',(#300930,#300931,#300932,#300933)); #58477=EDGE_LOOP('',(#300934,#300935,#300936,#300937)); #58478=EDGE_LOOP('',(#300938,#300939,#300940,#300941)); #58479=EDGE_LOOP('',(#300942,#300943,#300944,#300945)); #58480=EDGE_LOOP('',(#300946,#300947,#300948,#300949)); #58481=EDGE_LOOP('',(#300950,#300951,#300952,#300953)); #58482=EDGE_LOOP('',(#300954,#300955,#300956,#300957)); #58483=EDGE_LOOP('',(#300958,#300959,#300960,#300961)); #58484=EDGE_LOOP('',(#300962,#300963,#300964,#300965)); #58485=EDGE_LOOP('',(#300966,#300967,#300968,#300969)); #58486=EDGE_LOOP('',(#300970,#300971,#300972,#300973,#300974,#300975,#300976, #300977,#300978,#300979,#300980,#300981,#300982,#300983,#300984,#300985, #300986,#300987,#300988,#300989,#300990,#300991,#300992,#300993,#300994, #300995,#300996,#300997,#300998,#300999,#301000,#301001,#301002,#301003, #301004,#301005)); #58487=EDGE_LOOP('',(#301006,#301007,#301008,#301009,#301010,#301011,#301012, #301013,#301014,#301015,#301016,#301017,#301018,#301019,#301020,#301021, #301022,#301023,#301024,#301025,#301026,#301027,#301028,#301029,#301030, #301031,#301032,#301033,#301034,#301035,#301036,#301037,#301038,#301039, #301040,#301041)); #58488=EDGE_LOOP('',(#301042,#301043,#301044,#301045)); #58489=EDGE_LOOP('',(#301046,#301047,#301048,#301049)); #58490=EDGE_LOOP('',(#301050,#301051,#301052,#301053,#301054,#301055,#301056, #301057,#301058,#301059,#301060,#301061,#301062,#301063,#301064,#301065, #301066,#301067,#301068,#301069,#301070,#301071,#301072,#301073,#301074, #301075,#301076,#301077,#301078,#301079,#301080,#301081,#301082,#301083, #301084,#301085,#301086,#301087,#301088)); #58491=EDGE_LOOP('',(#301089,#301090,#301091,#301092,#301093,#301094,#301095, #301096)); #58492=EDGE_LOOP('',(#301097,#301098,#301099,#301100,#301101,#301102,#301103, #301104,#301105,#301106,#301107,#301108,#301109,#301110,#301111,#301112, #301113,#301114,#301115,#301116,#301117,#301118,#301119,#301120,#301121, #301122,#301123,#301124,#301125,#301126,#301127,#301128,#301129,#301130, #301131,#301132,#301133,#301134,#301135)); #58493=EDGE_LOOP('',(#301136,#301137,#301138,#301139,#301140,#301141,#301142, #301143)); #58494=EDGE_LOOP('',(#301144,#301145,#301146,#301147)); #58495=EDGE_LOOP('',(#301148,#301149,#301150,#301151,#301152)); #58496=EDGE_LOOP('',(#301153,#301154,#301155,#301156,#301157)); #58497=EDGE_LOOP('',(#301158,#301159,#301160,#301161,#301162)); #58498=EDGE_LOOP('',(#301163,#301164,#301165,#301166,#301167,#301168,#301169, #301170,#301171,#301172,#301173,#301174)); #58499=EDGE_LOOP('',(#301175,#301176,#301177,#301178,#301179,#301180,#301181, #301182)); #58500=EDGE_LOOP('',(#301183,#301184,#301185,#301186,#301187,#301188,#301189, #301190)); #58501=EDGE_LOOP('',(#301191,#301192,#301193,#301194,#301195,#301196,#301197, #301198,#301199,#301200,#301201,#301202,#301203,#301204,#301205,#301206, #301207,#301208,#301209,#301210,#301211,#301212,#301213,#301214,#301215, #301216,#301217,#301218,#301219,#301220,#301221,#301222,#301223,#301224, #301225,#301226,#301227,#301228,#301229,#301230,#301231,#301232)); #58502=EDGE_LOOP('',(#301233,#301234,#301235,#301236)); #58503=EDGE_LOOP('',(#301237,#301238,#301239,#301240,#301241,#301242,#301243, #301244)); #58504=EDGE_LOOP('',(#301245,#301246,#301247,#301248,#301249,#301250,#301251, #301252,#301253,#301254,#301255,#301256,#301257,#301258,#301259,#301260, #301261,#301262,#301263,#301264,#301265,#301266,#301267,#301268,#301269, #301270,#301271,#301272,#301273,#301274,#301275,#301276,#301277,#301278, #301279,#301280,#301281,#301282,#301283)); #58505=EDGE_LOOP('',(#301284,#301285,#301286,#301287,#301288,#301289,#301290, #301291)); #58506=EDGE_LOOP('',(#301292,#301293,#301294,#301295)); #58507=EDGE_LOOP('',(#301296,#301297,#301298,#301299)); #58508=EDGE_LOOP('',(#301300,#301301,#301302,#301303)); #58509=EDGE_LOOP('',(#301304,#301305,#301306,#301307)); #58510=EDGE_LOOP('',(#301308,#301309,#301310,#301311,#301312,#301313)); #58511=EDGE_LOOP('',(#301314,#301315,#301316,#301317,#301318,#301319,#301320)); #58512=EDGE_LOOP('',(#301321,#301322,#301323,#301324,#301325,#301326,#301327, #301328,#301329,#301330,#301331,#301332,#301333,#301334,#301335,#301336, #301337,#301338,#301339,#301340,#301341,#301342,#301343,#301344,#301345, #301346,#301347,#301348,#301349,#301350,#301351,#301352,#301353,#301354, #301355,#301356,#301357,#301358,#301359,#301360,#301361,#301362)); #58513=EDGE_LOOP('',(#301363,#301364,#301365,#301366)); #58514=EDGE_LOOP('',(#301367,#301368,#301369,#301370,#301371)); #58515=EDGE_LOOP('',(#301372,#301373,#301374,#301375)); #58516=EDGE_LOOP('',(#301376,#301377,#301378,#301379)); #58517=EDGE_LOOP('',(#301380,#301381,#301382,#301383)); #58518=EDGE_LOOP('',(#301384,#301385,#301386,#301387)); #58519=EDGE_LOOP('',(#301388,#301389,#301390,#301391,#301392,#301393,#301394, #301395,#301396,#301397,#301398,#301399,#301400,#301401,#301402,#301403, #301404,#301405,#301406,#301407,#301408,#301409,#301410,#301411,#301412, #301413,#301414,#301415,#301416,#301417,#301418,#301419,#301420,#301421, #301422,#301423,#301424,#301425,#301426)); #58520=EDGE_LOOP('',(#301427,#301428,#301429,#301430,#301431,#301432)); #58521=EDGE_LOOP('',(#301433,#301434,#301435,#301436,#301437,#301438)); #58522=EDGE_LOOP('',(#301439,#301440,#301441,#301442,#301443,#301444,#301445, #301446,#301447,#301448,#301449,#301450,#301451,#301452,#301453,#301454, #301455,#301456,#301457,#301458,#301459,#301460,#301461,#301462,#301463, #301464,#301465,#301466,#301467,#301468,#301469,#301470,#301471,#301472, #301473,#301474,#301475,#301476,#301477)); #58523=EDGE_LOOP('',(#301478,#301479,#301480,#301481,#301482,#301483,#301484, #301485)); #58524=EDGE_LOOP('',(#301486,#301487,#301488,#301489)); #58525=EDGE_LOOP('',(#301490,#301491,#301492,#301493,#301494,#301495)); #58526=EDGE_LOOP('',(#301496,#301497,#301498,#301499)); #58527=EDGE_LOOP('',(#301500,#301501,#301502,#301503,#301504,#301505)); #58528=EDGE_LOOP('',(#301506,#301507,#301508,#301509)); #58529=EDGE_LOOP('',(#301510,#301511,#301512,#301513)); #58530=EDGE_LOOP('',(#301514,#301515,#301516,#301517)); #58531=EDGE_LOOP('',(#301518,#301519,#301520,#301521,#301522)); #58532=EDGE_LOOP('',(#301523,#301524,#301525,#301526,#301527,#301528,#301529)); #58533=EDGE_LOOP('',(#301530,#301531,#301532,#301533)); #58534=EDGE_LOOP('',(#301534,#301535,#301536,#301537,#301538,#301539,#301540, #301541,#301542,#301543,#301544,#301545,#301546,#301547,#301548,#301549, #301550,#301551,#301552,#301553)); #58535=EDGE_LOOP('',(#301554,#301555,#301556,#301557)); #58536=EDGE_LOOP('',(#301558,#301559,#301560,#301561)); #58537=EDGE_LOOP('',(#301562,#301563,#301564,#301565,#301566,#301567)); #58538=EDGE_LOOP('',(#301568,#301569,#301570,#301571,#301572,#301573,#301574, #301575,#301576,#301577,#301578,#301579,#301580,#301581,#301582,#301583, #301584,#301585,#301586,#301587,#301588,#301589,#301590,#301591,#301592, #301593,#301594,#301595,#301596,#301597,#301598,#301599,#301600,#301601, #301602,#301603,#301604,#301605,#301606)); #58539=EDGE_LOOP('',(#301607,#301608,#301609,#301610,#301611,#301612)); #58540=EDGE_LOOP('',(#301613,#301614,#301615,#301616,#301617,#301618)); #58541=EDGE_LOOP('',(#301619,#301620,#301621,#301622,#301623,#301624,#301625, #301626,#301627,#301628,#301629,#301630)); #58542=EDGE_LOOP('',(#301631,#301632,#301633,#301634,#301635,#301636,#301637, #301638)); #58543=EDGE_LOOP('',(#301639,#301640,#301641,#301642,#301643,#301644,#301645, #301646)); #58544=EDGE_LOOP('',(#301647,#301648,#301649,#301650,#301651,#301652)); #58545=EDGE_LOOP('',(#301653,#301654,#301655,#301656,#301657,#301658)); #58546=EDGE_LOOP('',(#301659,#301660,#301661,#301662,#301663)); #58547=EDGE_LOOP('',(#301664,#301665,#301666,#301667,#301668)); #58548=EDGE_LOOP('',(#301669,#301670,#301671,#301672)); #58549=EDGE_LOOP('',(#301673,#301674,#301675,#301676,#301677,#301678)); #58550=EDGE_LOOP('',(#301679,#301680,#301681,#301682,#301683,#301684,#301685, #301686)); #58551=EDGE_LOOP('',(#301687,#301688,#301689,#301690)); #58552=EDGE_LOOP('',(#301691,#301692,#301693,#301694)); #58553=EDGE_LOOP('',(#301695,#301696,#301697,#301698)); #58554=EDGE_LOOP('',(#301699,#301700,#301701,#301702)); #58555=EDGE_LOOP('',(#301703,#301704,#301705,#301706)); #58556=EDGE_LOOP('',(#301707,#301708,#301709,#301710)); #58557=EDGE_LOOP('',(#301711,#301712,#301713,#301714)); #58558=EDGE_LOOP('',(#301715,#301716,#301717,#301718)); #58559=EDGE_LOOP('',(#301719,#301720,#301721,#301722)); #58560=EDGE_LOOP('',(#301723,#301724,#301725,#301726)); #58561=EDGE_LOOP('',(#301727,#301728,#301729,#301730,#301731,#301732)); #58562=EDGE_LOOP('',(#301733,#301734,#301735,#301736)); #58563=EDGE_LOOP('',(#301737,#301738,#301739,#301740)); #58564=EDGE_LOOP('',(#301741,#301742,#301743,#301744)); #58565=EDGE_LOOP('',(#301745,#301746,#301747,#301748,#301749)); #58566=EDGE_LOOP('',(#301750,#301751,#301752,#301753,#301754,#301755,#301756, #301757)); #58567=EDGE_LOOP('',(#301758,#301759,#301760,#301761,#301762,#301763)); #58568=EDGE_LOOP('',(#301764,#301765,#301766,#301767,#301768,#301769)); #58569=EDGE_LOOP('',(#301770,#301771,#301772,#301773)); #58570=EDGE_LOOP('',(#301774,#301775,#301776,#301777)); #58571=EDGE_LOOP('',(#301778,#301779,#301780,#301781)); #58572=EDGE_LOOP('',(#301782,#301783,#301784,#301785)); #58573=EDGE_LOOP('',(#301786,#301787,#301788,#301789)); #58574=EDGE_LOOP('',(#301790,#301791,#301792,#301793)); #58575=EDGE_LOOP('',(#301794,#301795,#301796,#301797)); #58576=EDGE_LOOP('',(#301798,#301799,#301800,#301801)); #58577=EDGE_LOOP('',(#301802,#301803,#301804,#301805,#301806,#301807,#301808, #301809)); #58578=EDGE_LOOP('',(#301810,#301811,#301812,#301813,#301814,#301815)); #58579=EDGE_LOOP('',(#301816,#301817,#301818,#301819,#301820,#301821)); #58580=EDGE_LOOP('',(#301822,#301823,#301824,#301825)); #58581=EDGE_LOOP('',(#301826,#301827,#301828,#301829)); #58582=EDGE_LOOP('',(#301830,#301831,#301832,#301833)); #58583=EDGE_LOOP('',(#301834,#301835,#301836,#301837)); #58584=EDGE_LOOP('',(#301838,#301839,#301840,#301841)); #58585=EDGE_LOOP('',(#301842,#301843,#301844,#301845)); #58586=EDGE_LOOP('',(#301846,#301847,#301848,#301849)); #58587=EDGE_LOOP('',(#301850,#301851,#301852,#301853)); #58588=EDGE_LOOP('',(#301854,#301855,#301856,#301857)); #58589=EDGE_LOOP('',(#301858,#301859,#301860,#301861)); #58590=EDGE_LOOP('',(#301862,#301863,#301864,#301865)); #58591=EDGE_LOOP('',(#301866,#301867,#301868,#301869)); #58592=EDGE_LOOP('',(#301870,#301871,#301872,#301873)); #58593=EDGE_LOOP('',(#301874,#301875,#301876,#301877)); #58594=EDGE_LOOP('',(#301878,#301879,#301880,#301881)); #58595=EDGE_LOOP('',(#301882,#301883,#301884,#301885)); #58596=EDGE_LOOP('',(#301886,#301887,#301888,#301889)); #58597=EDGE_LOOP('',(#301890,#301891,#301892,#301893)); #58598=EDGE_LOOP('',(#301894,#301895,#301896,#301897)); #58599=EDGE_LOOP('',(#301898,#301899,#301900,#301901)); #58600=EDGE_LOOP('',(#301902,#301903,#301904,#301905)); #58601=EDGE_LOOP('',(#301906,#301907,#301908,#301909)); #58602=EDGE_LOOP('',(#301910,#301911,#301912,#301913)); #58603=EDGE_LOOP('',(#301914,#301915,#301916,#301917,#301918)); #58604=EDGE_LOOP('',(#301919,#301920,#301921,#301922)); #58605=EDGE_LOOP('',(#301923,#301924,#301925,#301926)); #58606=EDGE_LOOP('',(#301927,#301928,#301929,#301930)); #58607=EDGE_LOOP('',(#301931,#301932,#301933,#301934,#301935)); #58608=EDGE_LOOP('',(#301936,#301937,#301938,#301939)); #58609=EDGE_LOOP('',(#301940,#301941,#301942,#301943)); #58610=EDGE_LOOP('',(#301944,#301945,#301946,#301947)); #58611=EDGE_LOOP('',(#301948,#301949,#301950,#301951)); #58612=EDGE_LOOP('',(#301952,#301953,#301954,#301955)); #58613=EDGE_LOOP('',(#301956,#301957,#301958,#301959)); #58614=EDGE_LOOP('',(#301960,#301961,#301962,#301963)); #58615=EDGE_LOOP('',(#301964,#301965,#301966,#301967)); #58616=EDGE_LOOP('',(#301968,#301969,#301970,#301971)); #58617=EDGE_LOOP('',(#301972,#301973,#301974,#301975)); #58618=EDGE_LOOP('',(#301976,#301977,#301978,#301979)); #58619=EDGE_LOOP('',(#301980,#301981,#301982,#301983)); #58620=EDGE_LOOP('',(#301984,#301985,#301986,#301987)); #58621=EDGE_LOOP('',(#301988,#301989,#301990,#301991)); #58622=EDGE_LOOP('',(#301992,#301993,#301994,#301995)); #58623=EDGE_LOOP('',(#301996,#301997,#301998,#301999)); #58624=EDGE_LOOP('',(#302000,#302001,#302002,#302003)); #58625=EDGE_LOOP('',(#302004,#302005,#302006,#302007)); #58626=EDGE_LOOP('',(#302008,#302009,#302010,#302011)); #58627=EDGE_LOOP('',(#302012,#302013,#302014,#302015)); #58628=EDGE_LOOP('',(#302016,#302017,#302018,#302019)); #58629=EDGE_LOOP('',(#302020,#302021,#302022,#302023)); #58630=EDGE_LOOP('',(#302024,#302025,#302026,#302027)); #58631=EDGE_LOOP('',(#302028,#302029,#302030,#302031)); #58632=EDGE_LOOP('',(#302032,#302033,#302034,#302035)); #58633=EDGE_LOOP('',(#302036,#302037,#302038,#302039)); #58634=EDGE_LOOP('',(#302040,#302041,#302042,#302043)); #58635=EDGE_LOOP('',(#302044,#302045,#302046,#302047)); #58636=EDGE_LOOP('',(#302048,#302049,#302050,#302051,#302052,#302053,#302054, #302055,#302056,#302057,#302058,#302059,#302060,#302061,#302062,#302063)); #58637=EDGE_LOOP('',(#302064,#302065,#302066,#302067,#302068,#302069,#302070, #302071,#302072,#302073,#302074,#302075,#302076,#302077,#302078,#302079)); #58638=EDGE_LOOP('',(#302080,#302081,#302082,#302083)); #58639=EDGE_LOOP('',(#302084,#302085,#302086,#302087)); #58640=EDGE_LOOP('',(#302088,#302089,#302090,#302091)); #58641=EDGE_LOOP('',(#302092,#302093,#302094,#302095)); #58642=EDGE_LOOP('',(#302096,#302097,#302098,#302099)); #58643=EDGE_LOOP('',(#302100,#302101,#302102,#302103)); #58644=EDGE_LOOP('',(#302104,#302105,#302106,#302107)); #58645=EDGE_LOOP('',(#302108,#302109,#302110,#302111)); #58646=EDGE_LOOP('',(#302112,#302113,#302114,#302115)); #58647=EDGE_LOOP('',(#302116,#302117,#302118,#302119)); #58648=EDGE_LOOP('',(#302120,#302121,#302122,#302123)); #58649=EDGE_LOOP('',(#302124,#302125,#302126,#302127)); #58650=EDGE_LOOP('',(#302128,#302129,#302130,#302131)); #58651=EDGE_LOOP('',(#302132,#302133,#302134,#302135)); #58652=EDGE_LOOP('',(#302136,#302137,#302138,#302139)); #58653=EDGE_LOOP('',(#302140,#302141,#302142,#302143)); #58654=EDGE_LOOP('',(#302144,#302145,#302146,#302147)); #58655=EDGE_LOOP('',(#302148,#302149,#302150,#302151)); #58656=EDGE_LOOP('',(#302152,#302153,#302154,#302155,#302156,#302157,#302158, #302159,#302160,#302161,#302162,#302163,#302164,#302165,#302166,#302167)); #58657=EDGE_LOOP('',(#302168,#302169,#302170,#302171,#302172,#302173,#302174, #302175,#302176,#302177,#302178,#302179,#302180,#302181,#302182,#302183)); #58658=EDGE_LOOP('',(#302184,#302185,#302186,#302187)); #58659=EDGE_LOOP('',(#302188,#302189,#302190,#302191)); #58660=EDGE_LOOP('',(#302192,#302193,#302194,#302195)); #58661=EDGE_LOOP('',(#302196,#302197,#302198,#302199)); #58662=EDGE_LOOP('',(#302200,#302201,#302202,#302203)); #58663=EDGE_LOOP('',(#302204,#302205,#302206,#302207)); #58664=EDGE_LOOP('',(#302208,#302209,#302210,#302211)); #58665=EDGE_LOOP('',(#302212,#302213,#302214,#302215)); #58666=EDGE_LOOP('',(#302216,#302217,#302218,#302219)); #58667=EDGE_LOOP('',(#302220,#302221,#302222,#302223)); #58668=EDGE_LOOP('',(#302224,#302225,#302226,#302227)); #58669=EDGE_LOOP('',(#302228,#302229,#302230,#302231)); #58670=EDGE_LOOP('',(#302232,#302233,#302234,#302235)); #58671=EDGE_LOOP('',(#302236,#302237,#302238,#302239)); #58672=EDGE_LOOP('',(#302240,#302241,#302242,#302243)); #58673=EDGE_LOOP('',(#302244,#302245,#302246,#302247)); #58674=EDGE_LOOP('',(#302248,#302249,#302250,#302251)); #58675=EDGE_LOOP('',(#302252,#302253,#302254,#302255)); #58676=EDGE_LOOP('',(#302256,#302257,#302258,#302259,#302260,#302261,#302262)); #58677=EDGE_LOOP('',(#302263,#302264,#302265,#302266,#302267)); #58678=EDGE_LOOP('',(#302268,#302269,#302270,#302271,#302272,#302273,#302274)); #58679=EDGE_LOOP('',(#302275,#302276,#302277,#302278,#302279,#302280)); #58680=EDGE_LOOP('',(#302281,#302282,#302283,#302284)); #58681=EDGE_LOOP('',(#302285,#302286,#302287,#302288)); #58682=EDGE_LOOP('',(#302289,#302290,#302291,#302292)); #58683=EDGE_LOOP('',(#302293,#302294,#302295,#302296)); #58684=EDGE_LOOP('',(#302297,#302298,#302299,#302300)); #58685=EDGE_LOOP('',(#302301,#302302,#302303,#302304)); #58686=EDGE_LOOP('',(#302305,#302306,#302307)); #58687=EDGE_LOOP('',(#302308,#302309,#302310,#302311)); #58688=EDGE_LOOP('',(#302312,#302313,#302314)); #58689=EDGE_LOOP('',(#302315,#302316,#302317,#302318)); #58690=EDGE_LOOP('',(#302319,#302320,#302321,#302322)); #58691=EDGE_LOOP('',(#302323,#302324,#302325,#302326)); #58692=EDGE_LOOP('',(#302327,#302328,#302329,#302330)); #58693=EDGE_LOOP('',(#302331,#302332,#302333,#302334)); #58694=EDGE_LOOP('',(#302335,#302336,#302337,#302338)); #58695=EDGE_LOOP('',(#302339,#302340,#302341,#302342)); #58696=EDGE_LOOP('',(#302343,#302344,#302345,#302346)); #58697=EDGE_LOOP('',(#302347,#302348,#302349,#302350)); #58698=EDGE_LOOP('',(#302351,#302352,#302353,#302354)); #58699=EDGE_LOOP('',(#302355,#302356,#302357,#302358)); #58700=EDGE_LOOP('',(#302359,#302360,#302361,#302362)); #58701=EDGE_LOOP('',(#302363,#302364,#302365,#302366)); #58702=EDGE_LOOP('',(#302367,#302368,#302369,#302370)); #58703=EDGE_LOOP('',(#302371,#302372,#302373,#302374)); #58704=EDGE_LOOP('',(#302375,#302376,#302377,#302378)); #58705=EDGE_LOOP('',(#302379,#302380,#302381,#302382)); #58706=EDGE_LOOP('',(#302383,#302384,#302385,#302386)); #58707=EDGE_LOOP('',(#302387,#302388,#302389,#302390)); #58708=EDGE_LOOP('',(#302391,#302392,#302393,#302394)); #58709=EDGE_LOOP('',(#302395,#302396,#302397,#302398)); #58710=EDGE_LOOP('',(#302399,#302400,#302401,#302402)); #58711=EDGE_LOOP('',(#302403,#302404,#302405,#302406)); #58712=EDGE_LOOP('',(#302407,#302408,#302409,#302410)); #58713=EDGE_LOOP('',(#302411,#302412,#302413,#302414)); #58714=EDGE_LOOP('',(#302415,#302416,#302417,#302418)); #58715=EDGE_LOOP('',(#302419,#302420,#302421,#302422)); #58716=EDGE_LOOP('',(#302423,#302424,#302425,#302426)); #58717=EDGE_LOOP('',(#302427,#302428,#302429,#302430)); #58718=EDGE_LOOP('',(#302431,#302432,#302433,#302434)); #58719=EDGE_LOOP('',(#302435,#302436,#302437,#302438)); #58720=EDGE_LOOP('',(#302439,#302440,#302441,#302442,#302443)); #58721=EDGE_LOOP('',(#302444,#302445,#302446,#302447)); #58722=EDGE_LOOP('',(#302448,#302449,#302450,#302451)); #58723=EDGE_LOOP('',(#302452,#302453,#302454,#302455)); #58724=EDGE_LOOP('',(#302456,#302457,#302458,#302459,#302460)); #58725=EDGE_LOOP('',(#302461,#302462,#302463,#302464)); #58726=EDGE_LOOP('',(#302465,#302466,#302467,#302468)); #58727=EDGE_LOOP('',(#302469,#302470,#302471,#302472)); #58728=EDGE_LOOP('',(#302473,#302474,#302475,#302476)); #58729=EDGE_LOOP('',(#302477,#302478,#302479,#302480)); #58730=EDGE_LOOP('',(#302481,#302482,#302483,#302484)); #58731=EDGE_LOOP('',(#302485,#302486,#302487,#302488)); #58732=EDGE_LOOP('',(#302489,#302490,#302491,#302492)); #58733=EDGE_LOOP('',(#302493,#302494,#302495,#302496,#302497,#302498,#302499, #302500,#302501,#302502,#302503,#302504,#302505,#302506,#302507,#302508)); #58734=EDGE_LOOP('',(#302509,#302510,#302511,#302512,#302513,#302514,#302515, #302516,#302517,#302518,#302519,#302520,#302521,#302522,#302523,#302524)); #58735=EDGE_LOOP('',(#302525,#302526,#302527,#302528)); #58736=EDGE_LOOP('',(#302529,#302530,#302531,#302532)); #58737=EDGE_LOOP('',(#302533,#302534,#302535,#302536)); #58738=EDGE_LOOP('',(#302537,#302538,#302539,#302540)); #58739=EDGE_LOOP('',(#302541,#302542,#302543,#302544)); #58740=EDGE_LOOP('',(#302545,#302546,#302547,#302548)); #58741=EDGE_LOOP('',(#302549,#302550,#302551,#302552)); #58742=EDGE_LOOP('',(#302553,#302554,#302555,#302556)); #58743=EDGE_LOOP('',(#302557,#302558,#302559,#302560)); #58744=EDGE_LOOP('',(#302561,#302562,#302563,#302564)); #58745=EDGE_LOOP('',(#302565,#302566,#302567,#302568)); #58746=EDGE_LOOP('',(#302569,#302570,#302571,#302572)); #58747=EDGE_LOOP('',(#302573,#302574,#302575,#302576)); #58748=EDGE_LOOP('',(#302577,#302578,#302579,#302580)); #58749=EDGE_LOOP('',(#302581,#302582,#302583,#302584)); #58750=EDGE_LOOP('',(#302585,#302586,#302587,#302588)); #58751=EDGE_LOOP('',(#302589,#302590,#302591,#302592)); #58752=EDGE_LOOP('',(#302593,#302594,#302595,#302596)); #58753=EDGE_LOOP('',(#302597,#302598,#302599,#302600,#302601,#302602,#302603, #302604,#302605,#302606,#302607,#302608,#302609,#302610,#302611,#302612)); #58754=EDGE_LOOP('',(#302613,#302614,#302615,#302616,#302617,#302618,#302619, #302620,#302621,#302622,#302623,#302624,#302625,#302626,#302627,#302628)); #58755=EDGE_LOOP('',(#302629,#302630,#302631,#302632)); #58756=EDGE_LOOP('',(#302633,#302634,#302635,#302636)); #58757=EDGE_LOOP('',(#302637,#302638,#302639,#302640)); #58758=EDGE_LOOP('',(#302641,#302642,#302643,#302644)); #58759=EDGE_LOOP('',(#302645,#302646,#302647,#302648)); #58760=EDGE_LOOP('',(#302649,#302650,#302651,#302652)); #58761=EDGE_LOOP('',(#302653,#302654,#302655,#302656)); #58762=EDGE_LOOP('',(#302657,#302658,#302659,#302660)); #58763=EDGE_LOOP('',(#302661,#302662,#302663,#302664)); #58764=EDGE_LOOP('',(#302665,#302666,#302667,#302668)); #58765=EDGE_LOOP('',(#302669,#302670,#302671,#302672)); #58766=EDGE_LOOP('',(#302673,#302674,#302675,#302676)); #58767=EDGE_LOOP('',(#302677,#302678,#302679,#302680)); #58768=EDGE_LOOP('',(#302681,#302682,#302683,#302684)); #58769=EDGE_LOOP('',(#302685,#302686,#302687,#302688)); #58770=EDGE_LOOP('',(#302689,#302690,#302691,#302692)); #58771=EDGE_LOOP('',(#302693,#302694,#302695,#302696)); #58772=EDGE_LOOP('',(#302697,#302698,#302699,#302700)); #58773=EDGE_LOOP('',(#302701,#302702,#302703,#302704,#302705)); #58774=EDGE_LOOP('',(#302706,#302707,#302708,#302709,#302710,#302711,#302712)); #58775=EDGE_LOOP('',(#302713,#302714,#302715,#302716,#302717,#302718,#302719)); #58776=EDGE_LOOP('',(#302720,#302721,#302722,#302723,#302724,#302725)); #58777=EDGE_LOOP('',(#302726,#302727,#302728,#302729)); #58778=EDGE_LOOP('',(#302730,#302731,#302732,#302733)); #58779=EDGE_LOOP('',(#302734,#302735,#302736,#302737)); #58780=EDGE_LOOP('',(#302738,#302739,#302740,#302741)); #58781=EDGE_LOOP('',(#302742,#302743,#302744,#302745)); #58782=EDGE_LOOP('',(#302746,#302747,#302748,#302749)); #58783=EDGE_LOOP('',(#302750,#302751,#302752)); #58784=EDGE_LOOP('',(#302753,#302754,#302755,#302756)); #58785=EDGE_LOOP('',(#302757,#302758,#302759)); #58786=EDGE_LOOP('',(#302760,#302761,#302762,#302763)); #58787=EDGE_LOOP('',(#302764,#302765,#302766,#302767)); #58788=EDGE_LOOP('',(#302768,#302769,#302770,#302771)); #58789=EDGE_LOOP('',(#302772,#302773,#302774,#302775)); #58790=EDGE_LOOP('',(#302776,#302777,#302778,#302779)); #58791=EDGE_LOOP('',(#302780,#302781,#302782,#302783)); #58792=EDGE_LOOP('',(#302784,#302785,#302786,#302787)); #58793=EDGE_LOOP('',(#302788,#302789,#302790,#302791)); #58794=EDGE_LOOP('',(#302792,#302793,#302794,#302795)); #58795=EDGE_LOOP('',(#302796,#302797,#302798,#302799)); #58796=EDGE_LOOP('',(#302800,#302801,#302802,#302803)); #58797=EDGE_LOOP('',(#302804,#302805,#302806,#302807)); #58798=EDGE_LOOP('',(#302808,#302809,#302810,#302811)); #58799=EDGE_LOOP('',(#302812,#302813,#302814,#302815)); #58800=EDGE_LOOP('',(#302816,#302817,#302818,#302819)); #58801=EDGE_LOOP('',(#302820,#302821,#302822,#302823)); #58802=EDGE_LOOP('',(#302824,#302825,#302826,#302827)); #58803=EDGE_LOOP('',(#302828,#302829,#302830,#302831)); #58804=EDGE_LOOP('',(#302832,#302833,#302834,#302835)); #58805=EDGE_LOOP('',(#302836,#302837,#302838,#302839)); #58806=EDGE_LOOP('',(#302840,#302841,#302842,#302843)); #58807=EDGE_LOOP('',(#302844,#302845,#302846,#302847)); #58808=EDGE_LOOP('',(#302848,#302849,#302850,#302851)); #58809=EDGE_LOOP('',(#302852,#302853,#302854,#302855)); #58810=EDGE_LOOP('',(#302856,#302857,#302858,#302859)); #58811=EDGE_LOOP('',(#302860,#302861,#302862,#302863)); #58812=EDGE_LOOP('',(#302864,#302865,#302866,#302867)); #58813=EDGE_LOOP('',(#302868,#302869,#302870,#302871)); #58814=EDGE_LOOP('',(#302872,#302873,#302874,#302875)); #58815=EDGE_LOOP('',(#302876,#302877,#302878,#302879)); #58816=EDGE_LOOP('',(#302880,#302881,#302882,#302883)); #58817=EDGE_LOOP('',(#302884,#302885,#302886,#302887)); #58818=EDGE_LOOP('',(#302888,#302889,#302890,#302891)); #58819=EDGE_LOOP('',(#302892,#302893,#302894,#302895,#302896)); #58820=EDGE_LOOP('',(#302897,#302898,#302899,#302900)); #58821=EDGE_LOOP('',(#302901,#302902,#302903,#302904,#302905,#302906,#302907)); #58822=EDGE_LOOP('',(#302908,#302909,#302910,#302911,#302912,#302913)); #58823=EDGE_LOOP('',(#302914,#302915,#302916,#302917,#302918,#302919,#302920, #302921,#302922,#302923,#302924,#302925)); #58824=EDGE_LOOP('',(#302926,#302927,#302928,#302929)); #58825=EDGE_LOOP('',(#302930,#302931,#302932,#302933,#302934,#302935)); #58826=EDGE_LOOP('',(#302936,#302937,#302938,#302939)); #58827=EDGE_LOOP('',(#302940,#302941,#302942,#302943,#302944,#302945,#302946, #302947,#302948,#302949,#302950,#302951)); #58828=EDGE_LOOP('',(#302952,#302953,#302954,#302955)); #58829=EDGE_LOOP('',(#302956,#302957,#302958,#302959,#302960,#302961,#302962, #302963,#302964,#302965,#302966,#302967)); #58830=EDGE_LOOP('',(#302968,#302969,#302970,#302971,#302972,#302973)); #58831=EDGE_LOOP('',(#302974,#302975,#302976,#302977)); #58832=EDGE_LOOP('',(#302978,#302979,#302980,#302981)); #58833=EDGE_LOOP('',(#302982,#302983,#302984,#302985,#302986,#302987)); #58834=EDGE_LOOP('',(#302988,#302989,#302990,#302991,#302992,#302993,#302994, #302995,#302996,#302997,#302998,#302999)); #58835=EDGE_LOOP('',(#303000,#303001,#303002,#303003,#303004,#303005,#303006)); #58836=EDGE_LOOP('',(#303007,#303008,#303009,#303010,#303011)); #58837=EDGE_LOOP('',(#303012,#303013,#303014,#303015,#303016,#303017)); #58838=EDGE_LOOP('',(#303018,#303019,#303020,#303021)); #58839=EDGE_LOOP('',(#303022,#303023,#303024,#303025)); #58840=EDGE_LOOP('',(#303026,#303027,#303028,#303029,#303030,#303031)); #58841=EDGE_LOOP('',(#303032,#303033,#303034,#303035)); #58842=EDGE_LOOP('',(#303036,#303037,#303038,#303039)); #58843=EDGE_LOOP('',(#303040,#303041,#303042,#303043)); #58844=EDGE_LOOP('',(#303044,#303045,#303046,#303047,#303048)); #58845=EDGE_LOOP('',(#303049,#303050,#303051,#303052,#303053,#303054)); #58846=EDGE_LOOP('',(#303055,#303056,#303057,#303058,#303059,#303060,#303061, #303062,#303063)); #58847=EDGE_LOOP('',(#303064,#303065,#303066,#303067)); #58848=EDGE_LOOP('',(#303068,#303069,#303070,#303071)); #58849=EDGE_LOOP('',(#303072,#303073,#303074,#303075)); #58850=EDGE_LOOP('',(#303076,#303077,#303078,#303079)); #58851=EDGE_LOOP('',(#303080,#303081,#303082,#303083)); #58852=EDGE_LOOP('',(#303084,#303085,#303086,#303087,#303088)); #58853=EDGE_LOOP('',(#303089,#303090,#303091,#303092)); #58854=EDGE_LOOP('',(#303093,#303094,#303095,#303096,#303097)); #58855=EDGE_LOOP('',(#303098,#303099,#303100,#303101)); #58856=EDGE_LOOP('',(#303102,#303103,#303104,#303105)); #58857=EDGE_LOOP('',(#303106,#303107,#303108,#303109)); #58858=EDGE_LOOP('',(#303110,#303111,#303112,#303113,#303114)); #58859=EDGE_LOOP('',(#303115,#303116,#303117,#303118)); #58860=EDGE_LOOP('',(#303119,#303120,#303121,#303122,#303123)); #58861=EDGE_LOOP('',(#303124,#303125,#303126,#303127)); #58862=EDGE_LOOP('',(#303128,#303129,#303130,#303131)); #58863=EDGE_LOOP('',(#303132,#303133,#303134,#303135)); #58864=EDGE_LOOP('',(#303136,#303137,#303138,#303139)); #58865=EDGE_LOOP('',(#303140,#303141,#303142,#303143)); #58866=EDGE_LOOP('',(#303144,#303145,#303146,#303147,#303148,#303149,#303150, #303151,#303152)); #58867=EDGE_LOOP('',(#303153,#303154,#303155,#303156,#303157,#303158)); #58868=EDGE_LOOP('',(#303159,#303160,#303161,#303162,#303163)); #58869=EDGE_LOOP('',(#303164,#303165,#303166,#303167)); #58870=EDGE_LOOP('',(#303168,#303169,#303170,#303171)); #58871=EDGE_LOOP('',(#303172,#303173,#303174,#303175)); #58872=EDGE_LOOP('',(#303176,#303177,#303178,#303179)); #58873=EDGE_LOOP('',(#303180,#303181,#303182,#303183)); #58874=EDGE_LOOP('',(#303184,#303185,#303186,#303187)); #58875=EDGE_LOOP('',(#303188,#303189,#303190,#303191,#303192,#303193)); #58876=EDGE_LOOP('',(#303194,#303195,#303196,#303197,#303198,#303199,#303200, #303201,#303202,#303203,#303204,#303205,#303206,#303207,#303208,#303209, #303210,#303211,#303212,#303213,#303214,#303215,#303216,#303217,#303218, #303219,#303220,#303221,#303222,#303223,#303224,#303225,#303226,#303227, #303228,#303229,#303230,#303231,#303232,#303233)); #58877=EDGE_LOOP('',(#303234,#303235,#303236,#303237,#303238)); #58878=EDGE_LOOP('',(#303239,#303240,#303241,#303242,#303243,#303244,#303245, #303246,#303247,#303248,#303249,#303250,#303251,#303252,#303253,#303254, #303255,#303256,#303257,#303258,#303259,#303260,#303261,#303262,#303263, #303264,#303265,#303266,#303267,#303268,#303269,#303270,#303271,#303272, #303273,#303274,#303275,#303276,#303277,#303278)); #58879=EDGE_LOOP('',(#303279,#303280,#303281,#303282,#303283)); #58880=EDGE_LOOP('',(#303284,#303285,#303286,#303287,#303288,#303289)); #58881=EDGE_LOOP('',(#303290,#303291,#303292,#303293,#303294)); #58882=EDGE_LOOP('',(#303295,#303296,#303297,#303298)); #58883=EDGE_LOOP('',(#303299,#303300,#303301,#303302,#303303)); #58884=EDGE_LOOP('',(#303304,#303305,#303306,#303307,#303308)); #58885=EDGE_LOOP('',(#303309,#303310,#303311,#303312,#303313,#303314,#303315)); #58886=EDGE_LOOP('',(#303316,#303317,#303318,#303319,#303320,#303321,#303322)); #58887=EDGE_LOOP('',(#303323,#303324,#303325,#303326,#303327)); #58888=EDGE_LOOP('',(#303328,#303329,#303330,#303331,#303332)); #58889=EDGE_LOOP('',(#303333,#303334,#303335,#303336)); #58890=EDGE_LOOP('',(#303337,#303338,#303339,#303340,#303341)); #58891=EDGE_LOOP('',(#303342,#303343,#303344,#303345,#303346,#303347,#303348)); #58892=EDGE_LOOP('',(#303349,#303350,#303351,#303352,#303353,#303354,#303355)); #58893=EDGE_LOOP('',(#303356,#303357,#303358,#303359)); #58894=EDGE_LOOP('',(#303360,#303361,#303362,#303363)); #58895=EDGE_LOOP('',(#303364,#303365,#303366,#303367)); #58896=EDGE_LOOP('',(#303368,#303369,#303370,#303371)); #58897=EDGE_LOOP('',(#303372,#303373,#303374,#303375)); #58898=EDGE_LOOP('',(#303376,#303377,#303378,#303379)); #58899=EDGE_LOOP('',(#303380,#303381,#303382,#303383)); #58900=EDGE_LOOP('',(#303384,#303385,#303386,#303387)); #58901=EDGE_LOOP('',(#303388,#303389,#303390,#303391)); #58902=EDGE_LOOP('',(#303392,#303393,#303394,#303395)); #58903=EDGE_LOOP('',(#303396,#303397,#303398,#303399)); #58904=EDGE_LOOP('',(#303400,#303401,#303402,#303403)); #58905=EDGE_LOOP('',(#303404,#303405,#303406,#303407)); #58906=EDGE_LOOP('',(#303408,#303409,#303410,#303411)); #58907=EDGE_LOOP('',(#303412,#303413,#303414,#303415)); #58908=EDGE_LOOP('',(#303416,#303417,#303418,#303419)); #58909=EDGE_LOOP('',(#303420,#303421,#303422,#303423)); #58910=EDGE_LOOP('',(#303424,#303425,#303426,#303427)); #58911=EDGE_LOOP('',(#303428,#303429,#303430,#303431)); #58912=EDGE_LOOP('',(#303432,#303433,#303434,#303435)); #58913=EDGE_LOOP('',(#303436,#303437,#303438,#303439)); #58914=EDGE_LOOP('',(#303440,#303441,#303442,#303443)); #58915=EDGE_LOOP('',(#303444,#303445,#303446,#303447)); #58916=EDGE_LOOP('',(#303448,#303449,#303450,#303451)); #58917=EDGE_LOOP('',(#303452,#303453,#303454,#303455)); #58918=EDGE_LOOP('',(#303456,#303457,#303458,#303459)); #58919=EDGE_LOOP('',(#303460,#303461,#303462,#303463)); #58920=EDGE_LOOP('',(#303464,#303465,#303466,#303467)); #58921=EDGE_LOOP('',(#303468,#303469,#303470,#303471)); #58922=EDGE_LOOP('',(#303472,#303473,#303474,#303475,#303476,#303477,#303478, #303479,#303480,#303481,#303482,#303483)); #58923=EDGE_LOOP('',(#303484,#303485,#303486,#303487,#303488,#303489,#303490, #303491,#303492,#303493,#303494,#303495)); #58924=EDGE_LOOP('',(#303496,#303497,#303498,#303499)); #58925=EDGE_LOOP('',(#303500,#303501,#303502,#303503,#303504,#303505)); #58926=EDGE_LOOP('',(#303506,#303507,#303508,#303509)); #58927=EDGE_LOOP('',(#303510,#303511,#303512,#303513,#303514,#303515)); #58928=EDGE_LOOP('',(#303516,#303517,#303518,#303519,#303520,#303521,#303522, #303523)); #58929=EDGE_LOOP('',(#303524,#303525,#303526,#303527,#303528,#303529,#303530, #303531)); #58930=EDGE_LOOP('',(#303532,#303533,#303534,#303535)); #58931=EDGE_LOOP('',(#303536,#303537,#303538,#303539)); #58932=EDGE_LOOP('',(#303540,#303541,#303542,#303543)); #58933=EDGE_LOOP('',(#303544,#303545,#303546,#303547)); #58934=EDGE_LOOP('',(#303548,#303549,#303550,#303551)); #58935=EDGE_LOOP('',(#303552,#303553,#303554,#303555)); #58936=EDGE_LOOP('',(#303556,#303557,#303558,#303559)); #58937=EDGE_LOOP('',(#303560,#303561,#303562,#303563)); #58938=EDGE_LOOP('',(#303564,#303565,#303566,#303567)); #58939=EDGE_LOOP('',(#303568,#303569,#303570,#303571)); #58940=EDGE_LOOP('',(#303572,#303573,#303574,#303575)); #58941=EDGE_LOOP('',(#303576,#303577,#303578,#303579,#303580,#303581,#303582, #303583,#303584,#303585,#303586,#303587)); #58942=EDGE_LOOP('',(#303588,#303589,#303590,#303591)); #58943=EDGE_LOOP('',(#303592,#303593,#303594,#303595)); #58944=EDGE_LOOP('',(#303596,#303597,#303598,#303599)); #58945=EDGE_LOOP('',(#303600,#303601,#303602,#303603)); #58946=EDGE_LOOP('',(#303604,#303605,#303606,#303607)); #58947=EDGE_LOOP('',(#303608,#303609,#303610,#303611)); #58948=EDGE_LOOP('',(#303612,#303613,#303614,#303615)); #58949=EDGE_LOOP('',(#303616,#303617,#303618,#303619)); #58950=EDGE_LOOP('',(#303620,#303621,#303622,#303623)); #58951=EDGE_LOOP('',(#303624,#303625,#303626,#303627,#303628,#303629)); #58952=EDGE_LOOP('',(#303630,#303631,#303632,#303633,#303634,#303635)); #58953=EDGE_LOOP('',(#303636,#303637,#303638,#303639)); #58954=EDGE_LOOP('',(#303640,#303641,#303642,#303643,#303644,#303645)); #58955=EDGE_LOOP('',(#303646,#303647,#303648,#303649,#303650,#303651)); #58956=EDGE_LOOP('',(#303652,#303653,#303654,#303655)); #58957=EDGE_LOOP('',(#303656,#303657,#303658,#303659,#303660,#303661,#303662, #303663)); #58958=EDGE_LOOP('',(#303664,#303665,#303666,#303667,#303668,#303669,#303670, #303671,#303672)); #58959=EDGE_LOOP('',(#303673,#303674,#303675,#303676,#303677,#303678,#303679, #303680,#303681)); #58960=EDGE_LOOP('',(#303682,#303683,#303684,#303685,#303686,#303687,#303688, #303689,#303690,#303691,#303692,#303693,#303694,#303695,#303696,#303697, #303698,#303699,#303700,#303701,#303702,#303703,#303704,#303705)); #58961=EDGE_LOOP('',(#303706,#303707,#303708,#303709)); #58962=EDGE_LOOP('',(#303710,#303711,#303712,#303713,#303714,#303715,#303716, #303717,#303718,#303719,#303720,#303721,#303722,#303723,#303724,#303725, #303726,#303727,#303728,#303729)); #58963=EDGE_LOOP('',(#303730,#303731,#303732,#303733,#303734,#303735,#303736)); #58964=EDGE_LOOP('',(#303737,#303738,#303739,#303740)); #58965=EDGE_LOOP('',(#303741,#303742,#303743,#303744,#303745,#303746,#303747)); #58966=EDGE_LOOP('',(#303748,#303749,#303750,#303751)); #58967=EDGE_LOOP('',(#303752,#303753,#303754,#303755)); #58968=EDGE_LOOP('',(#303756,#303757,#303758,#303759)); #58969=EDGE_LOOP('',(#303760,#303761,#303762,#303763)); #58970=EDGE_LOOP('',(#303764,#303765,#303766,#303767)); #58971=EDGE_LOOP('',(#303768,#303769,#303770,#303771)); #58972=EDGE_LOOP('',(#303772,#303773,#303774,#303775)); #58973=EDGE_LOOP('',(#303776,#303777,#303778,#303779)); #58974=EDGE_LOOP('',(#303780,#303781,#303782,#303783)); #58975=EDGE_LOOP('',(#303784,#303785,#303786,#303787)); #58976=EDGE_LOOP('',(#303788,#303789,#303790,#303791)); #58977=EDGE_LOOP('',(#303792,#303793,#303794,#303795)); #58978=EDGE_LOOP('',(#303796,#303797,#303798,#303799)); #58979=EDGE_LOOP('',(#303800,#303801,#303802,#303803)); #58980=EDGE_LOOP('',(#303804,#303805,#303806,#303807)); #58981=EDGE_LOOP('',(#303808,#303809,#303810,#303811)); #58982=EDGE_LOOP('',(#303812,#303813,#303814,#303815)); #58983=EDGE_LOOP('',(#303816,#303817,#303818,#303819)); #58984=EDGE_LOOP('',(#303820,#303821,#303822,#303823)); #58985=EDGE_LOOP('',(#303824,#303825,#303826,#303827)); #58986=EDGE_LOOP('',(#303828,#303829,#303830,#303831)); #58987=EDGE_LOOP('',(#303832,#303833,#303834,#303835)); #58988=EDGE_LOOP('',(#303836,#303837,#303838,#303839)); #58989=EDGE_LOOP('',(#303840,#303841,#303842,#303843)); #58990=EDGE_LOOP('',(#303844,#303845,#303846,#303847)); #58991=EDGE_LOOP('',(#303848,#303849,#303850,#303851)); #58992=EDGE_LOOP('',(#303852,#303853,#303854,#303855)); #58993=EDGE_LOOP('',(#303856,#303857,#303858,#303859)); #58994=EDGE_LOOP('',(#303860,#303861,#303862,#303863)); #58995=EDGE_LOOP('',(#303864,#303865,#303866,#303867)); #58996=EDGE_LOOP('',(#303868,#303869,#303870,#303871)); #58997=EDGE_LOOP('',(#303872,#303873,#303874,#303875)); #58998=EDGE_LOOP('',(#303876,#303877,#303878,#303879)); #58999=EDGE_LOOP('',(#303880,#303881,#303882,#303883)); #59000=EDGE_LOOP('',(#303884,#303885,#303886,#303887)); #59001=EDGE_LOOP('',(#303888,#303889,#303890,#303891)); #59002=EDGE_LOOP('',(#303892,#303893,#303894,#303895)); #59003=EDGE_LOOP('',(#303896,#303897,#303898,#303899)); #59004=EDGE_LOOP('',(#303900,#303901,#303902,#303903)); #59005=EDGE_LOOP('',(#303904,#303905,#303906,#303907)); #59006=EDGE_LOOP('',(#303908,#303909,#303910,#303911)); #59007=EDGE_LOOP('',(#303912,#303913,#303914,#303915)); #59008=EDGE_LOOP('',(#303916,#303917,#303918,#303919)); #59009=EDGE_LOOP('',(#303920,#303921,#303922,#303923)); #59010=EDGE_LOOP('',(#303924,#303925,#303926,#303927)); #59011=EDGE_LOOP('',(#303928,#303929,#303930,#303931)); #59012=EDGE_LOOP('',(#303932,#303933,#303934,#303935)); #59013=EDGE_LOOP('',(#303936,#303937,#303938,#303939)); #59014=EDGE_LOOP('',(#303940,#303941,#303942,#303943)); #59015=EDGE_LOOP('',(#303944,#303945,#303946,#303947)); #59016=EDGE_LOOP('',(#303948,#303949,#303950,#303951)); #59017=EDGE_LOOP('',(#303952,#303953,#303954,#303955,#303956)); #59018=EDGE_LOOP('',(#303957,#303958,#303959,#303960)); #59019=EDGE_LOOP('',(#303961,#303962,#303963,#303964,#303965)); #59020=EDGE_LOOP('',(#303966,#303967,#303968,#303969)); #59021=EDGE_LOOP('',(#303970,#303971,#303972,#303973)); #59022=EDGE_LOOP('',(#303974,#303975,#303976,#303977)); #59023=EDGE_LOOP('',(#303978,#303979,#303980,#303981)); #59024=EDGE_LOOP('',(#303982,#303983,#303984,#303985)); #59025=EDGE_LOOP('',(#303986,#303987,#303988,#303989)); #59026=EDGE_LOOP('',(#303990,#303991,#303992)); #59027=EDGE_LOOP('',(#303993,#303994,#303995)); #59028=EDGE_LOOP('',(#303996,#303997,#303998)); #59029=EDGE_LOOP('',(#303999,#304000,#304001)); #59030=EDGE_LOOP('',(#304002,#304003,#304004)); #59031=EDGE_LOOP('',(#304005,#304006,#304007,#304008)); #59032=EDGE_LOOP('',(#304009,#304010,#304011)); #59033=EDGE_LOOP('',(#304012,#304013,#304014)); #59034=EDGE_LOOP('',(#304015,#304016,#304017,#304018)); #59035=EDGE_LOOP('',(#304019,#304020,#304021,#304022)); #59036=EDGE_LOOP('',(#304023,#304024,#304025)); #59037=EDGE_LOOP('',(#304026,#304027,#304028,#304029)); #59038=EDGE_LOOP('',(#304030,#304031,#304032,#304033)); #59039=EDGE_LOOP('',(#304034,#304035,#304036,#304037)); #59040=EDGE_LOOP('',(#304038,#304039,#304040,#304041)); #59041=EDGE_LOOP('',(#304042,#304043,#304044,#304045)); #59042=EDGE_LOOP('',(#304046,#304047,#304048,#304049)); #59043=EDGE_LOOP('',(#304050,#304051,#304052,#304053)); #59044=EDGE_LOOP('',(#304054,#304055,#304056,#304057)); #59045=EDGE_LOOP('',(#304058,#304059,#304060,#304061)); #59046=EDGE_LOOP('',(#304062,#304063,#304064,#304065)); #59047=EDGE_LOOP('',(#304066,#304067,#304068,#304069)); #59048=EDGE_LOOP('',(#304070,#304071,#304072,#304073)); #59049=EDGE_LOOP('',(#304074,#304075,#304076,#304077)); #59050=EDGE_LOOP('',(#304078,#304079,#304080,#304081)); #59051=EDGE_LOOP('',(#304082,#304083,#304084,#304085)); #59052=EDGE_LOOP('',(#304086,#304087,#304088)); #59053=EDGE_LOOP('',(#304089,#304090,#304091)); #59054=EDGE_LOOP('',(#304092,#304093,#304094)); #59055=EDGE_LOOP('',(#304095,#304096,#304097)); #59056=EDGE_LOOP('',(#304098,#304099,#304100)); #59057=EDGE_LOOP('',(#304101,#304102,#304103,#304104)); #59058=EDGE_LOOP('',(#304105,#304106,#304107)); #59059=EDGE_LOOP('',(#304108,#304109,#304110)); #59060=EDGE_LOOP('',(#304111,#304112,#304113,#304114)); #59061=EDGE_LOOP('',(#304115,#304116,#304117,#304118)); #59062=EDGE_LOOP('',(#304119,#304120,#304121)); #59063=EDGE_LOOP('',(#304122,#304123,#304124,#304125)); #59064=EDGE_LOOP('',(#304126,#304127,#304128,#304129)); #59065=EDGE_LOOP('',(#304130,#304131,#304132,#304133)); #59066=EDGE_LOOP('',(#304134,#304135,#304136,#304137)); #59067=EDGE_LOOP('',(#304138,#304139,#304140,#304141)); #59068=EDGE_LOOP('',(#304142,#304143,#304144,#304145)); #59069=EDGE_LOOP('',(#304146,#304147,#304148,#304149)); #59070=EDGE_LOOP('',(#304150,#304151,#304152,#304153)); #59071=EDGE_LOOP('',(#304154,#304155,#304156,#304157)); #59072=EDGE_LOOP('',(#304158,#304159,#304160,#304161)); #59073=EDGE_LOOP('',(#304162,#304163,#304164,#304165)); #59074=EDGE_LOOP('',(#304166,#304167,#304168,#304169)); #59075=EDGE_LOOP('',(#304170,#304171,#304172,#304173)); #59076=EDGE_LOOP('',(#304174,#304175,#304176,#304177)); #59077=EDGE_LOOP('',(#304178,#304179,#304180,#304181)); #59078=EDGE_LOOP('',(#304182,#304183,#304184,#304185)); #59079=EDGE_LOOP('',(#304186,#304187,#304188,#304189)); #59080=EDGE_LOOP('',(#304190,#304191,#304192,#304193)); #59081=EDGE_LOOP('',(#304194,#304195,#304196,#304197)); #59082=EDGE_LOOP('',(#304198,#304199,#304200,#304201)); #59083=EDGE_LOOP('',(#304202,#304203,#304204,#304205)); #59084=EDGE_LOOP('',(#304206,#304207,#304208)); #59085=EDGE_LOOP('',(#304209,#304210,#304211)); #59086=EDGE_LOOP('',(#304212,#304213,#304214)); #59087=EDGE_LOOP('',(#304215,#304216,#304217)); #59088=EDGE_LOOP('',(#304218,#304219,#304220)); #59089=EDGE_LOOP('',(#304221,#304222,#304223,#304224)); #59090=EDGE_LOOP('',(#304225,#304226,#304227)); #59091=EDGE_LOOP('',(#304228,#304229,#304230)); #59092=EDGE_LOOP('',(#304231,#304232,#304233,#304234)); #59093=EDGE_LOOP('',(#304235,#304236,#304237,#304238)); #59094=EDGE_LOOP('',(#304239,#304240,#304241)); #59095=EDGE_LOOP('',(#304242,#304243,#304244,#304245)); #59096=EDGE_LOOP('',(#304246,#304247,#304248,#304249)); #59097=EDGE_LOOP('',(#304250,#304251,#304252,#304253)); #59098=EDGE_LOOP('',(#304254,#304255,#304256,#304257)); #59099=EDGE_LOOP('',(#304258,#304259,#304260,#304261)); #59100=EDGE_LOOP('',(#304262,#304263,#304264,#304265)); #59101=EDGE_LOOP('',(#304266,#304267,#304268,#304269)); #59102=EDGE_LOOP('',(#304270,#304271,#304272,#304273)); #59103=EDGE_LOOP('',(#304274,#304275,#304276,#304277)); #59104=EDGE_LOOP('',(#304278,#304279,#304280,#304281)); #59105=EDGE_LOOP('',(#304282,#304283,#304284,#304285)); #59106=EDGE_LOOP('',(#304286,#304287,#304288,#304289)); #59107=EDGE_LOOP('',(#304290,#304291,#304292,#304293)); #59108=EDGE_LOOP('',(#304294,#304295,#304296,#304297)); #59109=EDGE_LOOP('',(#304298,#304299,#304300,#304301)); #59110=EDGE_LOOP('',(#304302,#304303,#304304)); #59111=EDGE_LOOP('',(#304305,#304306,#304307)); #59112=EDGE_LOOP('',(#304308,#304309,#304310)); #59113=EDGE_LOOP('',(#304311,#304312,#304313)); #59114=EDGE_LOOP('',(#304314,#304315,#304316)); #59115=EDGE_LOOP('',(#304317,#304318,#304319,#304320)); #59116=EDGE_LOOP('',(#304321,#304322,#304323)); #59117=EDGE_LOOP('',(#304324,#304325,#304326)); #59118=EDGE_LOOP('',(#304327,#304328,#304329,#304330)); #59119=EDGE_LOOP('',(#304331,#304332,#304333,#304334)); #59120=EDGE_LOOP('',(#304335,#304336,#304337)); #59121=EDGE_LOOP('',(#304338,#304339,#304340,#304341)); #59122=EDGE_LOOP('',(#304342,#304343,#304344,#304345)); #59123=EDGE_LOOP('',(#304346,#304347,#304348,#304349)); #59124=EDGE_LOOP('',(#304350,#304351,#304352,#304353)); #59125=EDGE_LOOP('',(#304354,#304355,#304356,#304357)); #59126=EDGE_LOOP('',(#304358,#304359,#304360,#304361)); #59127=EDGE_LOOP('',(#304362,#304363,#304364,#304365)); #59128=EDGE_LOOP('',(#304366,#304367,#304368,#304369)); #59129=EDGE_LOOP('',(#304370,#304371,#304372,#304373)); #59130=EDGE_LOOP('',(#304374,#304375,#304376,#304377)); #59131=EDGE_LOOP('',(#304378,#304379,#304380,#304381)); #59132=EDGE_LOOP('',(#304382,#304383,#304384,#304385)); #59133=EDGE_LOOP('',(#304386,#304387,#304388,#304389)); #59134=EDGE_LOOP('',(#304390,#304391,#304392,#304393)); #59135=EDGE_LOOP('',(#304394,#304395,#304396,#304397)); #59136=EDGE_LOOP('',(#304398,#304399,#304400,#304401)); #59137=EDGE_LOOP('',(#304402,#304403,#304404,#304405)); #59138=EDGE_LOOP('',(#304406,#304407,#304408,#304409)); #59139=EDGE_LOOP('',(#304410,#304411,#304412,#304413)); #59140=EDGE_LOOP('',(#304414,#304415,#304416,#304417)); #59141=EDGE_LOOP('',(#304418,#304419,#304420,#304421)); #59142=EDGE_LOOP('',(#304422,#304423,#304424)); #59143=EDGE_LOOP('',(#304425,#304426,#304427)); #59144=EDGE_LOOP('',(#304428,#304429,#304430)); #59145=EDGE_LOOP('',(#304431,#304432,#304433)); #59146=EDGE_LOOP('',(#304434,#304435,#304436)); #59147=EDGE_LOOP('',(#304437,#304438,#304439,#304440)); #59148=EDGE_LOOP('',(#304441,#304442,#304443)); #59149=EDGE_LOOP('',(#304444,#304445,#304446)); #59150=EDGE_LOOP('',(#304447,#304448,#304449,#304450)); #59151=EDGE_LOOP('',(#304451,#304452,#304453,#304454)); #59152=EDGE_LOOP('',(#304455,#304456,#304457)); #59153=EDGE_LOOP('',(#304458,#304459,#304460,#304461)); #59154=EDGE_LOOP('',(#304462,#304463,#304464,#304465)); #59155=EDGE_LOOP('',(#304466,#304467,#304468,#304469)); #59156=EDGE_LOOP('',(#304470,#304471,#304472,#304473)); #59157=EDGE_LOOP('',(#304474,#304475,#304476,#304477)); #59158=EDGE_LOOP('',(#304478,#304479,#304480,#304481)); #59159=EDGE_LOOP('',(#304482,#304483,#304484,#304485)); #59160=EDGE_LOOP('',(#304486,#304487,#304488,#304489)); #59161=EDGE_LOOP('',(#304490,#304491,#304492,#304493)); #59162=EDGE_LOOP('',(#304494,#304495,#304496,#304497)); #59163=EDGE_LOOP('',(#304498,#304499,#304500,#304501)); #59164=EDGE_LOOP('',(#304502,#304503,#304504,#304505)); #59165=EDGE_LOOP('',(#304506,#304507,#304508,#304509)); #59166=EDGE_LOOP('',(#304510,#304511,#304512,#304513)); #59167=EDGE_LOOP('',(#304514,#304515,#304516,#304517)); #59168=EDGE_LOOP('',(#304518,#304519,#304520)); #59169=EDGE_LOOP('',(#304521,#304522,#304523)); #59170=EDGE_LOOP('',(#304524,#304525,#304526)); #59171=EDGE_LOOP('',(#304527,#304528,#304529)); #59172=EDGE_LOOP('',(#304530,#304531,#304532)); #59173=EDGE_LOOP('',(#304533,#304534,#304535,#304536)); #59174=EDGE_LOOP('',(#304537,#304538,#304539)); #59175=EDGE_LOOP('',(#304540,#304541,#304542)); #59176=EDGE_LOOP('',(#304543,#304544,#304545,#304546)); #59177=EDGE_LOOP('',(#304547,#304548,#304549,#304550)); #59178=EDGE_LOOP('',(#304551,#304552,#304553)); #59179=EDGE_LOOP('',(#304554,#304555,#304556,#304557)); #59180=EDGE_LOOP('',(#304558,#304559,#304560,#304561)); #59181=EDGE_LOOP('',(#304562,#304563,#304564,#304565)); #59182=EDGE_LOOP('',(#304566,#304567,#304568,#304569)); #59183=EDGE_LOOP('',(#304570,#304571,#304572,#304573)); #59184=EDGE_LOOP('',(#304574,#304575,#304576,#304577)); #59185=EDGE_LOOP('',(#304578,#304579,#304580,#304581)); #59186=EDGE_LOOP('',(#304582,#304583,#304584,#304585)); #59187=EDGE_LOOP('',(#304586,#304587,#304588,#304589)); #59188=EDGE_LOOP('',(#304590,#304591,#304592,#304593)); #59189=EDGE_LOOP('',(#304594,#304595,#304596,#304597)); #59190=EDGE_LOOP('',(#304598,#304599,#304600,#304601)); #59191=EDGE_LOOP('',(#304602,#304603,#304604,#304605)); #59192=EDGE_LOOP('',(#304606,#304607,#304608,#304609)); #59193=EDGE_LOOP('',(#304610,#304611,#304612,#304613)); #59194=EDGE_LOOP('',(#304614,#304615,#304616,#304617)); #59195=EDGE_LOOP('',(#304618,#304619,#304620,#304621)); #59196=EDGE_LOOP('',(#304622,#304623,#304624,#304625)); #59197=EDGE_LOOP('',(#304626,#304627,#304628,#304629)); #59198=EDGE_LOOP('',(#304630,#304631,#304632,#304633)); #59199=EDGE_LOOP('',(#304634,#304635,#304636,#304637)); #59200=EDGE_LOOP('',(#304638,#304639,#304640,#304641)); #59201=EDGE_LOOP('',(#304642)); #59202=EDGE_LOOP('',(#304643)); #59203=EDGE_LOOP('',(#304644,#304645,#304646,#304647)); #59204=EDGE_LOOP('',(#304648,#304649,#304650,#304651)); #59205=EDGE_LOOP('',(#304652,#304653,#304654,#304655)); #59206=EDGE_LOOP('',(#304656,#304657,#304658,#304659)); #59207=EDGE_LOOP('',(#304660,#304661,#304662,#304663)); #59208=EDGE_LOOP('',(#304664,#304665,#304666,#304667)); #59209=EDGE_LOOP('',(#304668,#304669,#304670,#304671)); #59210=EDGE_LOOP('',(#304672)); #59211=EDGE_LOOP('',(#304673)); #59212=EDGE_LOOP('',(#304674,#304675,#304676,#304677,#304678,#304679,#304680, #304681,#304682,#304683,#304684,#304685,#304686,#304687,#304688,#304689)); #59213=EDGE_LOOP('',(#304690,#304691,#304692,#304693)); #59214=EDGE_LOOP('',(#304694,#304695,#304696,#304697)); #59215=EDGE_LOOP('',(#304698,#304699,#304700,#304701)); #59216=EDGE_LOOP('',(#304702,#304703,#304704,#304705)); #59217=EDGE_LOOP('',(#304706,#304707,#304708,#304709)); #59218=EDGE_LOOP('',(#304710,#304711,#304712,#304713)); #59219=EDGE_LOOP('',(#304714,#304715,#304716,#304717)); #59220=EDGE_LOOP('',(#304718,#304719,#304720,#304721)); #59221=EDGE_LOOP('',(#304722,#304723,#304724,#304725)); #59222=EDGE_LOOP('',(#304726,#304727,#304728,#304729)); #59223=EDGE_LOOP('',(#304730,#304731,#304732,#304733)); #59224=EDGE_LOOP('',(#304734,#304735,#304736,#304737)); #59225=EDGE_LOOP('',(#304738,#304739,#304740,#304741)); #59226=EDGE_LOOP('',(#304742,#304743,#304744,#304745)); #59227=EDGE_LOOP('',(#304746,#304747,#304748,#304749)); #59228=EDGE_LOOP('',(#304750,#304751,#304752,#304753)); #59229=EDGE_LOOP('',(#304754,#304755,#304756,#304757,#304758,#304759,#304760, #304761,#304762,#304763,#304764,#304765,#304766,#304767,#304768,#304769)); #59230=EDGE_LOOP('',(#304770,#304771,#304772,#304773)); #59231=EDGE_LOOP('',(#304774)); #59232=EDGE_LOOP('',(#304775)); #59233=EDGE_LOOP('',(#304776,#304777,#304778,#304779)); #59234=EDGE_LOOP('',(#304780)); #59235=EDGE_LOOP('',(#304781)); #59236=EDGE_LOOP('',(#304782,#304783,#304784,#304785)); #59237=EDGE_LOOP('',(#304786,#304787,#304788,#304789)); #59238=EDGE_LOOP('',(#304790,#304791,#304792,#304793)); #59239=EDGE_LOOP('',(#304794,#304795,#304796,#304797)); #59240=EDGE_LOOP('',(#304798,#304799,#304800,#304801)); #59241=EDGE_LOOP('',(#304802,#304803,#304804,#304805)); #59242=EDGE_LOOP('',(#304806,#304807,#304808,#304809)); #59243=EDGE_LOOP('',(#304810,#304811,#304812,#304813)); #59244=EDGE_LOOP('',(#304814,#304815,#304816,#304817)); #59245=EDGE_LOOP('',(#304818,#304819,#304820,#304821)); #59246=EDGE_LOOP('',(#304822,#304823,#304824,#304825)); #59247=EDGE_LOOP('',(#304826,#304827,#304828,#304829)); #59248=EDGE_LOOP('',(#304830,#304831,#304832,#304833)); #59249=EDGE_LOOP('',(#304834,#304835,#304836,#304837)); #59250=EDGE_LOOP('',(#304838,#304839,#304840,#304841)); #59251=EDGE_LOOP('',(#304842,#304843,#304844,#304845)); #59252=EDGE_LOOP('',(#304846,#304847,#304848,#304849)); #59253=EDGE_LOOP('',(#304850,#304851,#304852,#304853)); #59254=EDGE_LOOP('',(#304854,#304855,#304856,#304857)); #59255=EDGE_LOOP('',(#304858,#304859,#304860,#304861)); #59256=EDGE_LOOP('',(#304862,#304863,#304864,#304865)); #59257=EDGE_LOOP('',(#304866,#304867,#304868,#304869)); #59258=EDGE_LOOP('',(#304870,#304871,#304872,#304873)); #59259=EDGE_LOOP('',(#304874,#304875,#304876,#304877)); #59260=EDGE_LOOP('',(#304878,#304879,#304880,#304881)); #59261=EDGE_LOOP('',(#304882,#304883,#304884,#304885)); #59262=EDGE_LOOP('',(#304886,#304887,#304888,#304889)); #59263=EDGE_LOOP('',(#304890,#304891,#304892,#304893)); #59264=EDGE_LOOP('',(#304894,#304895,#304896,#304897)); #59265=EDGE_LOOP('',(#304898,#304899,#304900,#304901)); #59266=EDGE_LOOP('',(#304902,#304903,#304904,#304905)); #59267=EDGE_LOOP('',(#304906,#304907,#304908,#304909)); #59268=EDGE_LOOP('',(#304910,#304911,#304912,#304913)); #59269=EDGE_LOOP('',(#304914,#304915,#304916,#304917)); #59270=EDGE_LOOP('',(#304918,#304919,#304920,#304921)); #59271=EDGE_LOOP('',(#304922,#304923,#304924,#304925)); #59272=EDGE_LOOP('',(#304926,#304927,#304928,#304929)); #59273=EDGE_LOOP('',(#304930,#304931,#304932,#304933)); #59274=EDGE_LOOP('',(#304934,#304935,#304936,#304937)); #59275=EDGE_LOOP('',(#304938,#304939,#304940,#304941)); #59276=EDGE_LOOP('',(#304942,#304943,#304944,#304945)); #59277=EDGE_LOOP('',(#304946,#304947,#304948,#304949)); #59278=EDGE_LOOP('',(#304950,#304951,#304952,#304953)); #59279=EDGE_LOOP('',(#304954,#304955,#304956,#304957)); #59280=EDGE_LOOP('',(#304958,#304959,#304960,#304961)); #59281=EDGE_LOOP('',(#304962,#304963,#304964,#304965)); #59282=EDGE_LOOP('',(#304966,#304967,#304968,#304969)); #59283=EDGE_LOOP('',(#304970,#304971,#304972,#304973)); #59284=EDGE_LOOP('',(#304974,#304975,#304976,#304977)); #59285=EDGE_LOOP('',(#304978,#304979,#304980,#304981)); #59286=EDGE_LOOP('',(#304982,#304983,#304984,#304985)); #59287=EDGE_LOOP('',(#304986,#304987,#304988,#304989)); #59288=EDGE_LOOP('',(#304990,#304991,#304992,#304993)); #59289=EDGE_LOOP('',(#304994,#304995,#304996,#304997)); #59290=EDGE_LOOP('',(#304998,#304999,#305000,#305001)); #59291=EDGE_LOOP('',(#305002)); #59292=EDGE_LOOP('',(#305003,#305004,#305005,#305006)); #59293=EDGE_LOOP('',(#305007,#305008,#305009,#305010)); #59294=EDGE_LOOP('',(#305011,#305012,#305013,#305014)); #59295=EDGE_LOOP('',(#305015,#305016,#305017,#305018)); #59296=EDGE_LOOP('',(#305019,#305020,#305021,#305022)); #59297=EDGE_LOOP('',(#305023,#305024,#305025,#305026)); #59298=EDGE_LOOP('',(#305027,#305028,#305029,#305030)); #59299=EDGE_LOOP('',(#305031)); #59300=EDGE_LOOP('',(#305032,#305033,#305034,#305035)); #59301=EDGE_LOOP('',(#305036,#305037,#305038,#305039)); #59302=EDGE_LOOP('',(#305040,#305041,#305042,#305043)); #59303=EDGE_LOOP('',(#305044,#305045,#305046,#305047)); #59304=EDGE_LOOP('',(#305048,#305049,#305050,#305051)); #59305=EDGE_LOOP('',(#305052,#305053,#305054,#305055)); #59306=EDGE_LOOP('',(#305056,#305057,#305058,#305059)); #59307=EDGE_LOOP('',(#305060,#305061,#305062,#305063)); #59308=EDGE_LOOP('',(#305064,#305065,#305066,#305067)); #59309=EDGE_LOOP('',(#305068,#305069,#305070,#305071)); #59310=EDGE_LOOP('',(#305072,#305073,#305074,#305075)); #59311=EDGE_LOOP('',(#305076,#305077,#305078,#305079)); #59312=EDGE_LOOP('',(#305080,#305081,#305082,#305083)); #59313=EDGE_LOOP('',(#305084,#305085,#305086,#305087)); #59314=EDGE_LOOP('',(#305088,#305089,#305090,#305091)); #59315=EDGE_LOOP('',(#305092,#305093,#305094,#305095,#305096,#305097)); #59316=EDGE_LOOP('',(#305098,#305099,#305100,#305101,#305102,#305103)); #59317=EDGE_LOOP('',(#305104,#305105,#305106,#305107)); #59318=EDGE_LOOP('',(#305108,#305109,#305110,#305111)); #59319=EDGE_LOOP('',(#305112,#305113,#305114,#305115)); #59320=EDGE_LOOP('',(#305116,#305117,#305118,#305119)); #59321=EDGE_LOOP('',(#305120,#305121,#305122,#305123)); #59322=EDGE_LOOP('',(#305124,#305125,#305126,#305127)); #59323=EDGE_LOOP('',(#305128,#305129,#305130,#305131,#305132,#305133)); #59324=EDGE_LOOP('',(#305134,#305135,#305136,#305137,#305138,#305139)); #59325=EDGE_LOOP('',(#305140,#305141,#305142,#305143)); #59326=EDGE_LOOP('',(#305144,#305145,#305146,#305147)); #59327=EDGE_LOOP('',(#305148,#305149,#305150,#305151)); #59328=EDGE_LOOP('',(#305152,#305153,#305154,#305155)); #59329=EDGE_LOOP('',(#305156,#305157,#305158,#305159)); #59330=EDGE_LOOP('',(#305160,#305161,#305162,#305163)); #59331=EDGE_LOOP('',(#305164,#305165,#305166,#305167,#305168,#305169)); #59332=EDGE_LOOP('',(#305170,#305171,#305172,#305173,#305174,#305175)); #59333=EDGE_LOOP('',(#305176,#305177,#305178,#305179)); #59334=EDGE_LOOP('',(#305180,#305181,#305182,#305183)); #59335=EDGE_LOOP('',(#305184,#305185,#305186,#305187)); #59336=EDGE_LOOP('',(#305188,#305189,#305190,#305191)); #59337=EDGE_LOOP('',(#305192,#305193,#305194,#305195)); #59338=EDGE_LOOP('',(#305196,#305197,#305198,#305199)); #59339=EDGE_LOOP('',(#305200,#305201,#305202,#305203,#305204,#305205)); #59340=EDGE_LOOP('',(#305206,#305207,#305208,#305209,#305210,#305211)); #59341=EDGE_LOOP('',(#305212,#305213,#305214,#305215)); #59342=EDGE_LOOP('',(#305216,#305217,#305218,#305219)); #59343=EDGE_LOOP('',(#305220,#305221,#305222,#305223)); #59344=EDGE_LOOP('',(#305224,#305225,#305226,#305227)); #59345=EDGE_LOOP('',(#305228,#305229,#305230,#305231)); #59346=EDGE_LOOP('',(#305232,#305233,#305234,#305235)); #59347=EDGE_LOOP('',(#305236,#305237,#305238,#305239,#305240,#305241)); #59348=EDGE_LOOP('',(#305242,#305243,#305244,#305245,#305246,#305247)); #59349=EDGE_LOOP('',(#305248,#305249,#305250,#305251)); #59350=EDGE_LOOP('',(#305252,#305253,#305254,#305255)); #59351=EDGE_LOOP('',(#305256,#305257,#305258,#305259)); #59352=EDGE_LOOP('',(#305260,#305261,#305262,#305263)); #59353=EDGE_LOOP('',(#305264,#305265,#305266,#305267)); #59354=EDGE_LOOP('',(#305268,#305269,#305270,#305271)); #59355=EDGE_LOOP('',(#305272,#305273,#305274,#305275,#305276,#305277)); #59356=EDGE_LOOP('',(#305278,#305279,#305280,#305281,#305282,#305283)); #59357=EDGE_LOOP('',(#305284,#305285,#305286,#305287)); #59358=EDGE_LOOP('',(#305288,#305289,#305290,#305291)); #59359=EDGE_LOOP('',(#305292,#305293,#305294,#305295)); #59360=EDGE_LOOP('',(#305296,#305297,#305298,#305299)); #59361=EDGE_LOOP('',(#305300,#305301,#305302,#305303)); #59362=EDGE_LOOP('',(#305304,#305305,#305306,#305307)); #59363=EDGE_LOOP('',(#305308,#305309,#305310,#305311,#305312,#305313)); #59364=EDGE_LOOP('',(#305314,#305315,#305316,#305317,#305318,#305319)); #59365=EDGE_LOOP('',(#305320,#305321,#305322,#305323)); #59366=EDGE_LOOP('',(#305324,#305325,#305326,#305327)); #59367=EDGE_LOOP('',(#305328,#305329,#305330,#305331)); #59368=EDGE_LOOP('',(#305332,#305333,#305334,#305335)); #59369=EDGE_LOOP('',(#305336,#305337,#305338,#305339)); #59370=EDGE_LOOP('',(#305340,#305341,#305342,#305343)); #59371=EDGE_LOOP('',(#305344,#305345,#305346,#305347,#305348,#305349)); #59372=EDGE_LOOP('',(#305350,#305351,#305352,#305353,#305354,#305355)); #59373=EDGE_LOOP('',(#305356,#305357,#305358,#305359)); #59374=EDGE_LOOP('',(#305360,#305361,#305362,#305363)); #59375=EDGE_LOOP('',(#305364,#305365,#305366,#305367)); #59376=EDGE_LOOP('',(#305368,#305369,#305370,#305371)); #59377=EDGE_LOOP('',(#305372,#305373,#305374,#305375)); #59378=EDGE_LOOP('',(#305376,#305377,#305378,#305379)); #59379=EDGE_LOOP('',(#305380,#305381,#305382,#305383)); #59380=EDGE_LOOP('',(#305384,#305385,#305386,#305387)); #59381=EDGE_LOOP('',(#305388,#305389,#305390,#305391)); #59382=EDGE_LOOP('',(#305392,#305393,#305394,#305395)); #59383=EDGE_LOOP('',(#305396,#305397,#305398,#305399)); #59384=EDGE_LOOP('',(#305400,#305401,#305402,#305403)); #59385=EDGE_LOOP('',(#305404,#305405,#305406,#305407)); #59386=EDGE_LOOP('',(#305408,#305409,#305410,#305411)); #59387=EDGE_LOOP('',(#305412,#305413,#305414,#305415)); #59388=EDGE_LOOP('',(#305416,#305417,#305418,#305419)); #59389=EDGE_LOOP('',(#305420,#305421,#305422,#305423)); #59390=EDGE_LOOP('',(#305424,#305425,#305426,#305427)); #59391=EDGE_LOOP('',(#305428,#305429,#305430,#305431)); #59392=EDGE_LOOP('',(#305432,#305433,#305434,#305435)); #59393=EDGE_LOOP('',(#305436,#305437,#305438,#305439)); #59394=EDGE_LOOP('',(#305440,#305441,#305442,#305443)); #59395=EDGE_LOOP('',(#305444,#305445,#305446,#305447)); #59396=EDGE_LOOP('',(#305448,#305449,#305450,#305451)); #59397=EDGE_LOOP('',(#305452,#305453,#305454,#305455)); #59398=EDGE_LOOP('',(#305456,#305457,#305458,#305459)); #59399=EDGE_LOOP('',(#305460,#305461,#305462,#305463)); #59400=EDGE_LOOP('',(#305464,#305465,#305466,#305467)); #59401=EDGE_LOOP('',(#305468,#305469,#305470,#305471)); #59402=EDGE_LOOP('',(#305472,#305473,#305474,#305475)); #59403=EDGE_LOOP('',(#305476,#305477,#305478,#305479)); #59404=EDGE_LOOP('',(#305480,#305481,#305482,#305483)); #59405=EDGE_LOOP('',(#305484,#305485,#305486,#305487)); #59406=EDGE_LOOP('',(#305488,#305489,#305490,#305491)); #59407=EDGE_LOOP('',(#305492,#305493,#305494,#305495)); #59408=EDGE_LOOP('',(#305496,#305497,#305498,#305499)); #59409=EDGE_LOOP('',(#305500,#305501,#305502,#305503)); #59410=EDGE_LOOP('',(#305504,#305505,#305506,#305507)); #59411=EDGE_LOOP('',(#305508,#305509,#305510,#305511)); #59412=EDGE_LOOP('',(#305512,#305513,#305514,#305515)); #59413=EDGE_LOOP('',(#305516,#305517,#305518,#305519)); #59414=EDGE_LOOP('',(#305520,#305521,#305522,#305523)); #59415=EDGE_LOOP('',(#305524,#305525,#305526,#305527)); #59416=EDGE_LOOP('',(#305528,#305529,#305530,#305531)); #59417=EDGE_LOOP('',(#305532,#305533,#305534,#305535)); #59418=EDGE_LOOP('',(#305536,#305537,#305538,#305539)); #59419=EDGE_LOOP('',(#305540,#305541,#305542,#305543)); #59420=EDGE_LOOP('',(#305544,#305545,#305546,#305547)); #59421=EDGE_LOOP('',(#305548,#305549,#305550,#305551)); #59422=EDGE_LOOP('',(#305552)); #59423=EDGE_LOOP('',(#305553,#305554,#305555,#305556)); #59424=EDGE_LOOP('',(#305557,#305558,#305559,#305560)); #59425=EDGE_LOOP('',(#305561,#305562,#305563,#305564)); #59426=EDGE_LOOP('',(#305565,#305566,#305567,#305568)); #59427=EDGE_LOOP('',(#305569,#305570,#305571,#305572)); #59428=EDGE_LOOP('',(#305573,#305574,#305575,#305576)); #59429=EDGE_LOOP('',(#305577,#305578,#305579,#305580)); #59430=EDGE_LOOP('',(#305581)); #59431=EDGE_LOOP('',(#305582,#305583,#305584,#305585)); #59432=EDGE_LOOP('',(#305586,#305587,#305588,#305589)); #59433=EDGE_LOOP('',(#305590,#305591,#305592,#305593)); #59434=EDGE_LOOP('',(#305594,#305595,#305596,#305597)); #59435=EDGE_LOOP('',(#305598,#305599,#305600,#305601)); #59436=EDGE_LOOP('',(#305602,#305603,#305604,#305605)); #59437=EDGE_LOOP('',(#305606,#305607,#305608,#305609)); #59438=EDGE_LOOP('',(#305610,#305611,#305612,#305613)); #59439=EDGE_LOOP('',(#305614,#305615,#305616,#305617)); #59440=EDGE_LOOP('',(#305618,#305619,#305620,#305621)); #59441=EDGE_LOOP('',(#305622,#305623,#305624,#305625)); #59442=EDGE_LOOP('',(#305626,#305627,#305628,#305629)); #59443=EDGE_LOOP('',(#305630,#305631,#305632,#305633)); #59444=EDGE_LOOP('',(#305634,#305635,#305636,#305637)); #59445=EDGE_LOOP('',(#305638,#305639,#305640,#305641)); #59446=EDGE_LOOP('',(#305642,#305643,#305644,#305645,#305646,#305647)); #59447=EDGE_LOOP('',(#305648,#305649,#305650,#305651,#305652,#305653)); #59448=EDGE_LOOP('',(#305654,#305655,#305656,#305657)); #59449=EDGE_LOOP('',(#305658,#305659,#305660,#305661)); #59450=EDGE_LOOP('',(#305662,#305663,#305664,#305665)); #59451=EDGE_LOOP('',(#305666,#305667,#305668,#305669)); #59452=EDGE_LOOP('',(#305670,#305671,#305672,#305673)); #59453=EDGE_LOOP('',(#305674,#305675,#305676,#305677)); #59454=EDGE_LOOP('',(#305678,#305679,#305680,#305681,#305682,#305683)); #59455=EDGE_LOOP('',(#305684,#305685,#305686,#305687,#305688,#305689)); #59456=EDGE_LOOP('',(#305690,#305691,#305692,#305693)); #59457=EDGE_LOOP('',(#305694,#305695,#305696,#305697)); #59458=EDGE_LOOP('',(#305698,#305699,#305700,#305701)); #59459=EDGE_LOOP('',(#305702,#305703,#305704,#305705)); #59460=EDGE_LOOP('',(#305706,#305707,#305708,#305709)); #59461=EDGE_LOOP('',(#305710,#305711,#305712,#305713)); #59462=EDGE_LOOP('',(#305714,#305715,#305716,#305717,#305718,#305719)); #59463=EDGE_LOOP('',(#305720,#305721,#305722,#305723,#305724,#305725)); #59464=EDGE_LOOP('',(#305726,#305727,#305728,#305729)); #59465=EDGE_LOOP('',(#305730,#305731,#305732,#305733)); #59466=EDGE_LOOP('',(#305734,#305735,#305736,#305737)); #59467=EDGE_LOOP('',(#305738,#305739,#305740,#305741)); #59468=EDGE_LOOP('',(#305742,#305743,#305744,#305745)); #59469=EDGE_LOOP('',(#305746,#305747,#305748,#305749)); #59470=EDGE_LOOP('',(#305750,#305751,#305752,#305753,#305754,#305755)); #59471=EDGE_LOOP('',(#305756,#305757,#305758,#305759,#305760,#305761)); #59472=EDGE_LOOP('',(#305762,#305763,#305764,#305765)); #59473=EDGE_LOOP('',(#305766,#305767,#305768,#305769)); #59474=EDGE_LOOP('',(#305770,#305771,#305772,#305773)); #59475=EDGE_LOOP('',(#305774,#305775,#305776,#305777)); #59476=EDGE_LOOP('',(#305778,#305779,#305780,#305781)); #59477=EDGE_LOOP('',(#305782,#305783,#305784,#305785)); #59478=EDGE_LOOP('',(#305786,#305787,#305788,#305789,#305790,#305791)); #59479=EDGE_LOOP('',(#305792,#305793,#305794,#305795,#305796,#305797)); #59480=EDGE_LOOP('',(#305798,#305799,#305800,#305801)); #59481=EDGE_LOOP('',(#305802,#305803,#305804,#305805)); #59482=EDGE_LOOP('',(#305806,#305807,#305808,#305809)); #59483=EDGE_LOOP('',(#305810,#305811,#305812,#305813)); #59484=EDGE_LOOP('',(#305814,#305815,#305816,#305817)); #59485=EDGE_LOOP('',(#305818,#305819,#305820,#305821)); #59486=EDGE_LOOP('',(#305822,#305823,#305824,#305825,#305826,#305827)); #59487=EDGE_LOOP('',(#305828,#305829,#305830,#305831,#305832,#305833)); #59488=EDGE_LOOP('',(#305834,#305835,#305836,#305837)); #59489=EDGE_LOOP('',(#305838,#305839,#305840,#305841)); #59490=EDGE_LOOP('',(#305842,#305843,#305844,#305845)); #59491=EDGE_LOOP('',(#305846,#305847,#305848,#305849)); #59492=EDGE_LOOP('',(#305850,#305851,#305852,#305853)); #59493=EDGE_LOOP('',(#305854,#305855,#305856,#305857)); #59494=EDGE_LOOP('',(#305858,#305859,#305860,#305861,#305862,#305863)); #59495=EDGE_LOOP('',(#305864,#305865,#305866,#305867,#305868,#305869)); #59496=EDGE_LOOP('',(#305870,#305871,#305872,#305873)); #59497=EDGE_LOOP('',(#305874,#305875,#305876,#305877)); #59498=EDGE_LOOP('',(#305878,#305879,#305880,#305881)); #59499=EDGE_LOOP('',(#305882,#305883,#305884,#305885)); #59500=EDGE_LOOP('',(#305886,#305887,#305888,#305889)); #59501=EDGE_LOOP('',(#305890,#305891,#305892,#305893)); #59502=EDGE_LOOP('',(#305894,#305895,#305896,#305897,#305898,#305899)); #59503=EDGE_LOOP('',(#305900,#305901,#305902,#305903,#305904,#305905)); #59504=EDGE_LOOP('',(#305906,#305907,#305908,#305909)); #59505=EDGE_LOOP('',(#305910,#305911,#305912,#305913)); #59506=EDGE_LOOP('',(#305914,#305915,#305916,#305917)); #59507=EDGE_LOOP('',(#305918,#305919,#305920,#305921)); #59508=EDGE_LOOP('',(#305922,#305923,#305924,#305925)); #59509=EDGE_LOOP('',(#305926,#305927,#305928,#305929)); #59510=EDGE_LOOP('',(#305930,#305931,#305932,#305933)); #59511=EDGE_LOOP('',(#305934,#305935,#305936,#305937)); #59512=EDGE_LOOP('',(#305938,#305939,#305940,#305941)); #59513=EDGE_LOOP('',(#305942,#305943,#305944,#305945)); #59514=EDGE_LOOP('',(#305946,#305947,#305948,#305949)); #59515=EDGE_LOOP('',(#305950,#305951,#305952,#305953)); #59516=EDGE_LOOP('',(#305954,#305955,#305956,#305957)); #59517=EDGE_LOOP('',(#305958,#305959,#305960,#305961)); #59518=EDGE_LOOP('',(#305962,#305963,#305964,#305965)); #59519=EDGE_LOOP('',(#305966,#305967,#305968,#305969)); #59520=EDGE_LOOP('',(#305970,#305971,#305972,#305973)); #59521=EDGE_LOOP('',(#305974,#305975,#305976,#305977)); #59522=EDGE_LOOP('',(#305978,#305979,#305980,#305981)); #59523=EDGE_LOOP('',(#305982,#305983,#305984,#305985)); #59524=EDGE_LOOP('',(#305986,#305987,#305988,#305989)); #59525=EDGE_LOOP('',(#305990,#305991,#305992,#305993)); #59526=EDGE_LOOP('',(#305994,#305995,#305996,#305997)); #59527=EDGE_LOOP('',(#305998,#305999,#306000,#306001)); #59528=EDGE_LOOP('',(#306002,#306003,#306004,#306005)); #59529=EDGE_LOOP('',(#306006,#306007,#306008,#306009)); #59530=EDGE_LOOP('',(#306010,#306011,#306012,#306013)); #59531=EDGE_LOOP('',(#306014,#306015,#306016,#306017)); #59532=EDGE_LOOP('',(#306018,#306019,#306020,#306021)); #59533=EDGE_LOOP('',(#306022,#306023,#306024,#306025)); #59534=EDGE_LOOP('',(#306026,#306027,#306028,#306029)); #59535=EDGE_LOOP('',(#306030,#306031,#306032,#306033)); #59536=EDGE_LOOP('',(#306034,#306035,#306036,#306037)); #59537=EDGE_LOOP('',(#306038,#306039,#306040,#306041)); #59538=EDGE_LOOP('',(#306042,#306043,#306044,#306045)); #59539=EDGE_LOOP('',(#306046,#306047,#306048,#306049)); #59540=EDGE_LOOP('',(#306050,#306051,#306052,#306053)); #59541=EDGE_LOOP('',(#306054,#306055,#306056,#306057)); #59542=EDGE_LOOP('',(#306058,#306059,#306060,#306061)); #59543=EDGE_LOOP('',(#306062,#306063,#306064,#306065)); #59544=EDGE_LOOP('',(#306066,#306067,#306068,#306069)); #59545=EDGE_LOOP('',(#306070,#306071,#306072,#306073)); #59546=EDGE_LOOP('',(#306074,#306075,#306076,#306077)); #59547=EDGE_LOOP('',(#306078,#306079,#306080,#306081)); #59548=EDGE_LOOP('',(#306082,#306083,#306084,#306085)); #59549=EDGE_LOOP('',(#306086,#306087,#306088,#306089)); #59550=EDGE_LOOP('',(#306090,#306091,#306092,#306093)); #59551=EDGE_LOOP('',(#306094,#306095,#306096,#306097)); #59552=EDGE_LOOP('',(#306098,#306099,#306100,#306101)); #59553=EDGE_LOOP('',(#306102,#306103,#306104,#306105)); #59554=EDGE_LOOP('',(#306106,#306107,#306108,#306109)); #59555=EDGE_LOOP('',(#306110,#306111,#306112,#306113)); #59556=EDGE_LOOP('',(#306114,#306115,#306116,#306117)); #59557=EDGE_LOOP('',(#306118,#306119,#306120,#306121)); #59558=EDGE_LOOP('',(#306122,#306123,#306124,#306125)); #59559=EDGE_LOOP('',(#306126,#306127,#306128,#306129)); #59560=EDGE_LOOP('',(#306130,#306131,#306132,#306133)); #59561=EDGE_LOOP('',(#306134,#306135,#306136,#306137)); #59562=EDGE_LOOP('',(#306138,#306139,#306140,#306141)); #59563=EDGE_LOOP('',(#306142,#306143,#306144,#306145)); #59564=EDGE_LOOP('',(#306146,#306147,#306148,#306149)); #59565=EDGE_LOOP('',(#306150,#306151,#306152,#306153)); #59566=EDGE_LOOP('',(#306154,#306155,#306156,#306157)); #59567=EDGE_LOOP('',(#306158,#306159,#306160,#306161)); #59568=EDGE_LOOP('',(#306162,#306163,#306164,#306165)); #59569=EDGE_LOOP('',(#306166,#306167,#306168,#306169)); #59570=EDGE_LOOP('',(#306170,#306171,#306172,#306173)); #59571=EDGE_LOOP('',(#306174,#306175,#306176,#306177)); #59572=EDGE_LOOP('',(#306178,#306179,#306180,#306181)); #59573=EDGE_LOOP('',(#306182,#306183,#306184,#306185)); #59574=EDGE_LOOP('',(#306186,#306187,#306188,#306189)); #59575=EDGE_LOOP('',(#306190,#306191,#306192,#306193)); #59576=EDGE_LOOP('',(#306194,#306195,#306196,#306197)); #59577=EDGE_LOOP('',(#306198,#306199,#306200,#306201)); #59578=EDGE_LOOP('',(#306202,#306203,#306204,#306205)); #59579=EDGE_LOOP('',(#306206,#306207,#306208,#306209)); #59580=EDGE_LOOP('',(#306210,#306211,#306212,#306213)); #59581=EDGE_LOOP('',(#306214,#306215,#306216,#306217)); #59582=EDGE_LOOP('',(#306218,#306219,#306220,#306221)); #59583=EDGE_LOOP('',(#306222,#306223,#306224,#306225)); #59584=EDGE_LOOP('',(#306226,#306227,#306228,#306229)); #59585=EDGE_LOOP('',(#306230,#306231,#306232,#306233)); #59586=EDGE_LOOP('',(#306234,#306235,#306236,#306237)); #59587=EDGE_LOOP('',(#306238,#306239,#306240,#306241)); #59588=EDGE_LOOP('',(#306242)); #59589=EDGE_LOOP('',(#306243)); #59590=EDGE_LOOP('',(#306244)); #59591=EDGE_LOOP('',(#306245)); #59592=EDGE_LOOP('',(#306246,#306247,#306248,#306249,#306250)); #59593=EDGE_LOOP('',(#306251,#306252,#306253,#306254)); #59594=EDGE_LOOP('',(#306255,#306256,#306257,#306258)); #59595=EDGE_LOOP('',(#306259,#306260,#306261,#306262)); #59596=EDGE_LOOP('',(#306263,#306264,#306265,#306266)); #59597=EDGE_LOOP('',(#306267,#306268,#306269,#306270,#306271)); #59598=EDGE_LOOP('',(#306272,#306273,#306274,#306275,#306276,#306277,#306278, #306279,#306280,#306281)); #59599=EDGE_LOOP('',(#306282,#306283,#306284,#306285,#306286,#306287,#306288, #306289,#306290,#306291)); #59600=EDGE_LOOP('',(#306292,#306293,#306294,#306295,#306296,#306297,#306298, #306299)); #59601=EDGE_LOOP('',(#306300,#306301,#306302,#306303,#306304,#306305,#306306, #306307)); #59602=EDGE_LOOP('',(#306308,#306309,#306310,#306311)); #59603=EDGE_LOOP('',(#306312,#306313,#306314,#306315)); #59604=EDGE_LOOP('',(#306316,#306317,#306318,#306319,#306320,#306321)); #59605=EDGE_LOOP('',(#306322,#306323,#306324,#306325)); #59606=EDGE_LOOP('',(#306326,#306327,#306328,#306329)); #59607=EDGE_LOOP('',(#306330,#306331,#306332,#306333)); #59608=EDGE_LOOP('',(#306334,#306335,#306336,#306337)); #59609=EDGE_LOOP('',(#306338,#306339,#306340,#306341)); #59610=EDGE_LOOP('',(#306342,#306343,#306344,#306345)); #59611=EDGE_LOOP('',(#306346,#306347,#306348,#306349)); #59612=EDGE_LOOP('',(#306350,#306351,#306352,#306353)); #59613=EDGE_LOOP('',(#306354,#306355,#306356,#306357)); #59614=EDGE_LOOP('',(#306358,#306359,#306360,#306361)); #59615=EDGE_LOOP('',(#306362,#306363,#306364,#306365)); #59616=EDGE_LOOP('',(#306366,#306367,#306368,#306369)); #59617=EDGE_LOOP('',(#306370,#306371,#306372,#306373)); #59618=EDGE_LOOP('',(#306374,#306375,#306376,#306377)); #59619=EDGE_LOOP('',(#306378,#306379,#306380)); #59620=EDGE_LOOP('',(#306381,#306382,#306383,#306384,#306385)); #59621=EDGE_LOOP('',(#306386,#306387,#306388,#306389)); #59622=EDGE_LOOP('',(#306390,#306391,#306392,#306393)); #59623=EDGE_LOOP('',(#306394,#306395,#306396,#306397)); #59624=EDGE_LOOP('',(#306398,#306399,#306400,#306401)); #59625=EDGE_LOOP('',(#306402,#306403,#306404,#306405)); #59626=EDGE_LOOP('',(#306406,#306407,#306408,#306409)); #59627=EDGE_LOOP('',(#306410,#306411,#306412,#306413)); #59628=EDGE_LOOP('',(#306414,#306415,#306416,#306417)); #59629=EDGE_LOOP('',(#306418,#306419,#306420,#306421)); #59630=EDGE_LOOP('',(#306422,#306423,#306424,#306425)); #59631=EDGE_LOOP('',(#306426,#306427,#306428,#306429)); #59632=EDGE_LOOP('',(#306430,#306431,#306432,#306433)); #59633=EDGE_LOOP('',(#306434,#306435,#306436,#306437)); #59634=EDGE_LOOP('',(#306438,#306439,#306440,#306441)); #59635=EDGE_LOOP('',(#306442,#306443,#306444,#306445)); #59636=EDGE_LOOP('',(#306446,#306447,#306448,#306449,#306450,#306451)); #59637=EDGE_LOOP('',(#306452,#306453,#306454,#306455,#306456,#306457,#306458, #306459,#306460,#306461,#306462,#306463,#306464)); #59638=EDGE_LOOP('',(#306465,#306466,#306467,#306468)); #59639=EDGE_LOOP('',(#306469,#306470,#306471,#306472)); #59640=EDGE_LOOP('',(#306473,#306474,#306475,#306476)); #59641=EDGE_LOOP('',(#306477,#306478,#306479,#306480)); #59642=EDGE_LOOP('',(#306481,#306482,#306483,#306484,#306485,#306486)); #59643=EDGE_LOOP('',(#306487,#306488,#306489,#306490)); #59644=EDGE_LOOP('',(#306491,#306492,#306493,#306494)); #59645=EDGE_LOOP('',(#306495,#306496,#306497,#306498)); #59646=EDGE_LOOP('',(#306499,#306500,#306501,#306502)); #59647=EDGE_LOOP('',(#306503,#306504,#306505,#306506)); #59648=EDGE_LOOP('',(#306507,#306508,#306509,#306510)); #59649=EDGE_LOOP('',(#306511,#306512,#306513,#306514)); #59650=EDGE_LOOP('',(#306515,#306516,#306517,#306518)); #59651=EDGE_LOOP('',(#306519,#306520,#306521,#306522)); #59652=EDGE_LOOP('',(#306523,#306524,#306525,#306526)); #59653=EDGE_LOOP('',(#306527,#306528,#306529,#306530)); #59654=EDGE_LOOP('',(#306531,#306532,#306533,#306534)); #59655=EDGE_LOOP('',(#306535,#306536,#306537,#306538)); #59656=EDGE_LOOP('',(#306539,#306540,#306541,#306542)); #59657=EDGE_LOOP('',(#306543,#306544,#306545,#306546)); #59658=EDGE_LOOP('',(#306547,#306548,#306549,#306550)); #59659=EDGE_LOOP('',(#306551,#306552,#306553,#306554,#306555)); #59660=EDGE_LOOP('',(#306556,#306557,#306558,#306559,#306560,#306561,#306562, #306563,#306564,#306565,#306566)); #59661=EDGE_LOOP('',(#306567,#306568,#306569,#306570)); #59662=EDGE_LOOP('',(#306571,#306572,#306573,#306574)); #59663=EDGE_LOOP('',(#306575,#306576,#306577,#306578)); #59664=EDGE_LOOP('',(#306579,#306580,#306581,#306582)); #59665=EDGE_LOOP('',(#306583,#306584,#306585,#306586)); #59666=EDGE_LOOP('',(#306587,#306588,#306589,#306590)); #59667=EDGE_LOOP('',(#306591,#306592,#306593,#306594,#306595)); #59668=EDGE_LOOP('',(#306596,#306597,#306598)); #59669=EDGE_LOOP('',(#306599,#306600,#306601)); #59670=EDGE_LOOP('',(#306602,#306603,#306604)); #59671=EDGE_LOOP('',(#306605,#306606,#306607)); #59672=EDGE_LOOP('',(#306608,#306609,#306610)); #59673=EDGE_LOOP('',(#306611,#306612,#306613)); #59674=EDGE_LOOP('',(#306614,#306615,#306616,#306617)); #59675=EDGE_LOOP('',(#306618,#306619,#306620,#306621)); #59676=EDGE_LOOP('',(#306622,#306623,#306624,#306625)); #59677=EDGE_LOOP('',(#306626,#306627,#306628,#306629)); #59678=EDGE_LOOP('',(#306630,#306631,#306632,#306633)); #59679=EDGE_LOOP('',(#306634,#306635,#306636,#306637)); #59680=EDGE_LOOP('',(#306638,#306639,#306640,#306641)); #59681=EDGE_LOOP('',(#306642,#306643,#306644,#306645)); #59682=EDGE_LOOP('',(#306646,#306647,#306648,#306649,#306650)); #59683=EDGE_LOOP('',(#306651,#306652,#306653,#306654)); #59684=EDGE_LOOP('',(#306655,#306656,#306657,#306658)); #59685=EDGE_LOOP('',(#306659,#306660,#306661,#306662)); #59686=EDGE_LOOP('',(#306663,#306664,#306665,#306666)); #59687=EDGE_LOOP('',(#306667,#306668,#306669,#306670)); #59688=EDGE_LOOP('',(#306671,#306672,#306673,#306674)); #59689=EDGE_LOOP('',(#306675,#306676,#306677,#306678,#306679,#306680,#306681, #306682,#306683,#306684,#306685)); #59690=EDGE_LOOP('',(#306686,#306687,#306688,#306689,#306690)); #59691=EDGE_LOOP('',(#306691,#306692,#306693,#306694)); #59692=EDGE_LOOP('',(#306695,#306696,#306697,#306698)); #59693=EDGE_LOOP('',(#306699,#306700,#306701,#306702)); #59694=EDGE_LOOP('',(#306703,#306704,#306705,#306706)); #59695=EDGE_LOOP('',(#306707,#306708,#306709,#306710)); #59696=EDGE_LOOP('',(#306711,#306712,#306713,#306714)); #59697=EDGE_LOOP('',(#306715,#306716,#306717,#306718)); #59698=EDGE_LOOP('',(#306719,#306720,#306721,#306722)); #59699=EDGE_LOOP('',(#306723,#306724,#306725)); #59700=EDGE_LOOP('',(#306726,#306727,#306728)); #59701=EDGE_LOOP('',(#306729,#306730,#306731)); #59702=EDGE_LOOP('',(#306732,#306733,#306734)); #59703=EDGE_LOOP('',(#306735,#306736,#306737)); #59704=EDGE_LOOP('',(#306738,#306739,#306740)); #59705=EDGE_LOOP('',(#306741,#306742,#306743)); #59706=EDGE_LOOP('',(#306744,#306745,#306746)); #59707=EDGE_LOOP('',(#306747,#306748,#306749,#306750,#306751,#306752,#306753, #306754,#306755,#306756,#306757,#306758,#306759,#306760,#306761,#306762, #306763,#306764,#306765,#306766,#306767,#306768,#306769,#306770,#306771, #306772,#306773,#306774,#306775,#306776,#306777,#306778,#306779,#306780, #306781,#306782,#306783,#306784,#306785,#306786,#306787,#306788,#306789, #306790,#306791,#306792,#306793,#306794,#306795,#306796,#306797,#306798, #306799,#306800,#306801,#306802,#306803,#306804,#306805,#306806,#306807, #306808,#306809,#306810,#306811,#306812,#306813,#306814)); #59708=EDGE_LOOP('',(#306815,#306816,#306817,#306818)); #59709=EDGE_LOOP('',(#306819,#306820,#306821,#306822)); #59710=EDGE_LOOP('',(#306823,#306824,#306825,#306826)); #59711=EDGE_LOOP('',(#306827,#306828,#306829,#306830)); #59712=EDGE_LOOP('',(#306831,#306832,#306833,#306834,#306835,#306836,#306837, #306838,#306839,#306840,#306841,#306842)); #59713=EDGE_LOOP('',(#306843,#306844,#306845,#306846,#306847,#306848,#306849, #306850)); #59714=EDGE_LOOP('',(#306851,#306852,#306853,#306854)); #59715=EDGE_LOOP('',(#306855,#306856,#306857,#306858)); #59716=EDGE_LOOP('',(#306859,#306860,#306861,#306862)); #59717=EDGE_LOOP('',(#306863,#306864,#306865,#306866)); #59718=EDGE_LOOP('',(#306867,#306868,#306869,#306870)); #59719=EDGE_LOOP('',(#306871,#306872,#306873,#306874)); #59720=EDGE_LOOP('',(#306875,#306876,#306877,#306878)); #59721=EDGE_LOOP('',(#306879,#306880,#306881,#306882)); #59722=EDGE_LOOP('',(#306883,#306884,#306885,#306886,#306887,#306888,#306889, #306890,#306891,#306892,#306893,#306894)); #59723=EDGE_LOOP('',(#306895,#306896,#306897,#306898)); #59724=EDGE_LOOP('',(#306899,#306900,#306901,#306902,#306903,#306904,#306905, #306906)); #59725=EDGE_LOOP('',(#306907,#306908,#306909,#306910,#306911,#306912,#306913, #306914,#306915,#306916,#306917,#306918)); #59726=EDGE_LOOP('',(#306919,#306920,#306921,#306922)); #59727=EDGE_LOOP('',(#306923,#306924,#306925,#306926,#306927,#306928,#306929, #306930,#306931,#306932,#306933,#306934)); #59728=EDGE_LOOP('',(#306935,#306936,#306937,#306938)); #59729=EDGE_LOOP('',(#306939,#306940,#306941,#306942)); #59730=EDGE_LOOP('',(#306943,#306944,#306945,#306946)); #59731=EDGE_LOOP('',(#306947,#306948,#306949,#306950)); #59732=EDGE_LOOP('',(#306951,#306952,#306953,#306954)); #59733=EDGE_LOOP('',(#306955,#306956,#306957,#306958)); #59734=EDGE_LOOP('',(#306959,#306960,#306961,#306962)); #59735=EDGE_LOOP('',(#306963,#306964,#306965,#306966)); #59736=EDGE_LOOP('',(#306967,#306968,#306969,#306970)); #59737=EDGE_LOOP('',(#306971,#306972,#306973,#306974)); #59738=EDGE_LOOP('',(#306975,#306976,#306977,#306978)); #59739=EDGE_LOOP('',(#306979,#306980,#306981,#306982)); #59740=EDGE_LOOP('',(#306983,#306984,#306985,#306986)); #59741=EDGE_LOOP('',(#306987,#306988,#306989,#306990)); #59742=EDGE_LOOP('',(#306991,#306992,#306993,#306994,#306995,#306996,#306997, #306998,#306999,#307000,#307001,#307002)); #59743=EDGE_LOOP('',(#307003,#307004,#307005,#307006,#307007,#307008,#307009, #307010)); #59744=EDGE_LOOP('',(#307011,#307012,#307013,#307014)); #59745=EDGE_LOOP('',(#307015,#307016,#307017,#307018,#307019,#307020,#307021, #307022,#307023,#307024,#307025,#307026)); #59746=EDGE_LOOP('',(#307027,#307028,#307029,#307030)); #59747=EDGE_LOOP('',(#307031,#307032,#307033,#307034)); #59748=EDGE_LOOP('',(#307035,#307036,#307037,#307038)); #59749=EDGE_LOOP('',(#307039,#307040,#307041,#307042)); #59750=EDGE_LOOP('',(#307043,#307044,#307045,#307046)); #59751=EDGE_LOOP('',(#307047,#307048,#307049,#307050,#307051,#307052,#307053, #307054)); #59752=EDGE_LOOP('',(#307055,#307056,#307057,#307058)); #59753=EDGE_LOOP('',(#307059,#307060,#307061,#307062)); #59754=EDGE_LOOP('',(#307063,#307064,#307065,#307066)); #59755=EDGE_LOOP('',(#307067,#307068,#307069,#307070)); #59756=EDGE_LOOP('',(#307071,#307072,#307073,#307074)); #59757=EDGE_LOOP('',(#307075,#307076,#307077,#307078)); #59758=EDGE_LOOP('',(#307079,#307080,#307081,#307082)); #59759=EDGE_LOOP('',(#307083,#307084,#307085,#307086,#307087,#307088,#307089, #307090,#307091,#307092,#307093,#307094)); #59760=EDGE_LOOP('',(#307095,#307096,#307097,#307098,#307099,#307100,#307101, #307102)); #59761=EDGE_LOOP('',(#307103,#307104,#307105,#307106)); #59762=EDGE_LOOP('',(#307107,#307108,#307109,#307110)); #59763=EDGE_LOOP('',(#307111,#307112,#307113,#307114)); #59764=EDGE_LOOP('',(#307115,#307116,#307117,#307118)); #59765=EDGE_LOOP('',(#307119,#307120,#307121,#307122)); #59766=EDGE_LOOP('',(#307123,#307124,#307125,#307126)); #59767=EDGE_LOOP('',(#307127,#307128,#307129,#307130)); #59768=EDGE_LOOP('',(#307131,#307132,#307133,#307134)); #59769=EDGE_LOOP('',(#307135,#307136,#307137,#307138,#307139,#307140,#307141, #307142,#307143,#307144,#307145,#307146)); #59770=EDGE_LOOP('',(#307147,#307148,#307149,#307150)); #59771=EDGE_LOOP('',(#307151,#307152,#307153,#307154,#307155,#307156,#307157, #307158)); #59772=EDGE_LOOP('',(#307159,#307160,#307161,#307162,#307163,#307164,#307165, #307166,#307167,#307168,#307169,#307170)); #59773=EDGE_LOOP('',(#307171,#307172,#307173,#307174)); #59774=EDGE_LOOP('',(#307175,#307176,#307177,#307178,#307179,#307180,#307181, #307182,#307183,#307184,#307185,#307186)); #59775=EDGE_LOOP('',(#307187,#307188,#307189,#307190)); #59776=EDGE_LOOP('',(#307191,#307192,#307193,#307194)); #59777=EDGE_LOOP('',(#307195,#307196,#307197,#307198)); #59778=EDGE_LOOP('',(#307199,#307200,#307201,#307202)); #59779=EDGE_LOOP('',(#307203,#307204,#307205,#307206)); #59780=EDGE_LOOP('',(#307207,#307208,#307209,#307210)); #59781=EDGE_LOOP('',(#307211,#307212,#307213,#307214)); #59782=EDGE_LOOP('',(#307215,#307216,#307217,#307218)); #59783=EDGE_LOOP('',(#307219,#307220,#307221,#307222)); #59784=EDGE_LOOP('',(#307223,#307224,#307225,#307226)); #59785=EDGE_LOOP('',(#307227,#307228,#307229,#307230,#307231,#307232,#307233)); #59786=EDGE_LOOP('',(#307234,#307235,#307236,#307237,#307238,#307239,#307240, #307241)); #59787=EDGE_LOOP('',(#307242,#307243,#307244,#307245,#307246,#307247,#307248)); #59788=EDGE_LOOP('',(#307249,#307250,#307251,#307252,#307253,#307254)); #59789=EDGE_LOOP('',(#307255,#307256,#307257,#307258,#307259,#307260,#307261, #307262,#307263,#307264,#307265,#307266,#307267,#307268,#307269,#307270)); #59790=EDGE_LOOP('',(#307271,#307272,#307273,#307274)); #59791=EDGE_LOOP('',(#307275,#307276,#307277,#307278)); #59792=EDGE_LOOP('',(#307279,#307280,#307281,#307282,#307283,#307284,#307285, #307286,#307287,#307288,#307289,#307290,#307291,#307292,#307293,#307294, #307295)); #59793=EDGE_LOOP('',(#307296,#307297,#307298,#307299)); #59794=EDGE_LOOP('',(#307300,#307301,#307302,#307303,#307304,#307305,#307306, #307307,#307308,#307309,#307310,#307311,#307312,#307313,#307314,#307315, #307316,#307317)); #59795=EDGE_LOOP('',(#307318,#307319,#307320,#307321)); #59796=EDGE_LOOP('',(#307322,#307323,#307324,#307325,#307326,#307327)); #59797=EDGE_LOOP('',(#307328,#307329,#307330,#307331)); #59798=EDGE_LOOP('',(#307332,#307333,#307334,#307335)); #59799=EDGE_LOOP('',(#307336,#307337,#307338,#307339)); #59800=EDGE_LOOP('',(#307340,#307341,#307342,#307343,#307344,#307345)); #59801=EDGE_LOOP('',(#307346,#307347,#307348,#307349)); #59802=EDGE_LOOP('',(#307350,#307351,#307352,#307353,#307354,#307355,#307356, #307357,#307358,#307359,#307360,#307361)); #59803=EDGE_LOOP('',(#307362,#307363,#307364,#307365,#307366)); #59804=EDGE_LOOP('',(#307367)); #59805=EDGE_LOOP('',(#307368,#307369,#307370,#307371)); #59806=EDGE_LOOP('',(#307372,#307373,#307374,#307375)); #59807=EDGE_LOOP('',(#307376,#307377,#307378,#307379)); #59808=EDGE_LOOP('',(#307380,#307381,#307382,#307383)); #59809=EDGE_LOOP('',(#307384,#307385,#307386,#307387)); #59810=EDGE_LOOP('',(#307388,#307389,#307390,#307391)); #59811=EDGE_LOOP('',(#307392,#307393,#307394,#307395)); #59812=EDGE_LOOP('',(#307396,#307397,#307398,#307399)); #59813=EDGE_LOOP('',(#307400,#307401,#307402,#307403,#307404,#307405,#307406)); #59814=EDGE_LOOP('',(#307407,#307408,#307409,#307410)); #59815=EDGE_LOOP('',(#307411,#307412,#307413,#307414)); #59816=EDGE_LOOP('',(#307415,#307416,#307417,#307418)); #59817=EDGE_LOOP('',(#307419,#307420,#307421,#307422)); #59818=EDGE_LOOP('',(#307423,#307424,#307425,#307426)); #59819=EDGE_LOOP('',(#307427,#307428,#307429,#307430)); #59820=EDGE_LOOP('',(#307431,#307432,#307433,#307434)); #59821=EDGE_LOOP('',(#307435,#307436,#307437,#307438)); #59822=EDGE_LOOP('',(#307439,#307440,#307441,#307442)); #59823=EDGE_LOOP('',(#307443,#307444,#307445,#307446)); #59824=EDGE_LOOP('',(#307447,#307448,#307449,#307450)); #59825=EDGE_LOOP('',(#307451,#307452,#307453,#307454,#307455,#307456,#307457, #307458,#307459,#307460,#307461,#307462,#307463,#307464,#307465,#307466, #307467,#307468,#307469,#307470,#307471,#307472)); #59826=EDGE_LOOP('',(#307473,#307474,#307475,#307476)); #59827=EDGE_LOOP('',(#307477,#307478,#307479,#307480)); #59828=EDGE_LOOP('',(#307481,#307482,#307483,#307484,#307485,#307486,#307487, #307488,#307489,#307490,#307491,#307492,#307493,#307494,#307495)); #59829=EDGE_LOOP('',(#307496,#307497,#307498,#307499)); #59830=EDGE_LOOP('',(#307500,#307501,#307502,#307503,#307504,#307505)); #59831=EDGE_LOOP('',(#307506,#307507,#307508,#307509,#307510,#307511,#307512, #307513,#307514,#307515)); #59832=EDGE_LOOP('',(#307516,#307517,#307518,#307519)); #59833=EDGE_LOOP('',(#307520,#307521,#307522,#307523,#307524,#307525,#307526, #307527,#307528,#307529)); #59834=EDGE_LOOP('',(#307530,#307531,#307532,#307533)); #59835=EDGE_LOOP('',(#307534,#307535,#307536,#307537)); #59836=EDGE_LOOP('',(#307538,#307539,#307540,#307541)); #59837=EDGE_LOOP('',(#307542,#307543,#307544,#307545)); #59838=EDGE_LOOP('',(#307546,#307547,#307548,#307549)); #59839=EDGE_LOOP('',(#307550,#307551,#307552,#307553)); #59840=EDGE_LOOP('',(#307554,#307555,#307556,#307557)); #59841=EDGE_LOOP('',(#307558,#307559,#307560,#307561,#307562)); #59842=EDGE_LOOP('',(#307563,#307564,#307565,#307566,#307567)); #59843=EDGE_LOOP('',(#307568,#307569,#307570,#307571)); #59844=EDGE_LOOP('',(#307572,#307573,#307574,#307575)); #59845=EDGE_LOOP('',(#307576,#307577,#307578,#307579)); #59846=EDGE_LOOP('',(#307580,#307581,#307582,#307583)); #59847=EDGE_LOOP('',(#307584,#307585,#307586,#307587)); #59848=EDGE_LOOP('',(#307588,#307589,#307590,#307591,#307592,#307593,#307594, #307595,#307596,#307597,#307598,#307599,#307600,#307601,#307602)); #59849=EDGE_LOOP('',(#307603,#307604,#307605,#307606)); #59850=EDGE_LOOP('',(#307607,#307608,#307609,#307610,#307611,#307612)); #59851=EDGE_LOOP('',(#307613,#307614,#307615,#307616)); #59852=EDGE_LOOP('',(#307617,#307618,#307619,#307620,#307621,#307622,#307623, #307624,#307625,#307626,#307627,#307628,#307629,#307630,#307631,#307632)); #59853=EDGE_LOOP('',(#307633,#307634,#307635,#307636,#307637,#307638,#307639)); #59854=EDGE_LOOP('',(#307640,#307641,#307642,#307643)); #59855=EDGE_LOOP('',(#307644,#307645,#307646,#307647,#307648,#307649)); #59856=EDGE_LOOP('',(#307650,#307651,#307652,#307653)); #59857=EDGE_LOOP('',(#307654,#307655,#307656,#307657)); #59858=EDGE_LOOP('',(#307658,#307659,#307660,#307661)); #59859=EDGE_LOOP('',(#307662,#307663,#307664,#307665)); #59860=EDGE_LOOP('',(#307666,#307667,#307668,#307669)); #59861=EDGE_LOOP('',(#307670,#307671,#307672,#307673)); #59862=EDGE_LOOP('',(#307674,#307675,#307676,#307677)); #59863=EDGE_LOOP('',(#307678,#307679,#307680,#307681,#307682,#307683,#307684, #307685,#307686,#307687,#307688,#307689,#307690,#307691,#307692,#307693)); #59864=EDGE_LOOP('',(#307694,#307695,#307696,#307697,#307698,#307699,#307700, #307701)); #59865=EDGE_LOOP('',(#307702,#307703,#307704,#307705)); #59866=EDGE_LOOP('',(#307706,#307707,#307708,#307709,#307710,#307711,#307712, #307713)); #59867=EDGE_LOOP('',(#307714,#307715,#307716,#307717,#307718,#307719,#307720, #307721,#307722,#307723)); #59868=EDGE_LOOP('',(#307724,#307725,#307726,#307727)); #59869=EDGE_LOOP('',(#307728,#307729,#307730,#307731,#307732,#307733)); #59870=EDGE_LOOP('',(#307734,#307735,#307736,#307737)); #59871=EDGE_LOOP('',(#307738,#307739,#307740,#307741)); #59872=EDGE_LOOP('',(#307742,#307743,#307744,#307745)); #59873=EDGE_LOOP('',(#307746,#307747,#307748,#307749,#307750,#307751,#307752, #307753,#307754,#307755,#307756,#307757,#307758,#307759,#307760,#307761, #307762,#307763,#307764,#307765,#307766,#307767,#307768,#307769,#307770, #307771,#307772,#307773,#307774,#307775,#307776,#307777,#307778,#307779, #307780,#307781,#307782,#307783,#307784,#307785,#307786,#307787,#307788, #307789,#307790,#307791,#307792,#307793,#307794,#307795)); #59874=EDGE_LOOP('',(#307796,#307797,#307798,#307799)); #59875=EDGE_LOOP('',(#307800,#307801,#307802,#307803)); #59876=EDGE_LOOP('',(#307804,#307805,#307806,#307807)); #59877=EDGE_LOOP('',(#307808,#307809,#307810,#307811,#307812,#307813)); #59878=EDGE_LOOP('',(#307814,#307815,#307816,#307817)); #59879=EDGE_LOOP('',(#307818,#307819,#307820,#307821,#307822,#307823,#307824, #307825,#307826,#307827,#307828,#307829,#307830)); #59880=EDGE_LOOP('',(#307831,#307832,#307833,#307834)); #59881=EDGE_LOOP('',(#307835,#307836,#307837,#307838)); #59882=EDGE_LOOP('',(#307839,#307840,#307841,#307842)); #59883=EDGE_LOOP('',(#307843,#307844,#307845,#307846)); #59884=EDGE_LOOP('',(#307847,#307848,#307849,#307850)); #59885=EDGE_LOOP('',(#307851,#307852,#307853,#307854)); #59886=EDGE_LOOP('',(#307855,#307856,#307857,#307858)); #59887=EDGE_LOOP('',(#307859,#307860,#307861,#307862)); #59888=EDGE_LOOP('',(#307863,#307864,#307865,#307866)); #59889=EDGE_LOOP('',(#307867,#307868,#307869,#307870)); #59890=EDGE_LOOP('',(#307871,#307872,#307873,#307874)); #59891=EDGE_LOOP('',(#307875,#307876,#307877,#307878)); #59892=EDGE_LOOP('',(#307879,#307880,#307881,#307882)); #59893=EDGE_LOOP('',(#307883,#307884,#307885,#307886)); #59894=EDGE_LOOP('',(#307887,#307888,#307889,#307890,#307891,#307892)); #59895=EDGE_LOOP('',(#307893,#307894,#307895,#307896)); #59896=EDGE_LOOP('',(#307897,#307898,#307899,#307900,#307901,#307902)); #59897=EDGE_LOOP('',(#307903,#307904,#307905,#307906)); #59898=EDGE_LOOP('',(#307907,#307908,#307909,#307910)); #59899=EDGE_LOOP('',(#307911,#307912,#307913,#307914)); #59900=EDGE_LOOP('',(#307915,#307916,#307917,#307918)); #59901=EDGE_LOOP('',(#307919,#307920,#307921,#307922)); #59902=EDGE_LOOP('',(#307923,#307924,#307925,#307926)); #59903=EDGE_LOOP('',(#307927,#307928,#307929,#307930)); #59904=EDGE_LOOP('',(#307931,#307932,#307933,#307934)); #59905=EDGE_LOOP('',(#307935,#307936,#307937,#307938)); #59906=EDGE_LOOP('',(#307939,#307940,#307941,#307942)); #59907=EDGE_LOOP('',(#307943,#307944,#307945,#307946)); #59908=EDGE_LOOP('',(#307947,#307948,#307949,#307950,#307951,#307952)); #59909=EDGE_LOOP('',(#307953,#307954,#307955,#307956)); #59910=EDGE_LOOP('',(#307957,#307958,#307959,#307960,#307961,#307962)); #59911=EDGE_LOOP('',(#307963,#307964,#307965,#307966)); #59912=EDGE_LOOP('',(#307967,#307968,#307969,#307970)); #59913=EDGE_LOOP('',(#307971,#307972,#307973,#307974)); #59914=EDGE_LOOP('',(#307975,#307976,#307977,#307978)); #59915=EDGE_LOOP('',(#307979,#307980,#307981,#307982)); #59916=EDGE_LOOP('',(#307983,#307984,#307985,#307986,#307987,#307988,#307989, #307990,#307991,#307992,#307993,#307994,#307995)); #59917=EDGE_LOOP('',(#307996,#307997,#307998,#307999)); #59918=EDGE_LOOP('',(#308000,#308001,#308002,#308003)); #59919=EDGE_LOOP('',(#308004,#308005,#308006,#308007,#308008,#308009,#308010, #308011,#308012)); #59920=EDGE_LOOP('',(#308013,#308014,#308015,#308016)); #59921=EDGE_LOOP('',(#308017,#308018,#308019,#308020)); #59922=EDGE_LOOP('',(#308021,#308022,#308023,#308024,#308025,#308026,#308027, #308028)); #59923=EDGE_LOOP('',(#308029,#308030,#308031,#308032)); #59924=EDGE_LOOP('',(#308033,#308034,#308035,#308036,#308037,#308038,#308039, #308040,#308041)); #59925=EDGE_LOOP('',(#308042,#308043,#308044,#308045)); #59926=EDGE_LOOP('',(#308046,#308047,#308048,#308049,#308050,#308051,#308052, #308053)); #59927=EDGE_LOOP('',(#308054,#308055,#308056,#308057)); #59928=EDGE_LOOP('',(#308058,#308059,#308060,#308061,#308062,#308063,#308064, #308065)); #59929=EDGE_LOOP('',(#308066,#308067,#308068,#308069,#308070,#308071,#308072, #308073,#308074)); #59930=EDGE_LOOP('',(#308075,#308076,#308077,#308078)); #59931=EDGE_LOOP('',(#308079,#308080,#308081,#308082)); #59932=EDGE_LOOP('',(#308083,#308084,#308085,#308086,#308087,#308088,#308089, #308090)); #59933=EDGE_LOOP('',(#308091,#308092,#308093,#308094,#308095,#308096,#308097, #308098,#308099)); #59934=EDGE_LOOP('',(#308100,#308101,#308102,#308103)); #59935=EDGE_LOOP('',(#308104,#308105,#308106,#308107)); #59936=EDGE_LOOP('',(#308108,#308109,#308110,#308111)); #59937=EDGE_LOOP('',(#308112,#308113,#308114,#308115)); #59938=EDGE_LOOP('',(#308116,#308117,#308118,#308119)); #59939=EDGE_LOOP('',(#308120,#308121,#308122,#308123)); #59940=EDGE_LOOP('',(#308124,#308125,#308126,#308127)); #59941=EDGE_LOOP('',(#308128,#308129,#308130,#308131)); #59942=EDGE_LOOP('',(#308132,#308133,#308134,#308135)); #59943=EDGE_LOOP('',(#308136,#308137,#308138,#308139)); #59944=EDGE_LOOP('',(#308140,#308141,#308142,#308143)); #59945=EDGE_LOOP('',(#308144,#308145,#308146,#308147)); #59946=EDGE_LOOP('',(#308148,#308149,#308150,#308151)); #59947=EDGE_LOOP('',(#308152,#308153,#308154,#308155)); #59948=EDGE_LOOP('',(#308156,#308157,#308158,#308159)); #59949=EDGE_LOOP('',(#308160,#308161,#308162,#308163)); #59950=EDGE_LOOP('',(#308164,#308165,#308166,#308167)); #59951=EDGE_LOOP('',(#308168,#308169,#308170,#308171)); #59952=EDGE_LOOP('',(#308172,#308173,#308174,#308175)); #59953=EDGE_LOOP('',(#308176,#308177,#308178,#308179)); #59954=EDGE_LOOP('',(#308180,#308181,#308182,#308183)); #59955=EDGE_LOOP('',(#308184,#308185,#308186,#308187)); #59956=EDGE_LOOP('',(#308188,#308189,#308190,#308191)); #59957=EDGE_LOOP('',(#308192,#308193,#308194,#308195)); #59958=EDGE_LOOP('',(#308196,#308197,#308198,#308199)); #59959=EDGE_LOOP('',(#308200,#308201,#308202,#308203)); #59960=EDGE_LOOP('',(#308204,#308205,#308206,#308207)); #59961=EDGE_LOOP('',(#308208,#308209,#308210,#308211,#308212,#308213)); #59962=EDGE_LOOP('',(#308214,#308215,#308216,#308217)); #59963=EDGE_LOOP('',(#308218,#308219,#308220,#308221)); #59964=EDGE_LOOP('',(#308222,#308223,#308224,#308225)); #59965=EDGE_LOOP('',(#308226,#308227,#308228,#308229)); #59966=EDGE_LOOP('',(#308230,#308231,#308232,#308233)); #59967=EDGE_LOOP('',(#308234,#308235,#308236,#308237)); #59968=EDGE_LOOP('',(#308238,#308239,#308240,#308241)); #59969=EDGE_LOOP('',(#308242,#308243,#308244,#308245,#308246,#308247)); #59970=EDGE_LOOP('',(#308248,#308249,#308250,#308251)); #59971=EDGE_LOOP('',(#308252,#308253,#308254,#308255)); #59972=EDGE_LOOP('',(#308256,#308257,#308258,#308259)); #59973=EDGE_LOOP('',(#308260,#308261,#308262,#308263)); #59974=EDGE_LOOP('',(#308264,#308265,#308266,#308267)); #59975=EDGE_LOOP('',(#308268,#308269,#308270,#308271)); #59976=EDGE_LOOP('',(#308272,#308273,#308274,#308275)); #59977=EDGE_LOOP('',(#308276,#308277,#308278,#308279)); #59978=EDGE_LOOP('',(#308280,#308281,#308282,#308283)); #59979=EDGE_LOOP('',(#308284,#308285,#308286,#308287)); #59980=EDGE_LOOP('',(#308288,#308289,#308290,#308291)); #59981=EDGE_LOOP('',(#308292,#308293,#308294,#308295)); #59982=EDGE_LOOP('',(#308296,#308297,#308298,#308299)); #59983=EDGE_LOOP('',(#308300,#308301,#308302,#308303)); #59984=EDGE_LOOP('',(#308304,#308305,#308306,#308307)); #59985=EDGE_LOOP('',(#308308,#308309,#308310,#308311)); #59986=EDGE_LOOP('',(#308312,#308313,#308314,#308315)); #59987=EDGE_LOOP('',(#308316,#308317,#308318,#308319)); #59988=EDGE_LOOP('',(#308320,#308321,#308322,#308323,#308324,#308325)); #59989=EDGE_LOOP('',(#308326,#308327,#308328,#308329)); #59990=EDGE_LOOP('',(#308330,#308331,#308332,#308333)); #59991=EDGE_LOOP('',(#308334,#308335,#308336,#308337)); #59992=EDGE_LOOP('',(#308338,#308339,#308340,#308341)); #59993=EDGE_LOOP('',(#308342,#308343,#308344,#308345)); #59994=EDGE_LOOP('',(#308346,#308347,#308348,#308349)); #59995=EDGE_LOOP('',(#308350,#308351,#308352,#308353,#308354,#308355)); #59996=EDGE_LOOP('',(#308356,#308357,#308358,#308359)); #59997=EDGE_LOOP('',(#308360,#308361,#308362,#308363)); #59998=EDGE_LOOP('',(#308364,#308365,#308366,#308367)); #59999=EDGE_LOOP('',(#308368,#308369,#308370,#308371)); #60000=EDGE_LOOP('',(#308372,#308373,#308374,#308375)); #60001=EDGE_LOOP('',(#308376,#308377,#308378,#308379)); #60002=EDGE_LOOP('',(#308380,#308381,#308382,#308383)); #60003=EDGE_LOOP('',(#308384,#308385,#308386,#308387)); #60004=EDGE_LOOP('',(#308388,#308389,#308390,#308391)); #60005=EDGE_LOOP('',(#308392,#308393,#308394,#308395)); #60006=EDGE_LOOP('',(#308396,#308397,#308398,#308399)); #60007=EDGE_LOOP('',(#308400,#308401,#308402,#308403)); #60008=EDGE_LOOP('',(#308404,#308405,#308406,#308407)); #60009=EDGE_LOOP('',(#308408,#308409,#308410,#308411)); #60010=EDGE_LOOP('',(#308412)); #60011=EDGE_LOOP('',(#308413,#308414,#308415,#308416)); #60012=EDGE_LOOP('',(#308417,#308418,#308419,#308420)); #60013=EDGE_LOOP('',(#308421,#308422,#308423,#308424)); #60014=EDGE_LOOP('',(#308425,#308426,#308427,#308428)); #60015=EDGE_LOOP('',(#308429,#308430,#308431,#308432)); #60016=EDGE_LOOP('',(#308433,#308434,#308435,#308436)); #60017=EDGE_LOOP('',(#308437,#308438,#308439,#308440)); #60018=EDGE_LOOP('',(#308441)); #60019=EDGE_LOOP('',(#308442,#308443,#308444,#308445)); #60020=EDGE_LOOP('',(#308446,#308447,#308448,#308449)); #60021=EDGE_LOOP('',(#308450,#308451,#308452,#308453)); #60022=EDGE_LOOP('',(#308454,#308455,#308456,#308457)); #60023=EDGE_LOOP('',(#308458,#308459,#308460,#308461)); #60024=EDGE_LOOP('',(#308462,#308463,#308464,#308465)); #60025=EDGE_LOOP('',(#308466,#308467,#308468,#308469)); #60026=EDGE_LOOP('',(#308470,#308471,#308472,#308473)); #60027=EDGE_LOOP('',(#308474,#308475,#308476,#308477)); #60028=EDGE_LOOP('',(#308478,#308479,#308480,#308481,#308482,#308483)); #60029=EDGE_LOOP('',(#308484,#308485,#308486,#308487,#308488,#308489)); #60030=EDGE_LOOP('',(#308490,#308491,#308492,#308493)); #60031=EDGE_LOOP('',(#308494,#308495,#308496,#308497)); #60032=EDGE_LOOP('',(#308498,#308499,#308500,#308501)); #60033=EDGE_LOOP('',(#308502,#308503,#308504,#308505)); #60034=EDGE_LOOP('',(#308506,#308507,#308508,#308509)); #60035=EDGE_LOOP('',(#308510,#308511,#308512,#308513)); #60036=EDGE_LOOP('',(#308514,#308515,#308516,#308517,#308518,#308519)); #60037=EDGE_LOOP('',(#308520,#308521,#308522,#308523,#308524,#308525)); #60038=EDGE_LOOP('',(#308526,#308527,#308528,#308529)); #60039=EDGE_LOOP('',(#308530,#308531,#308532,#308533)); #60040=EDGE_LOOP('',(#308534,#308535,#308536,#308537)); #60041=EDGE_LOOP('',(#308538,#308539,#308540,#308541)); #60042=EDGE_LOOP('',(#308542,#308543,#308544,#308545)); #60043=EDGE_LOOP('',(#308546,#308547,#308548,#308549)); #60044=EDGE_LOOP('',(#308550,#308551,#308552,#308553,#308554,#308555)); #60045=EDGE_LOOP('',(#308556,#308557,#308558,#308559,#308560,#308561)); #60046=EDGE_LOOP('',(#308562,#308563,#308564,#308565)); #60047=EDGE_LOOP('',(#308566,#308567,#308568,#308569)); #60048=EDGE_LOOP('',(#308570,#308571,#308572,#308573)); #60049=EDGE_LOOP('',(#308574,#308575,#308576,#308577)); #60050=EDGE_LOOP('',(#308578,#308579,#308580,#308581)); #60051=EDGE_LOOP('',(#308582,#308583,#308584,#308585)); #60052=EDGE_LOOP('',(#308586,#308587,#308588,#308589,#308590,#308591)); #60053=EDGE_LOOP('',(#308592,#308593,#308594,#308595,#308596,#308597)); #60054=EDGE_LOOP('',(#308598,#308599,#308600,#308601)); #60055=EDGE_LOOP('',(#308602,#308603,#308604,#308605)); #60056=EDGE_LOOP('',(#308606,#308607,#308608,#308609)); #60057=EDGE_LOOP('',(#308610,#308611,#308612,#308613)); #60058=EDGE_LOOP('',(#308614,#308615,#308616,#308617)); #60059=EDGE_LOOP('',(#308618,#308619,#308620,#308621)); #60060=EDGE_LOOP('',(#308622,#308623,#308624,#308625,#308626,#308627)); #60061=EDGE_LOOP('',(#308628,#308629,#308630,#308631,#308632,#308633)); #60062=EDGE_LOOP('',(#308634,#308635,#308636,#308637)); #60063=EDGE_LOOP('',(#308638,#308639,#308640,#308641)); #60064=EDGE_LOOP('',(#308642,#308643,#308644,#308645)); #60065=EDGE_LOOP('',(#308646,#308647,#308648,#308649)); #60066=EDGE_LOOP('',(#308650,#308651,#308652,#308653)); #60067=EDGE_LOOP('',(#308654,#308655,#308656,#308657)); #60068=EDGE_LOOP('',(#308658,#308659,#308660,#308661,#308662,#308663)); #60069=EDGE_LOOP('',(#308664,#308665,#308666,#308667,#308668,#308669)); #60070=EDGE_LOOP('',(#308670,#308671,#308672,#308673)); #60071=EDGE_LOOP('',(#308674,#308675,#308676,#308677)); #60072=EDGE_LOOP('',(#308678,#308679,#308680,#308681)); #60073=EDGE_LOOP('',(#308682,#308683,#308684,#308685)); #60074=EDGE_LOOP('',(#308686,#308687,#308688,#308689)); #60075=EDGE_LOOP('',(#308690,#308691,#308692,#308693)); #60076=EDGE_LOOP('',(#308694,#308695,#308696,#308697,#308698,#308699)); #60077=EDGE_LOOP('',(#308700,#308701,#308702,#308703,#308704,#308705)); #60078=EDGE_LOOP('',(#308706,#308707,#308708,#308709)); #60079=EDGE_LOOP('',(#308710,#308711,#308712,#308713)); #60080=EDGE_LOOP('',(#308714,#308715,#308716,#308717)); #60081=EDGE_LOOP('',(#308718,#308719,#308720,#308721)); #60082=EDGE_LOOP('',(#308722,#308723,#308724,#308725)); #60083=EDGE_LOOP('',(#308726,#308727,#308728,#308729)); #60084=EDGE_LOOP('',(#308730,#308731,#308732,#308733,#308734,#308735)); #60085=EDGE_LOOP('',(#308736,#308737,#308738,#308739,#308740,#308741)); #60086=EDGE_LOOP('',(#308742,#308743,#308744,#308745)); #60087=EDGE_LOOP('',(#308746,#308747,#308748,#308749)); #60088=EDGE_LOOP('',(#308750,#308751,#308752,#308753)); #60089=EDGE_LOOP('',(#308754,#308755,#308756,#308757)); #60090=EDGE_LOOP('',(#308758,#308759,#308760,#308761)); #60091=EDGE_LOOP('',(#308762,#308763,#308764,#308765)); #60092=EDGE_LOOP('',(#308766,#308767,#308768,#308769,#308770,#308771)); #60093=EDGE_LOOP('',(#308772,#308773,#308774,#308775,#308776,#308777)); #60094=EDGE_LOOP('',(#308778,#308779,#308780,#308781)); #60095=EDGE_LOOP('',(#308782,#308783,#308784,#308785)); #60096=EDGE_LOOP('',(#308786,#308787,#308788,#308789)); #60097=EDGE_LOOP('',(#308790,#308791,#308792,#308793)); #60098=EDGE_LOOP('',(#308794,#308795,#308796,#308797)); #60099=EDGE_LOOP('',(#308798,#308799,#308800,#308801)); #60100=EDGE_LOOP('',(#308802,#308803,#308804,#308805,#308806,#308807)); #60101=EDGE_LOOP('',(#308808,#308809,#308810,#308811,#308812,#308813)); #60102=EDGE_LOOP('',(#308814,#308815,#308816,#308817)); #60103=EDGE_LOOP('',(#308818,#308819,#308820,#308821)); #60104=EDGE_LOOP('',(#308822,#308823,#308824,#308825)); #60105=EDGE_LOOP('',(#308826,#308827,#308828,#308829)); #60106=EDGE_LOOP('',(#308830,#308831,#308832,#308833)); #60107=EDGE_LOOP('',(#308834,#308835,#308836,#308837)); #60108=EDGE_LOOP('',(#308838,#308839,#308840,#308841,#308842,#308843)); #60109=EDGE_LOOP('',(#308844,#308845,#308846,#308847,#308848,#308849)); #60110=EDGE_LOOP('',(#308850,#308851,#308852,#308853)); #60111=EDGE_LOOP('',(#308854,#308855,#308856,#308857)); #60112=EDGE_LOOP('',(#308858,#308859,#308860,#308861)); #60113=EDGE_LOOP('',(#308862,#308863,#308864,#308865)); #60114=EDGE_LOOP('',(#308866,#308867,#308868,#308869)); #60115=EDGE_LOOP('',(#308870,#308871,#308872,#308873)); #60116=EDGE_LOOP('',(#308874,#308875,#308876,#308877,#308878,#308879)); #60117=EDGE_LOOP('',(#308880,#308881,#308882,#308883,#308884,#308885)); #60118=EDGE_LOOP('',(#308886,#308887,#308888,#308889)); #60119=EDGE_LOOP('',(#308890,#308891,#308892,#308893)); #60120=EDGE_LOOP('',(#308894,#308895,#308896,#308897)); #60121=EDGE_LOOP('',(#308898,#308899,#308900,#308901)); #60122=EDGE_LOOP('',(#308902,#308903,#308904,#308905)); #60123=EDGE_LOOP('',(#308906,#308907,#308908,#308909)); #60124=EDGE_LOOP('',(#308910,#308911,#308912,#308913,#308914,#308915)); #60125=EDGE_LOOP('',(#308916,#308917,#308918,#308919,#308920,#308921)); #60126=EDGE_LOOP('',(#308922,#308923,#308924,#308925)); #60127=EDGE_LOOP('',(#308926,#308927,#308928,#308929)); #60128=EDGE_LOOP('',(#308930,#308931,#308932,#308933)); #60129=EDGE_LOOP('',(#308934,#308935,#308936,#308937)); #60130=EDGE_LOOP('',(#308938,#308939,#308940,#308941)); #60131=EDGE_LOOP('',(#308942,#308943,#308944,#308945)); #60132=EDGE_LOOP('',(#308946,#308947,#308948,#308949,#308950,#308951)); #60133=EDGE_LOOP('',(#308952,#308953,#308954,#308955,#308956,#308957)); #60134=EDGE_LOOP('',(#308958,#308959,#308960,#308961)); #60135=EDGE_LOOP('',(#308962,#308963,#308964,#308965)); #60136=EDGE_LOOP('',(#308966,#308967,#308968,#308969)); #60137=EDGE_LOOP('',(#308970,#308971,#308972,#308973)); #60138=EDGE_LOOP('',(#308974,#308975,#308976,#308977)); #60139=EDGE_LOOP('',(#308978,#308979,#308980,#308981)); #60140=EDGE_LOOP('',(#308982,#308983,#308984,#308985,#308986,#308987)); #60141=EDGE_LOOP('',(#308988,#308989,#308990,#308991,#308992,#308993)); #60142=EDGE_LOOP('',(#308994,#308995,#308996,#308997)); #60143=EDGE_LOOP('',(#308998,#308999,#309000,#309001)); #60144=EDGE_LOOP('',(#309002,#309003,#309004,#309005)); #60145=EDGE_LOOP('',(#309006,#309007,#309008,#309009)); #60146=EDGE_LOOP('',(#309010,#309011,#309012,#309013)); #60147=EDGE_LOOP('',(#309014,#309015,#309016,#309017)); #60148=EDGE_LOOP('',(#309018,#309019,#309020,#309021,#309022,#309023)); #60149=EDGE_LOOP('',(#309024,#309025,#309026,#309027,#309028,#309029)); #60150=EDGE_LOOP('',(#309030,#309031,#309032,#309033)); #60151=EDGE_LOOP('',(#309034,#309035,#309036,#309037)); #60152=EDGE_LOOP('',(#309038,#309039,#309040,#309041)); #60153=EDGE_LOOP('',(#309042,#309043,#309044,#309045)); #60154=EDGE_LOOP('',(#309046,#309047,#309048,#309049)); #60155=EDGE_LOOP('',(#309050,#309051,#309052,#309053)); #60156=EDGE_LOOP('',(#309054,#309055,#309056,#309057,#309058,#309059)); #60157=EDGE_LOOP('',(#309060,#309061,#309062,#309063,#309064,#309065)); #60158=EDGE_LOOP('',(#309066,#309067,#309068,#309069)); #60159=EDGE_LOOP('',(#309070,#309071,#309072,#309073)); #60160=EDGE_LOOP('',(#309074,#309075,#309076,#309077)); #60161=EDGE_LOOP('',(#309078,#309079,#309080,#309081)); #60162=EDGE_LOOP('',(#309082,#309083,#309084,#309085)); #60163=EDGE_LOOP('',(#309086,#309087,#309088,#309089)); #60164=EDGE_LOOP('',(#309090,#309091,#309092,#309093,#309094,#309095)); #60165=EDGE_LOOP('',(#309096,#309097,#309098,#309099,#309100,#309101)); #60166=EDGE_LOOP('',(#309102,#309103,#309104,#309105)); #60167=EDGE_LOOP('',(#309106,#309107,#309108,#309109)); #60168=EDGE_LOOP('',(#309110,#309111,#309112,#309113)); #60169=EDGE_LOOP('',(#309114,#309115,#309116,#309117)); #60170=EDGE_LOOP('',(#309118,#309119,#309120,#309121)); #60171=EDGE_LOOP('',(#309122,#309123,#309124,#309125)); #60172=EDGE_LOOP('',(#309126,#309127,#309128,#309129,#309130,#309131)); #60173=EDGE_LOOP('',(#309132,#309133,#309134,#309135,#309136,#309137)); #60174=EDGE_LOOP('',(#309138,#309139,#309140,#309141)); #60175=EDGE_LOOP('',(#309142,#309143,#309144,#309145)); #60176=EDGE_LOOP('',(#309146,#309147,#309148,#309149)); #60177=EDGE_LOOP('',(#309150,#309151,#309152,#309153)); #60178=EDGE_LOOP('',(#309154,#309155,#309156,#309157)); #60179=EDGE_LOOP('',(#309158,#309159,#309160,#309161)); #60180=EDGE_LOOP('',(#309162,#309163,#309164,#309165,#309166,#309167)); #60181=EDGE_LOOP('',(#309168,#309169,#309170,#309171,#309172,#309173)); #60182=EDGE_LOOP('',(#309174,#309175,#309176,#309177)); #60183=EDGE_LOOP('',(#309178,#309179,#309180,#309181)); #60184=EDGE_LOOP('',(#309182,#309183,#309184,#309185)); #60185=EDGE_LOOP('',(#309186,#309187,#309188,#309189)); #60186=EDGE_LOOP('',(#309190,#309191,#309192,#309193)); #60187=EDGE_LOOP('',(#309194,#309195,#309196,#309197)); #60188=EDGE_LOOP('',(#309198,#309199,#309200,#309201,#309202,#309203)); #60189=EDGE_LOOP('',(#309204,#309205,#309206,#309207,#309208,#309209)); #60190=EDGE_LOOP('',(#309210,#309211,#309212,#309213)); #60191=EDGE_LOOP('',(#309214,#309215,#309216,#309217)); #60192=EDGE_LOOP('',(#309218,#309219,#309220,#309221)); #60193=EDGE_LOOP('',(#309222,#309223,#309224,#309225)); #60194=EDGE_LOOP('',(#309226,#309227,#309228,#309229)); #60195=EDGE_LOOP('',(#309230,#309231,#309232,#309233)); #60196=EDGE_LOOP('',(#309234,#309235,#309236,#309237,#309238,#309239)); #60197=EDGE_LOOP('',(#309240,#309241,#309242,#309243,#309244,#309245)); #60198=EDGE_LOOP('',(#309246,#309247,#309248,#309249)); #60199=EDGE_LOOP('',(#309250,#309251,#309252,#309253)); #60200=EDGE_LOOP('',(#309254,#309255,#309256,#309257)); #60201=EDGE_LOOP('',(#309258,#309259,#309260,#309261)); #60202=EDGE_LOOP('',(#309262,#309263,#309264,#309265)); #60203=EDGE_LOOP('',(#309266,#309267,#309268,#309269)); #60204=EDGE_LOOP('',(#309270,#309271,#309272,#309273,#309274,#309275)); #60205=EDGE_LOOP('',(#309276,#309277,#309278,#309279,#309280,#309281)); #60206=EDGE_LOOP('',(#309282,#309283,#309284,#309285)); #60207=EDGE_LOOP('',(#309286,#309287,#309288,#309289)); #60208=EDGE_LOOP('',(#309290,#309291,#309292,#309293)); #60209=EDGE_LOOP('',(#309294,#309295,#309296,#309297)); #60210=EDGE_LOOP('',(#309298,#309299,#309300,#309301)); #60211=EDGE_LOOP('',(#309302,#309303,#309304,#309305)); #60212=EDGE_LOOP('',(#309306,#309307,#309308,#309309,#309310,#309311)); #60213=EDGE_LOOP('',(#309312,#309313,#309314,#309315,#309316,#309317)); #60214=EDGE_LOOP('',(#309318,#309319,#309320,#309321)); #60215=EDGE_LOOP('',(#309322,#309323,#309324,#309325)); #60216=EDGE_LOOP('',(#309326,#309327,#309328,#309329)); #60217=EDGE_LOOP('',(#309330,#309331,#309332,#309333)); #60218=EDGE_LOOP('',(#309334,#309335,#309336,#309337)); #60219=EDGE_LOOP('',(#309338,#309339,#309340,#309341)); #60220=EDGE_LOOP('',(#309342,#309343,#309344,#309345,#309346,#309347)); #60221=EDGE_LOOP('',(#309348,#309349,#309350,#309351,#309352,#309353)); #60222=EDGE_LOOP('',(#309354,#309355,#309356,#309357)); #60223=EDGE_LOOP('',(#309358,#309359,#309360,#309361)); #60224=EDGE_LOOP('',(#309362,#309363,#309364,#309365)); #60225=EDGE_LOOP('',(#309366,#309367,#309368,#309369)); #60226=EDGE_LOOP('',(#309370,#309371,#309372,#309373)); #60227=EDGE_LOOP('',(#309374,#309375,#309376,#309377)); #60228=EDGE_LOOP('',(#309378,#309379,#309380,#309381,#309382,#309383)); #60229=EDGE_LOOP('',(#309384,#309385,#309386,#309387,#309388,#309389)); #60230=EDGE_LOOP('',(#309390,#309391,#309392,#309393)); #60231=EDGE_LOOP('',(#309394,#309395,#309396,#309397)); #60232=EDGE_LOOP('',(#309398,#309399,#309400,#309401)); #60233=EDGE_LOOP('',(#309402,#309403,#309404,#309405)); #60234=EDGE_LOOP('',(#309406,#309407,#309408,#309409)); #60235=EDGE_LOOP('',(#309410,#309411,#309412,#309413)); #60236=EDGE_LOOP('',(#309414,#309415,#309416,#309417,#309418,#309419)); #60237=EDGE_LOOP('',(#309420,#309421,#309422,#309423,#309424,#309425)); #60238=EDGE_LOOP('',(#309426,#309427,#309428,#309429)); #60239=EDGE_LOOP('',(#309430,#309431,#309432,#309433)); #60240=EDGE_LOOP('',(#309434,#309435,#309436,#309437)); #60241=EDGE_LOOP('',(#309438,#309439,#309440,#309441)); #60242=EDGE_LOOP('',(#309442,#309443,#309444,#309445)); #60243=EDGE_LOOP('',(#309446,#309447,#309448,#309449)); #60244=EDGE_LOOP('',(#309450,#309451,#309452,#309453,#309454,#309455)); #60245=EDGE_LOOP('',(#309456,#309457,#309458,#309459,#309460,#309461)); #60246=EDGE_LOOP('',(#309462,#309463,#309464,#309465)); #60247=EDGE_LOOP('',(#309466,#309467,#309468,#309469)); #60248=EDGE_LOOP('',(#309470,#309471,#309472,#309473)); #60249=EDGE_LOOP('',(#309474,#309475,#309476,#309477)); #60250=EDGE_LOOP('',(#309478,#309479,#309480,#309481)); #60251=EDGE_LOOP('',(#309482,#309483,#309484,#309485)); #60252=EDGE_LOOP('',(#309486,#309487,#309488,#309489,#309490,#309491)); #60253=EDGE_LOOP('',(#309492,#309493,#309494,#309495,#309496,#309497)); #60254=EDGE_LOOP('',(#309498,#309499,#309500,#309501)); #60255=EDGE_LOOP('',(#309502,#309503,#309504,#309505)); #60256=EDGE_LOOP('',(#309506,#309507,#309508,#309509)); #60257=EDGE_LOOP('',(#309510,#309511,#309512,#309513)); #60258=EDGE_LOOP('',(#309514,#309515,#309516,#309517)); #60259=EDGE_LOOP('',(#309518,#309519,#309520,#309521)); #60260=EDGE_LOOP('',(#309522,#309523,#309524,#309525,#309526,#309527)); #60261=EDGE_LOOP('',(#309528,#309529,#309530,#309531,#309532,#309533)); #60262=EDGE_LOOP('',(#309534,#309535,#309536,#309537)); #60263=EDGE_LOOP('',(#309538,#309539,#309540,#309541)); #60264=EDGE_LOOP('',(#309542,#309543,#309544,#309545)); #60265=EDGE_LOOP('',(#309546,#309547,#309548,#309549)); #60266=EDGE_LOOP('',(#309550,#309551,#309552,#309553)); #60267=EDGE_LOOP('',(#309554,#309555,#309556,#309557)); #60268=EDGE_LOOP('',(#309558,#309559,#309560,#309561,#309562,#309563)); #60269=EDGE_LOOP('',(#309564,#309565,#309566,#309567,#309568,#309569)); #60270=EDGE_LOOP('',(#309570,#309571,#309572,#309573)); #60271=EDGE_LOOP('',(#309574,#309575,#309576,#309577)); #60272=EDGE_LOOP('',(#309578,#309579,#309580,#309581)); #60273=EDGE_LOOP('',(#309582,#309583,#309584,#309585)); #60274=EDGE_LOOP('',(#309586,#309587,#309588,#309589)); #60275=EDGE_LOOP('',(#309590,#309591,#309592,#309593)); #60276=EDGE_LOOP('',(#309594,#309595,#309596,#309597,#309598,#309599)); #60277=EDGE_LOOP('',(#309600,#309601,#309602,#309603,#309604,#309605)); #60278=EDGE_LOOP('',(#309606,#309607,#309608,#309609)); #60279=EDGE_LOOP('',(#309610,#309611,#309612,#309613)); #60280=EDGE_LOOP('',(#309614,#309615,#309616,#309617)); #60281=EDGE_LOOP('',(#309618,#309619,#309620,#309621)); #60282=EDGE_LOOP('',(#309622,#309623,#309624,#309625)); #60283=EDGE_LOOP('',(#309626,#309627,#309628,#309629)); #60284=EDGE_LOOP('',(#309630,#309631,#309632,#309633,#309634,#309635)); #60285=EDGE_LOOP('',(#309636,#309637,#309638,#309639,#309640,#309641)); #60286=EDGE_LOOP('',(#309642,#309643,#309644,#309645)); #60287=EDGE_LOOP('',(#309646,#309647,#309648,#309649)); #60288=EDGE_LOOP('',(#309650,#309651,#309652,#309653)); #60289=EDGE_LOOP('',(#309654,#309655,#309656,#309657)); #60290=EDGE_LOOP('',(#309658,#309659,#309660,#309661)); #60291=EDGE_LOOP('',(#309662,#309663,#309664,#309665)); #60292=EDGE_LOOP('',(#309666,#309667,#309668,#309669,#309670,#309671)); #60293=EDGE_LOOP('',(#309672,#309673,#309674,#309675,#309676,#309677)); #60294=EDGE_LOOP('',(#309678,#309679,#309680,#309681)); #60295=EDGE_LOOP('',(#309682,#309683,#309684,#309685)); #60296=EDGE_LOOP('',(#309686,#309687,#309688,#309689)); #60297=EDGE_LOOP('',(#309690,#309691,#309692,#309693)); #60298=EDGE_LOOP('',(#309694,#309695,#309696,#309697)); #60299=EDGE_LOOP('',(#309698,#309699,#309700,#309701)); #60300=EDGE_LOOP('',(#309702,#309703,#309704,#309705,#309706,#309707)); #60301=EDGE_LOOP('',(#309708,#309709,#309710,#309711,#309712,#309713)); #60302=EDGE_LOOP('',(#309714,#309715,#309716,#309717)); #60303=EDGE_LOOP('',(#309718,#309719,#309720,#309721)); #60304=EDGE_LOOP('',(#309722,#309723,#309724,#309725)); #60305=EDGE_LOOP('',(#309726,#309727,#309728,#309729)); #60306=EDGE_LOOP('',(#309730,#309731,#309732,#309733)); #60307=EDGE_LOOP('',(#309734,#309735,#309736,#309737)); #60308=EDGE_LOOP('',(#309738,#309739,#309740,#309741,#309742,#309743)); #60309=EDGE_LOOP('',(#309744,#309745,#309746,#309747,#309748,#309749)); #60310=EDGE_LOOP('',(#309750,#309751,#309752,#309753)); #60311=EDGE_LOOP('',(#309754,#309755,#309756,#309757)); #60312=EDGE_LOOP('',(#309758,#309759,#309760,#309761)); #60313=EDGE_LOOP('',(#309762,#309763,#309764,#309765)); #60314=EDGE_LOOP('',(#309766,#309767,#309768,#309769)); #60315=EDGE_LOOP('',(#309770,#309771,#309772,#309773)); #60316=EDGE_LOOP('',(#309774,#309775,#309776,#309777,#309778,#309779)); #60317=EDGE_LOOP('',(#309780,#309781,#309782,#309783,#309784,#309785)); #60318=EDGE_LOOP('',(#309786,#309787,#309788,#309789)); #60319=EDGE_LOOP('',(#309790,#309791,#309792,#309793)); #60320=EDGE_LOOP('',(#309794,#309795,#309796,#309797)); #60321=EDGE_LOOP('',(#309798,#309799,#309800,#309801)); #60322=EDGE_LOOP('',(#309802,#309803,#309804,#309805)); #60323=EDGE_LOOP('',(#309806,#309807,#309808,#309809)); #60324=EDGE_LOOP('',(#309810,#309811,#309812,#309813,#309814,#309815)); #60325=EDGE_LOOP('',(#309816,#309817,#309818,#309819,#309820,#309821)); #60326=EDGE_LOOP('',(#309822,#309823,#309824,#309825)); #60327=EDGE_LOOP('',(#309826,#309827,#309828,#309829)); #60328=EDGE_LOOP('',(#309830,#309831,#309832,#309833)); #60329=EDGE_LOOP('',(#309834,#309835,#309836,#309837)); #60330=EDGE_LOOP('',(#309838,#309839,#309840,#309841)); #60331=EDGE_LOOP('',(#309842,#309843,#309844,#309845)); #60332=EDGE_LOOP('',(#309846,#309847,#309848,#309849,#309850,#309851)); #60333=EDGE_LOOP('',(#309852,#309853,#309854,#309855,#309856,#309857)); #60334=EDGE_LOOP('',(#309858,#309859,#309860,#309861)); #60335=EDGE_LOOP('',(#309862,#309863,#309864,#309865)); #60336=EDGE_LOOP('',(#309866,#309867,#309868,#309869)); #60337=EDGE_LOOP('',(#309870,#309871,#309872,#309873)); #60338=EDGE_LOOP('',(#309874,#309875,#309876,#309877)); #60339=EDGE_LOOP('',(#309878,#309879,#309880,#309881)); #60340=EDGE_LOOP('',(#309882,#309883,#309884,#309885,#309886,#309887)); #60341=EDGE_LOOP('',(#309888,#309889,#309890,#309891,#309892,#309893)); #60342=EDGE_LOOP('',(#309894,#309895,#309896,#309897)); #60343=EDGE_LOOP('',(#309898,#309899,#309900,#309901)); #60344=EDGE_LOOP('',(#309902,#309903,#309904,#309905)); #60345=EDGE_LOOP('',(#309906,#309907,#309908,#309909)); #60346=EDGE_LOOP('',(#309910,#309911,#309912,#309913)); #60347=EDGE_LOOP('',(#309914,#309915,#309916,#309917)); #60348=EDGE_LOOP('',(#309918,#309919,#309920,#309921,#309922,#309923)); #60349=EDGE_LOOP('',(#309924,#309925,#309926,#309927,#309928,#309929)); #60350=EDGE_LOOP('',(#309930,#309931,#309932,#309933)); #60351=EDGE_LOOP('',(#309934,#309935,#309936,#309937)); #60352=EDGE_LOOP('',(#309938,#309939,#309940,#309941)); #60353=EDGE_LOOP('',(#309942,#309943,#309944,#309945)); #60354=EDGE_LOOP('',(#309946,#309947,#309948,#309949)); #60355=EDGE_LOOP('',(#309950,#309951,#309952,#309953)); #60356=EDGE_LOOP('',(#309954,#309955,#309956,#309957,#309958,#309959)); #60357=EDGE_LOOP('',(#309960,#309961,#309962,#309963,#309964,#309965)); #60358=EDGE_LOOP('',(#309966,#309967,#309968,#309969)); #60359=EDGE_LOOP('',(#309970,#309971,#309972,#309973)); #60360=EDGE_LOOP('',(#309974,#309975,#309976,#309977)); #60361=EDGE_LOOP('',(#309978,#309979,#309980,#309981)); #60362=EDGE_LOOP('',(#309982,#309983,#309984,#309985)); #60363=EDGE_LOOP('',(#309986,#309987,#309988,#309989)); #60364=EDGE_LOOP('',(#309990,#309991,#309992,#309993,#309994,#309995)); #60365=EDGE_LOOP('',(#309996,#309997,#309998,#309999,#310000,#310001)); #60366=EDGE_LOOP('',(#310002,#310003,#310004,#310005)); #60367=EDGE_LOOP('',(#310006,#310007,#310008,#310009)); #60368=EDGE_LOOP('',(#310010,#310011,#310012,#310013)); #60369=EDGE_LOOP('',(#310014,#310015,#310016,#310017)); #60370=EDGE_LOOP('',(#310018,#310019,#310020,#310021)); #60371=EDGE_LOOP('',(#310022,#310023,#310024,#310025)); #60372=EDGE_LOOP('',(#310026,#310027,#310028,#310029,#310030,#310031)); #60373=EDGE_LOOP('',(#310032,#310033,#310034,#310035,#310036,#310037)); #60374=EDGE_LOOP('',(#310038,#310039,#310040,#310041)); #60375=EDGE_LOOP('',(#310042,#310043,#310044,#310045)); #60376=EDGE_LOOP('',(#310046,#310047,#310048,#310049)); #60377=EDGE_LOOP('',(#310050,#310051,#310052,#310053)); #60378=EDGE_LOOP('',(#310054,#310055,#310056,#310057)); #60379=EDGE_LOOP('',(#310058,#310059,#310060,#310061)); #60380=EDGE_LOOP('',(#310062,#310063,#310064,#310065,#310066,#310067)); #60381=EDGE_LOOP('',(#310068,#310069,#310070,#310071,#310072,#310073)); #60382=EDGE_LOOP('',(#310074,#310075,#310076,#310077)); #60383=EDGE_LOOP('',(#310078,#310079,#310080,#310081)); #60384=EDGE_LOOP('',(#310082,#310083,#310084,#310085)); #60385=EDGE_LOOP('',(#310086,#310087,#310088,#310089)); #60386=EDGE_LOOP('',(#310090,#310091,#310092,#310093)); #60387=EDGE_LOOP('',(#310094,#310095,#310096,#310097)); #60388=EDGE_LOOP('',(#310098,#310099,#310100,#310101,#310102,#310103)); #60389=EDGE_LOOP('',(#310104,#310105,#310106,#310107,#310108,#310109)); #60390=EDGE_LOOP('',(#310110,#310111,#310112,#310113)); #60391=EDGE_LOOP('',(#310114,#310115,#310116,#310117)); #60392=EDGE_LOOP('',(#310118,#310119,#310120,#310121)); #60393=EDGE_LOOP('',(#310122,#310123,#310124,#310125)); #60394=EDGE_LOOP('',(#310126,#310127,#310128,#310129)); #60395=EDGE_LOOP('',(#310130,#310131,#310132,#310133)); #60396=EDGE_LOOP('',(#310134,#310135,#310136,#310137,#310138,#310139)); #60397=EDGE_LOOP('',(#310140,#310141,#310142,#310143,#310144,#310145)); #60398=EDGE_LOOP('',(#310146,#310147,#310148,#310149)); #60399=EDGE_LOOP('',(#310150,#310151,#310152,#310153)); #60400=EDGE_LOOP('',(#310154,#310155,#310156,#310157)); #60401=EDGE_LOOP('',(#310158,#310159,#310160,#310161)); #60402=EDGE_LOOP('',(#310162,#310163,#310164,#310165)); #60403=EDGE_LOOP('',(#310166,#310167,#310168,#310169)); #60404=EDGE_LOOP('',(#310170,#310171,#310172,#310173,#310174,#310175)); #60405=EDGE_LOOP('',(#310176,#310177,#310178,#310179,#310180,#310181)); #60406=EDGE_LOOP('',(#310182,#310183,#310184,#310185)); #60407=EDGE_LOOP('',(#310186,#310187,#310188,#310189)); #60408=EDGE_LOOP('',(#310190,#310191,#310192,#310193)); #60409=EDGE_LOOP('',(#310194,#310195,#310196,#310197)); #60410=EDGE_LOOP('',(#310198,#310199,#310200,#310201)); #60411=EDGE_LOOP('',(#310202,#310203,#310204,#310205)); #60412=EDGE_LOOP('',(#310206,#310207,#310208)); #60413=EDGE_LOOP('',(#310209,#310210,#310211)); #60414=EDGE_LOOP('',(#310212,#310213,#310214)); #60415=EDGE_LOOP('',(#310215,#310216,#310217)); #60416=EDGE_LOOP('',(#310218,#310219,#310220)); #60417=EDGE_LOOP('',(#310221,#310222,#310223,#310224)); #60418=EDGE_LOOP('',(#310225,#310226,#310227)); #60419=EDGE_LOOP('',(#310228,#310229,#310230)); #60420=EDGE_LOOP('',(#310231,#310232,#310233,#310234)); #60421=EDGE_LOOP('',(#310235,#310236,#310237,#310238)); #60422=EDGE_LOOP('',(#310239,#310240,#310241)); #60423=EDGE_LOOP('',(#310242,#310243,#310244,#310245)); #60424=EDGE_LOOP('',(#310246,#310247,#310248,#310249)); #60425=EDGE_LOOP('',(#310250,#310251,#310252,#310253)); #60426=EDGE_LOOP('',(#310254,#310255,#310256,#310257)); #60427=EDGE_LOOP('',(#310258,#310259,#310260,#310261)); #60428=EDGE_LOOP('',(#310262,#310263,#310264,#310265)); #60429=EDGE_LOOP('',(#310266,#310267,#310268,#310269)); #60430=EDGE_LOOP('',(#310270,#310271,#310272,#310273)); #60431=EDGE_LOOP('',(#310274,#310275,#310276,#310277)); #60432=EDGE_LOOP('',(#310278,#310279,#310280,#310281)); #60433=EDGE_LOOP('',(#310282,#310283,#310284,#310285)); #60434=EDGE_LOOP('',(#310286,#310287,#310288,#310289)); #60435=EDGE_LOOP('',(#310290,#310291,#310292,#310293)); #60436=EDGE_LOOP('',(#310294,#310295,#310296,#310297)); #60437=EDGE_LOOP('',(#310298,#310299,#310300,#310301)); #60438=EDGE_LOOP('',(#310302,#310303,#310304)); #60439=EDGE_LOOP('',(#310305,#310306,#310307)); #60440=EDGE_LOOP('',(#310308,#310309,#310310)); #60441=EDGE_LOOP('',(#310311,#310312,#310313)); #60442=EDGE_LOOP('',(#310314,#310315,#310316)); #60443=EDGE_LOOP('',(#310317,#310318,#310319,#310320)); #60444=EDGE_LOOP('',(#310321,#310322,#310323)); #60445=EDGE_LOOP('',(#310324,#310325,#310326)); #60446=EDGE_LOOP('',(#310327,#310328,#310329,#310330)); #60447=EDGE_LOOP('',(#310331,#310332,#310333,#310334)); #60448=EDGE_LOOP('',(#310335,#310336,#310337)); #60449=EDGE_LOOP('',(#310338,#310339,#310340,#310341)); #60450=EDGE_LOOP('',(#310342,#310343,#310344,#310345)); #60451=EDGE_LOOP('',(#310346,#310347,#310348,#310349)); #60452=EDGE_LOOP('',(#310350,#310351,#310352,#310353)); #60453=EDGE_LOOP('',(#310354,#310355,#310356,#310357)); #60454=EDGE_LOOP('',(#310358,#310359,#310360,#310361)); #60455=EDGE_LOOP('',(#310362,#310363,#310364,#310365)); #60456=EDGE_LOOP('',(#310366,#310367,#310368,#310369)); #60457=EDGE_LOOP('',(#310370,#310371,#310372,#310373)); #60458=EDGE_LOOP('',(#310374,#310375,#310376,#310377)); #60459=EDGE_LOOP('',(#310378,#310379,#310380,#310381)); #60460=EDGE_LOOP('',(#310382,#310383,#310384,#310385)); #60461=EDGE_LOOP('',(#310386,#310387,#310388,#310389)); #60462=EDGE_LOOP('',(#310390,#310391,#310392,#310393)); #60463=EDGE_LOOP('',(#310394,#310395,#310396,#310397)); #60464=EDGE_LOOP('',(#310398,#310399,#310400,#310401)); #60465=EDGE_LOOP('',(#310402,#310403,#310404,#310405)); #60466=EDGE_LOOP('',(#310406,#310407,#310408,#310409)); #60467=EDGE_LOOP('',(#310410,#310411,#310412,#310413)); #60468=EDGE_LOOP('',(#310414,#310415,#310416,#310417)); #60469=EDGE_LOOP('',(#310418,#310419,#310420,#310421)); #60470=EDGE_LOOP('',(#310422,#310423,#310424,#310425)); #60471=EDGE_LOOP('',(#310426,#310427,#310428,#310429)); #60472=EDGE_LOOP('',(#310430,#310431,#310432,#310433)); #60473=EDGE_LOOP('',(#310434,#310435,#310436,#310437)); #60474=EDGE_LOOP('',(#310438,#310439,#310440,#310441)); #60475=EDGE_LOOP('',(#310442,#310443,#310444,#310445)); #60476=EDGE_LOOP('',(#310446,#310447,#310448,#310449)); #60477=EDGE_LOOP('',(#310450,#310451,#310452,#310453)); #60478=EDGE_LOOP('',(#310454,#310455,#310456,#310457)); #60479=EDGE_LOOP('',(#310458,#310459,#310460,#310461)); #60480=EDGE_LOOP('',(#310462,#310463,#310464,#310465)); #60481=EDGE_LOOP('',(#310466,#310467,#310468,#310469)); #60482=EDGE_LOOP('',(#310470,#310471,#310472,#310473)); #60483=EDGE_LOOP('',(#310474,#310475,#310476,#310477)); #60484=EDGE_LOOP('',(#310478,#310479,#310480,#310481)); #60485=EDGE_LOOP('',(#310482,#310483,#310484,#310485)); #60486=EDGE_LOOP('',(#310486,#310487,#310488,#310489)); #60487=EDGE_LOOP('',(#310490,#310491,#310492,#310493)); #60488=EDGE_LOOP('',(#310494,#310495,#310496,#310497)); #60489=EDGE_LOOP('',(#310498,#310499,#310500,#310501)); #60490=EDGE_LOOP('',(#310502,#310503,#310504,#310505)); #60491=EDGE_LOOP('',(#310506,#310507,#310508,#310509)); #60492=EDGE_LOOP('',(#310510,#310511,#310512,#310513)); #60493=EDGE_LOOP('',(#310514,#310515,#310516,#310517)); #60494=EDGE_LOOP('',(#310518,#310519,#310520,#310521)); #60495=EDGE_LOOP('',(#310522,#310523,#310524,#310525)); #60496=EDGE_LOOP('',(#310526,#310527,#310528,#310529)); #60497=EDGE_LOOP('',(#310530,#310531,#310532,#310533)); #60498=EDGE_LOOP('',(#310534,#310535,#310536,#310537)); #60499=EDGE_LOOP('',(#310538,#310539,#310540,#310541)); #60500=EDGE_LOOP('',(#310542,#310543,#310544,#310545)); #60501=EDGE_LOOP('',(#310546,#310547,#310548,#310549)); #60502=EDGE_LOOP('',(#310550,#310551,#310552,#310553)); #60503=EDGE_LOOP('',(#310554,#310555,#310556,#310557)); #60504=EDGE_LOOP('',(#310558,#310559,#310560,#310561)); #60505=EDGE_LOOP('',(#310562,#310563,#310564,#310565)); #60506=EDGE_LOOP('',(#310566,#310567,#310568,#310569)); #60507=EDGE_LOOP('',(#310570,#310571,#310572,#310573)); #60508=EDGE_LOOP('',(#310574,#310575,#310576,#310577)); #60509=EDGE_LOOP('',(#310578,#310579,#310580,#310581)); #60510=EDGE_LOOP('',(#310582,#310583,#310584,#310585)); #60511=EDGE_LOOP('',(#310586)); #60512=EDGE_LOOP('',(#310587,#310588,#310589,#310590)); #60513=EDGE_LOOP('',(#310591,#310592,#310593,#310594)); #60514=EDGE_LOOP('',(#310595,#310596,#310597,#310598)); #60515=EDGE_LOOP('',(#310599,#310600,#310601,#310602)); #60516=EDGE_LOOP('',(#310603,#310604,#310605,#310606)); #60517=EDGE_LOOP('',(#310607,#310608,#310609,#310610)); #60518=EDGE_LOOP('',(#310611,#310612,#310613,#310614)); #60519=EDGE_LOOP('',(#310615)); #60520=EDGE_LOOP('',(#310616,#310617,#310618,#310619)); #60521=EDGE_LOOP('',(#310620,#310621,#310622,#310623)); #60522=EDGE_LOOP('',(#310624,#310625,#310626,#310627)); #60523=EDGE_LOOP('',(#310628,#310629,#310630,#310631)); #60524=EDGE_LOOP('',(#310632,#310633,#310634,#310635)); #60525=EDGE_LOOP('',(#310636,#310637,#310638,#310639)); #60526=EDGE_LOOP('',(#310640,#310641,#310642,#310643)); #60527=EDGE_LOOP('',(#310644,#310645,#310646,#310647,#310648,#310649,#310650, #310651,#310652,#310653,#310654,#310655)); #60528=EDGE_LOOP('',(#310656,#310657,#310658,#310659)); #60529=EDGE_LOOP('',(#310660,#310661,#310662,#310663)); #60530=EDGE_LOOP('',(#310664,#310665,#310666,#310667)); #60531=EDGE_LOOP('',(#310668,#310669,#310670,#310671)); #60532=EDGE_LOOP('',(#310672,#310673,#310674,#310675)); #60533=EDGE_LOOP('',(#310676,#310677,#310678,#310679)); #60534=EDGE_LOOP('',(#310680,#310681,#310682,#310683)); #60535=EDGE_LOOP('',(#310684,#310685,#310686,#310687)); #60536=EDGE_LOOP('',(#310688,#310689,#310690,#310691)); #60537=EDGE_LOOP('',(#310692,#310693,#310694,#310695)); #60538=EDGE_LOOP('',(#310696,#310697,#310698,#310699)); #60539=EDGE_LOOP('',(#310700,#310701,#310702,#310703)); #60540=EDGE_LOOP('',(#310704,#310705,#310706,#310707,#310708,#310709,#310710, #310711,#310712,#310713,#310714,#310715)); #60541=EDGE_LOOP('',(#310716,#310717,#310718,#310719,#310720,#310721,#310722, #310723,#310724,#310725,#310726,#310727)); #60542=EDGE_LOOP('',(#310728,#310729,#310730,#310731)); #60543=EDGE_LOOP('',(#310732,#310733,#310734,#310735)); #60544=EDGE_LOOP('',(#310736,#310737,#310738,#310739)); #60545=EDGE_LOOP('',(#310740,#310741,#310742,#310743)); #60546=EDGE_LOOP('',(#310744,#310745,#310746,#310747)); #60547=EDGE_LOOP('',(#310748,#310749,#310750,#310751)); #60548=EDGE_LOOP('',(#310752,#310753,#310754,#310755)); #60549=EDGE_LOOP('',(#310756,#310757,#310758,#310759)); #60550=EDGE_LOOP('',(#310760,#310761,#310762,#310763)); #60551=EDGE_LOOP('',(#310764,#310765,#310766,#310767)); #60552=EDGE_LOOP('',(#310768,#310769,#310770,#310771)); #60553=EDGE_LOOP('',(#310772,#310773,#310774,#310775)); #60554=EDGE_LOOP('',(#310776,#310777,#310778,#310779,#310780,#310781,#310782, #310783,#310784,#310785,#310786,#310787)); #60555=EDGE_LOOP('',(#310788,#310789,#310790,#310791,#310792,#310793,#310794, #310795,#310796,#310797,#310798,#310799)); #60556=EDGE_LOOP('',(#310800,#310801,#310802,#310803)); #60557=EDGE_LOOP('',(#310804,#310805,#310806,#310807)); #60558=EDGE_LOOP('',(#310808,#310809,#310810,#310811)); #60559=EDGE_LOOP('',(#310812,#310813,#310814,#310815)); #60560=EDGE_LOOP('',(#310816,#310817,#310818,#310819)); #60561=EDGE_LOOP('',(#310820,#310821,#310822,#310823)); #60562=EDGE_LOOP('',(#310824,#310825,#310826,#310827)); #60563=EDGE_LOOP('',(#310828,#310829,#310830,#310831)); #60564=EDGE_LOOP('',(#310832,#310833,#310834,#310835)); #60565=EDGE_LOOP('',(#310836,#310837,#310838,#310839)); #60566=EDGE_LOOP('',(#310840,#310841,#310842,#310843)); #60567=EDGE_LOOP('',(#310844,#310845,#310846,#310847)); #60568=EDGE_LOOP('',(#310848,#310849,#310850,#310851,#310852,#310853,#310854, #310855,#310856,#310857,#310858,#310859)); #60569=EDGE_LOOP('',(#310860,#310861,#310862,#310863,#310864,#310865,#310866, #310867,#310868,#310869,#310870,#310871)); #60570=EDGE_LOOP('',(#310872,#310873,#310874,#310875)); #60571=EDGE_LOOP('',(#310876,#310877,#310878,#310879)); #60572=EDGE_LOOP('',(#310880,#310881,#310882,#310883)); #60573=EDGE_LOOP('',(#310884,#310885,#310886,#310887)); #60574=EDGE_LOOP('',(#310888,#310889,#310890,#310891)); #60575=EDGE_LOOP('',(#310892,#310893,#310894,#310895)); #60576=EDGE_LOOP('',(#310896,#310897,#310898,#310899)); #60577=EDGE_LOOP('',(#310900,#310901,#310902,#310903)); #60578=EDGE_LOOP('',(#310904,#310905,#310906,#310907)); #60579=EDGE_LOOP('',(#310908,#310909,#310910,#310911)); #60580=EDGE_LOOP('',(#310912,#310913,#310914,#310915)); #60581=EDGE_LOOP('',(#310916,#310917,#310918,#310919)); #60582=EDGE_LOOP('',(#310920,#310921,#310922,#310923,#310924,#310925,#310926, #310927,#310928,#310929,#310930,#310931)); #60583=EDGE_LOOP('',(#310932,#310933,#310934,#310935,#310936,#310937,#310938, #310939,#310940,#310941,#310942,#310943)); #60584=EDGE_LOOP('',(#310944,#310945,#310946,#310947)); #60585=EDGE_LOOP('',(#310948,#310949,#310950,#310951)); #60586=EDGE_LOOP('',(#310952,#310953,#310954,#310955)); #60587=EDGE_LOOP('',(#310956,#310957,#310958,#310959)); #60588=EDGE_LOOP('',(#310960,#310961,#310962,#310963)); #60589=EDGE_LOOP('',(#310964,#310965,#310966,#310967)); #60590=EDGE_LOOP('',(#310968,#310969,#310970,#310971)); #60591=EDGE_LOOP('',(#310972,#310973,#310974,#310975)); #60592=EDGE_LOOP('',(#310976,#310977,#310978,#310979)); #60593=EDGE_LOOP('',(#310980,#310981,#310982,#310983)); #60594=EDGE_LOOP('',(#310984,#310985,#310986,#310987)); #60595=EDGE_LOOP('',(#310988,#310989,#310990,#310991)); #60596=EDGE_LOOP('',(#310992,#310993,#310994,#310995,#310996,#310997,#310998, #310999,#311000,#311001,#311002,#311003)); #60597=EDGE_LOOP('',(#311004,#311005,#311006,#311007,#311008,#311009,#311010, #311011,#311012,#311013,#311014,#311015)); #60598=EDGE_LOOP('',(#311016,#311017,#311018,#311019)); #60599=EDGE_LOOP('',(#311020,#311021,#311022,#311023)); #60600=EDGE_LOOP('',(#311024,#311025,#311026,#311027)); #60601=EDGE_LOOP('',(#311028,#311029,#311030,#311031)); #60602=EDGE_LOOP('',(#311032,#311033,#311034,#311035)); #60603=EDGE_LOOP('',(#311036,#311037,#311038,#311039)); #60604=EDGE_LOOP('',(#311040,#311041,#311042,#311043)); #60605=EDGE_LOOP('',(#311044,#311045,#311046,#311047)); #60606=EDGE_LOOP('',(#311048,#311049,#311050,#311051)); #60607=EDGE_LOOP('',(#311052,#311053,#311054,#311055)); #60608=EDGE_LOOP('',(#311056,#311057,#311058,#311059)); #60609=EDGE_LOOP('',(#311060,#311061,#311062,#311063)); #60610=EDGE_LOOP('',(#311064,#311065,#311066,#311067,#311068,#311069,#311070, #311071,#311072,#311073,#311074,#311075)); #60611=EDGE_LOOP('',(#311076,#311077,#311078,#311079,#311080,#311081,#311082, #311083,#311084,#311085,#311086,#311087)); #60612=EDGE_LOOP('',(#311088,#311089,#311090,#311091)); #60613=EDGE_LOOP('',(#311092,#311093,#311094,#311095)); #60614=EDGE_LOOP('',(#311096,#311097,#311098,#311099)); #60615=EDGE_LOOP('',(#311100,#311101,#311102,#311103)); #60616=EDGE_LOOP('',(#311104,#311105,#311106,#311107)); #60617=EDGE_LOOP('',(#311108,#311109,#311110,#311111)); #60618=EDGE_LOOP('',(#311112,#311113,#311114,#311115)); #60619=EDGE_LOOP('',(#311116,#311117,#311118,#311119)); #60620=EDGE_LOOP('',(#311120,#311121,#311122,#311123)); #60621=EDGE_LOOP('',(#311124,#311125,#311126,#311127)); #60622=EDGE_LOOP('',(#311128,#311129,#311130,#311131)); #60623=EDGE_LOOP('',(#311132,#311133,#311134,#311135)); #60624=EDGE_LOOP('',(#311136,#311137,#311138,#311139,#311140,#311141,#311142, #311143,#311144,#311145,#311146,#311147)); #60625=EDGE_LOOP('',(#311148,#311149,#311150,#311151,#311152,#311153,#311154, #311155,#311156,#311157,#311158,#311159)); #60626=EDGE_LOOP('',(#311160,#311161,#311162,#311163)); #60627=EDGE_LOOP('',(#311164,#311165,#311166,#311167)); #60628=EDGE_LOOP('',(#311168,#311169,#311170,#311171)); #60629=EDGE_LOOP('',(#311172,#311173,#311174,#311175)); #60630=EDGE_LOOP('',(#311176,#311177,#311178,#311179)); #60631=EDGE_LOOP('',(#311180,#311181,#311182,#311183)); #60632=EDGE_LOOP('',(#311184,#311185,#311186,#311187)); #60633=EDGE_LOOP('',(#311188,#311189,#311190,#311191)); #60634=EDGE_LOOP('',(#311192,#311193,#311194,#311195)); #60635=EDGE_LOOP('',(#311196,#311197,#311198,#311199)); #60636=EDGE_LOOP('',(#311200,#311201,#311202,#311203)); #60637=EDGE_LOOP('',(#311204,#311205,#311206,#311207)); #60638=EDGE_LOOP('',(#311208,#311209,#311210,#311211,#311212,#311213,#311214, #311215,#311216,#311217,#311218,#311219)); #60639=EDGE_LOOP('',(#311220,#311221,#311222,#311223)); #60640=EDGE_LOOP('',(#311224)); #60641=EDGE_LOOP('',(#311225,#311226,#311227,#311228)); #60642=EDGE_LOOP('',(#311229,#311230,#311231,#311232)); #60643=EDGE_LOOP('',(#311233,#311234,#311235,#311236)); #60644=EDGE_LOOP('',(#311237,#311238,#311239,#311240)); #60645=EDGE_LOOP('',(#311241,#311242,#311243,#311244)); #60646=EDGE_LOOP('',(#311245,#311246,#311247,#311248)); #60647=EDGE_LOOP('',(#311249,#311250,#311251,#311252)); #60648=EDGE_LOOP('',(#311253)); #60649=EDGE_LOOP('',(#311254,#311255,#311256,#311257)); #60650=EDGE_LOOP('',(#311258,#311259,#311260,#311261)); #60651=EDGE_LOOP('',(#311262,#311263,#311264,#311265)); #60652=EDGE_LOOP('',(#311266,#311267,#311268,#311269)); #60653=EDGE_LOOP('',(#311270,#311271,#311272,#311273)); #60654=EDGE_LOOP('',(#311274,#311275,#311276,#311277)); #60655=EDGE_LOOP('',(#311278,#311279,#311280,#311281)); #60656=EDGE_LOOP('',(#311282,#311283,#311284,#311285,#311286,#311287,#311288, #311289,#311290,#311291,#311292,#311293)); #60657=EDGE_LOOP('',(#311294,#311295,#311296,#311297)); #60658=EDGE_LOOP('',(#311298,#311299,#311300,#311301)); #60659=EDGE_LOOP('',(#311302,#311303,#311304,#311305)); #60660=EDGE_LOOP('',(#311306,#311307,#311308,#311309)); #60661=EDGE_LOOP('',(#311310,#311311,#311312,#311313)); #60662=EDGE_LOOP('',(#311314,#311315,#311316,#311317)); #60663=EDGE_LOOP('',(#311318,#311319,#311320,#311321)); #60664=EDGE_LOOP('',(#311322,#311323,#311324,#311325)); #60665=EDGE_LOOP('',(#311326,#311327,#311328,#311329)); #60666=EDGE_LOOP('',(#311330,#311331,#311332,#311333)); #60667=EDGE_LOOP('',(#311334,#311335,#311336,#311337)); #60668=EDGE_LOOP('',(#311338,#311339,#311340,#311341)); #60669=EDGE_LOOP('',(#311342,#311343,#311344,#311345,#311346,#311347,#311348, #311349,#311350,#311351,#311352,#311353)); #60670=EDGE_LOOP('',(#311354,#311355,#311356,#311357,#311358,#311359,#311360, #311361,#311362,#311363,#311364,#311365)); #60671=EDGE_LOOP('',(#311366,#311367,#311368,#311369)); #60672=EDGE_LOOP('',(#311370,#311371,#311372,#311373)); #60673=EDGE_LOOP('',(#311374,#311375,#311376,#311377)); #60674=EDGE_LOOP('',(#311378,#311379,#311380,#311381)); #60675=EDGE_LOOP('',(#311382,#311383,#311384,#311385)); #60676=EDGE_LOOP('',(#311386,#311387,#311388,#311389)); #60677=EDGE_LOOP('',(#311390,#311391,#311392,#311393)); #60678=EDGE_LOOP('',(#311394,#311395,#311396,#311397)); #60679=EDGE_LOOP('',(#311398,#311399,#311400,#311401)); #60680=EDGE_LOOP('',(#311402,#311403,#311404,#311405)); #60681=EDGE_LOOP('',(#311406,#311407,#311408,#311409)); #60682=EDGE_LOOP('',(#311410,#311411,#311412,#311413)); #60683=EDGE_LOOP('',(#311414,#311415,#311416,#311417,#311418,#311419,#311420, #311421,#311422,#311423,#311424,#311425)); #60684=EDGE_LOOP('',(#311426,#311427,#311428,#311429,#311430,#311431,#311432, #311433,#311434,#311435,#311436,#311437)); #60685=EDGE_LOOP('',(#311438,#311439,#311440,#311441)); #60686=EDGE_LOOP('',(#311442,#311443,#311444,#311445)); #60687=EDGE_LOOP('',(#311446,#311447,#311448,#311449)); #60688=EDGE_LOOP('',(#311450,#311451,#311452,#311453)); #60689=EDGE_LOOP('',(#311454,#311455,#311456,#311457)); #60690=EDGE_LOOP('',(#311458,#311459,#311460,#311461)); #60691=EDGE_LOOP('',(#311462,#311463,#311464,#311465)); #60692=EDGE_LOOP('',(#311466,#311467,#311468,#311469)); #60693=EDGE_LOOP('',(#311470,#311471,#311472,#311473)); #60694=EDGE_LOOP('',(#311474,#311475,#311476,#311477)); #60695=EDGE_LOOP('',(#311478,#311479,#311480,#311481)); #60696=EDGE_LOOP('',(#311482,#311483,#311484,#311485)); #60697=EDGE_LOOP('',(#311486,#311487,#311488,#311489,#311490,#311491,#311492, #311493,#311494,#311495,#311496,#311497)); #60698=EDGE_LOOP('',(#311498,#311499,#311500,#311501,#311502,#311503,#311504, #311505,#311506,#311507,#311508,#311509)); #60699=EDGE_LOOP('',(#311510,#311511,#311512,#311513)); #60700=EDGE_LOOP('',(#311514,#311515,#311516,#311517)); #60701=EDGE_LOOP('',(#311518,#311519,#311520,#311521)); #60702=EDGE_LOOP('',(#311522,#311523,#311524,#311525)); #60703=EDGE_LOOP('',(#311526,#311527,#311528,#311529)); #60704=EDGE_LOOP('',(#311530,#311531,#311532,#311533)); #60705=EDGE_LOOP('',(#311534,#311535,#311536,#311537)); #60706=EDGE_LOOP('',(#311538,#311539,#311540,#311541)); #60707=EDGE_LOOP('',(#311542,#311543,#311544,#311545)); #60708=EDGE_LOOP('',(#311546,#311547,#311548,#311549)); #60709=EDGE_LOOP('',(#311550,#311551,#311552,#311553)); #60710=EDGE_LOOP('',(#311554,#311555,#311556,#311557)); #60711=EDGE_LOOP('',(#311558,#311559,#311560,#311561,#311562,#311563,#311564, #311565,#311566,#311567,#311568,#311569)); #60712=EDGE_LOOP('',(#311570,#311571,#311572,#311573,#311574,#311575,#311576, #311577,#311578,#311579,#311580,#311581)); #60713=EDGE_LOOP('',(#311582,#311583,#311584,#311585)); #60714=EDGE_LOOP('',(#311586,#311587,#311588,#311589)); #60715=EDGE_LOOP('',(#311590,#311591,#311592,#311593)); #60716=EDGE_LOOP('',(#311594,#311595,#311596,#311597)); #60717=EDGE_LOOP('',(#311598,#311599,#311600,#311601)); #60718=EDGE_LOOP('',(#311602,#311603,#311604,#311605)); #60719=EDGE_LOOP('',(#311606,#311607,#311608,#311609)); #60720=EDGE_LOOP('',(#311610,#311611,#311612,#311613)); #60721=EDGE_LOOP('',(#311614,#311615,#311616,#311617)); #60722=EDGE_LOOP('',(#311618,#311619,#311620,#311621)); #60723=EDGE_LOOP('',(#311622,#311623,#311624,#311625)); #60724=EDGE_LOOP('',(#311626,#311627,#311628,#311629)); #60725=EDGE_LOOP('',(#311630,#311631,#311632,#311633,#311634,#311635,#311636, #311637,#311638,#311639,#311640,#311641)); #60726=EDGE_LOOP('',(#311642,#311643,#311644)); #60727=EDGE_LOOP('',(#311645,#311646,#311647)); #60728=EDGE_LOOP('',(#311648,#311649,#311650)); #60729=EDGE_LOOP('',(#311651,#311652,#311653)); #60730=EDGE_LOOP('',(#311654,#311655,#311656)); #60731=EDGE_LOOP('',(#311657,#311658,#311659,#311660)); #60732=EDGE_LOOP('',(#311661,#311662,#311663)); #60733=EDGE_LOOP('',(#311664,#311665,#311666)); #60734=EDGE_LOOP('',(#311667,#311668,#311669,#311670)); #60735=EDGE_LOOP('',(#311671,#311672,#311673,#311674)); #60736=EDGE_LOOP('',(#311675,#311676,#311677)); #60737=EDGE_LOOP('',(#311678,#311679,#311680,#311681)); #60738=EDGE_LOOP('',(#311682,#311683,#311684,#311685)); #60739=EDGE_LOOP('',(#311686,#311687,#311688,#311689)); #60740=EDGE_LOOP('',(#311690,#311691,#311692,#311693)); #60741=EDGE_LOOP('',(#311694,#311695,#311696,#311697)); #60742=EDGE_LOOP('',(#311698,#311699,#311700,#311701)); #60743=EDGE_LOOP('',(#311702,#311703,#311704,#311705)); #60744=EDGE_LOOP('',(#311706,#311707,#311708,#311709)); #60745=EDGE_LOOP('',(#311710,#311711,#311712,#311713)); #60746=EDGE_LOOP('',(#311714,#311715,#311716,#311717)); #60747=EDGE_LOOP('',(#311718,#311719,#311720,#311721)); #60748=EDGE_LOOP('',(#311722,#311723,#311724,#311725)); #60749=EDGE_LOOP('',(#311726,#311727,#311728,#311729)); #60750=EDGE_LOOP('',(#311730,#311731,#311732,#311733)); #60751=EDGE_LOOP('',(#311734,#311735,#311736,#311737)); #60752=EDGE_LOOP('',(#311738,#311739,#311740)); #60753=EDGE_LOOP('',(#311741,#311742,#311743)); #60754=EDGE_LOOP('',(#311744,#311745,#311746)); #60755=EDGE_LOOP('',(#311747,#311748,#311749)); #60756=EDGE_LOOP('',(#311750,#311751,#311752)); #60757=EDGE_LOOP('',(#311753,#311754,#311755,#311756)); #60758=EDGE_LOOP('',(#311757,#311758,#311759)); #60759=EDGE_LOOP('',(#311760,#311761,#311762)); #60760=EDGE_LOOP('',(#311763,#311764,#311765,#311766)); #60761=EDGE_LOOP('',(#311767,#311768,#311769,#311770)); #60762=EDGE_LOOP('',(#311771,#311772,#311773)); #60763=EDGE_LOOP('',(#311774,#311775,#311776,#311777)); #60764=EDGE_LOOP('',(#311778,#311779,#311780,#311781)); #60765=EDGE_LOOP('',(#311782,#311783,#311784,#311785)); #60766=EDGE_LOOP('',(#311786,#311787,#311788,#311789)); #60767=EDGE_LOOP('',(#311790,#311791,#311792,#311793)); #60768=EDGE_LOOP('',(#311794,#311795,#311796,#311797)); #60769=EDGE_LOOP('',(#311798,#311799,#311800,#311801)); #60770=EDGE_LOOP('',(#311802,#311803,#311804,#311805)); #60771=EDGE_LOOP('',(#311806,#311807,#311808,#311809)); #60772=EDGE_LOOP('',(#311810,#311811,#311812,#311813)); #60773=EDGE_LOOP('',(#311814,#311815,#311816,#311817)); #60774=EDGE_LOOP('',(#311818,#311819,#311820,#311821)); #60775=EDGE_LOOP('',(#311822,#311823,#311824,#311825)); #60776=EDGE_LOOP('',(#311826,#311827,#311828,#311829)); #60777=EDGE_LOOP('',(#311830,#311831,#311832,#311833)); #60778=EDGE_LOOP('',(#311834,#311835,#311836,#311837)); #60779=EDGE_LOOP('',(#311838,#311839,#311840,#311841)); #60780=EDGE_LOOP('',(#311842,#311843,#311844,#311845)); #60781=EDGE_LOOP('',(#311846,#311847,#311848,#311849)); #60782=EDGE_LOOP('',(#311850,#311851,#311852,#311853)); #60783=EDGE_LOOP('',(#311854,#311855,#311856,#311857)); #60784=EDGE_LOOP('',(#311858,#311859,#311860,#311861)); #60785=EDGE_LOOP('',(#311862,#311863,#311864,#311865)); #60786=EDGE_LOOP('',(#311866,#311867,#311868,#311869)); #60787=EDGE_LOOP('',(#311870,#311871,#311872,#311873)); #60788=EDGE_LOOP('',(#311874,#311875,#311876,#311877)); #60789=EDGE_LOOP('',(#311878,#311879,#311880,#311881)); #60790=EDGE_LOOP('',(#311882,#311883,#311884,#311885)); #60791=EDGE_LOOP('',(#311886,#311887,#311888,#311889)); #60792=EDGE_LOOP('',(#311890,#311891,#311892,#311893)); #60793=EDGE_LOOP('',(#311894,#311895,#311896,#311897)); #60794=EDGE_LOOP('',(#311898,#311899,#311900,#311901)); #60795=EDGE_LOOP('',(#311902,#311903,#311904,#311905)); #60796=EDGE_LOOP('',(#311906,#311907,#311908,#311909)); #60797=EDGE_LOOP('',(#311910,#311911,#311912,#311913)); #60798=EDGE_LOOP('',(#311914,#311915,#311916,#311917)); #60799=EDGE_LOOP('',(#311918,#311919,#311920,#311921)); #60800=EDGE_LOOP('',(#311922,#311923,#311924,#311925)); #60801=EDGE_LOOP('',(#311926,#311927,#311928,#311929)); #60802=EDGE_LOOP('',(#311930,#311931,#311932,#311933)); #60803=EDGE_LOOP('',(#311934,#311935,#311936,#311937)); #60804=EDGE_LOOP('',(#311938,#311939,#311940,#311941)); #60805=EDGE_LOOP('',(#311942,#311943,#311944,#311945)); #60806=EDGE_LOOP('',(#311946,#311947,#311948,#311949)); #60807=EDGE_LOOP('',(#311950,#311951,#311952,#311953)); #60808=EDGE_LOOP('',(#311954,#311955,#311956,#311957)); #60809=EDGE_LOOP('',(#311958,#311959,#311960,#311961)); #60810=EDGE_LOOP('',(#311962,#311963,#311964,#311965)); #60811=EDGE_LOOP('',(#311966,#311967,#311968,#311969)); #60812=EDGE_LOOP('',(#311970,#311971,#311972,#311973)); #60813=EDGE_LOOP('',(#311974,#311975,#311976,#311977)); #60814=EDGE_LOOP('',(#311978,#311979,#311980,#311981)); #60815=EDGE_LOOP('',(#311982,#311983,#311984,#311985)); #60816=EDGE_LOOP('',(#311986,#311987,#311988,#311989)); #60817=EDGE_LOOP('',(#311990,#311991,#311992,#311993)); #60818=EDGE_LOOP('',(#311994,#311995,#311996,#311997)); #60819=EDGE_LOOP('',(#311998,#311999,#312000,#312001)); #60820=EDGE_LOOP('',(#312002,#312003,#312004,#312005)); #60821=EDGE_LOOP('',(#312006,#312007,#312008,#312009)); #60822=EDGE_LOOP('',(#312010,#312011,#312012,#312013)); #60823=EDGE_LOOP('',(#312014,#312015,#312016,#312017)); #60824=EDGE_LOOP('',(#312018,#312019,#312020,#312021)); #60825=EDGE_LOOP('',(#312022,#312023,#312024,#312025)); #60826=EDGE_LOOP('',(#312026,#312027,#312028,#312029)); #60827=EDGE_LOOP('',(#312030,#312031,#312032,#312033)); #60828=EDGE_LOOP('',(#312034,#312035,#312036,#312037)); #60829=EDGE_LOOP('',(#312038,#312039,#312040,#312041)); #60830=EDGE_LOOP('',(#312042,#312043,#312044,#312045)); #60831=EDGE_LOOP('',(#312046,#312047,#312048,#312049)); #60832=EDGE_LOOP('',(#312050,#312051,#312052,#312053)); #60833=EDGE_LOOP('',(#312054,#312055,#312056,#312057)); #60834=EDGE_LOOP('',(#312058,#312059,#312060,#312061)); #60835=EDGE_LOOP('',(#312062,#312063,#312064,#312065)); #60836=EDGE_LOOP('',(#312066,#312067,#312068,#312069)); #60837=EDGE_LOOP('',(#312070,#312071,#312072,#312073)); #60838=EDGE_LOOP('',(#312074,#312075,#312076,#312077)); #60839=EDGE_LOOP('',(#312078,#312079,#312080,#312081)); #60840=EDGE_LOOP('',(#312082,#312083,#312084,#312085)); #60841=EDGE_LOOP('',(#312086,#312087,#312088,#312089)); #60842=EDGE_LOOP('',(#312090,#312091,#312092,#312093)); #60843=EDGE_LOOP('',(#312094,#312095,#312096,#312097)); #60844=EDGE_LOOP('',(#312098,#312099,#312100,#312101)); #60845=EDGE_LOOP('',(#312102,#312103,#312104,#312105)); #60846=EDGE_LOOP('',(#312106,#312107,#312108,#312109)); #60847=EDGE_LOOP('',(#312110,#312111,#312112,#312113)); #60848=EDGE_LOOP('',(#312114,#312115,#312116,#312117)); #60849=EDGE_LOOP('',(#312118,#312119,#312120,#312121)); #60850=EDGE_LOOP('',(#312122,#312123,#312124,#312125)); #60851=EDGE_LOOP('',(#312126,#312127,#312128,#312129)); #60852=EDGE_LOOP('',(#312130,#312131,#312132,#312133)); #60853=EDGE_LOOP('',(#312134,#312135,#312136,#312137)); #60854=EDGE_LOOP('',(#312138,#312139,#312140,#312141)); #60855=EDGE_LOOP('',(#312142,#312143,#312144,#312145)); #60856=EDGE_LOOP('',(#312146,#312147,#312148,#312149)); #60857=EDGE_LOOP('',(#312150,#312151,#312152,#312153)); #60858=EDGE_LOOP('',(#312154,#312155,#312156,#312157)); #60859=EDGE_LOOP('',(#312158,#312159,#312160,#312161)); #60860=EDGE_LOOP('',(#312162,#312163,#312164,#312165)); #60861=EDGE_LOOP('',(#312166,#312167,#312168,#312169)); #60862=EDGE_LOOP('',(#312170,#312171,#312172,#312173)); #60863=EDGE_LOOP('',(#312174,#312175,#312176,#312177)); #60864=EDGE_LOOP('',(#312178,#312179,#312180,#312181)); #60865=EDGE_LOOP('',(#312182,#312183,#312184,#312185)); #60866=EDGE_LOOP('',(#312186,#312187,#312188,#312189)); #60867=EDGE_LOOP('',(#312190,#312191,#312192,#312193)); #60868=EDGE_LOOP('',(#312194,#312195,#312196,#312197)); #60869=EDGE_LOOP('',(#312198,#312199,#312200,#312201)); #60870=EDGE_LOOP('',(#312202,#312203,#312204,#312205)); #60871=EDGE_LOOP('',(#312206,#312207,#312208,#312209)); #60872=EDGE_LOOP('',(#312210,#312211,#312212,#312213)); #60873=EDGE_LOOP('',(#312214,#312215,#312216,#312217)); #60874=EDGE_LOOP('',(#312218,#312219,#312220,#312221)); #60875=EDGE_LOOP('',(#312222,#312223,#312224,#312225,#312226,#312227,#312228, #312229,#312230,#312231,#312232,#312233,#312234,#312235,#312236,#312237, #312238,#312239,#312240,#312241)); #60876=EDGE_LOOP('',(#312242,#312243,#312244,#312245)); #60877=EDGE_LOOP('',(#312246,#312247,#312248,#312249)); #60878=EDGE_LOOP('',(#312250,#312251,#312252,#312253)); #60879=EDGE_LOOP('',(#312254,#312255,#312256,#312257)); #60880=EDGE_LOOP('',(#312258,#312259,#312260,#312261)); #60881=EDGE_LOOP('',(#312262,#312263,#312264,#312265)); #60882=EDGE_LOOP('',(#312266,#312267,#312268,#312269)); #60883=EDGE_LOOP('',(#312270,#312271,#312272,#312273)); #60884=EDGE_LOOP('',(#312274,#312275,#312276,#312277)); #60885=EDGE_LOOP('',(#312278,#312279,#312280,#312281,#312282,#312283,#312284, #312285,#312286,#312287,#312288,#312289,#312290,#312291,#312292,#312293, #312294,#312295,#312296,#312297)); #60886=EDGE_LOOP('',(#312298,#312299,#312300,#312301)); #60887=EDGE_LOOP('',(#312302,#312303,#312304,#312305)); #60888=EDGE_LOOP('',(#312306,#312307,#312308,#312309)); #60889=EDGE_LOOP('',(#312310,#312311,#312312,#312313)); #60890=EDGE_LOOP('',(#312314,#312315,#312316,#312317)); #60891=EDGE_LOOP('',(#312318,#312319,#312320,#312321)); #60892=EDGE_LOOP('',(#312322,#312323,#312324,#312325)); #60893=EDGE_LOOP('',(#312326)); #60894=EDGE_LOOP('',(#312327)); #60895=EDGE_LOOP('',(#312328,#312329,#312330,#312331)); #60896=EDGE_LOOP('',(#312332,#312333,#312334,#312335)); #60897=EDGE_LOOP('',(#312336)); #60898=EDGE_LOOP('',(#312337)); #60899=EDGE_LOOP('',(#312338)); #60900=EDGE_LOOP('',(#312339)); #60901=EDGE_LOOP('',(#312340,#312341,#312342,#312343)); #60902=EDGE_LOOP('',(#312344)); #60903=EDGE_LOOP('',(#312345)); #60904=EDGE_LOOP('',(#312346,#312347,#312348,#312349)); #60905=EDGE_LOOP('',(#312350)); #60906=EDGE_LOOP('',(#312351)); #60907=EDGE_LOOP('',(#312352,#312353,#312354,#312355)); #60908=EDGE_LOOP('',(#312356)); #60909=EDGE_LOOP('',(#312357)); #60910=LINE('',#449413,#98829); #60911=LINE('',#449419,#98830); #60912=LINE('',#449425,#98831); #60913=LINE('',#449431,#98832); #60914=LINE('',#449437,#98833); #60915=LINE('',#449443,#98834); #60916=LINE('',#449449,#98835); #60917=LINE('',#449455,#98836); #60918=LINE('',#449461,#98837); #60919=LINE('',#449467,#98838); #60920=LINE('',#449473,#98839); #60921=LINE('',#449479,#98840); #60922=LINE('',#449485,#98841); #60923=LINE('',#449491,#98842); #60924=LINE('',#449497,#98843); #60925=LINE('',#449503,#98844); #60926=LINE('',#449509,#98845); #60927=LINE('',#449515,#98846); #60928=LINE('',#449521,#98847); #60929=LINE('',#449527,#98848); #60930=LINE('',#449533,#98849); #60931=LINE('',#449539,#98850); #60932=LINE('',#449545,#98851); #60933=LINE('',#449551,#98852); #60934=LINE('',#449557,#98853); #60935=LINE('',#449563,#98854); #60936=LINE('',#449569,#98855); #60937=LINE('',#449575,#98856); #60938=LINE('',#449581,#98857); #60939=LINE('',#449587,#98858); #60940=LINE('',#449593,#98859); #60941=LINE('',#449599,#98860); #60942=LINE('',#449605,#98861); #60943=LINE('',#449611,#98862); #60944=LINE('',#449617,#98863); #60945=LINE('',#449623,#98864); #60946=LINE('',#449629,#98865); #60947=LINE('',#449635,#98866); #60948=LINE('',#449641,#98867); #60949=LINE('',#449646,#98868); #60950=LINE('',#449648,#98869); #60951=LINE('',#449650,#98870); #60952=LINE('',#449651,#98871); #60953=LINE('',#449657,#98872); #60954=LINE('',#449660,#98873); #60955=LINE('',#449662,#98874); #60956=LINE('',#449663,#98875); #60957=LINE('',#449671,#98876); #60958=LINE('',#449676,#98877); #60959=LINE('',#449678,#98878); #60960=LINE('',#449680,#98879); #60961=LINE('',#449681,#98880); #60962=LINE('',#449687,#98881); #60963=LINE('',#449690,#98882); #60964=LINE('',#449692,#98883); #60965=LINE('',#449693,#98884); #60966=LINE('',#449701,#98885); #60967=LINE('',#449707,#98886); #60968=LINE('',#449713,#98887); #60969=LINE('',#449719,#98888); #60970=LINE('',#449725,#98889); #60971=LINE('',#449731,#98890); #60972=LINE('',#449737,#98891); #60973=LINE('',#449743,#98892); #60974=LINE('',#449749,#98893); #60975=LINE('',#449755,#98894); #60976=LINE('',#449761,#98895); #60977=LINE('',#449766,#98896); #60978=LINE('',#449768,#98897); #60979=LINE('',#449770,#98898); #60980=LINE('',#449771,#98899); #60981=LINE('',#449777,#98900); #60982=LINE('',#449780,#98901); #60983=LINE('',#449782,#98902); #60984=LINE('',#449783,#98903); #60985=LINE('',#449791,#98904); #60986=LINE('',#449796,#98905); #60987=LINE('',#449798,#98906); #60988=LINE('',#449800,#98907); #60989=LINE('',#449801,#98908); #60990=LINE('',#449807,#98909); #60991=LINE('',#449810,#98910); #60992=LINE('',#449812,#98911); #60993=LINE('',#449813,#98912); #60994=LINE('',#449821,#98913); #60995=LINE('',#449826,#98914); #60996=LINE('',#449828,#98915); #60997=LINE('',#449830,#98916); #60998=LINE('',#449831,#98917); #60999=LINE('',#449837,#98918); #61000=LINE('',#449840,#98919); #61001=LINE('',#449842,#98920); #61002=LINE('',#449843,#98921); #61003=LINE('',#449851,#98922); #61004=LINE('',#449856,#98923); #61005=LINE('',#449858,#98924); #61006=LINE('',#449860,#98925); #61007=LINE('',#449861,#98926); #61008=LINE('',#449867,#98927); #61009=LINE('',#449870,#98928); #61010=LINE('',#449872,#98929); #61011=LINE('',#449873,#98930); #61012=LINE('',#449881,#98931); #61013=LINE('',#449887,#98932); #61014=LINE('',#449893,#98933); #61015=LINE('',#449899,#98934); #61016=LINE('',#449905,#98935); #61017=LINE('',#449911,#98936); #61018=LINE('',#449917,#98937); #61019=LINE('',#449923,#98938); #61020=LINE('',#449929,#98939); #61021=LINE('',#449935,#98940); #61022=LINE('',#449941,#98941); #61023=LINE('',#449947,#98942); #61024=LINE('',#449953,#98943); #61025=LINE('',#449959,#98944); #61026=LINE('',#449965,#98945); #61027=LINE('',#449971,#98946); #61028=LINE('',#449977,#98947); #61029=LINE('',#449983,#98948); #61030=LINE('',#449989,#98949); #61031=LINE('',#449995,#98950); #61032=LINE('',#450001,#98951); #61033=LINE('',#450007,#98952); #61034=LINE('',#450013,#98953); #61035=LINE('',#450019,#98954); #61036=LINE('',#450025,#98955); #61037=LINE('',#450031,#98956); #61038=LINE('',#450037,#98957); #61039=LINE('',#450043,#98958); #61040=LINE('',#450049,#98959); #61041=LINE('',#450055,#98960); #61042=LINE('',#450061,#98961); #61043=LINE('',#450067,#98962); #61044=LINE('',#450073,#98963); #61045=LINE('',#450079,#98964); #61046=LINE('',#450085,#98965); #61047=LINE('',#450091,#98966); #61048=LINE('',#450097,#98967); #61049=LINE('',#450103,#98968); #61050=LINE('',#450109,#98969); #61051=LINE('',#450115,#98970); #61052=LINE('',#450121,#98971); #61053=LINE('',#450127,#98972); #61054=LINE('',#450133,#98973); #61055=LINE('',#450139,#98974); #61056=LINE('',#450145,#98975); #61057=LINE('',#450151,#98976); #61058=LINE('',#450157,#98977); #61059=LINE('',#450163,#98978); #61060=LINE('',#450169,#98979); #61061=LINE('',#450175,#98980); #61062=LINE('',#450181,#98981); #61063=LINE('',#450187,#98982); #61064=LINE('',#450193,#98983); #61065=LINE('',#450199,#98984); #61066=LINE('',#450205,#98985); #61067=LINE('',#450211,#98986); #61068=LINE('',#450217,#98987); #61069=LINE('',#450223,#98988); #61070=LINE('',#450229,#98989); #61071=LINE('',#450235,#98990); #61072=LINE('',#450241,#98991); #61073=LINE('',#450247,#98992); #61074=LINE('',#450253,#98993); #61075=LINE('',#450259,#98994); #61076=LINE('',#450265,#98995); #61077=LINE('',#450271,#98996); #61078=LINE('',#450277,#98997); #61079=LINE('',#450283,#98998); #61080=LINE('',#450289,#98999); #61081=LINE('',#450295,#99000); #61082=LINE('',#450301,#99001); #61083=LINE('',#450307,#99002); #61084=LINE('',#450313,#99003); #61085=LINE('',#450319,#99004); #61086=LINE('',#450325,#99005); #61087=LINE('',#450331,#99006); #61088=LINE('',#450337,#99007); #61089=LINE('',#450343,#99008); #61090=LINE('',#450349,#99009); #61091=LINE('',#450355,#99010); #61092=LINE('',#450361,#99011); #61093=LINE('',#450367,#99012); #61094=LINE('',#450373,#99013); #61095=LINE('',#450379,#99014); #61096=LINE('',#450385,#99015); #61097=LINE('',#450391,#99016); #61098=LINE('',#450397,#99017); #61099=LINE('',#450403,#99018); #61100=LINE('',#450409,#99019); #61101=LINE('',#450415,#99020); #61102=LINE('',#450421,#99021); #61103=LINE('',#450427,#99022); #61104=LINE('',#450433,#99023); #61105=LINE('',#450439,#99024); #61106=LINE('',#450445,#99025); #61107=LINE('',#450451,#99026); #61108=LINE('',#450457,#99027); #61109=LINE('',#450463,#99028); #61110=LINE('',#450469,#99029); #61111=LINE('',#450475,#99030); #61112=LINE('',#450481,#99031); #61113=LINE('',#450487,#99032); #61114=LINE('',#450493,#99033); #61115=LINE('',#450499,#99034); #61116=LINE('',#450505,#99035); #61117=LINE('',#450511,#99036); #61118=LINE('',#450517,#99037); #61119=LINE('',#450523,#99038); #61120=LINE('',#450529,#99039); #61121=LINE('',#450535,#99040); #61122=LINE('',#450541,#99041); #61123=LINE('',#450547,#99042); #61124=LINE('',#450553,#99043); #61125=LINE('',#450559,#99044); #61126=LINE('',#450565,#99045); #61127=LINE('',#450571,#99046); #61128=LINE('',#450577,#99047); #61129=LINE('',#450583,#99048); #61130=LINE('',#450589,#99049); #61131=LINE('',#450595,#99050); #61132=LINE('',#450601,#99051); #61133=LINE('',#450607,#99052); #61134=LINE('',#450613,#99053); #61135=LINE('',#450619,#99054); #61136=LINE('',#450625,#99055); #61137=LINE('',#450631,#99056); #61138=LINE('',#450637,#99057); #61139=LINE('',#450643,#99058); #61140=LINE('',#450649,#99059); #61141=LINE('',#450655,#99060); #61142=LINE('',#450661,#99061); #61143=LINE('',#450667,#99062); #61144=LINE('',#450673,#99063); #61145=LINE('',#450679,#99064); #61146=LINE('',#450685,#99065); #61147=LINE('',#450691,#99066); #61148=LINE('',#450697,#99067); #61149=LINE('',#450703,#99068); #61150=LINE('',#450709,#99069); #61151=LINE('',#450715,#99070); #61152=LINE('',#450721,#99071); #61153=LINE('',#450727,#99072); #61154=LINE('',#450733,#99073); #61155=LINE('',#450739,#99074); #61156=LINE('',#450745,#99075); #61157=LINE('',#450751,#99076); #61158=LINE('',#450757,#99077); #61159=LINE('',#450763,#99078); #61160=LINE('',#450769,#99079); #61161=LINE('',#450775,#99080); #61162=LINE('',#450781,#99081); #61163=LINE('',#450787,#99082); #61164=LINE('',#450793,#99083); #61165=LINE('',#450799,#99084); #61166=LINE('',#450805,#99085); #61167=LINE('',#450811,#99086); #61168=LINE('',#450817,#99087); #61169=LINE('',#450823,#99088); #61170=LINE('',#450829,#99089); #61171=LINE('',#450835,#99090); #61172=LINE('',#450841,#99091); #61173=LINE('',#450847,#99092); #61174=LINE('',#450853,#99093); #61175=LINE('',#450859,#99094); #61176=LINE('',#450865,#99095); #61177=LINE('',#450871,#99096); #61178=LINE('',#450877,#99097); #61179=LINE('',#450883,#99098); #61180=LINE('',#450889,#99099); #61181=LINE('',#450895,#99100); #61182=LINE('',#450901,#99101); #61183=LINE('',#450907,#99102); #61184=LINE('',#450913,#99103); #61185=LINE('',#450919,#99104); #61186=LINE('',#450925,#99105); #61187=LINE('',#450931,#99106); #61188=LINE('',#450937,#99107); #61189=LINE('',#450943,#99108); #61190=LINE('',#450949,#99109); #61191=LINE('',#450955,#99110); #61192=LINE('',#450961,#99111); #61193=LINE('',#450967,#99112); #61194=LINE('',#450973,#99113); #61195=LINE('',#450979,#99114); #61196=LINE('',#450985,#99115); #61197=LINE('',#450991,#99116); #61198=LINE('',#450997,#99117); #61199=LINE('',#451003,#99118); #61200=LINE('',#451009,#99119); #61201=LINE('',#451015,#99120); #61202=LINE('',#451021,#99121); #61203=LINE('',#451027,#99122); #61204=LINE('',#451033,#99123); #61205=LINE('',#451039,#99124); #61206=LINE('',#451045,#99125); #61207=LINE('',#451051,#99126); #61208=LINE('',#451057,#99127); #61209=LINE('',#451063,#99128); #61210=LINE('',#451069,#99129); #61211=LINE('',#451075,#99130); #61212=LINE('',#451081,#99131); #61213=LINE('',#451087,#99132); #61214=LINE('',#451093,#99133); #61215=LINE('',#451099,#99134); #61216=LINE('',#451105,#99135); #61217=LINE('',#451111,#99136); #61218=LINE('',#451117,#99137); #61219=LINE('',#451123,#99138); #61220=LINE('',#451129,#99139); #61221=LINE('',#451135,#99140); #61222=LINE('',#451141,#99141); #61223=LINE('',#451147,#99142); #61224=LINE('',#451153,#99143); #61225=LINE('',#451159,#99144); #61226=LINE('',#451165,#99145); #61227=LINE('',#451171,#99146); #61228=LINE('',#451177,#99147); #61229=LINE('',#451183,#99148); #61230=LINE('',#451189,#99149); #61231=LINE('',#451195,#99150); #61232=LINE('',#451201,#99151); #61233=LINE('',#451207,#99152); #61234=LINE('',#451213,#99153); #61235=LINE('',#451219,#99154); #61236=LINE('',#451225,#99155); #61237=LINE('',#451231,#99156); #61238=LINE('',#451237,#99157); #61239=LINE('',#451243,#99158); #61240=LINE('',#451249,#99159); #61241=LINE('',#451255,#99160); #61242=LINE('',#451261,#99161); #61243=LINE('',#451267,#99162); #61244=LINE('',#451273,#99163); #61245=LINE('',#451279,#99164); #61246=LINE('',#451285,#99165); #61247=LINE('',#451291,#99166); #61248=LINE('',#451297,#99167); #61249=LINE('',#451303,#99168); #61250=LINE('',#451309,#99169); #61251=LINE('',#451315,#99170); #61252=LINE('',#451321,#99171); #61253=LINE('',#451327,#99172); #61254=LINE('',#451333,#99173); #61255=LINE('',#451339,#99174); #61256=LINE('',#451345,#99175); #61257=LINE('',#451351,#99176); #61258=LINE('',#451357,#99177); #61259=LINE('',#451363,#99178); #61260=LINE('',#451369,#99179); #61261=LINE('',#451375,#99180); #61262=LINE('',#451381,#99181); #61263=LINE('',#451387,#99182); #61264=LINE('',#451393,#99183); #61265=LINE('',#451399,#99184); #61266=LINE('',#451405,#99185); #61267=LINE('',#451411,#99186); #61268=LINE('',#451417,#99187); #61269=LINE('',#451423,#99188); #61270=LINE('',#451429,#99189); #61271=LINE('',#451435,#99190); #61272=LINE('',#451441,#99191); #61273=LINE('',#451447,#99192); #61274=LINE('',#451453,#99193); #61275=LINE('',#451459,#99194); #61276=LINE('',#451465,#99195); #61277=LINE('',#451471,#99196); #61278=LINE('',#451477,#99197); #61279=LINE('',#451483,#99198); #61280=LINE('',#451489,#99199); #61281=LINE('',#451495,#99200); #61282=LINE('',#451501,#99201); #61283=LINE('',#451507,#99202); #61284=LINE('',#451513,#99203); #61285=LINE('',#451521,#99204); #61286=LINE('',#451527,#99205); #61287=LINE('',#451535,#99206); #61288=LINE('',#451541,#99207); #61289=LINE('',#451549,#99208); #61290=LINE('',#451555,#99209); #61291=LINE('',#451563,#99210); #61292=LINE('',#451569,#99211); #61293=LINE('',#451577,#99212); #61294=LINE('',#451583,#99213); #61295=LINE('',#451591,#99214); #61296=LINE('',#451597,#99215); #61297=LINE('',#451605,#99216); #61298=LINE('',#451611,#99217); #61299=LINE('',#451619,#99218); #61300=LINE('',#451625,#99219); #61301=LINE('',#451633,#99220); #61302=LINE('',#451639,#99221); #61303=LINE('',#451647,#99222); #61304=LINE('',#451653,#99223); #61305=LINE('',#451661,#99224); #61306=LINE('',#451667,#99225); #61307=LINE('',#451675,#99226); #61308=LINE('',#451681,#99227); #61309=LINE('',#451689,#99228); #61310=LINE('',#451695,#99229); #61311=LINE('',#451703,#99230); #61312=LINE('',#451709,#99231); #61313=LINE('',#451717,#99232); #61314=LINE('',#451723,#99233); #61315=LINE('',#451731,#99234); #61316=LINE('',#451737,#99235); #61317=LINE('',#451745,#99236); #61318=LINE('',#451751,#99237); #61319=LINE('',#451759,#99238); #61320=LINE('',#451765,#99239); #61321=LINE('',#451773,#99240); #61322=LINE('',#451779,#99241); #61323=LINE('',#451787,#99242); #61324=LINE('',#451793,#99243); #61325=LINE('',#451801,#99244); #61326=LINE('',#451807,#99245); #61327=LINE('',#451815,#99246); #61328=LINE('',#451821,#99247); #61329=LINE('',#451829,#99248); #61330=LINE('',#451835,#99249); #61331=LINE('',#451843,#99250); #61332=LINE('',#451849,#99251); #61333=LINE('',#451857,#99252); #61334=LINE('',#451863,#99253); #61335=LINE('',#451871,#99254); #61336=LINE('',#451877,#99255); #61337=LINE('',#451885,#99256); #61338=LINE('',#451891,#99257); #61339=LINE('',#451899,#99258); #61340=LINE('',#451905,#99259); #61341=LINE('',#451913,#99260); #61342=LINE('',#451919,#99261); #61343=LINE('',#451927,#99262); #61344=LINE('',#451933,#99263); #61345=LINE('',#451941,#99264); #61346=LINE('',#451947,#99265); #61347=LINE('',#451955,#99266); #61348=LINE('',#451961,#99267); #61349=LINE('',#451969,#99268); #61350=LINE('',#451975,#99269); #61351=LINE('',#451983,#99270); #61352=LINE('',#451989,#99271); #61353=LINE('',#451997,#99272); #61354=LINE('',#452003,#99273); #61355=LINE('',#452011,#99274); #61356=LINE('',#452017,#99275); #61357=LINE('',#452025,#99276); #61358=LINE('',#452031,#99277); #61359=LINE('',#452039,#99278); #61360=LINE('',#452045,#99279); #61361=LINE('',#452053,#99280); #61362=LINE('',#452059,#99281); #61363=LINE('',#452067,#99282); #61364=LINE('',#452073,#99283); #61365=LINE('',#452081,#99284); #61366=LINE('',#452087,#99285); #61367=LINE('',#452095,#99286); #61368=LINE('',#452101,#99287); #61369=LINE('',#452109,#99288); #61370=LINE('',#452115,#99289); #61371=LINE('',#452123,#99290); #61372=LINE('',#452129,#99291); #61373=LINE('',#452137,#99292); #61374=LINE('',#452143,#99293); #61375=LINE('',#452151,#99294); #61376=LINE('',#452157,#99295); #61377=LINE('',#452165,#99296); #61378=LINE('',#452171,#99297); #61379=LINE('',#452179,#99298); #61380=LINE('',#452185,#99299); #61381=LINE('',#452193,#99300); #61382=LINE('',#452199,#99301); #61383=LINE('',#452207,#99302); #61384=LINE('',#452213,#99303); #61385=LINE('',#452221,#99304); #61386=LINE('',#452227,#99305); #61387=LINE('',#452235,#99306); #61388=LINE('',#452241,#99307); #61389=LINE('',#452249,#99308); #61390=LINE('',#452255,#99309); #61391=LINE('',#452263,#99310); #61392=LINE('',#452269,#99311); #61393=LINE('',#452277,#99312); #61394=LINE('',#452283,#99313); #61395=LINE('',#452291,#99314); #61396=LINE('',#452297,#99315); #61397=LINE('',#452305,#99316); #61398=LINE('',#452311,#99317); #61399=LINE('',#452319,#99318); #61400=LINE('',#452325,#99319); #61401=LINE('',#452333,#99320); #61402=LINE('',#452339,#99321); #61403=LINE('',#452347,#99322); #61404=LINE('',#452353,#99323); #61405=LINE('',#452361,#99324); #61406=LINE('',#452367,#99325); #61407=LINE('',#452375,#99326); #61408=LINE('',#452381,#99327); #61409=LINE('',#452389,#99328); #61410=LINE('',#452395,#99329); #61411=LINE('',#452403,#99330); #61412=LINE('',#452409,#99331); #61413=LINE('',#452417,#99332); #61414=LINE('',#452423,#99333); #61415=LINE('',#452431,#99334); #61416=LINE('',#452437,#99335); #61417=LINE('',#452445,#99336); #61418=LINE('',#452451,#99337); #61419=LINE('',#452459,#99338); #61420=LINE('',#452465,#99339); #61421=LINE('',#452473,#99340); #61422=LINE('',#452479,#99341); #61423=LINE('',#452487,#99342); #61424=LINE('',#452493,#99343); #61425=LINE('',#452501,#99344); #61426=LINE('',#452507,#99345); #61427=LINE('',#452515,#99346); #61428=LINE('',#452521,#99347); #61429=LINE('',#452529,#99348); #61430=LINE('',#452535,#99349); #61431=LINE('',#452543,#99350); #61432=LINE('',#452549,#99351); #61433=LINE('',#452557,#99352); #61434=LINE('',#452563,#99353); #61435=LINE('',#452571,#99354); #61436=LINE('',#452577,#99355); #61437=LINE('',#452585,#99356); #61438=LINE('',#452591,#99357); #61439=LINE('',#452599,#99358); #61440=LINE('',#452605,#99359); #61441=LINE('',#452613,#99360); #61442=LINE('',#452619,#99361); #61443=LINE('',#452627,#99362); #61444=LINE('',#452633,#99363); #61445=LINE('',#452641,#99364); #61446=LINE('',#452647,#99365); #61447=LINE('',#452655,#99366); #61448=LINE('',#452661,#99367); #61449=LINE('',#452669,#99368); #61450=LINE('',#452675,#99369); #61451=LINE('',#452683,#99370); #61452=LINE('',#452689,#99371); #61453=LINE('',#452697,#99372); #61454=LINE('',#452703,#99373); #61455=LINE('',#452711,#99374); #61456=LINE('',#452717,#99375); #61457=LINE('',#452725,#99376); #61458=LINE('',#452731,#99377); #61459=LINE('',#452739,#99378); #61460=LINE('',#452745,#99379); #61461=LINE('',#452753,#99380); #61462=LINE('',#452759,#99381); #61463=LINE('',#452767,#99382); #61464=LINE('',#452773,#99383); #61465=LINE('',#452781,#99384); #61466=LINE('',#452787,#99385); #61467=LINE('',#452795,#99386); #61468=LINE('',#452801,#99387); #61469=LINE('',#452809,#99388); #61470=LINE('',#452815,#99389); #61471=LINE('',#452823,#99390); #61472=LINE('',#452829,#99391); #61473=LINE('',#452837,#99392); #61474=LINE('',#452843,#99393); #61475=LINE('',#452851,#99394); #61476=LINE('',#452857,#99395); #61477=LINE('',#452865,#99396); #61478=LINE('',#452871,#99397); #61479=LINE('',#452879,#99398); #61480=LINE('',#452885,#99399); #61481=LINE('',#452893,#99400); #61482=LINE('',#452899,#99401); #61483=LINE('',#452907,#99402); #61484=LINE('',#452913,#99403); #61485=LINE('',#452921,#99404); #61486=LINE('',#452927,#99405); #61487=LINE('',#452935,#99406); #61488=LINE('',#452941,#99407); #61489=LINE('',#452949,#99408); #61490=LINE('',#452955,#99409); #61491=LINE('',#452963,#99410); #61492=LINE('',#452969,#99411); #61493=LINE('',#452977,#99412); #61494=LINE('',#452983,#99413); #61495=LINE('',#452991,#99414); #61496=LINE('',#452997,#99415); #61497=LINE('',#453005,#99416); #61498=LINE('',#453011,#99417); #61499=LINE('',#453019,#99418); #61500=LINE('',#453025,#99419); #61501=LINE('',#453033,#99420); #61502=LINE('',#453039,#99421); #61503=LINE('',#453047,#99422); #61504=LINE('',#453053,#99423); #61505=LINE('',#453061,#99424); #61506=LINE('',#453067,#99425); #61507=LINE('',#453075,#99426); #61508=LINE('',#453081,#99427); #61509=LINE('',#453089,#99428); #61510=LINE('',#453095,#99429); #61511=LINE('',#453103,#99430); #61512=LINE('',#453109,#99431); #61513=LINE('',#453117,#99432); #61514=LINE('',#453123,#99433); #61515=LINE('',#453131,#99434); #61516=LINE('',#453137,#99435); #61517=LINE('',#453145,#99436); #61518=LINE('',#453151,#99437); #61519=LINE('',#453159,#99438); #61520=LINE('',#453165,#99439); #61521=LINE('',#453173,#99440); #61522=LINE('',#453179,#99441); #61523=LINE('',#453187,#99442); #61524=LINE('',#453193,#99443); #61525=LINE('',#453201,#99444); #61526=LINE('',#453207,#99445); #61527=LINE('',#453215,#99446); #61528=LINE('',#453221,#99447); #61529=LINE('',#453229,#99448); #61530=LINE('',#453235,#99449); #61531=LINE('',#453243,#99450); #61532=LINE('',#453249,#99451); #61533=LINE('',#453257,#99452); #61534=LINE('',#453263,#99453); #61535=LINE('',#453271,#99454); #61536=LINE('',#453277,#99455); #61537=LINE('',#453285,#99456); #61538=LINE('',#453291,#99457); #61539=LINE('',#453299,#99458); #61540=LINE('',#453305,#99459); #61541=LINE('',#453313,#99460); #61542=LINE('',#453319,#99461); #61543=LINE('',#453327,#99462); #61544=LINE('',#453333,#99463); #61545=LINE('',#453341,#99464); #61546=LINE('',#453347,#99465); #61547=LINE('',#453355,#99466); #61548=LINE('',#453361,#99467); #61549=LINE('',#453369,#99468); #61550=LINE('',#453375,#99469); #61551=LINE('',#453383,#99470); #61552=LINE('',#453389,#99471); #61553=LINE('',#453397,#99472); #61554=LINE('',#453403,#99473); #61555=LINE('',#453411,#99474); #61556=LINE('',#453417,#99475); #61557=LINE('',#453425,#99476); #61558=LINE('',#453431,#99477); #61559=LINE('',#453439,#99478); #61560=LINE('',#453445,#99479); #61561=LINE('',#453453,#99480); #61562=LINE('',#453459,#99481); #61563=LINE('',#453467,#99482); #61564=LINE('',#453473,#99483); #61565=LINE('',#453481,#99484); #61566=LINE('',#453487,#99485); #61567=LINE('',#453495,#99486); #61568=LINE('',#453501,#99487); #61569=LINE('',#453509,#99488); #61570=LINE('',#453515,#99489); #61571=LINE('',#453523,#99490); #61572=LINE('',#453529,#99491); #61573=LINE('',#453537,#99492); #61574=LINE('',#453543,#99493); #61575=LINE('',#453551,#99494); #61576=LINE('',#453557,#99495); #61577=LINE('',#453565,#99496); #61578=LINE('',#453571,#99497); #61579=LINE('',#453579,#99498); #61580=LINE('',#453585,#99499); #61581=LINE('',#453593,#99500); #61582=LINE('',#453599,#99501); #61583=LINE('',#453607,#99502); #61584=LINE('',#453613,#99503); #61585=LINE('',#453621,#99504); #61586=LINE('',#453627,#99505); #61587=LINE('',#453635,#99506); #61588=LINE('',#453641,#99507); #61589=LINE('',#453649,#99508); #61590=LINE('',#453655,#99509); #61591=LINE('',#453663,#99510); #61592=LINE('',#453669,#99511); #61593=LINE('',#453677,#99512); #61594=LINE('',#453683,#99513); #61595=LINE('',#453691,#99514); #61596=LINE('',#453697,#99515); #61597=LINE('',#453705,#99516); #61598=LINE('',#453711,#99517); #61599=LINE('',#453719,#99518); #61600=LINE('',#453725,#99519); #61601=LINE('',#453733,#99520); #61602=LINE('',#453739,#99521); #61603=LINE('',#453747,#99522); #61604=LINE('',#453753,#99523); #61605=LINE('',#453761,#99524); #61606=LINE('',#453767,#99525); #61607=LINE('',#453775,#99526); #61608=LINE('',#453781,#99527); #61609=LINE('',#453789,#99528); #61610=LINE('',#453795,#99529); #61611=LINE('',#453803,#99530); #61612=LINE('',#453809,#99531); #61613=LINE('',#453817,#99532); #61614=LINE('',#453823,#99533); #61615=LINE('',#453831,#99534); #61616=LINE('',#453837,#99535); #61617=LINE('',#453845,#99536); #61618=LINE('',#453851,#99537); #61619=LINE('',#453859,#99538); #61620=LINE('',#453865,#99539); #61621=LINE('',#453873,#99540); #61622=LINE('',#453879,#99541); #61623=LINE('',#453887,#99542); #61624=LINE('',#453893,#99543); #61625=LINE('',#453901,#99544); #61626=LINE('',#453907,#99545); #61627=LINE('',#453915,#99546); #61628=LINE('',#453921,#99547); #61629=LINE('',#453929,#99548); #61630=LINE('',#453935,#99549); #61631=LINE('',#453943,#99550); #61632=LINE('',#453949,#99551); #61633=LINE('',#453957,#99552); #61634=LINE('',#453963,#99553); #61635=LINE('',#453971,#99554); #61636=LINE('',#453977,#99555); #61637=LINE('',#453985,#99556); #61638=LINE('',#453991,#99557); #61639=LINE('',#453999,#99558); #61640=LINE('',#454005,#99559); #61641=LINE('',#454013,#99560); #61642=LINE('',#454019,#99561); #61643=LINE('',#454027,#99562); #61644=LINE('',#454033,#99563); #61645=LINE('',#454041,#99564); #61646=LINE('',#454047,#99565); #61647=LINE('',#454055,#99566); #61648=LINE('',#454061,#99567); #61649=LINE('',#454069,#99568); #61650=LINE('',#454075,#99569); #61651=LINE('',#454083,#99570); #61652=LINE('',#454089,#99571); #61653=LINE('',#454097,#99572); #61654=LINE('',#454103,#99573); #61655=LINE('',#454111,#99574); #61656=LINE('',#454117,#99575); #61657=LINE('',#454125,#99576); #61658=LINE('',#454131,#99577); #61659=LINE('',#454139,#99578); #61660=LINE('',#454145,#99579); #61661=LINE('',#454153,#99580); #61662=LINE('',#454159,#99581); #61663=LINE('',#454167,#99582); #61664=LINE('',#454173,#99583); #61665=LINE('',#454181,#99584); #61666=LINE('',#454187,#99585); #61667=LINE('',#454195,#99586); #61668=LINE('',#454201,#99587); #61669=LINE('',#454209,#99588); #61670=LINE('',#454215,#99589); #61671=LINE('',#454223,#99590); #61672=LINE('',#454229,#99591); #61673=LINE('',#454237,#99592); #61674=LINE('',#454243,#99593); #61675=LINE('',#454251,#99594); #61676=LINE('',#454257,#99595); #61677=LINE('',#454265,#99596); #61678=LINE('',#454271,#99597); #61679=LINE('',#454279,#99598); #61680=LINE('',#454285,#99599); #61681=LINE('',#454293,#99600); #61682=LINE('',#454299,#99601); #61683=LINE('',#454307,#99602); #61684=LINE('',#454313,#99603); #61685=LINE('',#454321,#99604); #61686=LINE('',#454327,#99605); #61687=LINE('',#454335,#99606); #61688=LINE('',#454341,#99607); #61689=LINE('',#454349,#99608); #61690=LINE('',#454355,#99609); #61691=LINE('',#454363,#99610); #61692=LINE('',#454369,#99611); #61693=LINE('',#454377,#99612); #61694=LINE('',#454383,#99613); #61695=LINE('',#454391,#99614); #61696=LINE('',#454397,#99615); #61697=LINE('',#454405,#99616); #61698=LINE('',#454411,#99617); #61699=LINE('',#454419,#99618); #61700=LINE('',#454425,#99619); #61701=LINE('',#454433,#99620); #61702=LINE('',#454439,#99621); #61703=LINE('',#454447,#99622); #61704=LINE('',#454453,#99623); #61705=LINE('',#454461,#99624); #61706=LINE('',#454467,#99625); #61707=LINE('',#454475,#99626); #61708=LINE('',#454481,#99627); #61709=LINE('',#454489,#99628); #61710=LINE('',#454495,#99629); #61711=LINE('',#454503,#99630); #61712=LINE('',#454509,#99631); #61713=LINE('',#454517,#99632); #61714=LINE('',#454523,#99633); #61715=LINE('',#454531,#99634); #61716=LINE('',#454537,#99635); #61717=LINE('',#454545,#99636); #61718=LINE('',#454551,#99637); #61719=LINE('',#454559,#99638); #61720=LINE('',#454565,#99639); #61721=LINE('',#454573,#99640); #61722=LINE('',#454579,#99641); #61723=LINE('',#454587,#99642); #61724=LINE('',#454593,#99643); #61725=LINE('',#454601,#99644); #61726=LINE('',#454607,#99645); #61727=LINE('',#454615,#99646); #61728=LINE('',#454621,#99647); #61729=LINE('',#454629,#99648); #61730=LINE('',#454635,#99649); #61731=LINE('',#454643,#99650); #61732=LINE('',#454649,#99651); #61733=LINE('',#454657,#99652); #61734=LINE('',#454663,#99653); #61735=LINE('',#454671,#99654); #61736=LINE('',#454677,#99655); #61737=LINE('',#454685,#99656); #61738=LINE('',#454691,#99657); #61739=LINE('',#454699,#99658); #61740=LINE('',#454705,#99659); #61741=LINE('',#454713,#99660); #61742=LINE('',#454719,#99661); #61743=LINE('',#454727,#99662); #61744=LINE('',#454733,#99663); #61745=LINE('',#454741,#99664); #61746=LINE('',#454747,#99665); #61747=LINE('',#454755,#99666); #61748=LINE('',#454761,#99667); #61749=LINE('',#454769,#99668); #61750=LINE('',#454775,#99669); #61751=LINE('',#454783,#99670); #61752=LINE('',#454789,#99671); #61753=LINE('',#454797,#99672); #61754=LINE('',#454803,#99673); #61755=LINE('',#454811,#99674); #61756=LINE('',#454817,#99675); #61757=LINE('',#454825,#99676); #61758=LINE('',#454831,#99677); #61759=LINE('',#454839,#99678); #61760=LINE('',#454845,#99679); #61761=LINE('',#454853,#99680); #61762=LINE('',#454859,#99681); #61763=LINE('',#454867,#99682); #61764=LINE('',#454873,#99683); #61765=LINE('',#454881,#99684); #61766=LINE('',#454887,#99685); #61767=LINE('',#454895,#99686); #61768=LINE('',#454901,#99687); #61769=LINE('',#454909,#99688); #61770=LINE('',#454915,#99689); #61771=LINE('',#454923,#99690); #61772=LINE('',#454929,#99691); #61773=LINE('',#454937,#99692); #61774=LINE('',#454943,#99693); #61775=LINE('',#454951,#99694); #61776=LINE('',#454957,#99695); #61777=LINE('',#454965,#99696); #61778=LINE('',#454971,#99697); #61779=LINE('',#454979,#99698); #61780=LINE('',#454985,#99699); #61781=LINE('',#454993,#99700); #61782=LINE('',#454999,#99701); #61783=LINE('',#455007,#99702); #61784=LINE('',#455013,#99703); #61785=LINE('',#455021,#99704); #61786=LINE('',#455027,#99705); #61787=LINE('',#455035,#99706); #61788=LINE('',#455041,#99707); #61789=LINE('',#455049,#99708); #61790=LINE('',#455055,#99709); #61791=LINE('',#455063,#99710); #61792=LINE('',#455069,#99711); #61793=LINE('',#455077,#99712); #61794=LINE('',#455083,#99713); #61795=LINE('',#455091,#99714); #61796=LINE('',#455097,#99715); #61797=LINE('',#455105,#99716); #61798=LINE('',#455111,#99717); #61799=LINE('',#455119,#99718); #61800=LINE('',#455125,#99719); #61801=LINE('',#455133,#99720); #61802=LINE('',#455139,#99721); #61803=LINE('',#455147,#99722); #61804=LINE('',#455153,#99723); #61805=LINE('',#455161,#99724); #61806=LINE('',#455167,#99725); #61807=LINE('',#455175,#99726); #61808=LINE('',#455181,#99727); #61809=LINE('',#455189,#99728); #61810=LINE('',#455195,#99729); #61811=LINE('',#455203,#99730); #61812=LINE('',#455209,#99731); #61813=LINE('',#455217,#99732); #61814=LINE('',#455223,#99733); #61815=LINE('',#455231,#99734); #61816=LINE('',#455237,#99735); #61817=LINE('',#455245,#99736); #61818=LINE('',#455251,#99737); #61819=LINE('',#455259,#99738); #61820=LINE('',#455265,#99739); #61821=LINE('',#455273,#99740); #61822=LINE('',#455279,#99741); #61823=LINE('',#455287,#99742); #61824=LINE('',#455293,#99743); #61825=LINE('',#455301,#99744); #61826=LINE('',#455307,#99745); #61827=LINE('',#455315,#99746); #61828=LINE('',#455321,#99747); #61829=LINE('',#455328,#99748); #61830=LINE('',#455330,#99749); #61831=LINE('',#455332,#99750); #61832=LINE('',#455333,#99751); #61833=LINE('',#455339,#99752); #61834=LINE('',#455342,#99753); #61835=LINE('',#455344,#99754); #61836=LINE('',#455345,#99755); #61837=LINE('',#455352,#99756); #61838=LINE('',#455354,#99757); #61839=LINE('',#455356,#99758); #61840=LINE('',#455357,#99759); #61841=LINE('',#455363,#99760); #61842=LINE('',#455366,#99761); #61843=LINE('',#455368,#99762); #61844=LINE('',#455369,#99763); #61845=LINE('',#455379,#99764); #61846=LINE('',#455385,#99765); #61847=LINE('',#455392,#99766); #61848=LINE('',#455394,#99767); #61849=LINE('',#455396,#99768); #61850=LINE('',#455397,#99769); #61851=LINE('',#455403,#99770); #61852=LINE('',#455406,#99771); #61853=LINE('',#455408,#99772); #61854=LINE('',#455409,#99773); #61855=LINE('',#455416,#99774); #61856=LINE('',#455418,#99775); #61857=LINE('',#455420,#99776); #61858=LINE('',#455421,#99777); #61859=LINE('',#455427,#99778); #61860=LINE('',#455430,#99779); #61861=LINE('',#455432,#99780); #61862=LINE('',#455433,#99781); #61863=LINE('',#455443,#99782); #61864=LINE('',#455449,#99783); #61865=LINE('',#455456,#99784); #61866=LINE('',#455458,#99785); #61867=LINE('',#455460,#99786); #61868=LINE('',#455461,#99787); #61869=LINE('',#455467,#99788); #61870=LINE('',#455470,#99789); #61871=LINE('',#455472,#99790); #61872=LINE('',#455473,#99791); #61873=LINE('',#455480,#99792); #61874=LINE('',#455482,#99793); #61875=LINE('',#455484,#99794); #61876=LINE('',#455485,#99795); #61877=LINE('',#455491,#99796); #61878=LINE('',#455494,#99797); #61879=LINE('',#455496,#99798); #61880=LINE('',#455497,#99799); #61881=LINE('',#455507,#99800); #61882=LINE('',#455513,#99801); #61883=LINE('',#455520,#99802); #61884=LINE('',#455522,#99803); #61885=LINE('',#455524,#99804); #61886=LINE('',#455525,#99805); #61887=LINE('',#455531,#99806); #61888=LINE('',#455534,#99807); #61889=LINE('',#455536,#99808); #61890=LINE('',#455537,#99809); #61891=LINE('',#455544,#99810); #61892=LINE('',#455546,#99811); #61893=LINE('',#455548,#99812); #61894=LINE('',#455549,#99813); #61895=LINE('',#455555,#99814); #61896=LINE('',#455558,#99815); #61897=LINE('',#455560,#99816); #61898=LINE('',#455561,#99817); #61899=LINE('',#455571,#99818); #61900=LINE('',#455577,#99819); #61901=LINE('',#455585,#99820); #61902=LINE('',#455591,#99821); #61903=LINE('',#455599,#99822); #61904=LINE('',#455605,#99823); #61905=LINE('',#455613,#99824); #61906=LINE('',#455619,#99825); #61907=LINE('',#455627,#99826); #61908=LINE('',#455633,#99827); #61909=LINE('',#455641,#99828); #61910=LINE('',#455647,#99829); #61911=LINE('',#455655,#99830); #61912=LINE('',#455661,#99831); #61913=LINE('',#455669,#99832); #61914=LINE('',#455675,#99833); #61915=LINE('',#455683,#99834); #61916=LINE('',#455689,#99835); #61917=LINE('',#455697,#99836); #61918=LINE('',#455703,#99837); #61919=LINE('',#455711,#99838); #61920=LINE('',#455717,#99839); #61921=LINE('',#455724,#99840); #61922=LINE('',#455726,#99841); #61923=LINE('',#455728,#99842); #61924=LINE('',#455729,#99843); #61925=LINE('',#455735,#99844); #61926=LINE('',#455738,#99845); #61927=LINE('',#455740,#99846); #61928=LINE('',#455741,#99847); #61929=LINE('',#455748,#99848); #61930=LINE('',#455750,#99849); #61931=LINE('',#455752,#99850); #61932=LINE('',#455753,#99851); #61933=LINE('',#455759,#99852); #61934=LINE('',#455762,#99853); #61935=LINE('',#455764,#99854); #61936=LINE('',#455765,#99855); #61937=LINE('',#455775,#99856); #61938=LINE('',#455781,#99857); #61939=LINE('',#455788,#99858); #61940=LINE('',#455790,#99859); #61941=LINE('',#455792,#99860); #61942=LINE('',#455793,#99861); #61943=LINE('',#455799,#99862); #61944=LINE('',#455802,#99863); #61945=LINE('',#455804,#99864); #61946=LINE('',#455805,#99865); #61947=LINE('',#455812,#99866); #61948=LINE('',#455814,#99867); #61949=LINE('',#455816,#99868); #61950=LINE('',#455817,#99869); #61951=LINE('',#455823,#99870); #61952=LINE('',#455826,#99871); #61953=LINE('',#455828,#99872); #61954=LINE('',#455829,#99873); #61955=LINE('',#455839,#99874); #61956=LINE('',#455845,#99875); #61957=LINE('',#455853,#99876); #61958=LINE('',#455859,#99877); #61959=LINE('',#455867,#99878); #61960=LINE('',#455873,#99879); #61961=LINE('',#455881,#99880); #61962=LINE('',#455887,#99881); #61963=LINE('',#455895,#99882); #61964=LINE('',#455901,#99883); #61965=LINE('',#455909,#99884); #61966=LINE('',#455915,#99885); #61967=LINE('',#455923,#99886); #61968=LINE('',#455929,#99887); #61969=LINE('',#455937,#99888); #61970=LINE('',#455943,#99889); #61971=LINE('',#455951,#99890); #61972=LINE('',#455957,#99891); #61973=LINE('',#455965,#99892); #61974=LINE('',#455971,#99893); #61975=LINE('',#455979,#99894); #61976=LINE('',#455985,#99895); #61977=LINE('',#455993,#99896); #61978=LINE('',#455999,#99897); #61979=LINE('',#456007,#99898); #61980=LINE('',#456013,#99899); #61981=LINE('',#456021,#99900); #61982=LINE('',#456027,#99901); #61983=LINE('',#456035,#99902); #61984=LINE('',#456041,#99903); #61985=LINE('',#456049,#99904); #61986=LINE('',#456055,#99905); #61987=LINE('',#456063,#99906); #61988=LINE('',#456069,#99907); #61989=LINE('',#456077,#99908); #61990=LINE('',#456083,#99909); #61991=LINE('',#456091,#99910); #61992=LINE('',#456097,#99911); #61993=LINE('',#456105,#99912); #61994=LINE('',#456111,#99913); #61995=LINE('',#456119,#99914); #61996=LINE('',#456125,#99915); #61997=LINE('',#456133,#99916); #61998=LINE('',#456139,#99917); #61999=LINE('',#456147,#99918); #62000=LINE('',#456153,#99919); #62001=LINE('',#456161,#99920); #62002=LINE('',#456167,#99921); #62003=LINE('',#456175,#99922); #62004=LINE('',#456181,#99923); #62005=LINE('',#456189,#99924); #62006=LINE('',#456195,#99925); #62007=LINE('',#456203,#99926); #62008=LINE('',#456209,#99927); #62009=LINE('',#456217,#99928); #62010=LINE('',#456223,#99929); #62011=LINE('',#456231,#99930); #62012=LINE('',#456237,#99931); #62013=LINE('',#456245,#99932); #62014=LINE('',#456251,#99933); #62015=LINE('',#456259,#99934); #62016=LINE('',#456265,#99935); #62017=LINE('',#456273,#99936); #62018=LINE('',#456279,#99937); #62019=LINE('',#456287,#99938); #62020=LINE('',#456293,#99939); #62021=LINE('',#456301,#99940); #62022=LINE('',#456307,#99941); #62023=LINE('',#456315,#99942); #62024=LINE('',#456321,#99943); #62025=LINE('',#456329,#99944); #62026=LINE('',#456335,#99945); #62027=LINE('',#456343,#99946); #62028=LINE('',#456349,#99947); #62029=LINE('',#456358,#99948); #62030=LINE('',#456363,#99949); #62031=LINE('',#456365,#99950); #62032=LINE('',#456367,#99951); #62033=LINE('',#456368,#99952); #62034=LINE('',#456374,#99953); #62035=LINE('',#456383,#99954); #62036=LINE('',#456385,#99955); #62037=LINE('',#456387,#99956); #62038=LINE('',#456388,#99957); #62039=LINE('',#456391,#99958); #62040=LINE('',#456393,#99959); #62041=LINE('',#456394,#99960); #62042=LINE('',#456397,#99961); #62043=LINE('',#456399,#99962); #62044=LINE('',#456400,#99963); #62045=LINE('',#456402,#99964); #62046=LINE('',#456403,#99965); #62047=LINE('',#456409,#99966); #62048=LINE('',#456411,#99967); #62049=LINE('',#456413,#99968); #62050=LINE('',#456414,#99969); #62051=LINE('',#456417,#99970); #62052=LINE('',#456419,#99971); #62053=LINE('',#456420,#99972); #62054=LINE('',#456423,#99973); #62055=LINE('',#456425,#99974); #62056=LINE('',#456426,#99975); #62057=LINE('',#456428,#99976); #62058=LINE('',#456429,#99977); #62059=LINE('',#456435,#99978); #62060=LINE('',#456437,#99979); #62061=LINE('',#456439,#99980); #62062=LINE('',#456440,#99981); #62063=LINE('',#456443,#99982); #62064=LINE('',#456445,#99983); #62065=LINE('',#456446,#99984); #62066=LINE('',#456449,#99985); #62067=LINE('',#456451,#99986); #62068=LINE('',#456452,#99987); #62069=LINE('',#456454,#99988); #62070=LINE('',#456455,#99989); #62071=LINE('',#456461,#99990); #62072=LINE('',#456463,#99991); #62073=LINE('',#456465,#99992); #62074=LINE('',#456466,#99993); #62075=LINE('',#456469,#99994); #62076=LINE('',#456471,#99995); #62077=LINE('',#456472,#99996); #62078=LINE('',#456475,#99997); #62079=LINE('',#456477,#99998); #62080=LINE('',#456478,#99999); #62081=LINE('',#456480,#100000); #62082=LINE('',#456481,#100001); #62083=LINE('',#456487,#100002); #62084=LINE('',#456489,#100003); #62085=LINE('',#456491,#100004); #62086=LINE('',#456492,#100005); #62087=LINE('',#456495,#100006); #62088=LINE('',#456497,#100007); #62089=LINE('',#456498,#100008); #62090=LINE('',#456501,#100009); #62091=LINE('',#456503,#100010); #62092=LINE('',#456504,#100011); #62093=LINE('',#456506,#100012); #62094=LINE('',#456507,#100013); #62095=LINE('',#456513,#100014); #62096=LINE('',#456515,#100015); #62097=LINE('',#456517,#100016); #62098=LINE('',#456518,#100017); #62099=LINE('',#456521,#100018); #62100=LINE('',#456523,#100019); #62101=LINE('',#456524,#100020); #62102=LINE('',#456527,#100021); #62103=LINE('',#456529,#100022); #62104=LINE('',#456530,#100023); #62105=LINE('',#456532,#100024); #62106=LINE('',#456533,#100025); #62107=LINE('',#456539,#100026); #62108=LINE('',#456541,#100027); #62109=LINE('',#456543,#100028); #62110=LINE('',#456544,#100029); #62111=LINE('',#456547,#100030); #62112=LINE('',#456549,#100031); #62113=LINE('',#456550,#100032); #62114=LINE('',#456553,#100033); #62115=LINE('',#456555,#100034); #62116=LINE('',#456556,#100035); #62117=LINE('',#456558,#100036); #62118=LINE('',#456559,#100037); #62119=LINE('',#456565,#100038); #62120=LINE('',#456567,#100039); #62121=LINE('',#456569,#100040); #62122=LINE('',#456570,#100041); #62123=LINE('',#456573,#100042); #62124=LINE('',#456575,#100043); #62125=LINE('',#456576,#100044); #62126=LINE('',#456579,#100045); #62127=LINE('',#456581,#100046); #62128=LINE('',#456582,#100047); #62129=LINE('',#456584,#100048); #62130=LINE('',#456585,#100049); #62131=LINE('',#456591,#100050); #62132=LINE('',#456593,#100051); #62133=LINE('',#456595,#100052); #62134=LINE('',#456596,#100053); #62135=LINE('',#456599,#100054); #62136=LINE('',#456601,#100055); #62137=LINE('',#456602,#100056); #62138=LINE('',#456605,#100057); #62139=LINE('',#456607,#100058); #62140=LINE('',#456608,#100059); #62141=LINE('',#456610,#100060); #62142=LINE('',#456611,#100061); #62143=LINE('',#456617,#100062); #62144=LINE('',#456619,#100063); #62145=LINE('',#456621,#100064); #62146=LINE('',#456622,#100065); #62147=LINE('',#456625,#100066); #62148=LINE('',#456627,#100067); #62149=LINE('',#456628,#100068); #62150=LINE('',#456631,#100069); #62151=LINE('',#456633,#100070); #62152=LINE('',#456634,#100071); #62153=LINE('',#456636,#100072); #62154=LINE('',#456637,#100073); #62155=LINE('',#456643,#100074); #62156=LINE('',#456645,#100075); #62157=LINE('',#456647,#100076); #62158=LINE('',#456648,#100077); #62159=LINE('',#456651,#100078); #62160=LINE('',#456653,#100079); #62161=LINE('',#456654,#100080); #62162=LINE('',#456657,#100081); #62163=LINE('',#456659,#100082); #62164=LINE('',#456660,#100083); #62165=LINE('',#456662,#100084); #62166=LINE('',#456663,#100085); #62167=LINE('',#456670,#100086); #62168=LINE('',#456675,#100087); #62169=LINE('',#456677,#100088); #62170=LINE('',#456679,#100089); #62171=LINE('',#456680,#100090); #62172=LINE('',#456686,#100091); #62173=LINE('',#456689,#100092); #62174=LINE('',#456691,#100093); #62175=LINE('',#456692,#100094); #62176=LINE('',#456698,#100095); #62177=LINE('',#456701,#100096); #62178=LINE('',#456703,#100097); #62179=LINE('',#456704,#100098); #62180=LINE('',#456707,#100099); #62181=LINE('',#456709,#100100); #62182=LINE('',#456710,#100101); #62183=LINE('',#456713,#100102); #62184=LINE('',#456715,#100103); #62185=LINE('',#456716,#100104); #62186=LINE('',#456719,#100105); #62187=LINE('',#456721,#100106); #62188=LINE('',#456722,#100107); #62189=LINE('',#456725,#100108); #62190=LINE('',#456727,#100109); #62191=LINE('',#456728,#100110); #62192=LINE('',#456731,#100111); #62193=LINE('',#456733,#100112); #62194=LINE('',#456734,#100113); #62195=LINE('',#456737,#100114); #62196=LINE('',#456739,#100115); #62197=LINE('',#456740,#100116); #62198=LINE('',#456746,#100117); #62199=LINE('',#456756,#100118); #62200=LINE('',#456761,#100119); #62201=LINE('',#456763,#100120); #62202=LINE('',#456765,#100121); #62203=LINE('',#456766,#100122); #62204=LINE('',#456772,#100123); #62205=LINE('',#456775,#100124); #62206=LINE('',#456777,#100125); #62207=LINE('',#456778,#100126); #62208=LINE('',#456781,#100127); #62209=LINE('',#456783,#100128); #62210=LINE('',#456784,#100129); #62211=LINE('',#456787,#100130); #62212=LINE('',#456789,#100131); #62213=LINE('',#456790,#100132); #62214=LINE('',#456793,#100133); #62215=LINE('',#456795,#100134); #62216=LINE('',#456796,#100135); #62217=LINE('',#456799,#100136); #62218=LINE('',#456801,#100137); #62219=LINE('',#456802,#100138); #62220=LINE('',#456805,#100139); #62221=LINE('',#456807,#100140); #62222=LINE('',#456808,#100141); #62223=LINE('',#456811,#100142); #62224=LINE('',#456813,#100143); #62225=LINE('',#456814,#100144); #62226=LINE('',#456823,#100145); #62227=LINE('',#456825,#100146); #62228=LINE('',#456827,#100147); #62229=LINE('',#456828,#100148); #62230=LINE('',#456831,#100149); #62231=LINE('',#456833,#100150); #62232=LINE('',#456834,#100151); #62233=LINE('',#456837,#100152); #62234=LINE('',#456839,#100153); #62235=LINE('',#456840,#100154); #62236=LINE('',#456843,#100155); #62237=LINE('',#456845,#100156); #62238=LINE('',#456846,#100157); #62239=LINE('',#456849,#100158); #62240=LINE('',#456851,#100159); #62241=LINE('',#456852,#100160); #62242=LINE('',#456855,#100161); #62243=LINE('',#456857,#100162); #62244=LINE('',#456858,#100163); #62245=LINE('',#456861,#100164); #62246=LINE('',#456863,#100165); #62247=LINE('',#456864,#100166); #62248=LINE('',#456867,#100167); #62249=LINE('',#456869,#100168); #62250=LINE('',#456870,#100169); #62251=LINE('',#456876,#100170); #62252=LINE('',#456879,#100171); #62253=LINE('',#456881,#100172); #62254=LINE('',#456882,#100173); #62255=LINE('',#456888,#100174); #62256=LINE('',#456891,#100175); #62257=LINE('',#456893,#100176); #62258=LINE('',#456894,#100177); #62259=LINE('',#456897,#100178); #62260=LINE('',#456899,#100179); #62261=LINE('',#456900,#100180); #62262=LINE('',#456903,#100181); #62263=LINE('',#456905,#100182); #62264=LINE('',#456906,#100183); #62265=LINE('',#456909,#100184); #62266=LINE('',#456911,#100185); #62267=LINE('',#456912,#100186); #62268=LINE('',#456915,#100187); #62269=LINE('',#456917,#100188); #62270=LINE('',#456918,#100189); #62271=LINE('',#456921,#100190); #62272=LINE('',#456923,#100191); #62273=LINE('',#456924,#100192); #62274=LINE('',#456927,#100193); #62275=LINE('',#456929,#100194); #62276=LINE('',#456930,#100195); #62277=LINE('',#456936,#100196); #62278=LINE('',#456938,#100197); #62279=LINE('',#456939,#100198); #62280=LINE('',#456945,#100199); #62281=LINE('',#456947,#100200); #62282=LINE('',#456949,#100201); #62283=LINE('',#456950,#100202); #62284=LINE('',#456953,#100203); #62285=LINE('',#456955,#100204); #62286=LINE('',#456956,#100205); #62287=LINE('',#456959,#100206); #62288=LINE('',#456961,#100207); #62289=LINE('',#456962,#100208); #62290=LINE('',#456965,#100209); #62291=LINE('',#456967,#100210); #62292=LINE('',#456968,#100211); #62293=LINE('',#456971,#100212); #62294=LINE('',#456973,#100213); #62295=LINE('',#456974,#100214); #62296=LINE('',#456980,#100215); #62297=LINE('',#456983,#100216); #62298=LINE('',#456985,#100217); #62299=LINE('',#456986,#100218); #62300=LINE('',#456989,#100219); #62301=LINE('',#456991,#100220); #62302=LINE('',#456992,#100221); #62303=LINE('',#456995,#100222); #62304=LINE('',#456997,#100223); #62305=LINE('',#456998,#100224); #62306=LINE('',#457001,#100225); #62307=LINE('',#457003,#100226); #62308=LINE('',#457004,#100227); #62309=LINE('',#457007,#100228); #62310=LINE('',#457009,#100229); #62311=LINE('',#457010,#100230); #62312=LINE('',#457013,#100231); #62313=LINE('',#457015,#100232); #62314=LINE('',#457016,#100233); #62315=LINE('',#457019,#100234); #62316=LINE('',#457021,#100235); #62317=LINE('',#457022,#100236); #62318=LINE('',#457028,#100237); #62319=LINE('',#457031,#100238); #62320=LINE('',#457033,#100239); #62321=LINE('',#457034,#100240); #62322=LINE('',#457037,#100241); #62323=LINE('',#457039,#100242); #62324=LINE('',#457040,#100243); #62325=LINE('',#457046,#100244); #62326=LINE('',#457049,#100245); #62327=LINE('',#457051,#100246); #62328=LINE('',#457052,#100247); #62329=LINE('',#457055,#100248); #62330=LINE('',#457057,#100249); #62331=LINE('',#457058,#100250); #62332=LINE('',#457061,#100251); #62333=LINE('',#457063,#100252); #62334=LINE('',#457064,#100253); #62335=LINE('',#457067,#100254); #62336=LINE('',#457069,#100255); #62337=LINE('',#457070,#100256); #62338=LINE('',#457073,#100257); #62339=LINE('',#457075,#100258); #62340=LINE('',#457076,#100259); #62341=LINE('',#457079,#100260); #62342=LINE('',#457081,#100261); #62343=LINE('',#457082,#100262); #62344=LINE('',#457085,#100263); #62345=LINE('',#457087,#100264); #62346=LINE('',#457088,#100265); #62347=LINE('',#457094,#100266); #62348=LINE('',#457097,#100267); #62349=LINE('',#457099,#100268); #62350=LINE('',#457100,#100269); #62351=LINE('',#457103,#100270); #62352=LINE('',#457105,#100271); #62353=LINE('',#457106,#100272); #62354=LINE('',#457109,#100273); #62355=LINE('',#457111,#100274); #62356=LINE('',#457112,#100275); #62357=LINE('',#457115,#100276); #62358=LINE('',#457117,#100277); #62359=LINE('',#457118,#100278); #62360=LINE('',#457121,#100279); #62361=LINE('',#457123,#100280); #62362=LINE('',#457124,#100281); #62363=LINE('',#457130,#100282); #62364=LINE('',#457133,#100283); #62365=LINE('',#457135,#100284); #62366=LINE('',#457136,#100285); #62367=LINE('',#457142,#100286); #62368=LINE('',#457145,#100287); #62369=LINE('',#457147,#100288); #62370=LINE('',#457148,#100289); #62371=LINE('',#457151,#100290); #62372=LINE('',#457153,#100291); #62373=LINE('',#457154,#100292); #62374=LINE('',#457156,#100293); #62375=LINE('',#457157,#100294); #62376=LINE('',#457163,#100295); #62377=LINE('',#457165,#100296); #62378=LINE('',#457167,#100297); #62379=LINE('',#457168,#100298); #62380=LINE('',#457171,#100299); #62381=LINE('',#457173,#100300); #62382=LINE('',#457174,#100301); #62383=LINE('',#457177,#100302); #62384=LINE('',#457179,#100303); #62385=LINE('',#457180,#100304); #62386=LINE('',#457183,#100305); #62387=LINE('',#457185,#100306); #62388=LINE('',#457186,#100307); #62389=LINE('',#457189,#100308); #62390=LINE('',#457191,#100309); #62391=LINE('',#457192,#100310); #62392=LINE('',#457195,#100311); #62393=LINE('',#457197,#100312); #62394=LINE('',#457198,#100313); #62395=LINE('',#457204,#100314); #62396=LINE('',#457207,#100315); #62397=LINE('',#457209,#100316); #62398=LINE('',#457210,#100317); #62399=LINE('',#457216,#100318); #62400=LINE('',#457219,#100319); #62401=LINE('',#457221,#100320); #62402=LINE('',#457222,#100321); #62403=LINE('',#457225,#100322); #62404=LINE('',#457227,#100323); #62405=LINE('',#457228,#100324); #62406=LINE('',#457231,#100325); #62407=LINE('',#457233,#100326); #62408=LINE('',#457234,#100327); #62409=LINE('',#457237,#100328); #62410=LINE('',#457239,#100329); #62411=LINE('',#457240,#100330); #62412=LINE('',#457243,#100331); #62413=LINE('',#457245,#100332); #62414=LINE('',#457246,#100333); #62415=LINE('',#457252,#100334); #62416=LINE('',#457255,#100335); #62417=LINE('',#457257,#100336); #62418=LINE('',#457258,#100337); #62419=LINE('',#457261,#100338); #62420=LINE('',#457263,#100339); #62421=LINE('',#457264,#100340); #62422=LINE('',#457267,#100341); #62423=LINE('',#457269,#100342); #62424=LINE('',#457270,#100343); #62425=LINE('',#457273,#100344); #62426=LINE('',#457275,#100345); #62427=LINE('',#457276,#100346); #62428=LINE('',#457279,#100347); #62429=LINE('',#457281,#100348); #62430=LINE('',#457282,#100349); #62431=LINE('',#457285,#100350); #62432=LINE('',#457287,#100351); #62433=LINE('',#457288,#100352); #62434=LINE('',#457291,#100353); #62435=LINE('',#457293,#100354); #62436=LINE('',#457294,#100355); #62437=LINE('',#457300,#100356); #62438=LINE('',#457303,#100357); #62439=LINE('',#457305,#100358); #62440=LINE('',#457306,#100359); #62441=LINE('',#457309,#100360); #62442=LINE('',#457311,#100361); #62443=LINE('',#457312,#100362); #62444=LINE('',#457318,#100363); #62445=LINE('',#457321,#100364); #62446=LINE('',#457323,#100365); #62447=LINE('',#457324,#100366); #62448=LINE('',#457327,#100367); #62449=LINE('',#457329,#100368); #62450=LINE('',#457330,#100369); #62451=LINE('',#457333,#100370); #62452=LINE('',#457335,#100371); #62453=LINE('',#457336,#100372); #62454=LINE('',#457339,#100373); #62455=LINE('',#457341,#100374); #62456=LINE('',#457342,#100375); #62457=LINE('',#457345,#100376); #62458=LINE('',#457347,#100377); #62459=LINE('',#457348,#100378); #62460=LINE('',#457351,#100379); #62461=LINE('',#457353,#100380); #62462=LINE('',#457354,#100381); #62463=LINE('',#457357,#100382); #62464=LINE('',#457359,#100383); #62465=LINE('',#457360,#100384); #62466=LINE('',#457366,#100385); #62467=LINE('',#457369,#100386); #62468=LINE('',#457371,#100387); #62469=LINE('',#457372,#100388); #62470=LINE('',#457374,#100389); #62471=LINE('',#457375,#100390); #62472=LINE('',#457382,#100391); #62473=LINE('',#457387,#100392); #62474=LINE('',#457389,#100393); #62475=LINE('',#457391,#100394); #62476=LINE('',#457392,#100395); #62477=LINE('',#457398,#100396); #62478=LINE('',#457401,#100397); #62479=LINE('',#457403,#100398); #62480=LINE('',#457404,#100399); #62481=LINE('',#457407,#100400); #62482=LINE('',#457409,#100401); #62483=LINE('',#457410,#100402); #62484=LINE('',#457416,#100403); #62485=LINE('',#457419,#100404); #62486=LINE('',#457421,#100405); #62487=LINE('',#457422,#100406); #62488=LINE('',#457425,#100407); #62489=LINE('',#457427,#100408); #62490=LINE('',#457428,#100409); #62491=LINE('',#457434,#100410); #62492=LINE('',#457437,#100411); #62493=LINE('',#457439,#100412); #62494=LINE('',#457440,#100413); #62495=LINE('',#457443,#100414); #62496=LINE('',#457445,#100415); #62497=LINE('',#457446,#100416); #62498=LINE('',#457449,#100417); #62499=LINE('',#457451,#100418); #62500=LINE('',#457452,#100419); #62501=LINE('',#457455,#100420); #62502=LINE('',#457457,#100421); #62503=LINE('',#457458,#100422); #62504=LINE('',#457461,#100423); #62505=LINE('',#457463,#100424); #62506=LINE('',#457464,#100425); #62507=LINE('',#457467,#100426); #62508=LINE('',#457469,#100427); #62509=LINE('',#457470,#100428); #62510=LINE('',#457473,#100429); #62511=LINE('',#457475,#100430); #62512=LINE('',#457476,#100431); #62513=LINE('',#457479,#100432); #62514=LINE('',#457481,#100433); #62515=LINE('',#457482,#100434); #62516=LINE('',#457488,#100435); #62517=LINE('',#457491,#100436); #62518=LINE('',#457493,#100437); #62519=LINE('',#457494,#100438); #62520=LINE('',#457497,#100439); #62521=LINE('',#457499,#100440); #62522=LINE('',#457500,#100441); #62523=LINE('',#457506,#100442); #62524=LINE('',#457509,#100443); #62525=LINE('',#457511,#100444); #62526=LINE('',#457512,#100445); #62527=LINE('',#457522,#100446); #62528=LINE('',#457527,#100447); #62529=LINE('',#457529,#100448); #62530=LINE('',#457531,#100449); #62531=LINE('',#457532,#100450); #62532=LINE('',#457538,#100451); #62533=LINE('',#457541,#100452); #62534=LINE('',#457543,#100453); #62535=LINE('',#457544,#100454); #62536=LINE('',#457547,#100455); #62537=LINE('',#457549,#100456); #62538=LINE('',#457550,#100457); #62539=LINE('',#457556,#100458); #62540=LINE('',#457559,#100459); #62541=LINE('',#457561,#100460); #62542=LINE('',#457562,#100461); #62543=LINE('',#457565,#100462); #62544=LINE('',#457567,#100463); #62545=LINE('',#457568,#100464); #62546=LINE('',#457571,#100465); #62547=LINE('',#457573,#100466); #62548=LINE('',#457574,#100467); #62549=LINE('',#457577,#100468); #62550=LINE('',#457579,#100469); #62551=LINE('',#457580,#100470); #62552=LINE('',#457583,#100471); #62553=LINE('',#457585,#100472); #62554=LINE('',#457586,#100473); #62555=LINE('',#457589,#100474); #62556=LINE('',#457591,#100475); #62557=LINE('',#457592,#100476); #62558=LINE('',#457595,#100477); #62559=LINE('',#457597,#100478); #62560=LINE('',#457598,#100479); #62561=LINE('',#457601,#100480); #62562=LINE('',#457603,#100481); #62563=LINE('',#457604,#100482); #62564=LINE('',#457610,#100483); #62565=LINE('',#457613,#100484); #62566=LINE('',#457615,#100485); #62567=LINE('',#457616,#100486); #62568=LINE('',#457626,#100487); #62569=LINE('',#457631,#100488); #62570=LINE('',#457633,#100489); #62571=LINE('',#457635,#100490); #62572=LINE('',#457636,#100491); #62573=LINE('',#457642,#100492); #62574=LINE('',#457645,#100493); #62575=LINE('',#457647,#100494); #62576=LINE('',#457648,#100495); #62577=LINE('',#457651,#100496); #62578=LINE('',#457653,#100497); #62579=LINE('',#457654,#100498); #62580=LINE('',#457657,#100499); #62581=LINE('',#457659,#100500); #62582=LINE('',#457660,#100501); #62583=LINE('',#457663,#100502); #62584=LINE('',#457665,#100503); #62585=LINE('',#457666,#100504); #62586=LINE('',#457669,#100505); #62587=LINE('',#457671,#100506); #62588=LINE('',#457672,#100507); #62589=LINE('',#457675,#100508); #62590=LINE('',#457677,#100509); #62591=LINE('',#457678,#100510); #62592=LINE('',#457681,#100511); #62593=LINE('',#457683,#100512); #62594=LINE('',#457684,#100513); #62595=LINE('',#457690,#100514); #62596=LINE('',#457693,#100515); #62597=LINE('',#457695,#100516); #62598=LINE('',#457696,#100517); #62599=LINE('',#457706,#100518); #62600=LINE('',#457711,#100519); #62601=LINE('',#457713,#100520); #62602=LINE('',#457715,#100521); #62603=LINE('',#457716,#100522); #62604=LINE('',#457722,#100523); #62605=LINE('',#457725,#100524); #62606=LINE('',#457727,#100525); #62607=LINE('',#457728,#100526); #62608=LINE('',#457734,#100527); #62609=LINE('',#457737,#100528); #62610=LINE('',#457739,#100529); #62611=LINE('',#457740,#100530); #62612=LINE('',#457743,#100531); #62613=LINE('',#457745,#100532); #62614=LINE('',#457746,#100533); #62615=LINE('',#457749,#100534); #62616=LINE('',#457751,#100535); #62617=LINE('',#457752,#100536); #62618=LINE('',#457755,#100537); #62619=LINE('',#457757,#100538); #62620=LINE('',#457758,#100539); #62621=LINE('',#457761,#100540); #62622=LINE('',#457763,#100541); #62623=LINE('',#457764,#100542); #62624=LINE('',#457767,#100543); #62625=LINE('',#457769,#100544); #62626=LINE('',#457770,#100545); #62627=LINE('',#457773,#100546); #62628=LINE('',#457775,#100547); #62629=LINE('',#457776,#100548); #62630=LINE('',#457779,#100549); #62631=LINE('',#457781,#100550); #62632=LINE('',#457782,#100551); #62633=LINE('',#457785,#100552); #62634=LINE('',#457787,#100553); #62635=LINE('',#457788,#100554); #62636=LINE('',#457798,#100555); #62637=LINE('',#457804,#100556); #62638=LINE('',#457809,#100557); #62639=LINE('',#457811,#100558); #62640=LINE('',#457813,#100559); #62641=LINE('',#457814,#100560); #62642=LINE('',#457820,#100561); #62643=LINE('',#457826,#100562); #62644=LINE('',#457829,#100563); #62645=LINE('',#457831,#100564); #62646=LINE('',#457832,#100565); #62647=LINE('',#457838,#100566); #62648=LINE('',#457844,#100567); #62649=LINE('',#457847,#100568); #62650=LINE('',#457849,#100569); #62651=LINE('',#457850,#100570); #62652=LINE('',#457860,#100571); #62653=LINE('',#457865,#100572); #62654=LINE('',#457867,#100573); #62655=LINE('',#457869,#100574); #62656=LINE('',#457870,#100575); #62657=LINE('',#457876,#100576); #62658=LINE('',#457879,#100577); #62659=LINE('',#457881,#100578); #62660=LINE('',#457882,#100579); #62661=LINE('',#457885,#100580); #62662=LINE('',#457887,#100581); #62663=LINE('',#457888,#100582); #62664=LINE('',#457894,#100583); #62665=LINE('',#457897,#100584); #62666=LINE('',#457899,#100585); #62667=LINE('',#457900,#100586); #62668=LINE('',#457903,#100587); #62669=LINE('',#457905,#100588); #62670=LINE('',#457906,#100589); #62671=LINE('',#457909,#100590); #62672=LINE('',#457911,#100591); #62673=LINE('',#457912,#100592); #62674=LINE('',#457915,#100593); #62675=LINE('',#457917,#100594); #62676=LINE('',#457918,#100595); #62677=LINE('',#457921,#100596); #62678=LINE('',#457923,#100597); #62679=LINE('',#457924,#100598); #62680=LINE('',#457927,#100599); #62681=LINE('',#457929,#100600); #62682=LINE('',#457930,#100601); #62683=LINE('',#457933,#100602); #62684=LINE('',#457935,#100603); #62685=LINE('',#457936,#100604); #62686=LINE('',#457939,#100605); #62687=LINE('',#457941,#100606); #62688=LINE('',#457942,#100607); #62689=LINE('',#457948,#100608); #62690=LINE('',#457951,#100609); #62691=LINE('',#457953,#100610); #62692=LINE('',#457954,#100611); #62693=LINE('',#457964,#100612); #62694=LINE('',#457969,#100613); #62695=LINE('',#457971,#100614); #62696=LINE('',#457973,#100615); #62697=LINE('',#457974,#100616); #62698=LINE('',#457980,#100617); #62699=LINE('',#457983,#100618); #62700=LINE('',#457985,#100619); #62701=LINE('',#457986,#100620); #62702=LINE('',#457989,#100621); #62703=LINE('',#457991,#100622); #62704=LINE('',#457992,#100623); #62705=LINE('',#457998,#100624); #62706=LINE('',#458001,#100625); #62707=LINE('',#458003,#100626); #62708=LINE('',#458004,#100627); #62709=LINE('',#458007,#100628); #62710=LINE('',#458009,#100629); #62711=LINE('',#458010,#100630); #62712=LINE('',#458016,#100631); #62713=LINE('',#458019,#100632); #62714=LINE('',#458021,#100633); #62715=LINE('',#458022,#100634); #62716=LINE('',#458025,#100635); #62717=LINE('',#458027,#100636); #62718=LINE('',#458028,#100637); #62719=LINE('',#458031,#100638); #62720=LINE('',#458033,#100639); #62721=LINE('',#458034,#100640); #62722=LINE('',#458037,#100641); #62723=LINE('',#458039,#100642); #62724=LINE('',#458040,#100643); #62725=LINE('',#458043,#100644); #62726=LINE('',#458045,#100645); #62727=LINE('',#458046,#100646); #62728=LINE('',#458049,#100647); #62729=LINE('',#458051,#100648); #62730=LINE('',#458052,#100649); #62731=LINE('',#458055,#100650); #62732=LINE('',#458057,#100651); #62733=LINE('',#458058,#100652); #62734=LINE('',#458061,#100653); #62735=LINE('',#458063,#100654); #62736=LINE('',#458064,#100655); #62737=LINE('',#458070,#100656); #62738=LINE('',#458073,#100657); #62739=LINE('',#458075,#100658); #62740=LINE('',#458076,#100659); #62741=LINE('',#458079,#100660); #62742=LINE('',#458081,#100661); #62743=LINE('',#458082,#100662); #62744=LINE('',#458088,#100663); #62745=LINE('',#458091,#100664); #62746=LINE('',#458093,#100665); #62747=LINE('',#458094,#100666); #62748=LINE('',#458103,#100667); #62749=LINE('',#458105,#100668); #62750=LINE('',#458107,#100669); #62751=LINE('',#458108,#100670); #62752=LINE('',#458111,#100671); #62753=LINE('',#458113,#100672); #62754=LINE('',#458114,#100673); #62755=LINE('',#458117,#100674); #62756=LINE('',#458119,#100675); #62757=LINE('',#458120,#100676); #62758=LINE('',#458123,#100677); #62759=LINE('',#458125,#100678); #62760=LINE('',#458126,#100679); #62761=LINE('',#458132,#100680); #62762=LINE('',#458135,#100681); #62763=LINE('',#458137,#100682); #62764=LINE('',#458138,#100683); #62765=LINE('',#458144,#100684); #62766=LINE('',#458147,#100685); #62767=LINE('',#458149,#100686); #62768=LINE('',#458150,#100687); #62769=LINE('',#458153,#100688); #62770=LINE('',#458155,#100689); #62771=LINE('',#458156,#100690); #62772=LINE('',#458159,#100691); #62773=LINE('',#458161,#100692); #62774=LINE('',#458162,#100693); #62775=LINE('',#458168,#100694); #62776=LINE('',#458171,#100695); #62777=LINE('',#458173,#100696); #62778=LINE('',#458174,#100697); #62779=LINE('',#458177,#100698); #62780=LINE('',#458179,#100699); #62781=LINE('',#458180,#100700); #62782=LINE('',#458183,#100701); #62783=LINE('',#458185,#100702); #62784=LINE('',#458186,#100703); #62785=LINE('',#458189,#100704); #62786=LINE('',#458191,#100705); #62787=LINE('',#458192,#100706); #62788=LINE('',#458195,#100707); #62789=LINE('',#458197,#100708); #62790=LINE('',#458198,#100709); #62791=LINE('',#458201,#100710); #62792=LINE('',#458203,#100711); #62793=LINE('',#458204,#100712); #62794=LINE('',#458207,#100713); #62795=LINE('',#458209,#100714); #62796=LINE('',#458210,#100715); #62797=LINE('',#458216,#100716); #62798=LINE('',#458219,#100717); #62799=LINE('',#458221,#100718); #62800=LINE('',#458222,#100719); #62801=LINE('',#458228,#100720); #62802=LINE('',#458231,#100721); #62803=LINE('',#458233,#100722); #62804=LINE('',#458234,#100723); #62805=LINE('',#458237,#100724); #62806=LINE('',#458239,#100725); #62807=LINE('',#458240,#100726); #62808=LINE('',#458243,#100727); #62809=LINE('',#458245,#100728); #62810=LINE('',#458246,#100729); #62811=LINE('',#458249,#100730); #62812=LINE('',#458251,#100731); #62813=LINE('',#458252,#100732); #62814=LINE('',#458254,#100733); #62815=LINE('',#458255,#100734); #62816=LINE('',#458262,#100735); #62817=LINE('',#458267,#100736); #62818=LINE('',#458269,#100737); #62819=LINE('',#458271,#100738); #62820=LINE('',#458272,#100739); #62821=LINE('',#458278,#100740); #62822=LINE('',#458281,#100741); #62823=LINE('',#458283,#100742); #62824=LINE('',#458284,#100743); #62825=LINE('',#458287,#100744); #62826=LINE('',#458289,#100745); #62827=LINE('',#458290,#100746); #62828=LINE('',#458296,#100747); #62829=LINE('',#458299,#100748); #62830=LINE('',#458301,#100749); #62831=LINE('',#458302,#100750); #62832=LINE('',#458308,#100751); #62833=LINE('',#458311,#100752); #62834=LINE('',#458313,#100753); #62835=LINE('',#458314,#100754); #62836=LINE('',#458320,#100755); #62837=LINE('',#458323,#100756); #62838=LINE('',#458325,#100757); #62839=LINE('',#458326,#100758); #62840=LINE('',#458329,#100759); #62841=LINE('',#458331,#100760); #62842=LINE('',#458332,#100761); #62843=LINE('',#458335,#100762); #62844=LINE('',#458337,#100763); #62845=LINE('',#458338,#100764); #62846=LINE('',#458341,#100765); #62847=LINE('',#458343,#100766); #62848=LINE('',#458344,#100767); #62849=LINE('',#458347,#100768); #62850=LINE('',#458349,#100769); #62851=LINE('',#458350,#100770); #62852=LINE('',#458353,#100771); #62853=LINE('',#458355,#100772); #62854=LINE('',#458356,#100773); #62855=LINE('',#458359,#100774); #62856=LINE('',#458361,#100775); #62857=LINE('',#458362,#100776); #62858=LINE('',#458368,#100777); #62859=LINE('',#458371,#100778); #62860=LINE('',#458373,#100779); #62861=LINE('',#458374,#100780); #62862=LINE('',#458377,#100781); #62863=LINE('',#458379,#100782); #62864=LINE('',#458380,#100783); #62865=LINE('',#458383,#100784); #62866=LINE('',#458385,#100785); #62867=LINE('',#458386,#100786); #62868=LINE('',#458389,#100787); #62869=LINE('',#458391,#100788); #62870=LINE('',#458392,#100789); #62871=LINE('',#458398,#100790); #62872=LINE('',#458401,#100791); #62873=LINE('',#458403,#100792); #62874=LINE('',#458404,#100793); #62875=LINE('',#458414,#100794); #62876=LINE('',#458419,#100795); #62877=LINE('',#458421,#100796); #62878=LINE('',#458423,#100797); #62879=LINE('',#458424,#100798); #62880=LINE('',#458430,#100799); #62881=LINE('',#458433,#100800); #62882=LINE('',#458435,#100801); #62883=LINE('',#458436,#100802); #62884=LINE('',#458439,#100803); #62885=LINE('',#458441,#100804); #62886=LINE('',#458442,#100805); #62887=LINE('',#458445,#100806); #62888=LINE('',#458447,#100807); #62889=LINE('',#458448,#100808); #62890=LINE('',#458451,#100809); #62891=LINE('',#458453,#100810); #62892=LINE('',#458454,#100811); #62893=LINE('',#458457,#100812); #62894=LINE('',#458459,#100813); #62895=LINE('',#458460,#100814); #62896=LINE('',#458463,#100815); #62897=LINE('',#458465,#100816); #62898=LINE('',#458466,#100817); #62899=LINE('',#458469,#100818); #62900=LINE('',#458471,#100819); #62901=LINE('',#458472,#100820); #62902=LINE('',#458478,#100821); #62903=LINE('',#458487,#100822); #62904=LINE('',#458489,#100823); #62905=LINE('',#458491,#100824); #62906=LINE('',#458492,#100825); #62907=LINE('',#458495,#100826); #62908=LINE('',#458497,#100827); #62909=LINE('',#458498,#100828); #62910=LINE('',#458501,#100829); #62911=LINE('',#458503,#100830); #62912=LINE('',#458504,#100831); #62913=LINE('',#458507,#100832); #62914=LINE('',#458509,#100833); #62915=LINE('',#458510,#100834); #62916=LINE('',#458513,#100835); #62917=LINE('',#458515,#100836); #62918=LINE('',#458516,#100837); #62919=LINE('',#458522,#100838); #62920=LINE('',#458525,#100839); #62921=LINE('',#458527,#100840); #62922=LINE('',#458528,#100841); #62923=LINE('',#458531,#100842); #62924=LINE('',#458533,#100843); #62925=LINE('',#458534,#100844); #62926=LINE('',#458537,#100845); #62927=LINE('',#458539,#100846); #62928=LINE('',#458540,#100847); #62929=LINE('',#458546,#100848); #62930=LINE('',#458549,#100849); #62931=LINE('',#458551,#100850); #62932=LINE('',#458552,#100851); #62933=LINE('',#458558,#100852); #62934=LINE('',#458561,#100853); #62935=LINE('',#458563,#100854); #62936=LINE('',#458564,#100855); #62937=LINE('',#458570,#100856); #62938=LINE('',#458573,#100857); #62939=LINE('',#458575,#100858); #62940=LINE('',#458576,#100859); #62941=LINE('',#458579,#100860); #62942=LINE('',#458581,#100861); #62943=LINE('',#458582,#100862); #62944=LINE('',#458588,#100863); #62945=LINE('',#458591,#100864); #62946=LINE('',#458593,#100865); #62947=LINE('',#458594,#100866); #62948=LINE('',#458597,#100867); #62949=LINE('',#458599,#100868); #62950=LINE('',#458600,#100869); #62951=LINE('',#458603,#100870); #62952=LINE('',#458605,#100871); #62953=LINE('',#458606,#100872); #62954=LINE('',#458609,#100873); #62955=LINE('',#458611,#100874); #62956=LINE('',#458612,#100875); #62957=LINE('',#458615,#100876); #62958=LINE('',#458617,#100877); #62959=LINE('',#458618,#100878); #62960=LINE('',#458621,#100879); #62961=LINE('',#458623,#100880); #62962=LINE('',#458624,#100881); #62963=LINE('',#458627,#100882); #62964=LINE('',#458629,#100883); #62965=LINE('',#458630,#100884); #62966=LINE('',#458636,#100885); #62967=LINE('',#458639,#100886); #62968=LINE('',#458641,#100887); #62969=LINE('',#458642,#100888); #62970=LINE('',#458645,#100889); #62971=LINE('',#458647,#100890); #62972=LINE('',#458648,#100891); #62973=LINE('',#458654,#100892); #62974=LINE('',#458657,#100893); #62975=LINE('',#458659,#100894); #62976=LINE('',#458660,#100895); #62977=LINE('',#458663,#100896); #62978=LINE('',#458665,#100897); #62979=LINE('',#458666,#100898); #62980=LINE('',#458669,#100899); #62981=LINE('',#458671,#100900); #62982=LINE('',#458672,#100901); #62983=LINE('',#458675,#100902); #62984=LINE('',#458677,#100903); #62985=LINE('',#458678,#100904); #62986=LINE('',#458684,#100905); #62987=LINE('',#458687,#100906); #62988=LINE('',#458689,#100907); #62989=LINE('',#458690,#100908); #62990=LINE('',#458696,#100909); #62991=LINE('',#458699,#100910); #62992=LINE('',#458701,#100911); #62993=LINE('',#458702,#100912); #62994=LINE('',#458705,#100913); #62995=LINE('',#458707,#100914); #62996=LINE('',#458708,#100915); #62997=LINE('',#458710,#100916); #62998=LINE('',#458711,#100917); #62999=LINE('',#458717,#100918); #63000=LINE('',#458719,#100919); #63001=LINE('',#458721,#100920); #63002=LINE('',#458722,#100921); #63003=LINE('',#458725,#100922); #63004=LINE('',#458727,#100923); #63005=LINE('',#458728,#100924); #63006=LINE('',#458731,#100925); #63007=LINE('',#458733,#100926); #63008=LINE('',#458734,#100927); #63009=LINE('',#458737,#100928); #63010=LINE('',#458739,#100929); #63011=LINE('',#458740,#100930); #63012=LINE('',#458743,#100931); #63013=LINE('',#458745,#100932); #63014=LINE('',#458746,#100933); #63015=LINE('',#458749,#100934); #63016=LINE('',#458751,#100935); #63017=LINE('',#458752,#100936); #63018=LINE('',#458758,#100937); #63019=LINE('',#458761,#100938); #63020=LINE('',#458763,#100939); #63021=LINE('',#458764,#100940); #63022=LINE('',#458770,#100941); #63023=LINE('',#458773,#100942); #63024=LINE('',#458775,#100943); #63025=LINE('',#458776,#100944); #63026=LINE('',#458779,#100945); #63027=LINE('',#458781,#100946); #63028=LINE('',#458782,#100947); #63029=LINE('',#458785,#100948); #63030=LINE('',#458787,#100949); #63031=LINE('',#458788,#100950); #63032=LINE('',#458791,#100951); #63033=LINE('',#458793,#100952); #63034=LINE('',#458794,#100953); #63035=LINE('',#458800,#100954); #63036=LINE('',#458803,#100955); #63037=LINE('',#458805,#100956); #63038=LINE('',#458806,#100957); #63039=LINE('',#458809,#100958); #63040=LINE('',#458811,#100959); #63041=LINE('',#458812,#100960); #63042=LINE('',#458818,#100961); #63043=LINE('',#458821,#100962); #63044=LINE('',#458823,#100963); #63045=LINE('',#458824,#100964); #63046=LINE('',#458827,#100965); #63047=LINE('',#458829,#100966); #63048=LINE('',#458830,#100967); #63049=LINE('',#458833,#100968); #63050=LINE('',#458835,#100969); #63051=LINE('',#458836,#100970); #63052=LINE('',#458839,#100971); #63053=LINE('',#458841,#100972); #63054=LINE('',#458842,#100973); #63055=LINE('',#458845,#100974); #63056=LINE('',#458847,#100975); #63057=LINE('',#458848,#100976); #63058=LINE('',#458851,#100977); #63059=LINE('',#458853,#100978); #63060=LINE('',#458854,#100979); #63061=LINE('',#458857,#100980); #63062=LINE('',#458859,#100981); #63063=LINE('',#458860,#100982); #63064=LINE('',#458866,#100983); #63065=LINE('',#458869,#100984); #63066=LINE('',#458871,#100985); #63067=LINE('',#458872,#100986); #63068=LINE('',#458875,#100987); #63069=LINE('',#458877,#100988); #63070=LINE('',#458878,#100989); #63071=LINE('',#458884,#100990); #63072=LINE('',#458887,#100991); #63073=LINE('',#458889,#100992); #63074=LINE('',#458890,#100993); #63075=LINE('',#458896,#100994); #63076=LINE('',#458899,#100995); #63077=LINE('',#458901,#100996); #63078=LINE('',#458902,#100997); #63079=LINE('',#458908,#100998); #63080=LINE('',#458911,#100999); #63081=LINE('',#458913,#101000); #63082=LINE('',#458914,#101001); #63083=LINE('',#458917,#101002); #63084=LINE('',#458919,#101003); #63085=LINE('',#458920,#101004); #63086=LINE('',#458923,#101005); #63087=LINE('',#458925,#101006); #63088=LINE('',#458926,#101007); #63089=LINE('',#458932,#101008); #63090=LINE('',#458935,#101009); #63091=LINE('',#458937,#101010); #63092=LINE('',#458938,#101011); #63093=LINE('',#458940,#101012); #63094=LINE('',#458941,#101013); #63095=LINE('',#458947,#101014); #63096=LINE('',#458949,#101015); #63097=LINE('',#458951,#101016); #63098=LINE('',#458952,#101017); #63099=LINE('',#458955,#101018); #63100=LINE('',#458957,#101019); #63101=LINE('',#458958,#101020); #63102=LINE('',#458961,#101021); #63103=LINE('',#458963,#101022); #63104=LINE('',#458964,#101023); #63105=LINE('',#458967,#101024); #63106=LINE('',#458969,#101025); #63107=LINE('',#458970,#101026); #63108=LINE('',#458976,#101027); #63109=LINE('',#458979,#101028); #63110=LINE('',#458981,#101029); #63111=LINE('',#458982,#101030); #63112=LINE('',#458985,#101031); #63113=LINE('',#458987,#101032); #63114=LINE('',#458988,#101033); #63115=LINE('',#458994,#101034); #63116=LINE('',#458997,#101035); #63117=LINE('',#458999,#101036); #63118=LINE('',#459000,#101037); #63119=LINE('',#459003,#101038); #63120=LINE('',#459005,#101039); #63121=LINE('',#459006,#101040); #63122=LINE('',#459009,#101041); #63123=LINE('',#459011,#101042); #63124=LINE('',#459012,#101043); #63125=LINE('',#459015,#101044); #63126=LINE('',#459017,#101045); #63127=LINE('',#459018,#101046); #63128=LINE('',#459021,#101047); #63129=LINE('',#459023,#101048); #63130=LINE('',#459024,#101049); #63131=LINE('',#459027,#101050); #63132=LINE('',#459029,#101051); #63133=LINE('',#459030,#101052); #63134=LINE('',#459033,#101053); #63135=LINE('',#459035,#101054); #63136=LINE('',#459036,#101055); #63137=LINE('',#459042,#101056); #63138=LINE('',#459045,#101057); #63139=LINE('',#459047,#101058); #63140=LINE('',#459048,#101059); #63141=LINE('',#459051,#101060); #63142=LINE('',#459053,#101061); #63143=LINE('',#459054,#101062); #63144=LINE('',#459057,#101063); #63145=LINE('',#459059,#101064); #63146=LINE('',#459060,#101065); #63147=LINE('',#459062,#101066); #63148=LINE('',#459063,#101067); #63149=LINE('',#459070,#101068); #63150=LINE('',#459075,#101069); #63151=LINE('',#459077,#101070); #63152=LINE('',#459079,#101071); #63153=LINE('',#459080,#101072); #63154=LINE('',#459086,#101073); #63155=LINE('',#459089,#101074); #63156=LINE('',#459091,#101075); #63157=LINE('',#459092,#101076); #63158=LINE('',#459098,#101077); #63159=LINE('',#459101,#101078); #63160=LINE('',#459103,#101079); #63161=LINE('',#459104,#101080); #63162=LINE('',#459107,#101081); #63163=LINE('',#459109,#101082); #63164=LINE('',#459110,#101083); #63165=LINE('',#459116,#101084); #63166=LINE('',#459119,#101085); #63167=LINE('',#459121,#101086); #63168=LINE('',#459122,#101087); #63169=LINE('',#459125,#101088); #63170=LINE('',#459127,#101089); #63171=LINE('',#459128,#101090); #63172=LINE('',#459131,#101091); #63173=LINE('',#459133,#101092); #63174=LINE('',#459134,#101093); #63175=LINE('',#459137,#101094); #63176=LINE('',#459139,#101095); #63177=LINE('',#459140,#101096); #63178=LINE('',#459143,#101097); #63179=LINE('',#459145,#101098); #63180=LINE('',#459146,#101099); #63181=LINE('',#459149,#101100); #63182=LINE('',#459151,#101101); #63183=LINE('',#459152,#101102); #63184=LINE('',#459155,#101103); #63185=LINE('',#459157,#101104); #63186=LINE('',#459158,#101105); #63187=LINE('',#459164,#101106); #63188=LINE('',#459167,#101107); #63189=LINE('',#459169,#101108); #63190=LINE('',#459170,#101109); #63191=LINE('',#459173,#101110); #63192=LINE('',#459175,#101111); #63193=LINE('',#459176,#101112); #63194=LINE('',#459182,#101113); #63195=LINE('',#459192,#101114); #63196=LINE('',#459197,#101115); #63197=LINE('',#459199,#101116); #63198=LINE('',#459201,#101117); #63199=LINE('',#459202,#101118); #63200=LINE('',#459208,#101119); #63201=LINE('',#459211,#101120); #63202=LINE('',#459213,#101121); #63203=LINE('',#459214,#101122); #63204=LINE('',#459217,#101123); #63205=LINE('',#459219,#101124); #63206=LINE('',#459220,#101125); #63207=LINE('',#459226,#101126); #63208=LINE('',#459229,#101127); #63209=LINE('',#459231,#101128); #63210=LINE('',#459232,#101129); #63211=LINE('',#459235,#101130); #63212=LINE('',#459237,#101131); #63213=LINE('',#459238,#101132); #63214=LINE('',#459241,#101133); #63215=LINE('',#459243,#101134); #63216=LINE('',#459244,#101135); #63217=LINE('',#459247,#101136); #63218=LINE('',#459249,#101137); #63219=LINE('',#459250,#101138); #63220=LINE('',#459253,#101139); #63221=LINE('',#459255,#101140); #63222=LINE('',#459256,#101141); #63223=LINE('',#459259,#101142); #63224=LINE('',#459261,#101143); #63225=LINE('',#459262,#101144); #63226=LINE('',#459265,#101145); #63227=LINE('',#459267,#101146); #63228=LINE('',#459268,#101147); #63229=LINE('',#459271,#101148); #63230=LINE('',#459273,#101149); #63231=LINE('',#459274,#101150); #63232=LINE('',#459280,#101151); #63233=LINE('',#459283,#101152); #63234=LINE('',#459285,#101153); #63235=LINE('',#459286,#101154); #63236=LINE('',#459296,#101155); #63237=LINE('',#459301,#101156); #63238=LINE('',#459303,#101157); #63239=LINE('',#459305,#101158); #63240=LINE('',#459306,#101159); #63241=LINE('',#459312,#101160); #63242=LINE('',#459318,#101161); #63243=LINE('',#459321,#101162); #63244=LINE('',#459323,#101163); #63245=LINE('',#459324,#101164); #63246=LINE('',#459327,#101165); #63247=LINE('',#459329,#101166); #63248=LINE('',#459330,#101167); #63249=LINE('',#459333,#101168); #63250=LINE('',#459335,#101169); #63251=LINE('',#459336,#101170); #63252=LINE('',#459339,#101171); #63253=LINE('',#459341,#101172); #63254=LINE('',#459342,#101173); #63255=LINE('',#459345,#101174); #63256=LINE('',#459347,#101175); #63257=LINE('',#459348,#101176); #63258=LINE('',#459351,#101177); #63259=LINE('',#459353,#101178); #63260=LINE('',#459354,#101179); #63261=LINE('',#459357,#101180); #63262=LINE('',#459359,#101181); #63263=LINE('',#459360,#101182); #63264=LINE('',#459366,#101183); #63265=LINE('',#459369,#101184); #63266=LINE('',#459371,#101185); #63267=LINE('',#459372,#101186); #63268=LINE('',#459382,#101187); #63269=LINE('',#459387,#101188); #63270=LINE('',#459389,#101189); #63271=LINE('',#459391,#101190); #63272=LINE('',#459392,#101191); #63273=LINE('',#459395,#101192); #63274=LINE('',#459397,#101193); #63275=LINE('',#459398,#101194); #63276=LINE('',#459401,#101195); #63277=LINE('',#459403,#101196); #63278=LINE('',#459404,#101197); #63279=LINE('',#459407,#101198); #63280=LINE('',#459409,#101199); #63281=LINE('',#459410,#101200); #63282=LINE('',#459413,#101201); #63283=LINE('',#459415,#101202); #63284=LINE('',#459416,#101203); #63285=LINE('',#459422,#101204); #63286=LINE('',#459425,#101205); #63287=LINE('',#459427,#101206); #63288=LINE('',#459428,#101207); #63289=LINE('',#459431,#101208); #63290=LINE('',#459433,#101209); #63291=LINE('',#459434,#101210); #63292=LINE('',#459440,#101211); #63293=LINE('',#459443,#101212); #63294=LINE('',#459445,#101213); #63295=LINE('',#459446,#101214); #63296=LINE('',#459452,#101215); #63297=LINE('',#459458,#101216); #63298=LINE('',#459461,#101217); #63299=LINE('',#459463,#101218); #63300=LINE('',#459464,#101219); #63301=LINE('',#459467,#101220); #63302=LINE('',#459469,#101221); #63303=LINE('',#459470,#101222); #63304=LINE('',#459476,#101223); #63305=LINE('',#459479,#101224); #63306=LINE('',#459481,#101225); #63307=LINE('',#459482,#101226); #63308=LINE('',#459485,#101227); #63309=LINE('',#459487,#101228); #63310=LINE('',#459488,#101229); #63311=LINE('',#459490,#101230); #63312=LINE('',#459491,#101231); #63313=LINE('',#459498,#101232); #63314=LINE('',#459503,#101233); #63315=LINE('',#459505,#101234); #63316=LINE('',#459507,#101235); #63317=LINE('',#459508,#101236); #63318=LINE('',#459514,#101237); #63319=LINE('',#459517,#101238); #63320=LINE('',#459519,#101239); #63321=LINE('',#459520,#101240); #63322=LINE('',#459526,#101241); #63323=LINE('',#459529,#101242); #63324=LINE('',#459531,#101243); #63325=LINE('',#459532,#101244); #63326=LINE('',#459535,#101245); #63327=LINE('',#459537,#101246); #63328=LINE('',#459538,#101247); #63329=LINE('',#459541,#101248); #63330=LINE('',#459543,#101249); #63331=LINE('',#459544,#101250); #63332=LINE('',#459547,#101251); #63333=LINE('',#459549,#101252); #63334=LINE('',#459550,#101253); #63335=LINE('',#459553,#101254); #63336=LINE('',#459555,#101255); #63337=LINE('',#459556,#101256); #63338=LINE('',#459559,#101257); #63339=LINE('',#459561,#101258); #63340=LINE('',#459562,#101259); #63341=LINE('',#459565,#101260); #63342=LINE('',#459567,#101261); #63343=LINE('',#459568,#101262); #63344=LINE('',#459574,#101263); #63345=LINE('',#459584,#101264); #63346=LINE('',#459589,#101265); #63347=LINE('',#459591,#101266); #63348=LINE('',#459593,#101267); #63349=LINE('',#459594,#101268); #63350=LINE('',#459597,#101269); #63351=LINE('',#459599,#101270); #63352=LINE('',#459600,#101271); #63353=LINE('',#459603,#101272); #63354=LINE('',#459605,#101273); #63355=LINE('',#459606,#101274); #63356=LINE('',#459609,#101275); #63357=LINE('',#459611,#101276); #63358=LINE('',#459612,#101277); #63359=LINE('',#459615,#101278); #63360=LINE('',#459617,#101279); #63361=LINE('',#459618,#101280); #63362=LINE('',#459621,#101281); #63363=LINE('',#459623,#101282); #63364=LINE('',#459624,#101283); #63365=LINE('',#459630,#101284); #63366=LINE('',#459633,#101285); #63367=LINE('',#459635,#101286); #63368=LINE('',#459636,#101287); #63369=LINE('',#459639,#101288); #63370=LINE('',#459641,#101289); #63371=LINE('',#459642,#101290); #63372=LINE('',#459648,#101291); #63373=LINE('',#459654,#101292); #63374=LINE('',#459657,#101293); #63375=LINE('',#459659,#101294); #63376=LINE('',#459660,#101295); #63377=LINE('',#459666,#101296); #63378=LINE('',#459669,#101297); #63379=LINE('',#459671,#101298); #63380=LINE('',#459672,#101299); #63381=LINE('',#459675,#101300); #63382=LINE('',#459677,#101301); #63383=LINE('',#459678,#101302); #63384=LINE('',#459684,#101303); #63385=LINE('',#459687,#101304); #63386=LINE('',#459689,#101305); #63387=LINE('',#459690,#101306); #63388=LINE('',#459692,#101307); #63389=LINE('',#459693,#101308); #63390=LINE('',#459700,#101309); #63391=LINE('',#459705,#101310); #63392=LINE('',#459707,#101311); #63393=LINE('',#459709,#101312); #63394=LINE('',#459710,#101313); #63395=LINE('',#459716,#101314); #63396=LINE('',#459719,#101315); #63397=LINE('',#459721,#101316); #63398=LINE('',#459722,#101317); #63399=LINE('',#459725,#101318); #63400=LINE('',#459727,#101319); #63401=LINE('',#459728,#101320); #63402=LINE('',#459731,#101321); #63403=LINE('',#459733,#101322); #63404=LINE('',#459734,#101323); #63405=LINE('',#459737,#101324); #63406=LINE('',#459739,#101325); #63407=LINE('',#459740,#101326); #63408=LINE('',#459743,#101327); #63409=LINE('',#459745,#101328); #63410=LINE('',#459746,#101329); #63411=LINE('',#459749,#101330); #63412=LINE('',#459751,#101331); #63413=LINE('',#459752,#101332); #63414=LINE('',#459755,#101333); #63415=LINE('',#459757,#101334); #63416=LINE('',#459758,#101335); #63417=LINE('',#459764,#101336); #63418=LINE('',#459774,#101337); #63419=LINE('',#459779,#101338); #63420=LINE('',#459781,#101339); #63421=LINE('',#459783,#101340); #63422=LINE('',#459784,#101341); #63423=LINE('',#459790,#101342); #63424=LINE('',#459796,#101343); #63425=LINE('',#459799,#101344); #63426=LINE('',#459801,#101345); #63427=LINE('',#459802,#101346); #63428=LINE('',#459805,#101347); #63429=LINE('',#459807,#101348); #63430=LINE('',#459808,#101349); #63431=LINE('',#459811,#101350); #63432=LINE('',#459813,#101351); #63433=LINE('',#459814,#101352); #63434=LINE('',#459817,#101353); #63435=LINE('',#459819,#101354); #63436=LINE('',#459820,#101355); #63437=LINE('',#459823,#101356); #63438=LINE('',#459825,#101357); #63439=LINE('',#459826,#101358); #63440=LINE('',#459829,#101359); #63441=LINE('',#459831,#101360); #63442=LINE('',#459832,#101361); #63443=LINE('',#459835,#101362); #63444=LINE('',#459837,#101363); #63445=LINE('',#459838,#101364); #63446=LINE('',#459848,#101365); #63447=LINE('',#459853,#101366); #63448=LINE('',#459855,#101367); #63449=LINE('',#459857,#101368); #63450=LINE('',#459858,#101369); #63451=LINE('',#459861,#101370); #63452=LINE('',#459863,#101371); #63453=LINE('',#459864,#101372); #63454=LINE('',#459867,#101373); #63455=LINE('',#459869,#101374); #63456=LINE('',#459870,#101375); #63457=LINE('',#459873,#101376); #63458=LINE('',#459875,#101377); #63459=LINE('',#459876,#101378); #63460=LINE('',#459879,#101379); #63461=LINE('',#459881,#101380); #63462=LINE('',#459882,#101381); #63463=LINE('',#459888,#101382); #63464=LINE('',#459891,#101383); #63465=LINE('',#459893,#101384); #63466=LINE('',#459894,#101385); #63467=LINE('',#459897,#101386); #63468=LINE('',#459899,#101387); #63469=LINE('',#459900,#101388); #63470=LINE('',#459903,#101389); #63471=LINE('',#459905,#101390); #63472=LINE('',#459906,#101391); #63473=LINE('',#459909,#101392); #63474=LINE('',#459911,#101393); #63475=LINE('',#459912,#101394); #63476=LINE('',#459915,#101395); #63477=LINE('',#459917,#101396); #63478=LINE('',#459918,#101397); #63479=LINE('',#459924,#101398); #63480=LINE('',#459930,#101399); #63481=LINE('',#459933,#101400); #63482=LINE('',#459935,#101401); #63483=LINE('',#459936,#101402); #63484=LINE('',#459942,#101403); #63485=LINE('',#459945,#101404); #63486=LINE('',#459947,#101405); #63487=LINE('',#459948,#101406); #63488=LINE('',#459954,#101407); #63489=LINE('',#459957,#101408); #63490=LINE('',#459959,#101409); #63491=LINE('',#459960,#101410); #63492=LINE('',#459966,#101411); #63493=LINE('',#459969,#101412); #63494=LINE('',#459971,#101413); #63495=LINE('',#459972,#101414); #63496=LINE('',#459978,#101415); #63497=LINE('',#459981,#101416); #63498=LINE('',#459983,#101417); #63499=LINE('',#459984,#101418); #63500=LINE('',#459986,#101419); #63501=LINE('',#459987,#101420); #63502=LINE('',#459994,#101421); #63503=LINE('',#459999,#101422); #63504=LINE('',#460001,#101423); #63505=LINE('',#460003,#101424); #63506=LINE('',#460004,#101425); #63507=LINE('',#460007,#101426); #63508=LINE('',#460009,#101427); #63509=LINE('',#460010,#101428); #63510=LINE('',#460013,#101429); #63511=LINE('',#460015,#101430); #63512=LINE('',#460016,#101431); #63513=LINE('',#460019,#101432); #63514=LINE('',#460021,#101433); #63515=LINE('',#460022,#101434); #63516=LINE('',#460025,#101435); #63517=LINE('',#460027,#101436); #63518=LINE('',#460028,#101437); #63519=LINE('',#460031,#101438); #63520=LINE('',#460033,#101439); #63521=LINE('',#460034,#101440); #63522=LINE('',#460037,#101441); #63523=LINE('',#460039,#101442); #63524=LINE('',#460040,#101443); #63525=LINE('',#460043,#101444); #63526=LINE('',#460045,#101445); #63527=LINE('',#460046,#101446); #63528=LINE('',#460052,#101447); #63529=LINE('',#460058,#101448); #63530=LINE('',#460061,#101449); #63531=LINE('',#460063,#101450); #63532=LINE('',#460064,#101451); #63533=LINE('',#460070,#101452); #63534=LINE('',#460073,#101453); #63535=LINE('',#460075,#101454); #63536=LINE('',#460076,#101455); #63537=LINE('',#460082,#101456); #63538=LINE('',#460085,#101457); #63539=LINE('',#460087,#101458); #63540=LINE('',#460088,#101459); #63541=LINE('',#460094,#101460); #63542=LINE('',#460096,#101461); #63543=LINE('',#460097,#101462); #63544=LINE('',#460104,#101463); #63545=LINE('',#460109,#101464); #63546=LINE('',#460111,#101465); #63547=LINE('',#460113,#101466); #63548=LINE('',#460114,#101467); #63549=LINE('',#460117,#101468); #63550=LINE('',#460119,#101469); #63551=LINE('',#460120,#101470); #63552=LINE('',#460123,#101471); #63553=LINE('',#460125,#101472); #63554=LINE('',#460126,#101473); #63555=LINE('',#460129,#101474); #63556=LINE('',#460131,#101475); #63557=LINE('',#460132,#101476); #63558=LINE('',#460135,#101477); #63559=LINE('',#460137,#101478); #63560=LINE('',#460138,#101479); #63561=LINE('',#460144,#101480); #63562=LINE('',#460147,#101481); #63563=LINE('',#460149,#101482); #63564=LINE('',#460150,#101483); #63565=LINE('',#460156,#101484); #63566=LINE('',#460159,#101485); #63567=LINE('',#460161,#101486); #63568=LINE('',#460162,#101487); #63569=LINE('',#460168,#101488); #63570=LINE('',#460171,#101489); #63571=LINE('',#460173,#101490); #63572=LINE('',#460174,#101491); #63573=LINE('',#460180,#101492); #63574=LINE('',#460183,#101493); #63575=LINE('',#460185,#101494); #63576=LINE('',#460186,#101495); #63577=LINE('',#460192,#101496); #63578=LINE('',#460198,#101497); #63579=LINE('',#460201,#101498); #63580=LINE('',#460203,#101499); #63581=LINE('',#460204,#101500); #63582=LINE('',#460207,#101501); #63583=LINE('',#460209,#101502); #63584=LINE('',#460210,#101503); #63585=LINE('',#460213,#101504); #63586=LINE('',#460215,#101505); #63587=LINE('',#460216,#101506); #63588=LINE('',#460219,#101507); #63589=LINE('',#460221,#101508); #63590=LINE('',#460222,#101509); #63591=LINE('',#460225,#101510); #63592=LINE('',#460227,#101511); #63593=LINE('',#460228,#101512); #63594=LINE('',#460234,#101513); #63595=LINE('',#460237,#101514); #63596=LINE('',#460239,#101515); #63597=LINE('',#460240,#101516); #63598=LINE('',#460242,#101517); #63599=LINE('',#460243,#101518); #63600=LINE('',#460249,#101519); #63601=LINE('',#460251,#101520); #63602=LINE('',#460253,#101521); #63603=LINE('',#460254,#101522); #63604=LINE('',#460257,#101523); #63605=LINE('',#460259,#101524); #63606=LINE('',#460260,#101525); #63607=LINE('',#460263,#101526); #63608=LINE('',#460265,#101527); #63609=LINE('',#460266,#101528); #63610=LINE('',#460269,#101529); #63611=LINE('',#460271,#101530); #63612=LINE('',#460272,#101531); #63613=LINE('',#460278,#101532); #63614=LINE('',#460281,#101533); #63615=LINE('',#460283,#101534); #63616=LINE('',#460284,#101535); #63617=LINE('',#460290,#101536); #63618=LINE('',#460293,#101537); #63619=LINE('',#460295,#101538); #63620=LINE('',#460296,#101539); #63621=LINE('',#460299,#101540); #63622=LINE('',#460301,#101541); #63623=LINE('',#460302,#101542); #63624=LINE('',#460305,#101543); #63625=LINE('',#460307,#101544); #63626=LINE('',#460308,#101545); #63627=LINE('',#460311,#101546); #63628=LINE('',#460313,#101547); #63629=LINE('',#460314,#101548); #63630=LINE('',#460317,#101549); #63631=LINE('',#460319,#101550); #63632=LINE('',#460320,#101551); #63633=LINE('',#460323,#101552); #63634=LINE('',#460325,#101553); #63635=LINE('',#460326,#101554); #63636=LINE('',#460329,#101555); #63637=LINE('',#460331,#101556); #63638=LINE('',#460332,#101557); #63639=LINE('',#460335,#101558); #63640=LINE('',#460337,#101559); #63641=LINE('',#460338,#101560); #63642=LINE('',#460341,#101561); #63643=LINE('',#460343,#101562); #63644=LINE('',#460344,#101563); #63645=LINE('',#460350,#101564); #63646=LINE('',#460353,#101565); #63647=LINE('',#460355,#101566); #63648=LINE('',#460356,#101567); #63649=LINE('',#460362,#101568); #63650=LINE('',#460365,#101569); #63651=LINE('',#460367,#101570); #63652=LINE('',#460368,#101571); #63653=LINE('',#460371,#101572); #63654=LINE('',#460373,#101573); #63655=LINE('',#460374,#101574); #63656=LINE('',#460377,#101575); #63657=LINE('',#460379,#101576); #63658=LINE('',#460380,#101577); #63659=LINE('',#460383,#101578); #63660=LINE('',#460385,#101579); #63661=LINE('',#460386,#101580); #63662=LINE('',#460388,#101581); #63663=LINE('',#460389,#101582); #63664=LINE('',#460396,#101583); #63665=LINE('',#460402,#101584); #63666=LINE('',#460407,#101585); #63667=LINE('',#460409,#101586); #63668=LINE('',#460411,#101587); #63669=LINE('',#460412,#101588); #63670=LINE('',#460418,#101589); #63671=LINE('',#460424,#101590); #63672=LINE('',#460427,#101591); #63673=LINE('',#460429,#101592); #63674=LINE('',#460430,#101593); #63675=LINE('',#460436,#101594); #63676=LINE('',#460442,#101595); #63677=LINE('',#460445,#101596); #63678=LINE('',#460447,#101597); #63679=LINE('',#460448,#101598); #63680=LINE('',#460458,#101599); #63681=LINE('',#460463,#101600); #63682=LINE('',#460465,#101601); #63683=LINE('',#460467,#101602); #63684=LINE('',#460468,#101603); #63685=LINE('',#460471,#101604); #63686=LINE('',#460473,#101605); #63687=LINE('',#460474,#101606); #63688=LINE('',#460477,#101607); #63689=LINE('',#460479,#101608); #63690=LINE('',#460480,#101609); #63691=LINE('',#460482,#101610); #63692=LINE('',#460483,#101611); #63693=LINE('',#460490,#101612); #63694=LINE('',#460495,#101613); #63695=LINE('',#460497,#101614); #63696=LINE('',#460499,#101615); #63697=LINE('',#460500,#101616); #63698=LINE('',#460503,#101617); #63699=LINE('',#460505,#101618); #63700=LINE('',#460506,#101619); #63701=LINE('',#460509,#101620); #63702=LINE('',#460511,#101621); #63703=LINE('',#460512,#101622); #63704=LINE('',#460514,#101623); #63705=LINE('',#460515,#101624); #63706=LINE('',#460522,#101625); #63707=LINE('',#460527,#101626); #63708=LINE('',#460529,#101627); #63709=LINE('',#460531,#101628); #63710=LINE('',#460532,#101629); #63711=LINE('',#460535,#101630); #63712=LINE('',#460537,#101631); #63713=LINE('',#460538,#101632); #63714=LINE('',#460541,#101633); #63715=LINE('',#460543,#101634); #63716=LINE('',#460544,#101635); #63717=LINE('',#460547,#101636); #63718=LINE('',#460549,#101637); #63719=LINE('',#460550,#101638); #63720=LINE('',#460553,#101639); #63721=LINE('',#460555,#101640); #63722=LINE('',#460556,#101641); #63723=LINE('',#460559,#101642); #63724=LINE('',#460561,#101643); #63725=LINE('',#460562,#101644); #63726=LINE('',#460565,#101645); #63727=LINE('',#460567,#101646); #63728=LINE('',#460568,#101647); #63729=LINE('',#460571,#101648); #63730=LINE('',#460573,#101649); #63731=LINE('',#460574,#101650); #63732=LINE('',#460577,#101651); #63733=LINE('',#460579,#101652); #63734=LINE('',#460580,#101653); #63735=LINE('',#460583,#101654); #63736=LINE('',#460585,#101655); #63737=LINE('',#460586,#101656); #63738=LINE('',#460592,#101657); #63739=LINE('',#460595,#101658); #63740=LINE('',#460597,#101659); #63741=LINE('',#460598,#101660); #63742=LINE('',#460604,#101661); #63743=LINE('',#460607,#101662); #63744=LINE('',#460609,#101663); #63745=LINE('',#460610,#101664); #63746=LINE('',#460613,#101665); #63747=LINE('',#460615,#101666); #63748=LINE('',#460616,#101667); #63749=LINE('',#460619,#101668); #63750=LINE('',#460621,#101669); #63751=LINE('',#460622,#101670); #63752=LINE('',#460628,#101671); #63753=LINE('',#460631,#101672); #63754=LINE('',#460633,#101673); #63755=LINE('',#460634,#101674); #63756=LINE('',#460640,#101675); #63757=LINE('',#460643,#101676); #63758=LINE('',#460645,#101677); #63759=LINE('',#460646,#101678); #63760=LINE('',#460649,#101679); #63761=LINE('',#460651,#101680); #63762=LINE('',#460652,#101681); #63763=LINE('',#460655,#101682); #63764=LINE('',#460657,#101683); #63765=LINE('',#460658,#101684); #63766=LINE('',#460664,#101685); #63767=LINE('',#460670,#101686); #63768=LINE('',#460673,#101687); #63769=LINE('',#460675,#101688); #63770=LINE('',#460676,#101689); #63771=LINE('',#460682,#101690); #63772=LINE('',#460685,#101691); #63773=LINE('',#460687,#101692); #63774=LINE('',#460688,#101693); #63775=LINE('',#460694,#101694); #63776=LINE('',#460697,#101695); #63777=LINE('',#460699,#101696); #63778=LINE('',#460700,#101697); #63779=LINE('',#460703,#101698); #63780=LINE('',#460705,#101699); #63781=LINE('',#460706,#101700); #63782=LINE('',#460709,#101701); #63783=LINE('',#460711,#101702); #63784=LINE('',#460712,#101703); #63785=LINE('',#460718,#101704); #63786=LINE('',#460721,#101705); #63787=LINE('',#460723,#101706); #63788=LINE('',#460724,#101707); #63789=LINE('',#460730,#101708); #63790=LINE('',#460733,#101709); #63791=LINE('',#460735,#101710); #63792=LINE('',#460736,#101711); #63793=LINE('',#460739,#101712); #63794=LINE('',#460741,#101713); #63795=LINE('',#460742,#101714); #63796=LINE('',#460745,#101715); #63797=LINE('',#460747,#101716); #63798=LINE('',#460748,#101717); #63799=LINE('',#460751,#101718); #63800=LINE('',#460753,#101719); #63801=LINE('',#460754,#101720); #63802=LINE('',#460757,#101721); #63803=LINE('',#460759,#101722); #63804=LINE('',#460760,#101723); #63805=LINE('',#460763,#101724); #63806=LINE('',#460765,#101725); #63807=LINE('',#460766,#101726); #63808=LINE('',#460769,#101727); #63809=LINE('',#460771,#101728); #63810=LINE('',#460772,#101729); #63811=LINE('',#460775,#101730); #63812=LINE('',#460777,#101731); #63813=LINE('',#460778,#101732); #63814=LINE('',#460780,#101733); #63815=LINE('',#460781,#101734); #63816=LINE('',#460788,#101735); #63817=LINE('',#460793,#101736); #63818=LINE('',#460795,#101737); #63819=LINE('',#460797,#101738); #63820=LINE('',#460798,#101739); #63821=LINE('',#460801,#101740); #63822=LINE('',#460803,#101741); #63823=LINE('',#460804,#101742); #63824=LINE('',#460807,#101743); #63825=LINE('',#460809,#101744); #63826=LINE('',#460810,#101745); #63827=LINE('',#460813,#101746); #63828=LINE('',#460815,#101747); #63829=LINE('',#460816,#101748); #63830=LINE('',#460819,#101749); #63831=LINE('',#460821,#101750); #63832=LINE('',#460822,#101751); #63833=LINE('',#460828,#101752); #63834=LINE('',#460831,#101753); #63835=LINE('',#460833,#101754); #63836=LINE('',#460834,#101755); #63837=LINE('',#460837,#101756); #63838=LINE('',#460839,#101757); #63839=LINE('',#460840,#101758); #63840=LINE('',#460846,#101759); #63841=LINE('',#460849,#101760); #63842=LINE('',#460851,#101761); #63843=LINE('',#460852,#101762); #63844=LINE('',#460855,#101763); #63845=LINE('',#460857,#101764); #63846=LINE('',#460858,#101765); #63847=LINE('',#460864,#101766); #63848=LINE('',#460870,#101767); #63849=LINE('',#460873,#101768); #63850=LINE('',#460875,#101769); #63851=LINE('',#460876,#101770); #63852=LINE('',#460882,#101771); #63853=LINE('',#460885,#101772); #63854=LINE('',#460887,#101773); #63855=LINE('',#460888,#101774); #63856=LINE('',#460891,#101775); #63857=LINE('',#460893,#101776); #63858=LINE('',#460894,#101777); #63859=LINE('',#460900,#101778); #63860=LINE('',#460903,#101779); #63861=LINE('',#460905,#101780); #63862=LINE('',#460906,#101781); #63863=LINE('',#460909,#101782); #63864=LINE('',#460911,#101783); #63865=LINE('',#460912,#101784); #63866=LINE('',#460914,#101785); #63867=LINE('',#460915,#101786); #63868=LINE('',#460922,#101787); #63869=LINE('',#460927,#101788); #63870=LINE('',#460929,#101789); #63871=LINE('',#460931,#101790); #63872=LINE('',#460932,#101791); #63873=LINE('',#460935,#101792); #63874=LINE('',#460937,#101793); #63875=LINE('',#460938,#101794); #63876=LINE('',#460941,#101795); #63877=LINE('',#460943,#101796); #63878=LINE('',#460944,#101797); #63879=LINE('',#460946,#101798); #63880=LINE('',#460947,#101799); #63881=LINE('',#460954,#101800); #63882=LINE('',#460959,#101801); #63883=LINE('',#460961,#101802); #63884=LINE('',#460963,#101803); #63885=LINE('',#460964,#101804); #63886=LINE('',#460967,#101805); #63887=LINE('',#460969,#101806); #63888=LINE('',#460970,#101807); #63889=LINE('',#460973,#101808); #63890=LINE('',#460975,#101809); #63891=LINE('',#460976,#101810); #63892=LINE('',#460978,#101811); #63893=LINE('',#460979,#101812); #63894=LINE('',#460986,#101813); #63895=LINE('',#460991,#101814); #63896=LINE('',#460993,#101815); #63897=LINE('',#460995,#101816); #63898=LINE('',#460996,#101817); #63899=LINE('',#461002,#101818); #63900=LINE('',#461005,#101819); #63901=LINE('',#461007,#101820); #63902=LINE('',#461008,#101821); #63903=LINE('',#461014,#101822); #63904=LINE('',#461017,#101823); #63905=LINE('',#461019,#101824); #63906=LINE('',#461020,#101825); #63907=LINE('',#461023,#101826); #63908=LINE('',#461025,#101827); #63909=LINE('',#461026,#101828); #63910=LINE('',#461029,#101829); #63911=LINE('',#461031,#101830); #63912=LINE('',#461032,#101831); #63913=LINE('',#461035,#101832); #63914=LINE('',#461037,#101833); #63915=LINE('',#461038,#101834); #63916=LINE('',#461041,#101835); #63917=LINE('',#461043,#101836); #63918=LINE('',#461044,#101837); #63919=LINE('',#461047,#101838); #63920=LINE('',#461049,#101839); #63921=LINE('',#461050,#101840); #63922=LINE('',#461053,#101841); #63923=LINE('',#461055,#101842); #63924=LINE('',#461056,#101843); #63925=LINE('',#461059,#101844); #63926=LINE('',#461061,#101845); #63927=LINE('',#461062,#101846); #63928=LINE('',#461065,#101847); #63929=LINE('',#461067,#101848); #63930=LINE('',#461068,#101849); #63931=LINE('',#461078,#101850); #63932=LINE('',#461083,#101851); #63933=LINE('',#461085,#101852); #63934=LINE('',#461087,#101853); #63935=LINE('',#461088,#101854); #63936=LINE('',#461094,#101855); #63937=LINE('',#461104,#101856); #63938=LINE('',#461110,#101857); #63939=LINE('',#461115,#101858); #63940=LINE('',#461117,#101859); #63941=LINE('',#461119,#101860); #63942=LINE('',#461120,#101861); #63943=LINE('',#461126,#101862); #63944=LINE('',#461129,#101863); #63945=LINE('',#461131,#101864); #63946=LINE('',#461132,#101865); #63947=LINE('',#461138,#101866); #63948=LINE('',#461144,#101867); #63949=LINE('',#461147,#101868); #63950=LINE('',#461149,#101869); #63951=LINE('',#461150,#101870); #63952=LINE('',#461160,#101871); #63953=LINE('',#461165,#101872); #63954=LINE('',#461167,#101873); #63955=LINE('',#461169,#101874); #63956=LINE('',#461170,#101875); #63957=LINE('',#461176,#101876); #63958=LINE('',#461186,#101877); #63959=LINE('',#461191,#101878); #63960=LINE('',#461193,#101879); #63961=LINE('',#461195,#101880); #63962=LINE('',#461196,#101881); #63963=LINE('',#461199,#101882); #63964=LINE('',#461201,#101883); #63965=LINE('',#461202,#101884); #63966=LINE('',#461205,#101885); #63967=LINE('',#461207,#101886); #63968=LINE('',#461208,#101887); #63969=LINE('',#461210,#101888); #63970=LINE('',#461211,#101889); #63971=LINE('',#461218,#101890); #63972=LINE('',#461223,#101891); #63973=LINE('',#461225,#101892); #63974=LINE('',#461227,#101893); #63975=LINE('',#461228,#101894); #63976=LINE('',#461231,#101895); #63977=LINE('',#461233,#101896); #63978=LINE('',#461234,#101897); #63979=LINE('',#461237,#101898); #63980=LINE('',#461239,#101899); #63981=LINE('',#461240,#101900); #63982=LINE('',#461243,#101901); #63983=LINE('',#461245,#101902); #63984=LINE('',#461246,#101903); #63985=LINE('',#461249,#101904); #63986=LINE('',#461251,#101905); #63987=LINE('',#461252,#101906); #63988=LINE('',#461255,#101907); #63989=LINE('',#461257,#101908); #63990=LINE('',#461258,#101909); #63991=LINE('',#461261,#101910); #63992=LINE('',#461263,#101911); #63993=LINE('',#461264,#101912); #63994=LINE('',#461266,#101913); #63995=LINE('',#461267,#101914); #63996=LINE('',#461274,#101915); #63997=LINE('',#461279,#101916); #63998=LINE('',#461281,#101917); #63999=LINE('',#461283,#101918); #64000=LINE('',#461284,#101919); #64001=LINE('',#461290,#101920); #64002=LINE('',#461300,#101921); #64003=LINE('',#461305,#101922); #64004=LINE('',#461307,#101923); #64005=LINE('',#461309,#101924); #64006=LINE('',#461310,#101925); #64007=LINE('',#461313,#101926); #64008=LINE('',#461315,#101927); #64009=LINE('',#461316,#101928); #64010=LINE('',#461319,#101929); #64011=LINE('',#461321,#101930); #64012=LINE('',#461322,#101931); #64013=LINE('',#461325,#101932); #64014=LINE('',#461327,#101933); #64015=LINE('',#461328,#101934); #64016=LINE('',#461331,#101935); #64017=LINE('',#461333,#101936); #64018=LINE('',#461334,#101937); #64019=LINE('',#461337,#101938); #64020=LINE('',#461339,#101939); #64021=LINE('',#461340,#101940); #64022=LINE('',#461343,#101941); #64023=LINE('',#461345,#101942); #64024=LINE('',#461346,#101943); #64025=LINE('',#461349,#101944); #64026=LINE('',#461351,#101945); #64027=LINE('',#461352,#101946); #64028=LINE('',#461355,#101947); #64029=LINE('',#461357,#101948); #64030=LINE('',#461358,#101949); #64031=LINE('',#461361,#101950); #64032=LINE('',#461363,#101951); #64033=LINE('',#461364,#101952); #64034=LINE('',#461367,#101953); #64035=LINE('',#461369,#101954); #64036=LINE('',#461370,#101955); #64037=LINE('',#461373,#101956); #64038=LINE('',#461375,#101957); #64039=LINE('',#461376,#101958); #64040=LINE('',#461382,#101959); #64041=LINE('',#461385,#101960); #64042=LINE('',#461387,#101961); #64043=LINE('',#461388,#101962); #64044=LINE('',#461394,#101963); #64045=LINE('',#461397,#101964); #64046=LINE('',#461399,#101965); #64047=LINE('',#461400,#101966); #64048=LINE('',#461403,#101967); #64049=LINE('',#461405,#101968); #64050=LINE('',#461406,#101969); #64051=LINE('',#461409,#101970); #64052=LINE('',#461411,#101971); #64053=LINE('',#461412,#101972); #64054=LINE('',#461418,#101973); #64055=LINE('',#461421,#101974); #64056=LINE('',#461423,#101975); #64057=LINE('',#461424,#101976); #64058=LINE('',#461430,#101977); #64059=LINE('',#461433,#101978); #64060=LINE('',#461435,#101979); #64061=LINE('',#461436,#101980); #64062=LINE('',#461442,#101981); #64063=LINE('',#461448,#101982); #64064=LINE('',#461451,#101983); #64065=LINE('',#461453,#101984); #64066=LINE('',#461454,#101985); #64067=LINE('',#461457,#101986); #64068=LINE('',#461459,#101987); #64069=LINE('',#461460,#101988); #64070=LINE('',#461463,#101989); #64071=LINE('',#461465,#101990); #64072=LINE('',#461466,#101991); #64073=LINE('',#461472,#101992); #64074=LINE('',#461475,#101993); #64075=LINE('',#461477,#101994); #64076=LINE('',#461478,#101995); #64077=LINE('',#461484,#101996); #64078=LINE('',#461487,#101997); #64079=LINE('',#461489,#101998); #64080=LINE('',#461490,#101999); #64081=LINE('',#461493,#102000); #64082=LINE('',#461495,#102001); #64083=LINE('',#461496,#102002); #64084=LINE('',#461499,#102003); #64085=LINE('',#461501,#102004); #64086=LINE('',#461502,#102005); #64087=LINE('',#461508,#102006); #64088=LINE('',#461511,#102007); #64089=LINE('',#461513,#102008); #64090=LINE('',#461514,#102009); #64091=LINE('',#461520,#102010); #64092=LINE('',#461523,#102011); #64093=LINE('',#461525,#102012); #64094=LINE('',#461526,#102013); #64095=LINE('',#461529,#102014); #64096=LINE('',#461531,#102015); #64097=LINE('',#461532,#102016); #64098=LINE('',#461535,#102017); #64099=LINE('',#461537,#102018); #64100=LINE('',#461538,#102019); #64101=LINE('',#461541,#102020); #64102=LINE('',#461543,#102021); #64103=LINE('',#461544,#102022); #64104=LINE('',#461547,#102023); #64105=LINE('',#461549,#102024); #64106=LINE('',#461550,#102025); #64107=LINE('',#461553,#102026); #64108=LINE('',#461555,#102027); #64109=LINE('',#461556,#102028); #64110=LINE('',#461558,#102029); #64111=LINE('',#461559,#102030); #64112=LINE('',#461566,#102031); #64113=LINE('',#461571,#102032); #64114=LINE('',#461573,#102033); #64115=LINE('',#461575,#102034); #64116=LINE('',#461576,#102035); #64117=LINE('',#461579,#102036); #64118=LINE('',#461581,#102037); #64119=LINE('',#461582,#102038); #64120=LINE('',#461585,#102039); #64121=LINE('',#461587,#102040); #64122=LINE('',#461588,#102041); #64123=LINE('',#461591,#102042); #64124=LINE('',#461593,#102043); #64125=LINE('',#461594,#102044); #64126=LINE('',#461600,#102045); #64127=LINE('',#461603,#102046); #64128=LINE('',#461605,#102047); #64129=LINE('',#461606,#102048); #64130=LINE('',#461612,#102049); #64131=LINE('',#461615,#102050); #64132=LINE('',#461617,#102051); #64133=LINE('',#461618,#102052); #64134=LINE('',#461621,#102053); #64135=LINE('',#461623,#102054); #64136=LINE('',#461624,#102055); #64137=LINE('',#461630,#102056); #64138=LINE('',#461633,#102057); #64139=LINE('',#461635,#102058); #64140=LINE('',#461636,#102059); #64141=LINE('',#461642,#102060); #64142=LINE('',#461648,#102061); #64143=LINE('',#461651,#102062); #64144=LINE('',#461653,#102063); #64145=LINE('',#461654,#102064); #64146=LINE('',#461657,#102065); #64147=LINE('',#461659,#102066); #64148=LINE('',#461660,#102067); #64149=LINE('',#461666,#102068); #64150=LINE('',#461669,#102069); #64151=LINE('',#461671,#102070); #64152=LINE('',#461672,#102071); #64153=LINE('',#461675,#102072); #64154=LINE('',#461677,#102073); #64155=LINE('',#461678,#102074); #64156=LINE('',#461681,#102075); #64157=LINE('',#461683,#102076); #64158=LINE('',#461684,#102077); #64159=LINE('',#461687,#102078); #64160=LINE('',#461689,#102079); #64161=LINE('',#461690,#102080); #64162=LINE('',#461692,#102081); #64163=LINE('',#461693,#102082); #64164=LINE('',#461699,#102083); #64165=LINE('',#461701,#102084); #64166=LINE('',#461703,#102085); #64167=LINE('',#461704,#102086); #64168=LINE('',#461707,#102087); #64169=LINE('',#461709,#102088); #64170=LINE('',#461710,#102089); #64171=LINE('',#461713,#102090); #64172=LINE('',#461715,#102091); #64173=LINE('',#461716,#102092); #64174=LINE('',#461719,#102093); #64175=LINE('',#461721,#102094); #64176=LINE('',#461722,#102095); #64177=LINE('',#461725,#102096); #64178=LINE('',#461727,#102097); #64179=LINE('',#461728,#102098); #64180=LINE('',#461731,#102099); #64181=LINE('',#461733,#102100); #64182=LINE('',#461734,#102101); #64183=LINE('',#461737,#102102); #64184=LINE('',#461739,#102103); #64185=LINE('',#461740,#102104); #64186=LINE('',#461743,#102105); #64187=LINE('',#461745,#102106); #64188=LINE('',#461746,#102107); #64189=LINE('',#461749,#102108); #64190=LINE('',#461751,#102109); #64191=LINE('',#461752,#102110); #64192=LINE('',#461755,#102111); #64193=LINE('',#461757,#102112); #64194=LINE('',#461758,#102113); #64195=LINE('',#461761,#102114); #64196=LINE('',#461763,#102115); #64197=LINE('',#461764,#102116); #64198=LINE('',#461766,#102117); #64199=LINE('',#461767,#102118); #64200=LINE('',#461773,#102119); #64201=LINE('',#461775,#102120); #64202=LINE('',#461777,#102121); #64203=LINE('',#461778,#102122); #64204=LINE('',#461781,#102123); #64205=LINE('',#461783,#102124); #64206=LINE('',#461784,#102125); #64207=LINE('',#461787,#102126); #64208=LINE('',#461789,#102127); #64209=LINE('',#461790,#102128); #64210=LINE('',#461793,#102129); #64211=LINE('',#461795,#102130); #64212=LINE('',#461796,#102131); #64213=LINE('',#461799,#102132); #64214=LINE('',#461801,#102133); #64215=LINE('',#461802,#102134); #64216=LINE('',#461805,#102135); #64217=LINE('',#461807,#102136); #64218=LINE('',#461808,#102137); #64219=LINE('',#461811,#102138); #64220=LINE('',#461813,#102139); #64221=LINE('',#461814,#102140); #64222=LINE('',#461817,#102141); #64223=LINE('',#461819,#102142); #64224=LINE('',#461820,#102143); #64225=LINE('',#461823,#102144); #64226=LINE('',#461825,#102145); #64227=LINE('',#461826,#102146); #64228=LINE('',#461829,#102147); #64229=LINE('',#461831,#102148); #64230=LINE('',#461832,#102149); #64231=LINE('',#461835,#102150); #64232=LINE('',#461837,#102151); #64233=LINE('',#461838,#102152); #64234=LINE('',#461840,#102153); #64235=LINE('',#461841,#102154); #64236=LINE('',#461848,#102155); #64237=LINE('',#461853,#102156); #64238=LINE('',#461855,#102157); #64239=LINE('',#461857,#102158); #64240=LINE('',#461858,#102159); #64241=LINE('',#461861,#102160); #64242=LINE('',#461863,#102161); #64243=LINE('',#461864,#102162); #64244=LINE('',#461867,#102163); #64245=LINE('',#461869,#102164); #64246=LINE('',#461870,#102165); #64247=LINE('',#461873,#102166); #64248=LINE('',#461875,#102167); #64249=LINE('',#461876,#102168); #64250=LINE('',#461882,#102169); #64251=LINE('',#461885,#102170); #64252=LINE('',#461887,#102171); #64253=LINE('',#461888,#102172); #64254=LINE('',#461894,#102173); #64255=LINE('',#461897,#102174); #64256=LINE('',#461899,#102175); #64257=LINE('',#461900,#102176); #64258=LINE('',#461906,#102177); #64259=LINE('',#461909,#102178); #64260=LINE('',#461911,#102179); #64261=LINE('',#461912,#102180); #64262=LINE('',#461918,#102181); #64263=LINE('',#461924,#102182); #64264=LINE('',#461927,#102183); #64265=LINE('',#461929,#102184); #64266=LINE('',#461930,#102185); #64267=LINE('',#461933,#102186); #64268=LINE('',#461935,#102187); #64269=LINE('',#461936,#102188); #64270=LINE('',#461939,#102189); #64271=LINE('',#461941,#102190); #64272=LINE('',#461942,#102191); #64273=LINE('',#461945,#102192); #64274=LINE('',#461947,#102193); #64275=LINE('',#461948,#102194); #64276=LINE('',#461950,#102195); #64277=LINE('',#461951,#102196); #64278=LINE('',#461958,#102197); #64279=LINE('',#461963,#102198); #64280=LINE('',#461965,#102199); #64281=LINE('',#461967,#102200); #64282=LINE('',#461968,#102201); #64283=LINE('',#461974,#102202); #64284=LINE('',#461977,#102203); #64285=LINE('',#461979,#102204); #64286=LINE('',#461980,#102205); #64287=LINE('',#461986,#102206); #64288=LINE('',#461989,#102207); #64289=LINE('',#461991,#102208); #64290=LINE('',#461992,#102209); #64291=LINE('',#461998,#102210); #64292=LINE('',#462001,#102211); #64293=LINE('',#462003,#102212); #64294=LINE('',#462004,#102213); #64295=LINE('',#462007,#102214); #64296=LINE('',#462009,#102215); #64297=LINE('',#462010,#102216); #64298=LINE('',#462013,#102217); #64299=LINE('',#462015,#102218); #64300=LINE('',#462016,#102219); #64301=LINE('',#462019,#102220); #64302=LINE('',#462021,#102221); #64303=LINE('',#462022,#102222); #64304=LINE('',#462025,#102223); #64305=LINE('',#462027,#102224); #64306=LINE('',#462028,#102225); #64307=LINE('',#462031,#102226); #64308=LINE('',#462033,#102227); #64309=LINE('',#462034,#102228); #64310=LINE('',#462037,#102229); #64311=LINE('',#462039,#102230); #64312=LINE('',#462040,#102231); #64313=LINE('',#462043,#102232); #64314=LINE('',#462045,#102233); #64315=LINE('',#462046,#102234); #64316=LINE('',#462049,#102235); #64317=LINE('',#462051,#102236); #64318=LINE('',#462052,#102237); #64319=LINE('',#462058,#102238); #64320=LINE('',#462061,#102239); #64321=LINE('',#462063,#102240); #64322=LINE('',#462064,#102241); #64323=LINE('',#462070,#102242); #64324=LINE('',#462073,#102243); #64325=LINE('',#462075,#102244); #64326=LINE('',#462076,#102245); #64327=LINE('',#462079,#102246); #64328=LINE('',#462081,#102247); #64329=LINE('',#462082,#102248); #64330=LINE('',#462085,#102249); #64331=LINE('',#462087,#102250); #64332=LINE('',#462088,#102251); #64333=LINE('',#462098,#102252); #64334=LINE('',#462103,#102253); #64335=LINE('',#462105,#102254); #64336=LINE('',#462107,#102255); #64337=LINE('',#462108,#102256); #64338=LINE('',#462114,#102257); #64339=LINE('',#462120,#102258); #64340=LINE('',#462123,#102259); #64341=LINE('',#462125,#102260); #64342=LINE('',#462126,#102261); #64343=LINE('',#462129,#102262); #64344=LINE('',#462131,#102263); #64345=LINE('',#462132,#102264); #64346=LINE('',#462135,#102265); #64347=LINE('',#462137,#102266); #64348=LINE('',#462138,#102267); #64349=LINE('',#462141,#102268); #64350=LINE('',#462143,#102269); #64351=LINE('',#462144,#102270); #64352=LINE('',#462147,#102271); #64353=LINE('',#462149,#102272); #64354=LINE('',#462150,#102273); #64355=LINE('',#462153,#102274); #64356=LINE('',#462155,#102275); #64357=LINE('',#462156,#102276); #64358=LINE('',#462162,#102277); #64359=LINE('',#462165,#102278); #64360=LINE('',#462167,#102279); #64361=LINE('',#462168,#102280); #64362=LINE('',#462177,#102281); #64363=LINE('',#462179,#102282); #64364=LINE('',#462181,#102283); #64365=LINE('',#462182,#102284); #64366=LINE('',#462185,#102285); #64367=LINE('',#462187,#102286); #64368=LINE('',#462188,#102287); #64369=LINE('',#462191,#102288); #64370=LINE('',#462193,#102289); #64371=LINE('',#462194,#102290); #64372=LINE('',#462197,#102291); #64373=LINE('',#462199,#102292); #64374=LINE('',#462200,#102293); #64375=LINE('',#462206,#102294); #64376=LINE('',#462209,#102295); #64377=LINE('',#462211,#102296); #64378=LINE('',#462212,#102297); #64379=LINE('',#462218,#102298); #64380=LINE('',#462221,#102299); #64381=LINE('',#462223,#102300); #64382=LINE('',#462224,#102301); #64383=LINE('',#462227,#102302); #64384=LINE('',#462229,#102303); #64385=LINE('',#462230,#102304); #64386=LINE('',#462233,#102305); #64387=LINE('',#462235,#102306); #64388=LINE('',#462236,#102307); #64389=LINE('',#462242,#102308); #64390=LINE('',#462245,#102309); #64391=LINE('',#462247,#102310); #64392=LINE('',#462248,#102311); #64393=LINE('',#462254,#102312); #64394=LINE('',#462257,#102313); #64395=LINE('',#462259,#102314); #64396=LINE('',#462260,#102315); #64397=LINE('',#462263,#102316); #64398=LINE('',#462265,#102317); #64399=LINE('',#462266,#102318); #64400=LINE('',#462269,#102319); #64401=LINE('',#462271,#102320); #64402=LINE('',#462272,#102321); #64403=LINE('',#462275,#102322); #64404=LINE('',#462277,#102323); #64405=LINE('',#462278,#102324); #64406=LINE('',#462281,#102325); #64407=LINE('',#462283,#102326); #64408=LINE('',#462284,#102327); #64409=LINE('',#462287,#102328); #64410=LINE('',#462289,#102329); #64411=LINE('',#462290,#102330); #64412=LINE('',#462293,#102331); #64413=LINE('',#462295,#102332); #64414=LINE('',#462296,#102333); #64415=LINE('',#462299,#102334); #64416=LINE('',#462301,#102335); #64417=LINE('',#462302,#102336); #64418=LINE('',#462305,#102337); #64419=LINE('',#462307,#102338); #64420=LINE('',#462308,#102339); #64421=LINE('',#462314,#102340); #64422=LINE('',#462317,#102341); #64423=LINE('',#462319,#102342); #64424=LINE('',#462320,#102343); #64425=LINE('',#462326,#102344); #64426=LINE('',#462329,#102345); #64427=LINE('',#462331,#102346); #64428=LINE('',#462332,#102347); #64429=LINE('',#462335,#102348); #64430=LINE('',#462337,#102349); #64431=LINE('',#462338,#102350); #64432=LINE('',#462341,#102351); #64433=LINE('',#462343,#102352); #64434=LINE('',#462344,#102353); #64435=LINE('',#462350,#102354); #64436=LINE('',#462353,#102355); #64437=LINE('',#462355,#102356); #64438=LINE('',#462356,#102357); #64439=LINE('',#462362,#102358); #64440=LINE('',#462365,#102359); #64441=LINE('',#462367,#102360); #64442=LINE('',#462368,#102361); #64443=LINE('',#462371,#102362); #64444=LINE('',#462373,#102363); #64445=LINE('',#462374,#102364); #64446=LINE('',#462377,#102365); #64447=LINE('',#462379,#102366); #64448=LINE('',#462380,#102367); #64449=LINE('',#462383,#102368); #64450=LINE('',#462385,#102369); #64451=LINE('',#462386,#102370); #64452=LINE('',#462388,#102371); #64453=LINE('',#462389,#102372); #64454=LINE('',#462396,#102373); #64455=LINE('',#462401,#102374); #64456=LINE('',#462403,#102375); #64457=LINE('',#462405,#102376); #64458=LINE('',#462406,#102377); #64459=LINE('',#462412,#102378); #64460=LINE('',#462415,#102379); #64461=LINE('',#462417,#102380); #64462=LINE('',#462418,#102381); #64463=LINE('',#462421,#102382); #64464=LINE('',#462423,#102383); #64465=LINE('',#462424,#102384); #64466=LINE('',#462427,#102385); #64467=LINE('',#462429,#102386); #64468=LINE('',#462430,#102387); #64469=LINE('',#462433,#102388); #64470=LINE('',#462435,#102389); #64471=LINE('',#462436,#102390); #64472=LINE('',#462439,#102391); #64473=LINE('',#462441,#102392); #64474=LINE('',#462442,#102393); #64475=LINE('',#462445,#102394); #64476=LINE('',#462447,#102395); #64477=LINE('',#462448,#102396); #64478=LINE('',#462451,#102397); #64479=LINE('',#462453,#102398); #64480=LINE('',#462454,#102399); #64481=LINE('',#462464,#102400); #64482=LINE('',#462470,#102401); #64483=LINE('',#462475,#102402); #64484=LINE('',#462477,#102403); #64485=LINE('',#462479,#102404); #64486=LINE('',#462480,#102405); #64487=LINE('',#462483,#102406); #64488=LINE('',#462485,#102407); #64489=LINE('',#462486,#102408); #64490=LINE('',#462492,#102409); #64491=LINE('',#462498,#102410); #64492=LINE('',#462501,#102411); #64493=LINE('',#462503,#102412); #64494=LINE('',#462504,#102413); #64495=LINE('',#462507,#102414); #64496=LINE('',#462509,#102415); #64497=LINE('',#462510,#102416); #64498=LINE('',#462516,#102417); #64499=LINE('',#462522,#102418); #64500=LINE('',#462525,#102419); #64501=LINE('',#462527,#102420); #64502=LINE('',#462528,#102421); #64503=LINE('',#462531,#102422); #64504=LINE('',#462533,#102423); #64505=LINE('',#462534,#102424); #64506=LINE('',#462537,#102425); #64507=LINE('',#462539,#102426); #64508=LINE('',#462540,#102427); #64509=LINE('',#462543,#102428); #64510=LINE('',#462545,#102429); #64511=LINE('',#462546,#102430); #64512=LINE('',#462549,#102431); #64513=LINE('',#462551,#102432); #64514=LINE('',#462552,#102433); #64515=LINE('',#462555,#102434); #64516=LINE('',#462557,#102435); #64517=LINE('',#462558,#102436); #64518=LINE('',#462561,#102437); #64519=LINE('',#462563,#102438); #64520=LINE('',#462564,#102439); #64521=LINE('',#462567,#102440); #64522=LINE('',#462569,#102441); #64523=LINE('',#462570,#102442); #64524=LINE('',#462573,#102443); #64525=LINE('',#462575,#102444); #64526=LINE('',#462576,#102445); #64527=LINE('',#462579,#102446); #64528=LINE('',#462581,#102447); #64529=LINE('',#462582,#102448); #64530=LINE('',#462588,#102449); #64531=LINE('',#462591,#102450); #64532=LINE('',#462593,#102451); #64533=LINE('',#462594,#102452); #64534=LINE('',#462603,#102453); #64535=LINE('',#462605,#102454); #64536=LINE('',#462607,#102455); #64537=LINE('',#462608,#102456); #64538=LINE('',#462611,#102457); #64539=LINE('',#462613,#102458); #64540=LINE('',#462614,#102459); #64541=LINE('',#462617,#102460); #64542=LINE('',#462619,#102461); #64543=LINE('',#462620,#102462); #64544=LINE('',#462623,#102463); #64545=LINE('',#462625,#102464); #64546=LINE('',#462626,#102465); #64547=LINE('',#462632,#102466); #64548=LINE('',#462635,#102467); #64549=LINE('',#462637,#102468); #64550=LINE('',#462638,#102469); #64551=LINE('',#462641,#102470); #64552=LINE('',#462643,#102471); #64553=LINE('',#462644,#102472); #64554=LINE('',#462647,#102473); #64555=LINE('',#462649,#102474); #64556=LINE('',#462650,#102475); #64557=LINE('',#462653,#102476); #64558=LINE('',#462655,#102477); #64559=LINE('',#462656,#102478); #64560=LINE('',#462659,#102479); #64561=LINE('',#462661,#102480); #64562=LINE('',#462662,#102481); #64563=LINE('',#462665,#102482); #64564=LINE('',#462667,#102483); #64565=LINE('',#462668,#102484); #64566=LINE('',#462671,#102485); #64567=LINE('',#462673,#102486); #64568=LINE('',#462674,#102487); #64569=LINE('',#462677,#102488); #64570=LINE('',#462679,#102489); #64571=LINE('',#462680,#102490); #64572=LINE('',#462682,#102491); #64573=LINE('',#462683,#102492); #64574=LINE('',#462690,#102493); #64575=LINE('',#462696,#102494); #64576=LINE('',#462702,#102495); #64577=LINE('',#462708,#102496); #64578=LINE('',#462714,#102497); #64579=LINE('',#462720,#102498); #64580=LINE('',#462726,#102499); #64581=LINE('',#462732,#102500); #64582=LINE('',#462738,#102501); #64583=LINE('',#462744,#102502); #64584=LINE('',#462750,#102503); #64585=LINE('',#462756,#102504); #64586=LINE('',#462762,#102505); #64587=LINE('',#462767,#102506); #64588=LINE('',#462769,#102507); #64589=LINE('',#462771,#102508); #64590=LINE('',#462772,#102509); #64591=LINE('',#462775,#102510); #64592=LINE('',#462777,#102511); #64593=LINE('',#462778,#102512); #64594=LINE('',#462781,#102513); #64595=LINE('',#462783,#102514); #64596=LINE('',#462784,#102515); #64597=LINE('',#462787,#102516); #64598=LINE('',#462789,#102517); #64599=LINE('',#462790,#102518); #64600=LINE('',#462793,#102519); #64601=LINE('',#462795,#102520); #64602=LINE('',#462796,#102521); #64603=LINE('',#462799,#102522); #64604=LINE('',#462801,#102523); #64605=LINE('',#462802,#102524); #64606=LINE('',#462805,#102525); #64607=LINE('',#462807,#102526); #64608=LINE('',#462808,#102527); #64609=LINE('',#462811,#102528); #64610=LINE('',#462813,#102529); #64611=LINE('',#462814,#102530); #64612=LINE('',#462817,#102531); #64613=LINE('',#462819,#102532); #64614=LINE('',#462820,#102533); #64615=LINE('',#462823,#102534); #64616=LINE('',#462825,#102535); #64617=LINE('',#462826,#102536); #64618=LINE('',#462829,#102537); #64619=LINE('',#462831,#102538); #64620=LINE('',#462832,#102539); #64621=LINE('',#462835,#102540); #64622=LINE('',#462837,#102541); #64623=LINE('',#462838,#102542); #64624=LINE('',#462841,#102543); #64625=LINE('',#462843,#102544); #64626=LINE('',#462844,#102545); #64627=LINE('',#462847,#102546); #64628=LINE('',#462849,#102547); #64629=LINE('',#462850,#102548); #64630=LINE('',#462853,#102549); #64631=LINE('',#462855,#102550); #64632=LINE('',#462856,#102551); #64633=LINE('',#462858,#102552); #64634=LINE('',#462859,#102553); #64635=LINE('',#462863,#102554); #64636=LINE('',#462865,#102555); #64637=LINE('',#462867,#102556); #64638=LINE('',#462868,#102557); #64639=LINE('',#462871,#102558); #64640=LINE('',#462873,#102559); #64641=LINE('',#462874,#102560); #64642=LINE('',#462877,#102561); #64643=LINE('',#462879,#102562); #64644=LINE('',#462880,#102563); #64645=LINE('',#462883,#102564); #64646=LINE('',#462885,#102565); #64647=LINE('',#462886,#102566); #64648=LINE('',#462889,#102567); #64649=LINE('',#462891,#102568); #64650=LINE('',#462892,#102569); #64651=LINE('',#462895,#102570); #64652=LINE('',#462897,#102571); #64653=LINE('',#462898,#102572); #64654=LINE('',#462901,#102573); #64655=LINE('',#462903,#102574); #64656=LINE('',#462904,#102575); #64657=LINE('',#462907,#102576); #64658=LINE('',#462909,#102577); #64659=LINE('',#462910,#102578); #64660=LINE('',#462913,#102579); #64661=LINE('',#462915,#102580); #64662=LINE('',#462916,#102581); #64663=LINE('',#462919,#102582); #64664=LINE('',#462921,#102583); #64665=LINE('',#462922,#102584); #64666=LINE('',#462925,#102585); #64667=LINE('',#462927,#102586); #64668=LINE('',#462928,#102587); #64669=LINE('',#462931,#102588); #64670=LINE('',#462933,#102589); #64671=LINE('',#462934,#102590); #64672=LINE('',#462937,#102591); #64673=LINE('',#462939,#102592); #64674=LINE('',#462940,#102593); #64675=LINE('',#462943,#102594); #64676=LINE('',#462945,#102595); #64677=LINE('',#462946,#102596); #64678=LINE('',#462949,#102597); #64679=LINE('',#462951,#102598); #64680=LINE('',#462952,#102599); #64681=LINE('',#462955,#102600); #64682=LINE('',#462957,#102601); #64683=LINE('',#462958,#102602); #64684=LINE('',#462961,#102603); #64685=LINE('',#462963,#102604); #64686=LINE('',#462964,#102605); #64687=LINE('',#462967,#102606); #64688=LINE('',#462969,#102607); #64689=LINE('',#462970,#102608); #64690=LINE('',#462973,#102609); #64691=LINE('',#462975,#102610); #64692=LINE('',#462976,#102611); #64693=LINE('',#462979,#102612); #64694=LINE('',#462981,#102613); #64695=LINE('',#462982,#102614); #64696=LINE('',#462985,#102615); #64697=LINE('',#462987,#102616); #64698=LINE('',#462988,#102617); #64699=LINE('',#462991,#102618); #64700=LINE('',#462993,#102619); #64701=LINE('',#462994,#102620); #64702=LINE('',#462997,#102621); #64703=LINE('',#462999,#102622); #64704=LINE('',#463000,#102623); #64705=LINE('',#463003,#102624); #64706=LINE('',#463005,#102625); #64707=LINE('',#463006,#102626); #64708=LINE('',#463009,#102627); #64709=LINE('',#463011,#102628); #64710=LINE('',#463012,#102629); #64711=LINE('',#463015,#102630); #64712=LINE('',#463017,#102631); #64713=LINE('',#463018,#102632); #64714=LINE('',#463021,#102633); #64715=LINE('',#463023,#102634); #64716=LINE('',#463024,#102635); #64717=LINE('',#463027,#102636); #64718=LINE('',#463029,#102637); #64719=LINE('',#463030,#102638); #64720=LINE('',#463033,#102639); #64721=LINE('',#463035,#102640); #64722=LINE('',#463036,#102641); #64723=LINE('',#463039,#102642); #64724=LINE('',#463041,#102643); #64725=LINE('',#463042,#102644); #64726=LINE('',#463045,#102645); #64727=LINE('',#463047,#102646); #64728=LINE('',#463048,#102647); #64729=LINE('',#463051,#102648); #64730=LINE('',#463053,#102649); #64731=LINE('',#463054,#102650); #64732=LINE('',#463057,#102651); #64733=LINE('',#463059,#102652); #64734=LINE('',#463060,#102653); #64735=LINE('',#463063,#102654); #64736=LINE('',#463065,#102655); #64737=LINE('',#463066,#102656); #64738=LINE('',#463069,#102657); #64739=LINE('',#463071,#102658); #64740=LINE('',#463072,#102659); #64741=LINE('',#463075,#102660); #64742=LINE('',#463077,#102661); #64743=LINE('',#463078,#102662); #64744=LINE('',#463081,#102663); #64745=LINE('',#463083,#102664); #64746=LINE('',#463084,#102665); #64747=LINE('',#463087,#102666); #64748=LINE('',#463089,#102667); #64749=LINE('',#463090,#102668); #64750=LINE('',#463093,#102669); #64751=LINE('',#463095,#102670); #64752=LINE('',#463096,#102671); #64753=LINE('',#463099,#102672); #64754=LINE('',#463101,#102673); #64755=LINE('',#463102,#102674); #64756=LINE('',#463105,#102675); #64757=LINE('',#463107,#102676); #64758=LINE('',#463108,#102677); #64759=LINE('',#463111,#102678); #64760=LINE('',#463113,#102679); #64761=LINE('',#463114,#102680); #64762=LINE('',#463117,#102681); #64763=LINE('',#463119,#102682); #64764=LINE('',#463120,#102683); #64765=LINE('',#463123,#102684); #64766=LINE('',#463125,#102685); #64767=LINE('',#463126,#102686); #64768=LINE('',#463129,#102687); #64769=LINE('',#463131,#102688); #64770=LINE('',#463132,#102689); #64771=LINE('',#463135,#102690); #64772=LINE('',#463137,#102691); #64773=LINE('',#463138,#102692); #64774=LINE('',#463141,#102693); #64775=LINE('',#463143,#102694); #64776=LINE('',#463144,#102695); #64777=LINE('',#463147,#102696); #64778=LINE('',#463149,#102697); #64779=LINE('',#463150,#102698); #64780=LINE('',#463153,#102699); #64781=LINE('',#463155,#102700); #64782=LINE('',#463156,#102701); #64783=LINE('',#463159,#102702); #64784=LINE('',#463161,#102703); #64785=LINE('',#463162,#102704); #64786=LINE('',#463165,#102705); #64787=LINE('',#463167,#102706); #64788=LINE('',#463168,#102707); #64789=LINE('',#463171,#102708); #64790=LINE('',#463173,#102709); #64791=LINE('',#463174,#102710); #64792=LINE('',#463177,#102711); #64793=LINE('',#463179,#102712); #64794=LINE('',#463180,#102713); #64795=LINE('',#463183,#102714); #64796=LINE('',#463185,#102715); #64797=LINE('',#463186,#102716); #64798=LINE('',#463189,#102717); #64799=LINE('',#463191,#102718); #64800=LINE('',#463192,#102719); #64801=LINE('',#463195,#102720); #64802=LINE('',#463197,#102721); #64803=LINE('',#463198,#102722); #64804=LINE('',#463201,#102723); #64805=LINE('',#463203,#102724); #64806=LINE('',#463204,#102725); #64807=LINE('',#463207,#102726); #64808=LINE('',#463209,#102727); #64809=LINE('',#463210,#102728); #64810=LINE('',#463213,#102729); #64811=LINE('',#463215,#102730); #64812=LINE('',#463216,#102731); #64813=LINE('',#463219,#102732); #64814=LINE('',#463221,#102733); #64815=LINE('',#463222,#102734); #64816=LINE('',#463225,#102735); #64817=LINE('',#463227,#102736); #64818=LINE('',#463228,#102737); #64819=LINE('',#463231,#102738); #64820=LINE('',#463233,#102739); #64821=LINE('',#463234,#102740); #64822=LINE('',#463237,#102741); #64823=LINE('',#463239,#102742); #64824=LINE('',#463240,#102743); #64825=LINE('',#463243,#102744); #64826=LINE('',#463245,#102745); #64827=LINE('',#463246,#102746); #64828=LINE('',#463249,#102747); #64829=LINE('',#463251,#102748); #64830=LINE('',#463252,#102749); #64831=LINE('',#463255,#102750); #64832=LINE('',#463257,#102751); #64833=LINE('',#463258,#102752); #64834=LINE('',#463261,#102753); #64835=LINE('',#463263,#102754); #64836=LINE('',#463264,#102755); #64837=LINE('',#463267,#102756); #64838=LINE('',#463269,#102757); #64839=LINE('',#463270,#102758); #64840=LINE('',#463273,#102759); #64841=LINE('',#463275,#102760); #64842=LINE('',#463276,#102761); #64843=LINE('',#463279,#102762); #64844=LINE('',#463281,#102763); #64845=LINE('',#463282,#102764); #64846=LINE('',#463285,#102765); #64847=LINE('',#463287,#102766); #64848=LINE('',#463288,#102767); #64849=LINE('',#463291,#102768); #64850=LINE('',#463293,#102769); #64851=LINE('',#463294,#102770); #64852=LINE('',#463297,#102771); #64853=LINE('',#463299,#102772); #64854=LINE('',#463300,#102773); #64855=LINE('',#463303,#102774); #64856=LINE('',#463305,#102775); #64857=LINE('',#463306,#102776); #64858=LINE('',#463309,#102777); #64859=LINE('',#463311,#102778); #64860=LINE('',#463312,#102779); #64861=LINE('',#463315,#102780); #64862=LINE('',#463317,#102781); #64863=LINE('',#463318,#102782); #64864=LINE('',#463321,#102783); #64865=LINE('',#463323,#102784); #64866=LINE('',#463324,#102785); #64867=LINE('',#463327,#102786); #64868=LINE('',#463329,#102787); #64869=LINE('',#463330,#102788); #64870=LINE('',#463333,#102789); #64871=LINE('',#463335,#102790); #64872=LINE('',#463336,#102791); #64873=LINE('',#463339,#102792); #64874=LINE('',#463341,#102793); #64875=LINE('',#463342,#102794); #64876=LINE('',#463345,#102795); #64877=LINE('',#463347,#102796); #64878=LINE('',#463348,#102797); #64879=LINE('',#463350,#102798); #64880=LINE('',#463351,#102799); #64881=LINE('',#463358,#102800); #64882=LINE('',#463363,#102801); #64883=LINE('',#463365,#102802); #64884=LINE('',#463367,#102803); #64885=LINE('',#463368,#102804); #64886=LINE('',#463374,#102805); #64887=LINE('',#463384,#102806); #64888=LINE('',#463390,#102807); #64889=LINE('',#463396,#102808); #64890=LINE('',#463402,#102809); #64891=LINE('',#463408,#102810); #64892=LINE('',#463414,#102811); #64893=LINE('',#463420,#102812); #64894=LINE('',#463426,#102813); #64895=LINE('',#463432,#102814); #64896=LINE('',#463438,#102815); #64897=LINE('',#463444,#102816); #64898=LINE('',#463449,#102817); #64899=LINE('',#463451,#102818); #64900=LINE('',#463453,#102819); #64901=LINE('',#463454,#102820); #64902=LINE('',#463457,#102821); #64903=LINE('',#463459,#102822); #64904=LINE('',#463460,#102823); #64905=LINE('',#463463,#102824); #64906=LINE('',#463465,#102825); #64907=LINE('',#463466,#102826); #64908=LINE('',#463469,#102827); #64909=LINE('',#463471,#102828); #64910=LINE('',#463472,#102829); #64911=LINE('',#463478,#102830); #64912=LINE('',#463481,#102831); #64913=LINE('',#463483,#102832); #64914=LINE('',#463484,#102833); #64915=LINE('',#463490,#102834); #64916=LINE('',#463493,#102835); #64917=LINE('',#463495,#102836); #64918=LINE('',#463496,#102837); #64919=LINE('',#463499,#102838); #64920=LINE('',#463501,#102839); #64921=LINE('',#463502,#102840); #64922=LINE('',#463505,#102841); #64923=LINE('',#463507,#102842); #64924=LINE('',#463508,#102843); #64925=LINE('',#463511,#102844); #64926=LINE('',#463513,#102845); #64927=LINE('',#463514,#102846); #64928=LINE('',#463517,#102847); #64929=LINE('',#463519,#102848); #64930=LINE('',#463520,#102849); #64931=LINE('',#463523,#102850); #64932=LINE('',#463525,#102851); #64933=LINE('',#463526,#102852); #64934=LINE('',#463529,#102853); #64935=LINE('',#463531,#102854); #64936=LINE('',#463532,#102855); #64937=LINE('',#463535,#102856); #64938=LINE('',#463537,#102857); #64939=LINE('',#463538,#102858); #64940=LINE('',#463541,#102859); #64941=LINE('',#463543,#102860); #64942=LINE('',#463544,#102861); #64943=LINE('',#463547,#102862); #64944=LINE('',#463549,#102863); #64945=LINE('',#463550,#102864); #64946=LINE('',#463553,#102865); #64947=LINE('',#463555,#102866); #64948=LINE('',#463556,#102867); #64949=LINE('',#463559,#102868); #64950=LINE('',#463561,#102869); #64951=LINE('',#463562,#102870); #64952=LINE('',#463565,#102871); #64953=LINE('',#463567,#102872); #64954=LINE('',#463568,#102873); #64955=LINE('',#463571,#102874); #64956=LINE('',#463573,#102875); #64957=LINE('',#463574,#102876); #64958=LINE('',#463577,#102877); #64959=LINE('',#463579,#102878); #64960=LINE('',#463580,#102879); #64961=LINE('',#463583,#102880); #64962=LINE('',#463585,#102881); #64963=LINE('',#463586,#102882); #64964=LINE('',#463589,#102883); #64965=LINE('',#463591,#102884); #64966=LINE('',#463592,#102885); #64967=LINE('',#463595,#102886); #64968=LINE('',#463597,#102887); #64969=LINE('',#463598,#102888); #64970=LINE('',#463601,#102889); #64971=LINE('',#463603,#102890); #64972=LINE('',#463604,#102891); #64973=LINE('',#463607,#102892); #64974=LINE('',#463609,#102893); #64975=LINE('',#463610,#102894); #64976=LINE('',#463613,#102895); #64977=LINE('',#463615,#102896); #64978=LINE('',#463616,#102897); #64979=LINE('',#463619,#102898); #64980=LINE('',#463621,#102899); #64981=LINE('',#463622,#102900); #64982=LINE('',#463625,#102901); #64983=LINE('',#463627,#102902); #64984=LINE('',#463628,#102903); #64985=LINE('',#463631,#102904); #64986=LINE('',#463633,#102905); #64987=LINE('',#463634,#102906); #64988=LINE('',#463637,#102907); #64989=LINE('',#463639,#102908); #64990=LINE('',#463640,#102909); #64991=LINE('',#463643,#102910); #64992=LINE('',#463645,#102911); #64993=LINE('',#463646,#102912); #64994=LINE('',#463649,#102913); #64995=LINE('',#463651,#102914); #64996=LINE('',#463652,#102915); #64997=LINE('',#463655,#102916); #64998=LINE('',#463657,#102917); #64999=LINE('',#463658,#102918); #65000=LINE('',#463661,#102919); #65001=LINE('',#463663,#102920); #65002=LINE('',#463664,#102921); #65003=LINE('',#463667,#102922); #65004=LINE('',#463669,#102923); #65005=LINE('',#463670,#102924); #65006=LINE('',#463673,#102925); #65007=LINE('',#463675,#102926); #65008=LINE('',#463676,#102927); #65009=LINE('',#463679,#102928); #65010=LINE('',#463681,#102929); #65011=LINE('',#463682,#102930); #65012=LINE('',#463685,#102931); #65013=LINE('',#463687,#102932); #65014=LINE('',#463688,#102933); #65015=LINE('',#463691,#102934); #65016=LINE('',#463693,#102935); #65017=LINE('',#463694,#102936); #65018=LINE('',#463697,#102937); #65019=LINE('',#463699,#102938); #65020=LINE('',#463700,#102939); #65021=LINE('',#463703,#102940); #65022=LINE('',#463705,#102941); #65023=LINE('',#463706,#102942); #65024=LINE('',#463709,#102943); #65025=LINE('',#463711,#102944); #65026=LINE('',#463712,#102945); #65027=LINE('',#463715,#102946); #65028=LINE('',#463717,#102947); #65029=LINE('',#463718,#102948); #65030=LINE('',#463721,#102949); #65031=LINE('',#463723,#102950); #65032=LINE('',#463724,#102951); #65033=LINE('',#463727,#102952); #65034=LINE('',#463729,#102953); #65035=LINE('',#463730,#102954); #65036=LINE('',#463733,#102955); #65037=LINE('',#463735,#102956); #65038=LINE('',#463736,#102957); #65039=LINE('',#463739,#102958); #65040=LINE('',#463741,#102959); #65041=LINE('',#463742,#102960); #65042=LINE('',#463745,#102961); #65043=LINE('',#463747,#102962); #65044=LINE('',#463748,#102963); #65045=LINE('',#463751,#102964); #65046=LINE('',#463753,#102965); #65047=LINE('',#463754,#102966); #65048=LINE('',#463757,#102967); #65049=LINE('',#463759,#102968); #65050=LINE('',#463760,#102969); #65051=LINE('',#463763,#102970); #65052=LINE('',#463765,#102971); #65053=LINE('',#463766,#102972); #65054=LINE('',#463769,#102973); #65055=LINE('',#463771,#102974); #65056=LINE('',#463772,#102975); #65057=LINE('',#463775,#102976); #65058=LINE('',#463777,#102977); #65059=LINE('',#463778,#102978); #65060=LINE('',#463781,#102979); #65061=LINE('',#463783,#102980); #65062=LINE('',#463784,#102981); #65063=LINE('',#463787,#102982); #65064=LINE('',#463789,#102983); #65065=LINE('',#463790,#102984); #65066=LINE('',#463793,#102985); #65067=LINE('',#463795,#102986); #65068=LINE('',#463796,#102987); #65069=LINE('',#463799,#102988); #65070=LINE('',#463801,#102989); #65071=LINE('',#463802,#102990); #65072=LINE('',#463805,#102991); #65073=LINE('',#463807,#102992); #65074=LINE('',#463808,#102993); #65075=LINE('',#463811,#102994); #65076=LINE('',#463813,#102995); #65077=LINE('',#463814,#102996); #65078=LINE('',#463817,#102997); #65079=LINE('',#463819,#102998); #65080=LINE('',#463820,#102999); #65081=LINE('',#463823,#103000); #65082=LINE('',#463825,#103001); #65083=LINE('',#463826,#103002); #65084=LINE('',#463829,#103003); #65085=LINE('',#463831,#103004); #65086=LINE('',#463832,#103005); #65087=LINE('',#463835,#103006); #65088=LINE('',#463837,#103007); #65089=LINE('',#463838,#103008); #65090=LINE('',#463841,#103009); #65091=LINE('',#463843,#103010); #65092=LINE('',#463844,#103011); #65093=LINE('',#463847,#103012); #65094=LINE('',#463849,#103013); #65095=LINE('',#463850,#103014); #65096=LINE('',#463853,#103015); #65097=LINE('',#463855,#103016); #65098=LINE('',#463856,#103017); #65099=LINE('',#463859,#103018); #65100=LINE('',#463861,#103019); #65101=LINE('',#463862,#103020); #65102=LINE('',#463865,#103021); #65103=LINE('',#463867,#103022); #65104=LINE('',#463868,#103023); #65105=LINE('',#463871,#103024); #65106=LINE('',#463873,#103025); #65107=LINE('',#463874,#103026); #65108=LINE('',#463877,#103027); #65109=LINE('',#463879,#103028); #65110=LINE('',#463880,#103029); #65111=LINE('',#463883,#103030); #65112=LINE('',#463885,#103031); #65113=LINE('',#463886,#103032); #65114=LINE('',#463889,#103033); #65115=LINE('',#463891,#103034); #65116=LINE('',#463892,#103035); #65117=LINE('',#463895,#103036); #65118=LINE('',#463897,#103037); #65119=LINE('',#463898,#103038); #65120=LINE('',#463901,#103039); #65121=LINE('',#463903,#103040); #65122=LINE('',#463904,#103041); #65123=LINE('',#463907,#103042); #65124=LINE('',#463909,#103043); #65125=LINE('',#463910,#103044); #65126=LINE('',#463913,#103045); #65127=LINE('',#463915,#103046); #65128=LINE('',#463916,#103047); #65129=LINE('',#463919,#103048); #65130=LINE('',#463921,#103049); #65131=LINE('',#463922,#103050); #65132=LINE('',#463925,#103051); #65133=LINE('',#463927,#103052); #65134=LINE('',#463928,#103053); #65135=LINE('',#463931,#103054); #65136=LINE('',#463933,#103055); #65137=LINE('',#463934,#103056); #65138=LINE('',#463937,#103057); #65139=LINE('',#463939,#103058); #65140=LINE('',#463940,#103059); #65141=LINE('',#463943,#103060); #65142=LINE('',#463945,#103061); #65143=LINE('',#463946,#103062); #65144=LINE('',#463949,#103063); #65145=LINE('',#463951,#103064); #65146=LINE('',#463952,#103065); #65147=LINE('',#463955,#103066); #65148=LINE('',#463957,#103067); #65149=LINE('',#463958,#103068); #65150=LINE('',#463961,#103069); #65151=LINE('',#463963,#103070); #65152=LINE('',#463964,#103071); #65153=LINE('',#463967,#103072); #65154=LINE('',#463969,#103073); #65155=LINE('',#463970,#103074); #65156=LINE('',#463973,#103075); #65157=LINE('',#463975,#103076); #65158=LINE('',#463976,#103077); #65159=LINE('',#463979,#103078); #65160=LINE('',#463981,#103079); #65161=LINE('',#463982,#103080); #65162=LINE('',#463985,#103081); #65163=LINE('',#463987,#103082); #65164=LINE('',#463988,#103083); #65165=LINE('',#463991,#103084); #65166=LINE('',#463993,#103085); #65167=LINE('',#463994,#103086); #65168=LINE('',#463997,#103087); #65169=LINE('',#463999,#103088); #65170=LINE('',#464000,#103089); #65171=LINE('',#464003,#103090); #65172=LINE('',#464005,#103091); #65173=LINE('',#464006,#103092); #65174=LINE('',#464009,#103093); #65175=LINE('',#464011,#103094); #65176=LINE('',#464012,#103095); #65177=LINE('',#464015,#103096); #65178=LINE('',#464017,#103097); #65179=LINE('',#464018,#103098); #65180=LINE('',#464021,#103099); #65181=LINE('',#464023,#103100); #65182=LINE('',#464024,#103101); #65183=LINE('',#464027,#103102); #65184=LINE('',#464029,#103103); #65185=LINE('',#464030,#103104); #65186=LINE('',#464033,#103105); #65187=LINE('',#464035,#103106); #65188=LINE('',#464036,#103107); #65189=LINE('',#464039,#103108); #65190=LINE('',#464041,#103109); #65191=LINE('',#464042,#103110); #65192=LINE('',#464045,#103111); #65193=LINE('',#464047,#103112); #65194=LINE('',#464048,#103113); #65195=LINE('',#464051,#103114); #65196=LINE('',#464053,#103115); #65197=LINE('',#464054,#103116); #65198=LINE('',#464057,#103117); #65199=LINE('',#464059,#103118); #65200=LINE('',#464060,#103119); #65201=LINE('',#464063,#103120); #65202=LINE('',#464065,#103121); #65203=LINE('',#464066,#103122); #65204=LINE('',#464069,#103123); #65205=LINE('',#464071,#103124); #65206=LINE('',#464072,#103125); #65207=LINE('',#464075,#103126); #65208=LINE('',#464077,#103127); #65209=LINE('',#464078,#103128); #65210=LINE('',#464081,#103129); #65211=LINE('',#464083,#103130); #65212=LINE('',#464084,#103131); #65213=LINE('',#464087,#103132); #65214=LINE('',#464089,#103133); #65215=LINE('',#464090,#103134); #65216=LINE('',#464093,#103135); #65217=LINE('',#464095,#103136); #65218=LINE('',#464096,#103137); #65219=LINE('',#464099,#103138); #65220=LINE('',#464101,#103139); #65221=LINE('',#464102,#103140); #65222=LINE('',#464105,#103141); #65223=LINE('',#464107,#103142); #65224=LINE('',#464108,#103143); #65225=LINE('',#464111,#103144); #65226=LINE('',#464113,#103145); #65227=LINE('',#464114,#103146); #65228=LINE('',#464117,#103147); #65229=LINE('',#464119,#103148); #65230=LINE('',#464120,#103149); #65231=LINE('',#464123,#103150); #65232=LINE('',#464125,#103151); #65233=LINE('',#464126,#103152); #65234=LINE('',#464129,#103153); #65235=LINE('',#464131,#103154); #65236=LINE('',#464132,#103155); #65237=LINE('',#464135,#103156); #65238=LINE('',#464137,#103157); #65239=LINE('',#464138,#103158); #65240=LINE('',#464141,#103159); #65241=LINE('',#464143,#103160); #65242=LINE('',#464144,#103161); #65243=LINE('',#464147,#103162); #65244=LINE('',#464149,#103163); #65245=LINE('',#464150,#103164); #65246=LINE('',#464153,#103165); #65247=LINE('',#464155,#103166); #65248=LINE('',#464156,#103167); #65249=LINE('',#464159,#103168); #65250=LINE('',#464161,#103169); #65251=LINE('',#464162,#103170); #65252=LINE('',#464165,#103171); #65253=LINE('',#464167,#103172); #65254=LINE('',#464168,#103173); #65255=LINE('',#464171,#103174); #65256=LINE('',#464173,#103175); #65257=LINE('',#464174,#103176); #65258=LINE('',#464177,#103177); #65259=LINE('',#464179,#103178); #65260=LINE('',#464180,#103179); #65261=LINE('',#464183,#103180); #65262=LINE('',#464185,#103181); #65263=LINE('',#464186,#103182); #65264=LINE('',#464189,#103183); #65265=LINE('',#464191,#103184); #65266=LINE('',#464192,#103185); #65267=LINE('',#464195,#103186); #65268=LINE('',#464197,#103187); #65269=LINE('',#464198,#103188); #65270=LINE('',#464204,#103189); #65271=LINE('',#464207,#103190); #65272=LINE('',#464209,#103191); #65273=LINE('',#464210,#103192); #65274=LINE('',#464216,#103193); #65275=LINE('',#464219,#103194); #65276=LINE('',#464221,#103195); #65277=LINE('',#464222,#103196); #65278=LINE('',#464225,#103197); #65279=LINE('',#464227,#103198); #65280=LINE('',#464228,#103199); #65281=LINE('',#464231,#103200); #65282=LINE('',#464233,#103201); #65283=LINE('',#464234,#103202); #65284=LINE('',#464237,#103203); #65285=LINE('',#464239,#103204); #65286=LINE('',#464240,#103205); #65287=LINE('',#464242,#103206); #65288=LINE('',#464243,#103207); #65289=LINE('',#464250,#103208); #65290=LINE('',#464255,#103209); #65291=LINE('',#464257,#103210); #65292=LINE('',#464259,#103211); #65293=LINE('',#464260,#103212); #65294=LINE('',#464263,#103213); #65295=LINE('',#464265,#103214); #65296=LINE('',#464266,#103215); #65297=LINE('',#464269,#103216); #65298=LINE('',#464271,#103217); #65299=LINE('',#464272,#103218); #65300=LINE('',#464275,#103219); #65301=LINE('',#464277,#103220); #65302=LINE('',#464278,#103221); #65303=LINE('',#464281,#103222); #65304=LINE('',#464283,#103223); #65305=LINE('',#464284,#103224); #65306=LINE('',#464287,#103225); #65307=LINE('',#464289,#103226); #65308=LINE('',#464290,#103227); #65309=LINE('',#464293,#103228); #65310=LINE('',#464295,#103229); #65311=LINE('',#464296,#103230); #65312=LINE('',#464298,#103231); #65313=LINE('',#464299,#103232); #65314=LINE('',#464305,#103233); #65315=LINE('',#464307,#103234); #65316=LINE('',#464309,#103235); #65317=LINE('',#464310,#103236); #65318=LINE('',#464313,#103237); #65319=LINE('',#464315,#103238); #65320=LINE('',#464316,#103239); #65321=LINE('',#464319,#103240); #65322=LINE('',#464321,#103241); #65323=LINE('',#464322,#103242); #65324=LINE('',#464325,#103243); #65325=LINE('',#464327,#103244); #65326=LINE('',#464328,#103245); #65327=LINE('',#464331,#103246); #65328=LINE('',#464333,#103247); #65329=LINE('',#464334,#103248); #65330=LINE('',#464337,#103249); #65331=LINE('',#464339,#103250); #65332=LINE('',#464340,#103251); #65333=LINE('',#464343,#103252); #65334=LINE('',#464345,#103253); #65335=LINE('',#464346,#103254); #65336=LINE('',#464349,#103255); #65337=LINE('',#464351,#103256); #65338=LINE('',#464352,#103257); #65339=LINE('',#464355,#103258); #65340=LINE('',#464357,#103259); #65341=LINE('',#464358,#103260); #65342=LINE('',#464361,#103261); #65343=LINE('',#464363,#103262); #65344=LINE('',#464364,#103263); #65345=LINE('',#464367,#103264); #65346=LINE('',#464369,#103265); #65347=LINE('',#464370,#103266); #65348=LINE('',#464373,#103267); #65349=LINE('',#464375,#103268); #65350=LINE('',#464376,#103269); #65351=LINE('',#464379,#103270); #65352=LINE('',#464381,#103271); #65353=LINE('',#464382,#103272); #65354=LINE('',#464385,#103273); #65355=LINE('',#464387,#103274); #65356=LINE('',#464388,#103275); #65357=LINE('',#464391,#103276); #65358=LINE('',#464393,#103277); #65359=LINE('',#464394,#103278); #65360=LINE('',#464397,#103279); #65361=LINE('',#464399,#103280); #65362=LINE('',#464400,#103281); #65363=LINE('',#464403,#103282); #65364=LINE('',#464405,#103283); #65365=LINE('',#464406,#103284); #65366=LINE('',#464409,#103285); #65367=LINE('',#464411,#103286); #65368=LINE('',#464412,#103287); #65369=LINE('',#464414,#103288); #65370=LINE('',#464415,#103289); #65371=LINE('',#464421,#103290); #65372=LINE('',#464423,#103291); #65373=LINE('',#464425,#103292); #65374=LINE('',#464426,#103293); #65375=LINE('',#464429,#103294); #65376=LINE('',#464431,#103295); #65377=LINE('',#464432,#103296); #65378=LINE('',#464435,#103297); #65379=LINE('',#464437,#103298); #65380=LINE('',#464438,#103299); #65381=LINE('',#464441,#103300); #65382=LINE('',#464443,#103301); #65383=LINE('',#464444,#103302); #65384=LINE('',#464447,#103303); #65385=LINE('',#464449,#103304); #65386=LINE('',#464450,#103305); #65387=LINE('',#464453,#103306); #65388=LINE('',#464455,#103307); #65389=LINE('',#464456,#103308); #65390=LINE('',#464459,#103309); #65391=LINE('',#464461,#103310); #65392=LINE('',#464462,#103311); #65393=LINE('',#464465,#103312); #65394=LINE('',#464467,#103313); #65395=LINE('',#464468,#103314); #65396=LINE('',#464471,#103315); #65397=LINE('',#464473,#103316); #65398=LINE('',#464474,#103317); #65399=LINE('',#464477,#103318); #65400=LINE('',#464479,#103319); #65401=LINE('',#464480,#103320); #65402=LINE('',#464483,#103321); #65403=LINE('',#464485,#103322); #65404=LINE('',#464486,#103323); #65405=LINE('',#464489,#103324); #65406=LINE('',#464491,#103325); #65407=LINE('',#464492,#103326); #65408=LINE('',#464495,#103327); #65409=LINE('',#464497,#103328); #65410=LINE('',#464498,#103329); #65411=LINE('',#464501,#103330); #65412=LINE('',#464503,#103331); #65413=LINE('',#464504,#103332); #65414=LINE('',#464507,#103333); #65415=LINE('',#464509,#103334); #65416=LINE('',#464510,#103335); #65417=LINE('',#464513,#103336); #65418=LINE('',#464515,#103337); #65419=LINE('',#464516,#103338); #65420=LINE('',#464519,#103339); #65421=LINE('',#464521,#103340); #65422=LINE('',#464522,#103341); #65423=LINE('',#464525,#103342); #65424=LINE('',#464527,#103343); #65425=LINE('',#464528,#103344); #65426=LINE('',#464531,#103345); #65427=LINE('',#464533,#103346); #65428=LINE('',#464534,#103347); #65429=LINE('',#464537,#103348); #65430=LINE('',#464539,#103349); #65431=LINE('',#464540,#103350); #65432=LINE('',#464542,#103351); #65433=LINE('',#464543,#103352); #65434=LINE('',#464549,#103353); #65435=LINE('',#464551,#103354); #65436=LINE('',#464553,#103355); #65437=LINE('',#464554,#103356); #65438=LINE('',#464557,#103357); #65439=LINE('',#464559,#103358); #65440=LINE('',#464560,#103359); #65441=LINE('',#464563,#103360); #65442=LINE('',#464565,#103361); #65443=LINE('',#464566,#103362); #65444=LINE('',#464569,#103363); #65445=LINE('',#464571,#103364); #65446=LINE('',#464572,#103365); #65447=LINE('',#464575,#103366); #65448=LINE('',#464577,#103367); #65449=LINE('',#464578,#103368); #65450=LINE('',#464581,#103369); #65451=LINE('',#464583,#103370); #65452=LINE('',#464584,#103371); #65453=LINE('',#464587,#103372); #65454=LINE('',#464589,#103373); #65455=LINE('',#464590,#103374); #65456=LINE('',#464593,#103375); #65457=LINE('',#464595,#103376); #65458=LINE('',#464596,#103377); #65459=LINE('',#464599,#103378); #65460=LINE('',#464601,#103379); #65461=LINE('',#464602,#103380); #65462=LINE('',#464605,#103381); #65463=LINE('',#464607,#103382); #65464=LINE('',#464608,#103383); #65465=LINE('',#464611,#103384); #65466=LINE('',#464613,#103385); #65467=LINE('',#464614,#103386); #65468=LINE('',#464617,#103387); #65469=LINE('',#464619,#103388); #65470=LINE('',#464620,#103389); #65471=LINE('',#464623,#103390); #65472=LINE('',#464625,#103391); #65473=LINE('',#464626,#103392); #65474=LINE('',#464629,#103393); #65475=LINE('',#464631,#103394); #65476=LINE('',#464632,#103395); #65477=LINE('',#464635,#103396); #65478=LINE('',#464637,#103397); #65479=LINE('',#464638,#103398); #65480=LINE('',#464641,#103399); #65481=LINE('',#464643,#103400); #65482=LINE('',#464644,#103401); #65483=LINE('',#464647,#103402); #65484=LINE('',#464649,#103403); #65485=LINE('',#464650,#103404); #65486=LINE('',#464653,#103405); #65487=LINE('',#464655,#103406); #65488=LINE('',#464656,#103407); #65489=LINE('',#464659,#103408); #65490=LINE('',#464661,#103409); #65491=LINE('',#464662,#103410); #65492=LINE('',#464664,#103411); #65493=LINE('',#464665,#103412); #65494=LINE('',#464671,#103413); #65495=LINE('',#464673,#103414); #65496=LINE('',#464675,#103415); #65497=LINE('',#464676,#103416); #65498=LINE('',#464679,#103417); #65499=LINE('',#464681,#103418); #65500=LINE('',#464682,#103419); #65501=LINE('',#464685,#103420); #65502=LINE('',#464687,#103421); #65503=LINE('',#464688,#103422); #65504=LINE('',#464691,#103423); #65505=LINE('',#464693,#103424); #65506=LINE('',#464694,#103425); #65507=LINE('',#464697,#103426); #65508=LINE('',#464699,#103427); #65509=LINE('',#464700,#103428); #65510=LINE('',#464703,#103429); #65511=LINE('',#464705,#103430); #65512=LINE('',#464706,#103431); #65513=LINE('',#464709,#103432); #65514=LINE('',#464711,#103433); #65515=LINE('',#464712,#103434); #65516=LINE('',#464715,#103435); #65517=LINE('',#464717,#103436); #65518=LINE('',#464718,#103437); #65519=LINE('',#464721,#103438); #65520=LINE('',#464723,#103439); #65521=LINE('',#464724,#103440); #65522=LINE('',#464727,#103441); #65523=LINE('',#464729,#103442); #65524=LINE('',#464730,#103443); #65525=LINE('',#464733,#103444); #65526=LINE('',#464735,#103445); #65527=LINE('',#464736,#103446); #65528=LINE('',#464739,#103447); #65529=LINE('',#464741,#103448); #65530=LINE('',#464742,#103449); #65531=LINE('',#464745,#103450); #65532=LINE('',#464747,#103451); #65533=LINE('',#464748,#103452); #65534=LINE('',#464751,#103453); #65535=LINE('',#464753,#103454); #65536=LINE('',#464754,#103455); #65537=LINE('',#464757,#103456); #65538=LINE('',#464759,#103457); #65539=LINE('',#464760,#103458); #65540=LINE('',#464763,#103459); #65541=LINE('',#464765,#103460); #65542=LINE('',#464766,#103461); #65543=LINE('',#464769,#103462); #65544=LINE('',#464771,#103463); #65545=LINE('',#464772,#103464); #65546=LINE('',#464775,#103465); #65547=LINE('',#464777,#103466); #65548=LINE('',#464778,#103467); #65549=LINE('',#464781,#103468); #65550=LINE('',#464783,#103469); #65551=LINE('',#464784,#103470); #65552=LINE('',#464787,#103471); #65553=LINE('',#464789,#103472); #65554=LINE('',#464790,#103473); #65555=LINE('',#464792,#103474); #65556=LINE('',#464793,#103475); #65557=LINE('',#464800,#103476); #65558=LINE('',#464806,#103477); #65559=LINE('',#464812,#103478); #65560=LINE('',#464817,#103479); #65561=LINE('',#464819,#103480); #65562=LINE('',#464821,#103481); #65563=LINE('',#464822,#103482); #65564=LINE('',#464825,#103483); #65565=LINE('',#464827,#103484); #65566=LINE('',#464828,#103485); #65567=LINE('',#464831,#103486); #65568=LINE('',#464833,#103487); #65569=LINE('',#464834,#103488); #65570=LINE('',#464837,#103489); #65571=LINE('',#464839,#103490); #65572=LINE('',#464840,#103491); #65573=LINE('',#464843,#103492); #65574=LINE('',#464845,#103493); #65575=LINE('',#464846,#103494); #65576=LINE('',#464849,#103495); #65577=LINE('',#464851,#103496); #65578=LINE('',#464852,#103497); #65579=LINE('',#464855,#103498); #65580=LINE('',#464857,#103499); #65581=LINE('',#464858,#103500); #65582=LINE('',#464861,#103501); #65583=LINE('',#464863,#103502); #65584=LINE('',#464864,#103503); #65585=LINE('',#464867,#103504); #65586=LINE('',#464869,#103505); #65587=LINE('',#464870,#103506); #65588=LINE('',#464873,#103507); #65589=LINE('',#464875,#103508); #65590=LINE('',#464876,#103509); #65591=LINE('',#464879,#103510); #65592=LINE('',#464881,#103511); #65593=LINE('',#464882,#103512); #65594=LINE('',#464885,#103513); #65595=LINE('',#464887,#103514); #65596=LINE('',#464888,#103515); #65597=LINE('',#464891,#103516); #65598=LINE('',#464893,#103517); #65599=LINE('',#464894,#103518); #65600=LINE('',#464897,#103519); #65601=LINE('',#464899,#103520); #65602=LINE('',#464900,#103521); #65603=LINE('',#464903,#103522); #65604=LINE('',#464905,#103523); #65605=LINE('',#464906,#103524); #65606=LINE('',#464909,#103525); #65607=LINE('',#464911,#103526); #65608=LINE('',#464912,#103527); #65609=LINE('',#464915,#103528); #65610=LINE('',#464917,#103529); #65611=LINE('',#464918,#103530); #65612=LINE('',#464921,#103531); #65613=LINE('',#464923,#103532); #65614=LINE('',#464924,#103533); #65615=LINE('',#464927,#103534); #65616=LINE('',#464929,#103535); #65617=LINE('',#464930,#103536); #65618=LINE('',#464933,#103537); #65619=LINE('',#464935,#103538); #65620=LINE('',#464936,#103539); #65621=LINE('',#464939,#103540); #65622=LINE('',#464941,#103541); #65623=LINE('',#464942,#103542); #65624=LINE('',#464945,#103543); #65625=LINE('',#464947,#103544); #65626=LINE('',#464948,#103545); #65627=LINE('',#464951,#103546); #65628=LINE('',#464953,#103547); #65629=LINE('',#464954,#103548); #65630=LINE('',#464957,#103549); #65631=LINE('',#464959,#103550); #65632=LINE('',#464960,#103551); #65633=LINE('',#464963,#103552); #65634=LINE('',#464965,#103553); #65635=LINE('',#464966,#103554); #65636=LINE('',#464969,#103555); #65637=LINE('',#464971,#103556); #65638=LINE('',#464972,#103557); #65639=LINE('',#464975,#103558); #65640=LINE('',#464977,#103559); #65641=LINE('',#464978,#103560); #65642=LINE('',#464981,#103561); #65643=LINE('',#464983,#103562); #65644=LINE('',#464984,#103563); #65645=LINE('',#464987,#103564); #65646=LINE('',#464989,#103565); #65647=LINE('',#464990,#103566); #65648=LINE('',#464993,#103567); #65649=LINE('',#464995,#103568); #65650=LINE('',#464996,#103569); #65651=LINE('',#464999,#103570); #65652=LINE('',#465001,#103571); #65653=LINE('',#465002,#103572); #65654=LINE('',#465005,#103573); #65655=LINE('',#465007,#103574); #65656=LINE('',#465008,#103575); #65657=LINE('',#465011,#103576); #65658=LINE('',#465013,#103577); #65659=LINE('',#465014,#103578); #65660=LINE('',#465017,#103579); #65661=LINE('',#465019,#103580); #65662=LINE('',#465020,#103581); #65663=LINE('',#465023,#103582); #65664=LINE('',#465025,#103583); #65665=LINE('',#465026,#103584); #65666=LINE('',#465029,#103585); #65667=LINE('',#465031,#103586); #65668=LINE('',#465032,#103587); #65669=LINE('',#465035,#103588); #65670=LINE('',#465037,#103589); #65671=LINE('',#465038,#103590); #65672=LINE('',#465041,#103591); #65673=LINE('',#465043,#103592); #65674=LINE('',#465044,#103593); #65675=LINE('',#465047,#103594); #65676=LINE('',#465049,#103595); #65677=LINE('',#465050,#103596); #65678=LINE('',#465053,#103597); #65679=LINE('',#465055,#103598); #65680=LINE('',#465056,#103599); #65681=LINE('',#465059,#103600); #65682=LINE('',#465061,#103601); #65683=LINE('',#465062,#103602); #65684=LINE('',#465065,#103603); #65685=LINE('',#465067,#103604); #65686=LINE('',#465068,#103605); #65687=LINE('',#465071,#103606); #65688=LINE('',#465073,#103607); #65689=LINE('',#465074,#103608); #65690=LINE('',#465077,#103609); #65691=LINE('',#465079,#103610); #65692=LINE('',#465080,#103611); #65693=LINE('',#465083,#103612); #65694=LINE('',#465085,#103613); #65695=LINE('',#465086,#103614); #65696=LINE('',#465089,#103615); #65697=LINE('',#465091,#103616); #65698=LINE('',#465092,#103617); #65699=LINE('',#465095,#103618); #65700=LINE('',#465097,#103619); #65701=LINE('',#465098,#103620); #65702=LINE('',#465101,#103621); #65703=LINE('',#465103,#103622); #65704=LINE('',#465104,#103623); #65705=LINE('',#465107,#103624); #65706=LINE('',#465109,#103625); #65707=LINE('',#465110,#103626); #65708=LINE('',#465113,#103627); #65709=LINE('',#465115,#103628); #65710=LINE('',#465116,#103629); #65711=LINE('',#465119,#103630); #65712=LINE('',#465121,#103631); #65713=LINE('',#465122,#103632); #65714=LINE('',#465125,#103633); #65715=LINE('',#465127,#103634); #65716=LINE('',#465128,#103635); #65717=LINE('',#465131,#103636); #65718=LINE('',#465133,#103637); #65719=LINE('',#465134,#103638); #65720=LINE('',#465137,#103639); #65721=LINE('',#465139,#103640); #65722=LINE('',#465140,#103641); #65723=LINE('',#465143,#103642); #65724=LINE('',#465145,#103643); #65725=LINE('',#465146,#103644); #65726=LINE('',#465149,#103645); #65727=LINE('',#465151,#103646); #65728=LINE('',#465152,#103647); #65729=LINE('',#465155,#103648); #65730=LINE('',#465157,#103649); #65731=LINE('',#465158,#103650); #65732=LINE('',#465161,#103651); #65733=LINE('',#465163,#103652); #65734=LINE('',#465164,#103653); #65735=LINE('',#465167,#103654); #65736=LINE('',#465169,#103655); #65737=LINE('',#465170,#103656); #65738=LINE('',#465173,#103657); #65739=LINE('',#465175,#103658); #65740=LINE('',#465176,#103659); #65741=LINE('',#465179,#103660); #65742=LINE('',#465181,#103661); #65743=LINE('',#465182,#103662); #65744=LINE('',#465185,#103663); #65745=LINE('',#465187,#103664); #65746=LINE('',#465188,#103665); #65747=LINE('',#465191,#103666); #65748=LINE('',#465193,#103667); #65749=LINE('',#465194,#103668); #65750=LINE('',#465197,#103669); #65751=LINE('',#465199,#103670); #65752=LINE('',#465200,#103671); #65753=LINE('',#465203,#103672); #65754=LINE('',#465205,#103673); #65755=LINE('',#465206,#103674); #65756=LINE('',#465209,#103675); #65757=LINE('',#465211,#103676); #65758=LINE('',#465212,#103677); #65759=LINE('',#465215,#103678); #65760=LINE('',#465217,#103679); #65761=LINE('',#465218,#103680); #65762=LINE('',#465221,#103681); #65763=LINE('',#465223,#103682); #65764=LINE('',#465224,#103683); #65765=LINE('',#465227,#103684); #65766=LINE('',#465229,#103685); #65767=LINE('',#465230,#103686); #65768=LINE('',#465233,#103687); #65769=LINE('',#465235,#103688); #65770=LINE('',#465236,#103689); #65771=LINE('',#465239,#103690); #65772=LINE('',#465241,#103691); #65773=LINE('',#465242,#103692); #65774=LINE('',#465245,#103693); #65775=LINE('',#465247,#103694); #65776=LINE('',#465248,#103695); #65777=LINE('',#465251,#103696); #65778=LINE('',#465253,#103697); #65779=LINE('',#465254,#103698); #65780=LINE('',#465257,#103699); #65781=LINE('',#465259,#103700); #65782=LINE('',#465260,#103701); #65783=LINE('',#465263,#103702); #65784=LINE('',#465265,#103703); #65785=LINE('',#465266,#103704); #65786=LINE('',#465269,#103705); #65787=LINE('',#465271,#103706); #65788=LINE('',#465272,#103707); #65789=LINE('',#465275,#103708); #65790=LINE('',#465277,#103709); #65791=LINE('',#465278,#103710); #65792=LINE('',#465281,#103711); #65793=LINE('',#465283,#103712); #65794=LINE('',#465284,#103713); #65795=LINE('',#465287,#103714); #65796=LINE('',#465289,#103715); #65797=LINE('',#465290,#103716); #65798=LINE('',#465293,#103717); #65799=LINE('',#465295,#103718); #65800=LINE('',#465296,#103719); #65801=LINE('',#465299,#103720); #65802=LINE('',#465301,#103721); #65803=LINE('',#465302,#103722); #65804=LINE('',#465305,#103723); #65805=LINE('',#465307,#103724); #65806=LINE('',#465308,#103725); #65807=LINE('',#465311,#103726); #65808=LINE('',#465313,#103727); #65809=LINE('',#465314,#103728); #65810=LINE('',#465317,#103729); #65811=LINE('',#465319,#103730); #65812=LINE('',#465320,#103731); #65813=LINE('',#465323,#103732); #65814=LINE('',#465325,#103733); #65815=LINE('',#465326,#103734); #65816=LINE('',#465329,#103735); #65817=LINE('',#465331,#103736); #65818=LINE('',#465332,#103737); #65819=LINE('',#465335,#103738); #65820=LINE('',#465337,#103739); #65821=LINE('',#465338,#103740); #65822=LINE('',#465341,#103741); #65823=LINE('',#465343,#103742); #65824=LINE('',#465344,#103743); #65825=LINE('',#465347,#103744); #65826=LINE('',#465349,#103745); #65827=LINE('',#465350,#103746); #65828=LINE('',#465352,#103747); #65829=LINE('',#465353,#103748); #65830=LINE('',#465360,#103749); #65831=LINE('',#465366,#103750); #65832=LINE('',#465372,#103751); #65833=LINE('',#465378,#103752); #65834=LINE('',#465384,#103753); #65835=LINE('',#465390,#103754); #65836=LINE('',#465396,#103755); #65837=LINE('',#465402,#103756); #65838=LINE('',#465408,#103757); #65839=LINE('',#465413,#103758); #65840=LINE('',#465415,#103759); #65841=LINE('',#465417,#103760); #65842=LINE('',#465418,#103761); #65843=LINE('',#465424,#103762); #65844=LINE('',#465427,#103763); #65845=LINE('',#465429,#103764); #65846=LINE('',#465430,#103765); #65847=LINE('',#465437,#103766); #65848=LINE('',#465439,#103767); #65849=LINE('',#465441,#103768); #65850=LINE('',#465442,#103769); #65851=LINE('',#465448,#103770); #65852=LINE('',#465451,#103771); #65853=LINE('',#465453,#103772); #65854=LINE('',#465454,#103773); #65855=LINE('',#465462,#103774); #65856=LINE('',#465468,#103775); #65857=LINE('',#465474,#103776); #65858=LINE('',#465480,#103777); #65859=LINE('',#465485,#103778); #65860=LINE('',#465487,#103779); #65861=LINE('',#465489,#103780); #65862=LINE('',#465490,#103781); #65863=LINE('',#465496,#103782); #65864=LINE('',#465499,#103783); #65865=LINE('',#465501,#103784); #65866=LINE('',#465502,#103785); #65867=LINE('',#465509,#103786); #65868=LINE('',#465511,#103787); #65869=LINE('',#465513,#103788); #65870=LINE('',#465514,#103789); #65871=LINE('',#465520,#103790); #65872=LINE('',#465523,#103791); #65873=LINE('',#465525,#103792); #65874=LINE('',#465526,#103793); #65875=LINE('',#465534,#103794); #65876=LINE('',#465540,#103795); #65877=LINE('',#465546,#103796); #65878=LINE('',#465552,#103797); #65879=LINE('',#465558,#103798); #65880=LINE('',#465564,#103799); #65881=LINE('',#465570,#103800); #65882=LINE('',#465576,#103801); #65883=LINE('',#465582,#103802); #65884=LINE('',#465588,#103803); #65885=LINE('',#465594,#103804); #65886=LINE('',#465600,#103805); #65887=LINE('',#465606,#103806); #65888=LINE('',#465612,#103807); #65889=LINE('',#465618,#103808); #65890=LINE('',#465624,#103809); #65891=LINE('',#465630,#103810); #65892=LINE('',#465636,#103811); #65893=LINE('',#465642,#103812); #65894=LINE('',#465648,#103813); #65895=LINE('',#465654,#103814); #65896=LINE('',#465660,#103815); #65897=LINE('',#465666,#103816); #65898=LINE('',#465672,#103817); #65899=LINE('',#465678,#103818); #65900=LINE('',#465684,#103819); #65901=LINE('',#465690,#103820); #65902=LINE('',#465696,#103821); #65903=LINE('',#465702,#103822); #65904=LINE('',#465708,#103823); #65905=LINE('',#465714,#103824); #65906=LINE('',#465720,#103825); #65907=LINE('',#465726,#103826); #65908=LINE('',#465732,#103827); #65909=LINE('',#465738,#103828); #65910=LINE('',#465744,#103829); #65911=LINE('',#465750,#103830); #65912=LINE('',#465756,#103831); #65913=LINE('',#465762,#103832); #65914=LINE('',#465768,#103833); #65915=LINE('',#465774,#103834); #65916=LINE('',#465780,#103835); #65917=LINE('',#465786,#103836); #65918=LINE('',#465792,#103837); #65919=LINE('',#465798,#103838); #65920=LINE('',#465803,#103839); #65921=LINE('',#465805,#103840); #65922=LINE('',#465807,#103841); #65923=LINE('',#465808,#103842); #65924=LINE('',#465811,#103843); #65925=LINE('',#465813,#103844); #65926=LINE('',#465814,#103845); #65927=LINE('',#465817,#103846); #65928=LINE('',#465819,#103847); #65929=LINE('',#465820,#103848); #65930=LINE('',#465823,#103849); #65931=LINE('',#465825,#103850); #65932=LINE('',#465826,#103851); #65933=LINE('',#465829,#103852); #65934=LINE('',#465831,#103853); #65935=LINE('',#465832,#103854); #65936=LINE('',#465835,#103855); #65937=LINE('',#465837,#103856); #65938=LINE('',#465838,#103857); #65939=LINE('',#465841,#103858); #65940=LINE('',#465843,#103859); #65941=LINE('',#465844,#103860); #65942=LINE('',#465846,#103861); #65943=LINE('',#465847,#103862); #65944=LINE('',#465851,#103863); #65945=LINE('',#465853,#103864); #65946=LINE('',#465855,#103865); #65947=LINE('',#465856,#103866); #65948=LINE('',#465859,#103867); #65949=LINE('',#465861,#103868); #65950=LINE('',#465862,#103869); #65951=LINE('',#465865,#103870); #65952=LINE('',#465867,#103871); #65953=LINE('',#465868,#103872); #65954=LINE('',#465871,#103873); #65955=LINE('',#465873,#103874); #65956=LINE('',#465874,#103875); #65957=LINE('',#465877,#103876); #65958=LINE('',#465879,#103877); #65959=LINE('',#465880,#103878); #65960=LINE('',#465883,#103879); #65961=LINE('',#465885,#103880); #65962=LINE('',#465886,#103881); #65963=LINE('',#465889,#103882); #65964=LINE('',#465891,#103883); #65965=LINE('',#465892,#103884); #65966=LINE('',#465895,#103885); #65967=LINE('',#465897,#103886); #65968=LINE('',#465898,#103887); #65969=LINE('',#465901,#103888); #65970=LINE('',#465903,#103889); #65971=LINE('',#465904,#103890); #65972=LINE('',#465907,#103891); #65973=LINE('',#465909,#103892); #65974=LINE('',#465910,#103893); #65975=LINE('',#465913,#103894); #65976=LINE('',#465915,#103895); #65977=LINE('',#465916,#103896); #65978=LINE('',#465919,#103897); #65979=LINE('',#465921,#103898); #65980=LINE('',#465922,#103899); #65981=LINE('',#465925,#103900); #65982=LINE('',#465927,#103901); #65983=LINE('',#465928,#103902); #65984=LINE('',#465931,#103903); #65985=LINE('',#465933,#103904); #65986=LINE('',#465934,#103905); #65987=LINE('',#465937,#103906); #65988=LINE('',#465939,#103907); #65989=LINE('',#465940,#103908); #65990=LINE('',#465943,#103909); #65991=LINE('',#465945,#103910); #65992=LINE('',#465946,#103911); #65993=LINE('',#465949,#103912); #65994=LINE('',#465951,#103913); #65995=LINE('',#465952,#103914); #65996=LINE('',#465955,#103915); #65997=LINE('',#465957,#103916); #65998=LINE('',#465958,#103917); #65999=LINE('',#465961,#103918); #66000=LINE('',#465963,#103919); #66001=LINE('',#465964,#103920); #66002=LINE('',#465967,#103921); #66003=LINE('',#465969,#103922); #66004=LINE('',#465970,#103923); #66005=LINE('',#465973,#103924); #66006=LINE('',#465975,#103925); #66007=LINE('',#465976,#103926); #66008=LINE('',#465979,#103927); #66009=LINE('',#465981,#103928); #66010=LINE('',#465982,#103929); #66011=LINE('',#465985,#103930); #66012=LINE('',#465987,#103931); #66013=LINE('',#465988,#103932); #66014=LINE('',#465991,#103933); #66015=LINE('',#465993,#103934); #66016=LINE('',#465994,#103935); #66017=LINE('',#465997,#103936); #66018=LINE('',#465999,#103937); #66019=LINE('',#466000,#103938); #66020=LINE('',#466003,#103939); #66021=LINE('',#466005,#103940); #66022=LINE('',#466006,#103941); #66023=LINE('',#466009,#103942); #66024=LINE('',#466011,#103943); #66025=LINE('',#466012,#103944); #66026=LINE('',#466015,#103945); #66027=LINE('',#466017,#103946); #66028=LINE('',#466018,#103947); #66029=LINE('',#466021,#103948); #66030=LINE('',#466023,#103949); #66031=LINE('',#466024,#103950); #66032=LINE('',#466027,#103951); #66033=LINE('',#466029,#103952); #66034=LINE('',#466030,#103953); #66035=LINE('',#466033,#103954); #66036=LINE('',#466035,#103955); #66037=LINE('',#466036,#103956); #66038=LINE('',#466039,#103957); #66039=LINE('',#466041,#103958); #66040=LINE('',#466042,#103959); #66041=LINE('',#466045,#103960); #66042=LINE('',#466047,#103961); #66043=LINE('',#466048,#103962); #66044=LINE('',#466051,#103963); #66045=LINE('',#466053,#103964); #66046=LINE('',#466054,#103965); #66047=LINE('',#466057,#103966); #66048=LINE('',#466059,#103967); #66049=LINE('',#466060,#103968); #66050=LINE('',#466062,#103969); #66051=LINE('',#466063,#103970); #66052=LINE('',#466067,#103971); #66053=LINE('',#466069,#103972); #66054=LINE('',#466071,#103973); #66055=LINE('',#466072,#103974); #66056=LINE('',#466075,#103975); #66057=LINE('',#466077,#103976); #66058=LINE('',#466078,#103977); #66059=LINE('',#466081,#103978); #66060=LINE('',#466083,#103979); #66061=LINE('',#466084,#103980); #66062=LINE('',#466087,#103981); #66063=LINE('',#466089,#103982); #66064=LINE('',#466090,#103983); #66065=LINE('',#466093,#103984); #66066=LINE('',#466095,#103985); #66067=LINE('',#466096,#103986); #66068=LINE('',#466099,#103987); #66069=LINE('',#466101,#103988); #66070=LINE('',#466102,#103989); #66071=LINE('',#466105,#103990); #66072=LINE('',#466107,#103991); #66073=LINE('',#466108,#103992); #66074=LINE('',#466111,#103993); #66075=LINE('',#466113,#103994); #66076=LINE('',#466114,#103995); #66077=LINE('',#466117,#103996); #66078=LINE('',#466119,#103997); #66079=LINE('',#466120,#103998); #66080=LINE('',#466123,#103999); #66081=LINE('',#466125,#104000); #66082=LINE('',#466126,#104001); #66083=LINE('',#466129,#104002); #66084=LINE('',#466131,#104003); #66085=LINE('',#466132,#104004); #66086=LINE('',#466135,#104005); #66087=LINE('',#466137,#104006); #66088=LINE('',#466138,#104007); #66089=LINE('',#466141,#104008); #66090=LINE('',#466143,#104009); #66091=LINE('',#466144,#104010); #66092=LINE('',#466147,#104011); #66093=LINE('',#466149,#104012); #66094=LINE('',#466150,#104013); #66095=LINE('',#466153,#104014); #66096=LINE('',#466155,#104015); #66097=LINE('',#466156,#104016); #66098=LINE('',#466159,#104017); #66099=LINE('',#466161,#104018); #66100=LINE('',#466162,#104019); #66101=LINE('',#466165,#104020); #66102=LINE('',#466167,#104021); #66103=LINE('',#466168,#104022); #66104=LINE('',#466171,#104023); #66105=LINE('',#466173,#104024); #66106=LINE('',#466174,#104025); #66107=LINE('',#466177,#104026); #66108=LINE('',#466179,#104027); #66109=LINE('',#466180,#104028); #66110=LINE('',#466183,#104029); #66111=LINE('',#466185,#104030); #66112=LINE('',#466186,#104031); #66113=LINE('',#466189,#104032); #66114=LINE('',#466191,#104033); #66115=LINE('',#466192,#104034); #66116=LINE('',#466195,#104035); #66117=LINE('',#466197,#104036); #66118=LINE('',#466198,#104037); #66119=LINE('',#466201,#104038); #66120=LINE('',#466203,#104039); #66121=LINE('',#466204,#104040); #66122=LINE('',#466207,#104041); #66123=LINE('',#466209,#104042); #66124=LINE('',#466210,#104043); #66125=LINE('',#466213,#104044); #66126=LINE('',#466215,#104045); #66127=LINE('',#466216,#104046); #66128=LINE('',#466219,#104047); #66129=LINE('',#466221,#104048); #66130=LINE('',#466222,#104049); #66131=LINE('',#466225,#104050); #66132=LINE('',#466227,#104051); #66133=LINE('',#466228,#104052); #66134=LINE('',#466231,#104053); #66135=LINE('',#466233,#104054); #66136=LINE('',#466234,#104055); #66137=LINE('',#466237,#104056); #66138=LINE('',#466239,#104057); #66139=LINE('',#466240,#104058); #66140=LINE('',#466243,#104059); #66141=LINE('',#466245,#104060); #66142=LINE('',#466246,#104061); #66143=LINE('',#466249,#104062); #66144=LINE('',#466251,#104063); #66145=LINE('',#466252,#104064); #66146=LINE('',#466255,#104065); #66147=LINE('',#466257,#104066); #66148=LINE('',#466258,#104067); #66149=LINE('',#466261,#104068); #66150=LINE('',#466263,#104069); #66151=LINE('',#466264,#104070); #66152=LINE('',#466267,#104071); #66153=LINE('',#466269,#104072); #66154=LINE('',#466270,#104073); #66155=LINE('',#466273,#104074); #66156=LINE('',#466275,#104075); #66157=LINE('',#466276,#104076); #66158=LINE('',#466278,#104077); #66159=LINE('',#466279,#104078); #66160=LINE('',#466283,#104079); #66161=LINE('',#466285,#104080); #66162=LINE('',#466287,#104081); #66163=LINE('',#466288,#104082); #66164=LINE('',#466291,#104083); #66165=LINE('',#466293,#104084); #66166=LINE('',#466294,#104085); #66167=LINE('',#466297,#104086); #66168=LINE('',#466299,#104087); #66169=LINE('',#466300,#104088); #66170=LINE('',#466303,#104089); #66171=LINE('',#466305,#104090); #66172=LINE('',#466306,#104091); #66173=LINE('',#466309,#104092); #66174=LINE('',#466311,#104093); #66175=LINE('',#466312,#104094); #66176=LINE('',#466315,#104095); #66177=LINE('',#466317,#104096); #66178=LINE('',#466318,#104097); #66179=LINE('',#466321,#104098); #66180=LINE('',#466323,#104099); #66181=LINE('',#466324,#104100); #66182=LINE('',#466327,#104101); #66183=LINE('',#466329,#104102); #66184=LINE('',#466330,#104103); #66185=LINE('',#466333,#104104); #66186=LINE('',#466335,#104105); #66187=LINE('',#466336,#104106); #66188=LINE('',#466339,#104107); #66189=LINE('',#466341,#104108); #66190=LINE('',#466342,#104109); #66191=LINE('',#466345,#104110); #66192=LINE('',#466347,#104111); #66193=LINE('',#466348,#104112); #66194=LINE('',#466351,#104113); #66195=LINE('',#466353,#104114); #66196=LINE('',#466354,#104115); #66197=LINE('',#466357,#104116); #66198=LINE('',#466359,#104117); #66199=LINE('',#466360,#104118); #66200=LINE('',#466363,#104119); #66201=LINE('',#466365,#104120); #66202=LINE('',#466366,#104121); #66203=LINE('',#466369,#104122); #66204=LINE('',#466371,#104123); #66205=LINE('',#466372,#104124); #66206=LINE('',#466375,#104125); #66207=LINE('',#466377,#104126); #66208=LINE('',#466378,#104127); #66209=LINE('',#466381,#104128); #66210=LINE('',#466383,#104129); #66211=LINE('',#466384,#104130); #66212=LINE('',#466387,#104131); #66213=LINE('',#466389,#104132); #66214=LINE('',#466390,#104133); #66215=LINE('',#466393,#104134); #66216=LINE('',#466395,#104135); #66217=LINE('',#466396,#104136); #66218=LINE('',#466399,#104137); #66219=LINE('',#466401,#104138); #66220=LINE('',#466402,#104139); #66221=LINE('',#466405,#104140); #66222=LINE('',#466407,#104141); #66223=LINE('',#466408,#104142); #66224=LINE('',#466411,#104143); #66225=LINE('',#466413,#104144); #66226=LINE('',#466414,#104145); #66227=LINE('',#466417,#104146); #66228=LINE('',#466419,#104147); #66229=LINE('',#466420,#104148); #66230=LINE('',#466423,#104149); #66231=LINE('',#466425,#104150); #66232=LINE('',#466426,#104151); #66233=LINE('',#466429,#104152); #66234=LINE('',#466431,#104153); #66235=LINE('',#466432,#104154); #66236=LINE('',#466435,#104155); #66237=LINE('',#466437,#104156); #66238=LINE('',#466438,#104157); #66239=LINE('',#466441,#104158); #66240=LINE('',#466443,#104159); #66241=LINE('',#466444,#104160); #66242=LINE('',#466447,#104161); #66243=LINE('',#466449,#104162); #66244=LINE('',#466450,#104163); #66245=LINE('',#466453,#104164); #66246=LINE('',#466455,#104165); #66247=LINE('',#466456,#104166); #66248=LINE('',#466459,#104167); #66249=LINE('',#466461,#104168); #66250=LINE('',#466462,#104169); #66251=LINE('',#466465,#104170); #66252=LINE('',#466467,#104171); #66253=LINE('',#466468,#104172); #66254=LINE('',#466471,#104173); #66255=LINE('',#466473,#104174); #66256=LINE('',#466474,#104175); #66257=LINE('',#466477,#104176); #66258=LINE('',#466479,#104177); #66259=LINE('',#466480,#104178); #66260=LINE('',#466483,#104179); #66261=LINE('',#466485,#104180); #66262=LINE('',#466486,#104181); #66263=LINE('',#466489,#104182); #66264=LINE('',#466491,#104183); #66265=LINE('',#466492,#104184); #66266=LINE('',#466495,#104185); #66267=LINE('',#466497,#104186); #66268=LINE('',#466498,#104187); #66269=LINE('',#466501,#104188); #66270=LINE('',#466503,#104189); #66271=LINE('',#466504,#104190); #66272=LINE('',#466507,#104191); #66273=LINE('',#466509,#104192); #66274=LINE('',#466510,#104193); #66275=LINE('',#466513,#104194); #66276=LINE('',#466515,#104195); #66277=LINE('',#466516,#104196); #66278=LINE('',#466519,#104197); #66279=LINE('',#466521,#104198); #66280=LINE('',#466522,#104199); #66281=LINE('',#466525,#104200); #66282=LINE('',#466527,#104201); #66283=LINE('',#466528,#104202); #66284=LINE('',#466531,#104203); #66285=LINE('',#466533,#104204); #66286=LINE('',#466534,#104205); #66287=LINE('',#466537,#104206); #66288=LINE('',#466539,#104207); #66289=LINE('',#466540,#104208); #66290=LINE('',#466543,#104209); #66291=LINE('',#466545,#104210); #66292=LINE('',#466546,#104211); #66293=LINE('',#466549,#104212); #66294=LINE('',#466551,#104213); #66295=LINE('',#466552,#104214); #66296=LINE('',#466555,#104215); #66297=LINE('',#466557,#104216); #66298=LINE('',#466558,#104217); #66299=LINE('',#466561,#104218); #66300=LINE('',#466563,#104219); #66301=LINE('',#466564,#104220); #66302=LINE('',#466567,#104221); #66303=LINE('',#466569,#104222); #66304=LINE('',#466570,#104223); #66305=LINE('',#466573,#104224); #66306=LINE('',#466575,#104225); #66307=LINE('',#466576,#104226); #66308=LINE('',#466579,#104227); #66309=LINE('',#466581,#104228); #66310=LINE('',#466582,#104229); #66311=LINE('',#466585,#104230); #66312=LINE('',#466587,#104231); #66313=LINE('',#466588,#104232); #66314=LINE('',#466591,#104233); #66315=LINE('',#466593,#104234); #66316=LINE('',#466594,#104235); #66317=LINE('',#466597,#104236); #66318=LINE('',#466599,#104237); #66319=LINE('',#466600,#104238); #66320=LINE('',#466603,#104239); #66321=LINE('',#466605,#104240); #66322=LINE('',#466606,#104241); #66323=LINE('',#466609,#104242); #66324=LINE('',#466611,#104243); #66325=LINE('',#466612,#104244); #66326=LINE('',#466615,#104245); #66327=LINE('',#466617,#104246); #66328=LINE('',#466618,#104247); #66329=LINE('',#466621,#104248); #66330=LINE('',#466623,#104249); #66331=LINE('',#466624,#104250); #66332=LINE('',#466627,#104251); #66333=LINE('',#466629,#104252); #66334=LINE('',#466630,#104253); #66335=LINE('',#466633,#104254); #66336=LINE('',#466635,#104255); #66337=LINE('',#466636,#104256); #66338=LINE('',#466639,#104257); #66339=LINE('',#466641,#104258); #66340=LINE('',#466642,#104259); #66341=LINE('',#466645,#104260); #66342=LINE('',#466647,#104261); #66343=LINE('',#466648,#104262); #66344=LINE('',#466651,#104263); #66345=LINE('',#466653,#104264); #66346=LINE('',#466654,#104265); #66347=LINE('',#466657,#104266); #66348=LINE('',#466659,#104267); #66349=LINE('',#466660,#104268); #66350=LINE('',#466663,#104269); #66351=LINE('',#466665,#104270); #66352=LINE('',#466666,#104271); #66353=LINE('',#466669,#104272); #66354=LINE('',#466671,#104273); #66355=LINE('',#466672,#104274); #66356=LINE('',#466675,#104275); #66357=LINE('',#466677,#104276); #66358=LINE('',#466678,#104277); #66359=LINE('',#466681,#104278); #66360=LINE('',#466683,#104279); #66361=LINE('',#466684,#104280); #66362=LINE('',#466687,#104281); #66363=LINE('',#466689,#104282); #66364=LINE('',#466690,#104283); #66365=LINE('',#466693,#104284); #66366=LINE('',#466695,#104285); #66367=LINE('',#466696,#104286); #66368=LINE('',#466699,#104287); #66369=LINE('',#466701,#104288); #66370=LINE('',#466702,#104289); #66371=LINE('',#466705,#104290); #66372=LINE('',#466707,#104291); #66373=LINE('',#466708,#104292); #66374=LINE('',#466711,#104293); #66375=LINE('',#466713,#104294); #66376=LINE('',#466714,#104295); #66377=LINE('',#466717,#104296); #66378=LINE('',#466719,#104297); #66379=LINE('',#466720,#104298); #66380=LINE('',#466723,#104299); #66381=LINE('',#466725,#104300); #66382=LINE('',#466726,#104301); #66383=LINE('',#466729,#104302); #66384=LINE('',#466731,#104303); #66385=LINE('',#466732,#104304); #66386=LINE('',#466735,#104305); #66387=LINE('',#466737,#104306); #66388=LINE('',#466738,#104307); #66389=LINE('',#466741,#104308); #66390=LINE('',#466743,#104309); #66391=LINE('',#466744,#104310); #66392=LINE('',#466747,#104311); #66393=LINE('',#466749,#104312); #66394=LINE('',#466750,#104313); #66395=LINE('',#466753,#104314); #66396=LINE('',#466755,#104315); #66397=LINE('',#466756,#104316); #66398=LINE('',#466759,#104317); #66399=LINE('',#466761,#104318); #66400=LINE('',#466762,#104319); #66401=LINE('',#466765,#104320); #66402=LINE('',#466767,#104321); #66403=LINE('',#466768,#104322); #66404=LINE('',#466771,#104323); #66405=LINE('',#466773,#104324); #66406=LINE('',#466774,#104325); #66407=LINE('',#466777,#104326); #66408=LINE('',#466779,#104327); #66409=LINE('',#466780,#104328); #66410=LINE('',#466783,#104329); #66411=LINE('',#466785,#104330); #66412=LINE('',#466786,#104331); #66413=LINE('',#466789,#104332); #66414=LINE('',#466791,#104333); #66415=LINE('',#466792,#104334); #66416=LINE('',#466795,#104335); #66417=LINE('',#466797,#104336); #66418=LINE('',#466798,#104337); #66419=LINE('',#466801,#104338); #66420=LINE('',#466803,#104339); #66421=LINE('',#466804,#104340); #66422=LINE('',#466807,#104341); #66423=LINE('',#466809,#104342); #66424=LINE('',#466810,#104343); #66425=LINE('',#466813,#104344); #66426=LINE('',#466815,#104345); #66427=LINE('',#466816,#104346); #66428=LINE('',#466819,#104347); #66429=LINE('',#466821,#104348); #66430=LINE('',#466822,#104349); #66431=LINE('',#466825,#104350); #66432=LINE('',#466827,#104351); #66433=LINE('',#466828,#104352); #66434=LINE('',#466831,#104353); #66435=LINE('',#466833,#104354); #66436=LINE('',#466834,#104355); #66437=LINE('',#466837,#104356); #66438=LINE('',#466839,#104357); #66439=LINE('',#466840,#104358); #66440=LINE('',#466843,#104359); #66441=LINE('',#466845,#104360); #66442=LINE('',#466846,#104361); #66443=LINE('',#466849,#104362); #66444=LINE('',#466851,#104363); #66445=LINE('',#466852,#104364); #66446=LINE('',#466855,#104365); #66447=LINE('',#466857,#104366); #66448=LINE('',#466858,#104367); #66449=LINE('',#466861,#104368); #66450=LINE('',#466863,#104369); #66451=LINE('',#466864,#104370); #66452=LINE('',#466867,#104371); #66453=LINE('',#466869,#104372); #66454=LINE('',#466870,#104373); #66455=LINE('',#466873,#104374); #66456=LINE('',#466875,#104375); #66457=LINE('',#466876,#104376); #66458=LINE('',#466879,#104377); #66459=LINE('',#466881,#104378); #66460=LINE('',#466882,#104379); #66461=LINE('',#466885,#104380); #66462=LINE('',#466887,#104381); #66463=LINE('',#466888,#104382); #66464=LINE('',#466891,#104383); #66465=LINE('',#466893,#104384); #66466=LINE('',#466894,#104385); #66467=LINE('',#466897,#104386); #66468=LINE('',#466899,#104387); #66469=LINE('',#466900,#104388); #66470=LINE('',#466903,#104389); #66471=LINE('',#466905,#104390); #66472=LINE('',#466906,#104391); #66473=LINE('',#466909,#104392); #66474=LINE('',#466911,#104393); #66475=LINE('',#466912,#104394); #66476=LINE('',#466915,#104395); #66477=LINE('',#466917,#104396); #66478=LINE('',#466918,#104397); #66479=LINE('',#466921,#104398); #66480=LINE('',#466923,#104399); #66481=LINE('',#466924,#104400); #66482=LINE('',#466927,#104401); #66483=LINE('',#466929,#104402); #66484=LINE('',#466930,#104403); #66485=LINE('',#466933,#104404); #66486=LINE('',#466935,#104405); #66487=LINE('',#466936,#104406); #66488=LINE('',#466939,#104407); #66489=LINE('',#466941,#104408); #66490=LINE('',#466942,#104409); #66491=LINE('',#466945,#104410); #66492=LINE('',#466947,#104411); #66493=LINE('',#466948,#104412); #66494=LINE('',#466951,#104413); #66495=LINE('',#466953,#104414); #66496=LINE('',#466954,#104415); #66497=LINE('',#466957,#104416); #66498=LINE('',#466959,#104417); #66499=LINE('',#466960,#104418); #66500=LINE('',#466963,#104419); #66501=LINE('',#466965,#104420); #66502=LINE('',#466966,#104421); #66503=LINE('',#466969,#104422); #66504=LINE('',#466971,#104423); #66505=LINE('',#466972,#104424); #66506=LINE('',#466975,#104425); #66507=LINE('',#466977,#104426); #66508=LINE('',#466978,#104427); #66509=LINE('',#466981,#104428); #66510=LINE('',#466983,#104429); #66511=LINE('',#466984,#104430); #66512=LINE('',#466987,#104431); #66513=LINE('',#466989,#104432); #66514=LINE('',#466990,#104433); #66515=LINE('',#466993,#104434); #66516=LINE('',#466995,#104435); #66517=LINE('',#466996,#104436); #66518=LINE('',#466999,#104437); #66519=LINE('',#467001,#104438); #66520=LINE('',#467002,#104439); #66521=LINE('',#467005,#104440); #66522=LINE('',#467007,#104441); #66523=LINE('',#467008,#104442); #66524=LINE('',#467011,#104443); #66525=LINE('',#467013,#104444); #66526=LINE('',#467014,#104445); #66527=LINE('',#467017,#104446); #66528=LINE('',#467019,#104447); #66529=LINE('',#467020,#104448); #66530=LINE('',#467023,#104449); #66531=LINE('',#467025,#104450); #66532=LINE('',#467026,#104451); #66533=LINE('',#467029,#104452); #66534=LINE('',#467031,#104453); #66535=LINE('',#467032,#104454); #66536=LINE('',#467035,#104455); #66537=LINE('',#467037,#104456); #66538=LINE('',#467038,#104457); #66539=LINE('',#467041,#104458); #66540=LINE('',#467043,#104459); #66541=LINE('',#467044,#104460); #66542=LINE('',#467047,#104461); #66543=LINE('',#467049,#104462); #66544=LINE('',#467050,#104463); #66545=LINE('',#467053,#104464); #66546=LINE('',#467055,#104465); #66547=LINE('',#467056,#104466); #66548=LINE('',#467059,#104467); #66549=LINE('',#467061,#104468); #66550=LINE('',#467062,#104469); #66551=LINE('',#467065,#104470); #66552=LINE('',#467067,#104471); #66553=LINE('',#467068,#104472); #66554=LINE('',#467071,#104473); #66555=LINE('',#467073,#104474); #66556=LINE('',#467074,#104475); #66557=LINE('',#467077,#104476); #66558=LINE('',#467079,#104477); #66559=LINE('',#467080,#104478); #66560=LINE('',#467083,#104479); #66561=LINE('',#467085,#104480); #66562=LINE('',#467086,#104481); #66563=LINE('',#467089,#104482); #66564=LINE('',#467091,#104483); #66565=LINE('',#467092,#104484); #66566=LINE('',#467095,#104485); #66567=LINE('',#467097,#104486); #66568=LINE('',#467098,#104487); #66569=LINE('',#467101,#104488); #66570=LINE('',#467103,#104489); #66571=LINE('',#467104,#104490); #66572=LINE('',#467107,#104491); #66573=LINE('',#467109,#104492); #66574=LINE('',#467110,#104493); #66575=LINE('',#467113,#104494); #66576=LINE('',#467115,#104495); #66577=LINE('',#467116,#104496); #66578=LINE('',#467119,#104497); #66579=LINE('',#467121,#104498); #66580=LINE('',#467122,#104499); #66581=LINE('',#467125,#104500); #66582=LINE('',#467127,#104501); #66583=LINE('',#467128,#104502); #66584=LINE('',#467131,#104503); #66585=LINE('',#467133,#104504); #66586=LINE('',#467134,#104505); #66587=LINE('',#467137,#104506); #66588=LINE('',#467139,#104507); #66589=LINE('',#467140,#104508); #66590=LINE('',#467143,#104509); #66591=LINE('',#467145,#104510); #66592=LINE('',#467146,#104511); #66593=LINE('',#467149,#104512); #66594=LINE('',#467151,#104513); #66595=LINE('',#467152,#104514); #66596=LINE('',#467155,#104515); #66597=LINE('',#467157,#104516); #66598=LINE('',#467158,#104517); #66599=LINE('',#467161,#104518); #66600=LINE('',#467163,#104519); #66601=LINE('',#467164,#104520); #66602=LINE('',#467167,#104521); #66603=LINE('',#467169,#104522); #66604=LINE('',#467170,#104523); #66605=LINE('',#467173,#104524); #66606=LINE('',#467175,#104525); #66607=LINE('',#467176,#104526); #66608=LINE('',#467179,#104527); #66609=LINE('',#467181,#104528); #66610=LINE('',#467182,#104529); #66611=LINE('',#467185,#104530); #66612=LINE('',#467187,#104531); #66613=LINE('',#467188,#104532); #66614=LINE('',#467191,#104533); #66615=LINE('',#467193,#104534); #66616=LINE('',#467194,#104535); #66617=LINE('',#467197,#104536); #66618=LINE('',#467199,#104537); #66619=LINE('',#467200,#104538); #66620=LINE('',#467203,#104539); #66621=LINE('',#467205,#104540); #66622=LINE('',#467206,#104541); #66623=LINE('',#467209,#104542); #66624=LINE('',#467211,#104543); #66625=LINE('',#467212,#104544); #66626=LINE('',#467215,#104545); #66627=LINE('',#467217,#104546); #66628=LINE('',#467218,#104547); #66629=LINE('',#467221,#104548); #66630=LINE('',#467223,#104549); #66631=LINE('',#467224,#104550); #66632=LINE('',#467227,#104551); #66633=LINE('',#467229,#104552); #66634=LINE('',#467230,#104553); #66635=LINE('',#467233,#104554); #66636=LINE('',#467235,#104555); #66637=LINE('',#467236,#104556); #66638=LINE('',#467239,#104557); #66639=LINE('',#467241,#104558); #66640=LINE('',#467242,#104559); #66641=LINE('',#467245,#104560); #66642=LINE('',#467247,#104561); #66643=LINE('',#467248,#104562); #66644=LINE('',#467251,#104563); #66645=LINE('',#467253,#104564); #66646=LINE('',#467254,#104565); #66647=LINE('',#467257,#104566); #66648=LINE('',#467259,#104567); #66649=LINE('',#467260,#104568); #66650=LINE('',#467263,#104569); #66651=LINE('',#467265,#104570); #66652=LINE('',#467266,#104571); #66653=LINE('',#467269,#104572); #66654=LINE('',#467271,#104573); #66655=LINE('',#467272,#104574); #66656=LINE('',#467275,#104575); #66657=LINE('',#467277,#104576); #66658=LINE('',#467278,#104577); #66659=LINE('',#467281,#104578); #66660=LINE('',#467283,#104579); #66661=LINE('',#467284,#104580); #66662=LINE('',#467287,#104581); #66663=LINE('',#467289,#104582); #66664=LINE('',#467290,#104583); #66665=LINE('',#467293,#104584); #66666=LINE('',#467295,#104585); #66667=LINE('',#467296,#104586); #66668=LINE('',#467299,#104587); #66669=LINE('',#467301,#104588); #66670=LINE('',#467302,#104589); #66671=LINE('',#467305,#104590); #66672=LINE('',#467307,#104591); #66673=LINE('',#467308,#104592); #66674=LINE('',#467311,#104593); #66675=LINE('',#467313,#104594); #66676=LINE('',#467314,#104595); #66677=LINE('',#467317,#104596); #66678=LINE('',#467319,#104597); #66679=LINE('',#467320,#104598); #66680=LINE('',#467323,#104599); #66681=LINE('',#467325,#104600); #66682=LINE('',#467326,#104601); #66683=LINE('',#467329,#104602); #66684=LINE('',#467331,#104603); #66685=LINE('',#467332,#104604); #66686=LINE('',#467335,#104605); #66687=LINE('',#467337,#104606); #66688=LINE('',#467338,#104607); #66689=LINE('',#467341,#104608); #66690=LINE('',#467343,#104609); #66691=LINE('',#467344,#104610); #66692=LINE('',#467347,#104611); #66693=LINE('',#467349,#104612); #66694=LINE('',#467350,#104613); #66695=LINE('',#467353,#104614); #66696=LINE('',#467355,#104615); #66697=LINE('',#467356,#104616); #66698=LINE('',#467359,#104617); #66699=LINE('',#467361,#104618); #66700=LINE('',#467362,#104619); #66701=LINE('',#467365,#104620); #66702=LINE('',#467367,#104621); #66703=LINE('',#467368,#104622); #66704=LINE('',#467371,#104623); #66705=LINE('',#467373,#104624); #66706=LINE('',#467374,#104625); #66707=LINE('',#467377,#104626); #66708=LINE('',#467379,#104627); #66709=LINE('',#467380,#104628); #66710=LINE('',#467383,#104629); #66711=LINE('',#467385,#104630); #66712=LINE('',#467386,#104631); #66713=LINE('',#467389,#104632); #66714=LINE('',#467391,#104633); #66715=LINE('',#467392,#104634); #66716=LINE('',#467395,#104635); #66717=LINE('',#467397,#104636); #66718=LINE('',#467398,#104637); #66719=LINE('',#467401,#104638); #66720=LINE('',#467403,#104639); #66721=LINE('',#467404,#104640); #66722=LINE('',#467407,#104641); #66723=LINE('',#467409,#104642); #66724=LINE('',#467410,#104643); #66725=LINE('',#467413,#104644); #66726=LINE('',#467415,#104645); #66727=LINE('',#467416,#104646); #66728=LINE('',#467419,#104647); #66729=LINE('',#467421,#104648); #66730=LINE('',#467422,#104649); #66731=LINE('',#467425,#104650); #66732=LINE('',#467427,#104651); #66733=LINE('',#467428,#104652); #66734=LINE('',#467431,#104653); #66735=LINE('',#467433,#104654); #66736=LINE('',#467434,#104655); #66737=LINE('',#467437,#104656); #66738=LINE('',#467439,#104657); #66739=LINE('',#467440,#104658); #66740=LINE('',#467443,#104659); #66741=LINE('',#467445,#104660); #66742=LINE('',#467446,#104661); #66743=LINE('',#467449,#104662); #66744=LINE('',#467451,#104663); #66745=LINE('',#467452,#104664); #66746=LINE('',#467455,#104665); #66747=LINE('',#467457,#104666); #66748=LINE('',#467458,#104667); #66749=LINE('',#467461,#104668); #66750=LINE('',#467463,#104669); #66751=LINE('',#467464,#104670); #66752=LINE('',#467467,#104671); #66753=LINE('',#467469,#104672); #66754=LINE('',#467470,#104673); #66755=LINE('',#467473,#104674); #66756=LINE('',#467475,#104675); #66757=LINE('',#467476,#104676); #66758=LINE('',#467479,#104677); #66759=LINE('',#467481,#104678); #66760=LINE('',#467482,#104679); #66761=LINE('',#467485,#104680); #66762=LINE('',#467487,#104681); #66763=LINE('',#467488,#104682); #66764=LINE('',#467491,#104683); #66765=LINE('',#467493,#104684); #66766=LINE('',#467494,#104685); #66767=LINE('',#467497,#104686); #66768=LINE('',#467499,#104687); #66769=LINE('',#467500,#104688); #66770=LINE('',#467503,#104689); #66771=LINE('',#467505,#104690); #66772=LINE('',#467506,#104691); #66773=LINE('',#467509,#104692); #66774=LINE('',#467511,#104693); #66775=LINE('',#467512,#104694); #66776=LINE('',#467515,#104695); #66777=LINE('',#467517,#104696); #66778=LINE('',#467518,#104697); #66779=LINE('',#467521,#104698); #66780=LINE('',#467523,#104699); #66781=LINE('',#467524,#104700); #66782=LINE('',#467527,#104701); #66783=LINE('',#467529,#104702); #66784=LINE('',#467530,#104703); #66785=LINE('',#467533,#104704); #66786=LINE('',#467535,#104705); #66787=LINE('',#467536,#104706); #66788=LINE('',#467539,#104707); #66789=LINE('',#467541,#104708); #66790=LINE('',#467542,#104709); #66791=LINE('',#467545,#104710); #66792=LINE('',#467547,#104711); #66793=LINE('',#467548,#104712); #66794=LINE('',#467551,#104713); #66795=LINE('',#467553,#104714); #66796=LINE('',#467554,#104715); #66797=LINE('',#467557,#104716); #66798=LINE('',#467559,#104717); #66799=LINE('',#467560,#104718); #66800=LINE('',#467563,#104719); #66801=LINE('',#467565,#104720); #66802=LINE('',#467566,#104721); #66803=LINE('',#467569,#104722); #66804=LINE('',#467571,#104723); #66805=LINE('',#467572,#104724); #66806=LINE('',#467575,#104725); #66807=LINE('',#467577,#104726); #66808=LINE('',#467578,#104727); #66809=LINE('',#467581,#104728); #66810=LINE('',#467583,#104729); #66811=LINE('',#467584,#104730); #66812=LINE('',#467587,#104731); #66813=LINE('',#467589,#104732); #66814=LINE('',#467590,#104733); #66815=LINE('',#467593,#104734); #66816=LINE('',#467595,#104735); #66817=LINE('',#467596,#104736); #66818=LINE('',#467599,#104737); #66819=LINE('',#467601,#104738); #66820=LINE('',#467602,#104739); #66821=LINE('',#467605,#104740); #66822=LINE('',#467607,#104741); #66823=LINE('',#467608,#104742); #66824=LINE('',#467611,#104743); #66825=LINE('',#467613,#104744); #66826=LINE('',#467614,#104745); #66827=LINE('',#467617,#104746); #66828=LINE('',#467619,#104747); #66829=LINE('',#467620,#104748); #66830=LINE('',#467623,#104749); #66831=LINE('',#467625,#104750); #66832=LINE('',#467626,#104751); #66833=LINE('',#467629,#104752); #66834=LINE('',#467631,#104753); #66835=LINE('',#467632,#104754); #66836=LINE('',#467635,#104755); #66837=LINE('',#467637,#104756); #66838=LINE('',#467638,#104757); #66839=LINE('',#467641,#104758); #66840=LINE('',#467643,#104759); #66841=LINE('',#467644,#104760); #66842=LINE('',#467647,#104761); #66843=LINE('',#467649,#104762); #66844=LINE('',#467650,#104763); #66845=LINE('',#467653,#104764); #66846=LINE('',#467655,#104765); #66847=LINE('',#467656,#104766); #66848=LINE('',#467659,#104767); #66849=LINE('',#467661,#104768); #66850=LINE('',#467662,#104769); #66851=LINE('',#467665,#104770); #66852=LINE('',#467667,#104771); #66853=LINE('',#467668,#104772); #66854=LINE('',#467671,#104773); #66855=LINE('',#467673,#104774); #66856=LINE('',#467674,#104775); #66857=LINE('',#467677,#104776); #66858=LINE('',#467679,#104777); #66859=LINE('',#467680,#104778); #66860=LINE('',#467683,#104779); #66861=LINE('',#467685,#104780); #66862=LINE('',#467686,#104781); #66863=LINE('',#467689,#104782); #66864=LINE('',#467691,#104783); #66865=LINE('',#467692,#104784); #66866=LINE('',#467695,#104785); #66867=LINE('',#467697,#104786); #66868=LINE('',#467698,#104787); #66869=LINE('',#467701,#104788); #66870=LINE('',#467703,#104789); #66871=LINE('',#467704,#104790); #66872=LINE('',#467707,#104791); #66873=LINE('',#467709,#104792); #66874=LINE('',#467710,#104793); #66875=LINE('',#467713,#104794); #66876=LINE('',#467715,#104795); #66877=LINE('',#467716,#104796); #66878=LINE('',#467719,#104797); #66879=LINE('',#467721,#104798); #66880=LINE('',#467722,#104799); #66881=LINE('',#467725,#104800); #66882=LINE('',#467727,#104801); #66883=LINE('',#467728,#104802); #66884=LINE('',#467731,#104803); #66885=LINE('',#467733,#104804); #66886=LINE('',#467734,#104805); #66887=LINE('',#467737,#104806); #66888=LINE('',#467739,#104807); #66889=LINE('',#467740,#104808); #66890=LINE('',#467743,#104809); #66891=LINE('',#467745,#104810); #66892=LINE('',#467746,#104811); #66893=LINE('',#467749,#104812); #66894=LINE('',#467751,#104813); #66895=LINE('',#467752,#104814); #66896=LINE('',#467755,#104815); #66897=LINE('',#467757,#104816); #66898=LINE('',#467758,#104817); #66899=LINE('',#467761,#104818); #66900=LINE('',#467763,#104819); #66901=LINE('',#467764,#104820); #66902=LINE('',#467767,#104821); #66903=LINE('',#467769,#104822); #66904=LINE('',#467770,#104823); #66905=LINE('',#467773,#104824); #66906=LINE('',#467775,#104825); #66907=LINE('',#467776,#104826); #66908=LINE('',#467779,#104827); #66909=LINE('',#467781,#104828); #66910=LINE('',#467782,#104829); #66911=LINE('',#467785,#104830); #66912=LINE('',#467787,#104831); #66913=LINE('',#467788,#104832); #66914=LINE('',#467791,#104833); #66915=LINE('',#467793,#104834); #66916=LINE('',#467794,#104835); #66917=LINE('',#467797,#104836); #66918=LINE('',#467799,#104837); #66919=LINE('',#467800,#104838); #66920=LINE('',#467803,#104839); #66921=LINE('',#467805,#104840); #66922=LINE('',#467806,#104841); #66923=LINE('',#467809,#104842); #66924=LINE('',#467811,#104843); #66925=LINE('',#467812,#104844); #66926=LINE('',#467815,#104845); #66927=LINE('',#467817,#104846); #66928=LINE('',#467818,#104847); #66929=LINE('',#467821,#104848); #66930=LINE('',#467823,#104849); #66931=LINE('',#467824,#104850); #66932=LINE('',#467827,#104851); #66933=LINE('',#467829,#104852); #66934=LINE('',#467830,#104853); #66935=LINE('',#467833,#104854); #66936=LINE('',#467835,#104855); #66937=LINE('',#467836,#104856); #66938=LINE('',#467839,#104857); #66939=LINE('',#467841,#104858); #66940=LINE('',#467842,#104859); #66941=LINE('',#467845,#104860); #66942=LINE('',#467847,#104861); #66943=LINE('',#467848,#104862); #66944=LINE('',#467851,#104863); #66945=LINE('',#467853,#104864); #66946=LINE('',#467854,#104865); #66947=LINE('',#467857,#104866); #66948=LINE('',#467859,#104867); #66949=LINE('',#467860,#104868); #66950=LINE('',#467863,#104869); #66951=LINE('',#467865,#104870); #66952=LINE('',#467866,#104871); #66953=LINE('',#467869,#104872); #66954=LINE('',#467871,#104873); #66955=LINE('',#467872,#104874); #66956=LINE('',#467875,#104875); #66957=LINE('',#467877,#104876); #66958=LINE('',#467878,#104877); #66959=LINE('',#467881,#104878); #66960=LINE('',#467883,#104879); #66961=LINE('',#467884,#104880); #66962=LINE('',#467887,#104881); #66963=LINE('',#467889,#104882); #66964=LINE('',#467890,#104883); #66965=LINE('',#467893,#104884); #66966=LINE('',#467895,#104885); #66967=LINE('',#467896,#104886); #66968=LINE('',#467899,#104887); #66969=LINE('',#467901,#104888); #66970=LINE('',#467902,#104889); #66971=LINE('',#467905,#104890); #66972=LINE('',#467907,#104891); #66973=LINE('',#467908,#104892); #66974=LINE('',#467911,#104893); #66975=LINE('',#467913,#104894); #66976=LINE('',#467914,#104895); #66977=LINE('',#467917,#104896); #66978=LINE('',#467919,#104897); #66979=LINE('',#467920,#104898); #66980=LINE('',#467923,#104899); #66981=LINE('',#467925,#104900); #66982=LINE('',#467926,#104901); #66983=LINE('',#467929,#104902); #66984=LINE('',#467931,#104903); #66985=LINE('',#467932,#104904); #66986=LINE('',#467935,#104905); #66987=LINE('',#467937,#104906); #66988=LINE('',#467938,#104907); #66989=LINE('',#467941,#104908); #66990=LINE('',#467943,#104909); #66991=LINE('',#467944,#104910); #66992=LINE('',#467947,#104911); #66993=LINE('',#467949,#104912); #66994=LINE('',#467950,#104913); #66995=LINE('',#467953,#104914); #66996=LINE('',#467955,#104915); #66997=LINE('',#467956,#104916); #66998=LINE('',#467959,#104917); #66999=LINE('',#467961,#104918); #67000=LINE('',#467962,#104919); #67001=LINE('',#467965,#104920); #67002=LINE('',#467967,#104921); #67003=LINE('',#467968,#104922); #67004=LINE('',#467971,#104923); #67005=LINE('',#467973,#104924); #67006=LINE('',#467974,#104925); #67007=LINE('',#467977,#104926); #67008=LINE('',#467979,#104927); #67009=LINE('',#467980,#104928); #67010=LINE('',#467983,#104929); #67011=LINE('',#467985,#104930); #67012=LINE('',#467986,#104931); #67013=LINE('',#467989,#104932); #67014=LINE('',#467991,#104933); #67015=LINE('',#467992,#104934); #67016=LINE('',#467995,#104935); #67017=LINE('',#467997,#104936); #67018=LINE('',#467998,#104937); #67019=LINE('',#468001,#104938); #67020=LINE('',#468003,#104939); #67021=LINE('',#468004,#104940); #67022=LINE('',#468007,#104941); #67023=LINE('',#468009,#104942); #67024=LINE('',#468010,#104943); #67025=LINE('',#468013,#104944); #67026=LINE('',#468015,#104945); #67027=LINE('',#468016,#104946); #67028=LINE('',#468019,#104947); #67029=LINE('',#468021,#104948); #67030=LINE('',#468022,#104949); #67031=LINE('',#468025,#104950); #67032=LINE('',#468027,#104951); #67033=LINE('',#468028,#104952); #67034=LINE('',#468031,#104953); #67035=LINE('',#468033,#104954); #67036=LINE('',#468034,#104955); #67037=LINE('',#468037,#104956); #67038=LINE('',#468039,#104957); #67039=LINE('',#468040,#104958); #67040=LINE('',#468043,#104959); #67041=LINE('',#468045,#104960); #67042=LINE('',#468046,#104961); #67043=LINE('',#468049,#104962); #67044=LINE('',#468051,#104963); #67045=LINE('',#468052,#104964); #67046=LINE('',#468055,#104965); #67047=LINE('',#468057,#104966); #67048=LINE('',#468058,#104967); #67049=LINE('',#468061,#104968); #67050=LINE('',#468063,#104969); #67051=LINE('',#468064,#104970); #67052=LINE('',#468067,#104971); #67053=LINE('',#468069,#104972); #67054=LINE('',#468070,#104973); #67055=LINE('',#468073,#104974); #67056=LINE('',#468075,#104975); #67057=LINE('',#468076,#104976); #67058=LINE('',#468079,#104977); #67059=LINE('',#468081,#104978); #67060=LINE('',#468082,#104979); #67061=LINE('',#468085,#104980); #67062=LINE('',#468087,#104981); #67063=LINE('',#468088,#104982); #67064=LINE('',#468091,#104983); #67065=LINE('',#468093,#104984); #67066=LINE('',#468094,#104985); #67067=LINE('',#468097,#104986); #67068=LINE('',#468099,#104987); #67069=LINE('',#468100,#104988); #67070=LINE('',#468103,#104989); #67071=LINE('',#468105,#104990); #67072=LINE('',#468106,#104991); #67073=LINE('',#468109,#104992); #67074=LINE('',#468111,#104993); #67075=LINE('',#468112,#104994); #67076=LINE('',#468115,#104995); #67077=LINE('',#468117,#104996); #67078=LINE('',#468118,#104997); #67079=LINE('',#468121,#104998); #67080=LINE('',#468123,#104999); #67081=LINE('',#468124,#105000); #67082=LINE('',#468127,#105001); #67083=LINE('',#468129,#105002); #67084=LINE('',#468130,#105003); #67085=LINE('',#468133,#105004); #67086=LINE('',#468135,#105005); #67087=LINE('',#468136,#105006); #67088=LINE('',#468139,#105007); #67089=LINE('',#468141,#105008); #67090=LINE('',#468142,#105009); #67091=LINE('',#468145,#105010); #67092=LINE('',#468147,#105011); #67093=LINE('',#468148,#105012); #67094=LINE('',#468151,#105013); #67095=LINE('',#468153,#105014); #67096=LINE('',#468154,#105015); #67097=LINE('',#468157,#105016); #67098=LINE('',#468159,#105017); #67099=LINE('',#468160,#105018); #67100=LINE('',#468163,#105019); #67101=LINE('',#468165,#105020); #67102=LINE('',#468166,#105021); #67103=LINE('',#468169,#105022); #67104=LINE('',#468171,#105023); #67105=LINE('',#468172,#105024); #67106=LINE('',#468175,#105025); #67107=LINE('',#468177,#105026); #67108=LINE('',#468178,#105027); #67109=LINE('',#468181,#105028); #67110=LINE('',#468183,#105029); #67111=LINE('',#468184,#105030); #67112=LINE('',#468187,#105031); #67113=LINE('',#468189,#105032); #67114=LINE('',#468190,#105033); #67115=LINE('',#468193,#105034); #67116=LINE('',#468195,#105035); #67117=LINE('',#468196,#105036); #67118=LINE('',#468199,#105037); #67119=LINE('',#468201,#105038); #67120=LINE('',#468202,#105039); #67121=LINE('',#468205,#105040); #67122=LINE('',#468207,#105041); #67123=LINE('',#468208,#105042); #67124=LINE('',#468211,#105043); #67125=LINE('',#468213,#105044); #67126=LINE('',#468214,#105045); #67127=LINE('',#468217,#105046); #67128=LINE('',#468219,#105047); #67129=LINE('',#468220,#105048); #67130=LINE('',#468223,#105049); #67131=LINE('',#468225,#105050); #67132=LINE('',#468226,#105051); #67133=LINE('',#468229,#105052); #67134=LINE('',#468231,#105053); #67135=LINE('',#468232,#105054); #67136=LINE('',#468235,#105055); #67137=LINE('',#468237,#105056); #67138=LINE('',#468238,#105057); #67139=LINE('',#468241,#105058); #67140=LINE('',#468243,#105059); #67141=LINE('',#468244,#105060); #67142=LINE('',#468247,#105061); #67143=LINE('',#468249,#105062); #67144=LINE('',#468250,#105063); #67145=LINE('',#468253,#105064); #67146=LINE('',#468255,#105065); #67147=LINE('',#468256,#105066); #67148=LINE('',#468259,#105067); #67149=LINE('',#468261,#105068); #67150=LINE('',#468262,#105069); #67151=LINE('',#468265,#105070); #67152=LINE('',#468267,#105071); #67153=LINE('',#468268,#105072); #67154=LINE('',#468271,#105073); #67155=LINE('',#468273,#105074); #67156=LINE('',#468274,#105075); #67157=LINE('',#468277,#105076); #67158=LINE('',#468279,#105077); #67159=LINE('',#468280,#105078); #67160=LINE('',#468283,#105079); #67161=LINE('',#468285,#105080); #67162=LINE('',#468286,#105081); #67163=LINE('',#468289,#105082); #67164=LINE('',#468291,#105083); #67165=LINE('',#468292,#105084); #67166=LINE('',#468295,#105085); #67167=LINE('',#468297,#105086); #67168=LINE('',#468298,#105087); #67169=LINE('',#468301,#105088); #67170=LINE('',#468303,#105089); #67171=LINE('',#468304,#105090); #67172=LINE('',#468307,#105091); #67173=LINE('',#468309,#105092); #67174=LINE('',#468310,#105093); #67175=LINE('',#468313,#105094); #67176=LINE('',#468315,#105095); #67177=LINE('',#468316,#105096); #67178=LINE('',#468319,#105097); #67179=LINE('',#468321,#105098); #67180=LINE('',#468322,#105099); #67181=LINE('',#468325,#105100); #67182=LINE('',#468327,#105101); #67183=LINE('',#468328,#105102); #67184=LINE('',#468331,#105103); #67185=LINE('',#468333,#105104); #67186=LINE('',#468334,#105105); #67187=LINE('',#468337,#105106); #67188=LINE('',#468339,#105107); #67189=LINE('',#468340,#105108); #67190=LINE('',#468343,#105109); #67191=LINE('',#468345,#105110); #67192=LINE('',#468346,#105111); #67193=LINE('',#468349,#105112); #67194=LINE('',#468351,#105113); #67195=LINE('',#468352,#105114); #67196=LINE('',#468355,#105115); #67197=LINE('',#468357,#105116); #67198=LINE('',#468358,#105117); #67199=LINE('',#468361,#105118); #67200=LINE('',#468363,#105119); #67201=LINE('',#468364,#105120); #67202=LINE('',#468367,#105121); #67203=LINE('',#468369,#105122); #67204=LINE('',#468370,#105123); #67205=LINE('',#468373,#105124); #67206=LINE('',#468375,#105125); #67207=LINE('',#468376,#105126); #67208=LINE('',#468379,#105127); #67209=LINE('',#468381,#105128); #67210=LINE('',#468382,#105129); #67211=LINE('',#468385,#105130); #67212=LINE('',#468387,#105131); #67213=LINE('',#468388,#105132); #67214=LINE('',#468391,#105133); #67215=LINE('',#468393,#105134); #67216=LINE('',#468394,#105135); #67217=LINE('',#468397,#105136); #67218=LINE('',#468399,#105137); #67219=LINE('',#468400,#105138); #67220=LINE('',#468403,#105139); #67221=LINE('',#468405,#105140); #67222=LINE('',#468406,#105141); #67223=LINE('',#468409,#105142); #67224=LINE('',#468411,#105143); #67225=LINE('',#468412,#105144); #67226=LINE('',#468415,#105145); #67227=LINE('',#468417,#105146); #67228=LINE('',#468418,#105147); #67229=LINE('',#468421,#105148); #67230=LINE('',#468423,#105149); #67231=LINE('',#468424,#105150); #67232=LINE('',#468427,#105151); #67233=LINE('',#468429,#105152); #67234=LINE('',#468430,#105153); #67235=LINE('',#468433,#105154); #67236=LINE('',#468435,#105155); #67237=LINE('',#468436,#105156); #67238=LINE('',#468439,#105157); #67239=LINE('',#468441,#105158); #67240=LINE('',#468442,#105159); #67241=LINE('',#468445,#105160); #67242=LINE('',#468447,#105161); #67243=LINE('',#468448,#105162); #67244=LINE('',#468451,#105163); #67245=LINE('',#468453,#105164); #67246=LINE('',#468454,#105165); #67247=LINE('',#468457,#105166); #67248=LINE('',#468459,#105167); #67249=LINE('',#468460,#105168); #67250=LINE('',#468463,#105169); #67251=LINE('',#468465,#105170); #67252=LINE('',#468466,#105171); #67253=LINE('',#468469,#105172); #67254=LINE('',#468471,#105173); #67255=LINE('',#468472,#105174); #67256=LINE('',#468475,#105175); #67257=LINE('',#468477,#105176); #67258=LINE('',#468478,#105177); #67259=LINE('',#468481,#105178); #67260=LINE('',#468483,#105179); #67261=LINE('',#468484,#105180); #67262=LINE('',#468487,#105181); #67263=LINE('',#468489,#105182); #67264=LINE('',#468490,#105183); #67265=LINE('',#468493,#105184); #67266=LINE('',#468495,#105185); #67267=LINE('',#468496,#105186); #67268=LINE('',#468499,#105187); #67269=LINE('',#468501,#105188); #67270=LINE('',#468502,#105189); #67271=LINE('',#468505,#105190); #67272=LINE('',#468507,#105191); #67273=LINE('',#468508,#105192); #67274=LINE('',#468511,#105193); #67275=LINE('',#468513,#105194); #67276=LINE('',#468514,#105195); #67277=LINE('',#468517,#105196); #67278=LINE('',#468519,#105197); #67279=LINE('',#468520,#105198); #67280=LINE('',#468523,#105199); #67281=LINE('',#468525,#105200); #67282=LINE('',#468526,#105201); #67283=LINE('',#468529,#105202); #67284=LINE('',#468531,#105203); #67285=LINE('',#468532,#105204); #67286=LINE('',#468535,#105205); #67287=LINE('',#468537,#105206); #67288=LINE('',#468538,#105207); #67289=LINE('',#468541,#105208); #67290=LINE('',#468543,#105209); #67291=LINE('',#468544,#105210); #67292=LINE('',#468547,#105211); #67293=LINE('',#468549,#105212); #67294=LINE('',#468550,#105213); #67295=LINE('',#468553,#105214); #67296=LINE('',#468555,#105215); #67297=LINE('',#468556,#105216); #67298=LINE('',#468559,#105217); #67299=LINE('',#468561,#105218); #67300=LINE('',#468562,#105219); #67301=LINE('',#468565,#105220); #67302=LINE('',#468567,#105221); #67303=LINE('',#468568,#105222); #67304=LINE('',#468571,#105223); #67305=LINE('',#468573,#105224); #67306=LINE('',#468574,#105225); #67307=LINE('',#468577,#105226); #67308=LINE('',#468579,#105227); #67309=LINE('',#468580,#105228); #67310=LINE('',#468583,#105229); #67311=LINE('',#468585,#105230); #67312=LINE('',#468586,#105231); #67313=LINE('',#468589,#105232); #67314=LINE('',#468591,#105233); #67315=LINE('',#468592,#105234); #67316=LINE('',#468595,#105235); #67317=LINE('',#468597,#105236); #67318=LINE('',#468598,#105237); #67319=LINE('',#468601,#105238); #67320=LINE('',#468603,#105239); #67321=LINE('',#468604,#105240); #67322=LINE('',#468607,#105241); #67323=LINE('',#468609,#105242); #67324=LINE('',#468610,#105243); #67325=LINE('',#468613,#105244); #67326=LINE('',#468615,#105245); #67327=LINE('',#468616,#105246); #67328=LINE('',#468619,#105247); #67329=LINE('',#468621,#105248); #67330=LINE('',#468622,#105249); #67331=LINE('',#468625,#105250); #67332=LINE('',#468627,#105251); #67333=LINE('',#468628,#105252); #67334=LINE('',#468631,#105253); #67335=LINE('',#468633,#105254); #67336=LINE('',#468634,#105255); #67337=LINE('',#468637,#105256); #67338=LINE('',#468639,#105257); #67339=LINE('',#468640,#105258); #67340=LINE('',#468643,#105259); #67341=LINE('',#468645,#105260); #67342=LINE('',#468646,#105261); #67343=LINE('',#468649,#105262); #67344=LINE('',#468651,#105263); #67345=LINE('',#468652,#105264); #67346=LINE('',#468655,#105265); #67347=LINE('',#468657,#105266); #67348=LINE('',#468658,#105267); #67349=LINE('',#468661,#105268); #67350=LINE('',#468663,#105269); #67351=LINE('',#468664,#105270); #67352=LINE('',#468667,#105271); #67353=LINE('',#468669,#105272); #67354=LINE('',#468670,#105273); #67355=LINE('',#468673,#105274); #67356=LINE('',#468675,#105275); #67357=LINE('',#468676,#105276); #67358=LINE('',#468679,#105277); #67359=LINE('',#468681,#105278); #67360=LINE('',#468682,#105279); #67361=LINE('',#468685,#105280); #67362=LINE('',#468687,#105281); #67363=LINE('',#468688,#105282); #67364=LINE('',#468691,#105283); #67365=LINE('',#468693,#105284); #67366=LINE('',#468694,#105285); #67367=LINE('',#468697,#105286); #67368=LINE('',#468699,#105287); #67369=LINE('',#468700,#105288); #67370=LINE('',#468703,#105289); #67371=LINE('',#468705,#105290); #67372=LINE('',#468706,#105291); #67373=LINE('',#468709,#105292); #67374=LINE('',#468711,#105293); #67375=LINE('',#468712,#105294); #67376=LINE('',#468715,#105295); #67377=LINE('',#468717,#105296); #67378=LINE('',#468718,#105297); #67379=LINE('',#468721,#105298); #67380=LINE('',#468723,#105299); #67381=LINE('',#468724,#105300); #67382=LINE('',#468727,#105301); #67383=LINE('',#468729,#105302); #67384=LINE('',#468730,#105303); #67385=LINE('',#468733,#105304); #67386=LINE('',#468735,#105305); #67387=LINE('',#468736,#105306); #67388=LINE('',#468739,#105307); #67389=LINE('',#468741,#105308); #67390=LINE('',#468742,#105309); #67391=LINE('',#468745,#105310); #67392=LINE('',#468747,#105311); #67393=LINE('',#468748,#105312); #67394=LINE('',#468751,#105313); #67395=LINE('',#468753,#105314); #67396=LINE('',#468754,#105315); #67397=LINE('',#468757,#105316); #67398=LINE('',#468759,#105317); #67399=LINE('',#468760,#105318); #67400=LINE('',#468763,#105319); #67401=LINE('',#468765,#105320); #67402=LINE('',#468766,#105321); #67403=LINE('',#468769,#105322); #67404=LINE('',#468771,#105323); #67405=LINE('',#468772,#105324); #67406=LINE('',#468775,#105325); #67407=LINE('',#468777,#105326); #67408=LINE('',#468778,#105327); #67409=LINE('',#468781,#105328); #67410=LINE('',#468783,#105329); #67411=LINE('',#468784,#105330); #67412=LINE('',#468787,#105331); #67413=LINE('',#468789,#105332); #67414=LINE('',#468790,#105333); #67415=LINE('',#468793,#105334); #67416=LINE('',#468795,#105335); #67417=LINE('',#468796,#105336); #67418=LINE('',#468799,#105337); #67419=LINE('',#468801,#105338); #67420=LINE('',#468802,#105339); #67421=LINE('',#468805,#105340); #67422=LINE('',#468807,#105341); #67423=LINE('',#468808,#105342); #67424=LINE('',#468811,#105343); #67425=LINE('',#468813,#105344); #67426=LINE('',#468814,#105345); #67427=LINE('',#468817,#105346); #67428=LINE('',#468819,#105347); #67429=LINE('',#468820,#105348); #67430=LINE('',#468823,#105349); #67431=LINE('',#468825,#105350); #67432=LINE('',#468826,#105351); #67433=LINE('',#468829,#105352); #67434=LINE('',#468831,#105353); #67435=LINE('',#468832,#105354); #67436=LINE('',#468835,#105355); #67437=LINE('',#468837,#105356); #67438=LINE('',#468838,#105357); #67439=LINE('',#468841,#105358); #67440=LINE('',#468843,#105359); #67441=LINE('',#468844,#105360); #67442=LINE('',#468847,#105361); #67443=LINE('',#468849,#105362); #67444=LINE('',#468850,#105363); #67445=LINE('',#468853,#105364); #67446=LINE('',#468855,#105365); #67447=LINE('',#468856,#105366); #67448=LINE('',#468859,#105367); #67449=LINE('',#468861,#105368); #67450=LINE('',#468862,#105369); #67451=LINE('',#468865,#105370); #67452=LINE('',#468867,#105371); #67453=LINE('',#468868,#105372); #67454=LINE('',#468871,#105373); #67455=LINE('',#468873,#105374); #67456=LINE('',#468874,#105375); #67457=LINE('',#468877,#105376); #67458=LINE('',#468879,#105377); #67459=LINE('',#468880,#105378); #67460=LINE('',#468883,#105379); #67461=LINE('',#468885,#105380); #67462=LINE('',#468886,#105381); #67463=LINE('',#468889,#105382); #67464=LINE('',#468891,#105383); #67465=LINE('',#468892,#105384); #67466=LINE('',#468895,#105385); #67467=LINE('',#468897,#105386); #67468=LINE('',#468898,#105387); #67469=LINE('',#468901,#105388); #67470=LINE('',#468903,#105389); #67471=LINE('',#468904,#105390); #67472=LINE('',#468907,#105391); #67473=LINE('',#468909,#105392); #67474=LINE('',#468910,#105393); #67475=LINE('',#468913,#105394); #67476=LINE('',#468915,#105395); #67477=LINE('',#468916,#105396); #67478=LINE('',#468919,#105397); #67479=LINE('',#468921,#105398); #67480=LINE('',#468922,#105399); #67481=LINE('',#468925,#105400); #67482=LINE('',#468927,#105401); #67483=LINE('',#468928,#105402); #67484=LINE('',#468931,#105403); #67485=LINE('',#468933,#105404); #67486=LINE('',#468934,#105405); #67487=LINE('',#468937,#105406); #67488=LINE('',#468939,#105407); #67489=LINE('',#468940,#105408); #67490=LINE('',#468943,#105409); #67491=LINE('',#468945,#105410); #67492=LINE('',#468946,#105411); #67493=LINE('',#468949,#105412); #67494=LINE('',#468951,#105413); #67495=LINE('',#468952,#105414); #67496=LINE('',#468955,#105415); #67497=LINE('',#468957,#105416); #67498=LINE('',#468958,#105417); #67499=LINE('',#468961,#105418); #67500=LINE('',#468963,#105419); #67501=LINE('',#468964,#105420); #67502=LINE('',#468967,#105421); #67503=LINE('',#468969,#105422); #67504=LINE('',#468970,#105423); #67505=LINE('',#468973,#105424); #67506=LINE('',#468975,#105425); #67507=LINE('',#468976,#105426); #67508=LINE('',#468979,#105427); #67509=LINE('',#468981,#105428); #67510=LINE('',#468982,#105429); #67511=LINE('',#468985,#105430); #67512=LINE('',#468987,#105431); #67513=LINE('',#468988,#105432); #67514=LINE('',#468991,#105433); #67515=LINE('',#468993,#105434); #67516=LINE('',#468994,#105435); #67517=LINE('',#468997,#105436); #67518=LINE('',#468999,#105437); #67519=LINE('',#469000,#105438); #67520=LINE('',#469003,#105439); #67521=LINE('',#469005,#105440); #67522=LINE('',#469006,#105441); #67523=LINE('',#469009,#105442); #67524=LINE('',#469011,#105443); #67525=LINE('',#469012,#105444); #67526=LINE('',#469015,#105445); #67527=LINE('',#469017,#105446); #67528=LINE('',#469018,#105447); #67529=LINE('',#469021,#105448); #67530=LINE('',#469023,#105449); #67531=LINE('',#469024,#105450); #67532=LINE('',#469027,#105451); #67533=LINE('',#469029,#105452); #67534=LINE('',#469030,#105453); #67535=LINE('',#469033,#105454); #67536=LINE('',#469035,#105455); #67537=LINE('',#469036,#105456); #67538=LINE('',#469039,#105457); #67539=LINE('',#469041,#105458); #67540=LINE('',#469042,#105459); #67541=LINE('',#469045,#105460); #67542=LINE('',#469047,#105461); #67543=LINE('',#469048,#105462); #67544=LINE('',#469051,#105463); #67545=LINE('',#469053,#105464); #67546=LINE('',#469054,#105465); #67547=LINE('',#469057,#105466); #67548=LINE('',#469059,#105467); #67549=LINE('',#469060,#105468); #67550=LINE('',#469063,#105469); #67551=LINE('',#469065,#105470); #67552=LINE('',#469066,#105471); #67553=LINE('',#469069,#105472); #67554=LINE('',#469071,#105473); #67555=LINE('',#469072,#105474); #67556=LINE('',#469075,#105475); #67557=LINE('',#469077,#105476); #67558=LINE('',#469078,#105477); #67559=LINE('',#469081,#105478); #67560=LINE('',#469083,#105479); #67561=LINE('',#469084,#105480); #67562=LINE('',#469087,#105481); #67563=LINE('',#469089,#105482); #67564=LINE('',#469090,#105483); #67565=LINE('',#469093,#105484); #67566=LINE('',#469095,#105485); #67567=LINE('',#469096,#105486); #67568=LINE('',#469099,#105487); #67569=LINE('',#469101,#105488); #67570=LINE('',#469102,#105489); #67571=LINE('',#469105,#105490); #67572=LINE('',#469107,#105491); #67573=LINE('',#469108,#105492); #67574=LINE('',#469111,#105493); #67575=LINE('',#469113,#105494); #67576=LINE('',#469114,#105495); #67577=LINE('',#469117,#105496); #67578=LINE('',#469119,#105497); #67579=LINE('',#469120,#105498); #67580=LINE('',#469123,#105499); #67581=LINE('',#469125,#105500); #67582=LINE('',#469126,#105501); #67583=LINE('',#469129,#105502); #67584=LINE('',#469131,#105503); #67585=LINE('',#469132,#105504); #67586=LINE('',#469135,#105505); #67587=LINE('',#469137,#105506); #67588=LINE('',#469138,#105507); #67589=LINE('',#469141,#105508); #67590=LINE('',#469143,#105509); #67591=LINE('',#469144,#105510); #67592=LINE('',#469147,#105511); #67593=LINE('',#469149,#105512); #67594=LINE('',#469150,#105513); #67595=LINE('',#469153,#105514); #67596=LINE('',#469155,#105515); #67597=LINE('',#469156,#105516); #67598=LINE('',#469159,#105517); #67599=LINE('',#469161,#105518); #67600=LINE('',#469162,#105519); #67601=LINE('',#469165,#105520); #67602=LINE('',#469167,#105521); #67603=LINE('',#469168,#105522); #67604=LINE('',#469171,#105523); #67605=LINE('',#469173,#105524); #67606=LINE('',#469174,#105525); #67607=LINE('',#469177,#105526); #67608=LINE('',#469179,#105527); #67609=LINE('',#469180,#105528); #67610=LINE('',#469183,#105529); #67611=LINE('',#469185,#105530); #67612=LINE('',#469186,#105531); #67613=LINE('',#469189,#105532); #67614=LINE('',#469191,#105533); #67615=LINE('',#469192,#105534); #67616=LINE('',#469195,#105535); #67617=LINE('',#469197,#105536); #67618=LINE('',#469198,#105537); #67619=LINE('',#469201,#105538); #67620=LINE('',#469203,#105539); #67621=LINE('',#469204,#105540); #67622=LINE('',#469207,#105541); #67623=LINE('',#469209,#105542); #67624=LINE('',#469210,#105543); #67625=LINE('',#469213,#105544); #67626=LINE('',#469215,#105545); #67627=LINE('',#469216,#105546); #67628=LINE('',#469219,#105547); #67629=LINE('',#469221,#105548); #67630=LINE('',#469222,#105549); #67631=LINE('',#469225,#105550); #67632=LINE('',#469227,#105551); #67633=LINE('',#469228,#105552); #67634=LINE('',#469231,#105553); #67635=LINE('',#469233,#105554); #67636=LINE('',#469234,#105555); #67637=LINE('',#469237,#105556); #67638=LINE('',#469239,#105557); #67639=LINE('',#469240,#105558); #67640=LINE('',#469243,#105559); #67641=LINE('',#469245,#105560); #67642=LINE('',#469246,#105561); #67643=LINE('',#469249,#105562); #67644=LINE('',#469251,#105563); #67645=LINE('',#469252,#105564); #67646=LINE('',#469255,#105565); #67647=LINE('',#469257,#105566); #67648=LINE('',#469258,#105567); #67649=LINE('',#469261,#105568); #67650=LINE('',#469263,#105569); #67651=LINE('',#469264,#105570); #67652=LINE('',#469267,#105571); #67653=LINE('',#469269,#105572); #67654=LINE('',#469270,#105573); #67655=LINE('',#469273,#105574); #67656=LINE('',#469275,#105575); #67657=LINE('',#469276,#105576); #67658=LINE('',#469279,#105577); #67659=LINE('',#469281,#105578); #67660=LINE('',#469282,#105579); #67661=LINE('',#469285,#105580); #67662=LINE('',#469287,#105581); #67663=LINE('',#469288,#105582); #67664=LINE('',#469291,#105583); #67665=LINE('',#469293,#105584); #67666=LINE('',#469294,#105585); #67667=LINE('',#469297,#105586); #67668=LINE('',#469299,#105587); #67669=LINE('',#469300,#105588); #67670=LINE('',#469303,#105589); #67671=LINE('',#469305,#105590); #67672=LINE('',#469306,#105591); #67673=LINE('',#469309,#105592); #67674=LINE('',#469311,#105593); #67675=LINE('',#469312,#105594); #67676=LINE('',#469315,#105595); #67677=LINE('',#469317,#105596); #67678=LINE('',#469318,#105597); #67679=LINE('',#469321,#105598); #67680=LINE('',#469323,#105599); #67681=LINE('',#469324,#105600); #67682=LINE('',#469327,#105601); #67683=LINE('',#469329,#105602); #67684=LINE('',#469330,#105603); #67685=LINE('',#469333,#105604); #67686=LINE('',#469335,#105605); #67687=LINE('',#469336,#105606); #67688=LINE('',#469339,#105607); #67689=LINE('',#469341,#105608); #67690=LINE('',#469342,#105609); #67691=LINE('',#469345,#105610); #67692=LINE('',#469347,#105611); #67693=LINE('',#469348,#105612); #67694=LINE('',#469351,#105613); #67695=LINE('',#469353,#105614); #67696=LINE('',#469354,#105615); #67697=LINE('',#469357,#105616); #67698=LINE('',#469359,#105617); #67699=LINE('',#469360,#105618); #67700=LINE('',#469363,#105619); #67701=LINE('',#469365,#105620); #67702=LINE('',#469366,#105621); #67703=LINE('',#469369,#105622); #67704=LINE('',#469371,#105623); #67705=LINE('',#469372,#105624); #67706=LINE('',#469375,#105625); #67707=LINE('',#469377,#105626); #67708=LINE('',#469378,#105627); #67709=LINE('',#469381,#105628); #67710=LINE('',#469383,#105629); #67711=LINE('',#469384,#105630); #67712=LINE('',#469387,#105631); #67713=LINE('',#469389,#105632); #67714=LINE('',#469390,#105633); #67715=LINE('',#469393,#105634); #67716=LINE('',#469395,#105635); #67717=LINE('',#469396,#105636); #67718=LINE('',#469399,#105637); #67719=LINE('',#469401,#105638); #67720=LINE('',#469402,#105639); #67721=LINE('',#469405,#105640); #67722=LINE('',#469407,#105641); #67723=LINE('',#469408,#105642); #67724=LINE('',#469411,#105643); #67725=LINE('',#469413,#105644); #67726=LINE('',#469414,#105645); #67727=LINE('',#469417,#105646); #67728=LINE('',#469419,#105647); #67729=LINE('',#469420,#105648); #67730=LINE('',#469423,#105649); #67731=LINE('',#469425,#105650); #67732=LINE('',#469426,#105651); #67733=LINE('',#469429,#105652); #67734=LINE('',#469431,#105653); #67735=LINE('',#469432,#105654); #67736=LINE('',#469435,#105655); #67737=LINE('',#469437,#105656); #67738=LINE('',#469438,#105657); #67739=LINE('',#469441,#105658); #67740=LINE('',#469443,#105659); #67741=LINE('',#469444,#105660); #67742=LINE('',#469447,#105661); #67743=LINE('',#469449,#105662); #67744=LINE('',#469450,#105663); #67745=LINE('',#469453,#105664); #67746=LINE('',#469455,#105665); #67747=LINE('',#469456,#105666); #67748=LINE('',#469459,#105667); #67749=LINE('',#469461,#105668); #67750=LINE('',#469462,#105669); #67751=LINE('',#469465,#105670); #67752=LINE('',#469467,#105671); #67753=LINE('',#469468,#105672); #67754=LINE('',#469471,#105673); #67755=LINE('',#469473,#105674); #67756=LINE('',#469474,#105675); #67757=LINE('',#469477,#105676); #67758=LINE('',#469479,#105677); #67759=LINE('',#469480,#105678); #67760=LINE('',#469483,#105679); #67761=LINE('',#469485,#105680); #67762=LINE('',#469486,#105681); #67763=LINE('',#469489,#105682); #67764=LINE('',#469491,#105683); #67765=LINE('',#469492,#105684); #67766=LINE('',#469495,#105685); #67767=LINE('',#469497,#105686); #67768=LINE('',#469498,#105687); #67769=LINE('',#469501,#105688); #67770=LINE('',#469503,#105689); #67771=LINE('',#469504,#105690); #67772=LINE('',#469507,#105691); #67773=LINE('',#469509,#105692); #67774=LINE('',#469510,#105693); #67775=LINE('',#469513,#105694); #67776=LINE('',#469515,#105695); #67777=LINE('',#469516,#105696); #67778=LINE('',#469519,#105697); #67779=LINE('',#469521,#105698); #67780=LINE('',#469522,#105699); #67781=LINE('',#469525,#105700); #67782=LINE('',#469527,#105701); #67783=LINE('',#469528,#105702); #67784=LINE('',#469531,#105703); #67785=LINE('',#469533,#105704); #67786=LINE('',#469534,#105705); #67787=LINE('',#469537,#105706); #67788=LINE('',#469539,#105707); #67789=LINE('',#469540,#105708); #67790=LINE('',#469543,#105709); #67791=LINE('',#469545,#105710); #67792=LINE('',#469546,#105711); #67793=LINE('',#469549,#105712); #67794=LINE('',#469551,#105713); #67795=LINE('',#469552,#105714); #67796=LINE('',#469555,#105715); #67797=LINE('',#469557,#105716); #67798=LINE('',#469558,#105717); #67799=LINE('',#469561,#105718); #67800=LINE('',#469563,#105719); #67801=LINE('',#469564,#105720); #67802=LINE('',#469567,#105721); #67803=LINE('',#469569,#105722); #67804=LINE('',#469570,#105723); #67805=LINE('',#469572,#105724); #67806=LINE('',#469573,#105725); #67807=LINE('',#469577,#105726); #67808=LINE('',#469579,#105727); #67809=LINE('',#469581,#105728); #67810=LINE('',#469582,#105729); #67811=LINE('',#469585,#105730); #67812=LINE('',#469587,#105731); #67813=LINE('',#469588,#105732); #67814=LINE('',#469591,#105733); #67815=LINE('',#469593,#105734); #67816=LINE('',#469594,#105735); #67817=LINE('',#469597,#105736); #67818=LINE('',#469599,#105737); #67819=LINE('',#469600,#105738); #67820=LINE('',#469603,#105739); #67821=LINE('',#469605,#105740); #67822=LINE('',#469606,#105741); #67823=LINE('',#469609,#105742); #67824=LINE('',#469611,#105743); #67825=LINE('',#469612,#105744); #67826=LINE('',#469615,#105745); #67827=LINE('',#469617,#105746); #67828=LINE('',#469618,#105747); #67829=LINE('',#469621,#105748); #67830=LINE('',#469623,#105749); #67831=LINE('',#469624,#105750); #67832=LINE('',#469627,#105751); #67833=LINE('',#469629,#105752); #67834=LINE('',#469630,#105753); #67835=LINE('',#469633,#105754); #67836=LINE('',#469635,#105755); #67837=LINE('',#469636,#105756); #67838=LINE('',#469639,#105757); #67839=LINE('',#469641,#105758); #67840=LINE('',#469642,#105759); #67841=LINE('',#469645,#105760); #67842=LINE('',#469647,#105761); #67843=LINE('',#469648,#105762); #67844=LINE('',#469651,#105763); #67845=LINE('',#469653,#105764); #67846=LINE('',#469654,#105765); #67847=LINE('',#469657,#105766); #67848=LINE('',#469659,#105767); #67849=LINE('',#469660,#105768); #67850=LINE('',#469663,#105769); #67851=LINE('',#469665,#105770); #67852=LINE('',#469666,#105771); #67853=LINE('',#469669,#105772); #67854=LINE('',#469671,#105773); #67855=LINE('',#469672,#105774); #67856=LINE('',#469675,#105775); #67857=LINE('',#469677,#105776); #67858=LINE('',#469678,#105777); #67859=LINE('',#469681,#105778); #67860=LINE('',#469683,#105779); #67861=LINE('',#469684,#105780); #67862=LINE('',#469687,#105781); #67863=LINE('',#469689,#105782); #67864=LINE('',#469690,#105783); #67865=LINE('',#469693,#105784); #67866=LINE('',#469695,#105785); #67867=LINE('',#469696,#105786); #67868=LINE('',#469699,#105787); #67869=LINE('',#469701,#105788); #67870=LINE('',#469702,#105789); #67871=LINE('',#469705,#105790); #67872=LINE('',#469707,#105791); #67873=LINE('',#469708,#105792); #67874=LINE('',#469711,#105793); #67875=LINE('',#469713,#105794); #67876=LINE('',#469714,#105795); #67877=LINE('',#469717,#105796); #67878=LINE('',#469719,#105797); #67879=LINE('',#469720,#105798); #67880=LINE('',#469723,#105799); #67881=LINE('',#469725,#105800); #67882=LINE('',#469726,#105801); #67883=LINE('',#469729,#105802); #67884=LINE('',#469731,#105803); #67885=LINE('',#469732,#105804); #67886=LINE('',#469735,#105805); #67887=LINE('',#469737,#105806); #67888=LINE('',#469738,#105807); #67889=LINE('',#469741,#105808); #67890=LINE('',#469743,#105809); #67891=LINE('',#469744,#105810); #67892=LINE('',#469747,#105811); #67893=LINE('',#469749,#105812); #67894=LINE('',#469750,#105813); #67895=LINE('',#469753,#105814); #67896=LINE('',#469755,#105815); #67897=LINE('',#469756,#105816); #67898=LINE('',#469759,#105817); #67899=LINE('',#469761,#105818); #67900=LINE('',#469762,#105819); #67901=LINE('',#469765,#105820); #67902=LINE('',#469767,#105821); #67903=LINE('',#469768,#105822); #67904=LINE('',#469771,#105823); #67905=LINE('',#469773,#105824); #67906=LINE('',#469774,#105825); #67907=LINE('',#469777,#105826); #67908=LINE('',#469779,#105827); #67909=LINE('',#469780,#105828); #67910=LINE('',#469783,#105829); #67911=LINE('',#469785,#105830); #67912=LINE('',#469786,#105831); #67913=LINE('',#469789,#105832); #67914=LINE('',#469791,#105833); #67915=LINE('',#469792,#105834); #67916=LINE('',#469795,#105835); #67917=LINE('',#469797,#105836); #67918=LINE('',#469798,#105837); #67919=LINE('',#469801,#105838); #67920=LINE('',#469803,#105839); #67921=LINE('',#469804,#105840); #67922=LINE('',#469807,#105841); #67923=LINE('',#469809,#105842); #67924=LINE('',#469810,#105843); #67925=LINE('',#469813,#105844); #67926=LINE('',#469815,#105845); #67927=LINE('',#469816,#105846); #67928=LINE('',#469819,#105847); #67929=LINE('',#469821,#105848); #67930=LINE('',#469822,#105849); #67931=LINE('',#469825,#105850); #67932=LINE('',#469827,#105851); #67933=LINE('',#469828,#105852); #67934=LINE('',#469831,#105853); #67935=LINE('',#469833,#105854); #67936=LINE('',#469834,#105855); #67937=LINE('',#469837,#105856); #67938=LINE('',#469839,#105857); #67939=LINE('',#469840,#105858); #67940=LINE('',#469843,#105859); #67941=LINE('',#469845,#105860); #67942=LINE('',#469846,#105861); #67943=LINE('',#469849,#105862); #67944=LINE('',#469851,#105863); #67945=LINE('',#469852,#105864); #67946=LINE('',#469855,#105865); #67947=LINE('',#469857,#105866); #67948=LINE('',#469858,#105867); #67949=LINE('',#469861,#105868); #67950=LINE('',#469863,#105869); #67951=LINE('',#469864,#105870); #67952=LINE('',#469867,#105871); #67953=LINE('',#469869,#105872); #67954=LINE('',#469870,#105873); #67955=LINE('',#469873,#105874); #67956=LINE('',#469875,#105875); #67957=LINE('',#469876,#105876); #67958=LINE('',#469879,#105877); #67959=LINE('',#469881,#105878); #67960=LINE('',#469882,#105879); #67961=LINE('',#469885,#105880); #67962=LINE('',#469887,#105881); #67963=LINE('',#469888,#105882); #67964=LINE('',#469891,#105883); #67965=LINE('',#469893,#105884); #67966=LINE('',#469894,#105885); #67967=LINE('',#469897,#105886); #67968=LINE('',#469899,#105887); #67969=LINE('',#469900,#105888); #67970=LINE('',#469903,#105889); #67971=LINE('',#469905,#105890); #67972=LINE('',#469906,#105891); #67973=LINE('',#469909,#105892); #67974=LINE('',#469911,#105893); #67975=LINE('',#469912,#105894); #67976=LINE('',#469915,#105895); #67977=LINE('',#469917,#105896); #67978=LINE('',#469918,#105897); #67979=LINE('',#469921,#105898); #67980=LINE('',#469923,#105899); #67981=LINE('',#469924,#105900); #67982=LINE('',#469927,#105901); #67983=LINE('',#469929,#105902); #67984=LINE('',#469930,#105903); #67985=LINE('',#469933,#105904); #67986=LINE('',#469935,#105905); #67987=LINE('',#469936,#105906); #67988=LINE('',#469939,#105907); #67989=LINE('',#469941,#105908); #67990=LINE('',#469942,#105909); #67991=LINE('',#469945,#105910); #67992=LINE('',#469947,#105911); #67993=LINE('',#469948,#105912); #67994=LINE('',#469951,#105913); #67995=LINE('',#469953,#105914); #67996=LINE('',#469954,#105915); #67997=LINE('',#469957,#105916); #67998=LINE('',#469959,#105917); #67999=LINE('',#469960,#105918); #68000=LINE('',#469963,#105919); #68001=LINE('',#469965,#105920); #68002=LINE('',#469966,#105921); #68003=LINE('',#469969,#105922); #68004=LINE('',#469971,#105923); #68005=LINE('',#469972,#105924); #68006=LINE('',#469975,#105925); #68007=LINE('',#469977,#105926); #68008=LINE('',#469978,#105927); #68009=LINE('',#469981,#105928); #68010=LINE('',#469983,#105929); #68011=LINE('',#469984,#105930); #68012=LINE('',#469987,#105931); #68013=LINE('',#469989,#105932); #68014=LINE('',#469990,#105933); #68015=LINE('',#469993,#105934); #68016=LINE('',#469995,#105935); #68017=LINE('',#469996,#105936); #68018=LINE('',#469999,#105937); #68019=LINE('',#470001,#105938); #68020=LINE('',#470002,#105939); #68021=LINE('',#470005,#105940); #68022=LINE('',#470007,#105941); #68023=LINE('',#470008,#105942); #68024=LINE('',#470011,#105943); #68025=LINE('',#470013,#105944); #68026=LINE('',#470014,#105945); #68027=LINE('',#470017,#105946); #68028=LINE('',#470019,#105947); #68029=LINE('',#470020,#105948); #68030=LINE('',#470023,#105949); #68031=LINE('',#470025,#105950); #68032=LINE('',#470026,#105951); #68033=LINE('',#470029,#105952); #68034=LINE('',#470031,#105953); #68035=LINE('',#470032,#105954); #68036=LINE('',#470035,#105955); #68037=LINE('',#470037,#105956); #68038=LINE('',#470038,#105957); #68039=LINE('',#470041,#105958); #68040=LINE('',#470043,#105959); #68041=LINE('',#470044,#105960); #68042=LINE('',#470047,#105961); #68043=LINE('',#470049,#105962); #68044=LINE('',#470050,#105963); #68045=LINE('',#470053,#105964); #68046=LINE('',#470055,#105965); #68047=LINE('',#470056,#105966); #68048=LINE('',#470059,#105967); #68049=LINE('',#470061,#105968); #68050=LINE('',#470062,#105969); #68051=LINE('',#470065,#105970); #68052=LINE('',#470067,#105971); #68053=LINE('',#470068,#105972); #68054=LINE('',#470071,#105973); #68055=LINE('',#470073,#105974); #68056=LINE('',#470074,#105975); #68057=LINE('',#470077,#105976); #68058=LINE('',#470079,#105977); #68059=LINE('',#470080,#105978); #68060=LINE('',#470083,#105979); #68061=LINE('',#470085,#105980); #68062=LINE('',#470086,#105981); #68063=LINE('',#470089,#105982); #68064=LINE('',#470091,#105983); #68065=LINE('',#470092,#105984); #68066=LINE('',#470095,#105985); #68067=LINE('',#470097,#105986); #68068=LINE('',#470098,#105987); #68069=LINE('',#470101,#105988); #68070=LINE('',#470103,#105989); #68071=LINE('',#470104,#105990); #68072=LINE('',#470107,#105991); #68073=LINE('',#470109,#105992); #68074=LINE('',#470110,#105993); #68075=LINE('',#470113,#105994); #68076=LINE('',#470115,#105995); #68077=LINE('',#470116,#105996); #68078=LINE('',#470119,#105997); #68079=LINE('',#470121,#105998); #68080=LINE('',#470122,#105999); #68081=LINE('',#470125,#106000); #68082=LINE('',#470127,#106001); #68083=LINE('',#470128,#106002); #68084=LINE('',#470131,#106003); #68085=LINE('',#470133,#106004); #68086=LINE('',#470134,#106005); #68087=LINE('',#470137,#106006); #68088=LINE('',#470139,#106007); #68089=LINE('',#470140,#106008); #68090=LINE('',#470143,#106009); #68091=LINE('',#470145,#106010); #68092=LINE('',#470146,#106011); #68093=LINE('',#470149,#106012); #68094=LINE('',#470151,#106013); #68095=LINE('',#470152,#106014); #68096=LINE('',#470155,#106015); #68097=LINE('',#470157,#106016); #68098=LINE('',#470158,#106017); #68099=LINE('',#470161,#106018); #68100=LINE('',#470163,#106019); #68101=LINE('',#470164,#106020); #68102=LINE('',#470167,#106021); #68103=LINE('',#470169,#106022); #68104=LINE('',#470170,#106023); #68105=LINE('',#470173,#106024); #68106=LINE('',#470175,#106025); #68107=LINE('',#470176,#106026); #68108=LINE('',#470179,#106027); #68109=LINE('',#470181,#106028); #68110=LINE('',#470182,#106029); #68111=LINE('',#470185,#106030); #68112=LINE('',#470187,#106031); #68113=LINE('',#470188,#106032); #68114=LINE('',#470191,#106033); #68115=LINE('',#470193,#106034); #68116=LINE('',#470194,#106035); #68117=LINE('',#470197,#106036); #68118=LINE('',#470199,#106037); #68119=LINE('',#470200,#106038); #68120=LINE('',#470203,#106039); #68121=LINE('',#470205,#106040); #68122=LINE('',#470206,#106041); #68123=LINE('',#470209,#106042); #68124=LINE('',#470211,#106043); #68125=LINE('',#470212,#106044); #68126=LINE('',#470215,#106045); #68127=LINE('',#470217,#106046); #68128=LINE('',#470218,#106047); #68129=LINE('',#470221,#106048); #68130=LINE('',#470223,#106049); #68131=LINE('',#470224,#106050); #68132=LINE('',#470227,#106051); #68133=LINE('',#470229,#106052); #68134=LINE('',#470230,#106053); #68135=LINE('',#470233,#106054); #68136=LINE('',#470235,#106055); #68137=LINE('',#470236,#106056); #68138=LINE('',#470239,#106057); #68139=LINE('',#470241,#106058); #68140=LINE('',#470242,#106059); #68141=LINE('',#470245,#106060); #68142=LINE('',#470247,#106061); #68143=LINE('',#470248,#106062); #68144=LINE('',#470251,#106063); #68145=LINE('',#470253,#106064); #68146=LINE('',#470254,#106065); #68147=LINE('',#470257,#106066); #68148=LINE('',#470259,#106067); #68149=LINE('',#470260,#106068); #68150=LINE('',#470263,#106069); #68151=LINE('',#470265,#106070); #68152=LINE('',#470266,#106071); #68153=LINE('',#470269,#106072); #68154=LINE('',#470271,#106073); #68155=LINE('',#470272,#106074); #68156=LINE('',#470275,#106075); #68157=LINE('',#470277,#106076); #68158=LINE('',#470278,#106077); #68159=LINE('',#470281,#106078); #68160=LINE('',#470283,#106079); #68161=LINE('',#470284,#106080); #68162=LINE('',#470286,#106081); #68163=LINE('',#470287,#106082); #68164=LINE('',#470291,#106083); #68165=LINE('',#470293,#106084); #68166=LINE('',#470295,#106085); #68167=LINE('',#470296,#106086); #68168=LINE('',#470299,#106087); #68169=LINE('',#470301,#106088); #68170=LINE('',#470302,#106089); #68171=LINE('',#470305,#106090); #68172=LINE('',#470307,#106091); #68173=LINE('',#470308,#106092); #68174=LINE('',#470311,#106093); #68175=LINE('',#470313,#106094); #68176=LINE('',#470314,#106095); #68177=LINE('',#470317,#106096); #68178=LINE('',#470319,#106097); #68179=LINE('',#470320,#106098); #68180=LINE('',#470323,#106099); #68181=LINE('',#470325,#106100); #68182=LINE('',#470326,#106101); #68183=LINE('',#470329,#106102); #68184=LINE('',#470331,#106103); #68185=LINE('',#470332,#106104); #68186=LINE('',#470334,#106105); #68187=LINE('',#470335,#106106); #68188=LINE('',#470339,#106107); #68189=LINE('',#470341,#106108); #68190=LINE('',#470343,#106109); #68191=LINE('',#470344,#106110); #68192=LINE('',#470347,#106111); #68193=LINE('',#470349,#106112); #68194=LINE('',#470350,#106113); #68195=LINE('',#470353,#106114); #68196=LINE('',#470355,#106115); #68197=LINE('',#470356,#106116); #68198=LINE('',#470359,#106117); #68199=LINE('',#470361,#106118); #68200=LINE('',#470362,#106119); #68201=LINE('',#470365,#106120); #68202=LINE('',#470367,#106121); #68203=LINE('',#470368,#106122); #68204=LINE('',#470371,#106123); #68205=LINE('',#470373,#106124); #68206=LINE('',#470374,#106125); #68207=LINE('',#470377,#106126); #68208=LINE('',#470379,#106127); #68209=LINE('',#470380,#106128); #68210=LINE('',#470383,#106129); #68211=LINE('',#470385,#106130); #68212=LINE('',#470386,#106131); #68213=LINE('',#470389,#106132); #68214=LINE('',#470391,#106133); #68215=LINE('',#470392,#106134); #68216=LINE('',#470395,#106135); #68217=LINE('',#470397,#106136); #68218=LINE('',#470398,#106137); #68219=LINE('',#470401,#106138); #68220=LINE('',#470403,#106139); #68221=LINE('',#470404,#106140); #68222=LINE('',#470407,#106141); #68223=LINE('',#470409,#106142); #68224=LINE('',#470410,#106143); #68225=LINE('',#470413,#106144); #68226=LINE('',#470415,#106145); #68227=LINE('',#470416,#106146); #68228=LINE('',#470419,#106147); #68229=LINE('',#470421,#106148); #68230=LINE('',#470422,#106149); #68231=LINE('',#470425,#106150); #68232=LINE('',#470427,#106151); #68233=LINE('',#470428,#106152); #68234=LINE('',#470431,#106153); #68235=LINE('',#470433,#106154); #68236=LINE('',#470434,#106155); #68237=LINE('',#470437,#106156); #68238=LINE('',#470439,#106157); #68239=LINE('',#470440,#106158); #68240=LINE('',#470443,#106159); #68241=LINE('',#470445,#106160); #68242=LINE('',#470446,#106161); #68243=LINE('',#470449,#106162); #68244=LINE('',#470451,#106163); #68245=LINE('',#470452,#106164); #68246=LINE('',#470455,#106165); #68247=LINE('',#470457,#106166); #68248=LINE('',#470458,#106167); #68249=LINE('',#470461,#106168); #68250=LINE('',#470463,#106169); #68251=LINE('',#470464,#106170); #68252=LINE('',#470467,#106171); #68253=LINE('',#470469,#106172); #68254=LINE('',#470470,#106173); #68255=LINE('',#470473,#106174); #68256=LINE('',#470475,#106175); #68257=LINE('',#470476,#106176); #68258=LINE('',#470478,#106177); #68259=LINE('',#470479,#106178); #68260=LINE('',#470483,#106179); #68261=LINE('',#470485,#106180); #68262=LINE('',#470487,#106181); #68263=LINE('',#470488,#106182); #68264=LINE('',#470491,#106183); #68265=LINE('',#470493,#106184); #68266=LINE('',#470494,#106185); #68267=LINE('',#470497,#106186); #68268=LINE('',#470499,#106187); #68269=LINE('',#470500,#106188); #68270=LINE('',#470503,#106189); #68271=LINE('',#470505,#106190); #68272=LINE('',#470506,#106191); #68273=LINE('',#470509,#106192); #68274=LINE('',#470511,#106193); #68275=LINE('',#470512,#106194); #68276=LINE('',#470515,#106195); #68277=LINE('',#470517,#106196); #68278=LINE('',#470518,#106197); #68279=LINE('',#470521,#106198); #68280=LINE('',#470523,#106199); #68281=LINE('',#470524,#106200); #68282=LINE('',#470527,#106201); #68283=LINE('',#470529,#106202); #68284=LINE('',#470530,#106203); #68285=LINE('',#470533,#106204); #68286=LINE('',#470535,#106205); #68287=LINE('',#470536,#106206); #68288=LINE('',#470539,#106207); #68289=LINE('',#470541,#106208); #68290=LINE('',#470542,#106209); #68291=LINE('',#470545,#106210); #68292=LINE('',#470547,#106211); #68293=LINE('',#470548,#106212); #68294=LINE('',#470551,#106213); #68295=LINE('',#470553,#106214); #68296=LINE('',#470554,#106215); #68297=LINE('',#470557,#106216); #68298=LINE('',#470559,#106217); #68299=LINE('',#470560,#106218); #68300=LINE('',#470563,#106219); #68301=LINE('',#470565,#106220); #68302=LINE('',#470566,#106221); #68303=LINE('',#470569,#106222); #68304=LINE('',#470571,#106223); #68305=LINE('',#470572,#106224); #68306=LINE('',#470575,#106225); #68307=LINE('',#470577,#106226); #68308=LINE('',#470578,#106227); #68309=LINE('',#470581,#106228); #68310=LINE('',#470583,#106229); #68311=LINE('',#470584,#106230); #68312=LINE('',#470587,#106231); #68313=LINE('',#470589,#106232); #68314=LINE('',#470590,#106233); #68315=LINE('',#470593,#106234); #68316=LINE('',#470595,#106235); #68317=LINE('',#470596,#106236); #68318=LINE('',#470599,#106237); #68319=LINE('',#470601,#106238); #68320=LINE('',#470602,#106239); #68321=LINE('',#470605,#106240); #68322=LINE('',#470607,#106241); #68323=LINE('',#470608,#106242); #68324=LINE('',#470611,#106243); #68325=LINE('',#470613,#106244); #68326=LINE('',#470614,#106245); #68327=LINE('',#470617,#106246); #68328=LINE('',#470619,#106247); #68329=LINE('',#470620,#106248); #68330=LINE('',#470623,#106249); #68331=LINE('',#470625,#106250); #68332=LINE('',#470626,#106251); #68333=LINE('',#470629,#106252); #68334=LINE('',#470631,#106253); #68335=LINE('',#470632,#106254); #68336=LINE('',#470635,#106255); #68337=LINE('',#470637,#106256); #68338=LINE('',#470638,#106257); #68339=LINE('',#470641,#106258); #68340=LINE('',#470643,#106259); #68341=LINE('',#470644,#106260); #68342=LINE('',#470647,#106261); #68343=LINE('',#470649,#106262); #68344=LINE('',#470650,#106263); #68345=LINE('',#470653,#106264); #68346=LINE('',#470655,#106265); #68347=LINE('',#470656,#106266); #68348=LINE('',#470659,#106267); #68349=LINE('',#470661,#106268); #68350=LINE('',#470662,#106269); #68351=LINE('',#470665,#106270); #68352=LINE('',#470667,#106271); #68353=LINE('',#470668,#106272); #68354=LINE('',#470671,#106273); #68355=LINE('',#470673,#106274); #68356=LINE('',#470674,#106275); #68357=LINE('',#470677,#106276); #68358=LINE('',#470679,#106277); #68359=LINE('',#470680,#106278); #68360=LINE('',#470683,#106279); #68361=LINE('',#470685,#106280); #68362=LINE('',#470686,#106281); #68363=LINE('',#470689,#106282); #68364=LINE('',#470691,#106283); #68365=LINE('',#470692,#106284); #68366=LINE('',#470695,#106285); #68367=LINE('',#470697,#106286); #68368=LINE('',#470698,#106287); #68369=LINE('',#470701,#106288); #68370=LINE('',#470703,#106289); #68371=LINE('',#470704,#106290); #68372=LINE('',#470707,#106291); #68373=LINE('',#470709,#106292); #68374=LINE('',#470710,#106293); #68375=LINE('',#470713,#106294); #68376=LINE('',#470715,#106295); #68377=LINE('',#470716,#106296); #68378=LINE('',#470719,#106297); #68379=LINE('',#470721,#106298); #68380=LINE('',#470722,#106299); #68381=LINE('',#470725,#106300); #68382=LINE('',#470727,#106301); #68383=LINE('',#470728,#106302); #68384=LINE('',#470731,#106303); #68385=LINE('',#470733,#106304); #68386=LINE('',#470734,#106305); #68387=LINE('',#470737,#106306); #68388=LINE('',#470739,#106307); #68389=LINE('',#470740,#106308); #68390=LINE('',#470743,#106309); #68391=LINE('',#470745,#106310); #68392=LINE('',#470746,#106311); #68393=LINE('',#470749,#106312); #68394=LINE('',#470751,#106313); #68395=LINE('',#470752,#106314); #68396=LINE('',#470755,#106315); #68397=LINE('',#470757,#106316); #68398=LINE('',#470758,#106317); #68399=LINE('',#470761,#106318); #68400=LINE('',#470763,#106319); #68401=LINE('',#470764,#106320); #68402=LINE('',#470767,#106321); #68403=LINE('',#470769,#106322); #68404=LINE('',#470770,#106323); #68405=LINE('',#470773,#106324); #68406=LINE('',#470775,#106325); #68407=LINE('',#470776,#106326); #68408=LINE('',#470779,#106327); #68409=LINE('',#470781,#106328); #68410=LINE('',#470782,#106329); #68411=LINE('',#470785,#106330); #68412=LINE('',#470787,#106331); #68413=LINE('',#470788,#106332); #68414=LINE('',#470791,#106333); #68415=LINE('',#470793,#106334); #68416=LINE('',#470794,#106335); #68417=LINE('',#470797,#106336); #68418=LINE('',#470799,#106337); #68419=LINE('',#470800,#106338); #68420=LINE('',#470803,#106339); #68421=LINE('',#470805,#106340); #68422=LINE('',#470806,#106341); #68423=LINE('',#470809,#106342); #68424=LINE('',#470811,#106343); #68425=LINE('',#470812,#106344); #68426=LINE('',#470815,#106345); #68427=LINE('',#470817,#106346); #68428=LINE('',#470818,#106347); #68429=LINE('',#470821,#106348); #68430=LINE('',#470823,#106349); #68431=LINE('',#470824,#106350); #68432=LINE('',#470827,#106351); #68433=LINE('',#470829,#106352); #68434=LINE('',#470830,#106353); #68435=LINE('',#470833,#106354); #68436=LINE('',#470835,#106355); #68437=LINE('',#470836,#106356); #68438=LINE('',#470839,#106357); #68439=LINE('',#470841,#106358); #68440=LINE('',#470842,#106359); #68441=LINE('',#470845,#106360); #68442=LINE('',#470847,#106361); #68443=LINE('',#470848,#106362); #68444=LINE('',#470851,#106363); #68445=LINE('',#470853,#106364); #68446=LINE('',#470854,#106365); #68447=LINE('',#470857,#106366); #68448=LINE('',#470859,#106367); #68449=LINE('',#470860,#106368); #68450=LINE('',#470863,#106369); #68451=LINE('',#470865,#106370); #68452=LINE('',#470866,#106371); #68453=LINE('',#470869,#106372); #68454=LINE('',#470871,#106373); #68455=LINE('',#470872,#106374); #68456=LINE('',#470875,#106375); #68457=LINE('',#470877,#106376); #68458=LINE('',#470878,#106377); #68459=LINE('',#470881,#106378); #68460=LINE('',#470883,#106379); #68461=LINE('',#470884,#106380); #68462=LINE('',#470887,#106381); #68463=LINE('',#470889,#106382); #68464=LINE('',#470890,#106383); #68465=LINE('',#470893,#106384); #68466=LINE('',#470895,#106385); #68467=LINE('',#470896,#106386); #68468=LINE('',#470899,#106387); #68469=LINE('',#470901,#106388); #68470=LINE('',#470902,#106389); #68471=LINE('',#470905,#106390); #68472=LINE('',#470907,#106391); #68473=LINE('',#470908,#106392); #68474=LINE('',#470911,#106393); #68475=LINE('',#470913,#106394); #68476=LINE('',#470914,#106395); #68477=LINE('',#470917,#106396); #68478=LINE('',#470919,#106397); #68479=LINE('',#470920,#106398); #68480=LINE('',#470923,#106399); #68481=LINE('',#470925,#106400); #68482=LINE('',#470926,#106401); #68483=LINE('',#470929,#106402); #68484=LINE('',#470931,#106403); #68485=LINE('',#470932,#106404); #68486=LINE('',#470935,#106405); #68487=LINE('',#470937,#106406); #68488=LINE('',#470938,#106407); #68489=LINE('',#470941,#106408); #68490=LINE('',#470943,#106409); #68491=LINE('',#470944,#106410); #68492=LINE('',#470947,#106411); #68493=LINE('',#470949,#106412); #68494=LINE('',#470950,#106413); #68495=LINE('',#470953,#106414); #68496=LINE('',#470955,#106415); #68497=LINE('',#470956,#106416); #68498=LINE('',#470959,#106417); #68499=LINE('',#470961,#106418); #68500=LINE('',#470962,#106419); #68501=LINE('',#470965,#106420); #68502=LINE('',#470967,#106421); #68503=LINE('',#470968,#106422); #68504=LINE('',#470971,#106423); #68505=LINE('',#470973,#106424); #68506=LINE('',#470974,#106425); #68507=LINE('',#470977,#106426); #68508=LINE('',#470979,#106427); #68509=LINE('',#470980,#106428); #68510=LINE('',#470983,#106429); #68511=LINE('',#470985,#106430); #68512=LINE('',#470986,#106431); #68513=LINE('',#470989,#106432); #68514=LINE('',#470991,#106433); #68515=LINE('',#470992,#106434); #68516=LINE('',#470995,#106435); #68517=LINE('',#470997,#106436); #68518=LINE('',#470998,#106437); #68519=LINE('',#471001,#106438); #68520=LINE('',#471003,#106439); #68521=LINE('',#471004,#106440); #68522=LINE('',#471007,#106441); #68523=LINE('',#471009,#106442); #68524=LINE('',#471010,#106443); #68525=LINE('',#471013,#106444); #68526=LINE('',#471015,#106445); #68527=LINE('',#471016,#106446); #68528=LINE('',#471019,#106447); #68529=LINE('',#471021,#106448); #68530=LINE('',#471022,#106449); #68531=LINE('',#471025,#106450); #68532=LINE('',#471027,#106451); #68533=LINE('',#471028,#106452); #68534=LINE('',#471031,#106453); #68535=LINE('',#471033,#106454); #68536=LINE('',#471034,#106455); #68537=LINE('',#471037,#106456); #68538=LINE('',#471039,#106457); #68539=LINE('',#471040,#106458); #68540=LINE('',#471043,#106459); #68541=LINE('',#471045,#106460); #68542=LINE('',#471046,#106461); #68543=LINE('',#471049,#106462); #68544=LINE('',#471051,#106463); #68545=LINE('',#471052,#106464); #68546=LINE('',#471055,#106465); #68547=LINE('',#471057,#106466); #68548=LINE('',#471058,#106467); #68549=LINE('',#471061,#106468); #68550=LINE('',#471063,#106469); #68551=LINE('',#471064,#106470); #68552=LINE('',#471067,#106471); #68553=LINE('',#471069,#106472); #68554=LINE('',#471070,#106473); #68555=LINE('',#471073,#106474); #68556=LINE('',#471075,#106475); #68557=LINE('',#471076,#106476); #68558=LINE('',#471079,#106477); #68559=LINE('',#471081,#106478); #68560=LINE('',#471082,#106479); #68561=LINE('',#471085,#106480); #68562=LINE('',#471087,#106481); #68563=LINE('',#471088,#106482); #68564=LINE('',#471091,#106483); #68565=LINE('',#471093,#106484); #68566=LINE('',#471094,#106485); #68567=LINE('',#471097,#106486); #68568=LINE('',#471099,#106487); #68569=LINE('',#471100,#106488); #68570=LINE('',#471103,#106489); #68571=LINE('',#471105,#106490); #68572=LINE('',#471106,#106491); #68573=LINE('',#471109,#106492); #68574=LINE('',#471111,#106493); #68575=LINE('',#471112,#106494); #68576=LINE('',#471115,#106495); #68577=LINE('',#471117,#106496); #68578=LINE('',#471118,#106497); #68579=LINE('',#471121,#106498); #68580=LINE('',#471123,#106499); #68581=LINE('',#471124,#106500); #68582=LINE('',#471127,#106501); #68583=LINE('',#471129,#106502); #68584=LINE('',#471130,#106503); #68585=LINE('',#471133,#106504); #68586=LINE('',#471135,#106505); #68587=LINE('',#471136,#106506); #68588=LINE('',#471139,#106507); #68589=LINE('',#471141,#106508); #68590=LINE('',#471142,#106509); #68591=LINE('',#471145,#106510); #68592=LINE('',#471147,#106511); #68593=LINE('',#471148,#106512); #68594=LINE('',#471151,#106513); #68595=LINE('',#471153,#106514); #68596=LINE('',#471154,#106515); #68597=LINE('',#471157,#106516); #68598=LINE('',#471159,#106517); #68599=LINE('',#471160,#106518); #68600=LINE('',#471163,#106519); #68601=LINE('',#471165,#106520); #68602=LINE('',#471166,#106521); #68603=LINE('',#471169,#106522); #68604=LINE('',#471171,#106523); #68605=LINE('',#471172,#106524); #68606=LINE('',#471175,#106525); #68607=LINE('',#471177,#106526); #68608=LINE('',#471178,#106527); #68609=LINE('',#471181,#106528); #68610=LINE('',#471183,#106529); #68611=LINE('',#471184,#106530); #68612=LINE('',#471187,#106531); #68613=LINE('',#471189,#106532); #68614=LINE('',#471190,#106533); #68615=LINE('',#471193,#106534); #68616=LINE('',#471195,#106535); #68617=LINE('',#471196,#106536); #68618=LINE('',#471198,#106537); #68619=LINE('',#471199,#106538); #68620=LINE('',#471205,#106539); #68621=LINE('',#471207,#106540); #68622=LINE('',#471209,#106541); #68623=LINE('',#471210,#106542); #68624=LINE('',#471213,#106543); #68625=LINE('',#471215,#106544); #68626=LINE('',#471216,#106545); #68627=LINE('',#471219,#106546); #68628=LINE('',#471221,#106547); #68629=LINE('',#471222,#106548); #68630=LINE('',#471225,#106549); #68631=LINE('',#471227,#106550); #68632=LINE('',#471228,#106551); #68633=LINE('',#471231,#106552); #68634=LINE('',#471233,#106553); #68635=LINE('',#471234,#106554); #68636=LINE('',#471237,#106555); #68637=LINE('',#471239,#106556); #68638=LINE('',#471240,#106557); #68639=LINE('',#471243,#106558); #68640=LINE('',#471245,#106559); #68641=LINE('',#471246,#106560); #68642=LINE('',#471249,#106561); #68643=LINE('',#471251,#106562); #68644=LINE('',#471252,#106563); #68645=LINE('',#471255,#106564); #68646=LINE('',#471257,#106565); #68647=LINE('',#471258,#106566); #68648=LINE('',#471261,#106567); #68649=LINE('',#471263,#106568); #68650=LINE('',#471264,#106569); #68651=LINE('',#471267,#106570); #68652=LINE('',#471269,#106571); #68653=LINE('',#471270,#106572); #68654=LINE('',#471273,#106573); #68655=LINE('',#471275,#106574); #68656=LINE('',#471276,#106575); #68657=LINE('',#471279,#106576); #68658=LINE('',#471281,#106577); #68659=LINE('',#471282,#106578); #68660=LINE('',#471285,#106579); #68661=LINE('',#471287,#106580); #68662=LINE('',#471288,#106581); #68663=LINE('',#471291,#106582); #68664=LINE('',#471293,#106583); #68665=LINE('',#471294,#106584); #68666=LINE('',#471297,#106585); #68667=LINE('',#471299,#106586); #68668=LINE('',#471300,#106587); #68669=LINE('',#471303,#106588); #68670=LINE('',#471305,#106589); #68671=LINE('',#471306,#106590); #68672=LINE('',#471309,#106591); #68673=LINE('',#471311,#106592); #68674=LINE('',#471312,#106593); #68675=LINE('',#471315,#106594); #68676=LINE('',#471317,#106595); #68677=LINE('',#471318,#106596); #68678=LINE('',#471320,#106597); #68679=LINE('',#471321,#106598); #68680=LINE('',#471327,#106599); #68681=LINE('',#471329,#106600); #68682=LINE('',#471331,#106601); #68683=LINE('',#471332,#106602); #68684=LINE('',#471335,#106603); #68685=LINE('',#471337,#106604); #68686=LINE('',#471338,#106605); #68687=LINE('',#471341,#106606); #68688=LINE('',#471343,#106607); #68689=LINE('',#471344,#106608); #68690=LINE('',#471347,#106609); #68691=LINE('',#471349,#106610); #68692=LINE('',#471350,#106611); #68693=LINE('',#471353,#106612); #68694=LINE('',#471355,#106613); #68695=LINE('',#471356,#106614); #68696=LINE('',#471359,#106615); #68697=LINE('',#471361,#106616); #68698=LINE('',#471362,#106617); #68699=LINE('',#471365,#106618); #68700=LINE('',#471367,#106619); #68701=LINE('',#471368,#106620); #68702=LINE('',#471371,#106621); #68703=LINE('',#471373,#106622); #68704=LINE('',#471374,#106623); #68705=LINE('',#471377,#106624); #68706=LINE('',#471379,#106625); #68707=LINE('',#471380,#106626); #68708=LINE('',#471383,#106627); #68709=LINE('',#471385,#106628); #68710=LINE('',#471386,#106629); #68711=LINE('',#471389,#106630); #68712=LINE('',#471391,#106631); #68713=LINE('',#471392,#106632); #68714=LINE('',#471395,#106633); #68715=LINE('',#471397,#106634); #68716=LINE('',#471398,#106635); #68717=LINE('',#471401,#106636); #68718=LINE('',#471403,#106637); #68719=LINE('',#471404,#106638); #68720=LINE('',#471407,#106639); #68721=LINE('',#471409,#106640); #68722=LINE('',#471410,#106641); #68723=LINE('',#471413,#106642); #68724=LINE('',#471415,#106643); #68725=LINE('',#471416,#106644); #68726=LINE('',#471419,#106645); #68727=LINE('',#471421,#106646); #68728=LINE('',#471422,#106647); #68729=LINE('',#471425,#106648); #68730=LINE('',#471427,#106649); #68731=LINE('',#471428,#106650); #68732=LINE('',#471431,#106651); #68733=LINE('',#471433,#106652); #68734=LINE('',#471434,#106653); #68735=LINE('',#471437,#106654); #68736=LINE('',#471439,#106655); #68737=LINE('',#471440,#106656); #68738=LINE('',#471443,#106657); #68739=LINE('',#471445,#106658); #68740=LINE('',#471446,#106659); #68741=LINE('',#471449,#106660); #68742=LINE('',#471451,#106661); #68743=LINE('',#471452,#106662); #68744=LINE('',#471455,#106663); #68745=LINE('',#471457,#106664); #68746=LINE('',#471458,#106665); #68747=LINE('',#471461,#106666); #68748=LINE('',#471463,#106667); #68749=LINE('',#471464,#106668); #68750=LINE('',#471467,#106669); #68751=LINE('',#471469,#106670); #68752=LINE('',#471470,#106671); #68753=LINE('',#471473,#106672); #68754=LINE('',#471475,#106673); #68755=LINE('',#471476,#106674); #68756=LINE('',#471479,#106675); #68757=LINE('',#471481,#106676); #68758=LINE('',#471482,#106677); #68759=LINE('',#471485,#106678); #68760=LINE('',#471487,#106679); #68761=LINE('',#471488,#106680); #68762=LINE('',#471491,#106681); #68763=LINE('',#471493,#106682); #68764=LINE('',#471494,#106683); #68765=LINE('',#471497,#106684); #68766=LINE('',#471499,#106685); #68767=LINE('',#471500,#106686); #68768=LINE('',#471503,#106687); #68769=LINE('',#471505,#106688); #68770=LINE('',#471506,#106689); #68771=LINE('',#471509,#106690); #68772=LINE('',#471511,#106691); #68773=LINE('',#471512,#106692); #68774=LINE('',#471515,#106693); #68775=LINE('',#471517,#106694); #68776=LINE('',#471518,#106695); #68777=LINE('',#471521,#106696); #68778=LINE('',#471523,#106697); #68779=LINE('',#471524,#106698); #68780=LINE('',#471527,#106699); #68781=LINE('',#471529,#106700); #68782=LINE('',#471530,#106701); #68783=LINE('',#471533,#106702); #68784=LINE('',#471535,#106703); #68785=LINE('',#471536,#106704); #68786=LINE('',#471539,#106705); #68787=LINE('',#471541,#106706); #68788=LINE('',#471542,#106707); #68789=LINE('',#471545,#106708); #68790=LINE('',#471547,#106709); #68791=LINE('',#471548,#106710); #68792=LINE('',#471551,#106711); #68793=LINE('',#471553,#106712); #68794=LINE('',#471554,#106713); #68795=LINE('',#471557,#106714); #68796=LINE('',#471559,#106715); #68797=LINE('',#471560,#106716); #68798=LINE('',#471562,#106717); #68799=LINE('',#471563,#106718); #68800=LINE('',#471569,#106719); #68801=LINE('',#471571,#106720); #68802=LINE('',#471573,#106721); #68803=LINE('',#471574,#106722); #68804=LINE('',#471580,#106723); #68805=LINE('',#471583,#106724); #68806=LINE('',#471585,#106725); #68807=LINE('',#471586,#106726); #68808=LINE('',#471594,#106727); #68809=LINE('',#471600,#106728); #68810=LINE('',#471606,#106729); #68811=LINE('',#471612,#106730); #68812=LINE('',#471618,#106731); #68813=LINE('',#471624,#106732); #68814=LINE('',#471630,#106733); #68815=LINE('',#471636,#106734); #68816=LINE('',#471642,#106735); #68817=LINE('',#471648,#106736); #68818=LINE('',#471654,#106737); #68819=LINE('',#471659,#106738); #68820=LINE('',#471661,#106739); #68821=LINE('',#471663,#106740); #68822=LINE('',#471664,#106741); #68823=LINE('',#471667,#106742); #68824=LINE('',#471669,#106743); #68825=LINE('',#471670,#106744); #68826=LINE('',#471673,#106745); #68827=LINE('',#471675,#106746); #68828=LINE('',#471676,#106747); #68829=LINE('',#471679,#106748); #68830=LINE('',#471681,#106749); #68831=LINE('',#471682,#106750); #68832=LINE('',#471685,#106751); #68833=LINE('',#471687,#106752); #68834=LINE('',#471688,#106753); #68835=LINE('',#471691,#106754); #68836=LINE('',#471693,#106755); #68837=LINE('',#471694,#106756); #68838=LINE('',#471697,#106757); #68839=LINE('',#471699,#106758); #68840=LINE('',#471700,#106759); #68841=LINE('',#471702,#106760); #68842=LINE('',#471703,#106761); #68843=LINE('',#471707,#106762); #68844=LINE('',#471709,#106763); #68845=LINE('',#471711,#106764); #68846=LINE('',#471712,#106765); #68847=LINE('',#471715,#106766); #68848=LINE('',#471717,#106767); #68849=LINE('',#471718,#106768); #68850=LINE('',#471721,#106769); #68851=LINE('',#471723,#106770); #68852=LINE('',#471724,#106771); #68853=LINE('',#471727,#106772); #68854=LINE('',#471729,#106773); #68855=LINE('',#471730,#106774); #68856=LINE('',#471733,#106775); #68857=LINE('',#471735,#106776); #68858=LINE('',#471736,#106777); #68859=LINE('',#471739,#106778); #68860=LINE('',#471741,#106779); #68861=LINE('',#471742,#106780); #68862=LINE('',#471745,#106781); #68863=LINE('',#471747,#106782); #68864=LINE('',#471748,#106783); #68865=LINE('',#471750,#106784); #68866=LINE('',#471751,#106785); #68867=LINE('',#471755,#106786); #68868=LINE('',#471757,#106787); #68869=LINE('',#471759,#106788); #68870=LINE('',#471760,#106789); #68871=LINE('',#471763,#106790); #68872=LINE('',#471765,#106791); #68873=LINE('',#471766,#106792); #68874=LINE('',#471769,#106793); #68875=LINE('',#471771,#106794); #68876=LINE('',#471772,#106795); #68877=LINE('',#471775,#106796); #68878=LINE('',#471777,#106797); #68879=LINE('',#471778,#106798); #68880=LINE('',#471781,#106799); #68881=LINE('',#471783,#106800); #68882=LINE('',#471784,#106801); #68883=LINE('',#471787,#106802); #68884=LINE('',#471789,#106803); #68885=LINE('',#471790,#106804); #68886=LINE('',#471793,#106805); #68887=LINE('',#471795,#106806); #68888=LINE('',#471796,#106807); #68889=LINE('',#471799,#106808); #68890=LINE('',#471801,#106809); #68891=LINE('',#471802,#106810); #68892=LINE('',#471805,#106811); #68893=LINE('',#471807,#106812); #68894=LINE('',#471808,#106813); #68895=LINE('',#471811,#106814); #68896=LINE('',#471813,#106815); #68897=LINE('',#471814,#106816); #68898=LINE('',#471817,#106817); #68899=LINE('',#471819,#106818); #68900=LINE('',#471820,#106819); #68901=LINE('',#471823,#106820); #68902=LINE('',#471825,#106821); #68903=LINE('',#471826,#106822); #68904=LINE('',#471829,#106823); #68905=LINE('',#471831,#106824); #68906=LINE('',#471832,#106825); #68907=LINE('',#471835,#106826); #68908=LINE('',#471837,#106827); #68909=LINE('',#471838,#106828); #68910=LINE('',#471841,#106829); #68911=LINE('',#471843,#106830); #68912=LINE('',#471844,#106831); #68913=LINE('',#471847,#106832); #68914=LINE('',#471849,#106833); #68915=LINE('',#471850,#106834); #68916=LINE('',#471853,#106835); #68917=LINE('',#471855,#106836); #68918=LINE('',#471856,#106837); #68919=LINE('',#471859,#106838); #68920=LINE('',#471861,#106839); #68921=LINE('',#471862,#106840); #68922=LINE('',#471865,#106841); #68923=LINE('',#471867,#106842); #68924=LINE('',#471868,#106843); #68925=LINE('',#471871,#106844); #68926=LINE('',#471873,#106845); #68927=LINE('',#471874,#106846); #68928=LINE('',#471877,#106847); #68929=LINE('',#471879,#106848); #68930=LINE('',#471880,#106849); #68931=LINE('',#471883,#106850); #68932=LINE('',#471885,#106851); #68933=LINE('',#471886,#106852); #68934=LINE('',#471889,#106853); #68935=LINE('',#471891,#106854); #68936=LINE('',#471892,#106855); #68937=LINE('',#471895,#106856); #68938=LINE('',#471897,#106857); #68939=LINE('',#471898,#106858); #68940=LINE('',#471901,#106859); #68941=LINE('',#471903,#106860); #68942=LINE('',#471904,#106861); #68943=LINE('',#471907,#106862); #68944=LINE('',#471909,#106863); #68945=LINE('',#471910,#106864); #68946=LINE('',#471913,#106865); #68947=LINE('',#471915,#106866); #68948=LINE('',#471916,#106867); #68949=LINE('',#471919,#106868); #68950=LINE('',#471921,#106869); #68951=LINE('',#471922,#106870); #68952=LINE('',#471925,#106871); #68953=LINE('',#471927,#106872); #68954=LINE('',#471928,#106873); #68955=LINE('',#471931,#106874); #68956=LINE('',#471933,#106875); #68957=LINE('',#471934,#106876); #68958=LINE('',#471937,#106877); #68959=LINE('',#471939,#106878); #68960=LINE('',#471940,#106879); #68961=LINE('',#471943,#106880); #68962=LINE('',#471945,#106881); #68963=LINE('',#471946,#106882); #68964=LINE('',#471949,#106883); #68965=LINE('',#471951,#106884); #68966=LINE('',#471952,#106885); #68967=LINE('',#471955,#106886); #68968=LINE('',#471957,#106887); #68969=LINE('',#471958,#106888); #68970=LINE('',#471961,#106889); #68971=LINE('',#471963,#106890); #68972=LINE('',#471964,#106891); #68973=LINE('',#471967,#106892); #68974=LINE('',#471969,#106893); #68975=LINE('',#471970,#106894); #68976=LINE('',#471973,#106895); #68977=LINE('',#471975,#106896); #68978=LINE('',#471976,#106897); #68979=LINE('',#471979,#106898); #68980=LINE('',#471981,#106899); #68981=LINE('',#471982,#106900); #68982=LINE('',#471985,#106901); #68983=LINE('',#471987,#106902); #68984=LINE('',#471988,#106903); #68985=LINE('',#471991,#106904); #68986=LINE('',#471993,#106905); #68987=LINE('',#471994,#106906); #68988=LINE('',#471997,#106907); #68989=LINE('',#471999,#106908); #68990=LINE('',#472000,#106909); #68991=LINE('',#472003,#106910); #68992=LINE('',#472005,#106911); #68993=LINE('',#472006,#106912); #68994=LINE('',#472009,#106913); #68995=LINE('',#472011,#106914); #68996=LINE('',#472012,#106915); #68997=LINE('',#472015,#106916); #68998=LINE('',#472017,#106917); #68999=LINE('',#472018,#106918); #69000=LINE('',#472021,#106919); #69001=LINE('',#472023,#106920); #69002=LINE('',#472024,#106921); #69003=LINE('',#472027,#106922); #69004=LINE('',#472029,#106923); #69005=LINE('',#472030,#106924); #69006=LINE('',#472033,#106925); #69007=LINE('',#472035,#106926); #69008=LINE('',#472036,#106927); #69009=LINE('',#472039,#106928); #69010=LINE('',#472041,#106929); #69011=LINE('',#472042,#106930); #69012=LINE('',#472045,#106931); #69013=LINE('',#472047,#106932); #69014=LINE('',#472048,#106933); #69015=LINE('',#472051,#106934); #69016=LINE('',#472053,#106935); #69017=LINE('',#472054,#106936); #69018=LINE('',#472057,#106937); #69019=LINE('',#472059,#106938); #69020=LINE('',#472060,#106939); #69021=LINE('',#472063,#106940); #69022=LINE('',#472065,#106941); #69023=LINE('',#472066,#106942); #69024=LINE('',#472069,#106943); #69025=LINE('',#472071,#106944); #69026=LINE('',#472072,#106945); #69027=LINE('',#472075,#106946); #69028=LINE('',#472077,#106947); #69029=LINE('',#472078,#106948); #69030=LINE('',#472081,#106949); #69031=LINE('',#472083,#106950); #69032=LINE('',#472084,#106951); #69033=LINE('',#472087,#106952); #69034=LINE('',#472089,#106953); #69035=LINE('',#472090,#106954); #69036=LINE('',#472093,#106955); #69037=LINE('',#472095,#106956); #69038=LINE('',#472096,#106957); #69039=LINE('',#472099,#106958); #69040=LINE('',#472101,#106959); #69041=LINE('',#472102,#106960); #69042=LINE('',#472105,#106961); #69043=LINE('',#472107,#106962); #69044=LINE('',#472108,#106963); #69045=LINE('',#472111,#106964); #69046=LINE('',#472113,#106965); #69047=LINE('',#472114,#106966); #69048=LINE('',#472117,#106967); #69049=LINE('',#472119,#106968); #69050=LINE('',#472120,#106969); #69051=LINE('',#472123,#106970); #69052=LINE('',#472125,#106971); #69053=LINE('',#472126,#106972); #69054=LINE('',#472129,#106973); #69055=LINE('',#472131,#106974); #69056=LINE('',#472132,#106975); #69057=LINE('',#472135,#106976); #69058=LINE('',#472137,#106977); #69059=LINE('',#472138,#106978); #69060=LINE('',#472141,#106979); #69061=LINE('',#472143,#106980); #69062=LINE('',#472144,#106981); #69063=LINE('',#472147,#106982); #69064=LINE('',#472149,#106983); #69065=LINE('',#472150,#106984); #69066=LINE('',#472153,#106985); #69067=LINE('',#472155,#106986); #69068=LINE('',#472156,#106987); #69069=LINE('',#472159,#106988); #69070=LINE('',#472161,#106989); #69071=LINE('',#472162,#106990); #69072=LINE('',#472165,#106991); #69073=LINE('',#472167,#106992); #69074=LINE('',#472168,#106993); #69075=LINE('',#472171,#106994); #69076=LINE('',#472173,#106995); #69077=LINE('',#472174,#106996); #69078=LINE('',#472177,#106997); #69079=LINE('',#472179,#106998); #69080=LINE('',#472180,#106999); #69081=LINE('',#472183,#107000); #69082=LINE('',#472185,#107001); #69083=LINE('',#472186,#107002); #69084=LINE('',#472189,#107003); #69085=LINE('',#472191,#107004); #69086=LINE('',#472192,#107005); #69087=LINE('',#472195,#107006); #69088=LINE('',#472197,#107007); #69089=LINE('',#472198,#107008); #69090=LINE('',#472201,#107009); #69091=LINE('',#472203,#107010); #69092=LINE('',#472204,#107011); #69093=LINE('',#472207,#107012); #69094=LINE('',#472209,#107013); #69095=LINE('',#472210,#107014); #69096=LINE('',#472213,#107015); #69097=LINE('',#472215,#107016); #69098=LINE('',#472216,#107017); #69099=LINE('',#472219,#107018); #69100=LINE('',#472221,#107019); #69101=LINE('',#472222,#107020); #69102=LINE('',#472225,#107021); #69103=LINE('',#472227,#107022); #69104=LINE('',#472228,#107023); #69105=LINE('',#472231,#107024); #69106=LINE('',#472233,#107025); #69107=LINE('',#472234,#107026); #69108=LINE('',#472237,#107027); #69109=LINE('',#472239,#107028); #69110=LINE('',#472240,#107029); #69111=LINE('',#472243,#107030); #69112=LINE('',#472245,#107031); #69113=LINE('',#472246,#107032); #69114=LINE('',#472249,#107033); #69115=LINE('',#472251,#107034); #69116=LINE('',#472252,#107035); #69117=LINE('',#472255,#107036); #69118=LINE('',#472257,#107037); #69119=LINE('',#472258,#107038); #69120=LINE('',#472261,#107039); #69121=LINE('',#472263,#107040); #69122=LINE('',#472264,#107041); #69123=LINE('',#472267,#107042); #69124=LINE('',#472269,#107043); #69125=LINE('',#472270,#107044); #69126=LINE('',#472273,#107045); #69127=LINE('',#472275,#107046); #69128=LINE('',#472276,#107047); #69129=LINE('',#472279,#107048); #69130=LINE('',#472281,#107049); #69131=LINE('',#472282,#107050); #69132=LINE('',#472285,#107051); #69133=LINE('',#472287,#107052); #69134=LINE('',#472288,#107053); #69135=LINE('',#472291,#107054); #69136=LINE('',#472293,#107055); #69137=LINE('',#472294,#107056); #69138=LINE('',#472297,#107057); #69139=LINE('',#472299,#107058); #69140=LINE('',#472300,#107059); #69141=LINE('',#472303,#107060); #69142=LINE('',#472305,#107061); #69143=LINE('',#472306,#107062); #69144=LINE('',#472309,#107063); #69145=LINE('',#472311,#107064); #69146=LINE('',#472312,#107065); #69147=LINE('',#472315,#107066); #69148=LINE('',#472317,#107067); #69149=LINE('',#472318,#107068); #69150=LINE('',#472321,#107069); #69151=LINE('',#472323,#107070); #69152=LINE('',#472324,#107071); #69153=LINE('',#472327,#107072); #69154=LINE('',#472329,#107073); #69155=LINE('',#472330,#107074); #69156=LINE('',#472333,#107075); #69157=LINE('',#472335,#107076); #69158=LINE('',#472336,#107077); #69159=LINE('',#472339,#107078); #69160=LINE('',#472341,#107079); #69161=LINE('',#472342,#107080); #69162=LINE('',#472345,#107081); #69163=LINE('',#472347,#107082); #69164=LINE('',#472348,#107083); #69165=LINE('',#472351,#107084); #69166=LINE('',#472353,#107085); #69167=LINE('',#472354,#107086); #69168=LINE('',#472357,#107087); #69169=LINE('',#472359,#107088); #69170=LINE('',#472360,#107089); #69171=LINE('',#472363,#107090); #69172=LINE('',#472365,#107091); #69173=LINE('',#472366,#107092); #69174=LINE('',#472369,#107093); #69175=LINE('',#472371,#107094); #69176=LINE('',#472372,#107095); #69177=LINE('',#472375,#107096); #69178=LINE('',#472377,#107097); #69179=LINE('',#472378,#107098); #69180=LINE('',#472381,#107099); #69181=LINE('',#472383,#107100); #69182=LINE('',#472384,#107101); #69183=LINE('',#472387,#107102); #69184=LINE('',#472389,#107103); #69185=LINE('',#472390,#107104); #69186=LINE('',#472393,#107105); #69187=LINE('',#472395,#107106); #69188=LINE('',#472396,#107107); #69189=LINE('',#472399,#107108); #69190=LINE('',#472401,#107109); #69191=LINE('',#472402,#107110); #69192=LINE('',#472405,#107111); #69193=LINE('',#472407,#107112); #69194=LINE('',#472408,#107113); #69195=LINE('',#472411,#107114); #69196=LINE('',#472413,#107115); #69197=LINE('',#472414,#107116); #69198=LINE('',#472417,#107117); #69199=LINE('',#472419,#107118); #69200=LINE('',#472420,#107119); #69201=LINE('',#472423,#107120); #69202=LINE('',#472425,#107121); #69203=LINE('',#472426,#107122); #69204=LINE('',#472429,#107123); #69205=LINE('',#472431,#107124); #69206=LINE('',#472432,#107125); #69207=LINE('',#472435,#107126); #69208=LINE('',#472437,#107127); #69209=LINE('',#472438,#107128); #69210=LINE('',#472441,#107129); #69211=LINE('',#472443,#107130); #69212=LINE('',#472444,#107131); #69213=LINE('',#472447,#107132); #69214=LINE('',#472449,#107133); #69215=LINE('',#472450,#107134); #69216=LINE('',#472453,#107135); #69217=LINE('',#472455,#107136); #69218=LINE('',#472456,#107137); #69219=LINE('',#472459,#107138); #69220=LINE('',#472461,#107139); #69221=LINE('',#472462,#107140); #69222=LINE('',#472465,#107141); #69223=LINE('',#472467,#107142); #69224=LINE('',#472468,#107143); #69225=LINE('',#472471,#107144); #69226=LINE('',#472473,#107145); #69227=LINE('',#472474,#107146); #69228=LINE('',#472477,#107147); #69229=LINE('',#472479,#107148); #69230=LINE('',#472480,#107149); #69231=LINE('',#472483,#107150); #69232=LINE('',#472485,#107151); #69233=LINE('',#472486,#107152); #69234=LINE('',#472489,#107153); #69235=LINE('',#472491,#107154); #69236=LINE('',#472492,#107155); #69237=LINE('',#472495,#107156); #69238=LINE('',#472497,#107157); #69239=LINE('',#472498,#107158); #69240=LINE('',#472501,#107159); #69241=LINE('',#472503,#107160); #69242=LINE('',#472504,#107161); #69243=LINE('',#472507,#107162); #69244=LINE('',#472509,#107163); #69245=LINE('',#472510,#107164); #69246=LINE('',#472513,#107165); #69247=LINE('',#472515,#107166); #69248=LINE('',#472516,#107167); #69249=LINE('',#472519,#107168); #69250=LINE('',#472521,#107169); #69251=LINE('',#472522,#107170); #69252=LINE('',#472525,#107171); #69253=LINE('',#472527,#107172); #69254=LINE('',#472528,#107173); #69255=LINE('',#472531,#107174); #69256=LINE('',#472533,#107175); #69257=LINE('',#472534,#107176); #69258=LINE('',#472537,#107177); #69259=LINE('',#472539,#107178); #69260=LINE('',#472540,#107179); #69261=LINE('',#472543,#107180); #69262=LINE('',#472545,#107181); #69263=LINE('',#472546,#107182); #69264=LINE('',#472549,#107183); #69265=LINE('',#472551,#107184); #69266=LINE('',#472552,#107185); #69267=LINE('',#472555,#107186); #69268=LINE('',#472557,#107187); #69269=LINE('',#472558,#107188); #69270=LINE('',#472561,#107189); #69271=LINE('',#472563,#107190); #69272=LINE('',#472564,#107191); #69273=LINE('',#472567,#107192); #69274=LINE('',#472569,#107193); #69275=LINE('',#472570,#107194); #69276=LINE('',#472573,#107195); #69277=LINE('',#472575,#107196); #69278=LINE('',#472576,#107197); #69279=LINE('',#472579,#107198); #69280=LINE('',#472581,#107199); #69281=LINE('',#472582,#107200); #69282=LINE('',#472585,#107201); #69283=LINE('',#472587,#107202); #69284=LINE('',#472588,#107203); #69285=LINE('',#472591,#107204); #69286=LINE('',#472593,#107205); #69287=LINE('',#472594,#107206); #69288=LINE('',#472597,#107207); #69289=LINE('',#472599,#107208); #69290=LINE('',#472600,#107209); #69291=LINE('',#472603,#107210); #69292=LINE('',#472605,#107211); #69293=LINE('',#472606,#107212); #69294=LINE('',#472609,#107213); #69295=LINE('',#472611,#107214); #69296=LINE('',#472612,#107215); #69297=LINE('',#472615,#107216); #69298=LINE('',#472617,#107217); #69299=LINE('',#472618,#107218); #69300=LINE('',#472621,#107219); #69301=LINE('',#472623,#107220); #69302=LINE('',#472624,#107221); #69303=LINE('',#472627,#107222); #69304=LINE('',#472629,#107223); #69305=LINE('',#472630,#107224); #69306=LINE('',#472633,#107225); #69307=LINE('',#472635,#107226); #69308=LINE('',#472636,#107227); #69309=LINE('',#472639,#107228); #69310=LINE('',#472641,#107229); #69311=LINE('',#472642,#107230); #69312=LINE('',#472645,#107231); #69313=LINE('',#472647,#107232); #69314=LINE('',#472648,#107233); #69315=LINE('',#472651,#107234); #69316=LINE('',#472653,#107235); #69317=LINE('',#472654,#107236); #69318=LINE('',#472657,#107237); #69319=LINE('',#472659,#107238); #69320=LINE('',#472660,#107239); #69321=LINE('',#472663,#107240); #69322=LINE('',#472665,#107241); #69323=LINE('',#472666,#107242); #69324=LINE('',#472669,#107243); #69325=LINE('',#472671,#107244); #69326=LINE('',#472672,#107245); #69327=LINE('',#472675,#107246); #69328=LINE('',#472677,#107247); #69329=LINE('',#472678,#107248); #69330=LINE('',#472681,#107249); #69331=LINE('',#472683,#107250); #69332=LINE('',#472684,#107251); #69333=LINE('',#472687,#107252); #69334=LINE('',#472689,#107253); #69335=LINE('',#472690,#107254); #69336=LINE('',#472693,#107255); #69337=LINE('',#472695,#107256); #69338=LINE('',#472696,#107257); #69339=LINE('',#472699,#107258); #69340=LINE('',#472701,#107259); #69341=LINE('',#472702,#107260); #69342=LINE('',#472705,#107261); #69343=LINE('',#472707,#107262); #69344=LINE('',#472708,#107263); #69345=LINE('',#472711,#107264); #69346=LINE('',#472713,#107265); #69347=LINE('',#472714,#107266); #69348=LINE('',#472717,#107267); #69349=LINE('',#472719,#107268); #69350=LINE('',#472720,#107269); #69351=LINE('',#472723,#107270); #69352=LINE('',#472725,#107271); #69353=LINE('',#472726,#107272); #69354=LINE('',#472729,#107273); #69355=LINE('',#472731,#107274); #69356=LINE('',#472732,#107275); #69357=LINE('',#472735,#107276); #69358=LINE('',#472737,#107277); #69359=LINE('',#472738,#107278); #69360=LINE('',#472741,#107279); #69361=LINE('',#472743,#107280); #69362=LINE('',#472744,#107281); #69363=LINE('',#472747,#107282); #69364=LINE('',#472749,#107283); #69365=LINE('',#472750,#107284); #69366=LINE('',#472753,#107285); #69367=LINE('',#472755,#107286); #69368=LINE('',#472756,#107287); #69369=LINE('',#472759,#107288); #69370=LINE('',#472761,#107289); #69371=LINE('',#472762,#107290); #69372=LINE('',#472765,#107291); #69373=LINE('',#472767,#107292); #69374=LINE('',#472768,#107293); #69375=LINE('',#472771,#107294); #69376=LINE('',#472773,#107295); #69377=LINE('',#472774,#107296); #69378=LINE('',#472777,#107297); #69379=LINE('',#472779,#107298); #69380=LINE('',#472780,#107299); #69381=LINE('',#472783,#107300); #69382=LINE('',#472785,#107301); #69383=LINE('',#472786,#107302); #69384=LINE('',#472789,#107303); #69385=LINE('',#472791,#107304); #69386=LINE('',#472792,#107305); #69387=LINE('',#472795,#107306); #69388=LINE('',#472797,#107307); #69389=LINE('',#472798,#107308); #69390=LINE('',#472801,#107309); #69391=LINE('',#472803,#107310); #69392=LINE('',#472804,#107311); #69393=LINE('',#472807,#107312); #69394=LINE('',#472809,#107313); #69395=LINE('',#472810,#107314); #69396=LINE('',#472813,#107315); #69397=LINE('',#472815,#107316); #69398=LINE('',#472816,#107317); #69399=LINE('',#472819,#107318); #69400=LINE('',#472821,#107319); #69401=LINE('',#472822,#107320); #69402=LINE('',#472825,#107321); #69403=LINE('',#472827,#107322); #69404=LINE('',#472828,#107323); #69405=LINE('',#472831,#107324); #69406=LINE('',#472833,#107325); #69407=LINE('',#472834,#107326); #69408=LINE('',#472837,#107327); #69409=LINE('',#472839,#107328); #69410=LINE('',#472840,#107329); #69411=LINE('',#472843,#107330); #69412=LINE('',#472845,#107331); #69413=LINE('',#472846,#107332); #69414=LINE('',#472848,#107333); #69415=LINE('',#472849,#107334); #69416=LINE('',#472855,#107335); #69417=LINE('',#472857,#107336); #69418=LINE('',#472859,#107337); #69419=LINE('',#472860,#107338); #69420=LINE('',#472863,#107339); #69421=LINE('',#472865,#107340); #69422=LINE('',#472866,#107341); #69423=LINE('',#472869,#107342); #69424=LINE('',#472871,#107343); #69425=LINE('',#472872,#107344); #69426=LINE('',#472875,#107345); #69427=LINE('',#472877,#107346); #69428=LINE('',#472878,#107347); #69429=LINE('',#472881,#107348); #69430=LINE('',#472883,#107349); #69431=LINE('',#472884,#107350); #69432=LINE('',#472887,#107351); #69433=LINE('',#472889,#107352); #69434=LINE('',#472890,#107353); #69435=LINE('',#472893,#107354); #69436=LINE('',#472895,#107355); #69437=LINE('',#472896,#107356); #69438=LINE('',#472899,#107357); #69439=LINE('',#472901,#107358); #69440=LINE('',#472902,#107359); #69441=LINE('',#472905,#107360); #69442=LINE('',#472907,#107361); #69443=LINE('',#472908,#107362); #69444=LINE('',#472911,#107363); #69445=LINE('',#472913,#107364); #69446=LINE('',#472914,#107365); #69447=LINE('',#472917,#107366); #69448=LINE('',#472919,#107367); #69449=LINE('',#472920,#107368); #69450=LINE('',#472923,#107369); #69451=LINE('',#472925,#107370); #69452=LINE('',#472926,#107371); #69453=LINE('',#472929,#107372); #69454=LINE('',#472931,#107373); #69455=LINE('',#472932,#107374); #69456=LINE('',#472935,#107375); #69457=LINE('',#472937,#107376); #69458=LINE('',#472938,#107377); #69459=LINE('',#472941,#107378); #69460=LINE('',#472943,#107379); #69461=LINE('',#472944,#107380); #69462=LINE('',#472947,#107381); #69463=LINE('',#472949,#107382); #69464=LINE('',#472950,#107383); #69465=LINE('',#472953,#107384); #69466=LINE('',#472955,#107385); #69467=LINE('',#472956,#107386); #69468=LINE('',#472959,#107387); #69469=LINE('',#472961,#107388); #69470=LINE('',#472962,#107389); #69471=LINE('',#472965,#107390); #69472=LINE('',#472967,#107391); #69473=LINE('',#472968,#107392); #69474=LINE('',#472970,#107393); #69475=LINE('',#472971,#107394); #69476=LINE('',#472977,#107395); #69477=LINE('',#472979,#107396); #69478=LINE('',#472981,#107397); #69479=LINE('',#472982,#107398); #69480=LINE('',#472985,#107399); #69481=LINE('',#472987,#107400); #69482=LINE('',#472988,#107401); #69483=LINE('',#472991,#107402); #69484=LINE('',#472993,#107403); #69485=LINE('',#472994,#107404); #69486=LINE('',#472997,#107405); #69487=LINE('',#472999,#107406); #69488=LINE('',#473000,#107407); #69489=LINE('',#473003,#107408); #69490=LINE('',#473005,#107409); #69491=LINE('',#473006,#107410); #69492=LINE('',#473009,#107411); #69493=LINE('',#473011,#107412); #69494=LINE('',#473012,#107413); #69495=LINE('',#473015,#107414); #69496=LINE('',#473017,#107415); #69497=LINE('',#473018,#107416); #69498=LINE('',#473021,#107417); #69499=LINE('',#473023,#107418); #69500=LINE('',#473024,#107419); #69501=LINE('',#473027,#107420); #69502=LINE('',#473029,#107421); #69503=LINE('',#473030,#107422); #69504=LINE('',#473033,#107423); #69505=LINE('',#473035,#107424); #69506=LINE('',#473036,#107425); #69507=LINE('',#473039,#107426); #69508=LINE('',#473041,#107427); #69509=LINE('',#473042,#107428); #69510=LINE('',#473045,#107429); #69511=LINE('',#473047,#107430); #69512=LINE('',#473048,#107431); #69513=LINE('',#473051,#107432); #69514=LINE('',#473053,#107433); #69515=LINE('',#473054,#107434); #69516=LINE('',#473057,#107435); #69517=LINE('',#473059,#107436); #69518=LINE('',#473060,#107437); #69519=LINE('',#473063,#107438); #69520=LINE('',#473065,#107439); #69521=LINE('',#473066,#107440); #69522=LINE('',#473069,#107441); #69523=LINE('',#473071,#107442); #69524=LINE('',#473072,#107443); #69525=LINE('',#473075,#107444); #69526=LINE('',#473077,#107445); #69527=LINE('',#473078,#107446); #69528=LINE('',#473081,#107447); #69529=LINE('',#473083,#107448); #69530=LINE('',#473084,#107449); #69531=LINE('',#473087,#107450); #69532=LINE('',#473089,#107451); #69533=LINE('',#473090,#107452); #69534=LINE('',#473093,#107453); #69535=LINE('',#473095,#107454); #69536=LINE('',#473096,#107455); #69537=LINE('',#473098,#107456); #69538=LINE('',#473099,#107457); #69539=LINE('',#473106,#107458); #69540=LINE('',#473112,#107459); #69541=LINE('',#473118,#107460); #69542=LINE('',#473124,#107461); #69543=LINE('',#473130,#107462); #69544=LINE('',#473136,#107463); #69545=LINE('',#473142,#107464); #69546=LINE('',#473148,#107465); #69547=LINE('',#473154,#107466); #69548=LINE('',#473160,#107467); #69549=LINE('',#473166,#107468); #69550=LINE('',#473172,#107469); #69551=LINE('',#473178,#107470); #69552=LINE('',#473184,#107471); #69553=LINE('',#473190,#107472); #69554=LINE('',#473196,#107473); #69555=LINE('',#473202,#107474); #69556=LINE('',#473208,#107475); #69557=LINE('',#473214,#107476); #69558=LINE('',#473220,#107477); #69559=LINE('',#473226,#107478); #69560=LINE('',#473232,#107479); #69561=LINE('',#473238,#107480); #69562=LINE('',#473244,#107481); #69563=LINE('',#473250,#107482); #69564=LINE('',#473256,#107483); #69565=LINE('',#473262,#107484); #69566=LINE('',#473268,#107485); #69567=LINE('',#473274,#107486); #69568=LINE('',#473280,#107487); #69569=LINE('',#473286,#107488); #69570=LINE('',#473292,#107489); #69571=LINE('',#473298,#107490); #69572=LINE('',#473304,#107491); #69573=LINE('',#473310,#107492); #69574=LINE('',#473316,#107493); #69575=LINE('',#473322,#107494); #69576=LINE('',#473328,#107495); #69577=LINE('',#473334,#107496); #69578=LINE('',#473340,#107497); #69579=LINE('',#473346,#107498); #69580=LINE('',#473352,#107499); #69581=LINE('',#473358,#107500); #69582=LINE('',#473364,#107501); #69583=LINE('',#473370,#107502); #69584=LINE('',#473376,#107503); #69585=LINE('',#473382,#107504); #69586=LINE('',#473388,#107505); #69587=LINE('',#473394,#107506); #69588=LINE('',#473399,#107507); #69589=LINE('',#473401,#107508); #69590=LINE('',#473403,#107509); #69591=LINE('',#473404,#107510); #69592=LINE('',#473407,#107511); #69593=LINE('',#473409,#107512); #69594=LINE('',#473410,#107513); #69595=LINE('',#473413,#107514); #69596=LINE('',#473415,#107515); #69597=LINE('',#473416,#107516); #69598=LINE('',#473419,#107517); #69599=LINE('',#473421,#107518); #69600=LINE('',#473422,#107519); #69601=LINE('',#473425,#107520); #69602=LINE('',#473427,#107521); #69603=LINE('',#473428,#107522); #69604=LINE('',#473431,#107523); #69605=LINE('',#473433,#107524); #69606=LINE('',#473434,#107525); #69607=LINE('',#473437,#107526); #69608=LINE('',#473439,#107527); #69609=LINE('',#473440,#107528); #69610=LINE('',#473443,#107529); #69611=LINE('',#473445,#107530); #69612=LINE('',#473446,#107531); #69613=LINE('',#473449,#107532); #69614=LINE('',#473451,#107533); #69615=LINE('',#473452,#107534); #69616=LINE('',#473455,#107535); #69617=LINE('',#473457,#107536); #69618=LINE('',#473458,#107537); #69619=LINE('',#473461,#107538); #69620=LINE('',#473463,#107539); #69621=LINE('',#473464,#107540); #69622=LINE('',#473467,#107541); #69623=LINE('',#473469,#107542); #69624=LINE('',#473470,#107543); #69625=LINE('',#473473,#107544); #69626=LINE('',#473475,#107545); #69627=LINE('',#473476,#107546); #69628=LINE('',#473479,#107547); #69629=LINE('',#473481,#107548); #69630=LINE('',#473482,#107549); #69631=LINE('',#473485,#107550); #69632=LINE('',#473487,#107551); #69633=LINE('',#473488,#107552); #69634=LINE('',#473491,#107553); #69635=LINE('',#473493,#107554); #69636=LINE('',#473494,#107555); #69637=LINE('',#473497,#107556); #69638=LINE('',#473499,#107557); #69639=LINE('',#473500,#107558); #69640=LINE('',#473503,#107559); #69641=LINE('',#473505,#107560); #69642=LINE('',#473506,#107561); #69643=LINE('',#473509,#107562); #69644=LINE('',#473511,#107563); #69645=LINE('',#473512,#107564); #69646=LINE('',#473515,#107565); #69647=LINE('',#473517,#107566); #69648=LINE('',#473518,#107567); #69649=LINE('',#473521,#107568); #69650=LINE('',#473523,#107569); #69651=LINE('',#473524,#107570); #69652=LINE('',#473527,#107571); #69653=LINE('',#473529,#107572); #69654=LINE('',#473530,#107573); #69655=LINE('',#473533,#107574); #69656=LINE('',#473535,#107575); #69657=LINE('',#473536,#107576); #69658=LINE('',#473539,#107577); #69659=LINE('',#473541,#107578); #69660=LINE('',#473542,#107579); #69661=LINE('',#473545,#107580); #69662=LINE('',#473547,#107581); #69663=LINE('',#473548,#107582); #69664=LINE('',#473551,#107583); #69665=LINE('',#473553,#107584); #69666=LINE('',#473554,#107585); #69667=LINE('',#473557,#107586); #69668=LINE('',#473559,#107587); #69669=LINE('',#473560,#107588); #69670=LINE('',#473563,#107589); #69671=LINE('',#473565,#107590); #69672=LINE('',#473566,#107591); #69673=LINE('',#473569,#107592); #69674=LINE('',#473571,#107593); #69675=LINE('',#473572,#107594); #69676=LINE('',#473575,#107595); #69677=LINE('',#473577,#107596); #69678=LINE('',#473578,#107597); #69679=LINE('',#473581,#107598); #69680=LINE('',#473583,#107599); #69681=LINE('',#473584,#107600); #69682=LINE('',#473587,#107601); #69683=LINE('',#473589,#107602); #69684=LINE('',#473590,#107603); #69685=LINE('',#473593,#107604); #69686=LINE('',#473595,#107605); #69687=LINE('',#473596,#107606); #69688=LINE('',#473599,#107607); #69689=LINE('',#473601,#107608); #69690=LINE('',#473602,#107609); #69691=LINE('',#473605,#107610); #69692=LINE('',#473607,#107611); #69693=LINE('',#473608,#107612); #69694=LINE('',#473611,#107613); #69695=LINE('',#473613,#107614); #69696=LINE('',#473614,#107615); #69697=LINE('',#473617,#107616); #69698=LINE('',#473619,#107617); #69699=LINE('',#473620,#107618); #69700=LINE('',#473623,#107619); #69701=LINE('',#473625,#107620); #69702=LINE('',#473626,#107621); #69703=LINE('',#473629,#107622); #69704=LINE('',#473631,#107623); #69705=LINE('',#473632,#107624); #69706=LINE('',#473635,#107625); #69707=LINE('',#473637,#107626); #69708=LINE('',#473638,#107627); #69709=LINE('',#473641,#107628); #69710=LINE('',#473643,#107629); #69711=LINE('',#473644,#107630); #69712=LINE('',#473647,#107631); #69713=LINE('',#473649,#107632); #69714=LINE('',#473650,#107633); #69715=LINE('',#473653,#107634); #69716=LINE('',#473655,#107635); #69717=LINE('',#473656,#107636); #69718=LINE('',#473659,#107637); #69719=LINE('',#473661,#107638); #69720=LINE('',#473662,#107639); #69721=LINE('',#473665,#107640); #69722=LINE('',#473667,#107641); #69723=LINE('',#473668,#107642); #69724=LINE('',#473671,#107643); #69725=LINE('',#473673,#107644); #69726=LINE('',#473674,#107645); #69727=LINE('',#473677,#107646); #69728=LINE('',#473679,#107647); #69729=LINE('',#473680,#107648); #69730=LINE('',#473683,#107649); #69731=LINE('',#473685,#107650); #69732=LINE('',#473686,#107651); #69733=LINE('',#473689,#107652); #69734=LINE('',#473691,#107653); #69735=LINE('',#473692,#107654); #69736=LINE('',#473695,#107655); #69737=LINE('',#473697,#107656); #69738=LINE('',#473698,#107657); #69739=LINE('',#473701,#107658); #69740=LINE('',#473703,#107659); #69741=LINE('',#473704,#107660); #69742=LINE('',#473707,#107661); #69743=LINE('',#473709,#107662); #69744=LINE('',#473710,#107663); #69745=LINE('',#473713,#107664); #69746=LINE('',#473715,#107665); #69747=LINE('',#473716,#107666); #69748=LINE('',#473719,#107667); #69749=LINE('',#473721,#107668); #69750=LINE('',#473722,#107669); #69751=LINE('',#473725,#107670); #69752=LINE('',#473727,#107671); #69753=LINE('',#473728,#107672); #69754=LINE('',#473731,#107673); #69755=LINE('',#473733,#107674); #69756=LINE('',#473734,#107675); #69757=LINE('',#473737,#107676); #69758=LINE('',#473739,#107677); #69759=LINE('',#473740,#107678); #69760=LINE('',#473743,#107679); #69761=LINE('',#473745,#107680); #69762=LINE('',#473746,#107681); #69763=LINE('',#473749,#107682); #69764=LINE('',#473751,#107683); #69765=LINE('',#473752,#107684); #69766=LINE('',#473755,#107685); #69767=LINE('',#473757,#107686); #69768=LINE('',#473758,#107687); #69769=LINE('',#473761,#107688); #69770=LINE('',#473763,#107689); #69771=LINE('',#473764,#107690); #69772=LINE('',#473767,#107691); #69773=LINE('',#473769,#107692); #69774=LINE('',#473770,#107693); #69775=LINE('',#473773,#107694); #69776=LINE('',#473775,#107695); #69777=LINE('',#473776,#107696); #69778=LINE('',#473779,#107697); #69779=LINE('',#473781,#107698); #69780=LINE('',#473782,#107699); #69781=LINE('',#473785,#107700); #69782=LINE('',#473787,#107701); #69783=LINE('',#473788,#107702); #69784=LINE('',#473791,#107703); #69785=LINE('',#473793,#107704); #69786=LINE('',#473794,#107705); #69787=LINE('',#473797,#107706); #69788=LINE('',#473799,#107707); #69789=LINE('',#473800,#107708); #69790=LINE('',#473803,#107709); #69791=LINE('',#473805,#107710); #69792=LINE('',#473806,#107711); #69793=LINE('',#473809,#107712); #69794=LINE('',#473811,#107713); #69795=LINE('',#473812,#107714); #69796=LINE('',#473815,#107715); #69797=LINE('',#473817,#107716); #69798=LINE('',#473818,#107717); #69799=LINE('',#473821,#107718); #69800=LINE('',#473823,#107719); #69801=LINE('',#473824,#107720); #69802=LINE('',#473827,#107721); #69803=LINE('',#473829,#107722); #69804=LINE('',#473830,#107723); #69805=LINE('',#473833,#107724); #69806=LINE('',#473835,#107725); #69807=LINE('',#473836,#107726); #69808=LINE('',#473839,#107727); #69809=LINE('',#473841,#107728); #69810=LINE('',#473842,#107729); #69811=LINE('',#473845,#107730); #69812=LINE('',#473847,#107731); #69813=LINE('',#473848,#107732); #69814=LINE('',#473851,#107733); #69815=LINE('',#473853,#107734); #69816=LINE('',#473854,#107735); #69817=LINE('',#473857,#107736); #69818=LINE('',#473859,#107737); #69819=LINE('',#473860,#107738); #69820=LINE('',#473863,#107739); #69821=LINE('',#473865,#107740); #69822=LINE('',#473866,#107741); #69823=LINE('',#473869,#107742); #69824=LINE('',#473871,#107743); #69825=LINE('',#473872,#107744); #69826=LINE('',#473875,#107745); #69827=LINE('',#473877,#107746); #69828=LINE('',#473878,#107747); #69829=LINE('',#473881,#107748); #69830=LINE('',#473883,#107749); #69831=LINE('',#473884,#107750); #69832=LINE('',#473887,#107751); #69833=LINE('',#473889,#107752); #69834=LINE('',#473890,#107753); #69835=LINE('',#473893,#107754); #69836=LINE('',#473895,#107755); #69837=LINE('',#473896,#107756); #69838=LINE('',#473899,#107757); #69839=LINE('',#473901,#107758); #69840=LINE('',#473902,#107759); #69841=LINE('',#473905,#107760); #69842=LINE('',#473907,#107761); #69843=LINE('',#473908,#107762); #69844=LINE('',#473911,#107763); #69845=LINE('',#473913,#107764); #69846=LINE('',#473914,#107765); #69847=LINE('',#473917,#107766); #69848=LINE('',#473919,#107767); #69849=LINE('',#473920,#107768); #69850=LINE('',#473923,#107769); #69851=LINE('',#473925,#107770); #69852=LINE('',#473926,#107771); #69853=LINE('',#473929,#107772); #69854=LINE('',#473931,#107773); #69855=LINE('',#473932,#107774); #69856=LINE('',#473935,#107775); #69857=LINE('',#473937,#107776); #69858=LINE('',#473938,#107777); #69859=LINE('',#473941,#107778); #69860=LINE('',#473943,#107779); #69861=LINE('',#473944,#107780); #69862=LINE('',#473947,#107781); #69863=LINE('',#473949,#107782); #69864=LINE('',#473950,#107783); #69865=LINE('',#473953,#107784); #69866=LINE('',#473955,#107785); #69867=LINE('',#473956,#107786); #69868=LINE('',#473959,#107787); #69869=LINE('',#473961,#107788); #69870=LINE('',#473962,#107789); #69871=LINE('',#473965,#107790); #69872=LINE('',#473967,#107791); #69873=LINE('',#473968,#107792); #69874=LINE('',#473971,#107793); #69875=LINE('',#473973,#107794); #69876=LINE('',#473974,#107795); #69877=LINE('',#473977,#107796); #69878=LINE('',#473979,#107797); #69879=LINE('',#473980,#107798); #69880=LINE('',#473983,#107799); #69881=LINE('',#473985,#107800); #69882=LINE('',#473986,#107801); #69883=LINE('',#473989,#107802); #69884=LINE('',#473991,#107803); #69885=LINE('',#473992,#107804); #69886=LINE('',#473995,#107805); #69887=LINE('',#473997,#107806); #69888=LINE('',#473998,#107807); #69889=LINE('',#474001,#107808); #69890=LINE('',#474003,#107809); #69891=LINE('',#474004,#107810); #69892=LINE('',#474007,#107811); #69893=LINE('',#474009,#107812); #69894=LINE('',#474010,#107813); #69895=LINE('',#474013,#107814); #69896=LINE('',#474015,#107815); #69897=LINE('',#474016,#107816); #69898=LINE('',#474019,#107817); #69899=LINE('',#474021,#107818); #69900=LINE('',#474022,#107819); #69901=LINE('',#474025,#107820); #69902=LINE('',#474027,#107821); #69903=LINE('',#474028,#107822); #69904=LINE('',#474031,#107823); #69905=LINE('',#474033,#107824); #69906=LINE('',#474034,#107825); #69907=LINE('',#474037,#107826); #69908=LINE('',#474039,#107827); #69909=LINE('',#474040,#107828); #69910=LINE('',#474043,#107829); #69911=LINE('',#474045,#107830); #69912=LINE('',#474046,#107831); #69913=LINE('',#474049,#107832); #69914=LINE('',#474051,#107833); #69915=LINE('',#474052,#107834); #69916=LINE('',#474055,#107835); #69917=LINE('',#474057,#107836); #69918=LINE('',#474058,#107837); #69919=LINE('',#474061,#107838); #69920=LINE('',#474063,#107839); #69921=LINE('',#474064,#107840); #69922=LINE('',#474067,#107841); #69923=LINE('',#474069,#107842); #69924=LINE('',#474070,#107843); #69925=LINE('',#474073,#107844); #69926=LINE('',#474075,#107845); #69927=LINE('',#474076,#107846); #69928=LINE('',#474079,#107847); #69929=LINE('',#474081,#107848); #69930=LINE('',#474082,#107849); #69931=LINE('',#474085,#107850); #69932=LINE('',#474087,#107851); #69933=LINE('',#474088,#107852); #69934=LINE('',#474091,#107853); #69935=LINE('',#474093,#107854); #69936=LINE('',#474094,#107855); #69937=LINE('',#474097,#107856); #69938=LINE('',#474099,#107857); #69939=LINE('',#474100,#107858); #69940=LINE('',#474103,#107859); #69941=LINE('',#474105,#107860); #69942=LINE('',#474106,#107861); #69943=LINE('',#474109,#107862); #69944=LINE('',#474111,#107863); #69945=LINE('',#474112,#107864); #69946=LINE('',#474115,#107865); #69947=LINE('',#474117,#107866); #69948=LINE('',#474118,#107867); #69949=LINE('',#474121,#107868); #69950=LINE('',#474123,#107869); #69951=LINE('',#474124,#107870); #69952=LINE('',#474127,#107871); #69953=LINE('',#474129,#107872); #69954=LINE('',#474130,#107873); #69955=LINE('',#474133,#107874); #69956=LINE('',#474135,#107875); #69957=LINE('',#474136,#107876); #69958=LINE('',#474139,#107877); #69959=LINE('',#474141,#107878); #69960=LINE('',#474142,#107879); #69961=LINE('',#474145,#107880); #69962=LINE('',#474147,#107881); #69963=LINE('',#474148,#107882); #69964=LINE('',#474151,#107883); #69965=LINE('',#474153,#107884); #69966=LINE('',#474154,#107885); #69967=LINE('',#474157,#107886); #69968=LINE('',#474159,#107887); #69969=LINE('',#474160,#107888); #69970=LINE('',#474163,#107889); #69971=LINE('',#474165,#107890); #69972=LINE('',#474166,#107891); #69973=LINE('',#474169,#107892); #69974=LINE('',#474171,#107893); #69975=LINE('',#474172,#107894); #69976=LINE('',#474175,#107895); #69977=LINE('',#474177,#107896); #69978=LINE('',#474178,#107897); #69979=LINE('',#474181,#107898); #69980=LINE('',#474183,#107899); #69981=LINE('',#474184,#107900); #69982=LINE('',#474187,#107901); #69983=LINE('',#474189,#107902); #69984=LINE('',#474190,#107903); #69985=LINE('',#474193,#107904); #69986=LINE('',#474195,#107905); #69987=LINE('',#474196,#107906); #69988=LINE('',#474199,#107907); #69989=LINE('',#474201,#107908); #69990=LINE('',#474202,#107909); #69991=LINE('',#474205,#107910); #69992=LINE('',#474207,#107911); #69993=LINE('',#474208,#107912); #69994=LINE('',#474211,#107913); #69995=LINE('',#474213,#107914); #69996=LINE('',#474214,#107915); #69997=LINE('',#474217,#107916); #69998=LINE('',#474219,#107917); #69999=LINE('',#474220,#107918); #70000=LINE('',#474223,#107919); #70001=LINE('',#474225,#107920); #70002=LINE('',#474226,#107921); #70003=LINE('',#474229,#107922); #70004=LINE('',#474231,#107923); #70005=LINE('',#474232,#107924); #70006=LINE('',#474235,#107925); #70007=LINE('',#474237,#107926); #70008=LINE('',#474238,#107927); #70009=LINE('',#474241,#107928); #70010=LINE('',#474243,#107929); #70011=LINE('',#474244,#107930); #70012=LINE('',#474247,#107931); #70013=LINE('',#474249,#107932); #70014=LINE('',#474250,#107933); #70015=LINE('',#474253,#107934); #70016=LINE('',#474255,#107935); #70017=LINE('',#474256,#107936); #70018=LINE('',#474259,#107937); #70019=LINE('',#474261,#107938); #70020=LINE('',#474262,#107939); #70021=LINE('',#474265,#107940); #70022=LINE('',#474267,#107941); #70023=LINE('',#474268,#107942); #70024=LINE('',#474271,#107943); #70025=LINE('',#474273,#107944); #70026=LINE('',#474274,#107945); #70027=LINE('',#474277,#107946); #70028=LINE('',#474279,#107947); #70029=LINE('',#474280,#107948); #70030=LINE('',#474283,#107949); #70031=LINE('',#474285,#107950); #70032=LINE('',#474286,#107951); #70033=LINE('',#474289,#107952); #70034=LINE('',#474291,#107953); #70035=LINE('',#474292,#107954); #70036=LINE('',#474295,#107955); #70037=LINE('',#474297,#107956); #70038=LINE('',#474298,#107957); #70039=LINE('',#474301,#107958); #70040=LINE('',#474303,#107959); #70041=LINE('',#474304,#107960); #70042=LINE('',#474307,#107961); #70043=LINE('',#474309,#107962); #70044=LINE('',#474310,#107963); #70045=LINE('',#474313,#107964); #70046=LINE('',#474315,#107965); #70047=LINE('',#474316,#107966); #70048=LINE('',#474319,#107967); #70049=LINE('',#474321,#107968); #70050=LINE('',#474322,#107969); #70051=LINE('',#474325,#107970); #70052=LINE('',#474327,#107971); #70053=LINE('',#474328,#107972); #70054=LINE('',#474331,#107973); #70055=LINE('',#474333,#107974); #70056=LINE('',#474334,#107975); #70057=LINE('',#474337,#107976); #70058=LINE('',#474339,#107977); #70059=LINE('',#474340,#107978); #70060=LINE('',#474343,#107979); #70061=LINE('',#474345,#107980); #70062=LINE('',#474346,#107981); #70063=LINE('',#474349,#107982); #70064=LINE('',#474351,#107983); #70065=LINE('',#474352,#107984); #70066=LINE('',#474355,#107985); #70067=LINE('',#474357,#107986); #70068=LINE('',#474358,#107987); #70069=LINE('',#474361,#107988); #70070=LINE('',#474363,#107989); #70071=LINE('',#474364,#107990); #70072=LINE('',#474367,#107991); #70073=LINE('',#474369,#107992); #70074=LINE('',#474370,#107993); #70075=LINE('',#474373,#107994); #70076=LINE('',#474375,#107995); #70077=LINE('',#474376,#107996); #70078=LINE('',#474379,#107997); #70079=LINE('',#474381,#107998); #70080=LINE('',#474382,#107999); #70081=LINE('',#474385,#108000); #70082=LINE('',#474387,#108001); #70083=LINE('',#474388,#108002); #70084=LINE('',#474391,#108003); #70085=LINE('',#474393,#108004); #70086=LINE('',#474394,#108005); #70087=LINE('',#474397,#108006); #70088=LINE('',#474399,#108007); #70089=LINE('',#474400,#108008); #70090=LINE('',#474403,#108009); #70091=LINE('',#474405,#108010); #70092=LINE('',#474406,#108011); #70093=LINE('',#474409,#108012); #70094=LINE('',#474411,#108013); #70095=LINE('',#474412,#108014); #70096=LINE('',#474415,#108015); #70097=LINE('',#474417,#108016); #70098=LINE('',#474418,#108017); #70099=LINE('',#474421,#108018); #70100=LINE('',#474423,#108019); #70101=LINE('',#474424,#108020); #70102=LINE('',#474427,#108021); #70103=LINE('',#474429,#108022); #70104=LINE('',#474430,#108023); #70105=LINE('',#474433,#108024); #70106=LINE('',#474435,#108025); #70107=LINE('',#474436,#108026); #70108=LINE('',#474439,#108027); #70109=LINE('',#474441,#108028); #70110=LINE('',#474442,#108029); #70111=LINE('',#474445,#108030); #70112=LINE('',#474447,#108031); #70113=LINE('',#474448,#108032); #70114=LINE('',#474451,#108033); #70115=LINE('',#474453,#108034); #70116=LINE('',#474454,#108035); #70117=LINE('',#474457,#108036); #70118=LINE('',#474459,#108037); #70119=LINE('',#474460,#108038); #70120=LINE('',#474463,#108039); #70121=LINE('',#474465,#108040); #70122=LINE('',#474466,#108041); #70123=LINE('',#474469,#108042); #70124=LINE('',#474471,#108043); #70125=LINE('',#474472,#108044); #70126=LINE('',#474475,#108045); #70127=LINE('',#474477,#108046); #70128=LINE('',#474478,#108047); #70129=LINE('',#474481,#108048); #70130=LINE('',#474483,#108049); #70131=LINE('',#474484,#108050); #70132=LINE('',#474487,#108051); #70133=LINE('',#474489,#108052); #70134=LINE('',#474490,#108053); #70135=LINE('',#474493,#108054); #70136=LINE('',#474495,#108055); #70137=LINE('',#474496,#108056); #70138=LINE('',#474499,#108057); #70139=LINE('',#474501,#108058); #70140=LINE('',#474502,#108059); #70141=LINE('',#474505,#108060); #70142=LINE('',#474507,#108061); #70143=LINE('',#474508,#108062); #70144=LINE('',#474511,#108063); #70145=LINE('',#474513,#108064); #70146=LINE('',#474514,#108065); #70147=LINE('',#474517,#108066); #70148=LINE('',#474519,#108067); #70149=LINE('',#474520,#108068); #70150=LINE('',#474523,#108069); #70151=LINE('',#474525,#108070); #70152=LINE('',#474526,#108071); #70153=LINE('',#474529,#108072); #70154=LINE('',#474531,#108073); #70155=LINE('',#474532,#108074); #70156=LINE('',#474535,#108075); #70157=LINE('',#474537,#108076); #70158=LINE('',#474538,#108077); #70159=LINE('',#474541,#108078); #70160=LINE('',#474543,#108079); #70161=LINE('',#474544,#108080); #70162=LINE('',#474547,#108081); #70163=LINE('',#474549,#108082); #70164=LINE('',#474550,#108083); #70165=LINE('',#474553,#108084); #70166=LINE('',#474555,#108085); #70167=LINE('',#474556,#108086); #70168=LINE('',#474559,#108087); #70169=LINE('',#474561,#108088); #70170=LINE('',#474562,#108089); #70171=LINE('',#474565,#108090); #70172=LINE('',#474567,#108091); #70173=LINE('',#474568,#108092); #70174=LINE('',#474571,#108093); #70175=LINE('',#474573,#108094); #70176=LINE('',#474574,#108095); #70177=LINE('',#474577,#108096); #70178=LINE('',#474579,#108097); #70179=LINE('',#474580,#108098); #70180=LINE('',#474583,#108099); #70181=LINE('',#474585,#108100); #70182=LINE('',#474586,#108101); #70183=LINE('',#474589,#108102); #70184=LINE('',#474591,#108103); #70185=LINE('',#474592,#108104); #70186=LINE('',#474595,#108105); #70187=LINE('',#474597,#108106); #70188=LINE('',#474598,#108107); #70189=LINE('',#474601,#108108); #70190=LINE('',#474603,#108109); #70191=LINE('',#474604,#108110); #70192=LINE('',#474607,#108111); #70193=LINE('',#474609,#108112); #70194=LINE('',#474610,#108113); #70195=LINE('',#474613,#108114); #70196=LINE('',#474615,#108115); #70197=LINE('',#474616,#108116); #70198=LINE('',#474619,#108117); #70199=LINE('',#474621,#108118); #70200=LINE('',#474622,#108119); #70201=LINE('',#474625,#108120); #70202=LINE('',#474627,#108121); #70203=LINE('',#474628,#108122); #70204=LINE('',#474631,#108123); #70205=LINE('',#474633,#108124); #70206=LINE('',#474634,#108125); #70207=LINE('',#474637,#108126); #70208=LINE('',#474639,#108127); #70209=LINE('',#474640,#108128); #70210=LINE('',#474643,#108129); #70211=LINE('',#474645,#108130); #70212=LINE('',#474646,#108131); #70213=LINE('',#474649,#108132); #70214=LINE('',#474651,#108133); #70215=LINE('',#474652,#108134); #70216=LINE('',#474655,#108135); #70217=LINE('',#474657,#108136); #70218=LINE('',#474658,#108137); #70219=LINE('',#474661,#108138); #70220=LINE('',#474663,#108139); #70221=LINE('',#474664,#108140); #70222=LINE('',#474667,#108141); #70223=LINE('',#474669,#108142); #70224=LINE('',#474670,#108143); #70225=LINE('',#474673,#108144); #70226=LINE('',#474675,#108145); #70227=LINE('',#474676,#108146); #70228=LINE('',#474679,#108147); #70229=LINE('',#474681,#108148); #70230=LINE('',#474682,#108149); #70231=LINE('',#474685,#108150); #70232=LINE('',#474687,#108151); #70233=LINE('',#474688,#108152); #70234=LINE('',#474691,#108153); #70235=LINE('',#474693,#108154); #70236=LINE('',#474694,#108155); #70237=LINE('',#474697,#108156); #70238=LINE('',#474699,#108157); #70239=LINE('',#474700,#108158); #70240=LINE('',#474703,#108159); #70241=LINE('',#474705,#108160); #70242=LINE('',#474706,#108161); #70243=LINE('',#474709,#108162); #70244=LINE('',#474711,#108163); #70245=LINE('',#474712,#108164); #70246=LINE('',#474715,#108165); #70247=LINE('',#474717,#108166); #70248=LINE('',#474718,#108167); #70249=LINE('',#474721,#108168); #70250=LINE('',#474723,#108169); #70251=LINE('',#474724,#108170); #70252=LINE('',#474727,#108171); #70253=LINE('',#474729,#108172); #70254=LINE('',#474730,#108173); #70255=LINE('',#474733,#108174); #70256=LINE('',#474735,#108175); #70257=LINE('',#474736,#108176); #70258=LINE('',#474739,#108177); #70259=LINE('',#474741,#108178); #70260=LINE('',#474742,#108179); #70261=LINE('',#474745,#108180); #70262=LINE('',#474747,#108181); #70263=LINE('',#474748,#108182); #70264=LINE('',#474751,#108183); #70265=LINE('',#474753,#108184); #70266=LINE('',#474754,#108185); #70267=LINE('',#474757,#108186); #70268=LINE('',#474759,#108187); #70269=LINE('',#474760,#108188); #70270=LINE('',#474763,#108189); #70271=LINE('',#474765,#108190); #70272=LINE('',#474766,#108191); #70273=LINE('',#474769,#108192); #70274=LINE('',#474771,#108193); #70275=LINE('',#474772,#108194); #70276=LINE('',#474775,#108195); #70277=LINE('',#474777,#108196); #70278=LINE('',#474778,#108197); #70279=LINE('',#474781,#108198); #70280=LINE('',#474783,#108199); #70281=LINE('',#474784,#108200); #70282=LINE('',#474787,#108201); #70283=LINE('',#474789,#108202); #70284=LINE('',#474790,#108203); #70285=LINE('',#474793,#108204); #70286=LINE('',#474795,#108205); #70287=LINE('',#474796,#108206); #70288=LINE('',#474799,#108207); #70289=LINE('',#474801,#108208); #70290=LINE('',#474802,#108209); #70291=LINE('',#474805,#108210); #70292=LINE('',#474807,#108211); #70293=LINE('',#474808,#108212); #70294=LINE('',#474811,#108213); #70295=LINE('',#474813,#108214); #70296=LINE('',#474814,#108215); #70297=LINE('',#474817,#108216); #70298=LINE('',#474819,#108217); #70299=LINE('',#474820,#108218); #70300=LINE('',#474823,#108219); #70301=LINE('',#474825,#108220); #70302=LINE('',#474826,#108221); #70303=LINE('',#474829,#108222); #70304=LINE('',#474831,#108223); #70305=LINE('',#474832,#108224); #70306=LINE('',#474835,#108225); #70307=LINE('',#474837,#108226); #70308=LINE('',#474838,#108227); #70309=LINE('',#474841,#108228); #70310=LINE('',#474843,#108229); #70311=LINE('',#474844,#108230); #70312=LINE('',#474847,#108231); #70313=LINE('',#474849,#108232); #70314=LINE('',#474850,#108233); #70315=LINE('',#474853,#108234); #70316=LINE('',#474855,#108235); #70317=LINE('',#474856,#108236); #70318=LINE('',#474859,#108237); #70319=LINE('',#474861,#108238); #70320=LINE('',#474862,#108239); #70321=LINE('',#474865,#108240); #70322=LINE('',#474867,#108241); #70323=LINE('',#474868,#108242); #70324=LINE('',#474871,#108243); #70325=LINE('',#474873,#108244); #70326=LINE('',#474874,#108245); #70327=LINE('',#474877,#108246); #70328=LINE('',#474879,#108247); #70329=LINE('',#474880,#108248); #70330=LINE('',#474883,#108249); #70331=LINE('',#474885,#108250); #70332=LINE('',#474886,#108251); #70333=LINE('',#474889,#108252); #70334=LINE('',#474891,#108253); #70335=LINE('',#474892,#108254); #70336=LINE('',#474895,#108255); #70337=LINE('',#474897,#108256); #70338=LINE('',#474898,#108257); #70339=LINE('',#474901,#108258); #70340=LINE('',#474903,#108259); #70341=LINE('',#474904,#108260); #70342=LINE('',#474907,#108261); #70343=LINE('',#474909,#108262); #70344=LINE('',#474910,#108263); #70345=LINE('',#474913,#108264); #70346=LINE('',#474915,#108265); #70347=LINE('',#474916,#108266); #70348=LINE('',#474919,#108267); #70349=LINE('',#474921,#108268); #70350=LINE('',#474922,#108269); #70351=LINE('',#474925,#108270); #70352=LINE('',#474927,#108271); #70353=LINE('',#474928,#108272); #70354=LINE('',#474931,#108273); #70355=LINE('',#474933,#108274); #70356=LINE('',#474934,#108275); #70357=LINE('',#474937,#108276); #70358=LINE('',#474939,#108277); #70359=LINE('',#474940,#108278); #70360=LINE('',#474943,#108279); #70361=LINE('',#474945,#108280); #70362=LINE('',#474946,#108281); #70363=LINE('',#474949,#108282); #70364=LINE('',#474951,#108283); #70365=LINE('',#474952,#108284); #70366=LINE('',#474955,#108285); #70367=LINE('',#474957,#108286); #70368=LINE('',#474958,#108287); #70369=LINE('',#474961,#108288); #70370=LINE('',#474963,#108289); #70371=LINE('',#474964,#108290); #70372=LINE('',#474967,#108291); #70373=LINE('',#474969,#108292); #70374=LINE('',#474970,#108293); #70375=LINE('',#474973,#108294); #70376=LINE('',#474975,#108295); #70377=LINE('',#474976,#108296); #70378=LINE('',#474979,#108297); #70379=LINE('',#474981,#108298); #70380=LINE('',#474982,#108299); #70381=LINE('',#474985,#108300); #70382=LINE('',#474987,#108301); #70383=LINE('',#474988,#108302); #70384=LINE('',#474991,#108303); #70385=LINE('',#474993,#108304); #70386=LINE('',#474994,#108305); #70387=LINE('',#474997,#108306); #70388=LINE('',#474999,#108307); #70389=LINE('',#475000,#108308); #70390=LINE('',#475003,#108309); #70391=LINE('',#475005,#108310); #70392=LINE('',#475006,#108311); #70393=LINE('',#475009,#108312); #70394=LINE('',#475011,#108313); #70395=LINE('',#475012,#108314); #70396=LINE('',#475015,#108315); #70397=LINE('',#475017,#108316); #70398=LINE('',#475018,#108317); #70399=LINE('',#475021,#108318); #70400=LINE('',#475023,#108319); #70401=LINE('',#475024,#108320); #70402=LINE('',#475027,#108321); #70403=LINE('',#475029,#108322); #70404=LINE('',#475030,#108323); #70405=LINE('',#475033,#108324); #70406=LINE('',#475035,#108325); #70407=LINE('',#475036,#108326); #70408=LINE('',#475039,#108327); #70409=LINE('',#475041,#108328); #70410=LINE('',#475042,#108329); #70411=LINE('',#475045,#108330); #70412=LINE('',#475047,#108331); #70413=LINE('',#475048,#108332); #70414=LINE('',#475051,#108333); #70415=LINE('',#475053,#108334); #70416=LINE('',#475054,#108335); #70417=LINE('',#475057,#108336); #70418=LINE('',#475059,#108337); #70419=LINE('',#475060,#108338); #70420=LINE('',#475063,#108339); #70421=LINE('',#475065,#108340); #70422=LINE('',#475066,#108341); #70423=LINE('',#475069,#108342); #70424=LINE('',#475071,#108343); #70425=LINE('',#475072,#108344); #70426=LINE('',#475075,#108345); #70427=LINE('',#475077,#108346); #70428=LINE('',#475078,#108347); #70429=LINE('',#475081,#108348); #70430=LINE('',#475083,#108349); #70431=LINE('',#475084,#108350); #70432=LINE('',#475087,#108351); #70433=LINE('',#475089,#108352); #70434=LINE('',#475090,#108353); #70435=LINE('',#475093,#108354); #70436=LINE('',#475095,#108355); #70437=LINE('',#475096,#108356); #70438=LINE('',#475099,#108357); #70439=LINE('',#475101,#108358); #70440=LINE('',#475102,#108359); #70441=LINE('',#475105,#108360); #70442=LINE('',#475107,#108361); #70443=LINE('',#475108,#108362); #70444=LINE('',#475111,#108363); #70445=LINE('',#475113,#108364); #70446=LINE('',#475114,#108365); #70447=LINE('',#475117,#108366); #70448=LINE('',#475119,#108367); #70449=LINE('',#475120,#108368); #70450=LINE('',#475123,#108369); #70451=LINE('',#475125,#108370); #70452=LINE('',#475126,#108371); #70453=LINE('',#475129,#108372); #70454=LINE('',#475131,#108373); #70455=LINE('',#475132,#108374); #70456=LINE('',#475135,#108375); #70457=LINE('',#475137,#108376); #70458=LINE('',#475138,#108377); #70459=LINE('',#475141,#108378); #70460=LINE('',#475143,#108379); #70461=LINE('',#475144,#108380); #70462=LINE('',#475147,#108381); #70463=LINE('',#475149,#108382); #70464=LINE('',#475150,#108383); #70465=LINE('',#475153,#108384); #70466=LINE('',#475155,#108385); #70467=LINE('',#475156,#108386); #70468=LINE('',#475159,#108387); #70469=LINE('',#475161,#108388); #70470=LINE('',#475162,#108389); #70471=LINE('',#475165,#108390); #70472=LINE('',#475167,#108391); #70473=LINE('',#475168,#108392); #70474=LINE('',#475170,#108393); #70475=LINE('',#475171,#108394); #70476=LINE('',#475177,#108395); #70477=LINE('',#475179,#108396); #70478=LINE('',#475181,#108397); #70479=LINE('',#475182,#108398); #70480=LINE('',#475185,#108399); #70481=LINE('',#475187,#108400); #70482=LINE('',#475188,#108401); #70483=LINE('',#475191,#108402); #70484=LINE('',#475193,#108403); #70485=LINE('',#475194,#108404); #70486=LINE('',#475197,#108405); #70487=LINE('',#475199,#108406); #70488=LINE('',#475200,#108407); #70489=LINE('',#475203,#108408); #70490=LINE('',#475205,#108409); #70491=LINE('',#475206,#108410); #70492=LINE('',#475209,#108411); #70493=LINE('',#475211,#108412); #70494=LINE('',#475212,#108413); #70495=LINE('',#475215,#108414); #70496=LINE('',#475217,#108415); #70497=LINE('',#475218,#108416); #70498=LINE('',#475221,#108417); #70499=LINE('',#475223,#108418); #70500=LINE('',#475224,#108419); #70501=LINE('',#475227,#108420); #70502=LINE('',#475229,#108421); #70503=LINE('',#475230,#108422); #70504=LINE('',#475233,#108423); #70505=LINE('',#475235,#108424); #70506=LINE('',#475236,#108425); #70507=LINE('',#475239,#108426); #70508=LINE('',#475241,#108427); #70509=LINE('',#475242,#108428); #70510=LINE('',#475245,#108429); #70511=LINE('',#475247,#108430); #70512=LINE('',#475248,#108431); #70513=LINE('',#475251,#108432); #70514=LINE('',#475253,#108433); #70515=LINE('',#475254,#108434); #70516=LINE('',#475257,#108435); #70517=LINE('',#475259,#108436); #70518=LINE('',#475260,#108437); #70519=LINE('',#475263,#108438); #70520=LINE('',#475265,#108439); #70521=LINE('',#475266,#108440); #70522=LINE('',#475269,#108441); #70523=LINE('',#475271,#108442); #70524=LINE('',#475272,#108443); #70525=LINE('',#475275,#108444); #70526=LINE('',#475277,#108445); #70527=LINE('',#475278,#108446); #70528=LINE('',#475281,#108447); #70529=LINE('',#475283,#108448); #70530=LINE('',#475284,#108449); #70531=LINE('',#475287,#108450); #70532=LINE('',#475289,#108451); #70533=LINE('',#475290,#108452); #70534=LINE('',#475292,#108453); #70535=LINE('',#475293,#108454); #70536=LINE('',#475299,#108455); #70537=LINE('',#475301,#108456); #70538=LINE('',#475303,#108457); #70539=LINE('',#475304,#108458); #70540=LINE('',#475307,#108459); #70541=LINE('',#475309,#108460); #70542=LINE('',#475310,#108461); #70543=LINE('',#475313,#108462); #70544=LINE('',#475315,#108463); #70545=LINE('',#475316,#108464); #70546=LINE('',#475319,#108465); #70547=LINE('',#475321,#108466); #70548=LINE('',#475322,#108467); #70549=LINE('',#475325,#108468); #70550=LINE('',#475327,#108469); #70551=LINE('',#475328,#108470); #70552=LINE('',#475331,#108471); #70553=LINE('',#475333,#108472); #70554=LINE('',#475334,#108473); #70555=LINE('',#475337,#108474); #70556=LINE('',#475339,#108475); #70557=LINE('',#475340,#108476); #70558=LINE('',#475343,#108477); #70559=LINE('',#475345,#108478); #70560=LINE('',#475346,#108479); #70561=LINE('',#475349,#108480); #70562=LINE('',#475351,#108481); #70563=LINE('',#475352,#108482); #70564=LINE('',#475355,#108483); #70565=LINE('',#475357,#108484); #70566=LINE('',#475358,#108485); #70567=LINE('',#475361,#108486); #70568=LINE('',#475363,#108487); #70569=LINE('',#475364,#108488); #70570=LINE('',#475367,#108489); #70571=LINE('',#475369,#108490); #70572=LINE('',#475370,#108491); #70573=LINE('',#475373,#108492); #70574=LINE('',#475375,#108493); #70575=LINE('',#475376,#108494); #70576=LINE('',#475379,#108495); #70577=LINE('',#475381,#108496); #70578=LINE('',#475382,#108497); #70579=LINE('',#475385,#108498); #70580=LINE('',#475387,#108499); #70581=LINE('',#475388,#108500); #70582=LINE('',#475391,#108501); #70583=LINE('',#475393,#108502); #70584=LINE('',#475394,#108503); #70585=LINE('',#475397,#108504); #70586=LINE('',#475399,#108505); #70587=LINE('',#475400,#108506); #70588=LINE('',#475403,#108507); #70589=LINE('',#475405,#108508); #70590=LINE('',#475406,#108509); #70591=LINE('',#475409,#108510); #70592=LINE('',#475411,#108511); #70593=LINE('',#475412,#108512); #70594=LINE('',#475415,#108513); #70595=LINE('',#475417,#108514); #70596=LINE('',#475418,#108515); #70597=LINE('',#475421,#108516); #70598=LINE('',#475423,#108517); #70599=LINE('',#475424,#108518); #70600=LINE('',#475427,#108519); #70601=LINE('',#475429,#108520); #70602=LINE('',#475430,#108521); #70603=LINE('',#475433,#108522); #70604=LINE('',#475435,#108523); #70605=LINE('',#475436,#108524); #70606=LINE('',#475439,#108525); #70607=LINE('',#475441,#108526); #70608=LINE('',#475442,#108527); #70609=LINE('',#475445,#108528); #70610=LINE('',#475447,#108529); #70611=LINE('',#475448,#108530); #70612=LINE('',#475450,#108531); #70613=LINE('',#475451,#108532); #70614=LINE('',#475457,#108533); #70615=LINE('',#475459,#108534); #70616=LINE('',#475461,#108535); #70617=LINE('',#475462,#108536); #70618=LINE('',#475465,#108537); #70619=LINE('',#475467,#108538); #70620=LINE('',#475468,#108539); #70621=LINE('',#475471,#108540); #70622=LINE('',#475473,#108541); #70623=LINE('',#475474,#108542); #70624=LINE('',#475477,#108543); #70625=LINE('',#475479,#108544); #70626=LINE('',#475480,#108545); #70627=LINE('',#475483,#108546); #70628=LINE('',#475485,#108547); #70629=LINE('',#475486,#108548); #70630=LINE('',#475489,#108549); #70631=LINE('',#475491,#108550); #70632=LINE('',#475492,#108551); #70633=LINE('',#475495,#108552); #70634=LINE('',#475497,#108553); #70635=LINE('',#475498,#108554); #70636=LINE('',#475501,#108555); #70637=LINE('',#475503,#108556); #70638=LINE('',#475504,#108557); #70639=LINE('',#475507,#108558); #70640=LINE('',#475509,#108559); #70641=LINE('',#475510,#108560); #70642=LINE('',#475513,#108561); #70643=LINE('',#475515,#108562); #70644=LINE('',#475516,#108563); #70645=LINE('',#475519,#108564); #70646=LINE('',#475521,#108565); #70647=LINE('',#475522,#108566); #70648=LINE('',#475525,#108567); #70649=LINE('',#475527,#108568); #70650=LINE('',#475528,#108569); #70651=LINE('',#475531,#108570); #70652=LINE('',#475533,#108571); #70653=LINE('',#475534,#108572); #70654=LINE('',#475537,#108573); #70655=LINE('',#475539,#108574); #70656=LINE('',#475540,#108575); #70657=LINE('',#475543,#108576); #70658=LINE('',#475545,#108577); #70659=LINE('',#475546,#108578); #70660=LINE('',#475549,#108579); #70661=LINE('',#475551,#108580); #70662=LINE('',#475552,#108581); #70663=LINE('',#475555,#108582); #70664=LINE('',#475557,#108583); #70665=LINE('',#475558,#108584); #70666=LINE('',#475561,#108585); #70667=LINE('',#475563,#108586); #70668=LINE('',#475564,#108587); #70669=LINE('',#475567,#108588); #70670=LINE('',#475569,#108589); #70671=LINE('',#475570,#108590); #70672=LINE('',#475573,#108591); #70673=LINE('',#475575,#108592); #70674=LINE('',#475576,#108593); #70675=LINE('',#475578,#108594); #70676=LINE('',#475579,#108595); #70677=LINE('',#475585,#108596); #70678=LINE('',#475587,#108597); #70679=LINE('',#475589,#108598); #70680=LINE('',#475590,#108599); #70681=LINE('',#475593,#108600); #70682=LINE('',#475595,#108601); #70683=LINE('',#475596,#108602); #70684=LINE('',#475599,#108603); #70685=LINE('',#475601,#108604); #70686=LINE('',#475602,#108605); #70687=LINE('',#475605,#108606); #70688=LINE('',#475607,#108607); #70689=LINE('',#475608,#108608); #70690=LINE('',#475611,#108609); #70691=LINE('',#475613,#108610); #70692=LINE('',#475614,#108611); #70693=LINE('',#475617,#108612); #70694=LINE('',#475619,#108613); #70695=LINE('',#475620,#108614); #70696=LINE('',#475623,#108615); #70697=LINE('',#475625,#108616); #70698=LINE('',#475626,#108617); #70699=LINE('',#475629,#108618); #70700=LINE('',#475631,#108619); #70701=LINE('',#475632,#108620); #70702=LINE('',#475635,#108621); #70703=LINE('',#475637,#108622); #70704=LINE('',#475638,#108623); #70705=LINE('',#475641,#108624); #70706=LINE('',#475643,#108625); #70707=LINE('',#475644,#108626); #70708=LINE('',#475647,#108627); #70709=LINE('',#475649,#108628); #70710=LINE('',#475650,#108629); #70711=LINE('',#475653,#108630); #70712=LINE('',#475655,#108631); #70713=LINE('',#475656,#108632); #70714=LINE('',#475659,#108633); #70715=LINE('',#475661,#108634); #70716=LINE('',#475662,#108635); #70717=LINE('',#475665,#108636); #70718=LINE('',#475667,#108637); #70719=LINE('',#475668,#108638); #70720=LINE('',#475671,#108639); #70721=LINE('',#475673,#108640); #70722=LINE('',#475674,#108641); #70723=LINE('',#475677,#108642); #70724=LINE('',#475679,#108643); #70725=LINE('',#475680,#108644); #70726=LINE('',#475683,#108645); #70727=LINE('',#475685,#108646); #70728=LINE('',#475686,#108647); #70729=LINE('',#475689,#108648); #70730=LINE('',#475691,#108649); #70731=LINE('',#475692,#108650); #70732=LINE('',#475695,#108651); #70733=LINE('',#475697,#108652); #70734=LINE('',#475698,#108653); #70735=LINE('',#475701,#108654); #70736=LINE('',#475703,#108655); #70737=LINE('',#475704,#108656); #70738=LINE('',#475707,#108657); #70739=LINE('',#475709,#108658); #70740=LINE('',#475710,#108659); #70741=LINE('',#475713,#108660); #70742=LINE('',#475715,#108661); #70743=LINE('',#475716,#108662); #70744=LINE('',#475719,#108663); #70745=LINE('',#475721,#108664); #70746=LINE('',#475722,#108665); #70747=LINE('',#475725,#108666); #70748=LINE('',#475727,#108667); #70749=LINE('',#475728,#108668); #70750=LINE('',#475731,#108669); #70751=LINE('',#475733,#108670); #70752=LINE('',#475734,#108671); #70753=LINE('',#475737,#108672); #70754=LINE('',#475739,#108673); #70755=LINE('',#475740,#108674); #70756=LINE('',#475743,#108675); #70757=LINE('',#475745,#108676); #70758=LINE('',#475746,#108677); #70759=LINE('',#475749,#108678); #70760=LINE('',#475751,#108679); #70761=LINE('',#475752,#108680); #70762=LINE('',#475755,#108681); #70763=LINE('',#475757,#108682); #70764=LINE('',#475758,#108683); #70765=LINE('',#475761,#108684); #70766=LINE('',#475763,#108685); #70767=LINE('',#475764,#108686); #70768=LINE('',#475767,#108687); #70769=LINE('',#475769,#108688); #70770=LINE('',#475770,#108689); #70771=LINE('',#475773,#108690); #70772=LINE('',#475775,#108691); #70773=LINE('',#475776,#108692); #70774=LINE('',#475778,#108693); #70775=LINE('',#475779,#108694); #70776=LINE('',#475785,#108695); #70777=LINE('',#475787,#108696); #70778=LINE('',#475789,#108697); #70779=LINE('',#475790,#108698); #70780=LINE('',#475793,#108699); #70781=LINE('',#475795,#108700); #70782=LINE('',#475796,#108701); #70783=LINE('',#475799,#108702); #70784=LINE('',#475801,#108703); #70785=LINE('',#475802,#108704); #70786=LINE('',#475805,#108705); #70787=LINE('',#475807,#108706); #70788=LINE('',#475808,#108707); #70789=LINE('',#475811,#108708); #70790=LINE('',#475813,#108709); #70791=LINE('',#475814,#108710); #70792=LINE('',#475817,#108711); #70793=LINE('',#475819,#108712); #70794=LINE('',#475820,#108713); #70795=LINE('',#475823,#108714); #70796=LINE('',#475825,#108715); #70797=LINE('',#475826,#108716); #70798=LINE('',#475829,#108717); #70799=LINE('',#475831,#108718); #70800=LINE('',#475832,#108719); #70801=LINE('',#475835,#108720); #70802=LINE('',#475837,#108721); #70803=LINE('',#475838,#108722); #70804=LINE('',#475841,#108723); #70805=LINE('',#475843,#108724); #70806=LINE('',#475844,#108725); #70807=LINE('',#475847,#108726); #70808=LINE('',#475849,#108727); #70809=LINE('',#475850,#108728); #70810=LINE('',#475853,#108729); #70811=LINE('',#475855,#108730); #70812=LINE('',#475856,#108731); #70813=LINE('',#475859,#108732); #70814=LINE('',#475861,#108733); #70815=LINE('',#475862,#108734); #70816=LINE('',#475865,#108735); #70817=LINE('',#475867,#108736); #70818=LINE('',#475868,#108737); #70819=LINE('',#475871,#108738); #70820=LINE('',#475873,#108739); #70821=LINE('',#475874,#108740); #70822=LINE('',#475877,#108741); #70823=LINE('',#475879,#108742); #70824=LINE('',#475880,#108743); #70825=LINE('',#475883,#108744); #70826=LINE('',#475885,#108745); #70827=LINE('',#475886,#108746); #70828=LINE('',#475889,#108747); #70829=LINE('',#475891,#108748); #70830=LINE('',#475892,#108749); #70831=LINE('',#475895,#108750); #70832=LINE('',#475897,#108751); #70833=LINE('',#475898,#108752); #70834=LINE('',#475900,#108753); #70835=LINE('',#475901,#108754); #70836=LINE('',#475907,#108755); #70837=LINE('',#475909,#108756); #70838=LINE('',#475911,#108757); #70839=LINE('',#475912,#108758); #70840=LINE('',#475915,#108759); #70841=LINE('',#475917,#108760); #70842=LINE('',#475918,#108761); #70843=LINE('',#475921,#108762); #70844=LINE('',#475923,#108763); #70845=LINE('',#475924,#108764); #70846=LINE('',#475927,#108765); #70847=LINE('',#475929,#108766); #70848=LINE('',#475930,#108767); #70849=LINE('',#475933,#108768); #70850=LINE('',#475935,#108769); #70851=LINE('',#475936,#108770); #70852=LINE('',#475939,#108771); #70853=LINE('',#475941,#108772); #70854=LINE('',#475942,#108773); #70855=LINE('',#475945,#108774); #70856=LINE('',#475947,#108775); #70857=LINE('',#475948,#108776); #70858=LINE('',#475951,#108777); #70859=LINE('',#475953,#108778); #70860=LINE('',#475954,#108779); #70861=LINE('',#475957,#108780); #70862=LINE('',#475959,#108781); #70863=LINE('',#475960,#108782); #70864=LINE('',#475963,#108783); #70865=LINE('',#475965,#108784); #70866=LINE('',#475966,#108785); #70867=LINE('',#475969,#108786); #70868=LINE('',#475971,#108787); #70869=LINE('',#475972,#108788); #70870=LINE('',#475975,#108789); #70871=LINE('',#475977,#108790); #70872=LINE('',#475978,#108791); #70873=LINE('',#475981,#108792); #70874=LINE('',#475983,#108793); #70875=LINE('',#475984,#108794); #70876=LINE('',#475987,#108795); #70877=LINE('',#475989,#108796); #70878=LINE('',#475990,#108797); #70879=LINE('',#475993,#108798); #70880=LINE('',#475995,#108799); #70881=LINE('',#475996,#108800); #70882=LINE('',#475999,#108801); #70883=LINE('',#476001,#108802); #70884=LINE('',#476002,#108803); #70885=LINE('',#476005,#108804); #70886=LINE('',#476007,#108805); #70887=LINE('',#476008,#108806); #70888=LINE('',#476011,#108807); #70889=LINE('',#476013,#108808); #70890=LINE('',#476014,#108809); #70891=LINE('',#476017,#108810); #70892=LINE('',#476019,#108811); #70893=LINE('',#476020,#108812); #70894=LINE('',#476023,#108813); #70895=LINE('',#476025,#108814); #70896=LINE('',#476026,#108815); #70897=LINE('',#476029,#108816); #70898=LINE('',#476031,#108817); #70899=LINE('',#476032,#108818); #70900=LINE('',#476035,#108819); #70901=LINE('',#476037,#108820); #70902=LINE('',#476038,#108821); #70903=LINE('',#476040,#108822); #70904=LINE('',#476041,#108823); #70905=LINE('',#476048,#108824); #70906=LINE('',#476054,#108825); #70907=LINE('',#476060,#108826); #70908=LINE('',#476065,#108827); #70909=LINE('',#476067,#108828); #70910=LINE('',#476069,#108829); #70911=LINE('',#476070,#108830); #70912=LINE('',#476073,#108831); #70913=LINE('',#476075,#108832); #70914=LINE('',#476076,#108833); #70915=LINE('',#476079,#108834); #70916=LINE('',#476081,#108835); #70917=LINE('',#476082,#108836); #70918=LINE('',#476085,#108837); #70919=LINE('',#476087,#108838); #70920=LINE('',#476088,#108839); #70921=LINE('',#476091,#108840); #70922=LINE('',#476093,#108841); #70923=LINE('',#476094,#108842); #70924=LINE('',#476097,#108843); #70925=LINE('',#476099,#108844); #70926=LINE('',#476100,#108845); #70927=LINE('',#476103,#108846); #70928=LINE('',#476105,#108847); #70929=LINE('',#476106,#108848); #70930=LINE('',#476109,#108849); #70931=LINE('',#476111,#108850); #70932=LINE('',#476112,#108851); #70933=LINE('',#476115,#108852); #70934=LINE('',#476117,#108853); #70935=LINE('',#476118,#108854); #70936=LINE('',#476121,#108855); #70937=LINE('',#476123,#108856); #70938=LINE('',#476124,#108857); #70939=LINE('',#476127,#108858); #70940=LINE('',#476129,#108859); #70941=LINE('',#476130,#108860); #70942=LINE('',#476133,#108861); #70943=LINE('',#476135,#108862); #70944=LINE('',#476136,#108863); #70945=LINE('',#476139,#108864); #70946=LINE('',#476141,#108865); #70947=LINE('',#476142,#108866); #70948=LINE('',#476145,#108867); #70949=LINE('',#476147,#108868); #70950=LINE('',#476148,#108869); #70951=LINE('',#476151,#108870); #70952=LINE('',#476153,#108871); #70953=LINE('',#476154,#108872); #70954=LINE('',#476157,#108873); #70955=LINE('',#476159,#108874); #70956=LINE('',#476160,#108875); #70957=LINE('',#476163,#108876); #70958=LINE('',#476165,#108877); #70959=LINE('',#476166,#108878); #70960=LINE('',#476169,#108879); #70961=LINE('',#476171,#108880); #70962=LINE('',#476172,#108881); #70963=LINE('',#476175,#108882); #70964=LINE('',#476177,#108883); #70965=LINE('',#476178,#108884); #70966=LINE('',#476181,#108885); #70967=LINE('',#476183,#108886); #70968=LINE('',#476184,#108887); #70969=LINE('',#476187,#108888); #70970=LINE('',#476189,#108889); #70971=LINE('',#476190,#108890); #70972=LINE('',#476193,#108891); #70973=LINE('',#476195,#108892); #70974=LINE('',#476196,#108893); #70975=LINE('',#476199,#108894); #70976=LINE('',#476201,#108895); #70977=LINE('',#476202,#108896); #70978=LINE('',#476205,#108897); #70979=LINE('',#476207,#108898); #70980=LINE('',#476208,#108899); #70981=LINE('',#476211,#108900); #70982=LINE('',#476213,#108901); #70983=LINE('',#476214,#108902); #70984=LINE('',#476217,#108903); #70985=LINE('',#476219,#108904); #70986=LINE('',#476220,#108905); #70987=LINE('',#476223,#108906); #70988=LINE('',#476225,#108907); #70989=LINE('',#476226,#108908); #70990=LINE('',#476229,#108909); #70991=LINE('',#476231,#108910); #70992=LINE('',#476232,#108911); #70993=LINE('',#476235,#108912); #70994=LINE('',#476237,#108913); #70995=LINE('',#476238,#108914); #70996=LINE('',#476241,#108915); #70997=LINE('',#476243,#108916); #70998=LINE('',#476244,#108917); #70999=LINE('',#476247,#108918); #71000=LINE('',#476249,#108919); #71001=LINE('',#476250,#108920); #71002=LINE('',#476253,#108921); #71003=LINE('',#476255,#108922); #71004=LINE('',#476256,#108923); #71005=LINE('',#476259,#108924); #71006=LINE('',#476261,#108925); #71007=LINE('',#476262,#108926); #71008=LINE('',#476265,#108927); #71009=LINE('',#476267,#108928); #71010=LINE('',#476268,#108929); #71011=LINE('',#476271,#108930); #71012=LINE('',#476273,#108931); #71013=LINE('',#476274,#108932); #71014=LINE('',#476277,#108933); #71015=LINE('',#476279,#108934); #71016=LINE('',#476280,#108935); #71017=LINE('',#476283,#108936); #71018=LINE('',#476285,#108937); #71019=LINE('',#476286,#108938); #71020=LINE('',#476289,#108939); #71021=LINE('',#476291,#108940); #71022=LINE('',#476292,#108941); #71023=LINE('',#476295,#108942); #71024=LINE('',#476297,#108943); #71025=LINE('',#476298,#108944); #71026=LINE('',#476301,#108945); #71027=LINE('',#476303,#108946); #71028=LINE('',#476304,#108947); #71029=LINE('',#476307,#108948); #71030=LINE('',#476309,#108949); #71031=LINE('',#476310,#108950); #71032=LINE('',#476313,#108951); #71033=LINE('',#476315,#108952); #71034=LINE('',#476316,#108953); #71035=LINE('',#476319,#108954); #71036=LINE('',#476321,#108955); #71037=LINE('',#476322,#108956); #71038=LINE('',#476325,#108957); #71039=LINE('',#476327,#108958); #71040=LINE('',#476328,#108959); #71041=LINE('',#476331,#108960); #71042=LINE('',#476333,#108961); #71043=LINE('',#476334,#108962); #71044=LINE('',#476337,#108963); #71045=LINE('',#476339,#108964); #71046=LINE('',#476340,#108965); #71047=LINE('',#476343,#108966); #71048=LINE('',#476345,#108967); #71049=LINE('',#476346,#108968); #71050=LINE('',#476349,#108969); #71051=LINE('',#476351,#108970); #71052=LINE('',#476352,#108971); #71053=LINE('',#476355,#108972); #71054=LINE('',#476357,#108973); #71055=LINE('',#476358,#108974); #71056=LINE('',#476361,#108975); #71057=LINE('',#476363,#108976); #71058=LINE('',#476364,#108977); #71059=LINE('',#476367,#108978); #71060=LINE('',#476369,#108979); #71061=LINE('',#476370,#108980); #71062=LINE('',#476373,#108981); #71063=LINE('',#476375,#108982); #71064=LINE('',#476376,#108983); #71065=LINE('',#476379,#108984); #71066=LINE('',#476381,#108985); #71067=LINE('',#476382,#108986); #71068=LINE('',#476385,#108987); #71069=LINE('',#476387,#108988); #71070=LINE('',#476388,#108989); #71071=LINE('',#476391,#108990); #71072=LINE('',#476393,#108991); #71073=LINE('',#476394,#108992); #71074=LINE('',#476397,#108993); #71075=LINE('',#476399,#108994); #71076=LINE('',#476400,#108995); #71077=LINE('',#476403,#108996); #71078=LINE('',#476405,#108997); #71079=LINE('',#476406,#108998); #71080=LINE('',#476409,#108999); #71081=LINE('',#476411,#109000); #71082=LINE('',#476412,#109001); #71083=LINE('',#476415,#109002); #71084=LINE('',#476417,#109003); #71085=LINE('',#476418,#109004); #71086=LINE('',#476421,#109005); #71087=LINE('',#476423,#109006); #71088=LINE('',#476424,#109007); #71089=LINE('',#476427,#109008); #71090=LINE('',#476429,#109009); #71091=LINE('',#476430,#109010); #71092=LINE('',#476433,#109011); #71093=LINE('',#476435,#109012); #71094=LINE('',#476436,#109013); #71095=LINE('',#476439,#109014); #71096=LINE('',#476441,#109015); #71097=LINE('',#476442,#109016); #71098=LINE('',#476445,#109017); #71099=LINE('',#476447,#109018); #71100=LINE('',#476448,#109019); #71101=LINE('',#476451,#109020); #71102=LINE('',#476453,#109021); #71103=LINE('',#476454,#109022); #71104=LINE('',#476457,#109023); #71105=LINE('',#476459,#109024); #71106=LINE('',#476460,#109025); #71107=LINE('',#476463,#109026); #71108=LINE('',#476465,#109027); #71109=LINE('',#476466,#109028); #71110=LINE('',#476469,#109029); #71111=LINE('',#476471,#109030); #71112=LINE('',#476472,#109031); #71113=LINE('',#476475,#109032); #71114=LINE('',#476477,#109033); #71115=LINE('',#476478,#109034); #71116=LINE('',#476481,#109035); #71117=LINE('',#476483,#109036); #71118=LINE('',#476484,#109037); #71119=LINE('',#476487,#109038); #71120=LINE('',#476489,#109039); #71121=LINE('',#476490,#109040); #71122=LINE('',#476493,#109041); #71123=LINE('',#476495,#109042); #71124=LINE('',#476496,#109043); #71125=LINE('',#476499,#109044); #71126=LINE('',#476501,#109045); #71127=LINE('',#476502,#109046); #71128=LINE('',#476505,#109047); #71129=LINE('',#476507,#109048); #71130=LINE('',#476508,#109049); #71131=LINE('',#476511,#109050); #71132=LINE('',#476513,#109051); #71133=LINE('',#476514,#109052); #71134=LINE('',#476517,#109053); #71135=LINE('',#476519,#109054); #71136=LINE('',#476520,#109055); #71137=LINE('',#476523,#109056); #71138=LINE('',#476525,#109057); #71139=LINE('',#476526,#109058); #71140=LINE('',#476529,#109059); #71141=LINE('',#476531,#109060); #71142=LINE('',#476532,#109061); #71143=LINE('',#476535,#109062); #71144=LINE('',#476537,#109063); #71145=LINE('',#476538,#109064); #71146=LINE('',#476541,#109065); #71147=LINE('',#476543,#109066); #71148=LINE('',#476544,#109067); #71149=LINE('',#476547,#109068); #71150=LINE('',#476549,#109069); #71151=LINE('',#476550,#109070); #71152=LINE('',#476553,#109071); #71153=LINE('',#476555,#109072); #71154=LINE('',#476556,#109073); #71155=LINE('',#476559,#109074); #71156=LINE('',#476561,#109075); #71157=LINE('',#476562,#109076); #71158=LINE('',#476565,#109077); #71159=LINE('',#476567,#109078); #71160=LINE('',#476568,#109079); #71161=LINE('',#476571,#109080); #71162=LINE('',#476573,#109081); #71163=LINE('',#476574,#109082); #71164=LINE('',#476577,#109083); #71165=LINE('',#476579,#109084); #71166=LINE('',#476580,#109085); #71167=LINE('',#476582,#109086); #71168=LINE('',#476583,#109087); #71169=LINE('',#476589,#109088); #71170=LINE('',#476591,#109089); #71171=LINE('',#476593,#109090); #71172=LINE('',#476594,#109091); #71173=LINE('',#476597,#109092); #71174=LINE('',#476599,#109093); #71175=LINE('',#476600,#109094); #71176=LINE('',#476603,#109095); #71177=LINE('',#476605,#109096); #71178=LINE('',#476606,#109097); #71179=LINE('',#476609,#109098); #71180=LINE('',#476611,#109099); #71181=LINE('',#476612,#109100); #71182=LINE('',#476615,#109101); #71183=LINE('',#476617,#109102); #71184=LINE('',#476618,#109103); #71185=LINE('',#476621,#109104); #71186=LINE('',#476623,#109105); #71187=LINE('',#476624,#109106); #71188=LINE('',#476627,#109107); #71189=LINE('',#476629,#109108); #71190=LINE('',#476630,#109109); #71191=LINE('',#476633,#109110); #71192=LINE('',#476635,#109111); #71193=LINE('',#476636,#109112); #71194=LINE('',#476639,#109113); #71195=LINE('',#476641,#109114); #71196=LINE('',#476642,#109115); #71197=LINE('',#476645,#109116); #71198=LINE('',#476647,#109117); #71199=LINE('',#476648,#109118); #71200=LINE('',#476651,#109119); #71201=LINE('',#476653,#109120); #71202=LINE('',#476654,#109121); #71203=LINE('',#476657,#109122); #71204=LINE('',#476659,#109123); #71205=LINE('',#476660,#109124); #71206=LINE('',#476663,#109125); #71207=LINE('',#476665,#109126); #71208=LINE('',#476666,#109127); #71209=LINE('',#476669,#109128); #71210=LINE('',#476671,#109129); #71211=LINE('',#476672,#109130); #71212=LINE('',#476675,#109131); #71213=LINE('',#476677,#109132); #71214=LINE('',#476678,#109133); #71215=LINE('',#476681,#109134); #71216=LINE('',#476683,#109135); #71217=LINE('',#476684,#109136); #71218=LINE('',#476687,#109137); #71219=LINE('',#476689,#109138); #71220=LINE('',#476690,#109139); #71221=LINE('',#476693,#109140); #71222=LINE('',#476695,#109141); #71223=LINE('',#476696,#109142); #71224=LINE('',#476699,#109143); #71225=LINE('',#476701,#109144); #71226=LINE('',#476702,#109145); #71227=LINE('',#476705,#109146); #71228=LINE('',#476707,#109147); #71229=LINE('',#476708,#109148); #71230=LINE('',#476710,#109149); #71231=LINE('',#476711,#109150); #71232=LINE('',#476717,#109151); #71233=LINE('',#476719,#109152); #71234=LINE('',#476721,#109153); #71235=LINE('',#476722,#109154); #71236=LINE('',#476725,#109155); #71237=LINE('',#476727,#109156); #71238=LINE('',#476728,#109157); #71239=LINE('',#476731,#109158); #71240=LINE('',#476733,#109159); #71241=LINE('',#476734,#109160); #71242=LINE('',#476737,#109161); #71243=LINE('',#476739,#109162); #71244=LINE('',#476740,#109163); #71245=LINE('',#476743,#109164); #71246=LINE('',#476745,#109165); #71247=LINE('',#476746,#109166); #71248=LINE('',#476749,#109167); #71249=LINE('',#476751,#109168); #71250=LINE('',#476752,#109169); #71251=LINE('',#476755,#109170); #71252=LINE('',#476757,#109171); #71253=LINE('',#476758,#109172); #71254=LINE('',#476761,#109173); #71255=LINE('',#476763,#109174); #71256=LINE('',#476764,#109175); #71257=LINE('',#476767,#109176); #71258=LINE('',#476769,#109177); #71259=LINE('',#476770,#109178); #71260=LINE('',#476773,#109179); #71261=LINE('',#476775,#109180); #71262=LINE('',#476776,#109181); #71263=LINE('',#476779,#109182); #71264=LINE('',#476781,#109183); #71265=LINE('',#476782,#109184); #71266=LINE('',#476785,#109185); #71267=LINE('',#476787,#109186); #71268=LINE('',#476788,#109187); #71269=LINE('',#476791,#109188); #71270=LINE('',#476793,#109189); #71271=LINE('',#476794,#109190); #71272=LINE('',#476797,#109191); #71273=LINE('',#476799,#109192); #71274=LINE('',#476800,#109193); #71275=LINE('',#476803,#109194); #71276=LINE('',#476805,#109195); #71277=LINE('',#476806,#109196); #71278=LINE('',#476809,#109197); #71279=LINE('',#476811,#109198); #71280=LINE('',#476812,#109199); #71281=LINE('',#476815,#109200); #71282=LINE('',#476817,#109201); #71283=LINE('',#476818,#109202); #71284=LINE('',#476821,#109203); #71285=LINE('',#476823,#109204); #71286=LINE('',#476824,#109205); #71287=LINE('',#476826,#109206); #71288=LINE('',#476827,#109207); #71289=LINE('',#476833,#109208); #71290=LINE('',#476835,#109209); #71291=LINE('',#476837,#109210); #71292=LINE('',#476838,#109211); #71293=LINE('',#476841,#109212); #71294=LINE('',#476843,#109213); #71295=LINE('',#476844,#109214); #71296=LINE('',#476847,#109215); #71297=LINE('',#476849,#109216); #71298=LINE('',#476850,#109217); #71299=LINE('',#476853,#109218); #71300=LINE('',#476855,#109219); #71301=LINE('',#476856,#109220); #71302=LINE('',#476859,#109221); #71303=LINE('',#476861,#109222); #71304=LINE('',#476862,#109223); #71305=LINE('',#476865,#109224); #71306=LINE('',#476867,#109225); #71307=LINE('',#476868,#109226); #71308=LINE('',#476871,#109227); #71309=LINE('',#476873,#109228); #71310=LINE('',#476874,#109229); #71311=LINE('',#476877,#109230); #71312=LINE('',#476879,#109231); #71313=LINE('',#476880,#109232); #71314=LINE('',#476883,#109233); #71315=LINE('',#476885,#109234); #71316=LINE('',#476886,#109235); #71317=LINE('',#476889,#109236); #71318=LINE('',#476891,#109237); #71319=LINE('',#476892,#109238); #71320=LINE('',#476895,#109239); #71321=LINE('',#476897,#109240); #71322=LINE('',#476898,#109241); #71323=LINE('',#476901,#109242); #71324=LINE('',#476903,#109243); #71325=LINE('',#476904,#109244); #71326=LINE('',#476907,#109245); #71327=LINE('',#476909,#109246); #71328=LINE('',#476910,#109247); #71329=LINE('',#476913,#109248); #71330=LINE('',#476915,#109249); #71331=LINE('',#476916,#109250); #71332=LINE('',#476919,#109251); #71333=LINE('',#476921,#109252); #71334=LINE('',#476922,#109253); #71335=LINE('',#476925,#109254); #71336=LINE('',#476927,#109255); #71337=LINE('',#476928,#109256); #71338=LINE('',#476931,#109257); #71339=LINE('',#476933,#109258); #71340=LINE('',#476934,#109259); #71341=LINE('',#476937,#109260); #71342=LINE('',#476939,#109261); #71343=LINE('',#476940,#109262); #71344=LINE('',#476943,#109263); #71345=LINE('',#476945,#109264); #71346=LINE('',#476946,#109265); #71347=LINE('',#476949,#109266); #71348=LINE('',#476951,#109267); #71349=LINE('',#476952,#109268); #71350=LINE('',#476955,#109269); #71351=LINE('',#476957,#109270); #71352=LINE('',#476958,#109271); #71353=LINE('',#476961,#109272); #71354=LINE('',#476963,#109273); #71355=LINE('',#476964,#109274); #71356=LINE('',#476967,#109275); #71357=LINE('',#476969,#109276); #71358=LINE('',#476970,#109277); #71359=LINE('',#476973,#109278); #71360=LINE('',#476975,#109279); #71361=LINE('',#476976,#109280); #71362=LINE('',#476979,#109281); #71363=LINE('',#476981,#109282); #71364=LINE('',#476982,#109283); #71365=LINE('',#476985,#109284); #71366=LINE('',#476987,#109285); #71367=LINE('',#476988,#109286); #71368=LINE('',#476991,#109287); #71369=LINE('',#476993,#109288); #71370=LINE('',#476994,#109289); #71371=LINE('',#476997,#109290); #71372=LINE('',#476999,#109291); #71373=LINE('',#477000,#109292); #71374=LINE('',#477002,#109293); #71375=LINE('',#477003,#109294); #71376=LINE('',#477009,#109295); #71377=LINE('',#477011,#109296); #71378=LINE('',#477013,#109297); #71379=LINE('',#477014,#109298); #71380=LINE('',#477017,#109299); #71381=LINE('',#477019,#109300); #71382=LINE('',#477020,#109301); #71383=LINE('',#477023,#109302); #71384=LINE('',#477025,#109303); #71385=LINE('',#477026,#109304); #71386=LINE('',#477029,#109305); #71387=LINE('',#477031,#109306); #71388=LINE('',#477032,#109307); #71389=LINE('',#477035,#109308); #71390=LINE('',#477037,#109309); #71391=LINE('',#477038,#109310); #71392=LINE('',#477041,#109311); #71393=LINE('',#477043,#109312); #71394=LINE('',#477044,#109313); #71395=LINE('',#477047,#109314); #71396=LINE('',#477049,#109315); #71397=LINE('',#477050,#109316); #71398=LINE('',#477053,#109317); #71399=LINE('',#477055,#109318); #71400=LINE('',#477056,#109319); #71401=LINE('',#477059,#109320); #71402=LINE('',#477061,#109321); #71403=LINE('',#477062,#109322); #71404=LINE('',#477065,#109323); #71405=LINE('',#477067,#109324); #71406=LINE('',#477068,#109325); #71407=LINE('',#477071,#109326); #71408=LINE('',#477073,#109327); #71409=LINE('',#477074,#109328); #71410=LINE('',#477077,#109329); #71411=LINE('',#477079,#109330); #71412=LINE('',#477080,#109331); #71413=LINE('',#477083,#109332); #71414=LINE('',#477085,#109333); #71415=LINE('',#477086,#109334); #71416=LINE('',#477089,#109335); #71417=LINE('',#477091,#109336); #71418=LINE('',#477092,#109337); #71419=LINE('',#477095,#109338); #71420=LINE('',#477097,#109339); #71421=LINE('',#477098,#109340); #71422=LINE('',#477101,#109341); #71423=LINE('',#477103,#109342); #71424=LINE('',#477104,#109343); #71425=LINE('',#477107,#109344); #71426=LINE('',#477109,#109345); #71427=LINE('',#477110,#109346); #71428=LINE('',#477113,#109347); #71429=LINE('',#477115,#109348); #71430=LINE('',#477116,#109349); #71431=LINE('',#477119,#109350); #71432=LINE('',#477121,#109351); #71433=LINE('',#477122,#109352); #71434=LINE('',#477125,#109353); #71435=LINE('',#477127,#109354); #71436=LINE('',#477128,#109355); #71437=LINE('',#477130,#109356); #71438=LINE('',#477131,#109357); #71439=LINE('',#477137,#109358); #71440=LINE('',#477139,#109359); #71441=LINE('',#477141,#109360); #71442=LINE('',#477142,#109361); #71443=LINE('',#477145,#109362); #71444=LINE('',#477147,#109363); #71445=LINE('',#477148,#109364); #71446=LINE('',#477151,#109365); #71447=LINE('',#477153,#109366); #71448=LINE('',#477154,#109367); #71449=LINE('',#477157,#109368); #71450=LINE('',#477159,#109369); #71451=LINE('',#477160,#109370); #71452=LINE('',#477163,#109371); #71453=LINE('',#477165,#109372); #71454=LINE('',#477166,#109373); #71455=LINE('',#477169,#109374); #71456=LINE('',#477171,#109375); #71457=LINE('',#477172,#109376); #71458=LINE('',#477175,#109377); #71459=LINE('',#477177,#109378); #71460=LINE('',#477178,#109379); #71461=LINE('',#477181,#109380); #71462=LINE('',#477183,#109381); #71463=LINE('',#477184,#109382); #71464=LINE('',#477187,#109383); #71465=LINE('',#477189,#109384); #71466=LINE('',#477190,#109385); #71467=LINE('',#477193,#109386); #71468=LINE('',#477195,#109387); #71469=LINE('',#477196,#109388); #71470=LINE('',#477199,#109389); #71471=LINE('',#477201,#109390); #71472=LINE('',#477202,#109391); #71473=LINE('',#477205,#109392); #71474=LINE('',#477207,#109393); #71475=LINE('',#477208,#109394); #71476=LINE('',#477211,#109395); #71477=LINE('',#477213,#109396); #71478=LINE('',#477214,#109397); #71479=LINE('',#477217,#109398); #71480=LINE('',#477219,#109399); #71481=LINE('',#477220,#109400); #71482=LINE('',#477223,#109401); #71483=LINE('',#477225,#109402); #71484=LINE('',#477226,#109403); #71485=LINE('',#477229,#109404); #71486=LINE('',#477231,#109405); #71487=LINE('',#477232,#109406); #71488=LINE('',#477235,#109407); #71489=LINE('',#477237,#109408); #71490=LINE('',#477238,#109409); #71491=LINE('',#477241,#109410); #71492=LINE('',#477243,#109411); #71493=LINE('',#477244,#109412); #71494=LINE('',#477246,#109413); #71495=LINE('',#477247,#109414); #71496=LINE('',#477253,#109415); #71497=LINE('',#477255,#109416); #71498=LINE('',#477257,#109417); #71499=LINE('',#477258,#109418); #71500=LINE('',#477261,#109419); #71501=LINE('',#477263,#109420); #71502=LINE('',#477264,#109421); #71503=LINE('',#477267,#109422); #71504=LINE('',#477269,#109423); #71505=LINE('',#477270,#109424); #71506=LINE('',#477273,#109425); #71507=LINE('',#477275,#109426); #71508=LINE('',#477276,#109427); #71509=LINE('',#477279,#109428); #71510=LINE('',#477281,#109429); #71511=LINE('',#477282,#109430); #71512=LINE('',#477285,#109431); #71513=LINE('',#477287,#109432); #71514=LINE('',#477288,#109433); #71515=LINE('',#477291,#109434); #71516=LINE('',#477293,#109435); #71517=LINE('',#477294,#109436); #71518=LINE('',#477297,#109437); #71519=LINE('',#477299,#109438); #71520=LINE('',#477300,#109439); #71521=LINE('',#477303,#109440); #71522=LINE('',#477305,#109441); #71523=LINE('',#477306,#109442); #71524=LINE('',#477309,#109443); #71525=LINE('',#477311,#109444); #71526=LINE('',#477312,#109445); #71527=LINE('',#477315,#109446); #71528=LINE('',#477317,#109447); #71529=LINE('',#477318,#109448); #71530=LINE('',#477321,#109449); #71531=LINE('',#477323,#109450); #71532=LINE('',#477324,#109451); #71533=LINE('',#477327,#109452); #71534=LINE('',#477329,#109453); #71535=LINE('',#477330,#109454); #71536=LINE('',#477333,#109455); #71537=LINE('',#477335,#109456); #71538=LINE('',#477336,#109457); #71539=LINE('',#477339,#109458); #71540=LINE('',#477341,#109459); #71541=LINE('',#477342,#109460); #71542=LINE('',#477345,#109461); #71543=LINE('',#477347,#109462); #71544=LINE('',#477348,#109463); #71545=LINE('',#477351,#109464); #71546=LINE('',#477353,#109465); #71547=LINE('',#477354,#109466); #71548=LINE('',#477357,#109467); #71549=LINE('',#477359,#109468); #71550=LINE('',#477360,#109469); #71551=LINE('',#477362,#109470); #71552=LINE('',#477363,#109471); #71553=LINE('',#477369,#109472); #71554=LINE('',#477371,#109473); #71555=LINE('',#477373,#109474); #71556=LINE('',#477374,#109475); #71557=LINE('',#477377,#109476); #71558=LINE('',#477379,#109477); #71559=LINE('',#477380,#109478); #71560=LINE('',#477383,#109479); #71561=LINE('',#477385,#109480); #71562=LINE('',#477386,#109481); #71563=LINE('',#477389,#109482); #71564=LINE('',#477391,#109483); #71565=LINE('',#477392,#109484); #71566=LINE('',#477395,#109485); #71567=LINE('',#477397,#109486); #71568=LINE('',#477398,#109487); #71569=LINE('',#477401,#109488); #71570=LINE('',#477403,#109489); #71571=LINE('',#477404,#109490); #71572=LINE('',#477407,#109491); #71573=LINE('',#477409,#109492); #71574=LINE('',#477410,#109493); #71575=LINE('',#477413,#109494); #71576=LINE('',#477415,#109495); #71577=LINE('',#477416,#109496); #71578=LINE('',#477419,#109497); #71579=LINE('',#477421,#109498); #71580=LINE('',#477422,#109499); #71581=LINE('',#477425,#109500); #71582=LINE('',#477427,#109501); #71583=LINE('',#477428,#109502); #71584=LINE('',#477431,#109503); #71585=LINE('',#477433,#109504); #71586=LINE('',#477434,#109505); #71587=LINE('',#477437,#109506); #71588=LINE('',#477439,#109507); #71589=LINE('',#477440,#109508); #71590=LINE('',#477443,#109509); #71591=LINE('',#477445,#109510); #71592=LINE('',#477446,#109511); #71593=LINE('',#477449,#109512); #71594=LINE('',#477451,#109513); #71595=LINE('',#477452,#109514); #71596=LINE('',#477455,#109515); #71597=LINE('',#477457,#109516); #71598=LINE('',#477458,#109517); #71599=LINE('',#477461,#109518); #71600=LINE('',#477463,#109519); #71601=LINE('',#477464,#109520); #71602=LINE('',#477467,#109521); #71603=LINE('',#477469,#109522); #71604=LINE('',#477470,#109523); #71605=LINE('',#477473,#109524); #71606=LINE('',#477475,#109525); #71607=LINE('',#477476,#109526); #71608=LINE('',#477479,#109527); #71609=LINE('',#477481,#109528); #71610=LINE('',#477482,#109529); #71611=LINE('',#477485,#109530); #71612=LINE('',#477487,#109531); #71613=LINE('',#477488,#109532); #71614=LINE('',#477490,#109533); #71615=LINE('',#477491,#109534); #71616=LINE('',#477497,#109535); #71617=LINE('',#477499,#109536); #71618=LINE('',#477501,#109537); #71619=LINE('',#477502,#109538); #71620=LINE('',#477505,#109539); #71621=LINE('',#477507,#109540); #71622=LINE('',#477508,#109541); #71623=LINE('',#477511,#109542); #71624=LINE('',#477513,#109543); #71625=LINE('',#477514,#109544); #71626=LINE('',#477517,#109545); #71627=LINE('',#477519,#109546); #71628=LINE('',#477520,#109547); #71629=LINE('',#477523,#109548); #71630=LINE('',#477525,#109549); #71631=LINE('',#477526,#109550); #71632=LINE('',#477529,#109551); #71633=LINE('',#477531,#109552); #71634=LINE('',#477532,#109553); #71635=LINE('',#477535,#109554); #71636=LINE('',#477537,#109555); #71637=LINE('',#477538,#109556); #71638=LINE('',#477541,#109557); #71639=LINE('',#477543,#109558); #71640=LINE('',#477544,#109559); #71641=LINE('',#477547,#109560); #71642=LINE('',#477549,#109561); #71643=LINE('',#477550,#109562); #71644=LINE('',#477553,#109563); #71645=LINE('',#477555,#109564); #71646=LINE('',#477556,#109565); #71647=LINE('',#477559,#109566); #71648=LINE('',#477561,#109567); #71649=LINE('',#477562,#109568); #71650=LINE('',#477565,#109569); #71651=LINE('',#477567,#109570); #71652=LINE('',#477568,#109571); #71653=LINE('',#477571,#109572); #71654=LINE('',#477573,#109573); #71655=LINE('',#477574,#109574); #71656=LINE('',#477577,#109575); #71657=LINE('',#477579,#109576); #71658=LINE('',#477580,#109577); #71659=LINE('',#477583,#109578); #71660=LINE('',#477585,#109579); #71661=LINE('',#477586,#109580); #71662=LINE('',#477589,#109581); #71663=LINE('',#477591,#109582); #71664=LINE('',#477592,#109583); #71665=LINE('',#477595,#109584); #71666=LINE('',#477597,#109585); #71667=LINE('',#477598,#109586); #71668=LINE('',#477601,#109587); #71669=LINE('',#477603,#109588); #71670=LINE('',#477604,#109589); #71671=LINE('',#477607,#109590); #71672=LINE('',#477609,#109591); #71673=LINE('',#477610,#109592); #71674=LINE('',#477612,#109593); #71675=LINE('',#477613,#109594); #71676=LINE('',#477619,#109595); #71677=LINE('',#477621,#109596); #71678=LINE('',#477623,#109597); #71679=LINE('',#477624,#109598); #71680=LINE('',#477627,#109599); #71681=LINE('',#477629,#109600); #71682=LINE('',#477630,#109601); #71683=LINE('',#477633,#109602); #71684=LINE('',#477635,#109603); #71685=LINE('',#477636,#109604); #71686=LINE('',#477639,#109605); #71687=LINE('',#477641,#109606); #71688=LINE('',#477642,#109607); #71689=LINE('',#477645,#109608); #71690=LINE('',#477647,#109609); #71691=LINE('',#477648,#109610); #71692=LINE('',#477651,#109611); #71693=LINE('',#477653,#109612); #71694=LINE('',#477654,#109613); #71695=LINE('',#477657,#109614); #71696=LINE('',#477659,#109615); #71697=LINE('',#477660,#109616); #71698=LINE('',#477663,#109617); #71699=LINE('',#477665,#109618); #71700=LINE('',#477666,#109619); #71701=LINE('',#477669,#109620); #71702=LINE('',#477671,#109621); #71703=LINE('',#477672,#109622); #71704=LINE('',#477675,#109623); #71705=LINE('',#477677,#109624); #71706=LINE('',#477678,#109625); #71707=LINE('',#477681,#109626); #71708=LINE('',#477683,#109627); #71709=LINE('',#477684,#109628); #71710=LINE('',#477687,#109629); #71711=LINE('',#477689,#109630); #71712=LINE('',#477690,#109631); #71713=LINE('',#477693,#109632); #71714=LINE('',#477695,#109633); #71715=LINE('',#477696,#109634); #71716=LINE('',#477699,#109635); #71717=LINE('',#477701,#109636); #71718=LINE('',#477702,#109637); #71719=LINE('',#477705,#109638); #71720=LINE('',#477707,#109639); #71721=LINE('',#477708,#109640); #71722=LINE('',#477711,#109641); #71723=LINE('',#477713,#109642); #71724=LINE('',#477714,#109643); #71725=LINE('',#477717,#109644); #71726=LINE('',#477719,#109645); #71727=LINE('',#477720,#109646); #71728=LINE('',#477723,#109647); #71729=LINE('',#477725,#109648); #71730=LINE('',#477726,#109649); #71731=LINE('',#477729,#109650); #71732=LINE('',#477731,#109651); #71733=LINE('',#477732,#109652); #71734=LINE('',#477735,#109653); #71735=LINE('',#477737,#109654); #71736=LINE('',#477738,#109655); #71737=LINE('',#477741,#109656); #71738=LINE('',#477743,#109657); #71739=LINE('',#477744,#109658); #71740=LINE('',#477747,#109659); #71741=LINE('',#477749,#109660); #71742=LINE('',#477750,#109661); #71743=LINE('',#477753,#109662); #71744=LINE('',#477755,#109663); #71745=LINE('',#477756,#109664); #71746=LINE('',#477759,#109665); #71747=LINE('',#477761,#109666); #71748=LINE('',#477762,#109667); #71749=LINE('',#477765,#109668); #71750=LINE('',#477767,#109669); #71751=LINE('',#477768,#109670); #71752=LINE('',#477771,#109671); #71753=LINE('',#477773,#109672); #71754=LINE('',#477774,#109673); #71755=LINE('',#477777,#109674); #71756=LINE('',#477779,#109675); #71757=LINE('',#477780,#109676); #71758=LINE('',#477783,#109677); #71759=LINE('',#477785,#109678); #71760=LINE('',#477786,#109679); #71761=LINE('',#477789,#109680); #71762=LINE('',#477791,#109681); #71763=LINE('',#477792,#109682); #71764=LINE('',#477795,#109683); #71765=LINE('',#477797,#109684); #71766=LINE('',#477798,#109685); #71767=LINE('',#477801,#109686); #71768=LINE('',#477803,#109687); #71769=LINE('',#477804,#109688); #71770=LINE('',#477807,#109689); #71771=LINE('',#477809,#109690); #71772=LINE('',#477810,#109691); #71773=LINE('',#477813,#109692); #71774=LINE('',#477815,#109693); #71775=LINE('',#477816,#109694); #71776=LINE('',#477819,#109695); #71777=LINE('',#477821,#109696); #71778=LINE('',#477822,#109697); #71779=LINE('',#477825,#109698); #71780=LINE('',#477827,#109699); #71781=LINE('',#477828,#109700); #71782=LINE('',#477831,#109701); #71783=LINE('',#477833,#109702); #71784=LINE('',#477834,#109703); #71785=LINE('',#477836,#109704); #71786=LINE('',#477837,#109705); #71787=LINE('',#477843,#109706); #71788=LINE('',#477845,#109707); #71789=LINE('',#477847,#109708); #71790=LINE('',#477848,#109709); #71791=LINE('',#477851,#109710); #71792=LINE('',#477853,#109711); #71793=LINE('',#477854,#109712); #71794=LINE('',#477857,#109713); #71795=LINE('',#477859,#109714); #71796=LINE('',#477860,#109715); #71797=LINE('',#477863,#109716); #71798=LINE('',#477865,#109717); #71799=LINE('',#477866,#109718); #71800=LINE('',#477869,#109719); #71801=LINE('',#477871,#109720); #71802=LINE('',#477872,#109721); #71803=LINE('',#477875,#109722); #71804=LINE('',#477877,#109723); #71805=LINE('',#477878,#109724); #71806=LINE('',#477881,#109725); #71807=LINE('',#477883,#109726); #71808=LINE('',#477884,#109727); #71809=LINE('',#477887,#109728); #71810=LINE('',#477889,#109729); #71811=LINE('',#477890,#109730); #71812=LINE('',#477893,#109731); #71813=LINE('',#477895,#109732); #71814=LINE('',#477896,#109733); #71815=LINE('',#477899,#109734); #71816=LINE('',#477901,#109735); #71817=LINE('',#477902,#109736); #71818=LINE('',#477905,#109737); #71819=LINE('',#477907,#109738); #71820=LINE('',#477908,#109739); #71821=LINE('',#477911,#109740); #71822=LINE('',#477913,#109741); #71823=LINE('',#477914,#109742); #71824=LINE('',#477917,#109743); #71825=LINE('',#477919,#109744); #71826=LINE('',#477920,#109745); #71827=LINE('',#477923,#109746); #71828=LINE('',#477925,#109747); #71829=LINE('',#477926,#109748); #71830=LINE('',#477929,#109749); #71831=LINE('',#477931,#109750); #71832=LINE('',#477932,#109751); #71833=LINE('',#477935,#109752); #71834=LINE('',#477937,#109753); #71835=LINE('',#477938,#109754); #71836=LINE('',#477941,#109755); #71837=LINE('',#477943,#109756); #71838=LINE('',#477944,#109757); #71839=LINE('',#477947,#109758); #71840=LINE('',#477949,#109759); #71841=LINE('',#477950,#109760); #71842=LINE('',#477953,#109761); #71843=LINE('',#477955,#109762); #71844=LINE('',#477956,#109763); #71845=LINE('',#477958,#109764); #71846=LINE('',#477959,#109765); #71847=LINE('',#477965,#109766); #71848=LINE('',#477967,#109767); #71849=LINE('',#477969,#109768); #71850=LINE('',#477970,#109769); #71851=LINE('',#477973,#109770); #71852=LINE('',#477975,#109771); #71853=LINE('',#477976,#109772); #71854=LINE('',#477979,#109773); #71855=LINE('',#477981,#109774); #71856=LINE('',#477982,#109775); #71857=LINE('',#477985,#109776); #71858=LINE('',#477987,#109777); #71859=LINE('',#477988,#109778); #71860=LINE('',#477991,#109779); #71861=LINE('',#477993,#109780); #71862=LINE('',#477994,#109781); #71863=LINE('',#477997,#109782); #71864=LINE('',#477999,#109783); #71865=LINE('',#478000,#109784); #71866=LINE('',#478003,#109785); #71867=LINE('',#478005,#109786); #71868=LINE('',#478006,#109787); #71869=LINE('',#478009,#109788); #71870=LINE('',#478011,#109789); #71871=LINE('',#478012,#109790); #71872=LINE('',#478015,#109791); #71873=LINE('',#478017,#109792); #71874=LINE('',#478018,#109793); #71875=LINE('',#478021,#109794); #71876=LINE('',#478023,#109795); #71877=LINE('',#478024,#109796); #71878=LINE('',#478027,#109797); #71879=LINE('',#478029,#109798); #71880=LINE('',#478030,#109799); #71881=LINE('',#478033,#109800); #71882=LINE('',#478035,#109801); #71883=LINE('',#478036,#109802); #71884=LINE('',#478039,#109803); #71885=LINE('',#478041,#109804); #71886=LINE('',#478042,#109805); #71887=LINE('',#478045,#109806); #71888=LINE('',#478047,#109807); #71889=LINE('',#478048,#109808); #71890=LINE('',#478051,#109809); #71891=LINE('',#478053,#109810); #71892=LINE('',#478054,#109811); #71893=LINE('',#478057,#109812); #71894=LINE('',#478059,#109813); #71895=LINE('',#478060,#109814); #71896=LINE('',#478063,#109815); #71897=LINE('',#478065,#109816); #71898=LINE('',#478066,#109817); #71899=LINE('',#478069,#109818); #71900=LINE('',#478071,#109819); #71901=LINE('',#478072,#109820); #71902=LINE('',#478075,#109821); #71903=LINE('',#478077,#109822); #71904=LINE('',#478078,#109823); #71905=LINE('',#478081,#109824); #71906=LINE('',#478083,#109825); #71907=LINE('',#478084,#109826); #71908=LINE('',#478087,#109827); #71909=LINE('',#478089,#109828); #71910=LINE('',#478090,#109829); #71911=LINE('',#478093,#109830); #71912=LINE('',#478095,#109831); #71913=LINE('',#478096,#109832); #71914=LINE('',#478099,#109833); #71915=LINE('',#478101,#109834); #71916=LINE('',#478102,#109835); #71917=LINE('',#478105,#109836); #71918=LINE('',#478107,#109837); #71919=LINE('',#478108,#109838); #71920=LINE('',#478111,#109839); #71921=LINE('',#478113,#109840); #71922=LINE('',#478114,#109841); #71923=LINE('',#478117,#109842); #71924=LINE('',#478119,#109843); #71925=LINE('',#478120,#109844); #71926=LINE('',#478123,#109845); #71927=LINE('',#478125,#109846); #71928=LINE('',#478126,#109847); #71929=LINE('',#478129,#109848); #71930=LINE('',#478131,#109849); #71931=LINE('',#478132,#109850); #71932=LINE('',#478135,#109851); #71933=LINE('',#478137,#109852); #71934=LINE('',#478138,#109853); #71935=LINE('',#478141,#109854); #71936=LINE('',#478143,#109855); #71937=LINE('',#478144,#109856); #71938=LINE('',#478147,#109857); #71939=LINE('',#478149,#109858); #71940=LINE('',#478150,#109859); #71941=LINE('',#478153,#109860); #71942=LINE('',#478155,#109861); #71943=LINE('',#478156,#109862); #71944=LINE('',#478159,#109863); #71945=LINE('',#478161,#109864); #71946=LINE('',#478162,#109865); #71947=LINE('',#478165,#109866); #71948=LINE('',#478167,#109867); #71949=LINE('',#478168,#109868); #71950=LINE('',#478171,#109869); #71951=LINE('',#478173,#109870); #71952=LINE('',#478174,#109871); #71953=LINE('',#478177,#109872); #71954=LINE('',#478179,#109873); #71955=LINE('',#478180,#109874); #71956=LINE('',#478183,#109875); #71957=LINE('',#478185,#109876); #71958=LINE('',#478186,#109877); #71959=LINE('',#478189,#109878); #71960=LINE('',#478191,#109879); #71961=LINE('',#478192,#109880); #71962=LINE('',#478195,#109881); #71963=LINE('',#478197,#109882); #71964=LINE('',#478198,#109883); #71965=LINE('',#478201,#109884); #71966=LINE('',#478203,#109885); #71967=LINE('',#478204,#109886); #71968=LINE('',#478207,#109887); #71969=LINE('',#478209,#109888); #71970=LINE('',#478210,#109889); #71971=LINE('',#478213,#109890); #71972=LINE('',#478215,#109891); #71973=LINE('',#478216,#109892); #71974=LINE('',#478219,#109893); #71975=LINE('',#478221,#109894); #71976=LINE('',#478222,#109895); #71977=LINE('',#478225,#109896); #71978=LINE('',#478227,#109897); #71979=LINE('',#478228,#109898); #71980=LINE('',#478231,#109899); #71981=LINE('',#478233,#109900); #71982=LINE('',#478234,#109901); #71983=LINE('',#478237,#109902); #71984=LINE('',#478239,#109903); #71985=LINE('',#478240,#109904); #71986=LINE('',#478243,#109905); #71987=LINE('',#478245,#109906); #71988=LINE('',#478246,#109907); #71989=LINE('',#478248,#109908); #71990=LINE('',#478249,#109909); #71991=LINE('',#478255,#109910); #71992=LINE('',#478257,#109911); #71993=LINE('',#478259,#109912); #71994=LINE('',#478260,#109913); #71995=LINE('',#478263,#109914); #71996=LINE('',#478265,#109915); #71997=LINE('',#478266,#109916); #71998=LINE('',#478269,#109917); #71999=LINE('',#478271,#109918); #72000=LINE('',#478272,#109919); #72001=LINE('',#478275,#109920); #72002=LINE('',#478277,#109921); #72003=LINE('',#478278,#109922); #72004=LINE('',#478281,#109923); #72005=LINE('',#478283,#109924); #72006=LINE('',#478284,#109925); #72007=LINE('',#478287,#109926); #72008=LINE('',#478289,#109927); #72009=LINE('',#478290,#109928); #72010=LINE('',#478293,#109929); #72011=LINE('',#478295,#109930); #72012=LINE('',#478296,#109931); #72013=LINE('',#478299,#109932); #72014=LINE('',#478301,#109933); #72015=LINE('',#478302,#109934); #72016=LINE('',#478305,#109935); #72017=LINE('',#478307,#109936); #72018=LINE('',#478308,#109937); #72019=LINE('',#478311,#109938); #72020=LINE('',#478313,#109939); #72021=LINE('',#478314,#109940); #72022=LINE('',#478317,#109941); #72023=LINE('',#478319,#109942); #72024=LINE('',#478320,#109943); #72025=LINE('',#478323,#109944); #72026=LINE('',#478325,#109945); #72027=LINE('',#478326,#109946); #72028=LINE('',#478329,#109947); #72029=LINE('',#478331,#109948); #72030=LINE('',#478332,#109949); #72031=LINE('',#478335,#109950); #72032=LINE('',#478337,#109951); #72033=LINE('',#478338,#109952); #72034=LINE('',#478341,#109953); #72035=LINE('',#478343,#109954); #72036=LINE('',#478344,#109955); #72037=LINE('',#478347,#109956); #72038=LINE('',#478349,#109957); #72039=LINE('',#478350,#109958); #72040=LINE('',#478353,#109959); #72041=LINE('',#478355,#109960); #72042=LINE('',#478356,#109961); #72043=LINE('',#478359,#109962); #72044=LINE('',#478361,#109963); #72045=LINE('',#478362,#109964); #72046=LINE('',#478365,#109965); #72047=LINE('',#478367,#109966); #72048=LINE('',#478368,#109967); #72049=LINE('',#478370,#109968); #72050=LINE('',#478371,#109969); #72051=LINE('',#478377,#109970); #72052=LINE('',#478379,#109971); #72053=LINE('',#478381,#109972); #72054=LINE('',#478382,#109973); #72055=LINE('',#478385,#109974); #72056=LINE('',#478387,#109975); #72057=LINE('',#478388,#109976); #72058=LINE('',#478391,#109977); #72059=LINE('',#478393,#109978); #72060=LINE('',#478394,#109979); #72061=LINE('',#478397,#109980); #72062=LINE('',#478399,#109981); #72063=LINE('',#478400,#109982); #72064=LINE('',#478403,#109983); #72065=LINE('',#478405,#109984); #72066=LINE('',#478406,#109985); #72067=LINE('',#478409,#109986); #72068=LINE('',#478411,#109987); #72069=LINE('',#478412,#109988); #72070=LINE('',#478415,#109989); #72071=LINE('',#478417,#109990); #72072=LINE('',#478418,#109991); #72073=LINE('',#478421,#109992); #72074=LINE('',#478423,#109993); #72075=LINE('',#478424,#109994); #72076=LINE('',#478427,#109995); #72077=LINE('',#478429,#109996); #72078=LINE('',#478430,#109997); #72079=LINE('',#478433,#109998); #72080=LINE('',#478435,#109999); #72081=LINE('',#478436,#110000); #72082=LINE('',#478439,#110001); #72083=LINE('',#478441,#110002); #72084=LINE('',#478442,#110003); #72085=LINE('',#478445,#110004); #72086=LINE('',#478447,#110005); #72087=LINE('',#478448,#110006); #72088=LINE('',#478451,#110007); #72089=LINE('',#478453,#110008); #72090=LINE('',#478454,#110009); #72091=LINE('',#478457,#110010); #72092=LINE('',#478459,#110011); #72093=LINE('',#478460,#110012); #72094=LINE('',#478463,#110013); #72095=LINE('',#478465,#110014); #72096=LINE('',#478466,#110015); #72097=LINE('',#478469,#110016); #72098=LINE('',#478471,#110017); #72099=LINE('',#478472,#110018); #72100=LINE('',#478475,#110019); #72101=LINE('',#478477,#110020); #72102=LINE('',#478478,#110021); #72103=LINE('',#478481,#110022); #72104=LINE('',#478483,#110023); #72105=LINE('',#478484,#110024); #72106=LINE('',#478487,#110025); #72107=LINE('',#478489,#110026); #72108=LINE('',#478490,#110027); #72109=LINE('',#478493,#110028); #72110=LINE('',#478495,#110029); #72111=LINE('',#478496,#110030); #72112=LINE('',#478499,#110031); #72113=LINE('',#478501,#110032); #72114=LINE('',#478502,#110033); #72115=LINE('',#478505,#110034); #72116=LINE('',#478507,#110035); #72117=LINE('',#478508,#110036); #72118=LINE('',#478511,#110037); #72119=LINE('',#478513,#110038); #72120=LINE('',#478514,#110039); #72121=LINE('',#478517,#110040); #72122=LINE('',#478519,#110041); #72123=LINE('',#478520,#110042); #72124=LINE('',#478523,#110043); #72125=LINE('',#478525,#110044); #72126=LINE('',#478526,#110045); #72127=LINE('',#478529,#110046); #72128=LINE('',#478531,#110047); #72129=LINE('',#478532,#110048); #72130=LINE('',#478535,#110049); #72131=LINE('',#478537,#110050); #72132=LINE('',#478538,#110051); #72133=LINE('',#478541,#110052); #72134=LINE('',#478543,#110053); #72135=LINE('',#478544,#110054); #72136=LINE('',#478547,#110055); #72137=LINE('',#478549,#110056); #72138=LINE('',#478550,#110057); #72139=LINE('',#478553,#110058); #72140=LINE('',#478555,#110059); #72141=LINE('',#478556,#110060); #72142=LINE('',#478558,#110061); #72143=LINE('',#478559,#110062); #72144=LINE('',#478565,#110063); #72145=LINE('',#478567,#110064); #72146=LINE('',#478569,#110065); #72147=LINE('',#478570,#110066); #72148=LINE('',#478573,#110067); #72149=LINE('',#478575,#110068); #72150=LINE('',#478576,#110069); #72151=LINE('',#478579,#110070); #72152=LINE('',#478581,#110071); #72153=LINE('',#478582,#110072); #72154=LINE('',#478585,#110073); #72155=LINE('',#478587,#110074); #72156=LINE('',#478588,#110075); #72157=LINE('',#478591,#110076); #72158=LINE('',#478593,#110077); #72159=LINE('',#478594,#110078); #72160=LINE('',#478597,#110079); #72161=LINE('',#478599,#110080); #72162=LINE('',#478600,#110081); #72163=LINE('',#478603,#110082); #72164=LINE('',#478605,#110083); #72165=LINE('',#478606,#110084); #72166=LINE('',#478609,#110085); #72167=LINE('',#478611,#110086); #72168=LINE('',#478612,#110087); #72169=LINE('',#478615,#110088); #72170=LINE('',#478617,#110089); #72171=LINE('',#478618,#110090); #72172=LINE('',#478621,#110091); #72173=LINE('',#478623,#110092); #72174=LINE('',#478624,#110093); #72175=LINE('',#478627,#110094); #72176=LINE('',#478629,#110095); #72177=LINE('',#478630,#110096); #72178=LINE('',#478633,#110097); #72179=LINE('',#478635,#110098); #72180=LINE('',#478636,#110099); #72181=LINE('',#478639,#110100); #72182=LINE('',#478641,#110101); #72183=LINE('',#478642,#110102); #72184=LINE('',#478645,#110103); #72185=LINE('',#478647,#110104); #72186=LINE('',#478648,#110105); #72187=LINE('',#478651,#110106); #72188=LINE('',#478653,#110107); #72189=LINE('',#478654,#110108); #72190=LINE('',#478657,#110109); #72191=LINE('',#478659,#110110); #72192=LINE('',#478660,#110111); #72193=LINE('',#478663,#110112); #72194=LINE('',#478665,#110113); #72195=LINE('',#478666,#110114); #72196=LINE('',#478669,#110115); #72197=LINE('',#478671,#110116); #72198=LINE('',#478672,#110117); #72199=LINE('',#478675,#110118); #72200=LINE('',#478677,#110119); #72201=LINE('',#478678,#110120); #72202=LINE('',#478680,#110121); #72203=LINE('',#478681,#110122); #72204=LINE('',#478687,#110123); #72205=LINE('',#478689,#110124); #72206=LINE('',#478691,#110125); #72207=LINE('',#478692,#110126); #72208=LINE('',#478695,#110127); #72209=LINE('',#478697,#110128); #72210=LINE('',#478698,#110129); #72211=LINE('',#478701,#110130); #72212=LINE('',#478703,#110131); #72213=LINE('',#478704,#110132); #72214=LINE('',#478707,#110133); #72215=LINE('',#478709,#110134); #72216=LINE('',#478710,#110135); #72217=LINE('',#478713,#110136); #72218=LINE('',#478715,#110137); #72219=LINE('',#478716,#110138); #72220=LINE('',#478719,#110139); #72221=LINE('',#478721,#110140); #72222=LINE('',#478722,#110141); #72223=LINE('',#478725,#110142); #72224=LINE('',#478727,#110143); #72225=LINE('',#478728,#110144); #72226=LINE('',#478731,#110145); #72227=LINE('',#478733,#110146); #72228=LINE('',#478734,#110147); #72229=LINE('',#478737,#110148); #72230=LINE('',#478739,#110149); #72231=LINE('',#478740,#110150); #72232=LINE('',#478743,#110151); #72233=LINE('',#478745,#110152); #72234=LINE('',#478746,#110153); #72235=LINE('',#478749,#110154); #72236=LINE('',#478751,#110155); #72237=LINE('',#478752,#110156); #72238=LINE('',#478755,#110157); #72239=LINE('',#478757,#110158); #72240=LINE('',#478758,#110159); #72241=LINE('',#478761,#110160); #72242=LINE('',#478763,#110161); #72243=LINE('',#478764,#110162); #72244=LINE('',#478767,#110163); #72245=LINE('',#478769,#110164); #72246=LINE('',#478770,#110165); #72247=LINE('',#478773,#110166); #72248=LINE('',#478775,#110167); #72249=LINE('',#478776,#110168); #72250=LINE('',#478779,#110169); #72251=LINE('',#478781,#110170); #72252=LINE('',#478782,#110171); #72253=LINE('',#478785,#110172); #72254=LINE('',#478787,#110173); #72255=LINE('',#478788,#110174); #72256=LINE('',#478791,#110175); #72257=LINE('',#478793,#110176); #72258=LINE('',#478794,#110177); #72259=LINE('',#478797,#110178); #72260=LINE('',#478799,#110179); #72261=LINE('',#478800,#110180); #72262=LINE('',#478803,#110181); #72263=LINE('',#478805,#110182); #72264=LINE('',#478806,#110183); #72265=LINE('',#478809,#110184); #72266=LINE('',#478811,#110185); #72267=LINE('',#478812,#110186); #72268=LINE('',#478815,#110187); #72269=LINE('',#478817,#110188); #72270=LINE('',#478818,#110189); #72271=LINE('',#478821,#110190); #72272=LINE('',#478823,#110191); #72273=LINE('',#478824,#110192); #72274=LINE('',#478827,#110193); #72275=LINE('',#478829,#110194); #72276=LINE('',#478830,#110195); #72277=LINE('',#478833,#110196); #72278=LINE('',#478835,#110197); #72279=LINE('',#478836,#110198); #72280=LINE('',#478839,#110199); #72281=LINE('',#478841,#110200); #72282=LINE('',#478842,#110201); #72283=LINE('',#478845,#110202); #72284=LINE('',#478847,#110203); #72285=LINE('',#478848,#110204); #72286=LINE('',#478851,#110205); #72287=LINE('',#478853,#110206); #72288=LINE('',#478854,#110207); #72289=LINE('',#478857,#110208); #72290=LINE('',#478859,#110209); #72291=LINE('',#478860,#110210); #72292=LINE('',#478863,#110211); #72293=LINE('',#478865,#110212); #72294=LINE('',#478866,#110213); #72295=LINE('',#478868,#110214); #72296=LINE('',#478869,#110215); #72297=LINE('',#478875,#110216); #72298=LINE('',#478877,#110217); #72299=LINE('',#478879,#110218); #72300=LINE('',#478880,#110219); #72301=LINE('',#478883,#110220); #72302=LINE('',#478885,#110221); #72303=LINE('',#478886,#110222); #72304=LINE('',#478889,#110223); #72305=LINE('',#478891,#110224); #72306=LINE('',#478892,#110225); #72307=LINE('',#478895,#110226); #72308=LINE('',#478897,#110227); #72309=LINE('',#478898,#110228); #72310=LINE('',#478901,#110229); #72311=LINE('',#478903,#110230); #72312=LINE('',#478904,#110231); #72313=LINE('',#478907,#110232); #72314=LINE('',#478909,#110233); #72315=LINE('',#478910,#110234); #72316=LINE('',#478913,#110235); #72317=LINE('',#478915,#110236); #72318=LINE('',#478916,#110237); #72319=LINE('',#478919,#110238); #72320=LINE('',#478921,#110239); #72321=LINE('',#478922,#110240); #72322=LINE('',#478925,#110241); #72323=LINE('',#478927,#110242); #72324=LINE('',#478928,#110243); #72325=LINE('',#478931,#110244); #72326=LINE('',#478933,#110245); #72327=LINE('',#478934,#110246); #72328=LINE('',#478937,#110247); #72329=LINE('',#478939,#110248); #72330=LINE('',#478940,#110249); #72331=LINE('',#478943,#110250); #72332=LINE('',#478945,#110251); #72333=LINE('',#478946,#110252); #72334=LINE('',#478949,#110253); #72335=LINE('',#478951,#110254); #72336=LINE('',#478952,#110255); #72337=LINE('',#478955,#110256); #72338=LINE('',#478957,#110257); #72339=LINE('',#478958,#110258); #72340=LINE('',#478961,#110259); #72341=LINE('',#478963,#110260); #72342=LINE('',#478964,#110261); #72343=LINE('',#478967,#110262); #72344=LINE('',#478969,#110263); #72345=LINE('',#478970,#110264); #72346=LINE('',#478973,#110265); #72347=LINE('',#478975,#110266); #72348=LINE('',#478976,#110267); #72349=LINE('',#478979,#110268); #72350=LINE('',#478981,#110269); #72351=LINE('',#478982,#110270); #72352=LINE('',#478985,#110271); #72353=LINE('',#478987,#110272); #72354=LINE('',#478988,#110273); #72355=LINE('',#478991,#110274); #72356=LINE('',#478993,#110275); #72357=LINE('',#478994,#110276); #72358=LINE('',#478996,#110277); #72359=LINE('',#478997,#110278); #72360=LINE('',#479003,#110279); #72361=LINE('',#479005,#110280); #72362=LINE('',#479007,#110281); #72363=LINE('',#479008,#110282); #72364=LINE('',#479011,#110283); #72365=LINE('',#479013,#110284); #72366=LINE('',#479014,#110285); #72367=LINE('',#479017,#110286); #72368=LINE('',#479019,#110287); #72369=LINE('',#479020,#110288); #72370=LINE('',#479023,#110289); #72371=LINE('',#479025,#110290); #72372=LINE('',#479026,#110291); #72373=LINE('',#479029,#110292); #72374=LINE('',#479031,#110293); #72375=LINE('',#479032,#110294); #72376=LINE('',#479035,#110295); #72377=LINE('',#479037,#110296); #72378=LINE('',#479038,#110297); #72379=LINE('',#479041,#110298); #72380=LINE('',#479043,#110299); #72381=LINE('',#479044,#110300); #72382=LINE('',#479047,#110301); #72383=LINE('',#479049,#110302); #72384=LINE('',#479050,#110303); #72385=LINE('',#479053,#110304); #72386=LINE('',#479055,#110305); #72387=LINE('',#479056,#110306); #72388=LINE('',#479059,#110307); #72389=LINE('',#479061,#110308); #72390=LINE('',#479062,#110309); #72391=LINE('',#479065,#110310); #72392=LINE('',#479067,#110311); #72393=LINE('',#479068,#110312); #72394=LINE('',#479071,#110313); #72395=LINE('',#479073,#110314); #72396=LINE('',#479074,#110315); #72397=LINE('',#479077,#110316); #72398=LINE('',#479079,#110317); #72399=LINE('',#479080,#110318); #72400=LINE('',#479083,#110319); #72401=LINE('',#479085,#110320); #72402=LINE('',#479086,#110321); #72403=LINE('',#479089,#110322); #72404=LINE('',#479091,#110323); #72405=LINE('',#479092,#110324); #72406=LINE('',#479095,#110325); #72407=LINE('',#479097,#110326); #72408=LINE('',#479098,#110327); #72409=LINE('',#479101,#110328); #72410=LINE('',#479103,#110329); #72411=LINE('',#479104,#110330); #72412=LINE('',#479107,#110331); #72413=LINE('',#479109,#110332); #72414=LINE('',#479110,#110333); #72415=LINE('',#479113,#110334); #72416=LINE('',#479115,#110335); #72417=LINE('',#479116,#110336); #72418=LINE('',#479119,#110337); #72419=LINE('',#479121,#110338); #72420=LINE('',#479122,#110339); #72421=LINE('',#479125,#110340); #72422=LINE('',#479127,#110341); #72423=LINE('',#479128,#110342); #72424=LINE('',#479131,#110343); #72425=LINE('',#479133,#110344); #72426=LINE('',#479134,#110345); #72427=LINE('',#479137,#110346); #72428=LINE('',#479139,#110347); #72429=LINE('',#479140,#110348); #72430=LINE('',#479143,#110349); #72431=LINE('',#479145,#110350); #72432=LINE('',#479146,#110351); #72433=LINE('',#479149,#110352); #72434=LINE('',#479151,#110353); #72435=LINE('',#479152,#110354); #72436=LINE('',#479155,#110355); #72437=LINE('',#479157,#110356); #72438=LINE('',#479158,#110357); #72439=LINE('',#479161,#110358); #72440=LINE('',#479163,#110359); #72441=LINE('',#479164,#110360); #72442=LINE('',#479167,#110361); #72443=LINE('',#479169,#110362); #72444=LINE('',#479170,#110363); #72445=LINE('',#479173,#110364); #72446=LINE('',#479175,#110365); #72447=LINE('',#479176,#110366); #72448=LINE('',#479179,#110367); #72449=LINE('',#479181,#110368); #72450=LINE('',#479182,#110369); #72451=LINE('',#479185,#110370); #72452=LINE('',#479187,#110371); #72453=LINE('',#479188,#110372); #72454=LINE('',#479191,#110373); #72455=LINE('',#479193,#110374); #72456=LINE('',#479194,#110375); #72457=LINE('',#479197,#110376); #72458=LINE('',#479199,#110377); #72459=LINE('',#479200,#110378); #72460=LINE('',#479203,#110379); #72461=LINE('',#479205,#110380); #72462=LINE('',#479206,#110381); #72463=LINE('',#479209,#110382); #72464=LINE('',#479211,#110383); #72465=LINE('',#479212,#110384); #72466=LINE('',#479215,#110385); #72467=LINE('',#479217,#110386); #72468=LINE('',#479218,#110387); #72469=LINE('',#479221,#110388); #72470=LINE('',#479223,#110389); #72471=LINE('',#479224,#110390); #72472=LINE('',#479227,#110391); #72473=LINE('',#479229,#110392); #72474=LINE('',#479230,#110393); #72475=LINE('',#479233,#110394); #72476=LINE('',#479235,#110395); #72477=LINE('',#479236,#110396); #72478=LINE('',#479238,#110397); #72479=LINE('',#479239,#110398); #72480=LINE('',#479245,#110399); #72481=LINE('',#479247,#110400); #72482=LINE('',#479249,#110401); #72483=LINE('',#479250,#110402); #72484=LINE('',#479253,#110403); #72485=LINE('',#479255,#110404); #72486=LINE('',#479256,#110405); #72487=LINE('',#479259,#110406); #72488=LINE('',#479261,#110407); #72489=LINE('',#479262,#110408); #72490=LINE('',#479265,#110409); #72491=LINE('',#479267,#110410); #72492=LINE('',#479268,#110411); #72493=LINE('',#479271,#110412); #72494=LINE('',#479273,#110413); #72495=LINE('',#479274,#110414); #72496=LINE('',#479277,#110415); #72497=LINE('',#479279,#110416); #72498=LINE('',#479280,#110417); #72499=LINE('',#479283,#110418); #72500=LINE('',#479285,#110419); #72501=LINE('',#479286,#110420); #72502=LINE('',#479289,#110421); #72503=LINE('',#479291,#110422); #72504=LINE('',#479292,#110423); #72505=LINE('',#479295,#110424); #72506=LINE('',#479297,#110425); #72507=LINE('',#479298,#110426); #72508=LINE('',#479301,#110427); #72509=LINE('',#479303,#110428); #72510=LINE('',#479304,#110429); #72511=LINE('',#479307,#110430); #72512=LINE('',#479309,#110431); #72513=LINE('',#479310,#110432); #72514=LINE('',#479313,#110433); #72515=LINE('',#479315,#110434); #72516=LINE('',#479316,#110435); #72517=LINE('',#479319,#110436); #72518=LINE('',#479321,#110437); #72519=LINE('',#479322,#110438); #72520=LINE('',#479325,#110439); #72521=LINE('',#479327,#110440); #72522=LINE('',#479328,#110441); #72523=LINE('',#479331,#110442); #72524=LINE('',#479333,#110443); #72525=LINE('',#479334,#110444); #72526=LINE('',#479337,#110445); #72527=LINE('',#479339,#110446); #72528=LINE('',#479340,#110447); #72529=LINE('',#479343,#110448); #72530=LINE('',#479345,#110449); #72531=LINE('',#479346,#110450); #72532=LINE('',#479349,#110451); #72533=LINE('',#479351,#110452); #72534=LINE('',#479352,#110453); #72535=LINE('',#479355,#110454); #72536=LINE('',#479357,#110455); #72537=LINE('',#479358,#110456); #72538=LINE('',#479360,#110457); #72539=LINE('',#479361,#110458); #72540=LINE('',#479367,#110459); #72541=LINE('',#479369,#110460); #72542=LINE('',#479371,#110461); #72543=LINE('',#479372,#110462); #72544=LINE('',#479375,#110463); #72545=LINE('',#479377,#110464); #72546=LINE('',#479378,#110465); #72547=LINE('',#479381,#110466); #72548=LINE('',#479383,#110467); #72549=LINE('',#479384,#110468); #72550=LINE('',#479387,#110469); #72551=LINE('',#479389,#110470); #72552=LINE('',#479390,#110471); #72553=LINE('',#479393,#110472); #72554=LINE('',#479395,#110473); #72555=LINE('',#479396,#110474); #72556=LINE('',#479399,#110475); #72557=LINE('',#479401,#110476); #72558=LINE('',#479402,#110477); #72559=LINE('',#479405,#110478); #72560=LINE('',#479407,#110479); #72561=LINE('',#479408,#110480); #72562=LINE('',#479411,#110481); #72563=LINE('',#479413,#110482); #72564=LINE('',#479414,#110483); #72565=LINE('',#479417,#110484); #72566=LINE('',#479419,#110485); #72567=LINE('',#479420,#110486); #72568=LINE('',#479423,#110487); #72569=LINE('',#479425,#110488); #72570=LINE('',#479426,#110489); #72571=LINE('',#479429,#110490); #72572=LINE('',#479431,#110491); #72573=LINE('',#479432,#110492); #72574=LINE('',#479435,#110493); #72575=LINE('',#479437,#110494); #72576=LINE('',#479438,#110495); #72577=LINE('',#479441,#110496); #72578=LINE('',#479443,#110497); #72579=LINE('',#479444,#110498); #72580=LINE('',#479447,#110499); #72581=LINE('',#479449,#110500); #72582=LINE('',#479450,#110501); #72583=LINE('',#479453,#110502); #72584=LINE('',#479455,#110503); #72585=LINE('',#479456,#110504); #72586=LINE('',#479459,#110505); #72587=LINE('',#479461,#110506); #72588=LINE('',#479462,#110507); #72589=LINE('',#479465,#110508); #72590=LINE('',#479467,#110509); #72591=LINE('',#479468,#110510); #72592=LINE('',#479471,#110511); #72593=LINE('',#479473,#110512); #72594=LINE('',#479474,#110513); #72595=LINE('',#479477,#110514); #72596=LINE('',#479479,#110515); #72597=LINE('',#479480,#110516); #72598=LINE('',#479483,#110517); #72599=LINE('',#479485,#110518); #72600=LINE('',#479486,#110519); #72601=LINE('',#479489,#110520); #72602=LINE('',#479491,#110521); #72603=LINE('',#479492,#110522); #72604=LINE('',#479495,#110523); #72605=LINE('',#479497,#110524); #72606=LINE('',#479498,#110525); #72607=LINE('',#479501,#110526); #72608=LINE('',#479503,#110527); #72609=LINE('',#479504,#110528); #72610=LINE('',#479507,#110529); #72611=LINE('',#479509,#110530); #72612=LINE('',#479510,#110531); #72613=LINE('',#479513,#110532); #72614=LINE('',#479515,#110533); #72615=LINE('',#479516,#110534); #72616=LINE('',#479519,#110535); #72617=LINE('',#479521,#110536); #72618=LINE('',#479522,#110537); #72619=LINE('',#479525,#110538); #72620=LINE('',#479527,#110539); #72621=LINE('',#479528,#110540); #72622=LINE('',#479531,#110541); #72623=LINE('',#479533,#110542); #72624=LINE('',#479534,#110543); #72625=LINE('',#479537,#110544); #72626=LINE('',#479539,#110545); #72627=LINE('',#479540,#110546); #72628=LINE('',#479543,#110547); #72629=LINE('',#479545,#110548); #72630=LINE('',#479546,#110549); #72631=LINE('',#479549,#110550); #72632=LINE('',#479551,#110551); #72633=LINE('',#479552,#110552); #72634=LINE('',#479555,#110553); #72635=LINE('',#479557,#110554); #72636=LINE('',#479558,#110555); #72637=LINE('',#479561,#110556); #72638=LINE('',#479563,#110557); #72639=LINE('',#479564,#110558); #72640=LINE('',#479567,#110559); #72641=LINE('',#479569,#110560); #72642=LINE('',#479570,#110561); #72643=LINE('',#479573,#110562); #72644=LINE('',#479575,#110563); #72645=LINE('',#479576,#110564); #72646=LINE('',#479579,#110565); #72647=LINE('',#479581,#110566); #72648=LINE('',#479582,#110567); #72649=LINE('',#479585,#110568); #72650=LINE('',#479587,#110569); #72651=LINE('',#479588,#110570); #72652=LINE('',#479591,#110571); #72653=LINE('',#479593,#110572); #72654=LINE('',#479594,#110573); #72655=LINE('',#479597,#110574); #72656=LINE('',#479599,#110575); #72657=LINE('',#479600,#110576); #72658=LINE('',#479602,#110577); #72659=LINE('',#479603,#110578); #72660=LINE('',#479609,#110579); #72661=LINE('',#479611,#110580); #72662=LINE('',#479613,#110581); #72663=LINE('',#479614,#110582); #72664=LINE('',#479617,#110583); #72665=LINE('',#479619,#110584); #72666=LINE('',#479620,#110585); #72667=LINE('',#479623,#110586); #72668=LINE('',#479625,#110587); #72669=LINE('',#479626,#110588); #72670=LINE('',#479629,#110589); #72671=LINE('',#479631,#110590); #72672=LINE('',#479632,#110591); #72673=LINE('',#479635,#110592); #72674=LINE('',#479637,#110593); #72675=LINE('',#479638,#110594); #72676=LINE('',#479641,#110595); #72677=LINE('',#479643,#110596); #72678=LINE('',#479644,#110597); #72679=LINE('',#479647,#110598); #72680=LINE('',#479649,#110599); #72681=LINE('',#479650,#110600); #72682=LINE('',#479653,#110601); #72683=LINE('',#479655,#110602); #72684=LINE('',#479656,#110603); #72685=LINE('',#479659,#110604); #72686=LINE('',#479661,#110605); #72687=LINE('',#479662,#110606); #72688=LINE('',#479665,#110607); #72689=LINE('',#479667,#110608); #72690=LINE('',#479668,#110609); #72691=LINE('',#479671,#110610); #72692=LINE('',#479673,#110611); #72693=LINE('',#479674,#110612); #72694=LINE('',#479677,#110613); #72695=LINE('',#479679,#110614); #72696=LINE('',#479680,#110615); #72697=LINE('',#479683,#110616); #72698=LINE('',#479685,#110617); #72699=LINE('',#479686,#110618); #72700=LINE('',#479689,#110619); #72701=LINE('',#479691,#110620); #72702=LINE('',#479692,#110621); #72703=LINE('',#479695,#110622); #72704=LINE('',#479697,#110623); #72705=LINE('',#479698,#110624); #72706=LINE('',#479701,#110625); #72707=LINE('',#479703,#110626); #72708=LINE('',#479704,#110627); #72709=LINE('',#479707,#110628); #72710=LINE('',#479709,#110629); #72711=LINE('',#479710,#110630); #72712=LINE('',#479713,#110631); #72713=LINE('',#479715,#110632); #72714=LINE('',#479716,#110633); #72715=LINE('',#479719,#110634); #72716=LINE('',#479721,#110635); #72717=LINE('',#479722,#110636); #72718=LINE('',#479724,#110637); #72719=LINE('',#479725,#110638); #72720=LINE('',#479731,#110639); #72721=LINE('',#479733,#110640); #72722=LINE('',#479735,#110641); #72723=LINE('',#479736,#110642); #72724=LINE('',#479739,#110643); #72725=LINE('',#479741,#110644); #72726=LINE('',#479742,#110645); #72727=LINE('',#479745,#110646); #72728=LINE('',#479747,#110647); #72729=LINE('',#479748,#110648); #72730=LINE('',#479751,#110649); #72731=LINE('',#479753,#110650); #72732=LINE('',#479754,#110651); #72733=LINE('',#479757,#110652); #72734=LINE('',#479759,#110653); #72735=LINE('',#479760,#110654); #72736=LINE('',#479763,#110655); #72737=LINE('',#479765,#110656); #72738=LINE('',#479766,#110657); #72739=LINE('',#479769,#110658); #72740=LINE('',#479771,#110659); #72741=LINE('',#479772,#110660); #72742=LINE('',#479775,#110661); #72743=LINE('',#479777,#110662); #72744=LINE('',#479778,#110663); #72745=LINE('',#479781,#110664); #72746=LINE('',#479783,#110665); #72747=LINE('',#479784,#110666); #72748=LINE('',#479787,#110667); #72749=LINE('',#479789,#110668); #72750=LINE('',#479790,#110669); #72751=LINE('',#479793,#110670); #72752=LINE('',#479795,#110671); #72753=LINE('',#479796,#110672); #72754=LINE('',#479799,#110673); #72755=LINE('',#479801,#110674); #72756=LINE('',#479802,#110675); #72757=LINE('',#479805,#110676); #72758=LINE('',#479807,#110677); #72759=LINE('',#479808,#110678); #72760=LINE('',#479811,#110679); #72761=LINE('',#479813,#110680); #72762=LINE('',#479814,#110681); #72763=LINE('',#479817,#110682); #72764=LINE('',#479819,#110683); #72765=LINE('',#479820,#110684); #72766=LINE('',#479823,#110685); #72767=LINE('',#479825,#110686); #72768=LINE('',#479826,#110687); #72769=LINE('',#479829,#110688); #72770=LINE('',#479831,#110689); #72771=LINE('',#479832,#110690); #72772=LINE('',#479835,#110691); #72773=LINE('',#479837,#110692); #72774=LINE('',#479838,#110693); #72775=LINE('',#479841,#110694); #72776=LINE('',#479843,#110695); #72777=LINE('',#479844,#110696); #72778=LINE('',#479846,#110697); #72779=LINE('',#479847,#110698); #72780=LINE('',#479854,#110699); #72781=LINE('',#479859,#110700); #72782=LINE('',#479861,#110701); #72783=LINE('',#479863,#110702); #72784=LINE('',#479864,#110703); #72785=LINE('',#479870,#110704); #72786=LINE('',#479873,#110705); #72787=LINE('',#479875,#110706); #72788=LINE('',#479876,#110707); #72789=LINE('',#479883,#110708); #72790=LINE('',#479885,#110709); #72791=LINE('',#479887,#110710); #72792=LINE('',#479888,#110711); #72793=LINE('',#479891,#110712); #72794=LINE('',#479893,#110713); #72795=LINE('',#479894,#110714); #72796=LINE('',#479897,#110715); #72797=LINE('',#479899,#110716); #72798=LINE('',#479900,#110717); #72799=LINE('',#479903,#110718); #72800=LINE('',#479905,#110719); #72801=LINE('',#479906,#110720); #72802=LINE('',#479909,#110721); #72803=LINE('',#479911,#110722); #72804=LINE('',#479912,#110723); #72805=LINE('',#479915,#110724); #72806=LINE('',#479917,#110725); #72807=LINE('',#479918,#110726); #72808=LINE('',#479921,#110727); #72809=LINE('',#479923,#110728); #72810=LINE('',#479924,#110729); #72811=LINE('',#479927,#110730); #72812=LINE('',#479929,#110731); #72813=LINE('',#479930,#110732); #72814=LINE('',#479933,#110733); #72815=LINE('',#479935,#110734); #72816=LINE('',#479936,#110735); #72817=LINE('',#479939,#110736); #72818=LINE('',#479941,#110737); #72819=LINE('',#479942,#110738); #72820=LINE('',#479945,#110739); #72821=LINE('',#479947,#110740); #72822=LINE('',#479948,#110741); #72823=LINE('',#479951,#110742); #72824=LINE('',#479953,#110743); #72825=LINE('',#479954,#110744); #72826=LINE('',#479957,#110745); #72827=LINE('',#479959,#110746); #72828=LINE('',#479960,#110747); #72829=LINE('',#479963,#110748); #72830=LINE('',#479965,#110749); #72831=LINE('',#479966,#110750); #72832=LINE('',#479969,#110751); #72833=LINE('',#479971,#110752); #72834=LINE('',#479972,#110753); #72835=LINE('',#479975,#110754); #72836=LINE('',#479977,#110755); #72837=LINE('',#479978,#110756); #72838=LINE('',#479981,#110757); #72839=LINE('',#479983,#110758); #72840=LINE('',#479984,#110759); #72841=LINE('',#479987,#110760); #72842=LINE('',#479989,#110761); #72843=LINE('',#479990,#110762); #72844=LINE('',#479993,#110763); #72845=LINE('',#479995,#110764); #72846=LINE('',#479996,#110765); #72847=LINE('',#479999,#110766); #72848=LINE('',#480001,#110767); #72849=LINE('',#480002,#110768); #72850=LINE('',#480005,#110769); #72851=LINE('',#480007,#110770); #72852=LINE('',#480008,#110771); #72853=LINE('',#480011,#110772); #72854=LINE('',#480013,#110773); #72855=LINE('',#480014,#110774); #72856=LINE('',#480017,#110775); #72857=LINE('',#480019,#110776); #72858=LINE('',#480020,#110777); #72859=LINE('',#480023,#110778); #72860=LINE('',#480025,#110779); #72861=LINE('',#480026,#110780); #72862=LINE('',#480029,#110781); #72863=LINE('',#480031,#110782); #72864=LINE('',#480032,#110783); #72865=LINE('',#480035,#110784); #72866=LINE('',#480037,#110785); #72867=LINE('',#480038,#110786); #72868=LINE('',#480041,#110787); #72869=LINE('',#480043,#110788); #72870=LINE('',#480044,#110789); #72871=LINE('',#480047,#110790); #72872=LINE('',#480049,#110791); #72873=LINE('',#480050,#110792); #72874=LINE('',#480053,#110793); #72875=LINE('',#480055,#110794); #72876=LINE('',#480056,#110795); #72877=LINE('',#480059,#110796); #72878=LINE('',#480061,#110797); #72879=LINE('',#480062,#110798); #72880=LINE('',#480065,#110799); #72881=LINE('',#480067,#110800); #72882=LINE('',#480068,#110801); #72883=LINE('',#480071,#110802); #72884=LINE('',#480073,#110803); #72885=LINE('',#480074,#110804); #72886=LINE('',#480077,#110805); #72887=LINE('',#480079,#110806); #72888=LINE('',#480080,#110807); #72889=LINE('',#480083,#110808); #72890=LINE('',#480085,#110809); #72891=LINE('',#480086,#110810); #72892=LINE('',#480089,#110811); #72893=LINE('',#480091,#110812); #72894=LINE('',#480092,#110813); #72895=LINE('',#480095,#110814); #72896=LINE('',#480097,#110815); #72897=LINE('',#480098,#110816); #72898=LINE('',#480101,#110817); #72899=LINE('',#480103,#110818); #72900=LINE('',#480104,#110819); #72901=LINE('',#480107,#110820); #72902=LINE('',#480109,#110821); #72903=LINE('',#480110,#110822); #72904=LINE('',#480113,#110823); #72905=LINE('',#480115,#110824); #72906=LINE('',#480116,#110825); #72907=LINE('',#480119,#110826); #72908=LINE('',#480121,#110827); #72909=LINE('',#480122,#110828); #72910=LINE('',#480125,#110829); #72911=LINE('',#480127,#110830); #72912=LINE('',#480128,#110831); #72913=LINE('',#480131,#110832); #72914=LINE('',#480133,#110833); #72915=LINE('',#480134,#110834); #72916=LINE('',#480137,#110835); #72917=LINE('',#480139,#110836); #72918=LINE('',#480140,#110837); #72919=LINE('',#480143,#110838); #72920=LINE('',#480145,#110839); #72921=LINE('',#480146,#110840); #72922=LINE('',#480149,#110841); #72923=LINE('',#480151,#110842); #72924=LINE('',#480152,#110843); #72925=LINE('',#480155,#110844); #72926=LINE('',#480157,#110845); #72927=LINE('',#480158,#110846); #72928=LINE('',#480161,#110847); #72929=LINE('',#480163,#110848); #72930=LINE('',#480164,#110849); #72931=LINE('',#480167,#110850); #72932=LINE('',#480169,#110851); #72933=LINE('',#480170,#110852); #72934=LINE('',#480173,#110853); #72935=LINE('',#480175,#110854); #72936=LINE('',#480176,#110855); #72937=LINE('',#480179,#110856); #72938=LINE('',#480181,#110857); #72939=LINE('',#480182,#110858); #72940=LINE('',#480185,#110859); #72941=LINE('',#480187,#110860); #72942=LINE('',#480188,#110861); #72943=LINE('',#480191,#110862); #72944=LINE('',#480193,#110863); #72945=LINE('',#480194,#110864); #72946=LINE('',#480197,#110865); #72947=LINE('',#480199,#110866); #72948=LINE('',#480200,#110867); #72949=LINE('',#480203,#110868); #72950=LINE('',#480205,#110869); #72951=LINE('',#480206,#110870); #72952=LINE('',#480209,#110871); #72953=LINE('',#480211,#110872); #72954=LINE('',#480212,#110873); #72955=LINE('',#480215,#110874); #72956=LINE('',#480217,#110875); #72957=LINE('',#480218,#110876); #72958=LINE('',#480221,#110877); #72959=LINE('',#480223,#110878); #72960=LINE('',#480224,#110879); #72961=LINE('',#480227,#110880); #72962=LINE('',#480229,#110881); #72963=LINE('',#480230,#110882); #72964=LINE('',#480233,#110883); #72965=LINE('',#480235,#110884); #72966=LINE('',#480236,#110885); #72967=LINE('',#480239,#110886); #72968=LINE('',#480241,#110887); #72969=LINE('',#480242,#110888); #72970=LINE('',#480245,#110889); #72971=LINE('',#480247,#110890); #72972=LINE('',#480248,#110891); #72973=LINE('',#480251,#110892); #72974=LINE('',#480253,#110893); #72975=LINE('',#480254,#110894); #72976=LINE('',#480257,#110895); #72977=LINE('',#480259,#110896); #72978=LINE('',#480260,#110897); #72979=LINE('',#480263,#110898); #72980=LINE('',#480265,#110899); #72981=LINE('',#480266,#110900); #72982=LINE('',#480269,#110901); #72983=LINE('',#480271,#110902); #72984=LINE('',#480272,#110903); #72985=LINE('',#480275,#110904); #72986=LINE('',#480277,#110905); #72987=LINE('',#480278,#110906); #72988=LINE('',#480281,#110907); #72989=LINE('',#480283,#110908); #72990=LINE('',#480284,#110909); #72991=LINE('',#480287,#110910); #72992=LINE('',#480289,#110911); #72993=LINE('',#480290,#110912); #72994=LINE('',#480293,#110913); #72995=LINE('',#480295,#110914); #72996=LINE('',#480296,#110915); #72997=LINE('',#480299,#110916); #72998=LINE('',#480301,#110917); #72999=LINE('',#480302,#110918); #73000=LINE('',#480305,#110919); #73001=LINE('',#480307,#110920); #73002=LINE('',#480308,#110921); #73003=LINE('',#480311,#110922); #73004=LINE('',#480313,#110923); #73005=LINE('',#480314,#110924); #73006=LINE('',#480317,#110925); #73007=LINE('',#480319,#110926); #73008=LINE('',#480320,#110927); #73009=LINE('',#480323,#110928); #73010=LINE('',#480325,#110929); #73011=LINE('',#480326,#110930); #73012=LINE('',#480329,#110931); #73013=LINE('',#480331,#110932); #73014=LINE('',#480332,#110933); #73015=LINE('',#480335,#110934); #73016=LINE('',#480337,#110935); #73017=LINE('',#480338,#110936); #73018=LINE('',#480341,#110937); #73019=LINE('',#480343,#110938); #73020=LINE('',#480344,#110939); #73021=LINE('',#480347,#110940); #73022=LINE('',#480349,#110941); #73023=LINE('',#480350,#110942); #73024=LINE('',#480353,#110943); #73025=LINE('',#480355,#110944); #73026=LINE('',#480356,#110945); #73027=LINE('',#480359,#110946); #73028=LINE('',#480361,#110947); #73029=LINE('',#480362,#110948); #73030=LINE('',#480365,#110949); #73031=LINE('',#480367,#110950); #73032=LINE('',#480368,#110951); #73033=LINE('',#480371,#110952); #73034=LINE('',#480373,#110953); #73035=LINE('',#480374,#110954); #73036=LINE('',#480377,#110955); #73037=LINE('',#480379,#110956); #73038=LINE('',#480380,#110957); #73039=LINE('',#480383,#110958); #73040=LINE('',#480385,#110959); #73041=LINE('',#480386,#110960); #73042=LINE('',#480389,#110961); #73043=LINE('',#480391,#110962); #73044=LINE('',#480392,#110963); #73045=LINE('',#480395,#110964); #73046=LINE('',#480397,#110965); #73047=LINE('',#480398,#110966); #73048=LINE('',#480401,#110967); #73049=LINE('',#480403,#110968); #73050=LINE('',#480404,#110969); #73051=LINE('',#480407,#110970); #73052=LINE('',#480409,#110971); #73053=LINE('',#480410,#110972); #73054=LINE('',#480413,#110973); #73055=LINE('',#480415,#110974); #73056=LINE('',#480416,#110975); #73057=LINE('',#480419,#110976); #73058=LINE('',#480421,#110977); #73059=LINE('',#480422,#110978); #73060=LINE('',#480425,#110979); #73061=LINE('',#480427,#110980); #73062=LINE('',#480428,#110981); #73063=LINE('',#480431,#110982); #73064=LINE('',#480433,#110983); #73065=LINE('',#480434,#110984); #73066=LINE('',#480436,#110985); #73067=LINE('',#480437,#110986); #73068=LINE('',#480443,#110987); #73069=LINE('',#480445,#110988); #73070=LINE('',#480447,#110989); #73071=LINE('',#480448,#110990); #73072=LINE('',#480451,#110991); #73073=LINE('',#480453,#110992); #73074=LINE('',#480454,#110993); #73075=LINE('',#480457,#110994); #73076=LINE('',#480459,#110995); #73077=LINE('',#480460,#110996); #73078=LINE('',#480463,#110997); #73079=LINE('',#480465,#110998); #73080=LINE('',#480466,#110999); #73081=LINE('',#480469,#111000); #73082=LINE('',#480471,#111001); #73083=LINE('',#480472,#111002); #73084=LINE('',#480475,#111003); #73085=LINE('',#480477,#111004); #73086=LINE('',#480478,#111005); #73087=LINE('',#480481,#111006); #73088=LINE('',#480483,#111007); #73089=LINE('',#480484,#111008); #73090=LINE('',#480487,#111009); #73091=LINE('',#480489,#111010); #73092=LINE('',#480490,#111011); #73093=LINE('',#480493,#111012); #73094=LINE('',#480495,#111013); #73095=LINE('',#480496,#111014); #73096=LINE('',#480499,#111015); #73097=LINE('',#480501,#111016); #73098=LINE('',#480502,#111017); #73099=LINE('',#480505,#111018); #73100=LINE('',#480507,#111019); #73101=LINE('',#480508,#111020); #73102=LINE('',#480511,#111021); #73103=LINE('',#480513,#111022); #73104=LINE('',#480514,#111023); #73105=LINE('',#480517,#111024); #73106=LINE('',#480519,#111025); #73107=LINE('',#480520,#111026); #73108=LINE('',#480523,#111027); #73109=LINE('',#480525,#111028); #73110=LINE('',#480526,#111029); #73111=LINE('',#480529,#111030); #73112=LINE('',#480531,#111031); #73113=LINE('',#480532,#111032); #73114=LINE('',#480535,#111033); #73115=LINE('',#480537,#111034); #73116=LINE('',#480538,#111035); #73117=LINE('',#480541,#111036); #73118=LINE('',#480543,#111037); #73119=LINE('',#480544,#111038); #73120=LINE('',#480547,#111039); #73121=LINE('',#480549,#111040); #73122=LINE('',#480550,#111041); #73123=LINE('',#480553,#111042); #73124=LINE('',#480555,#111043); #73125=LINE('',#480556,#111044); #73126=LINE('',#480559,#111045); #73127=LINE('',#480561,#111046); #73128=LINE('',#480562,#111047); #73129=LINE('',#480565,#111048); #73130=LINE('',#480567,#111049); #73131=LINE('',#480568,#111050); #73132=LINE('',#480571,#111051); #73133=LINE('',#480573,#111052); #73134=LINE('',#480574,#111053); #73135=LINE('',#480577,#111054); #73136=LINE('',#480579,#111055); #73137=LINE('',#480580,#111056); #73138=LINE('',#480583,#111057); #73139=LINE('',#480585,#111058); #73140=LINE('',#480586,#111059); #73141=LINE('',#480589,#111060); #73142=LINE('',#480591,#111061); #73143=LINE('',#480592,#111062); #73144=LINE('',#480595,#111063); #73145=LINE('',#480597,#111064); #73146=LINE('',#480598,#111065); #73147=LINE('',#480601,#111066); #73148=LINE('',#480603,#111067); #73149=LINE('',#480604,#111068); #73150=LINE('',#480607,#111069); #73151=LINE('',#480609,#111070); #73152=LINE('',#480610,#111071); #73153=LINE('',#480613,#111072); #73154=LINE('',#480615,#111073); #73155=LINE('',#480616,#111074); #73156=LINE('',#480618,#111075); #73157=LINE('',#480619,#111076); #73158=LINE('',#480626,#111077); #73159=LINE('',#480632,#111078); #73160=LINE('',#480638,#111079); #73161=LINE('',#480644,#111080); #73162=LINE('',#480650,#111081); #73163=LINE('',#480656,#111082); #73164=LINE('',#480662,#111083); #73165=LINE('',#480668,#111084); #73166=LINE('',#480674,#111085); #73167=LINE('',#480680,#111086); #73168=LINE('',#480686,#111087); #73169=LINE('',#480692,#111088); #73170=LINE('',#480698,#111089); #73171=LINE('',#480704,#111090); #73172=LINE('',#480710,#111091); #73173=LINE('',#480715,#111092); #73174=LINE('',#480717,#111093); #73175=LINE('',#480719,#111094); #73176=LINE('',#480720,#111095); #73177=LINE('',#480723,#111096); #73178=LINE('',#480725,#111097); #73179=LINE('',#480726,#111098); #73180=LINE('',#480729,#111099); #73181=LINE('',#480731,#111100); #73182=LINE('',#480732,#111101); #73183=LINE('',#480735,#111102); #73184=LINE('',#480737,#111103); #73185=LINE('',#480738,#111104); #73186=LINE('',#480741,#111105); #73187=LINE('',#480743,#111106); #73188=LINE('',#480744,#111107); #73189=LINE('',#480747,#111108); #73190=LINE('',#480749,#111109); #73191=LINE('',#480750,#111110); #73192=LINE('',#480753,#111111); #73193=LINE('',#480755,#111112); #73194=LINE('',#480756,#111113); #73195=LINE('',#480759,#111114); #73196=LINE('',#480761,#111115); #73197=LINE('',#480762,#111116); #73198=LINE('',#480765,#111117); #73199=LINE('',#480767,#111118); #73200=LINE('',#480768,#111119); #73201=LINE('',#480771,#111120); #73202=LINE('',#480773,#111121); #73203=LINE('',#480774,#111122); #73204=LINE('',#480777,#111123); #73205=LINE('',#480779,#111124); #73206=LINE('',#480780,#111125); #73207=LINE('',#480783,#111126); #73208=LINE('',#480785,#111127); #73209=LINE('',#480786,#111128); #73210=LINE('',#480789,#111129); #73211=LINE('',#480791,#111130); #73212=LINE('',#480792,#111131); #73213=LINE('',#480795,#111132); #73214=LINE('',#480797,#111133); #73215=LINE('',#480798,#111134); #73216=LINE('',#480801,#111135); #73217=LINE('',#480803,#111136); #73218=LINE('',#480804,#111137); #73219=LINE('',#480807,#111138); #73220=LINE('',#480809,#111139); #73221=LINE('',#480810,#111140); #73222=LINE('',#480813,#111141); #73223=LINE('',#480815,#111142); #73224=LINE('',#480816,#111143); #73225=LINE('',#480819,#111144); #73226=LINE('',#480821,#111145); #73227=LINE('',#480822,#111146); #73228=LINE('',#480825,#111147); #73229=LINE('',#480827,#111148); #73230=LINE('',#480828,#111149); #73231=LINE('',#480831,#111150); #73232=LINE('',#480833,#111151); #73233=LINE('',#480834,#111152); #73234=LINE('',#480837,#111153); #73235=LINE('',#480839,#111154); #73236=LINE('',#480840,#111155); #73237=LINE('',#480843,#111156); #73238=LINE('',#480845,#111157); #73239=LINE('',#480846,#111158); #73240=LINE('',#480849,#111159); #73241=LINE('',#480851,#111160); #73242=LINE('',#480852,#111161); #73243=LINE('',#480855,#111162); #73244=LINE('',#480857,#111163); #73245=LINE('',#480858,#111164); #73246=LINE('',#480861,#111165); #73247=LINE('',#480863,#111166); #73248=LINE('',#480864,#111167); #73249=LINE('',#480867,#111168); #73250=LINE('',#480869,#111169); #73251=LINE('',#480870,#111170); #73252=LINE('',#480873,#111171); #73253=LINE('',#480875,#111172); #73254=LINE('',#480876,#111173); #73255=LINE('',#480879,#111174); #73256=LINE('',#480881,#111175); #73257=LINE('',#480882,#111176); #73258=LINE('',#480885,#111177); #73259=LINE('',#480887,#111178); #73260=LINE('',#480888,#111179); #73261=LINE('',#480891,#111180); #73262=LINE('',#480893,#111181); #73263=LINE('',#480894,#111182); #73264=LINE('',#480897,#111183); #73265=LINE('',#480899,#111184); #73266=LINE('',#480900,#111185); #73267=LINE('',#480903,#111186); #73268=LINE('',#480905,#111187); #73269=LINE('',#480906,#111188); #73270=LINE('',#480909,#111189); #73271=LINE('',#480911,#111190); #73272=LINE('',#480912,#111191); #73273=LINE('',#480915,#111192); #73274=LINE('',#480917,#111193); #73275=LINE('',#480918,#111194); #73276=LINE('',#480921,#111195); #73277=LINE('',#480923,#111196); #73278=LINE('',#480924,#111197); #73279=LINE('',#480927,#111198); #73280=LINE('',#480929,#111199); #73281=LINE('',#480930,#111200); #73282=LINE('',#480933,#111201); #73283=LINE('',#480935,#111202); #73284=LINE('',#480936,#111203); #73285=LINE('',#480939,#111204); #73286=LINE('',#480941,#111205); #73287=LINE('',#480942,#111206); #73288=LINE('',#480945,#111207); #73289=LINE('',#480947,#111208); #73290=LINE('',#480948,#111209); #73291=LINE('',#480951,#111210); #73292=LINE('',#480953,#111211); #73293=LINE('',#480954,#111212); #73294=LINE('',#480956,#111213); #73295=LINE('',#480957,#111214); #73296=LINE('',#480964,#111215); #73297=LINE('',#480969,#111216); #73298=LINE('',#480971,#111217); #73299=LINE('',#480973,#111218); #73300=LINE('',#480974,#111219); #73301=LINE('',#480977,#111220); #73302=LINE('',#480979,#111221); #73303=LINE('',#480980,#111222); #73304=LINE('',#480983,#111223); #73305=LINE('',#480985,#111224); #73306=LINE('',#480986,#111225); #73307=LINE('',#480989,#111226); #73308=LINE('',#480991,#111227); #73309=LINE('',#480992,#111228); #73310=LINE('',#480995,#111229); #73311=LINE('',#480997,#111230); #73312=LINE('',#480998,#111231); #73313=LINE('',#481004,#111232); #73314=LINE('',#481007,#111233); #73315=LINE('',#481009,#111234); #73316=LINE('',#481010,#111235); #73317=LINE('',#481013,#111236); #73318=LINE('',#481015,#111237); #73319=LINE('',#481016,#111238); #73320=LINE('',#481022,#111239); #73321=LINE('',#481025,#111240); #73322=LINE('',#481027,#111241); #73323=LINE('',#481028,#111242); #73324=LINE('',#481031,#111243); #73325=LINE('',#481033,#111244); #73326=LINE('',#481034,#111245); #73327=LINE('',#481037,#111246); #73328=LINE('',#481039,#111247); #73329=LINE('',#481040,#111248); #73330=LINE('',#481043,#111249); #73331=LINE('',#481045,#111250); #73332=LINE('',#481046,#111251); #73333=LINE('',#481049,#111252); #73334=LINE('',#481051,#111253); #73335=LINE('',#481052,#111254); #73336=LINE('',#481055,#111255); #73337=LINE('',#481057,#111256); #73338=LINE('',#481058,#111257); #73339=LINE('',#481061,#111258); #73340=LINE('',#481063,#111259); #73341=LINE('',#481064,#111260); #73342=LINE('',#481067,#111261); #73343=LINE('',#481069,#111262); #73344=LINE('',#481070,#111263); #73345=LINE('',#481073,#111264); #73346=LINE('',#481075,#111265); #73347=LINE('',#481076,#111266); #73348=LINE('',#481079,#111267); #73349=LINE('',#481081,#111268); #73350=LINE('',#481082,#111269); #73351=LINE('',#481085,#111270); #73352=LINE('',#481087,#111271); #73353=LINE('',#481088,#111272); #73354=LINE('',#481091,#111273); #73355=LINE('',#481093,#111274); #73356=LINE('',#481094,#111275); #73357=LINE('',#481097,#111276); #73358=LINE('',#481099,#111277); #73359=LINE('',#481100,#111278); #73360=LINE('',#481103,#111279); #73361=LINE('',#481105,#111280); #73362=LINE('',#481106,#111281); #73363=LINE('',#481109,#111282); #73364=LINE('',#481111,#111283); #73365=LINE('',#481112,#111284); #73366=LINE('',#481115,#111285); #73367=LINE('',#481117,#111286); #73368=LINE('',#481118,#111287); #73369=LINE('',#481124,#111288); #73370=LINE('',#481127,#111289); #73371=LINE('',#481129,#111290); #73372=LINE('',#481130,#111291); #73373=LINE('',#481136,#111292); #73374=LINE('',#481139,#111293); #73375=LINE('',#481141,#111294); #73376=LINE('',#481142,#111295); #73377=LINE('',#481148,#111296); #73378=LINE('',#481151,#111297); #73379=LINE('',#481153,#111298); #73380=LINE('',#481154,#111299); #73381=LINE('',#481157,#111300); #73382=LINE('',#481159,#111301); #73383=LINE('',#481160,#111302); #73384=LINE('',#481163,#111303); #73385=LINE('',#481165,#111304); #73386=LINE('',#481166,#111305); #73387=LINE('',#481172,#111306); #73388=LINE('',#481175,#111307); #73389=LINE('',#481177,#111308); #73390=LINE('',#481178,#111309); #73391=LINE('',#481184,#111310); #73392=LINE('',#481187,#111311); #73393=LINE('',#481189,#111312); #73394=LINE('',#481190,#111313); #73395=LINE('',#481193,#111314); #73396=LINE('',#481195,#111315); #73397=LINE('',#481196,#111316); #73398=LINE('',#481202,#111317); #73399=LINE('',#481205,#111318); #73400=LINE('',#481207,#111319); #73401=LINE('',#481208,#111320); #73402=LINE('',#481211,#111321); #73403=LINE('',#481213,#111322); #73404=LINE('',#481214,#111323); #73405=LINE('',#481216,#111324); #73406=LINE('',#481217,#111325); #73407=LINE('',#481224,#111326); #73408=LINE('',#481230,#111327); #73409=LINE('',#481236,#111328); #73410=LINE('',#481242,#111329); #73411=LINE('',#481248,#111330); #73412=LINE('',#481254,#111331); #73413=LINE('',#481260,#111332); #73414=LINE('',#481266,#111333); #73415=LINE('',#481272,#111334); #73416=LINE('',#481278,#111335); #73417=LINE('',#481284,#111336); #73418=LINE('',#481290,#111337); #73419=LINE('',#481296,#111338); #73420=LINE('',#481302,#111339); #73421=LINE('',#481308,#111340); #73422=LINE('',#481313,#111341); #73423=LINE('',#481315,#111342); #73424=LINE('',#481317,#111343); #73425=LINE('',#481318,#111344); #73426=LINE('',#481321,#111345); #73427=LINE('',#481323,#111346); #73428=LINE('',#481324,#111347); #73429=LINE('',#481327,#111348); #73430=LINE('',#481329,#111349); #73431=LINE('',#481330,#111350); #73432=LINE('',#481333,#111351); #73433=LINE('',#481335,#111352); #73434=LINE('',#481336,#111353); #73435=LINE('',#481339,#111354); #73436=LINE('',#481341,#111355); #73437=LINE('',#481342,#111356); #73438=LINE('',#481345,#111357); #73439=LINE('',#481347,#111358); #73440=LINE('',#481348,#111359); #73441=LINE('',#481351,#111360); #73442=LINE('',#481353,#111361); #73443=LINE('',#481354,#111362); #73444=LINE('',#481357,#111363); #73445=LINE('',#481359,#111364); #73446=LINE('',#481360,#111365); #73447=LINE('',#481363,#111366); #73448=LINE('',#481365,#111367); #73449=LINE('',#481366,#111368); #73450=LINE('',#481369,#111369); #73451=LINE('',#481371,#111370); #73452=LINE('',#481372,#111371); #73453=LINE('',#481375,#111372); #73454=LINE('',#481377,#111373); #73455=LINE('',#481378,#111374); #73456=LINE('',#481381,#111375); #73457=LINE('',#481383,#111376); #73458=LINE('',#481384,#111377); #73459=LINE('',#481387,#111378); #73460=LINE('',#481389,#111379); #73461=LINE('',#481390,#111380); #73462=LINE('',#481393,#111381); #73463=LINE('',#481395,#111382); #73464=LINE('',#481396,#111383); #73465=LINE('',#481399,#111384); #73466=LINE('',#481401,#111385); #73467=LINE('',#481402,#111386); #73468=LINE('',#481405,#111387); #73469=LINE('',#481407,#111388); #73470=LINE('',#481408,#111389); #73471=LINE('',#481411,#111390); #73472=LINE('',#481413,#111391); #73473=LINE('',#481414,#111392); #73474=LINE('',#481417,#111393); #73475=LINE('',#481419,#111394); #73476=LINE('',#481420,#111395); #73477=LINE('',#481423,#111396); #73478=LINE('',#481425,#111397); #73479=LINE('',#481426,#111398); #73480=LINE('',#481429,#111399); #73481=LINE('',#481431,#111400); #73482=LINE('',#481432,#111401); #73483=LINE('',#481435,#111402); #73484=LINE('',#481437,#111403); #73485=LINE('',#481438,#111404); #73486=LINE('',#481441,#111405); #73487=LINE('',#481443,#111406); #73488=LINE('',#481444,#111407); #73489=LINE('',#481447,#111408); #73490=LINE('',#481449,#111409); #73491=LINE('',#481450,#111410); #73492=LINE('',#481453,#111411); #73493=LINE('',#481455,#111412); #73494=LINE('',#481456,#111413); #73495=LINE('',#481459,#111414); #73496=LINE('',#481461,#111415); #73497=LINE('',#481462,#111416); #73498=LINE('',#481465,#111417); #73499=LINE('',#481467,#111418); #73500=LINE('',#481468,#111419); #73501=LINE('',#481471,#111420); #73502=LINE('',#481473,#111421); #73503=LINE('',#481474,#111422); #73504=LINE('',#481477,#111423); #73505=LINE('',#481479,#111424); #73506=LINE('',#481480,#111425); #73507=LINE('',#481483,#111426); #73508=LINE('',#481485,#111427); #73509=LINE('',#481486,#111428); #73510=LINE('',#481489,#111429); #73511=LINE('',#481491,#111430); #73512=LINE('',#481492,#111431); #73513=LINE('',#481495,#111432); #73514=LINE('',#481497,#111433); #73515=LINE('',#481498,#111434); #73516=LINE('',#481501,#111435); #73517=LINE('',#481503,#111436); #73518=LINE('',#481504,#111437); #73519=LINE('',#481507,#111438); #73520=LINE('',#481509,#111439); #73521=LINE('',#481510,#111440); #73522=LINE('',#481513,#111441); #73523=LINE('',#481515,#111442); #73524=LINE('',#481516,#111443); #73525=LINE('',#481519,#111444); #73526=LINE('',#481521,#111445); #73527=LINE('',#481522,#111446); #73528=LINE('',#481525,#111447); #73529=LINE('',#481527,#111448); #73530=LINE('',#481528,#111449); #73531=LINE('',#481531,#111450); #73532=LINE('',#481533,#111451); #73533=LINE('',#481534,#111452); #73534=LINE('',#481537,#111453); #73535=LINE('',#481539,#111454); #73536=LINE('',#481540,#111455); #73537=LINE('',#481543,#111456); #73538=LINE('',#481545,#111457); #73539=LINE('',#481546,#111458); #73540=LINE('',#481549,#111459); #73541=LINE('',#481551,#111460); #73542=LINE('',#481552,#111461); #73543=LINE('',#481555,#111462); #73544=LINE('',#481557,#111463); #73545=LINE('',#481558,#111464); #73546=LINE('',#481561,#111465); #73547=LINE('',#481563,#111466); #73548=LINE('',#481564,#111467); #73549=LINE('',#481567,#111468); #73550=LINE('',#481569,#111469); #73551=LINE('',#481570,#111470); #73552=LINE('',#481573,#111471); #73553=LINE('',#481575,#111472); #73554=LINE('',#481576,#111473); #73555=LINE('',#481579,#111474); #73556=LINE('',#481581,#111475); #73557=LINE('',#481582,#111476); #73558=LINE('',#481585,#111477); #73559=LINE('',#481587,#111478); #73560=LINE('',#481588,#111479); #73561=LINE('',#481591,#111480); #73562=LINE('',#481593,#111481); #73563=LINE('',#481594,#111482); #73564=LINE('',#481597,#111483); #73565=LINE('',#481599,#111484); #73566=LINE('',#481600,#111485); #73567=LINE('',#481602,#111486); #73568=LINE('',#481603,#111487); #73569=LINE('',#481610,#111488); #73570=LINE('',#481615,#111489); #73571=LINE('',#481617,#111490); #73572=LINE('',#481619,#111491); #73573=LINE('',#481620,#111492); #73574=LINE('',#481623,#111493); #73575=LINE('',#481625,#111494); #73576=LINE('',#481626,#111495); #73577=LINE('',#481629,#111496); #73578=LINE('',#481631,#111497); #73579=LINE('',#481632,#111498); #73580=LINE('',#481635,#111499); #73581=LINE('',#481637,#111500); #73582=LINE('',#481638,#111501); #73583=LINE('',#481641,#111502); #73584=LINE('',#481643,#111503); #73585=LINE('',#481644,#111504); #73586=LINE('',#481647,#111505); #73587=LINE('',#481649,#111506); #73588=LINE('',#481650,#111507); #73589=LINE('',#481656,#111508); #73590=LINE('',#481659,#111509); #73591=LINE('',#481661,#111510); #73592=LINE('',#481662,#111511); #73593=LINE('',#481665,#111512); #73594=LINE('',#481667,#111513); #73595=LINE('',#481668,#111514); #73596=LINE('',#481674,#111515); #73597=LINE('',#481677,#111516); #73598=LINE('',#481679,#111517); #73599=LINE('',#481680,#111518); #73600=LINE('',#481686,#111519); #73601=LINE('',#481689,#111520); #73602=LINE('',#481691,#111521); #73603=LINE('',#481692,#111522); #73604=LINE('',#481695,#111523); #73605=LINE('',#481697,#111524); #73606=LINE('',#481698,#111525); #73607=LINE('',#481701,#111526); #73608=LINE('',#481703,#111527); #73609=LINE('',#481704,#111528); #73610=LINE('',#481710,#111529); #73611=LINE('',#481713,#111530); #73612=LINE('',#481715,#111531); #73613=LINE('',#481716,#111532); #73614=LINE('',#481722,#111533); #73615=LINE('',#481725,#111534); #73616=LINE('',#481727,#111535); #73617=LINE('',#481728,#111536); #73618=LINE('',#481734,#111537); #73619=LINE('',#481737,#111538); #73620=LINE('',#481739,#111539); #73621=LINE('',#481740,#111540); #73622=LINE('',#481743,#111541); #73623=LINE('',#481745,#111542); #73624=LINE('',#481746,#111543); #73625=LINE('',#481749,#111544); #73626=LINE('',#481751,#111545); #73627=LINE('',#481752,#111546); #73628=LINE('',#481755,#111547); #73629=LINE('',#481757,#111548); #73630=LINE('',#481758,#111549); #73631=LINE('',#481761,#111550); #73632=LINE('',#481763,#111551); #73633=LINE('',#481764,#111552); #73634=LINE('',#481767,#111553); #73635=LINE('',#481769,#111554); #73636=LINE('',#481770,#111555); #73637=LINE('',#481773,#111556); #73638=LINE('',#481775,#111557); #73639=LINE('',#481776,#111558); #73640=LINE('',#481779,#111559); #73641=LINE('',#481781,#111560); #73642=LINE('',#481782,#111561); #73643=LINE('',#481785,#111562); #73644=LINE('',#481787,#111563); #73645=LINE('',#481788,#111564); #73646=LINE('',#481791,#111565); #73647=LINE('',#481793,#111566); #73648=LINE('',#481794,#111567); #73649=LINE('',#481797,#111568); #73650=LINE('',#481799,#111569); #73651=LINE('',#481800,#111570); #73652=LINE('',#481803,#111571); #73653=LINE('',#481805,#111572); #73654=LINE('',#481806,#111573); #73655=LINE('',#481809,#111574); #73656=LINE('',#481811,#111575); #73657=LINE('',#481812,#111576); #73658=LINE('',#481815,#111577); #73659=LINE('',#481817,#111578); #73660=LINE('',#481818,#111579); #73661=LINE('',#481821,#111580); #73662=LINE('',#481823,#111581); #73663=LINE('',#481824,#111582); #73664=LINE('',#481827,#111583); #73665=LINE('',#481829,#111584); #73666=LINE('',#481830,#111585); #73667=LINE('',#481836,#111586); #73668=LINE('',#481839,#111587); #73669=LINE('',#481841,#111588); #73670=LINE('',#481842,#111589); #73671=LINE('',#481845,#111590); #73672=LINE('',#481847,#111591); #73673=LINE('',#481848,#111592); #73674=LINE('',#481854,#111593); #73675=LINE('',#481857,#111594); #73676=LINE('',#481859,#111595); #73677=LINE('',#481860,#111596); #73678=LINE('',#481862,#111597); #73679=LINE('',#481863,#111598); #73680=LINE('',#481870,#111599); #73681=LINE('',#481876,#111600); #73682=LINE('',#481882,#111601); #73683=LINE('',#481888,#111602); #73684=LINE('',#481894,#111603); #73685=LINE('',#481900,#111604); #73686=LINE('',#481906,#111605); #73687=LINE('',#481912,#111606); #73688=LINE('',#481918,#111607); #73689=LINE('',#481924,#111608); #73690=LINE('',#481930,#111609); #73691=LINE('',#481936,#111610); #73692=LINE('',#481942,#111611); #73693=LINE('',#481948,#111612); #73694=LINE('',#481954,#111613); #73695=LINE('',#481960,#111614); #73696=LINE('',#481966,#111615); #73697=LINE('',#481972,#111616); #73698=LINE('',#481978,#111617); #73699=LINE('',#481984,#111618); #73700=LINE('',#481990,#111619); #73701=LINE('',#481996,#111620); #73702=LINE('',#482002,#111621); #73703=LINE('',#482008,#111622); #73704=LINE('',#482014,#111623); #73705=LINE('',#482020,#111624); #73706=LINE('',#482026,#111625); #73707=LINE('',#482032,#111626); #73708=LINE('',#482038,#111627); #73709=LINE('',#482044,#111628); #73710=LINE('',#482050,#111629); #73711=LINE('',#482055,#111630); #73712=LINE('',#482057,#111631); #73713=LINE('',#482059,#111632); #73714=LINE('',#482060,#111633); #73715=LINE('',#482066,#111634); #73716=LINE('',#482069,#111635); #73717=LINE('',#482071,#111636); #73718=LINE('',#482072,#111637); #73719=LINE('',#482075,#111638); #73720=LINE('',#482077,#111639); #73721=LINE('',#482078,#111640); #73722=LINE('',#482081,#111641); #73723=LINE('',#482083,#111642); #73724=LINE('',#482084,#111643); #73725=LINE('',#482090,#111644); #73726=LINE('',#482093,#111645); #73727=LINE('',#482095,#111646); #73728=LINE('',#482096,#111647); #73729=LINE('',#482102,#111648); #73730=LINE('',#482105,#111649); #73731=LINE('',#482107,#111650); #73732=LINE('',#482108,#111651); #73733=LINE('',#482111,#111652); #73734=LINE('',#482113,#111653); #73735=LINE('',#482114,#111654); #73736=LINE('',#482117,#111655); #73737=LINE('',#482119,#111656); #73738=LINE('',#482120,#111657); #73739=LINE('',#482123,#111658); #73740=LINE('',#482125,#111659); #73741=LINE('',#482126,#111660); #73742=LINE('',#482129,#111661); #73743=LINE('',#482131,#111662); #73744=LINE('',#482132,#111663); #73745=LINE('',#482135,#111664); #73746=LINE('',#482137,#111665); #73747=LINE('',#482138,#111666); #73748=LINE('',#482141,#111667); #73749=LINE('',#482143,#111668); #73750=LINE('',#482144,#111669); #73751=LINE('',#482147,#111670); #73752=LINE('',#482149,#111671); #73753=LINE('',#482150,#111672); #73754=LINE('',#482153,#111673); #73755=LINE('',#482155,#111674); #73756=LINE('',#482156,#111675); #73757=LINE('',#482159,#111676); #73758=LINE('',#482161,#111677); #73759=LINE('',#482162,#111678); #73760=LINE('',#482165,#111679); #73761=LINE('',#482167,#111680); #73762=LINE('',#482168,#111681); #73763=LINE('',#482171,#111682); #73764=LINE('',#482173,#111683); #73765=LINE('',#482174,#111684); #73766=LINE('',#482177,#111685); #73767=LINE('',#482179,#111686); #73768=LINE('',#482180,#111687); #73769=LINE('',#482183,#111688); #73770=LINE('',#482185,#111689); #73771=LINE('',#482186,#111690); #73772=LINE('',#482189,#111691); #73773=LINE('',#482191,#111692); #73774=LINE('',#482192,#111693); #73775=LINE('',#482195,#111694); #73776=LINE('',#482197,#111695); #73777=LINE('',#482198,#111696); #73778=LINE('',#482201,#111697); #73779=LINE('',#482203,#111698); #73780=LINE('',#482204,#111699); #73781=LINE('',#482207,#111700); #73782=LINE('',#482209,#111701); #73783=LINE('',#482210,#111702); #73784=LINE('',#482213,#111703); #73785=LINE('',#482215,#111704); #73786=LINE('',#482216,#111705); #73787=LINE('',#482219,#111706); #73788=LINE('',#482221,#111707); #73789=LINE('',#482222,#111708); #73790=LINE('',#482225,#111709); #73791=LINE('',#482227,#111710); #73792=LINE('',#482228,#111711); #73793=LINE('',#482231,#111712); #73794=LINE('',#482233,#111713); #73795=LINE('',#482234,#111714); #73796=LINE('',#482237,#111715); #73797=LINE('',#482239,#111716); #73798=LINE('',#482240,#111717); #73799=LINE('',#482243,#111718); #73800=LINE('',#482245,#111719); #73801=LINE('',#482246,#111720); #73802=LINE('',#482249,#111721); #73803=LINE('',#482251,#111722); #73804=LINE('',#482252,#111723); #73805=LINE('',#482255,#111724); #73806=LINE('',#482257,#111725); #73807=LINE('',#482258,#111726); #73808=LINE('',#482261,#111727); #73809=LINE('',#482263,#111728); #73810=LINE('',#482264,#111729); #73811=LINE('',#482267,#111730); #73812=LINE('',#482269,#111731); #73813=LINE('',#482270,#111732); #73814=LINE('',#482273,#111733); #73815=LINE('',#482275,#111734); #73816=LINE('',#482276,#111735); #73817=LINE('',#482279,#111736); #73818=LINE('',#482281,#111737); #73819=LINE('',#482282,#111738); #73820=LINE('',#482285,#111739); #73821=LINE('',#482287,#111740); #73822=LINE('',#482288,#111741); #73823=LINE('',#482291,#111742); #73824=LINE('',#482293,#111743); #73825=LINE('',#482294,#111744); #73826=LINE('',#482297,#111745); #73827=LINE('',#482299,#111746); #73828=LINE('',#482300,#111747); #73829=LINE('',#482303,#111748); #73830=LINE('',#482305,#111749); #73831=LINE('',#482306,#111750); #73832=LINE('',#482309,#111751); #73833=LINE('',#482311,#111752); #73834=LINE('',#482312,#111753); #73835=LINE('',#482315,#111754); #73836=LINE('',#482317,#111755); #73837=LINE('',#482318,#111756); #73838=LINE('',#482321,#111757); #73839=LINE('',#482323,#111758); #73840=LINE('',#482324,#111759); #73841=LINE('',#482327,#111760); #73842=LINE('',#482329,#111761); #73843=LINE('',#482330,#111762); #73844=LINE('',#482333,#111763); #73845=LINE('',#482335,#111764); #73846=LINE('',#482336,#111765); #73847=LINE('',#482339,#111766); #73848=LINE('',#482341,#111767); #73849=LINE('',#482342,#111768); #73850=LINE('',#482345,#111769); #73851=LINE('',#482347,#111770); #73852=LINE('',#482348,#111771); #73853=LINE('',#482351,#111772); #73854=LINE('',#482353,#111773); #73855=LINE('',#482354,#111774); #73856=LINE('',#482357,#111775); #73857=LINE('',#482359,#111776); #73858=LINE('',#482360,#111777); #73859=LINE('',#482363,#111778); #73860=LINE('',#482365,#111779); #73861=LINE('',#482366,#111780); #73862=LINE('',#482369,#111781); #73863=LINE('',#482371,#111782); #73864=LINE('',#482372,#111783); #73865=LINE('',#482375,#111784); #73866=LINE('',#482377,#111785); #73867=LINE('',#482378,#111786); #73868=LINE('',#482381,#111787); #73869=LINE('',#482383,#111788); #73870=LINE('',#482384,#111789); #73871=LINE('',#482387,#111790); #73872=LINE('',#482389,#111791); #73873=LINE('',#482390,#111792); #73874=LINE('',#482393,#111793); #73875=LINE('',#482395,#111794); #73876=LINE('',#482396,#111795); #73877=LINE('',#482399,#111796); #73878=LINE('',#482401,#111797); #73879=LINE('',#482402,#111798); #73880=LINE('',#482405,#111799); #73881=LINE('',#482407,#111800); #73882=LINE('',#482408,#111801); #73883=LINE('',#482411,#111802); #73884=LINE('',#482413,#111803); #73885=LINE('',#482414,#111804); #73886=LINE('',#482417,#111805); #73887=LINE('',#482419,#111806); #73888=LINE('',#482420,#111807); #73889=LINE('',#482423,#111808); #73890=LINE('',#482425,#111809); #73891=LINE('',#482426,#111810); #73892=LINE('',#482429,#111811); #73893=LINE('',#482431,#111812); #73894=LINE('',#482432,#111813); #73895=LINE('',#482435,#111814); #73896=LINE('',#482437,#111815); #73897=LINE('',#482438,#111816); #73898=LINE('',#482441,#111817); #73899=LINE('',#482443,#111818); #73900=LINE('',#482444,#111819); #73901=LINE('',#482447,#111820); #73902=LINE('',#482449,#111821); #73903=LINE('',#482450,#111822); #73904=LINE('',#482453,#111823); #73905=LINE('',#482455,#111824); #73906=LINE('',#482456,#111825); #73907=LINE('',#482459,#111826); #73908=LINE('',#482461,#111827); #73909=LINE('',#482462,#111828); #73910=LINE('',#482465,#111829); #73911=LINE('',#482467,#111830); #73912=LINE('',#482468,#111831); #73913=LINE('',#482471,#111832); #73914=LINE('',#482473,#111833); #73915=LINE('',#482474,#111834); #73916=LINE('',#482477,#111835); #73917=LINE('',#482479,#111836); #73918=LINE('',#482480,#111837); #73919=LINE('',#482483,#111838); #73920=LINE('',#482485,#111839); #73921=LINE('',#482486,#111840); #73922=LINE('',#482489,#111841); #73923=LINE('',#482491,#111842); #73924=LINE('',#482492,#111843); #73925=LINE('',#482495,#111844); #73926=LINE('',#482497,#111845); #73927=LINE('',#482498,#111846); #73928=LINE('',#482501,#111847); #73929=LINE('',#482503,#111848); #73930=LINE('',#482504,#111849); #73931=LINE('',#482507,#111850); #73932=LINE('',#482509,#111851); #73933=LINE('',#482510,#111852); #73934=LINE('',#482513,#111853); #73935=LINE('',#482515,#111854); #73936=LINE('',#482516,#111855); #73937=LINE('',#482519,#111856); #73938=LINE('',#482521,#111857); #73939=LINE('',#482522,#111858); #73940=LINE('',#482525,#111859); #73941=LINE('',#482527,#111860); #73942=LINE('',#482528,#111861); #73943=LINE('',#482531,#111862); #73944=LINE('',#482533,#111863); #73945=LINE('',#482534,#111864); #73946=LINE('',#482540,#111865); #73947=LINE('',#482543,#111866); #73948=LINE('',#482545,#111867); #73949=LINE('',#482546,#111868); #73950=LINE('',#482552,#111869); #73951=LINE('',#482555,#111870); #73952=LINE('',#482557,#111871); #73953=LINE('',#482558,#111872); #73954=LINE('',#482568,#111873); #73955=LINE('',#482573,#111874); #73956=LINE('',#482575,#111875); #73957=LINE('',#482577,#111876); #73958=LINE('',#482578,#111877); #73959=LINE('',#482581,#111878); #73960=LINE('',#482583,#111879); #73961=LINE('',#482584,#111880); #73962=LINE('',#482587,#111881); #73963=LINE('',#482589,#111882); #73964=LINE('',#482590,#111883); #73965=LINE('',#482593,#111884); #73966=LINE('',#482595,#111885); #73967=LINE('',#482596,#111886); #73968=LINE('',#482599,#111887); #73969=LINE('',#482601,#111888); #73970=LINE('',#482602,#111889); #73971=LINE('',#482605,#111890); #73972=LINE('',#482607,#111891); #73973=LINE('',#482608,#111892); #73974=LINE('',#482614,#111893); #73975=LINE('',#482617,#111894); #73976=LINE('',#482619,#111895); #73977=LINE('',#482620,#111896); #73978=LINE('',#482623,#111897); #73979=LINE('',#482625,#111898); #73980=LINE('',#482626,#111899); #73981=LINE('',#482632,#111900); #73982=LINE('',#482635,#111901); #73983=LINE('',#482637,#111902); #73984=LINE('',#482638,#111903); #73985=LINE('',#482644,#111904); #73986=LINE('',#482650,#111905); #73987=LINE('',#482653,#111906); #73988=LINE('',#482655,#111907); #73989=LINE('',#482656,#111908); #73990=LINE('',#482659,#111909); #73991=LINE('',#482661,#111910); #73992=LINE('',#482662,#111911); #73993=LINE('',#482668,#111912); #73994=LINE('',#482671,#111913); #73995=LINE('',#482673,#111914); #73996=LINE('',#482674,#111915); #73997=LINE('',#482677,#111916); #73998=LINE('',#482679,#111917); #73999=LINE('',#482680,#111918); #74000=LINE('',#482686,#111919); #74001=LINE('',#482689,#111920); #74002=LINE('',#482691,#111921); #74003=LINE('',#482692,#111922); #74004=LINE('',#482694,#111923); #74005=LINE('',#482695,#111924); #74006=LINE('',#482702,#111925); #74007=LINE('',#482708,#111926); #74008=LINE('',#482714,#111927); #74009=LINE('',#482720,#111928); #74010=LINE('',#482726,#111929); #74011=LINE('',#482732,#111930); #74012=LINE('',#482738,#111931); #74013=LINE('',#482744,#111932); #74014=LINE('',#482750,#111933); #74015=LINE('',#482756,#111934); #74016=LINE('',#482762,#111935); #74017=LINE('',#482768,#111936); #74018=LINE('',#482774,#111937); #74019=LINE('',#482780,#111938); #74020=LINE('',#482786,#111939); #74021=LINE('',#482792,#111940); #74022=LINE('',#482798,#111941); #74023=LINE('',#482804,#111942); #74024=LINE('',#482810,#111943); #74025=LINE('',#482816,#111944); #74026=LINE('',#482822,#111945); #74027=LINE('',#482828,#111946); #74028=LINE('',#482834,#111947); #74029=LINE('',#482840,#111948); #74030=LINE('',#482846,#111949); #74031=LINE('',#482852,#111950); #74032=LINE('',#482858,#111951); #74033=LINE('',#482864,#111952); #74034=LINE('',#482870,#111953); #74035=LINE('',#482876,#111954); #74036=LINE('',#482882,#111955); #74037=LINE('',#482887,#111956); #74038=LINE('',#482889,#111957); #74039=LINE('',#482891,#111958); #74040=LINE('',#482892,#111959); #74041=LINE('',#482898,#111960); #74042=LINE('',#482901,#111961); #74043=LINE('',#482903,#111962); #74044=LINE('',#482904,#111963); #74045=LINE('',#482910,#111964); #74046=LINE('',#482913,#111965); #74047=LINE('',#482915,#111966); #74048=LINE('',#482916,#111967); #74049=LINE('',#482922,#111968); #74050=LINE('',#482925,#111969); #74051=LINE('',#482927,#111970); #74052=LINE('',#482928,#111971); #74053=LINE('',#482931,#111972); #74054=LINE('',#482933,#111973); #74055=LINE('',#482934,#111974); #74056=LINE('',#482937,#111975); #74057=LINE('',#482939,#111976); #74058=LINE('',#482940,#111977); #74059=LINE('',#482943,#111978); #74060=LINE('',#482945,#111979); #74061=LINE('',#482946,#111980); #74062=LINE('',#482949,#111981); #74063=LINE('',#482951,#111982); #74064=LINE('',#482952,#111983); #74065=LINE('',#482955,#111984); #74066=LINE('',#482957,#111985); #74067=LINE('',#482958,#111986); #74068=LINE('',#482961,#111987); #74069=LINE('',#482963,#111988); #74070=LINE('',#482964,#111989); #74071=LINE('',#482967,#111990); #74072=LINE('',#482969,#111991); #74073=LINE('',#482970,#111992); #74074=LINE('',#482973,#111993); #74075=LINE('',#482975,#111994); #74076=LINE('',#482976,#111995); #74077=LINE('',#482979,#111996); #74078=LINE('',#482981,#111997); #74079=LINE('',#482982,#111998); #74080=LINE('',#482985,#111999); #74081=LINE('',#482987,#112000); #74082=LINE('',#482988,#112001); #74083=LINE('',#482991,#112002); #74084=LINE('',#482993,#112003); #74085=LINE('',#482994,#112004); #74086=LINE('',#482997,#112005); #74087=LINE('',#482999,#112006); #74088=LINE('',#483000,#112007); #74089=LINE('',#483003,#112008); #74090=LINE('',#483005,#112009); #74091=LINE('',#483006,#112010); #74092=LINE('',#483009,#112011); #74093=LINE('',#483011,#112012); #74094=LINE('',#483012,#112013); #74095=LINE('',#483015,#112014); #74096=LINE('',#483017,#112015); #74097=LINE('',#483018,#112016); #74098=LINE('',#483021,#112017); #74099=LINE('',#483023,#112018); #74100=LINE('',#483024,#112019); #74101=LINE('',#483027,#112020); #74102=LINE('',#483029,#112021); #74103=LINE('',#483030,#112022); #74104=LINE('',#483033,#112023); #74105=LINE('',#483035,#112024); #74106=LINE('',#483036,#112025); #74107=LINE('',#483039,#112026); #74108=LINE('',#483041,#112027); #74109=LINE('',#483042,#112028); #74110=LINE('',#483045,#112029); #74111=LINE('',#483047,#112030); #74112=LINE('',#483048,#112031); #74113=LINE('',#483051,#112032); #74114=LINE('',#483053,#112033); #74115=LINE('',#483054,#112034); #74116=LINE('',#483057,#112035); #74117=LINE('',#483059,#112036); #74118=LINE('',#483060,#112037); #74119=LINE('',#483063,#112038); #74120=LINE('',#483065,#112039); #74121=LINE('',#483066,#112040); #74122=LINE('',#483069,#112041); #74123=LINE('',#483071,#112042); #74124=LINE('',#483072,#112043); #74125=LINE('',#483075,#112044); #74126=LINE('',#483077,#112045); #74127=LINE('',#483078,#112046); #74128=LINE('',#483081,#112047); #74129=LINE('',#483083,#112048); #74130=LINE('',#483084,#112049); #74131=LINE('',#483087,#112050); #74132=LINE('',#483089,#112051); #74133=LINE('',#483090,#112052); #74134=LINE('',#483093,#112053); #74135=LINE('',#483095,#112054); #74136=LINE('',#483096,#112055); #74137=LINE('',#483099,#112056); #74138=LINE('',#483101,#112057); #74139=LINE('',#483102,#112058); #74140=LINE('',#483105,#112059); #74141=LINE('',#483107,#112060); #74142=LINE('',#483108,#112061); #74143=LINE('',#483111,#112062); #74144=LINE('',#483113,#112063); #74145=LINE('',#483114,#112064); #74146=LINE('',#483117,#112065); #74147=LINE('',#483119,#112066); #74148=LINE('',#483120,#112067); #74149=LINE('',#483123,#112068); #74150=LINE('',#483125,#112069); #74151=LINE('',#483126,#112070); #74152=LINE('',#483129,#112071); #74153=LINE('',#483131,#112072); #74154=LINE('',#483132,#112073); #74155=LINE('',#483135,#112074); #74156=LINE('',#483137,#112075); #74157=LINE('',#483138,#112076); #74158=LINE('',#483141,#112077); #74159=LINE('',#483143,#112078); #74160=LINE('',#483144,#112079); #74161=LINE('',#483147,#112080); #74162=LINE('',#483149,#112081); #74163=LINE('',#483150,#112082); #74164=LINE('',#483153,#112083); #74165=LINE('',#483155,#112084); #74166=LINE('',#483156,#112085); #74167=LINE('',#483159,#112086); #74168=LINE('',#483161,#112087); #74169=LINE('',#483162,#112088); #74170=LINE('',#483165,#112089); #74171=LINE('',#483167,#112090); #74172=LINE('',#483168,#112091); #74173=LINE('',#483171,#112092); #74174=LINE('',#483173,#112093); #74175=LINE('',#483174,#112094); #74176=LINE('',#483177,#112095); #74177=LINE('',#483179,#112096); #74178=LINE('',#483180,#112097); #74179=LINE('',#483183,#112098); #74180=LINE('',#483185,#112099); #74181=LINE('',#483186,#112100); #74182=LINE('',#483189,#112101); #74183=LINE('',#483191,#112102); #74184=LINE('',#483192,#112103); #74185=LINE('',#483195,#112104); #74186=LINE('',#483197,#112105); #74187=LINE('',#483198,#112106); #74188=LINE('',#483201,#112107); #74189=LINE('',#483203,#112108); #74190=LINE('',#483204,#112109); #74191=LINE('',#483207,#112110); #74192=LINE('',#483209,#112111); #74193=LINE('',#483210,#112112); #74194=LINE('',#483213,#112113); #74195=LINE('',#483215,#112114); #74196=LINE('',#483216,#112115); #74197=LINE('',#483219,#112116); #74198=LINE('',#483221,#112117); #74199=LINE('',#483222,#112118); #74200=LINE('',#483225,#112119); #74201=LINE('',#483227,#112120); #74202=LINE('',#483228,#112121); #74203=LINE('',#483231,#112122); #74204=LINE('',#483233,#112123); #74205=LINE('',#483234,#112124); #74206=LINE('',#483237,#112125); #74207=LINE('',#483239,#112126); #74208=LINE('',#483240,#112127); #74209=LINE('',#483243,#112128); #74210=LINE('',#483245,#112129); #74211=LINE('',#483246,#112130); #74212=LINE('',#483249,#112131); #74213=LINE('',#483251,#112132); #74214=LINE('',#483252,#112133); #74215=LINE('',#483255,#112134); #74216=LINE('',#483257,#112135); #74217=LINE('',#483258,#112136); #74218=LINE('',#483261,#112137); #74219=LINE('',#483263,#112138); #74220=LINE('',#483264,#112139); #74221=LINE('',#483267,#112140); #74222=LINE('',#483269,#112141); #74223=LINE('',#483270,#112142); #74224=LINE('',#483273,#112143); #74225=LINE('',#483275,#112144); #74226=LINE('',#483276,#112145); #74227=LINE('',#483279,#112146); #74228=LINE('',#483281,#112147); #74229=LINE('',#483282,#112148); #74230=LINE('',#483285,#112149); #74231=LINE('',#483287,#112150); #74232=LINE('',#483288,#112151); #74233=LINE('',#483291,#112152); #74234=LINE('',#483293,#112153); #74235=LINE('',#483294,#112154); #74236=LINE('',#483297,#112155); #74237=LINE('',#483299,#112156); #74238=LINE('',#483300,#112157); #74239=LINE('',#483303,#112158); #74240=LINE('',#483305,#112159); #74241=LINE('',#483306,#112160); #74242=LINE('',#483309,#112161); #74243=LINE('',#483311,#112162); #74244=LINE('',#483312,#112163); #74245=LINE('',#483315,#112164); #74246=LINE('',#483317,#112165); #74247=LINE('',#483318,#112166); #74248=LINE('',#483321,#112167); #74249=LINE('',#483323,#112168); #74250=LINE('',#483324,#112169); #74251=LINE('',#483330,#112170); #74252=LINE('',#483333,#112171); #74253=LINE('',#483335,#112172); #74254=LINE('',#483336,#112173); #74255=LINE('',#483342,#112174); #74256=LINE('',#483345,#112175); #74257=LINE('',#483347,#112176); #74258=LINE('',#483348,#112177); #74259=LINE('',#483351,#112178); #74260=LINE('',#483353,#112179); #74261=LINE('',#483354,#112180); #74262=LINE('',#483357,#112181); #74263=LINE('',#483359,#112182); #74264=LINE('',#483360,#112183); #74265=LINE('',#483370,#112184); #74266=LINE('',#483375,#112185); #74267=LINE('',#483377,#112186); #74268=LINE('',#483379,#112187); #74269=LINE('',#483380,#112188); #74270=LINE('',#483383,#112189); #74271=LINE('',#483385,#112190); #74272=LINE('',#483386,#112191); #74273=LINE('',#483389,#112192); #74274=LINE('',#483391,#112193); #74275=LINE('',#483392,#112194); #74276=LINE('',#483395,#112195); #74277=LINE('',#483397,#112196); #74278=LINE('',#483398,#112197); #74279=LINE('',#483401,#112198); #74280=LINE('',#483403,#112199); #74281=LINE('',#483404,#112200); #74282=LINE('',#483410,#112201); #74283=LINE('',#483413,#112202); #74284=LINE('',#483415,#112203); #74285=LINE('',#483416,#112204); #74286=LINE('',#483419,#112205); #74287=LINE('',#483421,#112206); #74288=LINE('',#483422,#112207); #74289=LINE('',#483428,#112208); #74290=LINE('',#483431,#112209); #74291=LINE('',#483433,#112210); #74292=LINE('',#483434,#112211); #74293=LINE('',#483437,#112212); #74294=LINE('',#483439,#112213); #74295=LINE('',#483440,#112214); #74296=LINE('',#483446,#112215); #74297=LINE('',#483452,#112216); #74298=LINE('',#483455,#112217); #74299=LINE('',#483457,#112218); #74300=LINE('',#483458,#112219); #74301=LINE('',#483464,#112220); #74302=LINE('',#483467,#112221); #74303=LINE('',#483469,#112222); #74304=LINE('',#483470,#112223); #74305=LINE('',#483473,#112224); #74306=LINE('',#483475,#112225); #74307=LINE('',#483476,#112226); #74308=LINE('',#483482,#112227); #74309=LINE('',#483485,#112228); #74310=LINE('',#483487,#112229); #74311=LINE('',#483488,#112230); #74312=LINE('',#483491,#112231); #74313=LINE('',#483493,#112232); #74314=LINE('',#483494,#112233); #74315=LINE('',#483496,#112234); #74316=LINE('',#483497,#112235); #74317=LINE('',#483504,#112236); #74318=LINE('',#483510,#112237); #74319=LINE('',#483516,#112238); #74320=LINE('',#483522,#112239); #74321=LINE('',#483528,#112240); #74322=LINE('',#483534,#112241); #74323=LINE('',#483540,#112242); #74324=LINE('',#483546,#112243); #74325=LINE('',#483552,#112244); #74326=LINE('',#483558,#112245); #74327=LINE('',#483564,#112246); #74328=LINE('',#483570,#112247); #74329=LINE('',#483576,#112248); #74330=LINE('',#483582,#112249); #74331=LINE('',#483588,#112250); #74332=LINE('',#483594,#112251); #74333=LINE('',#483599,#112252); #74334=LINE('',#483601,#112253); #74335=LINE('',#483603,#112254); #74336=LINE('',#483604,#112255); #74337=LINE('',#483607,#112256); #74338=LINE('',#483609,#112257); #74339=LINE('',#483610,#112258); #74340=LINE('',#483613,#112259); #74341=LINE('',#483615,#112260); #74342=LINE('',#483616,#112261); #74343=LINE('',#483619,#112262); #74344=LINE('',#483621,#112263); #74345=LINE('',#483622,#112264); #74346=LINE('',#483625,#112265); #74347=LINE('',#483627,#112266); #74348=LINE('',#483628,#112267); #74349=LINE('',#483631,#112268); #74350=LINE('',#483633,#112269); #74351=LINE('',#483634,#112270); #74352=LINE('',#483637,#112271); #74353=LINE('',#483639,#112272); #74354=LINE('',#483640,#112273); #74355=LINE('',#483643,#112274); #74356=LINE('',#483645,#112275); #74357=LINE('',#483646,#112276); #74358=LINE('',#483649,#112277); #74359=LINE('',#483651,#112278); #74360=LINE('',#483652,#112279); #74361=LINE('',#483655,#112280); #74362=LINE('',#483657,#112281); #74363=LINE('',#483658,#112282); #74364=LINE('',#483661,#112283); #74365=LINE('',#483663,#112284); #74366=LINE('',#483664,#112285); #74367=LINE('',#483667,#112286); #74368=LINE('',#483669,#112287); #74369=LINE('',#483670,#112288); #74370=LINE('',#483673,#112289); #74371=LINE('',#483675,#112290); #74372=LINE('',#483676,#112291); #74373=LINE('',#483679,#112292); #74374=LINE('',#483681,#112293); #74375=LINE('',#483682,#112294); #74376=LINE('',#483685,#112295); #74377=LINE('',#483687,#112296); #74378=LINE('',#483688,#112297); #74379=LINE('',#483691,#112298); #74380=LINE('',#483693,#112299); #74381=LINE('',#483694,#112300); #74382=LINE('',#483697,#112301); #74383=LINE('',#483699,#112302); #74384=LINE('',#483700,#112303); #74385=LINE('',#483703,#112304); #74386=LINE('',#483705,#112305); #74387=LINE('',#483706,#112306); #74388=LINE('',#483709,#112307); #74389=LINE('',#483711,#112308); #74390=LINE('',#483712,#112309); #74391=LINE('',#483715,#112310); #74392=LINE('',#483717,#112311); #74393=LINE('',#483718,#112312); #74394=LINE('',#483721,#112313); #74395=LINE('',#483723,#112314); #74396=LINE('',#483724,#112315); #74397=LINE('',#483727,#112316); #74398=LINE('',#483729,#112317); #74399=LINE('',#483730,#112318); #74400=LINE('',#483733,#112319); #74401=LINE('',#483735,#112320); #74402=LINE('',#483736,#112321); #74403=LINE('',#483738,#112322); #74404=LINE('',#483739,#112323); #74405=LINE('',#483743,#112324); #74406=LINE('',#483745,#112325); #74407=LINE('',#483747,#112326); #74408=LINE('',#483748,#112327); #74409=LINE('',#483751,#112328); #74410=LINE('',#483753,#112329); #74411=LINE('',#483754,#112330); #74412=LINE('',#483757,#112331); #74413=LINE('',#483759,#112332); #74414=LINE('',#483760,#112333); #74415=LINE('',#483763,#112334); #74416=LINE('',#483765,#112335); #74417=LINE('',#483766,#112336); #74418=LINE('',#483769,#112337); #74419=LINE('',#483771,#112338); #74420=LINE('',#483772,#112339); #74421=LINE('',#483775,#112340); #74422=LINE('',#483777,#112341); #74423=LINE('',#483778,#112342); #74424=LINE('',#483781,#112343); #74425=LINE('',#483783,#112344); #74426=LINE('',#483784,#112345); #74427=LINE('',#483787,#112346); #74428=LINE('',#483789,#112347); #74429=LINE('',#483790,#112348); #74430=LINE('',#483793,#112349); #74431=LINE('',#483795,#112350); #74432=LINE('',#483796,#112351); #74433=LINE('',#483799,#112352); #74434=LINE('',#483801,#112353); #74435=LINE('',#483802,#112354); #74436=LINE('',#483805,#112355); #74437=LINE('',#483807,#112356); #74438=LINE('',#483808,#112357); #74439=LINE('',#483811,#112358); #74440=LINE('',#483813,#112359); #74441=LINE('',#483814,#112360); #74442=LINE('',#483817,#112361); #74443=LINE('',#483819,#112362); #74444=LINE('',#483820,#112363); #74445=LINE('',#483823,#112364); #74446=LINE('',#483825,#112365); #74447=LINE('',#483826,#112366); #74448=LINE('',#483829,#112367); #74449=LINE('',#483831,#112368); #74450=LINE('',#483832,#112369); #74451=LINE('',#483835,#112370); #74452=LINE('',#483837,#112371); #74453=LINE('',#483838,#112372); #74454=LINE('',#483841,#112373); #74455=LINE('',#483843,#112374); #74456=LINE('',#483844,#112375); #74457=LINE('',#483847,#112376); #74458=LINE('',#483849,#112377); #74459=LINE('',#483850,#112378); #74460=LINE('',#483853,#112379); #74461=LINE('',#483855,#112380); #74462=LINE('',#483856,#112381); #74463=LINE('',#483859,#112382); #74464=LINE('',#483861,#112383); #74465=LINE('',#483862,#112384); #74466=LINE('',#483865,#112385); #74467=LINE('',#483867,#112386); #74468=LINE('',#483868,#112387); #74469=LINE('',#483871,#112388); #74470=LINE('',#483873,#112389); #74471=LINE('',#483874,#112390); #74472=LINE('',#483877,#112391); #74473=LINE('',#483879,#112392); #74474=LINE('',#483880,#112393); #74475=LINE('',#483883,#112394); #74476=LINE('',#483885,#112395); #74477=LINE('',#483886,#112396); #74478=LINE('',#483889,#112397); #74479=LINE('',#483891,#112398); #74480=LINE('',#483892,#112399); #74481=LINE('',#483895,#112400); #74482=LINE('',#483897,#112401); #74483=LINE('',#483898,#112402); #74484=LINE('',#483901,#112403); #74485=LINE('',#483903,#112404); #74486=LINE('',#483904,#112405); #74487=LINE('',#483907,#112406); #74488=LINE('',#483909,#112407); #74489=LINE('',#483910,#112408); #74490=LINE('',#483913,#112409); #74491=LINE('',#483915,#112410); #74492=LINE('',#483916,#112411); #74493=LINE('',#483919,#112412); #74494=LINE('',#483921,#112413); #74495=LINE('',#483922,#112414); #74496=LINE('',#483925,#112415); #74497=LINE('',#483927,#112416); #74498=LINE('',#483928,#112417); #74499=LINE('',#483931,#112418); #74500=LINE('',#483933,#112419); #74501=LINE('',#483934,#112420); #74502=LINE('',#483937,#112421); #74503=LINE('',#483939,#112422); #74504=LINE('',#483940,#112423); #74505=LINE('',#483943,#112424); #74506=LINE('',#483945,#112425); #74507=LINE('',#483946,#112426); #74508=LINE('',#483949,#112427); #74509=LINE('',#483951,#112428); #74510=LINE('',#483952,#112429); #74511=LINE('',#483955,#112430); #74512=LINE('',#483957,#112431); #74513=LINE('',#483958,#112432); #74514=LINE('',#483961,#112433); #74515=LINE('',#483963,#112434); #74516=LINE('',#483964,#112435); #74517=LINE('',#483967,#112436); #74518=LINE('',#483969,#112437); #74519=LINE('',#483970,#112438); #74520=LINE('',#483973,#112439); #74521=LINE('',#483975,#112440); #74522=LINE('',#483976,#112441); #74523=LINE('',#483979,#112442); #74524=LINE('',#483981,#112443); #74525=LINE('',#483982,#112444); #74526=LINE('',#483985,#112445); #74527=LINE('',#483987,#112446); #74528=LINE('',#483988,#112447); #74529=LINE('',#483991,#112448); #74530=LINE('',#483993,#112449); #74531=LINE('',#483994,#112450); #74532=LINE('',#483997,#112451); #74533=LINE('',#483999,#112452); #74534=LINE('',#484000,#112453); #74535=LINE('',#484003,#112454); #74536=LINE('',#484005,#112455); #74537=LINE('',#484006,#112456); #74538=LINE('',#484009,#112457); #74539=LINE('',#484011,#112458); #74540=LINE('',#484012,#112459); #74541=LINE('',#484015,#112460); #74542=LINE('',#484017,#112461); #74543=LINE('',#484018,#112462); #74544=LINE('',#484021,#112463); #74545=LINE('',#484023,#112464); #74546=LINE('',#484024,#112465); #74547=LINE('',#484027,#112466); #74548=LINE('',#484029,#112467); #74549=LINE('',#484030,#112468); #74550=LINE('',#484033,#112469); #74551=LINE('',#484035,#112470); #74552=LINE('',#484036,#112471); #74553=LINE('',#484039,#112472); #74554=LINE('',#484041,#112473); #74555=LINE('',#484042,#112474); #74556=LINE('',#484045,#112475); #74557=LINE('',#484047,#112476); #74558=LINE('',#484048,#112477); #74559=LINE('',#484051,#112478); #74560=LINE('',#484053,#112479); #74561=LINE('',#484054,#112480); #74562=LINE('',#484057,#112481); #74563=LINE('',#484059,#112482); #74564=LINE('',#484060,#112483); #74565=LINE('',#484063,#112484); #74566=LINE('',#484065,#112485); #74567=LINE('',#484066,#112486); #74568=LINE('',#484069,#112487); #74569=LINE('',#484071,#112488); #74570=LINE('',#484072,#112489); #74571=LINE('',#484075,#112490); #74572=LINE('',#484077,#112491); #74573=LINE('',#484078,#112492); #74574=LINE('',#484081,#112493); #74575=LINE('',#484083,#112494); #74576=LINE('',#484084,#112495); #74577=LINE('',#484087,#112496); #74578=LINE('',#484089,#112497); #74579=LINE('',#484090,#112498); #74580=LINE('',#484093,#112499); #74581=LINE('',#484095,#112500); #74582=LINE('',#484096,#112501); #74583=LINE('',#484099,#112502); #74584=LINE('',#484101,#112503); #74585=LINE('',#484102,#112504); #74586=LINE('',#484105,#112505); #74587=LINE('',#484107,#112506); #74588=LINE('',#484108,#112507); #74589=LINE('',#484111,#112508); #74590=LINE('',#484113,#112509); #74591=LINE('',#484114,#112510); #74592=LINE('',#484117,#112511); #74593=LINE('',#484119,#112512); #74594=LINE('',#484120,#112513); #74595=LINE('',#484123,#112514); #74596=LINE('',#484125,#112515); #74597=LINE('',#484126,#112516); #74598=LINE('',#484129,#112517); #74599=LINE('',#484131,#112518); #74600=LINE('',#484132,#112519); #74601=LINE('',#484135,#112520); #74602=LINE('',#484137,#112521); #74603=LINE('',#484138,#112522); #74604=LINE('',#484141,#112523); #74605=LINE('',#484143,#112524); #74606=LINE('',#484144,#112525); #74607=LINE('',#484147,#112526); #74608=LINE('',#484149,#112527); #74609=LINE('',#484150,#112528); #74610=LINE('',#484153,#112529); #74611=LINE('',#484155,#112530); #74612=LINE('',#484156,#112531); #74613=LINE('',#484159,#112532); #74614=LINE('',#484161,#112533); #74615=LINE('',#484162,#112534); #74616=LINE('',#484165,#112535); #74617=LINE('',#484167,#112536); #74618=LINE('',#484168,#112537); #74619=LINE('',#484171,#112538); #74620=LINE('',#484173,#112539); #74621=LINE('',#484174,#112540); #74622=LINE('',#484177,#112541); #74623=LINE('',#484179,#112542); #74624=LINE('',#484180,#112543); #74625=LINE('',#484183,#112544); #74626=LINE('',#484185,#112545); #74627=LINE('',#484186,#112546); #74628=LINE('',#484189,#112547); #74629=LINE('',#484191,#112548); #74630=LINE('',#484192,#112549); #74631=LINE('',#484195,#112550); #74632=LINE('',#484197,#112551); #74633=LINE('',#484198,#112552); #74634=LINE('',#484201,#112553); #74635=LINE('',#484203,#112554); #74636=LINE('',#484204,#112555); #74637=LINE('',#484207,#112556); #74638=LINE('',#484209,#112557); #74639=LINE('',#484210,#112558); #74640=LINE('',#484213,#112559); #74641=LINE('',#484215,#112560); #74642=LINE('',#484216,#112561); #74643=LINE('',#484219,#112562); #74644=LINE('',#484221,#112563); #74645=LINE('',#484222,#112564); #74646=LINE('',#484225,#112565); #74647=LINE('',#484227,#112566); #74648=LINE('',#484228,#112567); #74649=LINE('',#484231,#112568); #74650=LINE('',#484233,#112569); #74651=LINE('',#484234,#112570); #74652=LINE('',#484237,#112571); #74653=LINE('',#484239,#112572); #74654=LINE('',#484240,#112573); #74655=LINE('',#484243,#112574); #74656=LINE('',#484245,#112575); #74657=LINE('',#484246,#112576); #74658=LINE('',#484249,#112577); #74659=LINE('',#484251,#112578); #74660=LINE('',#484252,#112579); #74661=LINE('',#484255,#112580); #74662=LINE('',#484257,#112581); #74663=LINE('',#484258,#112582); #74664=LINE('',#484261,#112583); #74665=LINE('',#484263,#112584); #74666=LINE('',#484264,#112585); #74667=LINE('',#484267,#112586); #74668=LINE('',#484269,#112587); #74669=LINE('',#484270,#112588); #74670=LINE('',#484273,#112589); #74671=LINE('',#484275,#112590); #74672=LINE('',#484276,#112591); #74673=LINE('',#484279,#112592); #74674=LINE('',#484281,#112593); #74675=LINE('',#484282,#112594); #74676=LINE('',#484285,#112595); #74677=LINE('',#484287,#112596); #74678=LINE('',#484288,#112597); #74679=LINE('',#484291,#112598); #74680=LINE('',#484293,#112599); #74681=LINE('',#484294,#112600); #74682=LINE('',#484297,#112601); #74683=LINE('',#484299,#112602); #74684=LINE('',#484300,#112603); #74685=LINE('',#484303,#112604); #74686=LINE('',#484305,#112605); #74687=LINE('',#484306,#112606); #74688=LINE('',#484309,#112607); #74689=LINE('',#484311,#112608); #74690=LINE('',#484312,#112609); #74691=LINE('',#484315,#112610); #74692=LINE('',#484317,#112611); #74693=LINE('',#484318,#112612); #74694=LINE('',#484321,#112613); #74695=LINE('',#484323,#112614); #74696=LINE('',#484324,#112615); #74697=LINE('',#484327,#112616); #74698=LINE('',#484329,#112617); #74699=LINE('',#484330,#112618); #74700=LINE('',#484333,#112619); #74701=LINE('',#484335,#112620); #74702=LINE('',#484336,#112621); #74703=LINE('',#484339,#112622); #74704=LINE('',#484341,#112623); #74705=LINE('',#484342,#112624); #74706=LINE('',#484345,#112625); #74707=LINE('',#484347,#112626); #74708=LINE('',#484348,#112627); #74709=LINE('',#484351,#112628); #74710=LINE('',#484353,#112629); #74711=LINE('',#484354,#112630); #74712=LINE('',#484357,#112631); #74713=LINE('',#484359,#112632); #74714=LINE('',#484360,#112633); #74715=LINE('',#484363,#112634); #74716=LINE('',#484365,#112635); #74717=LINE('',#484366,#112636); #74718=LINE('',#484369,#112637); #74719=LINE('',#484371,#112638); #74720=LINE('',#484372,#112639); #74721=LINE('',#484375,#112640); #74722=LINE('',#484377,#112641); #74723=LINE('',#484378,#112642); #74724=LINE('',#484381,#112643); #74725=LINE('',#484383,#112644); #74726=LINE('',#484384,#112645); #74727=LINE('',#484387,#112646); #74728=LINE('',#484389,#112647); #74729=LINE('',#484390,#112648); #74730=LINE('',#484393,#112649); #74731=LINE('',#484395,#112650); #74732=LINE('',#484396,#112651); #74733=LINE('',#484399,#112652); #74734=LINE('',#484401,#112653); #74735=LINE('',#484402,#112654); #74736=LINE('',#484405,#112655); #74737=LINE('',#484407,#112656); #74738=LINE('',#484408,#112657); #74739=LINE('',#484411,#112658); #74740=LINE('',#484413,#112659); #74741=LINE('',#484414,#112660); #74742=LINE('',#484417,#112661); #74743=LINE('',#484419,#112662); #74744=LINE('',#484420,#112663); #74745=LINE('',#484423,#112664); #74746=LINE('',#484425,#112665); #74747=LINE('',#484426,#112666); #74748=LINE('',#484429,#112667); #74749=LINE('',#484431,#112668); #74750=LINE('',#484432,#112669); #74751=LINE('',#484435,#112670); #74752=LINE('',#484437,#112671); #74753=LINE('',#484438,#112672); #74754=LINE('',#484441,#112673); #74755=LINE('',#484443,#112674); #74756=LINE('',#484444,#112675); #74757=LINE('',#484447,#112676); #74758=LINE('',#484449,#112677); #74759=LINE('',#484450,#112678); #74760=LINE('',#484453,#112679); #74761=LINE('',#484455,#112680); #74762=LINE('',#484456,#112681); #74763=LINE('',#484459,#112682); #74764=LINE('',#484461,#112683); #74765=LINE('',#484462,#112684); #74766=LINE('',#484465,#112685); #74767=LINE('',#484467,#112686); #74768=LINE('',#484468,#112687); #74769=LINE('',#484471,#112688); #74770=LINE('',#484473,#112689); #74771=LINE('',#484474,#112690); #74772=LINE('',#484477,#112691); #74773=LINE('',#484479,#112692); #74774=LINE('',#484480,#112693); #74775=LINE('',#484483,#112694); #74776=LINE('',#484485,#112695); #74777=LINE('',#484486,#112696); #74778=LINE('',#484489,#112697); #74779=LINE('',#484491,#112698); #74780=LINE('',#484492,#112699); #74781=LINE('',#484495,#112700); #74782=LINE('',#484497,#112701); #74783=LINE('',#484498,#112702); #74784=LINE('',#484501,#112703); #74785=LINE('',#484503,#112704); #74786=LINE('',#484504,#112705); #74787=LINE('',#484507,#112706); #74788=LINE('',#484509,#112707); #74789=LINE('',#484510,#112708); #74790=LINE('',#484513,#112709); #74791=LINE('',#484515,#112710); #74792=LINE('',#484516,#112711); #74793=LINE('',#484519,#112712); #74794=LINE('',#484521,#112713); #74795=LINE('',#484522,#112714); #74796=LINE('',#484525,#112715); #74797=LINE('',#484527,#112716); #74798=LINE('',#484528,#112717); #74799=LINE('',#484531,#112718); #74800=LINE('',#484533,#112719); #74801=LINE('',#484534,#112720); #74802=LINE('',#484537,#112721); #74803=LINE('',#484539,#112722); #74804=LINE('',#484540,#112723); #74805=LINE('',#484543,#112724); #74806=LINE('',#484545,#112725); #74807=LINE('',#484546,#112726); #74808=LINE('',#484549,#112727); #74809=LINE('',#484551,#112728); #74810=LINE('',#484552,#112729); #74811=LINE('',#484555,#112730); #74812=LINE('',#484557,#112731); #74813=LINE('',#484558,#112732); #74814=LINE('',#484561,#112733); #74815=LINE('',#484563,#112734); #74816=LINE('',#484564,#112735); #74817=LINE('',#484567,#112736); #74818=LINE('',#484569,#112737); #74819=LINE('',#484570,#112738); #74820=LINE('',#484573,#112739); #74821=LINE('',#484575,#112740); #74822=LINE('',#484576,#112741); #74823=LINE('',#484579,#112742); #74824=LINE('',#484581,#112743); #74825=LINE('',#484582,#112744); #74826=LINE('',#484585,#112745); #74827=LINE('',#484587,#112746); #74828=LINE('',#484588,#112747); #74829=LINE('',#484591,#112748); #74830=LINE('',#484593,#112749); #74831=LINE('',#484594,#112750); #74832=LINE('',#484597,#112751); #74833=LINE('',#484599,#112752); #74834=LINE('',#484600,#112753); #74835=LINE('',#484603,#112754); #74836=LINE('',#484605,#112755); #74837=LINE('',#484606,#112756); #74838=LINE('',#484609,#112757); #74839=LINE('',#484611,#112758); #74840=LINE('',#484612,#112759); #74841=LINE('',#484615,#112760); #74842=LINE('',#484617,#112761); #74843=LINE('',#484618,#112762); #74844=LINE('',#484621,#112763); #74845=LINE('',#484623,#112764); #74846=LINE('',#484624,#112765); #74847=LINE('',#484627,#112766); #74848=LINE('',#484629,#112767); #74849=LINE('',#484630,#112768); #74850=LINE('',#484633,#112769); #74851=LINE('',#484635,#112770); #74852=LINE('',#484636,#112771); #74853=LINE('',#484639,#112772); #74854=LINE('',#484641,#112773); #74855=LINE('',#484642,#112774); #74856=LINE('',#484645,#112775); #74857=LINE('',#484647,#112776); #74858=LINE('',#484648,#112777); #74859=LINE('',#484651,#112778); #74860=LINE('',#484653,#112779); #74861=LINE('',#484654,#112780); #74862=LINE('',#484657,#112781); #74863=LINE('',#484659,#112782); #74864=LINE('',#484660,#112783); #74865=LINE('',#484663,#112784); #74866=LINE('',#484665,#112785); #74867=LINE('',#484666,#112786); #74868=LINE('',#484669,#112787); #74869=LINE('',#484671,#112788); #74870=LINE('',#484672,#112789); #74871=LINE('',#484675,#112790); #74872=LINE('',#484677,#112791); #74873=LINE('',#484678,#112792); #74874=LINE('',#484681,#112793); #74875=LINE('',#484683,#112794); #74876=LINE('',#484684,#112795); #74877=LINE('',#484687,#112796); #74878=LINE('',#484689,#112797); #74879=LINE('',#484690,#112798); #74880=LINE('',#484693,#112799); #74881=LINE('',#484695,#112800); #74882=LINE('',#484696,#112801); #74883=LINE('',#484699,#112802); #74884=LINE('',#484701,#112803); #74885=LINE('',#484702,#112804); #74886=LINE('',#484705,#112805); #74887=LINE('',#484707,#112806); #74888=LINE('',#484708,#112807); #74889=LINE('',#484711,#112808); #74890=LINE('',#484713,#112809); #74891=LINE('',#484714,#112810); #74892=LINE('',#484717,#112811); #74893=LINE('',#484719,#112812); #74894=LINE('',#484720,#112813); #74895=LINE('',#484723,#112814); #74896=LINE('',#484725,#112815); #74897=LINE('',#484726,#112816); #74898=LINE('',#484729,#112817); #74899=LINE('',#484731,#112818); #74900=LINE('',#484732,#112819); #74901=LINE('',#484735,#112820); #74902=LINE('',#484737,#112821); #74903=LINE('',#484738,#112822); #74904=LINE('',#484741,#112823); #74905=LINE('',#484743,#112824); #74906=LINE('',#484744,#112825); #74907=LINE('',#484747,#112826); #74908=LINE('',#484749,#112827); #74909=LINE('',#484750,#112828); #74910=LINE('',#484753,#112829); #74911=LINE('',#484755,#112830); #74912=LINE('',#484756,#112831); #74913=LINE('',#484759,#112832); #74914=LINE('',#484761,#112833); #74915=LINE('',#484762,#112834); #74916=LINE('',#484765,#112835); #74917=LINE('',#484767,#112836); #74918=LINE('',#484768,#112837); #74919=LINE('',#484771,#112838); #74920=LINE('',#484773,#112839); #74921=LINE('',#484774,#112840); #74922=LINE('',#484777,#112841); #74923=LINE('',#484779,#112842); #74924=LINE('',#484780,#112843); #74925=LINE('',#484783,#112844); #74926=LINE('',#484785,#112845); #74927=LINE('',#484786,#112846); #74928=LINE('',#484789,#112847); #74929=LINE('',#484791,#112848); #74930=LINE('',#484792,#112849); #74931=LINE('',#484795,#112850); #74932=LINE('',#484797,#112851); #74933=LINE('',#484798,#112852); #74934=LINE('',#484801,#112853); #74935=LINE('',#484803,#112854); #74936=LINE('',#484804,#112855); #74937=LINE('',#484807,#112856); #74938=LINE('',#484809,#112857); #74939=LINE('',#484810,#112858); #74940=LINE('',#484813,#112859); #74941=LINE('',#484815,#112860); #74942=LINE('',#484816,#112861); #74943=LINE('',#484819,#112862); #74944=LINE('',#484821,#112863); #74945=LINE('',#484822,#112864); #74946=LINE('',#484825,#112865); #74947=LINE('',#484827,#112866); #74948=LINE('',#484828,#112867); #74949=LINE('',#484831,#112868); #74950=LINE('',#484833,#112869); #74951=LINE('',#484834,#112870); #74952=LINE('',#484837,#112871); #74953=LINE('',#484839,#112872); #74954=LINE('',#484840,#112873); #74955=LINE('',#484843,#112874); #74956=LINE('',#484845,#112875); #74957=LINE('',#484846,#112876); #74958=LINE('',#484849,#112877); #74959=LINE('',#484851,#112878); #74960=LINE('',#484852,#112879); #74961=LINE('',#484855,#112880); #74962=LINE('',#484857,#112881); #74963=LINE('',#484858,#112882); #74964=LINE('',#484861,#112883); #74965=LINE('',#484863,#112884); #74966=LINE('',#484864,#112885); #74967=LINE('',#484867,#112886); #74968=LINE('',#484869,#112887); #74969=LINE('',#484870,#112888); #74970=LINE('',#484873,#112889); #74971=LINE('',#484875,#112890); #74972=LINE('',#484876,#112891); #74973=LINE('',#484879,#112892); #74974=LINE('',#484881,#112893); #74975=LINE('',#484882,#112894); #74976=LINE('',#484885,#112895); #74977=LINE('',#484887,#112896); #74978=LINE('',#484888,#112897); #74979=LINE('',#484891,#112898); #74980=LINE('',#484893,#112899); #74981=LINE('',#484894,#112900); #74982=LINE('',#484900,#112901); #74983=LINE('',#484903,#112902); #74984=LINE('',#484905,#112903); #74985=LINE('',#484906,#112904); #74986=LINE('',#484912,#112905); #74987=LINE('',#484915,#112906); #74988=LINE('',#484917,#112907); #74989=LINE('',#484918,#112908); #74990=LINE('',#484921,#112909); #74991=LINE('',#484923,#112910); #74992=LINE('',#484924,#112911); #74993=LINE('',#484927,#112912); #74994=LINE('',#484929,#112913); #74995=LINE('',#484930,#112914); #74996=LINE('',#484933,#112915); #74997=LINE('',#484935,#112916); #74998=LINE('',#484936,#112917); #74999=LINE('',#484939,#112918); #75000=LINE('',#484941,#112919); #75001=LINE('',#484942,#112920); #75002=LINE('',#484945,#112921); #75003=LINE('',#484947,#112922); #75004=LINE('',#484948,#112923); #75005=LINE('',#484951,#112924); #75006=LINE('',#484953,#112925); #75007=LINE('',#484954,#112926); #75008=LINE('',#484957,#112927); #75009=LINE('',#484959,#112928); #75010=LINE('',#484960,#112929); #75011=LINE('',#484966,#112930); #75012=LINE('',#484969,#112931); #75013=LINE('',#484971,#112932); #75014=LINE('',#484972,#112933); #75015=LINE('',#484975,#112934); #75016=LINE('',#484977,#112935); #75017=LINE('',#484978,#112936); #75018=LINE('',#484984,#112937); #75019=LINE('',#484987,#112938); #75020=LINE('',#484989,#112939); #75021=LINE('',#484990,#112940); #75022=LINE('',#484993,#112941); #75023=LINE('',#484995,#112942); #75024=LINE('',#484996,#112943); #75025=LINE('',#484999,#112944); #75026=LINE('',#485001,#112945); #75027=LINE('',#485002,#112946); #75028=LINE('',#485005,#112947); #75029=LINE('',#485007,#112948); #75030=LINE('',#485008,#112949); #75031=LINE('',#485011,#112950); #75032=LINE('',#485013,#112951); #75033=LINE('',#485014,#112952); #75034=LINE('',#485017,#112953); #75035=LINE('',#485019,#112954); #75036=LINE('',#485020,#112955); #75037=LINE('',#485023,#112956); #75038=LINE('',#485025,#112957); #75039=LINE('',#485026,#112958); #75040=LINE('',#485029,#112959); #75041=LINE('',#485031,#112960); #75042=LINE('',#485032,#112961); #75043=LINE('',#485035,#112962); #75044=LINE('',#485037,#112963); #75045=LINE('',#485038,#112964); #75046=LINE('',#485041,#112965); #75047=LINE('',#485043,#112966); #75048=LINE('',#485044,#112967); #75049=LINE('',#485047,#112968); #75050=LINE('',#485049,#112969); #75051=LINE('',#485050,#112970); #75052=LINE('',#485053,#112971); #75053=LINE('',#485055,#112972); #75054=LINE('',#485056,#112973); #75055=LINE('',#485059,#112974); #75056=LINE('',#485061,#112975); #75057=LINE('',#485062,#112976); #75058=LINE('',#485065,#112977); #75059=LINE('',#485067,#112978); #75060=LINE('',#485068,#112979); #75061=LINE('',#485071,#112980); #75062=LINE('',#485073,#112981); #75063=LINE('',#485074,#112982); #75064=LINE('',#485077,#112983); #75065=LINE('',#485079,#112984); #75066=LINE('',#485080,#112985); #75067=LINE('',#485083,#112986); #75068=LINE('',#485085,#112987); #75069=LINE('',#485086,#112988); #75070=LINE('',#485089,#112989); #75071=LINE('',#485091,#112990); #75072=LINE('',#485092,#112991); #75073=LINE('',#485095,#112992); #75074=LINE('',#485097,#112993); #75075=LINE('',#485098,#112994); #75076=LINE('',#485101,#112995); #75077=LINE('',#485103,#112996); #75078=LINE('',#485104,#112997); #75079=LINE('',#485107,#112998); #75080=LINE('',#485109,#112999); #75081=LINE('',#485110,#113000); #75082=LINE('',#485113,#113001); #75083=LINE('',#485115,#113002); #75084=LINE('',#485116,#113003); #75085=LINE('',#485119,#113004); #75086=LINE('',#485121,#113005); #75087=LINE('',#485122,#113006); #75088=LINE('',#485125,#113007); #75089=LINE('',#485127,#113008); #75090=LINE('',#485128,#113009); #75091=LINE('',#485131,#113010); #75092=LINE('',#485133,#113011); #75093=LINE('',#485134,#113012); #75094=LINE('',#485137,#113013); #75095=LINE('',#485139,#113014); #75096=LINE('',#485140,#113015); #75097=LINE('',#485143,#113016); #75098=LINE('',#485145,#113017); #75099=LINE('',#485146,#113018); #75100=LINE('',#485149,#113019); #75101=LINE('',#485151,#113020); #75102=LINE('',#485152,#113021); #75103=LINE('',#485155,#113022); #75104=LINE('',#485157,#113023); #75105=LINE('',#485158,#113024); #75106=LINE('',#485161,#113025); #75107=LINE('',#485163,#113026); #75108=LINE('',#485164,#113027); #75109=LINE('',#485167,#113028); #75110=LINE('',#485169,#113029); #75111=LINE('',#485170,#113030); #75112=LINE('',#485173,#113031); #75113=LINE('',#485175,#113032); #75114=LINE('',#485176,#113033); #75115=LINE('',#485179,#113034); #75116=LINE('',#485181,#113035); #75117=LINE('',#485182,#113036); #75118=LINE('',#485185,#113037); #75119=LINE('',#485187,#113038); #75120=LINE('',#485188,#113039); #75121=LINE('',#485191,#113040); #75122=LINE('',#485193,#113041); #75123=LINE('',#485194,#113042); #75124=LINE('',#485197,#113043); #75125=LINE('',#485199,#113044); #75126=LINE('',#485200,#113045); #75127=LINE('',#485203,#113046); #75128=LINE('',#485205,#113047); #75129=LINE('',#485206,#113048); #75130=LINE('',#485209,#113049); #75131=LINE('',#485211,#113050); #75132=LINE('',#485212,#113051); #75133=LINE('',#485215,#113052); #75134=LINE('',#485217,#113053); #75135=LINE('',#485218,#113054); #75136=LINE('',#485221,#113055); #75137=LINE('',#485223,#113056); #75138=LINE('',#485224,#113057); #75139=LINE('',#485227,#113058); #75140=LINE('',#485229,#113059); #75141=LINE('',#485230,#113060); #75142=LINE('',#485233,#113061); #75143=LINE('',#485235,#113062); #75144=LINE('',#485236,#113063); #75145=LINE('',#485239,#113064); #75146=LINE('',#485241,#113065); #75147=LINE('',#485242,#113066); #75148=LINE('',#485245,#113067); #75149=LINE('',#485247,#113068); #75150=LINE('',#485248,#113069); #75151=LINE('',#485251,#113070); #75152=LINE('',#485253,#113071); #75153=LINE('',#485254,#113072); #75154=LINE('',#485257,#113073); #75155=LINE('',#485259,#113074); #75156=LINE('',#485260,#113075); #75157=LINE('',#485263,#113076); #75158=LINE('',#485265,#113077); #75159=LINE('',#485266,#113078); #75160=LINE('',#485269,#113079); #75161=LINE('',#485271,#113080); #75162=LINE('',#485272,#113081); #75163=LINE('',#485275,#113082); #75164=LINE('',#485277,#113083); #75165=LINE('',#485278,#113084); #75166=LINE('',#485281,#113085); #75167=LINE('',#485283,#113086); #75168=LINE('',#485284,#113087); #75169=LINE('',#485287,#113088); #75170=LINE('',#485289,#113089); #75171=LINE('',#485290,#113090); #75172=LINE('',#485293,#113091); #75173=LINE('',#485295,#113092); #75174=LINE('',#485296,#113093); #75175=LINE('',#485299,#113094); #75176=LINE('',#485301,#113095); #75177=LINE('',#485302,#113096); #75178=LINE('',#485305,#113097); #75179=LINE('',#485307,#113098); #75180=LINE('',#485308,#113099); #75181=LINE('',#485311,#113100); #75182=LINE('',#485313,#113101); #75183=LINE('',#485314,#113102); #75184=LINE('',#485317,#113103); #75185=LINE('',#485319,#113104); #75186=LINE('',#485320,#113105); #75187=LINE('',#485323,#113106); #75188=LINE('',#485325,#113107); #75189=LINE('',#485326,#113108); #75190=LINE('',#485329,#113109); #75191=LINE('',#485331,#113110); #75192=LINE('',#485332,#113111); #75193=LINE('',#485335,#113112); #75194=LINE('',#485337,#113113); #75195=LINE('',#485338,#113114); #75196=LINE('',#485341,#113115); #75197=LINE('',#485343,#113116); #75198=LINE('',#485344,#113117); #75199=LINE('',#485347,#113118); #75200=LINE('',#485349,#113119); #75201=LINE('',#485350,#113120); #75202=LINE('',#485353,#113121); #75203=LINE('',#485355,#113122); #75204=LINE('',#485356,#113123); #75205=LINE('',#485359,#113124); #75206=LINE('',#485361,#113125); #75207=LINE('',#485362,#113126); #75208=LINE('',#485365,#113127); #75209=LINE('',#485367,#113128); #75210=LINE('',#485368,#113129); #75211=LINE('',#485371,#113130); #75212=LINE('',#485373,#113131); #75213=LINE('',#485374,#113132); #75214=LINE('',#485377,#113133); #75215=LINE('',#485379,#113134); #75216=LINE('',#485380,#113135); #75217=LINE('',#485383,#113136); #75218=LINE('',#485385,#113137); #75219=LINE('',#485386,#113138); #75220=LINE('',#485389,#113139); #75221=LINE('',#485391,#113140); #75222=LINE('',#485392,#113141); #75223=LINE('',#485395,#113142); #75224=LINE('',#485397,#113143); #75225=LINE('',#485398,#113144); #75226=LINE('',#485401,#113145); #75227=LINE('',#485403,#113146); #75228=LINE('',#485404,#113147); #75229=LINE('',#485407,#113148); #75230=LINE('',#485409,#113149); #75231=LINE('',#485410,#113150); #75232=LINE('',#485413,#113151); #75233=LINE('',#485415,#113152); #75234=LINE('',#485416,#113153); #75235=LINE('',#485419,#113154); #75236=LINE('',#485421,#113155); #75237=LINE('',#485422,#113156); #75238=LINE('',#485425,#113157); #75239=LINE('',#485427,#113158); #75240=LINE('',#485428,#113159); #75241=LINE('',#485431,#113160); #75242=LINE('',#485433,#113161); #75243=LINE('',#485434,#113162); #75244=LINE('',#485437,#113163); #75245=LINE('',#485439,#113164); #75246=LINE('',#485440,#113165); #75247=LINE('',#485443,#113166); #75248=LINE('',#485445,#113167); #75249=LINE('',#485446,#113168); #75250=LINE('',#485449,#113169); #75251=LINE('',#485451,#113170); #75252=LINE('',#485452,#113171); #75253=LINE('',#485455,#113172); #75254=LINE('',#485457,#113173); #75255=LINE('',#485458,#113174); #75256=LINE('',#485461,#113175); #75257=LINE('',#485463,#113176); #75258=LINE('',#485464,#113177); #75259=LINE('',#485467,#113178); #75260=LINE('',#485469,#113179); #75261=LINE('',#485470,#113180); #75262=LINE('',#485473,#113181); #75263=LINE('',#485475,#113182); #75264=LINE('',#485476,#113183); #75265=LINE('',#485479,#113184); #75266=LINE('',#485481,#113185); #75267=LINE('',#485482,#113186); #75268=LINE('',#485484,#113187); #75269=LINE('',#485485,#113188); #75270=LINE('',#485491,#113189); #75271=LINE('',#485493,#113190); #75272=LINE('',#485495,#113191); #75273=LINE('',#485496,#113192); #75274=LINE('',#485499,#113193); #75275=LINE('',#485501,#113194); #75276=LINE('',#485502,#113195); #75277=LINE('',#485505,#113196); #75278=LINE('',#485507,#113197); #75279=LINE('',#485508,#113198); #75280=LINE('',#485511,#113199); #75281=LINE('',#485513,#113200); #75282=LINE('',#485514,#113201); #75283=LINE('',#485517,#113202); #75284=LINE('',#485519,#113203); #75285=LINE('',#485520,#113204); #75286=LINE('',#485523,#113205); #75287=LINE('',#485525,#113206); #75288=LINE('',#485526,#113207); #75289=LINE('',#485529,#113208); #75290=LINE('',#485531,#113209); #75291=LINE('',#485532,#113210); #75292=LINE('',#485535,#113211); #75293=LINE('',#485537,#113212); #75294=LINE('',#485538,#113213); #75295=LINE('',#485541,#113214); #75296=LINE('',#485543,#113215); #75297=LINE('',#485544,#113216); #75298=LINE('',#485547,#113217); #75299=LINE('',#485549,#113218); #75300=LINE('',#485550,#113219); #75301=LINE('',#485553,#113220); #75302=LINE('',#485555,#113221); #75303=LINE('',#485556,#113222); #75304=LINE('',#485559,#113223); #75305=LINE('',#485561,#113224); #75306=LINE('',#485562,#113225); #75307=LINE('',#485565,#113226); #75308=LINE('',#485567,#113227); #75309=LINE('',#485568,#113228); #75310=LINE('',#485571,#113229); #75311=LINE('',#485573,#113230); #75312=LINE('',#485574,#113231); #75313=LINE('',#485577,#113232); #75314=LINE('',#485579,#113233); #75315=LINE('',#485580,#113234); #75316=LINE('',#485583,#113235); #75317=LINE('',#485585,#113236); #75318=LINE('',#485586,#113237); #75319=LINE('',#485589,#113238); #75320=LINE('',#485591,#113239); #75321=LINE('',#485592,#113240); #75322=LINE('',#485595,#113241); #75323=LINE('',#485597,#113242); #75324=LINE('',#485598,#113243); #75325=LINE('',#485601,#113244); #75326=LINE('',#485603,#113245); #75327=LINE('',#485604,#113246); #75328=LINE('',#485606,#113247); #75329=LINE('',#485607,#113248); #75330=LINE('',#485613,#113249); #75331=LINE('',#485615,#113250); #75332=LINE('',#485617,#113251); #75333=LINE('',#485618,#113252); #75334=LINE('',#485621,#113253); #75335=LINE('',#485623,#113254); #75336=LINE('',#485624,#113255); #75337=LINE('',#485627,#113256); #75338=LINE('',#485629,#113257); #75339=LINE('',#485630,#113258); #75340=LINE('',#485633,#113259); #75341=LINE('',#485635,#113260); #75342=LINE('',#485636,#113261); #75343=LINE('',#485639,#113262); #75344=LINE('',#485641,#113263); #75345=LINE('',#485642,#113264); #75346=LINE('',#485645,#113265); #75347=LINE('',#485647,#113266); #75348=LINE('',#485648,#113267); #75349=LINE('',#485651,#113268); #75350=LINE('',#485653,#113269); #75351=LINE('',#485654,#113270); #75352=LINE('',#485657,#113271); #75353=LINE('',#485659,#113272); #75354=LINE('',#485660,#113273); #75355=LINE('',#485663,#113274); #75356=LINE('',#485665,#113275); #75357=LINE('',#485666,#113276); #75358=LINE('',#485669,#113277); #75359=LINE('',#485671,#113278); #75360=LINE('',#485672,#113279); #75361=LINE('',#485675,#113280); #75362=LINE('',#485677,#113281); #75363=LINE('',#485678,#113282); #75364=LINE('',#485681,#113283); #75365=LINE('',#485683,#113284); #75366=LINE('',#485684,#113285); #75367=LINE('',#485687,#113286); #75368=LINE('',#485689,#113287); #75369=LINE('',#485690,#113288); #75370=LINE('',#485693,#113289); #75371=LINE('',#485695,#113290); #75372=LINE('',#485696,#113291); #75373=LINE('',#485699,#113292); #75374=LINE('',#485701,#113293); #75375=LINE('',#485702,#113294); #75376=LINE('',#485705,#113295); #75377=LINE('',#485707,#113296); #75378=LINE('',#485708,#113297); #75379=LINE('',#485711,#113298); #75380=LINE('',#485713,#113299); #75381=LINE('',#485714,#113300); #75382=LINE('',#485717,#113301); #75383=LINE('',#485719,#113302); #75384=LINE('',#485720,#113303); #75385=LINE('',#485723,#113304); #75386=LINE('',#485725,#113305); #75387=LINE('',#485726,#113306); #75388=LINE('',#485728,#113307); #75389=LINE('',#485729,#113308); #75390=LINE('',#485735,#113309); #75391=LINE('',#485737,#113310); #75392=LINE('',#485739,#113311); #75393=LINE('',#485740,#113312); #75394=LINE('',#485743,#113313); #75395=LINE('',#485745,#113314); #75396=LINE('',#485746,#113315); #75397=LINE('',#485749,#113316); #75398=LINE('',#485751,#113317); #75399=LINE('',#485752,#113318); #75400=LINE('',#485755,#113319); #75401=LINE('',#485757,#113320); #75402=LINE('',#485758,#113321); #75403=LINE('',#485761,#113322); #75404=LINE('',#485763,#113323); #75405=LINE('',#485764,#113324); #75406=LINE('',#485767,#113325); #75407=LINE('',#485769,#113326); #75408=LINE('',#485770,#113327); #75409=LINE('',#485773,#113328); #75410=LINE('',#485775,#113329); #75411=LINE('',#485776,#113330); #75412=LINE('',#485779,#113331); #75413=LINE('',#485781,#113332); #75414=LINE('',#485782,#113333); #75415=LINE('',#485785,#113334); #75416=LINE('',#485787,#113335); #75417=LINE('',#485788,#113336); #75418=LINE('',#485791,#113337); #75419=LINE('',#485793,#113338); #75420=LINE('',#485794,#113339); #75421=LINE('',#485797,#113340); #75422=LINE('',#485799,#113341); #75423=LINE('',#485800,#113342); #75424=LINE('',#485803,#113343); #75425=LINE('',#485805,#113344); #75426=LINE('',#485806,#113345); #75427=LINE('',#485809,#113346); #75428=LINE('',#485811,#113347); #75429=LINE('',#485812,#113348); #75430=LINE('',#485815,#113349); #75431=LINE('',#485817,#113350); #75432=LINE('',#485818,#113351); #75433=LINE('',#485821,#113352); #75434=LINE('',#485823,#113353); #75435=LINE('',#485824,#113354); #75436=LINE('',#485827,#113355); #75437=LINE('',#485829,#113356); #75438=LINE('',#485830,#113357); #75439=LINE('',#485833,#113358); #75440=LINE('',#485835,#113359); #75441=LINE('',#485836,#113360); #75442=LINE('',#485839,#113361); #75443=LINE('',#485841,#113362); #75444=LINE('',#485842,#113363); #75445=LINE('',#485845,#113364); #75446=LINE('',#485847,#113365); #75447=LINE('',#485848,#113366); #75448=LINE('',#485850,#113367); #75449=LINE('',#485851,#113368); #75450=LINE('',#485857,#113369); #75451=LINE('',#485859,#113370); #75452=LINE('',#485861,#113371); #75453=LINE('',#485862,#113372); #75454=LINE('',#485865,#113373); #75455=LINE('',#485867,#113374); #75456=LINE('',#485868,#113375); #75457=LINE('',#485871,#113376); #75458=LINE('',#485873,#113377); #75459=LINE('',#485874,#113378); #75460=LINE('',#485877,#113379); #75461=LINE('',#485879,#113380); #75462=LINE('',#485880,#113381); #75463=LINE('',#485883,#113382); #75464=LINE('',#485885,#113383); #75465=LINE('',#485886,#113384); #75466=LINE('',#485889,#113385); #75467=LINE('',#485891,#113386); #75468=LINE('',#485892,#113387); #75469=LINE('',#485895,#113388); #75470=LINE('',#485897,#113389); #75471=LINE('',#485898,#113390); #75472=LINE('',#485901,#113391); #75473=LINE('',#485903,#113392); #75474=LINE('',#485904,#113393); #75475=LINE('',#485907,#113394); #75476=LINE('',#485909,#113395); #75477=LINE('',#485910,#113396); #75478=LINE('',#485913,#113397); #75479=LINE('',#485915,#113398); #75480=LINE('',#485916,#113399); #75481=LINE('',#485919,#113400); #75482=LINE('',#485921,#113401); #75483=LINE('',#485922,#113402); #75484=LINE('',#485925,#113403); #75485=LINE('',#485927,#113404); #75486=LINE('',#485928,#113405); #75487=LINE('',#485931,#113406); #75488=LINE('',#485933,#113407); #75489=LINE('',#485934,#113408); #75490=LINE('',#485937,#113409); #75491=LINE('',#485939,#113410); #75492=LINE('',#485940,#113411); #75493=LINE('',#485943,#113412); #75494=LINE('',#485945,#113413); #75495=LINE('',#485946,#113414); #75496=LINE('',#485949,#113415); #75497=LINE('',#485951,#113416); #75498=LINE('',#485952,#113417); #75499=LINE('',#485955,#113418); #75500=LINE('',#485957,#113419); #75501=LINE('',#485958,#113420); #75502=LINE('',#485961,#113421); #75503=LINE('',#485963,#113422); #75504=LINE('',#485964,#113423); #75505=LINE('',#485967,#113424); #75506=LINE('',#485969,#113425); #75507=LINE('',#485970,#113426); #75508=LINE('',#485973,#113427); #75509=LINE('',#485975,#113428); #75510=LINE('',#485976,#113429); #75511=LINE('',#485979,#113430); #75512=LINE('',#485981,#113431); #75513=LINE('',#485982,#113432); #75514=LINE('',#485985,#113433); #75515=LINE('',#485987,#113434); #75516=LINE('',#485988,#113435); #75517=LINE('',#485990,#113436); #75518=LINE('',#485991,#113437); #75519=LINE('',#485997,#113438); #75520=LINE('',#485999,#113439); #75521=LINE('',#486001,#113440); #75522=LINE('',#486002,#113441); #75523=LINE('',#486005,#113442); #75524=LINE('',#486007,#113443); #75525=LINE('',#486008,#113444); #75526=LINE('',#486011,#113445); #75527=LINE('',#486013,#113446); #75528=LINE('',#486014,#113447); #75529=LINE('',#486017,#113448); #75530=LINE('',#486019,#113449); #75531=LINE('',#486020,#113450); #75532=LINE('',#486023,#113451); #75533=LINE('',#486025,#113452); #75534=LINE('',#486026,#113453); #75535=LINE('',#486029,#113454); #75536=LINE('',#486031,#113455); #75537=LINE('',#486032,#113456); #75538=LINE('',#486035,#113457); #75539=LINE('',#486037,#113458); #75540=LINE('',#486038,#113459); #75541=LINE('',#486041,#113460); #75542=LINE('',#486043,#113461); #75543=LINE('',#486044,#113462); #75544=LINE('',#486047,#113463); #75545=LINE('',#486049,#113464); #75546=LINE('',#486050,#113465); #75547=LINE('',#486053,#113466); #75548=LINE('',#486055,#113467); #75549=LINE('',#486056,#113468); #75550=LINE('',#486059,#113469); #75551=LINE('',#486061,#113470); #75552=LINE('',#486062,#113471); #75553=LINE('',#486065,#113472); #75554=LINE('',#486067,#113473); #75555=LINE('',#486068,#113474); #75556=LINE('',#486071,#113475); #75557=LINE('',#486073,#113476); #75558=LINE('',#486074,#113477); #75559=LINE('',#486077,#113478); #75560=LINE('',#486079,#113479); #75561=LINE('',#486080,#113480); #75562=LINE('',#486083,#113481); #75563=LINE('',#486085,#113482); #75564=LINE('',#486086,#113483); #75565=LINE('',#486089,#113484); #75566=LINE('',#486091,#113485); #75567=LINE('',#486092,#113486); #75568=LINE('',#486095,#113487); #75569=LINE('',#486097,#113488); #75570=LINE('',#486098,#113489); #75571=LINE('',#486101,#113490); #75572=LINE('',#486103,#113491); #75573=LINE('',#486104,#113492); #75574=LINE('',#486107,#113493); #75575=LINE('',#486109,#113494); #75576=LINE('',#486110,#113495); #75577=LINE('',#486112,#113496); #75578=LINE('',#486113,#113497); #75579=LINE('',#486119,#113498); #75580=LINE('',#486121,#113499); #75581=LINE('',#486123,#113500); #75582=LINE('',#486124,#113501); #75583=LINE('',#486127,#113502); #75584=LINE('',#486129,#113503); #75585=LINE('',#486130,#113504); #75586=LINE('',#486133,#113505); #75587=LINE('',#486135,#113506); #75588=LINE('',#486136,#113507); #75589=LINE('',#486139,#113508); #75590=LINE('',#486141,#113509); #75591=LINE('',#486142,#113510); #75592=LINE('',#486145,#113511); #75593=LINE('',#486147,#113512); #75594=LINE('',#486148,#113513); #75595=LINE('',#486151,#113514); #75596=LINE('',#486153,#113515); #75597=LINE('',#486154,#113516); #75598=LINE('',#486157,#113517); #75599=LINE('',#486159,#113518); #75600=LINE('',#486160,#113519); #75601=LINE('',#486163,#113520); #75602=LINE('',#486165,#113521); #75603=LINE('',#486166,#113522); #75604=LINE('',#486169,#113523); #75605=LINE('',#486171,#113524); #75606=LINE('',#486172,#113525); #75607=LINE('',#486175,#113526); #75608=LINE('',#486177,#113527); #75609=LINE('',#486178,#113528); #75610=LINE('',#486181,#113529); #75611=LINE('',#486183,#113530); #75612=LINE('',#486184,#113531); #75613=LINE('',#486187,#113532); #75614=LINE('',#486189,#113533); #75615=LINE('',#486190,#113534); #75616=LINE('',#486193,#113535); #75617=LINE('',#486195,#113536); #75618=LINE('',#486196,#113537); #75619=LINE('',#486199,#113538); #75620=LINE('',#486201,#113539); #75621=LINE('',#486202,#113540); #75622=LINE('',#486205,#113541); #75623=LINE('',#486207,#113542); #75624=LINE('',#486208,#113543); #75625=LINE('',#486211,#113544); #75626=LINE('',#486213,#113545); #75627=LINE('',#486214,#113546); #75628=LINE('',#486217,#113547); #75629=LINE('',#486219,#113548); #75630=LINE('',#486220,#113549); #75631=LINE('',#486223,#113550); #75632=LINE('',#486225,#113551); #75633=LINE('',#486226,#113552); #75634=LINE('',#486229,#113553); #75635=LINE('',#486231,#113554); #75636=LINE('',#486232,#113555); #75637=LINE('',#486235,#113556); #75638=LINE('',#486237,#113557); #75639=LINE('',#486238,#113558); #75640=LINE('',#486240,#113559); #75641=LINE('',#486241,#113560); #75642=LINE('',#486248,#113561); #75643=LINE('',#486253,#113562); #75644=LINE('',#486255,#113563); #75645=LINE('',#486257,#113564); #75646=LINE('',#486258,#113565); #75647=LINE('',#486264,#113566); #75648=LINE('',#486267,#113567); #75649=LINE('',#486269,#113568); #75650=LINE('',#486270,#113569); #75651=LINE('',#486276,#113570); #75652=LINE('',#486279,#113571); #75653=LINE('',#486281,#113572); #75654=LINE('',#486282,#113573); #75655=LINE('',#486285,#113574); #75656=LINE('',#486287,#113575); #75657=LINE('',#486288,#113576); #75658=LINE('',#486291,#113577); #75659=LINE('',#486293,#113578); #75660=LINE('',#486294,#113579); #75661=LINE('',#486297,#113580); #75662=LINE('',#486299,#113581); #75663=LINE('',#486300,#113582); #75664=LINE('',#486306,#113583); #75665=LINE('',#486309,#113584); #75666=LINE('',#486311,#113585); #75667=LINE('',#486312,#113586); #75668=LINE('',#486315,#113587); #75669=LINE('',#486317,#113588); #75670=LINE('',#486318,#113589); #75671=LINE('',#486321,#113590); #75672=LINE('',#486323,#113591); #75673=LINE('',#486324,#113592); #75674=LINE('',#486327,#113593); #75675=LINE('',#486329,#113594); #75676=LINE('',#486330,#113595); #75677=LINE('',#486333,#113596); #75678=LINE('',#486335,#113597); #75679=LINE('',#486336,#113598); #75680=LINE('',#486339,#113599); #75681=LINE('',#486341,#113600); #75682=LINE('',#486342,#113601); #75683=LINE('',#486345,#113602); #75684=LINE('',#486347,#113603); #75685=LINE('',#486348,#113604); #75686=LINE('',#486354,#113605); #75687=LINE('',#486357,#113606); #75688=LINE('',#486359,#113607); #75689=LINE('',#486360,#113608); #75690=LINE('',#486366,#113609); #75691=LINE('',#486369,#113610); #75692=LINE('',#486371,#113611); #75693=LINE('',#486372,#113612); #75694=LINE('',#486378,#113613); #75695=LINE('',#486381,#113614); #75696=LINE('',#486383,#113615); #75697=LINE('',#486384,#113616); #75698=LINE('',#486387,#113617); #75699=LINE('',#486389,#113618); #75700=LINE('',#486390,#113619); #75701=LINE('',#486400,#113620); #75702=LINE('',#486406,#113621); #75703=LINE('',#486412,#113622); #75704=LINE('',#486418,#113623); #75705=LINE('',#486424,#113624); #75706=LINE('',#486430,#113625); #75707=LINE('',#486436,#113626); #75708=LINE('',#486442,#113627); #75709=LINE('',#486448,#113628); #75710=LINE('',#486454,#113629); #75711=LINE('',#486460,#113630); #75712=LINE('',#486466,#113631); #75713=LINE('',#486471,#113632); #75714=LINE('',#486473,#113633); #75715=LINE('',#486475,#113634); #75716=LINE('',#486476,#113635); #75717=LINE('',#486479,#113636); #75718=LINE('',#486481,#113637); #75719=LINE('',#486482,#113638); #75720=LINE('',#486485,#113639); #75721=LINE('',#486487,#113640); #75722=LINE('',#486488,#113641); #75723=LINE('',#486491,#113642); #75724=LINE('',#486493,#113643); #75725=LINE('',#486494,#113644); #75726=LINE('',#486497,#113645); #75727=LINE('',#486499,#113646); #75728=LINE('',#486500,#113647); #75729=LINE('',#486503,#113648); #75730=LINE('',#486505,#113649); #75731=LINE('',#486506,#113650); #75732=LINE('',#486509,#113651); #75733=LINE('',#486511,#113652); #75734=LINE('',#486512,#113653); #75735=LINE('',#486515,#113654); #75736=LINE('',#486517,#113655); #75737=LINE('',#486518,#113656); #75738=LINE('',#486521,#113657); #75739=LINE('',#486523,#113658); #75740=LINE('',#486524,#113659); #75741=LINE('',#486527,#113660); #75742=LINE('',#486529,#113661); #75743=LINE('',#486530,#113662); #75744=LINE('',#486533,#113663); #75745=LINE('',#486535,#113664); #75746=LINE('',#486536,#113665); #75747=LINE('',#486539,#113666); #75748=LINE('',#486541,#113667); #75749=LINE('',#486542,#113668); #75750=LINE('',#486545,#113669); #75751=LINE('',#486547,#113670); #75752=LINE('',#486548,#113671); #75753=LINE('',#486551,#113672); #75754=LINE('',#486553,#113673); #75755=LINE('',#486554,#113674); #75756=LINE('',#486557,#113675); #75757=LINE('',#486559,#113676); #75758=LINE('',#486560,#113677); #75759=LINE('',#486563,#113678); #75760=LINE('',#486565,#113679); #75761=LINE('',#486566,#113680); #75762=LINE('',#486569,#113681); #75763=LINE('',#486571,#113682); #75764=LINE('',#486572,#113683); #75765=LINE('',#486575,#113684); #75766=LINE('',#486577,#113685); #75767=LINE('',#486578,#113686); #75768=LINE('',#486581,#113687); #75769=LINE('',#486583,#113688); #75770=LINE('',#486584,#113689); #75771=LINE('',#486587,#113690); #75772=LINE('',#486589,#113691); #75773=LINE('',#486590,#113692); #75774=LINE('',#486593,#113693); #75775=LINE('',#486595,#113694); #75776=LINE('',#486596,#113695); #75777=LINE('',#486599,#113696); #75778=LINE('',#486601,#113697); #75779=LINE('',#486602,#113698); #75780=LINE('',#486605,#113699); #75781=LINE('',#486607,#113700); #75782=LINE('',#486608,#113701); #75783=LINE('',#486611,#113702); #75784=LINE('',#486613,#113703); #75785=LINE('',#486614,#113704); #75786=LINE('',#486620,#113705); #75787=LINE('',#486623,#113706); #75788=LINE('',#486625,#113707); #75789=LINE('',#486626,#113708); #75790=LINE('',#486629,#113709); #75791=LINE('',#486631,#113710); #75792=LINE('',#486632,#113711); #75793=LINE('',#486638,#113712); #75794=LINE('',#486641,#113713); #75795=LINE('',#486643,#113714); #75796=LINE('',#486644,#113715); #75797=LINE('',#486647,#113716); #75798=LINE('',#486649,#113717); #75799=LINE('',#486650,#113718); #75800=LINE('',#486653,#113719); #75801=LINE('',#486655,#113720); #75802=LINE('',#486656,#113721); #75803=LINE('',#486659,#113722); #75804=LINE('',#486661,#113723); #75805=LINE('',#486662,#113724); #75806=LINE('',#486665,#113725); #75807=LINE('',#486667,#113726); #75808=LINE('',#486668,#113727); #75809=LINE('',#486671,#113728); #75810=LINE('',#486673,#113729); #75811=LINE('',#486674,#113730); #75812=LINE('',#486677,#113731); #75813=LINE('',#486679,#113732); #75814=LINE('',#486680,#113733); #75815=LINE('',#486683,#113734); #75816=LINE('',#486685,#113735); #75817=LINE('',#486686,#113736); #75818=LINE('',#486692,#113737); #75819=LINE('',#486695,#113738); #75820=LINE('',#486697,#113739); #75821=LINE('',#486698,#113740); #75822=LINE('',#486704,#113741); #75823=LINE('',#486707,#113742); #75824=LINE('',#486709,#113743); #75825=LINE('',#486710,#113744); #75826=LINE('',#486713,#113745); #75827=LINE('',#486715,#113746); #75828=LINE('',#486716,#113747); #75829=LINE('',#486719,#113748); #75830=LINE('',#486721,#113749); #75831=LINE('',#486722,#113750); #75832=LINE('',#486725,#113751); #75833=LINE('',#486727,#113752); #75834=LINE('',#486728,#113753); #75835=LINE('',#486731,#113754); #75836=LINE('',#486733,#113755); #75837=LINE('',#486734,#113756); #75838=LINE('',#486737,#113757); #75839=LINE('',#486739,#113758); #75840=LINE('',#486740,#113759); #75841=LINE('',#486743,#113760); #75842=LINE('',#486745,#113761); #75843=LINE('',#486746,#113762); #75844=LINE('',#486749,#113763); #75845=LINE('',#486751,#113764); #75846=LINE('',#486752,#113765); #75847=LINE('',#486755,#113766); #75848=LINE('',#486757,#113767); #75849=LINE('',#486758,#113768); #75850=LINE('',#486761,#113769); #75851=LINE('',#486763,#113770); #75852=LINE('',#486764,#113771); #75853=LINE('',#486767,#113772); #75854=LINE('',#486769,#113773); #75855=LINE('',#486770,#113774); #75856=LINE('',#486773,#113775); #75857=LINE('',#486775,#113776); #75858=LINE('',#486776,#113777); #75859=LINE('',#486779,#113778); #75860=LINE('',#486781,#113779); #75861=LINE('',#486782,#113780); #75862=LINE('',#486785,#113781); #75863=LINE('',#486787,#113782); #75864=LINE('',#486788,#113783); #75865=LINE('',#486791,#113784); #75866=LINE('',#486793,#113785); #75867=LINE('',#486794,#113786); #75868=LINE('',#486800,#113787); #75869=LINE('',#486803,#113788); #75870=LINE('',#486805,#113789); #75871=LINE('',#486806,#113790); #75872=LINE('',#486812,#113791); #75873=LINE('',#486815,#113792); #75874=LINE('',#486817,#113793); #75875=LINE('',#486818,#113794); #75876=LINE('',#486821,#113795); #75877=LINE('',#486823,#113796); #75878=LINE('',#486824,#113797); #75879=LINE('',#486827,#113798); #75880=LINE('',#486829,#113799); #75881=LINE('',#486830,#113800); #75882=LINE('',#486833,#113801); #75883=LINE('',#486835,#113802); #75884=LINE('',#486836,#113803); #75885=LINE('',#486839,#113804); #75886=LINE('',#486841,#113805); #75887=LINE('',#486842,#113806); #75888=LINE('',#486845,#113807); #75889=LINE('',#486847,#113808); #75890=LINE('',#486848,#113809); #75891=LINE('',#486851,#113810); #75892=LINE('',#486853,#113811); #75893=LINE('',#486854,#113812); #75894=LINE('',#486857,#113813); #75895=LINE('',#486859,#113814); #75896=LINE('',#486860,#113815); #75897=LINE('',#486863,#113816); #75898=LINE('',#486865,#113817); #75899=LINE('',#486866,#113818); #75900=LINE('',#486869,#113819); #75901=LINE('',#486871,#113820); #75902=LINE('',#486872,#113821); #75903=LINE('',#486875,#113822); #75904=LINE('',#486877,#113823); #75905=LINE('',#486878,#113824); #75906=LINE('',#486881,#113825); #75907=LINE('',#486883,#113826); #75908=LINE('',#486884,#113827); #75909=LINE('',#486887,#113828); #75910=LINE('',#486889,#113829); #75911=LINE('',#486890,#113830); #75912=LINE('',#486893,#113831); #75913=LINE('',#486895,#113832); #75914=LINE('',#486896,#113833); #75915=LINE('',#486899,#113834); #75916=LINE('',#486901,#113835); #75917=LINE('',#486902,#113836); #75918=LINE('',#486905,#113837); #75919=LINE('',#486907,#113838); #75920=LINE('',#486908,#113839); #75921=LINE('',#486911,#113840); #75922=LINE('',#486913,#113841); #75923=LINE('',#486914,#113842); #75924=LINE('',#486917,#113843); #75925=LINE('',#486919,#113844); #75926=LINE('',#486920,#113845); #75927=LINE('',#486923,#113846); #75928=LINE('',#486925,#113847); #75929=LINE('',#486926,#113848); #75930=LINE('',#486929,#113849); #75931=LINE('',#486931,#113850); #75932=LINE('',#486932,#113851); #75933=LINE('',#486935,#113852); #75934=LINE('',#486937,#113853); #75935=LINE('',#486938,#113854); #75936=LINE('',#486941,#113855); #75937=LINE('',#486943,#113856); #75938=LINE('',#486944,#113857); #75939=LINE('',#486947,#113858); #75940=LINE('',#486949,#113859); #75941=LINE('',#486950,#113860); #75942=LINE('',#486953,#113861); #75943=LINE('',#486955,#113862); #75944=LINE('',#486956,#113863); #75945=LINE('',#486959,#113864); #75946=LINE('',#486961,#113865); #75947=LINE('',#486962,#113866); #75948=LINE('',#486965,#113867); #75949=LINE('',#486967,#113868); #75950=LINE('',#486968,#113869); #75951=LINE('',#486971,#113870); #75952=LINE('',#486973,#113871); #75953=LINE('',#486974,#113872); #75954=LINE('',#486977,#113873); #75955=LINE('',#486979,#113874); #75956=LINE('',#486980,#113875); #75957=LINE('',#486983,#113876); #75958=LINE('',#486985,#113877); #75959=LINE('',#486986,#113878); #75960=LINE('',#486989,#113879); #75961=LINE('',#486991,#113880); #75962=LINE('',#486992,#113881); #75963=LINE('',#486995,#113882); #75964=LINE('',#486997,#113883); #75965=LINE('',#486998,#113884); #75966=LINE('',#487001,#113885); #75967=LINE('',#487003,#113886); #75968=LINE('',#487004,#113887); #75969=LINE('',#487007,#113888); #75970=LINE('',#487009,#113889); #75971=LINE('',#487010,#113890); #75972=LINE('',#487013,#113891); #75973=LINE('',#487015,#113892); #75974=LINE('',#487016,#113893); #75975=LINE('',#487019,#113894); #75976=LINE('',#487021,#113895); #75977=LINE('',#487022,#113896); #75978=LINE('',#487025,#113897); #75979=LINE('',#487027,#113898); #75980=LINE('',#487028,#113899); #75981=LINE('',#487031,#113900); #75982=LINE('',#487033,#113901); #75983=LINE('',#487034,#113902); #75984=LINE('',#487037,#113903); #75985=LINE('',#487039,#113904); #75986=LINE('',#487040,#113905); #75987=LINE('',#487043,#113906); #75988=LINE('',#487045,#113907); #75989=LINE('',#487046,#113908); #75990=LINE('',#487049,#113909); #75991=LINE('',#487051,#113910); #75992=LINE('',#487052,#113911); #75993=LINE('',#487055,#113912); #75994=LINE('',#487057,#113913); #75995=LINE('',#487058,#113914); #75996=LINE('',#487061,#113915); #75997=LINE('',#487063,#113916); #75998=LINE('',#487064,#113917); #75999=LINE('',#487067,#113918); #76000=LINE('',#487069,#113919); #76001=LINE('',#487070,#113920); #76002=LINE('',#487073,#113921); #76003=LINE('',#487075,#113922); #76004=LINE('',#487076,#113923); #76005=LINE('',#487079,#113924); #76006=LINE('',#487081,#113925); #76007=LINE('',#487082,#113926); #76008=LINE('',#487085,#113927); #76009=LINE('',#487087,#113928); #76010=LINE('',#487088,#113929); #76011=LINE('',#487091,#113930); #76012=LINE('',#487093,#113931); #76013=LINE('',#487094,#113932); #76014=LINE('',#487097,#113933); #76015=LINE('',#487099,#113934); #76016=LINE('',#487100,#113935); #76017=LINE('',#487103,#113936); #76018=LINE('',#487105,#113937); #76019=LINE('',#487106,#113938); #76020=LINE('',#487109,#113939); #76021=LINE('',#487111,#113940); #76022=LINE('',#487112,#113941); #76023=LINE('',#487115,#113942); #76024=LINE('',#487117,#113943); #76025=LINE('',#487118,#113944); #76026=LINE('',#487121,#113945); #76027=LINE('',#487123,#113946); #76028=LINE('',#487124,#113947); #76029=LINE('',#487127,#113948); #76030=LINE('',#487129,#113949); #76031=LINE('',#487130,#113950); #76032=LINE('',#487133,#113951); #76033=LINE('',#487135,#113952); #76034=LINE('',#487136,#113953); #76035=LINE('',#487139,#113954); #76036=LINE('',#487141,#113955); #76037=LINE('',#487142,#113956); #76038=LINE('',#487145,#113957); #76039=LINE('',#487147,#113958); #76040=LINE('',#487148,#113959); #76041=LINE('',#487151,#113960); #76042=LINE('',#487153,#113961); #76043=LINE('',#487154,#113962); #76044=LINE('',#487157,#113963); #76045=LINE('',#487159,#113964); #76046=LINE('',#487160,#113965); #76047=LINE('',#487163,#113966); #76048=LINE('',#487165,#113967); #76049=LINE('',#487166,#113968); #76050=LINE('',#487169,#113969); #76051=LINE('',#487171,#113970); #76052=LINE('',#487172,#113971); #76053=LINE('',#487175,#113972); #76054=LINE('',#487177,#113973); #76055=LINE('',#487178,#113974); #76056=LINE('',#487181,#113975); #76057=LINE('',#487183,#113976); #76058=LINE('',#487184,#113977); #76059=LINE('',#487187,#113978); #76060=LINE('',#487189,#113979); #76061=LINE('',#487190,#113980); #76062=LINE('',#487193,#113981); #76063=LINE('',#487195,#113982); #76064=LINE('',#487196,#113983); #76065=LINE('',#487199,#113984); #76066=LINE('',#487201,#113985); #76067=LINE('',#487202,#113986); #76068=LINE('',#487205,#113987); #76069=LINE('',#487207,#113988); #76070=LINE('',#487208,#113989); #76071=LINE('',#487210,#113990); #76072=LINE('',#487211,#113991); #76073=LINE('',#487218,#113992); #76074=LINE('',#487223,#113993); #76075=LINE('',#487225,#113994); #76076=LINE('',#487227,#113995); #76077=LINE('',#487228,#113996); #76078=LINE('',#487234,#113997); #76079=LINE('',#487244,#113998); #76080=LINE('',#487249,#113999); #76081=LINE('',#487251,#114000); #76082=LINE('',#487253,#114001); #76083=LINE('',#487254,#114002); #76084=LINE('',#487260,#114003); #76085=LINE('',#487270,#114004); #76086=LINE('',#487275,#114005); #76087=LINE('',#487277,#114006); #76088=LINE('',#487279,#114007); #76089=LINE('',#487280,#114008); #76090=LINE('',#487286,#114009); #76091=LINE('',#487296,#114010); #76092=LINE('',#487301,#114011); #76093=LINE('',#487303,#114012); #76094=LINE('',#487305,#114013); #76095=LINE('',#487306,#114014); #76096=LINE('',#487309,#114015); #76097=LINE('',#487311,#114016); #76098=LINE('',#487312,#114017); #76099=LINE('',#487315,#114018); #76100=LINE('',#487317,#114019); #76101=LINE('',#487318,#114020); #76102=LINE('',#487321,#114021); #76103=LINE('',#487323,#114022); #76104=LINE('',#487324,#114023); #76105=LINE('',#487327,#114024); #76106=LINE('',#487329,#114025); #76107=LINE('',#487330,#114026); #76108=LINE('',#487333,#114027); #76109=LINE('',#487335,#114028); #76110=LINE('',#487336,#114029); #76111=LINE('',#487339,#114030); #76112=LINE('',#487341,#114031); #76113=LINE('',#487342,#114032); #76114=LINE('',#487344,#114033); #76115=LINE('',#487345,#114034); #76116=LINE('',#487352,#114035); #76117=LINE('',#487357,#114036); #76118=LINE('',#487359,#114037); #76119=LINE('',#487361,#114038); #76120=LINE('',#487362,#114039); #76121=LINE('',#487368,#114040); #76122=LINE('',#487378,#114041); #76123=LINE('',#487383,#114042); #76124=LINE('',#487385,#114043); #76125=LINE('',#487387,#114044); #76126=LINE('',#487388,#114045); #76127=LINE('',#487391,#114046); #76128=LINE('',#487393,#114047); #76129=LINE('',#487394,#114048); #76130=LINE('',#487397,#114049); #76131=LINE('',#487399,#114050); #76132=LINE('',#487400,#114051); #76133=LINE('',#487402,#114052); #76134=LINE('',#487403,#114053); #76135=LINE('',#487410,#114054); #76136=LINE('',#487415,#114055); #76137=LINE('',#487417,#114056); #76138=LINE('',#487419,#114057); #76139=LINE('',#487420,#114058); #76140=LINE('',#487426,#114059); #76141=LINE('',#487435,#114060); #76142=LINE('',#487437,#114061); #76143=LINE('',#487439,#114062); #76144=LINE('',#487440,#114063); #76145=LINE('',#487446,#114064); #76146=LINE('',#487449,#114065); #76147=LINE('',#487451,#114066); #76148=LINE('',#487452,#114067); #76149=LINE('',#487459,#114068); #76150=LINE('',#487461,#114069); #76151=LINE('',#487463,#114070); #76152=LINE('',#487464,#114071); #76153=LINE('',#487467,#114072); #76154=LINE('',#487469,#114073); #76155=LINE('',#487470,#114074); #76156=LINE('',#487473,#114075); #76157=LINE('',#487475,#114076); #76158=LINE('',#487476,#114077); #76159=LINE('',#487479,#114078); #76160=LINE('',#487481,#114079); #76161=LINE('',#487482,#114080); #76162=LINE('',#487485,#114081); #76163=LINE('',#487487,#114082); #76164=LINE('',#487488,#114083); #76165=LINE('',#487491,#114084); #76166=LINE('',#487493,#114085); #76167=LINE('',#487494,#114086); #76168=LINE('',#487497,#114087); #76169=LINE('',#487499,#114088); #76170=LINE('',#487500,#114089); #76171=LINE('',#487503,#114090); #76172=LINE('',#487505,#114091); #76173=LINE('',#487506,#114092); #76174=LINE('',#487509,#114093); #76175=LINE('',#487511,#114094); #76176=LINE('',#487512,#114095); #76177=LINE('',#487515,#114096); #76178=LINE('',#487517,#114097); #76179=LINE('',#487518,#114098); #76180=LINE('',#487521,#114099); #76181=LINE('',#487523,#114100); #76182=LINE('',#487524,#114101); #76183=LINE('',#487527,#114102); #76184=LINE('',#487529,#114103); #76185=LINE('',#487530,#114104); #76186=LINE('',#487533,#114105); #76187=LINE('',#487535,#114106); #76188=LINE('',#487536,#114107); #76189=LINE('',#487539,#114108); #76190=LINE('',#487541,#114109); #76191=LINE('',#487542,#114110); #76192=LINE('',#487545,#114111); #76193=LINE('',#487547,#114112); #76194=LINE('',#487548,#114113); #76195=LINE('',#487551,#114114); #76196=LINE('',#487553,#114115); #76197=LINE('',#487554,#114116); #76198=LINE('',#487557,#114117); #76199=LINE('',#487559,#114118); #76200=LINE('',#487560,#114119); #76201=LINE('',#487563,#114120); #76202=LINE('',#487565,#114121); #76203=LINE('',#487566,#114122); #76204=LINE('',#487569,#114123); #76205=LINE('',#487571,#114124); #76206=LINE('',#487572,#114125); #76207=LINE('',#487575,#114126); #76208=LINE('',#487577,#114127); #76209=LINE('',#487578,#114128); #76210=LINE('',#487581,#114129); #76211=LINE('',#487583,#114130); #76212=LINE('',#487584,#114131); #76213=LINE('',#487587,#114132); #76214=LINE('',#487589,#114133); #76215=LINE('',#487590,#114134); #76216=LINE('',#487593,#114135); #76217=LINE('',#487595,#114136); #76218=LINE('',#487596,#114137); #76219=LINE('',#487599,#114138); #76220=LINE('',#487601,#114139); #76221=LINE('',#487602,#114140); #76222=LINE('',#487605,#114141); #76223=LINE('',#487607,#114142); #76224=LINE('',#487608,#114143); #76225=LINE('',#487611,#114144); #76226=LINE('',#487613,#114145); #76227=LINE('',#487614,#114146); #76228=LINE('',#487617,#114147); #76229=LINE('',#487619,#114148); #76230=LINE('',#487620,#114149); #76231=LINE('',#487623,#114150); #76232=LINE('',#487625,#114151); #76233=LINE('',#487626,#114152); #76234=LINE('',#487629,#114153); #76235=LINE('',#487631,#114154); #76236=LINE('',#487632,#114155); #76237=LINE('',#487635,#114156); #76238=LINE('',#487637,#114157); #76239=LINE('',#487638,#114158); #76240=LINE('',#487641,#114159); #76241=LINE('',#487643,#114160); #76242=LINE('',#487644,#114161); #76243=LINE('',#487647,#114162); #76244=LINE('',#487649,#114163); #76245=LINE('',#487650,#114164); #76246=LINE('',#487653,#114165); #76247=LINE('',#487655,#114166); #76248=LINE('',#487656,#114167); #76249=LINE('',#487659,#114168); #76250=LINE('',#487661,#114169); #76251=LINE('',#487662,#114170); #76252=LINE('',#487665,#114171); #76253=LINE('',#487667,#114172); #76254=LINE('',#487668,#114173); #76255=LINE('',#487671,#114174); #76256=LINE('',#487673,#114175); #76257=LINE('',#487674,#114176); #76258=LINE('',#487677,#114177); #76259=LINE('',#487679,#114178); #76260=LINE('',#487680,#114179); #76261=LINE('',#487683,#114180); #76262=LINE('',#487685,#114181); #76263=LINE('',#487686,#114182); #76264=LINE('',#487689,#114183); #76265=LINE('',#487691,#114184); #76266=LINE('',#487692,#114185); #76267=LINE('',#487695,#114186); #76268=LINE('',#487697,#114187); #76269=LINE('',#487698,#114188); #76270=LINE('',#487701,#114189); #76271=LINE('',#487703,#114190); #76272=LINE('',#487704,#114191); #76273=LINE('',#487707,#114192); #76274=LINE('',#487709,#114193); #76275=LINE('',#487710,#114194); #76276=LINE('',#487713,#114195); #76277=LINE('',#487715,#114196); #76278=LINE('',#487716,#114197); #76279=LINE('',#487719,#114198); #76280=LINE('',#487721,#114199); #76281=LINE('',#487722,#114200); #76282=LINE('',#487725,#114201); #76283=LINE('',#487727,#114202); #76284=LINE('',#487728,#114203); #76285=LINE('',#487731,#114204); #76286=LINE('',#487733,#114205); #76287=LINE('',#487734,#114206); #76288=LINE('',#487737,#114207); #76289=LINE('',#487739,#114208); #76290=LINE('',#487740,#114209); #76291=LINE('',#487743,#114210); #76292=LINE('',#487745,#114211); #76293=LINE('',#487746,#114212); #76294=LINE('',#487749,#114213); #76295=LINE('',#487751,#114214); #76296=LINE('',#487752,#114215); #76297=LINE('',#487755,#114216); #76298=LINE('',#487757,#114217); #76299=LINE('',#487758,#114218); #76300=LINE('',#487761,#114219); #76301=LINE('',#487763,#114220); #76302=LINE('',#487764,#114221); #76303=LINE('',#487767,#114222); #76304=LINE('',#487769,#114223); #76305=LINE('',#487770,#114224); #76306=LINE('',#487773,#114225); #76307=LINE('',#487775,#114226); #76308=LINE('',#487776,#114227); #76309=LINE('',#487779,#114228); #76310=LINE('',#487781,#114229); #76311=LINE('',#487782,#114230); #76312=LINE('',#487785,#114231); #76313=LINE('',#487787,#114232); #76314=LINE('',#487788,#114233); #76315=LINE('',#487790,#114234); #76316=LINE('',#487791,#114235); #76317=LINE('',#487798,#114236); #76318=LINE('',#487803,#114237); #76319=LINE('',#487805,#114238); #76320=LINE('',#487807,#114239); #76321=LINE('',#487808,#114240); #76322=LINE('',#487814,#114241); #76323=LINE('',#487823,#114242); #76324=LINE('',#487825,#114243); #76325=LINE('',#487827,#114244); #76326=LINE('',#487828,#114245); #76327=LINE('',#487834,#114246); #76328=LINE('',#487837,#114247); #76329=LINE('',#487839,#114248); #76330=LINE('',#487840,#114249); #76331=LINE('',#487847,#114250); #76332=LINE('',#487849,#114251); #76333=LINE('',#487851,#114252); #76334=LINE('',#487852,#114253); #76335=LINE('',#487855,#114254); #76336=LINE('',#487857,#114255); #76337=LINE('',#487858,#114256); #76338=LINE('',#487861,#114257); #76339=LINE('',#487863,#114258); #76340=LINE('',#487864,#114259); #76341=LINE('',#487867,#114260); #76342=LINE('',#487869,#114261); #76343=LINE('',#487870,#114262); #76344=LINE('',#487873,#114263); #76345=LINE('',#487875,#114264); #76346=LINE('',#487876,#114265); #76347=LINE('',#487879,#114266); #76348=LINE('',#487881,#114267); #76349=LINE('',#487882,#114268); #76350=LINE('',#487885,#114269); #76351=LINE('',#487887,#114270); #76352=LINE('',#487888,#114271); #76353=LINE('',#487891,#114272); #76354=LINE('',#487893,#114273); #76355=LINE('',#487894,#114274); #76356=LINE('',#487897,#114275); #76357=LINE('',#487899,#114276); #76358=LINE('',#487900,#114277); #76359=LINE('',#487903,#114278); #76360=LINE('',#487905,#114279); #76361=LINE('',#487906,#114280); #76362=LINE('',#487909,#114281); #76363=LINE('',#487911,#114282); #76364=LINE('',#487912,#114283); #76365=LINE('',#487915,#114284); #76366=LINE('',#487917,#114285); #76367=LINE('',#487918,#114286); #76368=LINE('',#487921,#114287); #76369=LINE('',#487923,#114288); #76370=LINE('',#487924,#114289); #76371=LINE('',#487927,#114290); #76372=LINE('',#487929,#114291); #76373=LINE('',#487930,#114292); #76374=LINE('',#487933,#114293); #76375=LINE('',#487935,#114294); #76376=LINE('',#487936,#114295); #76377=LINE('',#487939,#114296); #76378=LINE('',#487941,#114297); #76379=LINE('',#487942,#114298); #76380=LINE('',#487945,#114299); #76381=LINE('',#487947,#114300); #76382=LINE('',#487948,#114301); #76383=LINE('',#487951,#114302); #76384=LINE('',#487953,#114303); #76385=LINE('',#487954,#114304); #76386=LINE('',#487957,#114305); #76387=LINE('',#487959,#114306); #76388=LINE('',#487960,#114307); #76389=LINE('',#487963,#114308); #76390=LINE('',#487965,#114309); #76391=LINE('',#487966,#114310); #76392=LINE('',#487969,#114311); #76393=LINE('',#487971,#114312); #76394=LINE('',#487972,#114313); #76395=LINE('',#487975,#114314); #76396=LINE('',#487977,#114315); #76397=LINE('',#487978,#114316); #76398=LINE('',#487981,#114317); #76399=LINE('',#487983,#114318); #76400=LINE('',#487984,#114319); #76401=LINE('',#487987,#114320); #76402=LINE('',#487989,#114321); #76403=LINE('',#487990,#114322); #76404=LINE('',#487993,#114323); #76405=LINE('',#487995,#114324); #76406=LINE('',#487996,#114325); #76407=LINE('',#487999,#114326); #76408=LINE('',#488001,#114327); #76409=LINE('',#488002,#114328); #76410=LINE('',#488005,#114329); #76411=LINE('',#488007,#114330); #76412=LINE('',#488008,#114331); #76413=LINE('',#488011,#114332); #76414=LINE('',#488013,#114333); #76415=LINE('',#488014,#114334); #76416=LINE('',#488017,#114335); #76417=LINE('',#488019,#114336); #76418=LINE('',#488020,#114337); #76419=LINE('',#488023,#114338); #76420=LINE('',#488025,#114339); #76421=LINE('',#488026,#114340); #76422=LINE('',#488029,#114341); #76423=LINE('',#488031,#114342); #76424=LINE('',#488032,#114343); #76425=LINE('',#488035,#114344); #76426=LINE('',#488037,#114345); #76427=LINE('',#488038,#114346); #76428=LINE('',#488041,#114347); #76429=LINE('',#488043,#114348); #76430=LINE('',#488044,#114349); #76431=LINE('',#488047,#114350); #76432=LINE('',#488049,#114351); #76433=LINE('',#488050,#114352); #76434=LINE('',#488053,#114353); #76435=LINE('',#488055,#114354); #76436=LINE('',#488056,#114355); #76437=LINE('',#488059,#114356); #76438=LINE('',#488061,#114357); #76439=LINE('',#488062,#114358); #76440=LINE('',#488065,#114359); #76441=LINE('',#488067,#114360); #76442=LINE('',#488068,#114361); #76443=LINE('',#488071,#114362); #76444=LINE('',#488073,#114363); #76445=LINE('',#488074,#114364); #76446=LINE('',#488077,#114365); #76447=LINE('',#488079,#114366); #76448=LINE('',#488080,#114367); #76449=LINE('',#488083,#114368); #76450=LINE('',#488085,#114369); #76451=LINE('',#488086,#114370); #76452=LINE('',#488089,#114371); #76453=LINE('',#488091,#114372); #76454=LINE('',#488092,#114373); #76455=LINE('',#488095,#114374); #76456=LINE('',#488097,#114375); #76457=LINE('',#488098,#114376); #76458=LINE('',#488101,#114377); #76459=LINE('',#488103,#114378); #76460=LINE('',#488104,#114379); #76461=LINE('',#488107,#114380); #76462=LINE('',#488109,#114381); #76463=LINE('',#488110,#114382); #76464=LINE('',#488113,#114383); #76465=LINE('',#488115,#114384); #76466=LINE('',#488116,#114385); #76467=LINE('',#488119,#114386); #76468=LINE('',#488121,#114387); #76469=LINE('',#488122,#114388); #76470=LINE('',#488125,#114389); #76471=LINE('',#488127,#114390); #76472=LINE('',#488128,#114391); #76473=LINE('',#488131,#114392); #76474=LINE('',#488133,#114393); #76475=LINE('',#488134,#114394); #76476=LINE('',#488137,#114395); #76477=LINE('',#488139,#114396); #76478=LINE('',#488140,#114397); #76479=LINE('',#488143,#114398); #76480=LINE('',#488145,#114399); #76481=LINE('',#488146,#114400); #76482=LINE('',#488149,#114401); #76483=LINE('',#488151,#114402); #76484=LINE('',#488152,#114403); #76485=LINE('',#488155,#114404); #76486=LINE('',#488157,#114405); #76487=LINE('',#488158,#114406); #76488=LINE('',#488161,#114407); #76489=LINE('',#488163,#114408); #76490=LINE('',#488164,#114409); #76491=LINE('',#488167,#114410); #76492=LINE('',#488169,#114411); #76493=LINE('',#488170,#114412); #76494=LINE('',#488173,#114413); #76495=LINE('',#488175,#114414); #76496=LINE('',#488176,#114415); #76497=LINE('',#488178,#114416); #76498=LINE('',#488179,#114417); #76499=LINE('',#488185,#114418); #76500=LINE('',#488187,#114419); #76501=LINE('',#488189,#114420); #76502=LINE('',#488190,#114421); #76503=LINE('',#488196,#114422); #76504=LINE('',#488199,#114423); #76505=LINE('',#488201,#114424); #76506=LINE('',#488202,#114425); #76507=LINE('',#488209,#114426); #76508=LINE('',#488211,#114427); #76509=LINE('',#488213,#114428); #76510=LINE('',#488214,#114429); #76511=LINE('',#488217,#114430); #76512=LINE('',#488219,#114431); #76513=LINE('',#488220,#114432); #76514=LINE('',#488223,#114433); #76515=LINE('',#488225,#114434); #76516=LINE('',#488226,#114435); #76517=LINE('',#488229,#114436); #76518=LINE('',#488231,#114437); #76519=LINE('',#488232,#114438); #76520=LINE('',#488235,#114439); #76521=LINE('',#488237,#114440); #76522=LINE('',#488238,#114441); #76523=LINE('',#488241,#114442); #76524=LINE('',#488243,#114443); #76525=LINE('',#488244,#114444); #76526=LINE('',#488247,#114445); #76527=LINE('',#488249,#114446); #76528=LINE('',#488250,#114447); #76529=LINE('',#488253,#114448); #76530=LINE('',#488255,#114449); #76531=LINE('',#488256,#114450); #76532=LINE('',#488259,#114451); #76533=LINE('',#488261,#114452); #76534=LINE('',#488262,#114453); #76535=LINE('',#488265,#114454); #76536=LINE('',#488267,#114455); #76537=LINE('',#488268,#114456); #76538=LINE('',#488271,#114457); #76539=LINE('',#488273,#114458); #76540=LINE('',#488274,#114459); #76541=LINE('',#488277,#114460); #76542=LINE('',#488279,#114461); #76543=LINE('',#488280,#114462); #76544=LINE('',#488283,#114463); #76545=LINE('',#488285,#114464); #76546=LINE('',#488286,#114465); #76547=LINE('',#488289,#114466); #76548=LINE('',#488291,#114467); #76549=LINE('',#488292,#114468); #76550=LINE('',#488295,#114469); #76551=LINE('',#488297,#114470); #76552=LINE('',#488298,#114471); #76553=LINE('',#488301,#114472); #76554=LINE('',#488303,#114473); #76555=LINE('',#488304,#114474); #76556=LINE('',#488307,#114475); #76557=LINE('',#488309,#114476); #76558=LINE('',#488310,#114477); #76559=LINE('',#488313,#114478); #76560=LINE('',#488315,#114479); #76561=LINE('',#488316,#114480); #76562=LINE('',#488319,#114481); #76563=LINE('',#488321,#114482); #76564=LINE('',#488322,#114483); #76565=LINE('',#488325,#114484); #76566=LINE('',#488327,#114485); #76567=LINE('',#488328,#114486); #76568=LINE('',#488331,#114487); #76569=LINE('',#488333,#114488); #76570=LINE('',#488334,#114489); #76571=LINE('',#488337,#114490); #76572=LINE('',#488339,#114491); #76573=LINE('',#488340,#114492); #76574=LINE('',#488343,#114493); #76575=LINE('',#488345,#114494); #76576=LINE('',#488346,#114495); #76577=LINE('',#488349,#114496); #76578=LINE('',#488351,#114497); #76579=LINE('',#488352,#114498); #76580=LINE('',#488355,#114499); #76581=LINE('',#488357,#114500); #76582=LINE('',#488358,#114501); #76583=LINE('',#488361,#114502); #76584=LINE('',#488363,#114503); #76585=LINE('',#488364,#114504); #76586=LINE('',#488367,#114505); #76587=LINE('',#488369,#114506); #76588=LINE('',#488370,#114507); #76589=LINE('',#488373,#114508); #76590=LINE('',#488375,#114509); #76591=LINE('',#488376,#114510); #76592=LINE('',#488379,#114511); #76593=LINE('',#488381,#114512); #76594=LINE('',#488382,#114513); #76595=LINE('',#488385,#114514); #76596=LINE('',#488387,#114515); #76597=LINE('',#488388,#114516); #76598=LINE('',#488391,#114517); #76599=LINE('',#488393,#114518); #76600=LINE('',#488394,#114519); #76601=LINE('',#488397,#114520); #76602=LINE('',#488399,#114521); #76603=LINE('',#488400,#114522); #76604=LINE('',#488403,#114523); #76605=LINE('',#488405,#114524); #76606=LINE('',#488406,#114525); #76607=LINE('',#488409,#114526); #76608=LINE('',#488411,#114527); #76609=LINE('',#488412,#114528); #76610=LINE('',#488415,#114529); #76611=LINE('',#488417,#114530); #76612=LINE('',#488418,#114531); #76613=LINE('',#488421,#114532); #76614=LINE('',#488423,#114533); #76615=LINE('',#488424,#114534); #76616=LINE('',#488427,#114535); #76617=LINE('',#488429,#114536); #76618=LINE('',#488430,#114537); #76619=LINE('',#488433,#114538); #76620=LINE('',#488435,#114539); #76621=LINE('',#488436,#114540); #76622=LINE('',#488439,#114541); #76623=LINE('',#488441,#114542); #76624=LINE('',#488442,#114543); #76625=LINE('',#488445,#114544); #76626=LINE('',#488447,#114545); #76627=LINE('',#488448,#114546); #76628=LINE('',#488451,#114547); #76629=LINE('',#488453,#114548); #76630=LINE('',#488454,#114549); #76631=LINE('',#488457,#114550); #76632=LINE('',#488459,#114551); #76633=LINE('',#488460,#114552); #76634=LINE('',#488463,#114553); #76635=LINE('',#488465,#114554); #76636=LINE('',#488466,#114555); #76637=LINE('',#488469,#114556); #76638=LINE('',#488471,#114557); #76639=LINE('',#488472,#114558); #76640=LINE('',#488475,#114559); #76641=LINE('',#488477,#114560); #76642=LINE('',#488478,#114561); #76643=LINE('',#488481,#114562); #76644=LINE('',#488483,#114563); #76645=LINE('',#488484,#114564); #76646=LINE('',#488487,#114565); #76647=LINE('',#488489,#114566); #76648=LINE('',#488490,#114567); #76649=LINE('',#488493,#114568); #76650=LINE('',#488495,#114569); #76651=LINE('',#488496,#114570); #76652=LINE('',#488499,#114571); #76653=LINE('',#488501,#114572); #76654=LINE('',#488502,#114573); #76655=LINE('',#488505,#114574); #76656=LINE('',#488507,#114575); #76657=LINE('',#488508,#114576); #76658=LINE('',#488511,#114577); #76659=LINE('',#488513,#114578); #76660=LINE('',#488514,#114579); #76661=LINE('',#488517,#114580); #76662=LINE('',#488519,#114581); #76663=LINE('',#488520,#114582); #76664=LINE('',#488523,#114583); #76665=LINE('',#488525,#114584); #76666=LINE('',#488526,#114585); #76667=LINE('',#488529,#114586); #76668=LINE('',#488531,#114587); #76669=LINE('',#488532,#114588); #76670=LINE('',#488535,#114589); #76671=LINE('',#488537,#114590); #76672=LINE('',#488538,#114591); #76673=LINE('',#488541,#114592); #76674=LINE('',#488543,#114593); #76675=LINE('',#488544,#114594); #76676=LINE('',#488547,#114595); #76677=LINE('',#488549,#114596); #76678=LINE('',#488550,#114597); #76679=LINE('',#488553,#114598); #76680=LINE('',#488555,#114599); #76681=LINE('',#488556,#114600); #76682=LINE('',#488559,#114601); #76683=LINE('',#488561,#114602); #76684=LINE('',#488562,#114603); #76685=LINE('',#488565,#114604); #76686=LINE('',#488567,#114605); #76687=LINE('',#488568,#114606); #76688=LINE('',#488571,#114607); #76689=LINE('',#488573,#114608); #76690=LINE('',#488574,#114609); #76691=LINE('',#488577,#114610); #76692=LINE('',#488579,#114611); #76693=LINE('',#488580,#114612); #76694=LINE('',#488583,#114613); #76695=LINE('',#488585,#114614); #76696=LINE('',#488586,#114615); #76697=LINE('',#488589,#114616); #76698=LINE('',#488591,#114617); #76699=LINE('',#488592,#114618); #76700=LINE('',#488595,#114619); #76701=LINE('',#488597,#114620); #76702=LINE('',#488598,#114621); #76703=LINE('',#488601,#114622); #76704=LINE('',#488603,#114623); #76705=LINE('',#488604,#114624); #76706=LINE('',#488607,#114625); #76707=LINE('',#488609,#114626); #76708=LINE('',#488610,#114627); #76709=LINE('',#488613,#114628); #76710=LINE('',#488615,#114629); #76711=LINE('',#488616,#114630); #76712=LINE('',#488619,#114631); #76713=LINE('',#488621,#114632); #76714=LINE('',#488622,#114633); #76715=LINE('',#488625,#114634); #76716=LINE('',#488627,#114635); #76717=LINE('',#488628,#114636); #76718=LINE('',#488631,#114637); #76719=LINE('',#488633,#114638); #76720=LINE('',#488634,#114639); #76721=LINE('',#488636,#114640); #76722=LINE('',#488637,#114641); #76723=LINE('',#488643,#114642); #76724=LINE('',#488645,#114643); #76725=LINE('',#488647,#114644); #76726=LINE('',#488648,#114645); #76727=LINE('',#488654,#114646); #76728=LINE('',#488657,#114647); #76729=LINE('',#488659,#114648); #76730=LINE('',#488660,#114649); #76731=LINE('',#488667,#114650); #76732=LINE('',#488669,#114651); #76733=LINE('',#488671,#114652); #76734=LINE('',#488672,#114653); #76735=LINE('',#488675,#114654); #76736=LINE('',#488677,#114655); #76737=LINE('',#488678,#114656); #76738=LINE('',#488681,#114657); #76739=LINE('',#488683,#114658); #76740=LINE('',#488684,#114659); #76741=LINE('',#488687,#114660); #76742=LINE('',#488689,#114661); #76743=LINE('',#488690,#114662); #76744=LINE('',#488693,#114663); #76745=LINE('',#488695,#114664); #76746=LINE('',#488696,#114665); #76747=LINE('',#488699,#114666); #76748=LINE('',#488701,#114667); #76749=LINE('',#488702,#114668); #76750=LINE('',#488705,#114669); #76751=LINE('',#488707,#114670); #76752=LINE('',#488708,#114671); #76753=LINE('',#488711,#114672); #76754=LINE('',#488713,#114673); #76755=LINE('',#488714,#114674); #76756=LINE('',#488717,#114675); #76757=LINE('',#488719,#114676); #76758=LINE('',#488720,#114677); #76759=LINE('',#488723,#114678); #76760=LINE('',#488725,#114679); #76761=LINE('',#488726,#114680); #76762=LINE('',#488729,#114681); #76763=LINE('',#488731,#114682); #76764=LINE('',#488732,#114683); #76765=LINE('',#488735,#114684); #76766=LINE('',#488737,#114685); #76767=LINE('',#488738,#114686); #76768=LINE('',#488741,#114687); #76769=LINE('',#488743,#114688); #76770=LINE('',#488744,#114689); #76771=LINE('',#488747,#114690); #76772=LINE('',#488749,#114691); #76773=LINE('',#488750,#114692); #76774=LINE('',#488753,#114693); #76775=LINE('',#488755,#114694); #76776=LINE('',#488756,#114695); #76777=LINE('',#488759,#114696); #76778=LINE('',#488761,#114697); #76779=LINE('',#488762,#114698); #76780=LINE('',#488765,#114699); #76781=LINE('',#488767,#114700); #76782=LINE('',#488768,#114701); #76783=LINE('',#488771,#114702); #76784=LINE('',#488773,#114703); #76785=LINE('',#488774,#114704); #76786=LINE('',#488777,#114705); #76787=LINE('',#488779,#114706); #76788=LINE('',#488780,#114707); #76789=LINE('',#488783,#114708); #76790=LINE('',#488785,#114709); #76791=LINE('',#488786,#114710); #76792=LINE('',#488789,#114711); #76793=LINE('',#488791,#114712); #76794=LINE('',#488792,#114713); #76795=LINE('',#488795,#114714); #76796=LINE('',#488797,#114715); #76797=LINE('',#488798,#114716); #76798=LINE('',#488801,#114717); #76799=LINE('',#488803,#114718); #76800=LINE('',#488804,#114719); #76801=LINE('',#488807,#114720); #76802=LINE('',#488809,#114721); #76803=LINE('',#488810,#114722); #76804=LINE('',#488813,#114723); #76805=LINE('',#488815,#114724); #76806=LINE('',#488816,#114725); #76807=LINE('',#488819,#114726); #76808=LINE('',#488821,#114727); #76809=LINE('',#488822,#114728); #76810=LINE('',#488825,#114729); #76811=LINE('',#488827,#114730); #76812=LINE('',#488828,#114731); #76813=LINE('',#488831,#114732); #76814=LINE('',#488833,#114733); #76815=LINE('',#488834,#114734); #76816=LINE('',#488837,#114735); #76817=LINE('',#488839,#114736); #76818=LINE('',#488840,#114737); #76819=LINE('',#488843,#114738); #76820=LINE('',#488845,#114739); #76821=LINE('',#488846,#114740); #76822=LINE('',#488849,#114741); #76823=LINE('',#488851,#114742); #76824=LINE('',#488852,#114743); #76825=LINE('',#488855,#114744); #76826=LINE('',#488857,#114745); #76827=LINE('',#488858,#114746); #76828=LINE('',#488861,#114747); #76829=LINE('',#488863,#114748); #76830=LINE('',#488864,#114749); #76831=LINE('',#488867,#114750); #76832=LINE('',#488869,#114751); #76833=LINE('',#488870,#114752); #76834=LINE('',#488873,#114753); #76835=LINE('',#488875,#114754); #76836=LINE('',#488876,#114755); #76837=LINE('',#488879,#114756); #76838=LINE('',#488881,#114757); #76839=LINE('',#488882,#114758); #76840=LINE('',#488885,#114759); #76841=LINE('',#488887,#114760); #76842=LINE('',#488888,#114761); #76843=LINE('',#488891,#114762); #76844=LINE('',#488893,#114763); #76845=LINE('',#488894,#114764); #76846=LINE('',#488897,#114765); #76847=LINE('',#488899,#114766); #76848=LINE('',#488900,#114767); #76849=LINE('',#488903,#114768); #76850=LINE('',#488905,#114769); #76851=LINE('',#488906,#114770); #76852=LINE('',#488909,#114771); #76853=LINE('',#488911,#114772); #76854=LINE('',#488912,#114773); #76855=LINE('',#488915,#114774); #76856=LINE('',#488917,#114775); #76857=LINE('',#488918,#114776); #76858=LINE('',#488921,#114777); #76859=LINE('',#488923,#114778); #76860=LINE('',#488924,#114779); #76861=LINE('',#488927,#114780); #76862=LINE('',#488929,#114781); #76863=LINE('',#488930,#114782); #76864=LINE('',#488933,#114783); #76865=LINE('',#488935,#114784); #76866=LINE('',#488936,#114785); #76867=LINE('',#488939,#114786); #76868=LINE('',#488941,#114787); #76869=LINE('',#488942,#114788); #76870=LINE('',#488945,#114789); #76871=LINE('',#488947,#114790); #76872=LINE('',#488948,#114791); #76873=LINE('',#488951,#114792); #76874=LINE('',#488953,#114793); #76875=LINE('',#488954,#114794); #76876=LINE('',#488957,#114795); #76877=LINE('',#488959,#114796); #76878=LINE('',#488960,#114797); #76879=LINE('',#488963,#114798); #76880=LINE('',#488965,#114799); #76881=LINE('',#488966,#114800); #76882=LINE('',#488969,#114801); #76883=LINE('',#488971,#114802); #76884=LINE('',#488972,#114803); #76885=LINE('',#488975,#114804); #76886=LINE('',#488977,#114805); #76887=LINE('',#488978,#114806); #76888=LINE('',#488981,#114807); #76889=LINE('',#488983,#114808); #76890=LINE('',#488984,#114809); #76891=LINE('',#488987,#114810); #76892=LINE('',#488989,#114811); #76893=LINE('',#488990,#114812); #76894=LINE('',#488993,#114813); #76895=LINE('',#488995,#114814); #76896=LINE('',#488996,#114815); #76897=LINE('',#488998,#114816); #76898=LINE('',#488999,#114817); #76899=LINE('',#489006,#114818); #76900=LINE('',#489011,#114819); #76901=LINE('',#489013,#114820); #76902=LINE('',#489015,#114821); #76903=LINE('',#489016,#114822); #76904=LINE('',#489022,#114823); #76905=LINE('',#489031,#114824); #76906=LINE('',#489033,#114825); #76907=LINE('',#489035,#114826); #76908=LINE('',#489036,#114827); #76909=LINE('',#489042,#114828); #76910=LINE('',#489045,#114829); #76911=LINE('',#489047,#114830); #76912=LINE('',#489048,#114831); #76913=LINE('',#489055,#114832); #76914=LINE('',#489057,#114833); #76915=LINE('',#489059,#114834); #76916=LINE('',#489060,#114835); #76917=LINE('',#489063,#114836); #76918=LINE('',#489065,#114837); #76919=LINE('',#489066,#114838); #76920=LINE('',#489069,#114839); #76921=LINE('',#489071,#114840); #76922=LINE('',#489072,#114841); #76923=LINE('',#489075,#114842); #76924=LINE('',#489077,#114843); #76925=LINE('',#489078,#114844); #76926=LINE('',#489081,#114845); #76927=LINE('',#489083,#114846); #76928=LINE('',#489084,#114847); #76929=LINE('',#489087,#114848); #76930=LINE('',#489089,#114849); #76931=LINE('',#489090,#114850); #76932=LINE('',#489093,#114851); #76933=LINE('',#489095,#114852); #76934=LINE('',#489096,#114853); #76935=LINE('',#489099,#114854); #76936=LINE('',#489101,#114855); #76937=LINE('',#489102,#114856); #76938=LINE('',#489105,#114857); #76939=LINE('',#489107,#114858); #76940=LINE('',#489108,#114859); #76941=LINE('',#489111,#114860); #76942=LINE('',#489113,#114861); #76943=LINE('',#489114,#114862); #76944=LINE('',#489117,#114863); #76945=LINE('',#489119,#114864); #76946=LINE('',#489120,#114865); #76947=LINE('',#489123,#114866); #76948=LINE('',#489125,#114867); #76949=LINE('',#489126,#114868); #76950=LINE('',#489129,#114869); #76951=LINE('',#489131,#114870); #76952=LINE('',#489132,#114871); #76953=LINE('',#489135,#114872); #76954=LINE('',#489137,#114873); #76955=LINE('',#489138,#114874); #76956=LINE('',#489141,#114875); #76957=LINE('',#489143,#114876); #76958=LINE('',#489144,#114877); #76959=LINE('',#489147,#114878); #76960=LINE('',#489149,#114879); #76961=LINE('',#489150,#114880); #76962=LINE('',#489153,#114881); #76963=LINE('',#489155,#114882); #76964=LINE('',#489156,#114883); #76965=LINE('',#489159,#114884); #76966=LINE('',#489161,#114885); #76967=LINE('',#489162,#114886); #76968=LINE('',#489165,#114887); #76969=LINE('',#489167,#114888); #76970=LINE('',#489168,#114889); #76971=LINE('',#489171,#114890); #76972=LINE('',#489173,#114891); #76973=LINE('',#489174,#114892); #76974=LINE('',#489177,#114893); #76975=LINE('',#489179,#114894); #76976=LINE('',#489180,#114895); #76977=LINE('',#489183,#114896); #76978=LINE('',#489185,#114897); #76979=LINE('',#489186,#114898); #76980=LINE('',#489189,#114899); #76981=LINE('',#489191,#114900); #76982=LINE('',#489192,#114901); #76983=LINE('',#489195,#114902); #76984=LINE('',#489197,#114903); #76985=LINE('',#489198,#114904); #76986=LINE('',#489201,#114905); #76987=LINE('',#489203,#114906); #76988=LINE('',#489204,#114907); #76989=LINE('',#489207,#114908); #76990=LINE('',#489209,#114909); #76991=LINE('',#489210,#114910); #76992=LINE('',#489213,#114911); #76993=LINE('',#489215,#114912); #76994=LINE('',#489216,#114913); #76995=LINE('',#489219,#114914); #76996=LINE('',#489221,#114915); #76997=LINE('',#489222,#114916); #76998=LINE('',#489225,#114917); #76999=LINE('',#489227,#114918); #77000=LINE('',#489228,#114919); #77001=LINE('',#489231,#114920); #77002=LINE('',#489233,#114921); #77003=LINE('',#489234,#114922); #77004=LINE('',#489237,#114923); #77005=LINE('',#489239,#114924); #77006=LINE('',#489240,#114925); #77007=LINE('',#489243,#114926); #77008=LINE('',#489245,#114927); #77009=LINE('',#489246,#114928); #77010=LINE('',#489249,#114929); #77011=LINE('',#489251,#114930); #77012=LINE('',#489252,#114931); #77013=LINE('',#489255,#114932); #77014=LINE('',#489257,#114933); #77015=LINE('',#489258,#114934); #77016=LINE('',#489261,#114935); #77017=LINE('',#489263,#114936); #77018=LINE('',#489264,#114937); #77019=LINE('',#489267,#114938); #77020=LINE('',#489269,#114939); #77021=LINE('',#489270,#114940); #77022=LINE('',#489273,#114941); #77023=LINE('',#489275,#114942); #77024=LINE('',#489276,#114943); #77025=LINE('',#489279,#114944); #77026=LINE('',#489281,#114945); #77027=LINE('',#489282,#114946); #77028=LINE('',#489285,#114947); #77029=LINE('',#489287,#114948); #77030=LINE('',#489288,#114949); #77031=LINE('',#489291,#114950); #77032=LINE('',#489293,#114951); #77033=LINE('',#489294,#114952); #77034=LINE('',#489297,#114953); #77035=LINE('',#489299,#114954); #77036=LINE('',#489300,#114955); #77037=LINE('',#489303,#114956); #77038=LINE('',#489305,#114957); #77039=LINE('',#489306,#114958); #77040=LINE('',#489309,#114959); #77041=LINE('',#489311,#114960); #77042=LINE('',#489312,#114961); #77043=LINE('',#489315,#114962); #77044=LINE('',#489317,#114963); #77045=LINE('',#489318,#114964); #77046=LINE('',#489321,#114965); #77047=LINE('',#489323,#114966); #77048=LINE('',#489324,#114967); #77049=LINE('',#489327,#114968); #77050=LINE('',#489329,#114969); #77051=LINE('',#489330,#114970); #77052=LINE('',#489333,#114971); #77053=LINE('',#489335,#114972); #77054=LINE('',#489336,#114973); #77055=LINE('',#489339,#114974); #77056=LINE('',#489341,#114975); #77057=LINE('',#489342,#114976); #77058=LINE('',#489345,#114977); #77059=LINE('',#489347,#114978); #77060=LINE('',#489348,#114979); #77061=LINE('',#489351,#114980); #77062=LINE('',#489353,#114981); #77063=LINE('',#489354,#114982); #77064=LINE('',#489357,#114983); #77065=LINE('',#489359,#114984); #77066=LINE('',#489360,#114985); #77067=LINE('',#489363,#114986); #77068=LINE('',#489365,#114987); #77069=LINE('',#489366,#114988); #77070=LINE('',#489369,#114989); #77071=LINE('',#489371,#114990); #77072=LINE('',#489372,#114991); #77073=LINE('',#489375,#114992); #77074=LINE('',#489377,#114993); #77075=LINE('',#489378,#114994); #77076=LINE('',#489381,#114995); #77077=LINE('',#489383,#114996); #77078=LINE('',#489384,#114997); #77079=LINE('',#489386,#114998); #77080=LINE('',#489387,#114999); #77081=LINE('',#489394,#115000); #77082=LINE('',#489399,#115001); #77083=LINE('',#489401,#115002); #77084=LINE('',#489403,#115003); #77085=LINE('',#489404,#115004); #77086=LINE('',#489407,#115005); #77087=LINE('',#489409,#115006); #77088=LINE('',#489410,#115007); #77089=LINE('',#489413,#115008); #77090=LINE('',#489415,#115009); #77091=LINE('',#489416,#115010); #77092=LINE('',#489419,#115011); #77093=LINE('',#489421,#115012); #77094=LINE('',#489422,#115013); #77095=LINE('',#489425,#115014); #77096=LINE('',#489427,#115015); #77097=LINE('',#489428,#115016); #77098=LINE('',#489431,#115017); #77099=LINE('',#489433,#115018); #77100=LINE('',#489434,#115019); #77101=LINE('',#489437,#115020); #77102=LINE('',#489439,#115021); #77103=LINE('',#489440,#115022); #77104=LINE('',#489442,#115023); #77105=LINE('',#489443,#115024); #77106=LINE('',#489450,#115025); #77107=LINE('',#489455,#115026); #77108=LINE('',#489457,#115027); #77109=LINE('',#489459,#115028); #77110=LINE('',#489460,#115029); #77111=LINE('',#489466,#115030); #77112=LINE('',#489475,#115031); #77113=LINE('',#489477,#115032); #77114=LINE('',#489479,#115033); #77115=LINE('',#489480,#115034); #77116=LINE('',#489486,#115035); #77117=LINE('',#489489,#115036); #77118=LINE('',#489491,#115037); #77119=LINE('',#489492,#115038); #77120=LINE('',#489499,#115039); #77121=LINE('',#489501,#115040); #77122=LINE('',#489503,#115041); #77123=LINE('',#489504,#115042); #77124=LINE('',#489507,#115043); #77125=LINE('',#489509,#115044); #77126=LINE('',#489510,#115045); #77127=LINE('',#489513,#115046); #77128=LINE('',#489515,#115047); #77129=LINE('',#489516,#115048); #77130=LINE('',#489519,#115049); #77131=LINE('',#489521,#115050); #77132=LINE('',#489522,#115051); #77133=LINE('',#489525,#115052); #77134=LINE('',#489527,#115053); #77135=LINE('',#489528,#115054); #77136=LINE('',#489531,#115055); #77137=LINE('',#489533,#115056); #77138=LINE('',#489534,#115057); #77139=LINE('',#489537,#115058); #77140=LINE('',#489539,#115059); #77141=LINE('',#489540,#115060); #77142=LINE('',#489543,#115061); #77143=LINE('',#489545,#115062); #77144=LINE('',#489546,#115063); #77145=LINE('',#489549,#115064); #77146=LINE('',#489551,#115065); #77147=LINE('',#489552,#115066); #77148=LINE('',#489555,#115067); #77149=LINE('',#489557,#115068); #77150=LINE('',#489558,#115069); #77151=LINE('',#489561,#115070); #77152=LINE('',#489563,#115071); #77153=LINE('',#489564,#115072); #77154=LINE('',#489567,#115073); #77155=LINE('',#489569,#115074); #77156=LINE('',#489570,#115075); #77157=LINE('',#489573,#115076); #77158=LINE('',#489575,#115077); #77159=LINE('',#489576,#115078); #77160=LINE('',#489579,#115079); #77161=LINE('',#489581,#115080); #77162=LINE('',#489582,#115081); #77163=LINE('',#489585,#115082); #77164=LINE('',#489587,#115083); #77165=LINE('',#489588,#115084); #77166=LINE('',#489591,#115085); #77167=LINE('',#489593,#115086); #77168=LINE('',#489594,#115087); #77169=LINE('',#489597,#115088); #77170=LINE('',#489599,#115089); #77171=LINE('',#489600,#115090); #77172=LINE('',#489603,#115091); #77173=LINE('',#489605,#115092); #77174=LINE('',#489606,#115093); #77175=LINE('',#489609,#115094); #77176=LINE('',#489611,#115095); #77177=LINE('',#489612,#115096); #77178=LINE('',#489615,#115097); #77179=LINE('',#489617,#115098); #77180=LINE('',#489618,#115099); #77181=LINE('',#489621,#115100); #77182=LINE('',#489623,#115101); #77183=LINE('',#489624,#115102); #77184=LINE('',#489627,#115103); #77185=LINE('',#489629,#115104); #77186=LINE('',#489630,#115105); #77187=LINE('',#489633,#115106); #77188=LINE('',#489635,#115107); #77189=LINE('',#489636,#115108); #77190=LINE('',#489639,#115109); #77191=LINE('',#489641,#115110); #77192=LINE('',#489642,#115111); #77193=LINE('',#489645,#115112); #77194=LINE('',#489647,#115113); #77195=LINE('',#489648,#115114); #77196=LINE('',#489651,#115115); #77197=LINE('',#489653,#115116); #77198=LINE('',#489654,#115117); #77199=LINE('',#489657,#115118); #77200=LINE('',#489659,#115119); #77201=LINE('',#489660,#115120); #77202=LINE('',#489663,#115121); #77203=LINE('',#489665,#115122); #77204=LINE('',#489666,#115123); #77205=LINE('',#489669,#115124); #77206=LINE('',#489671,#115125); #77207=LINE('',#489672,#115126); #77208=LINE('',#489675,#115127); #77209=LINE('',#489677,#115128); #77210=LINE('',#489678,#115129); #77211=LINE('',#489681,#115130); #77212=LINE('',#489683,#115131); #77213=LINE('',#489684,#115132); #77214=LINE('',#489687,#115133); #77215=LINE('',#489689,#115134); #77216=LINE('',#489690,#115135); #77217=LINE('',#489693,#115136); #77218=LINE('',#489695,#115137); #77219=LINE('',#489696,#115138); #77220=LINE('',#489699,#115139); #77221=LINE('',#489701,#115140); #77222=LINE('',#489702,#115141); #77223=LINE('',#489705,#115142); #77224=LINE('',#489707,#115143); #77225=LINE('',#489708,#115144); #77226=LINE('',#489711,#115145); #77227=LINE('',#489713,#115146); #77228=LINE('',#489714,#115147); #77229=LINE('',#489717,#115148); #77230=LINE('',#489719,#115149); #77231=LINE('',#489720,#115150); #77232=LINE('',#489723,#115151); #77233=LINE('',#489725,#115152); #77234=LINE('',#489726,#115153); #77235=LINE('',#489729,#115154); #77236=LINE('',#489731,#115155); #77237=LINE('',#489732,#115156); #77238=LINE('',#489735,#115157); #77239=LINE('',#489737,#115158); #77240=LINE('',#489738,#115159); #77241=LINE('',#489741,#115160); #77242=LINE('',#489743,#115161); #77243=LINE('',#489744,#115162); #77244=LINE('',#489747,#115163); #77245=LINE('',#489749,#115164); #77246=LINE('',#489750,#115165); #77247=LINE('',#489753,#115166); #77248=LINE('',#489755,#115167); #77249=LINE('',#489756,#115168); #77250=LINE('',#489759,#115169); #77251=LINE('',#489761,#115170); #77252=LINE('',#489762,#115171); #77253=LINE('',#489765,#115172); #77254=LINE('',#489767,#115173); #77255=LINE('',#489768,#115174); #77256=LINE('',#489771,#115175); #77257=LINE('',#489773,#115176); #77258=LINE('',#489774,#115177); #77259=LINE('',#489777,#115178); #77260=LINE('',#489779,#115179); #77261=LINE('',#489780,#115180); #77262=LINE('',#489783,#115181); #77263=LINE('',#489785,#115182); #77264=LINE('',#489786,#115183); #77265=LINE('',#489789,#115184); #77266=LINE('',#489791,#115185); #77267=LINE('',#489792,#115186); #77268=LINE('',#489795,#115187); #77269=LINE('',#489797,#115188); #77270=LINE('',#489798,#115189); #77271=LINE('',#489801,#115190); #77272=LINE('',#489803,#115191); #77273=LINE('',#489804,#115192); #77274=LINE('',#489807,#115193); #77275=LINE('',#489809,#115194); #77276=LINE('',#489810,#115195); #77277=LINE('',#489813,#115196); #77278=LINE('',#489815,#115197); #77279=LINE('',#489816,#115198); #77280=LINE('',#489819,#115199); #77281=LINE('',#489821,#115200); #77282=LINE('',#489822,#115201); #77283=LINE('',#489825,#115202); #77284=LINE('',#489827,#115203); #77285=LINE('',#489828,#115204); #77286=LINE('',#489831,#115205); #77287=LINE('',#489833,#115206); #77288=LINE('',#489834,#115207); #77289=LINE('',#489837,#115208); #77290=LINE('',#489839,#115209); #77291=LINE('',#489840,#115210); #77292=LINE('',#489843,#115211); #77293=LINE('',#489845,#115212); #77294=LINE('',#489846,#115213); #77295=LINE('',#489849,#115214); #77296=LINE('',#489851,#115215); #77297=LINE('',#489852,#115216); #77298=LINE('',#489855,#115217); #77299=LINE('',#489857,#115218); #77300=LINE('',#489858,#115219); #77301=LINE('',#489861,#115220); #77302=LINE('',#489863,#115221); #77303=LINE('',#489864,#115222); #77304=LINE('',#489867,#115223); #77305=LINE('',#489869,#115224); #77306=LINE('',#489870,#115225); #77307=LINE('',#489873,#115226); #77308=LINE('',#489875,#115227); #77309=LINE('',#489876,#115228); #77310=LINE('',#489879,#115229); #77311=LINE('',#489881,#115230); #77312=LINE('',#489882,#115231); #77313=LINE('',#489885,#115232); #77314=LINE('',#489887,#115233); #77315=LINE('',#489888,#115234); #77316=LINE('',#489891,#115235); #77317=LINE('',#489893,#115236); #77318=LINE('',#489894,#115237); #77319=LINE('',#489897,#115238); #77320=LINE('',#489899,#115239); #77321=LINE('',#489900,#115240); #77322=LINE('',#489903,#115241); #77323=LINE('',#489905,#115242); #77324=LINE('',#489906,#115243); #77325=LINE('',#489909,#115244); #77326=LINE('',#489911,#115245); #77327=LINE('',#489912,#115246); #77328=LINE('',#489915,#115247); #77329=LINE('',#489917,#115248); #77330=LINE('',#489918,#115249); #77331=LINE('',#489921,#115250); #77332=LINE('',#489923,#115251); #77333=LINE('',#489924,#115252); #77334=LINE('',#489926,#115253); #77335=LINE('',#489927,#115254); #77336=LINE('',#489934,#115255); #77337=LINE('',#489939,#115256); #77338=LINE('',#489941,#115257); #77339=LINE('',#489943,#115258); #77340=LINE('',#489944,#115259); #77341=LINE('',#489947,#115260); #77342=LINE('',#489949,#115261); #77343=LINE('',#489950,#115262); #77344=LINE('',#489953,#115263); #77345=LINE('',#489955,#115264); #77346=LINE('',#489956,#115265); #77347=LINE('',#489959,#115266); #77348=LINE('',#489961,#115267); #77349=LINE('',#489962,#115268); #77350=LINE('',#489965,#115269); #77351=LINE('',#489967,#115270); #77352=LINE('',#489968,#115271); #77353=LINE('',#489971,#115272); #77354=LINE('',#489973,#115273); #77355=LINE('',#489974,#115274); #77356=LINE('',#489977,#115275); #77357=LINE('',#489979,#115276); #77358=LINE('',#489980,#115277); #77359=LINE('',#489982,#115278); #77360=LINE('',#489983,#115279); #77361=LINE('',#489990,#115280); #77362=LINE('',#489995,#115281); #77363=LINE('',#489997,#115282); #77364=LINE('',#489999,#115283); #77365=LINE('',#490000,#115284); #77366=LINE('',#490006,#115285); #77367=LINE('',#490016,#115286); #77368=LINE('',#490021,#115287); #77369=LINE('',#490023,#115288); #77370=LINE('',#490025,#115289); #77371=LINE('',#490026,#115290); #77372=LINE('',#490032,#115291); #77373=LINE('',#490042,#115292); #77374=LINE('',#490047,#115293); #77375=LINE('',#490049,#115294); #77376=LINE('',#490051,#115295); #77377=LINE('',#490052,#115296); #77378=LINE('',#490058,#115297); #77379=LINE('',#490068,#115298); #77380=LINE('',#490073,#115299); #77381=LINE('',#490075,#115300); #77382=LINE('',#490077,#115301); #77383=LINE('',#490078,#115302); #77384=LINE('',#490081,#115303); #77385=LINE('',#490083,#115304); #77386=LINE('',#490084,#115305); #77387=LINE('',#490087,#115306); #77388=LINE('',#490089,#115307); #77389=LINE('',#490090,#115308); #77390=LINE('',#490092,#115309); #77391=LINE('',#490093,#115310); #77392=LINE('',#490100,#115311); #77393=LINE('',#490105,#115312); #77394=LINE('',#490107,#115313); #77395=LINE('',#490109,#115314); #77396=LINE('',#490110,#115315); #77397=LINE('',#490113,#115316); #77398=LINE('',#490115,#115317); #77399=LINE('',#490116,#115318); #77400=LINE('',#490119,#115319); #77401=LINE('',#490121,#115320); #77402=LINE('',#490122,#115321); #77403=LINE('',#490125,#115322); #77404=LINE('',#490127,#115323); #77405=LINE('',#490128,#115324); #77406=LINE('',#490131,#115325); #77407=LINE('',#490133,#115326); #77408=LINE('',#490134,#115327); #77409=LINE('',#490137,#115328); #77410=LINE('',#490139,#115329); #77411=LINE('',#490140,#115330); #77412=LINE('',#490143,#115331); #77413=LINE('',#490145,#115332); #77414=LINE('',#490146,#115333); #77415=LINE('',#490148,#115334); #77416=LINE('',#490149,#115335); #77417=LINE('',#490156,#115336); #77418=LINE('',#490161,#115337); #77419=LINE('',#490163,#115338); #77420=LINE('',#490165,#115339); #77421=LINE('',#490166,#115340); #77422=LINE('',#490172,#115341); #77423=LINE('',#490182,#115342); #77424=LINE('',#490187,#115343); #77425=LINE('',#490189,#115344); #77426=LINE('',#490191,#115345); #77427=LINE('',#490192,#115346); #77428=LINE('',#490198,#115347); #77429=LINE('',#490207,#115348); #77430=LINE('',#490209,#115349); #77431=LINE('',#490211,#115350); #77432=LINE('',#490212,#115351); #77433=LINE('',#490215,#115352); #77434=LINE('',#490217,#115353); #77435=LINE('',#490218,#115354); #77436=LINE('',#490221,#115355); #77437=LINE('',#490223,#115356); #77438=LINE('',#490224,#115357); #77439=LINE('',#490226,#115358); #77440=LINE('',#490227,#115359); #77441=LINE('',#490233,#115360); #77442=LINE('',#490235,#115361); #77443=LINE('',#490237,#115362); #77444=LINE('',#490238,#115363); #77445=LINE('',#490241,#115364); #77446=LINE('',#490243,#115365); #77447=LINE('',#490244,#115366); #77448=LINE('',#490247,#115367); #77449=LINE('',#490249,#115368); #77450=LINE('',#490250,#115369); #77451=LINE('',#490252,#115370); #77452=LINE('',#490253,#115371); #77453=LINE('',#490259,#115372); #77454=LINE('',#490261,#115373); #77455=LINE('',#490263,#115374); #77456=LINE('',#490264,#115375); #77457=LINE('',#490267,#115376); #77458=LINE('',#490269,#115377); #77459=LINE('',#490270,#115378); #77460=LINE('',#490273,#115379); #77461=LINE('',#490275,#115380); #77462=LINE('',#490276,#115381); #77463=LINE('',#490278,#115382); #77464=LINE('',#490279,#115383); #77465=LINE('',#490285,#115384); #77466=LINE('',#490287,#115385); #77467=LINE('',#490289,#115386); #77468=LINE('',#490290,#115387); #77469=LINE('',#490293,#115388); #77470=LINE('',#490295,#115389); #77471=LINE('',#490296,#115390); #77472=LINE('',#490299,#115391); #77473=LINE('',#490301,#115392); #77474=LINE('',#490302,#115393); #77475=LINE('',#490304,#115394); #77476=LINE('',#490305,#115395); #77477=LINE('',#490311,#115396); #77478=LINE('',#490313,#115397); #77479=LINE('',#490315,#115398); #77480=LINE('',#490316,#115399); #77481=LINE('',#490319,#115400); #77482=LINE('',#490321,#115401); #77483=LINE('',#490322,#115402); #77484=LINE('',#490325,#115403); #77485=LINE('',#490327,#115404); #77486=LINE('',#490328,#115405); #77487=LINE('',#490330,#115406); #77488=LINE('',#490331,#115407); #77489=LINE('',#490337,#115408); #77490=LINE('',#490339,#115409); #77491=LINE('',#490341,#115410); #77492=LINE('',#490342,#115411); #77493=LINE('',#490345,#115412); #77494=LINE('',#490347,#115413); #77495=LINE('',#490348,#115414); #77496=LINE('',#490351,#115415); #77497=LINE('',#490353,#115416); #77498=LINE('',#490354,#115417); #77499=LINE('',#490356,#115418); #77500=LINE('',#490357,#115419); #77501=LINE('',#490363,#115420); #77502=LINE('',#490365,#115421); #77503=LINE('',#490367,#115422); #77504=LINE('',#490368,#115423); #77505=LINE('',#490371,#115424); #77506=LINE('',#490373,#115425); #77507=LINE('',#490374,#115426); #77508=LINE('',#490377,#115427); #77509=LINE('',#490379,#115428); #77510=LINE('',#490380,#115429); #77511=LINE('',#490382,#115430); #77512=LINE('',#490383,#115431); #77513=LINE('',#490389,#115432); #77514=LINE('',#490391,#115433); #77515=LINE('',#490393,#115434); #77516=LINE('',#490394,#115435); #77517=LINE('',#490397,#115436); #77518=LINE('',#490399,#115437); #77519=LINE('',#490400,#115438); #77520=LINE('',#490403,#115439); #77521=LINE('',#490405,#115440); #77522=LINE('',#490406,#115441); #77523=LINE('',#490408,#115442); #77524=LINE('',#490409,#115443); #77525=LINE('',#490415,#115444); #77526=LINE('',#490417,#115445); #77527=LINE('',#490419,#115446); #77528=LINE('',#490420,#115447); #77529=LINE('',#490423,#115448); #77530=LINE('',#490425,#115449); #77531=LINE('',#490426,#115450); #77532=LINE('',#490429,#115451); #77533=LINE('',#490431,#115452); #77534=LINE('',#490432,#115453); #77535=LINE('',#490434,#115454); #77536=LINE('',#490435,#115455); #77537=LINE('',#490441,#115456); #77538=LINE('',#490443,#115457); #77539=LINE('',#490445,#115458); #77540=LINE('',#490446,#115459); #77541=LINE('',#490449,#115460); #77542=LINE('',#490451,#115461); #77543=LINE('',#490452,#115462); #77544=LINE('',#490455,#115463); #77545=LINE('',#490457,#115464); #77546=LINE('',#490458,#115465); #77547=LINE('',#490460,#115466); #77548=LINE('',#490461,#115467); #77549=LINE('',#490467,#115468); #77550=LINE('',#490469,#115469); #77551=LINE('',#490471,#115470); #77552=LINE('',#490472,#115471); #77553=LINE('',#490475,#115472); #77554=LINE('',#490477,#115473); #77555=LINE('',#490478,#115474); #77556=LINE('',#490481,#115475); #77557=LINE('',#490483,#115476); #77558=LINE('',#490484,#115477); #77559=LINE('',#490486,#115478); #77560=LINE('',#490487,#115479); #77561=LINE('',#490493,#115480); #77562=LINE('',#490495,#115481); #77563=LINE('',#490497,#115482); #77564=LINE('',#490498,#115483); #77565=LINE('',#490501,#115484); #77566=LINE('',#490503,#115485); #77567=LINE('',#490504,#115486); #77568=LINE('',#490507,#115487); #77569=LINE('',#490509,#115488); #77570=LINE('',#490510,#115489); #77571=LINE('',#490512,#115490); #77572=LINE('',#490513,#115491); #77573=LINE('',#490519,#115492); #77574=LINE('',#490521,#115493); #77575=LINE('',#490523,#115494); #77576=LINE('',#490524,#115495); #77577=LINE('',#490527,#115496); #77578=LINE('',#490529,#115497); #77579=LINE('',#490530,#115498); #77580=LINE('',#490533,#115499); #77581=LINE('',#490535,#115500); #77582=LINE('',#490536,#115501); #77583=LINE('',#490538,#115502); #77584=LINE('',#490539,#115503); #77585=LINE('',#490545,#115504); #77586=LINE('',#490547,#115505); #77587=LINE('',#490549,#115506); #77588=LINE('',#490550,#115507); #77589=LINE('',#490553,#115508); #77590=LINE('',#490555,#115509); #77591=LINE('',#490556,#115510); #77592=LINE('',#490559,#115511); #77593=LINE('',#490561,#115512); #77594=LINE('',#490562,#115513); #77595=LINE('',#490564,#115514); #77596=LINE('',#490565,#115515); #77597=LINE('',#490572,#115516); #77598=LINE('',#490578,#115517); #77599=LINE('',#490584,#115518); #77600=LINE('',#490590,#115519); #77601=LINE('',#490596,#115520); #77602=LINE('',#490602,#115521); #77603=LINE('',#490607,#115522); #77604=LINE('',#490609,#115523); #77605=LINE('',#490611,#115524); #77606=LINE('',#490612,#115525); #77607=LINE('',#490615,#115526); #77608=LINE('',#490617,#115527); #77609=LINE('',#490618,#115528); #77610=LINE('',#490621,#115529); #77611=LINE('',#490623,#115530); #77612=LINE('',#490624,#115531); #77613=LINE('',#490626,#115532); #77614=LINE('',#490627,#115533); #77615=LINE('',#490633,#115534); #77616=LINE('',#490635,#115535); #77617=LINE('',#490637,#115536); #77618=LINE('',#490638,#115537); #77619=LINE('',#490641,#115538); #77620=LINE('',#490643,#115539); #77621=LINE('',#490644,#115540); #77622=LINE('',#490647,#115541); #77623=LINE('',#490649,#115542); #77624=LINE('',#490650,#115543); #77625=LINE('',#490652,#115544); #77626=LINE('',#490653,#115545); #77627=LINE('',#490659,#115546); #77628=LINE('',#490661,#115547); #77629=LINE('',#490663,#115548); #77630=LINE('',#490664,#115549); #77631=LINE('',#490667,#115550); #77632=LINE('',#490669,#115551); #77633=LINE('',#490670,#115552); #77634=LINE('',#490673,#115553); #77635=LINE('',#490675,#115554); #77636=LINE('',#490676,#115555); #77637=LINE('',#490678,#115556); #77638=LINE('',#490679,#115557); #77639=LINE('',#490685,#115558); #77640=LINE('',#490687,#115559); #77641=LINE('',#490689,#115560); #77642=LINE('',#490690,#115561); #77643=LINE('',#490693,#115562); #77644=LINE('',#490695,#115563); #77645=LINE('',#490696,#115564); #77646=LINE('',#490699,#115565); #77647=LINE('',#490701,#115566); #77648=LINE('',#490702,#115567); #77649=LINE('',#490704,#115568); #77650=LINE('',#490705,#115569); #77651=LINE('',#490711,#115570); #77652=LINE('',#490713,#115571); #77653=LINE('',#490715,#115572); #77654=LINE('',#490716,#115573); #77655=LINE('',#490719,#115574); #77656=LINE('',#490721,#115575); #77657=LINE('',#490722,#115576); #77658=LINE('',#490725,#115577); #77659=LINE('',#490727,#115578); #77660=LINE('',#490728,#115579); #77661=LINE('',#490730,#115580); #77662=LINE('',#490731,#115581); #77663=LINE('',#490737,#115582); #77664=LINE('',#490739,#115583); #77665=LINE('',#490741,#115584); #77666=LINE('',#490742,#115585); #77667=LINE('',#490745,#115586); #77668=LINE('',#490747,#115587); #77669=LINE('',#490748,#115588); #77670=LINE('',#490751,#115589); #77671=LINE('',#490753,#115590); #77672=LINE('',#490754,#115591); #77673=LINE('',#490756,#115592); #77674=LINE('',#490757,#115593); #77675=LINE('',#490763,#115594); #77676=LINE('',#490765,#115595); #77677=LINE('',#490767,#115596); #77678=LINE('',#490768,#115597); #77679=LINE('',#490771,#115598); #77680=LINE('',#490773,#115599); #77681=LINE('',#490774,#115600); #77682=LINE('',#490777,#115601); #77683=LINE('',#490779,#115602); #77684=LINE('',#490780,#115603); #77685=LINE('',#490782,#115604); #77686=LINE('',#490783,#115605); #77687=LINE('',#490789,#115606); #77688=LINE('',#490791,#115607); #77689=LINE('',#490793,#115608); #77690=LINE('',#490794,#115609); #77691=LINE('',#490797,#115610); #77692=LINE('',#490799,#115611); #77693=LINE('',#490800,#115612); #77694=LINE('',#490803,#115613); #77695=LINE('',#490805,#115614); #77696=LINE('',#490806,#115615); #77697=LINE('',#490808,#115616); #77698=LINE('',#490809,#115617); #77699=LINE('',#490815,#115618); #77700=LINE('',#490817,#115619); #77701=LINE('',#490819,#115620); #77702=LINE('',#490820,#115621); #77703=LINE('',#490823,#115622); #77704=LINE('',#490825,#115623); #77705=LINE('',#490826,#115624); #77706=LINE('',#490829,#115625); #77707=LINE('',#490831,#115626); #77708=LINE('',#490832,#115627); #77709=LINE('',#490834,#115628); #77710=LINE('',#490835,#115629); #77711=LINE('',#490841,#115630); #77712=LINE('',#490843,#115631); #77713=LINE('',#490845,#115632); #77714=LINE('',#490846,#115633); #77715=LINE('',#490849,#115634); #77716=LINE('',#490851,#115635); #77717=LINE('',#490852,#115636); #77718=LINE('',#490855,#115637); #77719=LINE('',#490857,#115638); #77720=LINE('',#490858,#115639); #77721=LINE('',#490860,#115640); #77722=LINE('',#490861,#115641); #77723=LINE('',#490867,#115642); #77724=LINE('',#490869,#115643); #77725=LINE('',#490871,#115644); #77726=LINE('',#490872,#115645); #77727=LINE('',#490875,#115646); #77728=LINE('',#490877,#115647); #77729=LINE('',#490878,#115648); #77730=LINE('',#490881,#115649); #77731=LINE('',#490883,#115650); #77732=LINE('',#490884,#115651); #77733=LINE('',#490886,#115652); #77734=LINE('',#490887,#115653); #77735=LINE('',#490893,#115654); #77736=LINE('',#490895,#115655); #77737=LINE('',#490897,#115656); #77738=LINE('',#490898,#115657); #77739=LINE('',#490901,#115658); #77740=LINE('',#490903,#115659); #77741=LINE('',#490904,#115660); #77742=LINE('',#490907,#115661); #77743=LINE('',#490909,#115662); #77744=LINE('',#490910,#115663); #77745=LINE('',#490912,#115664); #77746=LINE('',#490913,#115665); #77747=LINE('',#490919,#115666); #77748=LINE('',#490921,#115667); #77749=LINE('',#490923,#115668); #77750=LINE('',#490924,#115669); #77751=LINE('',#490927,#115670); #77752=LINE('',#490929,#115671); #77753=LINE('',#490930,#115672); #77754=LINE('',#490933,#115673); #77755=LINE('',#490935,#115674); #77756=LINE('',#490936,#115675); #77757=LINE('',#490938,#115676); #77758=LINE('',#490939,#115677); #77759=LINE('',#490945,#115678); #77760=LINE('',#490947,#115679); #77761=LINE('',#490949,#115680); #77762=LINE('',#490950,#115681); #77763=LINE('',#490953,#115682); #77764=LINE('',#490955,#115683); #77765=LINE('',#490956,#115684); #77766=LINE('',#490959,#115685); #77767=LINE('',#490961,#115686); #77768=LINE('',#490962,#115687); #77769=LINE('',#490964,#115688); #77770=LINE('',#490965,#115689); #77771=LINE('',#490971,#115690); #77772=LINE('',#490973,#115691); #77773=LINE('',#490975,#115692); #77774=LINE('',#490976,#115693); #77775=LINE('',#490979,#115694); #77776=LINE('',#490981,#115695); #77777=LINE('',#490982,#115696); #77778=LINE('',#490985,#115697); #77779=LINE('',#490987,#115698); #77780=LINE('',#490988,#115699); #77781=LINE('',#490990,#115700); #77782=LINE('',#490991,#115701); #77783=LINE('',#490997,#115702); #77784=LINE('',#490999,#115703); #77785=LINE('',#491001,#115704); #77786=LINE('',#491002,#115705); #77787=LINE('',#491005,#115706); #77788=LINE('',#491007,#115707); #77789=LINE('',#491008,#115708); #77790=LINE('',#491011,#115709); #77791=LINE('',#491013,#115710); #77792=LINE('',#491014,#115711); #77793=LINE('',#491016,#115712); #77794=LINE('',#491017,#115713); #77795=LINE('',#491023,#115714); #77796=LINE('',#491025,#115715); #77797=LINE('',#491027,#115716); #77798=LINE('',#491028,#115717); #77799=LINE('',#491031,#115718); #77800=LINE('',#491033,#115719); #77801=LINE('',#491034,#115720); #77802=LINE('',#491037,#115721); #77803=LINE('',#491039,#115722); #77804=LINE('',#491040,#115723); #77805=LINE('',#491042,#115724); #77806=LINE('',#491043,#115725); #77807=LINE('',#491049,#115726); #77808=LINE('',#491051,#115727); #77809=LINE('',#491053,#115728); #77810=LINE('',#491054,#115729); #77811=LINE('',#491057,#115730); #77812=LINE('',#491059,#115731); #77813=LINE('',#491060,#115732); #77814=LINE('',#491063,#115733); #77815=LINE('',#491065,#115734); #77816=LINE('',#491066,#115735); #77817=LINE('',#491068,#115736); #77818=LINE('',#491069,#115737); #77819=LINE('',#491075,#115738); #77820=LINE('',#491077,#115739); #77821=LINE('',#491079,#115740); #77822=LINE('',#491080,#115741); #77823=LINE('',#491083,#115742); #77824=LINE('',#491085,#115743); #77825=LINE('',#491086,#115744); #77826=LINE('',#491089,#115745); #77827=LINE('',#491091,#115746); #77828=LINE('',#491092,#115747); #77829=LINE('',#491094,#115748); #77830=LINE('',#491095,#115749); #77831=LINE('',#491101,#115750); #77832=LINE('',#491103,#115751); #77833=LINE('',#491105,#115752); #77834=LINE('',#491106,#115753); #77835=LINE('',#491109,#115754); #77836=LINE('',#491111,#115755); #77837=LINE('',#491112,#115756); #77838=LINE('',#491115,#115757); #77839=LINE('',#491117,#115758); #77840=LINE('',#491118,#115759); #77841=LINE('',#491120,#115760); #77842=LINE('',#491121,#115761); #77843=LINE('',#491127,#115762); #77844=LINE('',#491129,#115763); #77845=LINE('',#491131,#115764); #77846=LINE('',#491132,#115765); #77847=LINE('',#491135,#115766); #77848=LINE('',#491137,#115767); #77849=LINE('',#491138,#115768); #77850=LINE('',#491141,#115769); #77851=LINE('',#491143,#115770); #77852=LINE('',#491144,#115771); #77853=LINE('',#491146,#115772); #77854=LINE('',#491147,#115773); #77855=LINE('',#491153,#115774); #77856=LINE('',#491155,#115775); #77857=LINE('',#491157,#115776); #77858=LINE('',#491158,#115777); #77859=LINE('',#491161,#115778); #77860=LINE('',#491163,#115779); #77861=LINE('',#491164,#115780); #77862=LINE('',#491167,#115781); #77863=LINE('',#491169,#115782); #77864=LINE('',#491170,#115783); #77865=LINE('',#491172,#115784); #77866=LINE('',#491173,#115785); #77867=LINE('',#491179,#115786); #77868=LINE('',#491181,#115787); #77869=LINE('',#491183,#115788); #77870=LINE('',#491184,#115789); #77871=LINE('',#491187,#115790); #77872=LINE('',#491189,#115791); #77873=LINE('',#491190,#115792); #77874=LINE('',#491193,#115793); #77875=LINE('',#491195,#115794); #77876=LINE('',#491196,#115795); #77877=LINE('',#491198,#115796); #77878=LINE('',#491199,#115797); #77879=LINE('',#491205,#115798); #77880=LINE('',#491207,#115799); #77881=LINE('',#491209,#115800); #77882=LINE('',#491210,#115801); #77883=LINE('',#491213,#115802); #77884=LINE('',#491215,#115803); #77885=LINE('',#491216,#115804); #77886=LINE('',#491219,#115805); #77887=LINE('',#491221,#115806); #77888=LINE('',#491222,#115807); #77889=LINE('',#491224,#115808); #77890=LINE('',#491225,#115809); #77891=LINE('',#491232,#115810); #77892=LINE('',#491238,#115811); #77893=LINE('',#491244,#115812); #77894=LINE('',#491250,#115813); #77895=LINE('',#491256,#115814); #77896=LINE('',#491262,#115815); #77897=LINE('',#491267,#115816); #77898=LINE('',#491269,#115817); #77899=LINE('',#491271,#115818); #77900=LINE('',#491272,#115819); #77901=LINE('',#491275,#115820); #77902=LINE('',#491277,#115821); #77903=LINE('',#491278,#115822); #77904=LINE('',#491281,#115823); #77905=LINE('',#491283,#115824); #77906=LINE('',#491284,#115825); #77907=LINE('',#491286,#115826); #77908=LINE('',#491287,#115827); #77909=LINE('',#491293,#115828); #77910=LINE('',#491295,#115829); #77911=LINE('',#491297,#115830); #77912=LINE('',#491298,#115831); #77913=LINE('',#491301,#115832); #77914=LINE('',#491303,#115833); #77915=LINE('',#491304,#115834); #77916=LINE('',#491307,#115835); #77917=LINE('',#491309,#115836); #77918=LINE('',#491310,#115837); #77919=LINE('',#491312,#115838); #77920=LINE('',#491313,#115839); #77921=LINE('',#491319,#115840); #77922=LINE('',#491321,#115841); #77923=LINE('',#491323,#115842); #77924=LINE('',#491324,#115843); #77925=LINE('',#491327,#115844); #77926=LINE('',#491329,#115845); #77927=LINE('',#491330,#115846); #77928=LINE('',#491333,#115847); #77929=LINE('',#491335,#115848); #77930=LINE('',#491336,#115849); #77931=LINE('',#491338,#115850); #77932=LINE('',#491339,#115851); #77933=LINE('',#491346,#115852); #77934=LINE('',#491352,#115853); #77935=LINE('',#491358,#115854); #77936=LINE('',#491364,#115855); #77937=LINE('',#491370,#115856); #77938=LINE('',#491376,#115857); #77939=LINE('',#491381,#115858); #77940=LINE('',#491383,#115859); #77941=LINE('',#491385,#115860); #77942=LINE('',#491386,#115861); #77943=LINE('',#491389,#115862); #77944=LINE('',#491391,#115863); #77945=LINE('',#491392,#115864); #77946=LINE('',#491395,#115865); #77947=LINE('',#491397,#115866); #77948=LINE('',#491398,#115867); #77949=LINE('',#491400,#115868); #77950=LINE('',#491401,#115869); #77951=LINE('',#491407,#115870); #77952=LINE('',#491409,#115871); #77953=LINE('',#491411,#115872); #77954=LINE('',#491412,#115873); #77955=LINE('',#491415,#115874); #77956=LINE('',#491417,#115875); #77957=LINE('',#491418,#115876); #77958=LINE('',#491421,#115877); #77959=LINE('',#491423,#115878); #77960=LINE('',#491424,#115879); #77961=LINE('',#491426,#115880); #77962=LINE('',#491427,#115881); #77963=LINE('',#491433,#115882); #77964=LINE('',#491435,#115883); #77965=LINE('',#491437,#115884); #77966=LINE('',#491438,#115885); #77967=LINE('',#491441,#115886); #77968=LINE('',#491443,#115887); #77969=LINE('',#491444,#115888); #77970=LINE('',#491447,#115889); #77971=LINE('',#491449,#115890); #77972=LINE('',#491450,#115891); #77973=LINE('',#491452,#115892); #77974=LINE('',#491453,#115893); #77975=LINE('',#491459,#115894); #77976=LINE('',#491461,#115895); #77977=LINE('',#491463,#115896); #77978=LINE('',#491464,#115897); #77979=LINE('',#491467,#115898); #77980=LINE('',#491469,#115899); #77981=LINE('',#491470,#115900); #77982=LINE('',#491473,#115901); #77983=LINE('',#491475,#115902); #77984=LINE('',#491476,#115903); #77985=LINE('',#491478,#115904); #77986=LINE('',#491479,#115905); #77987=LINE('',#491485,#115906); #77988=LINE('',#491487,#115907); #77989=LINE('',#491489,#115908); #77990=LINE('',#491490,#115909); #77991=LINE('',#491493,#115910); #77992=LINE('',#491495,#115911); #77993=LINE('',#491496,#115912); #77994=LINE('',#491499,#115913); #77995=LINE('',#491501,#115914); #77996=LINE('',#491502,#115915); #77997=LINE('',#491504,#115916); #77998=LINE('',#491505,#115917); #77999=LINE('',#491511,#115918); #78000=LINE('',#491513,#115919); #78001=LINE('',#491515,#115920); #78002=LINE('',#491516,#115921); #78003=LINE('',#491519,#115922); #78004=LINE('',#491521,#115923); #78005=LINE('',#491522,#115924); #78006=LINE('',#491525,#115925); #78007=LINE('',#491527,#115926); #78008=LINE('',#491528,#115927); #78009=LINE('',#491530,#115928); #78010=LINE('',#491531,#115929); #78011=LINE('',#491537,#115930); #78012=LINE('',#491539,#115931); #78013=LINE('',#491541,#115932); #78014=LINE('',#491542,#115933); #78015=LINE('',#491545,#115934); #78016=LINE('',#491547,#115935); #78017=LINE('',#491548,#115936); #78018=LINE('',#491551,#115937); #78019=LINE('',#491553,#115938); #78020=LINE('',#491554,#115939); #78021=LINE('',#491556,#115940); #78022=LINE('',#491557,#115941); #78023=LINE('',#491563,#115942); #78024=LINE('',#491565,#115943); #78025=LINE('',#491567,#115944); #78026=LINE('',#491568,#115945); #78027=LINE('',#491571,#115946); #78028=LINE('',#491573,#115947); #78029=LINE('',#491574,#115948); #78030=LINE('',#491577,#115949); #78031=LINE('',#491579,#115950); #78032=LINE('',#491580,#115951); #78033=LINE('',#491582,#115952); #78034=LINE('',#491583,#115953); #78035=LINE('',#491589,#115954); #78036=LINE('',#491591,#115955); #78037=LINE('',#491593,#115956); #78038=LINE('',#491594,#115957); #78039=LINE('',#491597,#115958); #78040=LINE('',#491599,#115959); #78041=LINE('',#491600,#115960); #78042=LINE('',#491603,#115961); #78043=LINE('',#491605,#115962); #78044=LINE('',#491606,#115963); #78045=LINE('',#491608,#115964); #78046=LINE('',#491609,#115965); #78047=LINE('',#491615,#115966); #78048=LINE('',#491617,#115967); #78049=LINE('',#491619,#115968); #78050=LINE('',#491620,#115969); #78051=LINE('',#491623,#115970); #78052=LINE('',#491625,#115971); #78053=LINE('',#491626,#115972); #78054=LINE('',#491629,#115973); #78055=LINE('',#491631,#115974); #78056=LINE('',#491632,#115975); #78057=LINE('',#491634,#115976); #78058=LINE('',#491635,#115977); #78059=LINE('',#491641,#115978); #78060=LINE('',#491643,#115979); #78061=LINE('',#491645,#115980); #78062=LINE('',#491646,#115981); #78063=LINE('',#491649,#115982); #78064=LINE('',#491651,#115983); #78065=LINE('',#491652,#115984); #78066=LINE('',#491655,#115985); #78067=LINE('',#491657,#115986); #78068=LINE('',#491658,#115987); #78069=LINE('',#491660,#115988); #78070=LINE('',#491661,#115989); #78071=LINE('',#491667,#115990); #78072=LINE('',#491669,#115991); #78073=LINE('',#491671,#115992); #78074=LINE('',#491672,#115993); #78075=LINE('',#491675,#115994); #78076=LINE('',#491677,#115995); #78077=LINE('',#491678,#115996); #78078=LINE('',#491681,#115997); #78079=LINE('',#491683,#115998); #78080=LINE('',#491684,#115999); #78081=LINE('',#491686,#116000); #78082=LINE('',#491687,#116001); #78083=LINE('',#491693,#116002); #78084=LINE('',#491695,#116003); #78085=LINE('',#491697,#116004); #78086=LINE('',#491698,#116005); #78087=LINE('',#491701,#116006); #78088=LINE('',#491703,#116007); #78089=LINE('',#491704,#116008); #78090=LINE('',#491707,#116009); #78091=LINE('',#491709,#116010); #78092=LINE('',#491710,#116011); #78093=LINE('',#491712,#116012); #78094=LINE('',#491713,#116013); #78095=LINE('',#491719,#116014); #78096=LINE('',#491721,#116015); #78097=LINE('',#491723,#116016); #78098=LINE('',#491724,#116017); #78099=LINE('',#491727,#116018); #78100=LINE('',#491729,#116019); #78101=LINE('',#491730,#116020); #78102=LINE('',#491733,#116021); #78103=LINE('',#491735,#116022); #78104=LINE('',#491736,#116023); #78105=LINE('',#491738,#116024); #78106=LINE('',#491739,#116025); #78107=LINE('',#491745,#116026); #78108=LINE('',#491747,#116027); #78109=LINE('',#491749,#116028); #78110=LINE('',#491750,#116029); #78111=LINE('',#491753,#116030); #78112=LINE('',#491755,#116031); #78113=LINE('',#491756,#116032); #78114=LINE('',#491759,#116033); #78115=LINE('',#491761,#116034); #78116=LINE('',#491762,#116035); #78117=LINE('',#491764,#116036); #78118=LINE('',#491765,#116037); #78119=LINE('',#491772,#116038); #78120=LINE('',#491778,#116039); #78121=LINE('',#491784,#116040); #78122=LINE('',#491790,#116041); #78123=LINE('',#491796,#116042); #78124=LINE('',#491802,#116043); #78125=LINE('',#491808,#116044); #78126=LINE('',#491814,#116045); #78127=LINE('',#491820,#116046); #78128=LINE('',#491826,#116047); #78129=LINE('',#491832,#116048); #78130=LINE('',#491838,#116049); #78131=LINE('',#491844,#116050); #78132=LINE('',#491850,#116051); #78133=LINE('',#491856,#116052); #78134=LINE('',#491862,#116053); #78135=LINE('',#491868,#116054); #78136=LINE('',#491874,#116055); #78137=LINE('',#491880,#116056); #78138=LINE('',#491886,#116057); #78139=LINE('',#491892,#116058); #78140=LINE('',#491898,#116059); #78141=LINE('',#491904,#116060); #78142=LINE('',#491910,#116061); #78143=LINE('',#491916,#116062); #78144=LINE('',#491922,#116063); #78145=LINE('',#491928,#116064); #78146=LINE('',#491934,#116065); #78147=LINE('',#491940,#116066); #78148=LINE('',#491946,#116067); #78149=LINE('',#491952,#116068); #78150=LINE('',#491958,#116069); #78151=LINE('',#491964,#116070); #78152=LINE('',#491970,#116071); #78153=LINE('',#491976,#116072); #78154=LINE('',#491982,#116073); #78155=LINE('',#491988,#116074); #78156=LINE('',#491994,#116075); #78157=LINE('',#492000,#116076); #78158=LINE('',#492006,#116077); #78159=LINE('',#492012,#116078); #78160=LINE('',#492018,#116079); #78161=LINE('',#492024,#116080); #78162=LINE('',#492030,#116081); #78163=LINE('',#492036,#116082); #78164=LINE('',#492042,#116083); #78165=LINE('',#492048,#116084); #78166=LINE('',#492054,#116085); #78167=LINE('',#492060,#116086); #78168=LINE('',#492065,#116087); #78169=LINE('',#492067,#116088); #78170=LINE('',#492069,#116089); #78171=LINE('',#492070,#116090); #78172=LINE('',#492073,#116091); #78173=LINE('',#492075,#116092); #78174=LINE('',#492076,#116093); #78175=LINE('',#492079,#116094); #78176=LINE('',#492081,#116095); #78177=LINE('',#492082,#116096); #78178=LINE('',#492084,#116097); #78179=LINE('',#492085,#116098); #78180=LINE('',#492091,#116099); #78181=LINE('',#492093,#116100); #78182=LINE('',#492095,#116101); #78183=LINE('',#492096,#116102); #78184=LINE('',#492099,#116103); #78185=LINE('',#492101,#116104); #78186=LINE('',#492102,#116105); #78187=LINE('',#492105,#116106); #78188=LINE('',#492107,#116107); #78189=LINE('',#492108,#116108); #78190=LINE('',#492110,#116109); #78191=LINE('',#492111,#116110); #78192=LINE('',#492117,#116111); #78193=LINE('',#492119,#116112); #78194=LINE('',#492121,#116113); #78195=LINE('',#492122,#116114); #78196=LINE('',#492125,#116115); #78197=LINE('',#492127,#116116); #78198=LINE('',#492128,#116117); #78199=LINE('',#492131,#116118); #78200=LINE('',#492133,#116119); #78201=LINE('',#492134,#116120); #78202=LINE('',#492136,#116121); #78203=LINE('',#492137,#116122); #78204=LINE('',#492143,#116123); #78205=LINE('',#492145,#116124); #78206=LINE('',#492147,#116125); #78207=LINE('',#492148,#116126); #78208=LINE('',#492151,#116127); #78209=LINE('',#492153,#116128); #78210=LINE('',#492154,#116129); #78211=LINE('',#492157,#116130); #78212=LINE('',#492159,#116131); #78213=LINE('',#492160,#116132); #78214=LINE('',#492162,#116133); #78215=LINE('',#492163,#116134); #78216=LINE('',#492169,#116135); #78217=LINE('',#492171,#116136); #78218=LINE('',#492173,#116137); #78219=LINE('',#492174,#116138); #78220=LINE('',#492177,#116139); #78221=LINE('',#492179,#116140); #78222=LINE('',#492180,#116141); #78223=LINE('',#492183,#116142); #78224=LINE('',#492185,#116143); #78225=LINE('',#492186,#116144); #78226=LINE('',#492188,#116145); #78227=LINE('',#492189,#116146); #78228=LINE('',#492195,#116147); #78229=LINE('',#492197,#116148); #78230=LINE('',#492199,#116149); #78231=LINE('',#492200,#116150); #78232=LINE('',#492203,#116151); #78233=LINE('',#492205,#116152); #78234=LINE('',#492206,#116153); #78235=LINE('',#492209,#116154); #78236=LINE('',#492211,#116155); #78237=LINE('',#492212,#116156); #78238=LINE('',#492214,#116157); #78239=LINE('',#492215,#116158); #78240=LINE('',#492221,#116159); #78241=LINE('',#492223,#116160); #78242=LINE('',#492225,#116161); #78243=LINE('',#492226,#116162); #78244=LINE('',#492229,#116163); #78245=LINE('',#492231,#116164); #78246=LINE('',#492232,#116165); #78247=LINE('',#492235,#116166); #78248=LINE('',#492237,#116167); #78249=LINE('',#492238,#116168); #78250=LINE('',#492240,#116169); #78251=LINE('',#492241,#116170); #78252=LINE('',#492247,#116171); #78253=LINE('',#492249,#116172); #78254=LINE('',#492251,#116173); #78255=LINE('',#492252,#116174); #78256=LINE('',#492255,#116175); #78257=LINE('',#492257,#116176); #78258=LINE('',#492258,#116177); #78259=LINE('',#492261,#116178); #78260=LINE('',#492263,#116179); #78261=LINE('',#492264,#116180); #78262=LINE('',#492266,#116181); #78263=LINE('',#492267,#116182); #78264=LINE('',#492273,#116183); #78265=LINE('',#492275,#116184); #78266=LINE('',#492277,#116185); #78267=LINE('',#492278,#116186); #78268=LINE('',#492281,#116187); #78269=LINE('',#492283,#116188); #78270=LINE('',#492284,#116189); #78271=LINE('',#492287,#116190); #78272=LINE('',#492289,#116191); #78273=LINE('',#492290,#116192); #78274=LINE('',#492292,#116193); #78275=LINE('',#492293,#116194); #78276=LINE('',#492299,#116195); #78277=LINE('',#492301,#116196); #78278=LINE('',#492303,#116197); #78279=LINE('',#492304,#116198); #78280=LINE('',#492307,#116199); #78281=LINE('',#492309,#116200); #78282=LINE('',#492310,#116201); #78283=LINE('',#492313,#116202); #78284=LINE('',#492315,#116203); #78285=LINE('',#492316,#116204); #78286=LINE('',#492318,#116205); #78287=LINE('',#492319,#116206); #78288=LINE('',#492325,#116207); #78289=LINE('',#492327,#116208); #78290=LINE('',#492329,#116209); #78291=LINE('',#492330,#116210); #78292=LINE('',#492333,#116211); #78293=LINE('',#492335,#116212); #78294=LINE('',#492336,#116213); #78295=LINE('',#492339,#116214); #78296=LINE('',#492341,#116215); #78297=LINE('',#492342,#116216); #78298=LINE('',#492344,#116217); #78299=LINE('',#492345,#116218); #78300=LINE('',#492351,#116219); #78301=LINE('',#492353,#116220); #78302=LINE('',#492355,#116221); #78303=LINE('',#492356,#116222); #78304=LINE('',#492359,#116223); #78305=LINE('',#492361,#116224); #78306=LINE('',#492362,#116225); #78307=LINE('',#492365,#116226); #78308=LINE('',#492367,#116227); #78309=LINE('',#492368,#116228); #78310=LINE('',#492370,#116229); #78311=LINE('',#492371,#116230); #78312=LINE('',#492377,#116231); #78313=LINE('',#492379,#116232); #78314=LINE('',#492381,#116233); #78315=LINE('',#492382,#116234); #78316=LINE('',#492385,#116235); #78317=LINE('',#492387,#116236); #78318=LINE('',#492388,#116237); #78319=LINE('',#492391,#116238); #78320=LINE('',#492393,#116239); #78321=LINE('',#492394,#116240); #78322=LINE('',#492396,#116241); #78323=LINE('',#492397,#116242); #78324=LINE('',#492403,#116243); #78325=LINE('',#492405,#116244); #78326=LINE('',#492407,#116245); #78327=LINE('',#492408,#116246); #78328=LINE('',#492411,#116247); #78329=LINE('',#492413,#116248); #78330=LINE('',#492414,#116249); #78331=LINE('',#492417,#116250); #78332=LINE('',#492419,#116251); #78333=LINE('',#492420,#116252); #78334=LINE('',#492422,#116253); #78335=LINE('',#492423,#116254); #78336=LINE('',#492429,#116255); #78337=LINE('',#492431,#116256); #78338=LINE('',#492433,#116257); #78339=LINE('',#492434,#116258); #78340=LINE('',#492437,#116259); #78341=LINE('',#492439,#116260); #78342=LINE('',#492440,#116261); #78343=LINE('',#492443,#116262); #78344=LINE('',#492445,#116263); #78345=LINE('',#492446,#116264); #78346=LINE('',#492448,#116265); #78347=LINE('',#492449,#116266); #78348=LINE('',#492456,#116267); #78349=LINE('',#492461,#116268); #78350=LINE('',#492463,#116269); #78351=LINE('',#492465,#116270); #78352=LINE('',#492466,#116271); #78353=LINE('',#492469,#116272); #78354=LINE('',#492471,#116273); #78355=LINE('',#492472,#116274); #78356=LINE('',#492475,#116275); #78357=LINE('',#492477,#116276); #78358=LINE('',#492478,#116277); #78359=LINE('',#492480,#116278); #78360=LINE('',#492481,#116279); #78361=LINE('',#492487,#116280); #78362=LINE('',#492489,#116281); #78363=LINE('',#492491,#116282); #78364=LINE('',#492492,#116283); #78365=LINE('',#492495,#116284); #78366=LINE('',#492497,#116285); #78367=LINE('',#492498,#116286); #78368=LINE('',#492501,#116287); #78369=LINE('',#492503,#116288); #78370=LINE('',#492504,#116289); #78371=LINE('',#492506,#116290); #78372=LINE('',#492507,#116291); #78373=LINE('',#492513,#116292); #78374=LINE('',#492515,#116293); #78375=LINE('',#492517,#116294); #78376=LINE('',#492518,#116295); #78377=LINE('',#492521,#116296); #78378=LINE('',#492523,#116297); #78379=LINE('',#492524,#116298); #78380=LINE('',#492527,#116299); #78381=LINE('',#492529,#116300); #78382=LINE('',#492530,#116301); #78383=LINE('',#492532,#116302); #78384=LINE('',#492533,#116303); #78385=LINE('',#492540,#116304); #78386=LINE('',#492545,#116305); #78387=LINE('',#492547,#116306); #78388=LINE('',#492549,#116307); #78389=LINE('',#492550,#116308); #78390=LINE('',#492553,#116309); #78391=LINE('',#492555,#116310); #78392=LINE('',#492556,#116311); #78393=LINE('',#492559,#116312); #78394=LINE('',#492561,#116313); #78395=LINE('',#492562,#116314); #78396=LINE('',#492564,#116315); #78397=LINE('',#492565,#116316); #78398=LINE('',#492571,#116317); #78399=LINE('',#492573,#116318); #78400=LINE('',#492575,#116319); #78401=LINE('',#492576,#116320); #78402=LINE('',#492579,#116321); #78403=LINE('',#492581,#116322); #78404=LINE('',#492582,#116323); #78405=LINE('',#492585,#116324); #78406=LINE('',#492587,#116325); #78407=LINE('',#492588,#116326); #78408=LINE('',#492590,#116327); #78409=LINE('',#492591,#116328); #78410=LINE('',#492597,#116329); #78411=LINE('',#492599,#116330); #78412=LINE('',#492601,#116331); #78413=LINE('',#492602,#116332); #78414=LINE('',#492605,#116333); #78415=LINE('',#492607,#116334); #78416=LINE('',#492608,#116335); #78417=LINE('',#492611,#116336); #78418=LINE('',#492613,#116337); #78419=LINE('',#492614,#116338); #78420=LINE('',#492616,#116339); #78421=LINE('',#492617,#116340); #78422=LINE('',#492623,#116341); #78423=LINE('',#492625,#116342); #78424=LINE('',#492627,#116343); #78425=LINE('',#492628,#116344); #78426=LINE('',#492631,#116345); #78427=LINE('',#492633,#116346); #78428=LINE('',#492634,#116347); #78429=LINE('',#492637,#116348); #78430=LINE('',#492639,#116349); #78431=LINE('',#492640,#116350); #78432=LINE('',#492642,#116351); #78433=LINE('',#492643,#116352); #78434=LINE('',#492649,#116353); #78435=LINE('',#492651,#116354); #78436=LINE('',#492653,#116355); #78437=LINE('',#492654,#116356); #78438=LINE('',#492657,#116357); #78439=LINE('',#492659,#116358); #78440=LINE('',#492660,#116359); #78441=LINE('',#492663,#116360); #78442=LINE('',#492665,#116361); #78443=LINE('',#492666,#116362); #78444=LINE('',#492668,#116363); #78445=LINE('',#492669,#116364); #78446=LINE('',#492675,#116365); #78447=LINE('',#492677,#116366); #78448=LINE('',#492679,#116367); #78449=LINE('',#492680,#116368); #78450=LINE('',#492683,#116369); #78451=LINE('',#492685,#116370); #78452=LINE('',#492686,#116371); #78453=LINE('',#492689,#116372); #78454=LINE('',#492691,#116373); #78455=LINE('',#492692,#116374); #78456=LINE('',#492694,#116375); #78457=LINE('',#492695,#116376); #78458=LINE('',#492701,#116377); #78459=LINE('',#492703,#116378); #78460=LINE('',#492705,#116379); #78461=LINE('',#492706,#116380); #78462=LINE('',#492709,#116381); #78463=LINE('',#492711,#116382); #78464=LINE('',#492712,#116383); #78465=LINE('',#492715,#116384); #78466=LINE('',#492717,#116385); #78467=LINE('',#492718,#116386); #78468=LINE('',#492720,#116387); #78469=LINE('',#492721,#116388); #78470=LINE('',#492727,#116389); #78471=LINE('',#492729,#116390); #78472=LINE('',#492731,#116391); #78473=LINE('',#492732,#116392); #78474=LINE('',#492735,#116393); #78475=LINE('',#492737,#116394); #78476=LINE('',#492738,#116395); #78477=LINE('',#492741,#116396); #78478=LINE('',#492743,#116397); #78479=LINE('',#492744,#116398); #78480=LINE('',#492746,#116399); #78481=LINE('',#492747,#116400); #78482=LINE('',#492753,#116401); #78483=LINE('',#492755,#116402); #78484=LINE('',#492757,#116403); #78485=LINE('',#492758,#116404); #78486=LINE('',#492761,#116405); #78487=LINE('',#492763,#116406); #78488=LINE('',#492764,#116407); #78489=LINE('',#492767,#116408); #78490=LINE('',#492769,#116409); #78491=LINE('',#492770,#116410); #78492=LINE('',#492772,#116411); #78493=LINE('',#492773,#116412); #78494=LINE('',#492779,#116413); #78495=LINE('',#492781,#116414); #78496=LINE('',#492783,#116415); #78497=LINE('',#492784,#116416); #78498=LINE('',#492787,#116417); #78499=LINE('',#492789,#116418); #78500=LINE('',#492790,#116419); #78501=LINE('',#492793,#116420); #78502=LINE('',#492795,#116421); #78503=LINE('',#492796,#116422); #78504=LINE('',#492798,#116423); #78505=LINE('',#492799,#116424); #78506=LINE('',#492805,#116425); #78507=LINE('',#492807,#116426); #78508=LINE('',#492809,#116427); #78509=LINE('',#492810,#116428); #78510=LINE('',#492813,#116429); #78511=LINE('',#492815,#116430); #78512=LINE('',#492816,#116431); #78513=LINE('',#492819,#116432); #78514=LINE('',#492821,#116433); #78515=LINE('',#492822,#116434); #78516=LINE('',#492824,#116435); #78517=LINE('',#492825,#116436); #78518=LINE('',#492831,#116437); #78519=LINE('',#492833,#116438); #78520=LINE('',#492835,#116439); #78521=LINE('',#492836,#116440); #78522=LINE('',#492839,#116441); #78523=LINE('',#492841,#116442); #78524=LINE('',#492842,#116443); #78525=LINE('',#492845,#116444); #78526=LINE('',#492847,#116445); #78527=LINE('',#492848,#116446); #78528=LINE('',#492850,#116447); #78529=LINE('',#492851,#116448); #78530=LINE('',#492858,#116449); #78531=LINE('',#492863,#116450); #78532=LINE('',#492867,#116451); #78533=LINE('',#492872,#116452); #78534=LINE('',#492876,#116453); #78535=LINE('',#492881,#116454); #78536=LINE('',#492885,#116455); #78537=LINE('',#492890,#116456); #78538=LINE('',#492894,#116457); #78539=LINE('',#492899,#116458); #78540=LINE('',#492903,#116459); #78541=LINE('',#492908,#116460); #78542=LINE('',#492912,#116461); #78543=LINE('',#492917,#116462); #78544=LINE('',#492921,#116463); #78545=LINE('',#492926,#116464); #78546=LINE('',#492930,#116465); #78547=LINE('',#492933,#116466); #78548=LINE('',#492934,#116467); #78549=LINE('',#492937,#116468); #78550=LINE('',#492939,#116469); #78551=LINE('',#492940,#116470); #78552=LINE('',#492942,#116471); #78553=LINE('',#492943,#116472); #78554=LINE('',#492945,#116473); #78555=LINE('',#492946,#116474); #78556=LINE('',#492949,#116475); #78557=LINE('',#492951,#116476); #78558=LINE('',#492952,#116477); #78559=LINE('',#492954,#116478); #78560=LINE('',#492955,#116479); #78561=LINE('',#492957,#116480); #78562=LINE('',#492958,#116481); #78563=LINE('',#492960,#116482); #78564=LINE('',#492961,#116483); #78565=LINE('',#492963,#116484); #78566=LINE('',#492964,#116485); #78567=LINE('',#492966,#116486); #78568=LINE('',#492968,#116487); #78569=LINE('',#492973,#116488); #78570=LINE('',#492975,#116489); #78571=LINE('',#492977,#116490); #78572=LINE('',#492978,#116491); #78573=LINE('',#492981,#116492); #78574=LINE('',#492983,#116493); #78575=LINE('',#492984,#116494); #78576=LINE('',#492987,#116495); #78577=LINE('',#492989,#116496); #78578=LINE('',#492990,#116497); #78579=LINE('',#492992,#116498); #78580=LINE('',#492993,#116499); #78581=LINE('',#493000,#116500); #78582=LINE('',#493005,#116501); #78583=LINE('',#493007,#116502); #78584=LINE('',#493009,#116503); #78585=LINE('',#493010,#116504); #78586=LINE('',#493013,#116505); #78587=LINE('',#493015,#116506); #78588=LINE('',#493016,#116507); #78589=LINE('',#493019,#116508); #78590=LINE('',#493021,#116509); #78591=LINE('',#493022,#116510); #78592=LINE('',#493024,#116511); #78593=LINE('',#493025,#116512); #78594=LINE('',#493031,#116513); #78595=LINE('',#493033,#116514); #78596=LINE('',#493035,#116515); #78597=LINE('',#493036,#116516); #78598=LINE('',#493039,#116517); #78599=LINE('',#493041,#116518); #78600=LINE('',#493042,#116519); #78601=LINE('',#493045,#116520); #78602=LINE('',#493047,#116521); #78603=LINE('',#493048,#116522); #78604=LINE('',#493050,#116523); #78605=LINE('',#493051,#116524); #78606=LINE('',#493057,#116525); #78607=LINE('',#493059,#116526); #78608=LINE('',#493061,#116527); #78609=LINE('',#493062,#116528); #78610=LINE('',#493065,#116529); #78611=LINE('',#493067,#116530); #78612=LINE('',#493068,#116531); #78613=LINE('',#493071,#116532); #78614=LINE('',#493073,#116533); #78615=LINE('',#493074,#116534); #78616=LINE('',#493076,#116535); #78617=LINE('',#493077,#116536); #78618=LINE('',#493083,#116537); #78619=LINE('',#493085,#116538); #78620=LINE('',#493087,#116539); #78621=LINE('',#493088,#116540); #78622=LINE('',#493091,#116541); #78623=LINE('',#493093,#116542); #78624=LINE('',#493094,#116543); #78625=LINE('',#493097,#116544); #78626=LINE('',#493099,#116545); #78627=LINE('',#493100,#116546); #78628=LINE('',#493102,#116547); #78629=LINE('',#493103,#116548); #78630=LINE('',#493110,#116549); #78631=LINE('',#493115,#116550); #78632=LINE('',#493117,#116551); #78633=LINE('',#493119,#116552); #78634=LINE('',#493120,#116553); #78635=LINE('',#493123,#116554); #78636=LINE('',#493125,#116555); #78637=LINE('',#493126,#116556); #78638=LINE('',#493129,#116557); #78639=LINE('',#493131,#116558); #78640=LINE('',#493132,#116559); #78641=LINE('',#493134,#116560); #78642=LINE('',#493135,#116561); #78643=LINE('',#493142,#116562); #78644=LINE('',#493147,#116563); #78645=LINE('',#493149,#116564); #78646=LINE('',#493151,#116565); #78647=LINE('',#493152,#116566); #78648=LINE('',#493155,#116567); #78649=LINE('',#493157,#116568); #78650=LINE('',#493158,#116569); #78651=LINE('',#493161,#116570); #78652=LINE('',#493163,#116571); #78653=LINE('',#493164,#116572); #78654=LINE('',#493166,#116573); #78655=LINE('',#493167,#116574); #78656=LINE('',#493173,#116575); #78657=LINE('',#493175,#116576); #78658=LINE('',#493177,#116577); #78659=LINE('',#493178,#116578); #78660=LINE('',#493181,#116579); #78661=LINE('',#493183,#116580); #78662=LINE('',#493184,#116581); #78663=LINE('',#493187,#116582); #78664=LINE('',#493189,#116583); #78665=LINE('',#493190,#116584); #78666=LINE('',#493192,#116585); #78667=LINE('',#493193,#116586); #78668=LINE('',#493199,#116587); #78669=LINE('',#493201,#116588); #78670=LINE('',#493203,#116589); #78671=LINE('',#493204,#116590); #78672=LINE('',#493207,#116591); #78673=LINE('',#493209,#116592); #78674=LINE('',#493210,#116593); #78675=LINE('',#493213,#116594); #78676=LINE('',#493215,#116595); #78677=LINE('',#493216,#116596); #78678=LINE('',#493218,#116597); #78679=LINE('',#493219,#116598); #78680=LINE('',#493225,#116599); #78681=LINE('',#493227,#116600); #78682=LINE('',#493229,#116601); #78683=LINE('',#493230,#116602); #78684=LINE('',#493233,#116603); #78685=LINE('',#493235,#116604); #78686=LINE('',#493236,#116605); #78687=LINE('',#493239,#116606); #78688=LINE('',#493241,#116607); #78689=LINE('',#493242,#116608); #78690=LINE('',#493244,#116609); #78691=LINE('',#493245,#116610); #78692=LINE('',#493251,#116611); #78693=LINE('',#493255,#116612); #78694=LINE('',#493260,#116613); #78695=LINE('',#493264,#116614); #78696=LINE('',#493269,#116615); #78697=LINE('',#493273,#116616); #78698=LINE('',#493279,#116617); #78699=LINE('',#493284,#116618); #78700=LINE('',#493288,#116619); #78701=LINE('',#493294,#116620); #78702=LINE('',#493298,#116621); #78703=LINE('',#493300,#116622); #78704=LINE('',#493301,#116623); #78705=LINE('',#493303,#116624); #78706=LINE('',#493304,#116625); #78707=LINE('',#493307,#116626); #78708=LINE('',#493309,#116627); #78709=LINE('',#493310,#116628); #78710=LINE('',#493313,#116629); #78711=LINE('',#493315,#116630); #78712=LINE('',#493316,#116631); #78713=LINE('',#493318,#116632); #78714=LINE('',#493319,#116633); #78715=LINE('',#493322,#116634); #78716=LINE('',#493324,#116635); #78717=LINE('',#493325,#116636); #78718=LINE('',#493327,#116637); #78719=LINE('',#493328,#116638); #78720=LINE('',#493330,#116639); #78721=LINE('',#493332,#116640); #78722=LINE('',#493337,#116641); #78723=LINE('',#493339,#116642); #78724=LINE('',#493341,#116643); #78725=LINE('',#493342,#116644); #78726=LINE('',#493345,#116645); #78727=LINE('',#493347,#116646); #78728=LINE('',#493348,#116647); #78729=LINE('',#493351,#116648); #78730=LINE('',#493353,#116649); #78731=LINE('',#493354,#116650); #78732=LINE('',#493356,#116651); #78733=LINE('',#493357,#116652); #78734=LINE('',#493363,#116653); #78735=LINE('',#493365,#116654); #78736=LINE('',#493367,#116655); #78737=LINE('',#493368,#116656); #78738=LINE('',#493371,#116657); #78739=LINE('',#493373,#116658); #78740=LINE('',#493374,#116659); #78741=LINE('',#493377,#116660); #78742=LINE('',#493379,#116661); #78743=LINE('',#493380,#116662); #78744=LINE('',#493382,#116663); #78745=LINE('',#493383,#116664); #78746=LINE('',#493389,#116665); #78747=LINE('',#493391,#116666); #78748=LINE('',#493393,#116667); #78749=LINE('',#493394,#116668); #78750=LINE('',#493397,#116669); #78751=LINE('',#493399,#116670); #78752=LINE('',#493400,#116671); #78753=LINE('',#493403,#116672); #78754=LINE('',#493405,#116673); #78755=LINE('',#493406,#116674); #78756=LINE('',#493408,#116675); #78757=LINE('',#493409,#116676); #78758=LINE('',#493415,#116677); #78759=LINE('',#493417,#116678); #78760=LINE('',#493419,#116679); #78761=LINE('',#493420,#116680); #78762=LINE('',#493423,#116681); #78763=LINE('',#493425,#116682); #78764=LINE('',#493426,#116683); #78765=LINE('',#493429,#116684); #78766=LINE('',#493431,#116685); #78767=LINE('',#493432,#116686); #78768=LINE('',#493434,#116687); #78769=LINE('',#493435,#116688); #78770=LINE('',#493441,#116689); #78771=LINE('',#493443,#116690); #78772=LINE('',#493445,#116691); #78773=LINE('',#493446,#116692); #78774=LINE('',#493449,#116693); #78775=LINE('',#493451,#116694); #78776=LINE('',#493452,#116695); #78777=LINE('',#493455,#116696); #78778=LINE('',#493457,#116697); #78779=LINE('',#493458,#116698); #78780=LINE('',#493460,#116699); #78781=LINE('',#493461,#116700); #78782=LINE('',#493467,#116701); #78783=LINE('',#493469,#116702); #78784=LINE('',#493471,#116703); #78785=LINE('',#493472,#116704); #78786=LINE('',#493475,#116705); #78787=LINE('',#493477,#116706); #78788=LINE('',#493478,#116707); #78789=LINE('',#493481,#116708); #78790=LINE('',#493483,#116709); #78791=LINE('',#493484,#116710); #78792=LINE('',#493486,#116711); #78793=LINE('',#493487,#116712); #78794=LINE('',#493493,#116713); #78795=LINE('',#493495,#116714); #78796=LINE('',#493497,#116715); #78797=LINE('',#493498,#116716); #78798=LINE('',#493501,#116717); #78799=LINE('',#493503,#116718); #78800=LINE('',#493504,#116719); #78801=LINE('',#493507,#116720); #78802=LINE('',#493509,#116721); #78803=LINE('',#493510,#116722); #78804=LINE('',#493512,#116723); #78805=LINE('',#493513,#116724); #78806=LINE('',#493519,#116725); #78807=LINE('',#493521,#116726); #78808=LINE('',#493523,#116727); #78809=LINE('',#493524,#116728); #78810=LINE('',#493527,#116729); #78811=LINE('',#493529,#116730); #78812=LINE('',#493530,#116731); #78813=LINE('',#493533,#116732); #78814=LINE('',#493535,#116733); #78815=LINE('',#493536,#116734); #78816=LINE('',#493538,#116735); #78817=LINE('',#493539,#116736); #78818=LINE('',#493545,#116737); #78819=LINE('',#493547,#116738); #78820=LINE('',#493549,#116739); #78821=LINE('',#493550,#116740); #78822=LINE('',#493553,#116741); #78823=LINE('',#493555,#116742); #78824=LINE('',#493556,#116743); #78825=LINE('',#493559,#116744); #78826=LINE('',#493561,#116745); #78827=LINE('',#493562,#116746); #78828=LINE('',#493564,#116747); #78829=LINE('',#493565,#116748); #78830=LINE('',#493571,#116749); #78831=LINE('',#493573,#116750); #78832=LINE('',#493575,#116751); #78833=LINE('',#493576,#116752); #78834=LINE('',#493579,#116753); #78835=LINE('',#493581,#116754); #78836=LINE('',#493582,#116755); #78837=LINE('',#493585,#116756); #78838=LINE('',#493587,#116757); #78839=LINE('',#493588,#116758); #78840=LINE('',#493590,#116759); #78841=LINE('',#493591,#116760); #78842=LINE('',#493597,#116761); #78843=LINE('',#493599,#116762); #78844=LINE('',#493601,#116763); #78845=LINE('',#493602,#116764); #78846=LINE('',#493605,#116765); #78847=LINE('',#493607,#116766); #78848=LINE('',#493608,#116767); #78849=LINE('',#493611,#116768); #78850=LINE('',#493613,#116769); #78851=LINE('',#493614,#116770); #78852=LINE('',#493616,#116771); #78853=LINE('',#493617,#116772); #78854=LINE('',#493623,#116773); #78855=LINE('',#493625,#116774); #78856=LINE('',#493627,#116775); #78857=LINE('',#493628,#116776); #78858=LINE('',#493631,#116777); #78859=LINE('',#493633,#116778); #78860=LINE('',#493634,#116779); #78861=LINE('',#493637,#116780); #78862=LINE('',#493639,#116781); #78863=LINE('',#493640,#116782); #78864=LINE('',#493642,#116783); #78865=LINE('',#493643,#116784); #78866=LINE('',#493649,#116785); #78867=LINE('',#493651,#116786); #78868=LINE('',#493653,#116787); #78869=LINE('',#493654,#116788); #78870=LINE('',#493657,#116789); #78871=LINE('',#493659,#116790); #78872=LINE('',#493660,#116791); #78873=LINE('',#493663,#116792); #78874=LINE('',#493665,#116793); #78875=LINE('',#493666,#116794); #78876=LINE('',#493668,#116795); #78877=LINE('',#493669,#116796); #78878=LINE('',#493675,#116797); #78879=LINE('',#493677,#116798); #78880=LINE('',#493679,#116799); #78881=LINE('',#493680,#116800); #78882=LINE('',#493683,#116801); #78883=LINE('',#493685,#116802); #78884=LINE('',#493686,#116803); #78885=LINE('',#493689,#116804); #78886=LINE('',#493691,#116805); #78887=LINE('',#493692,#116806); #78888=LINE('',#493694,#116807); #78889=LINE('',#493695,#116808); #78890=LINE('',#493701,#116809); #78891=LINE('',#493703,#116810); #78892=LINE('',#493705,#116811); #78893=LINE('',#493706,#116812); #78894=LINE('',#493709,#116813); #78895=LINE('',#493711,#116814); #78896=LINE('',#493712,#116815); #78897=LINE('',#493715,#116816); #78898=LINE('',#493717,#116817); #78899=LINE('',#493718,#116818); #78900=LINE('',#493720,#116819); #78901=LINE('',#493721,#116820); #78902=LINE('',#493727,#116821); #78903=LINE('',#493729,#116822); #78904=LINE('',#493731,#116823); #78905=LINE('',#493732,#116824); #78906=LINE('',#493735,#116825); #78907=LINE('',#493737,#116826); #78908=LINE('',#493738,#116827); #78909=LINE('',#493741,#116828); #78910=LINE('',#493743,#116829); #78911=LINE('',#493744,#116830); #78912=LINE('',#493746,#116831); #78913=LINE('',#493747,#116832); #78914=LINE('',#493753,#116833); #78915=LINE('',#493755,#116834); #78916=LINE('',#493757,#116835); #78917=LINE('',#493758,#116836); #78918=LINE('',#493761,#116837); #78919=LINE('',#493763,#116838); #78920=LINE('',#493764,#116839); #78921=LINE('',#493767,#116840); #78922=LINE('',#493769,#116841); #78923=LINE('',#493770,#116842); #78924=LINE('',#493772,#116843); #78925=LINE('',#493773,#116844); #78926=LINE('',#493779,#116845); #78927=LINE('',#493781,#116846); #78928=LINE('',#493783,#116847); #78929=LINE('',#493784,#116848); #78930=LINE('',#493787,#116849); #78931=LINE('',#493789,#116850); #78932=LINE('',#493790,#116851); #78933=LINE('',#493793,#116852); #78934=LINE('',#493795,#116853); #78935=LINE('',#493796,#116854); #78936=LINE('',#493798,#116855); #78937=LINE('',#493799,#116856); #78938=LINE('',#493805,#116857); #78939=LINE('',#493807,#116858); #78940=LINE('',#493809,#116859); #78941=LINE('',#493810,#116860); #78942=LINE('',#493813,#116861); #78943=LINE('',#493815,#116862); #78944=LINE('',#493816,#116863); #78945=LINE('',#493819,#116864); #78946=LINE('',#493821,#116865); #78947=LINE('',#493822,#116866); #78948=LINE('',#493824,#116867); #78949=LINE('',#493825,#116868); #78950=LINE('',#493831,#116869); #78951=LINE('',#493833,#116870); #78952=LINE('',#493835,#116871); #78953=LINE('',#493836,#116872); #78954=LINE('',#493839,#116873); #78955=LINE('',#493841,#116874); #78956=LINE('',#493842,#116875); #78957=LINE('',#493845,#116876); #78958=LINE('',#493847,#116877); #78959=LINE('',#493848,#116878); #78960=LINE('',#493850,#116879); #78961=LINE('',#493851,#116880); #78962=LINE('',#493857,#116881); #78963=LINE('',#493859,#116882); #78964=LINE('',#493861,#116883); #78965=LINE('',#493862,#116884); #78966=LINE('',#493865,#116885); #78967=LINE('',#493867,#116886); #78968=LINE('',#493868,#116887); #78969=LINE('',#493871,#116888); #78970=LINE('',#493873,#116889); #78971=LINE('',#493874,#116890); #78972=LINE('',#493876,#116891); #78973=LINE('',#493877,#116892); #78974=LINE('',#493883,#116893); #78975=LINE('',#493885,#116894); #78976=LINE('',#493887,#116895); #78977=LINE('',#493888,#116896); #78978=LINE('',#493891,#116897); #78979=LINE('',#493893,#116898); #78980=LINE('',#493894,#116899); #78981=LINE('',#493897,#116900); #78982=LINE('',#493899,#116901); #78983=LINE('',#493900,#116902); #78984=LINE('',#493902,#116903); #78985=LINE('',#493903,#116904); #78986=LINE('',#493909,#116905); #78987=LINE('',#493911,#116906); #78988=LINE('',#493913,#116907); #78989=LINE('',#493914,#116908); #78990=LINE('',#493917,#116909); #78991=LINE('',#493919,#116910); #78992=LINE('',#493920,#116911); #78993=LINE('',#493923,#116912); #78994=LINE('',#493925,#116913); #78995=LINE('',#493926,#116914); #78996=LINE('',#493928,#116915); #78997=LINE('',#493929,#116916); #78998=LINE('',#493935,#116917); #78999=LINE('',#493937,#116918); #79000=LINE('',#493939,#116919); #79001=LINE('',#493940,#116920); #79002=LINE('',#493943,#116921); #79003=LINE('',#493945,#116922); #79004=LINE('',#493946,#116923); #79005=LINE('',#493949,#116924); #79006=LINE('',#493951,#116925); #79007=LINE('',#493952,#116926); #79008=LINE('',#493954,#116927); #79009=LINE('',#493955,#116928); #79010=LINE('',#493961,#116929); #79011=LINE('',#493963,#116930); #79012=LINE('',#493965,#116931); #79013=LINE('',#493966,#116932); #79014=LINE('',#493969,#116933); #79015=LINE('',#493971,#116934); #79016=LINE('',#493972,#116935); #79017=LINE('',#493975,#116936); #79018=LINE('',#493977,#116937); #79019=LINE('',#493978,#116938); #79020=LINE('',#493980,#116939); #79021=LINE('',#493981,#116940); #79022=LINE('',#493988,#116941); #79023=LINE('',#493994,#116942); #79024=LINE('',#494000,#116943); #79025=LINE('',#494006,#116944); #79026=LINE('',#494012,#116945); #79027=LINE('',#494018,#116946); #79028=LINE('',#494023,#116947); #79029=LINE('',#494025,#116948); #79030=LINE('',#494027,#116949); #79031=LINE('',#494028,#116950); #79032=LINE('',#494031,#116951); #79033=LINE('',#494033,#116952); #79034=LINE('',#494034,#116953); #79035=LINE('',#494037,#116954); #79036=LINE('',#494039,#116955); #79037=LINE('',#494040,#116956); #79038=LINE('',#494042,#116957); #79039=LINE('',#494043,#116958); #79040=LINE('',#494049,#116959); #79041=LINE('',#494051,#116960); #79042=LINE('',#494053,#116961); #79043=LINE('',#494054,#116962); #79044=LINE('',#494057,#116963); #79045=LINE('',#494059,#116964); #79046=LINE('',#494060,#116965); #79047=LINE('',#494063,#116966); #79048=LINE('',#494065,#116967); #79049=LINE('',#494066,#116968); #79050=LINE('',#494068,#116969); #79051=LINE('',#494069,#116970); #79052=LINE('',#494075,#116971); #79053=LINE('',#494077,#116972); #79054=LINE('',#494079,#116973); #79055=LINE('',#494080,#116974); #79056=LINE('',#494083,#116975); #79057=LINE('',#494085,#116976); #79058=LINE('',#494086,#116977); #79059=LINE('',#494089,#116978); #79060=LINE('',#494091,#116979); #79061=LINE('',#494092,#116980); #79062=LINE('',#494094,#116981); #79063=LINE('',#494095,#116982); #79064=LINE('',#494101,#116983); #79065=LINE('',#494103,#116984); #79066=LINE('',#494105,#116985); #79067=LINE('',#494106,#116986); #79068=LINE('',#494109,#116987); #79069=LINE('',#494111,#116988); #79070=LINE('',#494112,#116989); #79071=LINE('',#494115,#116990); #79072=LINE('',#494117,#116991); #79073=LINE('',#494118,#116992); #79074=LINE('',#494120,#116993); #79075=LINE('',#494121,#116994); #79076=LINE('',#494127,#116995); #79077=LINE('',#494129,#116996); #79078=LINE('',#494131,#116997); #79079=LINE('',#494132,#116998); #79080=LINE('',#494135,#116999); #79081=LINE('',#494137,#117000); #79082=LINE('',#494138,#117001); #79083=LINE('',#494141,#117002); #79084=LINE('',#494143,#117003); #79085=LINE('',#494144,#117004); #79086=LINE('',#494146,#117005); #79087=LINE('',#494147,#117006); #79088=LINE('',#494153,#117007); #79089=LINE('',#494155,#117008); #79090=LINE('',#494157,#117009); #79091=LINE('',#494158,#117010); #79092=LINE('',#494161,#117011); #79093=LINE('',#494163,#117012); #79094=LINE('',#494164,#117013); #79095=LINE('',#494167,#117014); #79096=LINE('',#494169,#117015); #79097=LINE('',#494170,#117016); #79098=LINE('',#494172,#117017); #79099=LINE('',#494173,#117018); #79100=LINE('',#494179,#117019); #79101=LINE('',#494181,#117020); #79102=LINE('',#494183,#117021); #79103=LINE('',#494184,#117022); #79104=LINE('',#494187,#117023); #79105=LINE('',#494189,#117024); #79106=LINE('',#494190,#117025); #79107=LINE('',#494193,#117026); #79108=LINE('',#494195,#117027); #79109=LINE('',#494196,#117028); #79110=LINE('',#494198,#117029); #79111=LINE('',#494199,#117030); #79112=LINE('',#494205,#117031); #79113=LINE('',#494207,#117032); #79114=LINE('',#494209,#117033); #79115=LINE('',#494210,#117034); #79116=LINE('',#494213,#117035); #79117=LINE('',#494215,#117036); #79118=LINE('',#494216,#117037); #79119=LINE('',#494219,#117038); #79120=LINE('',#494221,#117039); #79121=LINE('',#494222,#117040); #79122=LINE('',#494224,#117041); #79123=LINE('',#494225,#117042); #79124=LINE('',#494231,#117043); #79125=LINE('',#494233,#117044); #79126=LINE('',#494235,#117045); #79127=LINE('',#494236,#117046); #79128=LINE('',#494239,#117047); #79129=LINE('',#494241,#117048); #79130=LINE('',#494242,#117049); #79131=LINE('',#494245,#117050); #79132=LINE('',#494247,#117051); #79133=LINE('',#494248,#117052); #79134=LINE('',#494250,#117053); #79135=LINE('',#494251,#117054); #79136=LINE('',#494259,#117055); #79137=LINE('',#494264,#117056); #79138=LINE('',#494266,#117057); #79139=LINE('',#494268,#117058); #79140=LINE('',#494269,#117059); #79141=LINE('',#494275,#117060); #79142=LINE('',#494284,#117061); #79143=LINE('',#494286,#117062); #79144=LINE('',#494288,#117063); #79145=LINE('',#494289,#117064); #79146=LINE('',#494292,#117065); #79147=LINE('',#494294,#117066); #79148=LINE('',#494295,#117067); #79149=LINE('',#494298,#117068); #79150=LINE('',#494300,#117069); #79151=LINE('',#494301,#117070); #79152=LINE('',#494303,#117071); #79153=LINE('',#494304,#117072); #79154=LINE('',#494310,#117073); #79155=LINE('',#494312,#117074); #79156=LINE('',#494314,#117075); #79157=LINE('',#494315,#117076); #79158=LINE('',#494318,#117077); #79159=LINE('',#494320,#117078); #79160=LINE('',#494321,#117079); #79161=LINE('',#494324,#117080); #79162=LINE('',#494326,#117081); #79163=LINE('',#494327,#117082); #79164=LINE('',#494329,#117083); #79165=LINE('',#494330,#117084); #79166=LINE('',#494337,#117085); #79167=LINE('',#494343,#117086); #79168=LINE('',#494348,#117087); #79169=LINE('',#494350,#117088); #79170=LINE('',#494352,#117089); #79171=LINE('',#494353,#117090); #79172=LINE('',#494356,#117091); #79173=LINE('',#494358,#117092); #79174=LINE('',#494359,#117093); #79175=LINE('',#494362,#117094); #79176=LINE('',#494364,#117095); #79177=LINE('',#494365,#117096); #79178=LINE('',#494367,#117097); #79179=LINE('',#494368,#117098); #79180=LINE('',#494374,#117099); #79181=LINE('',#494376,#117100); #79182=LINE('',#494378,#117101); #79183=LINE('',#494379,#117102); #79184=LINE('',#494382,#117103); #79185=LINE('',#494384,#117104); #79186=LINE('',#494385,#117105); #79187=LINE('',#494388,#117106); #79188=LINE('',#494390,#117107); #79189=LINE('',#494391,#117108); #79190=LINE('',#494393,#117109); #79191=LINE('',#494394,#117110); #79192=LINE('',#494401,#117111); #79193=LINE('',#494407,#117112); #79194=LINE('',#494412,#117113); #79195=LINE('',#494414,#117114); #79196=LINE('',#494416,#117115); #79197=LINE('',#494417,#117116); #79198=LINE('',#494420,#117117); #79199=LINE('',#494422,#117118); #79200=LINE('',#494423,#117119); #79201=LINE('',#494426,#117120); #79202=LINE('',#494428,#117121); #79203=LINE('',#494429,#117122); #79204=LINE('',#494431,#117123); #79205=LINE('',#494432,#117124); #79206=LINE('',#494438,#117125); #79207=LINE('',#494440,#117126); #79208=LINE('',#494442,#117127); #79209=LINE('',#494443,#117128); #79210=LINE('',#494446,#117129); #79211=LINE('',#494448,#117130); #79212=LINE('',#494449,#117131); #79213=LINE('',#494452,#117132); #79214=LINE('',#494454,#117133); #79215=LINE('',#494455,#117134); #79216=LINE('',#494457,#117135); #79217=LINE('',#494458,#117136); #79218=LINE('',#494465,#117137); #79219=LINE('',#494471,#117138); #79220=LINE('',#494476,#117139); #79221=LINE('',#494478,#117140); #79222=LINE('',#494480,#117141); #79223=LINE('',#494481,#117142); #79224=LINE('',#494484,#117143); #79225=LINE('',#494486,#117144); #79226=LINE('',#494487,#117145); #79227=LINE('',#494490,#117146); #79228=LINE('',#494492,#117147); #79229=LINE('',#494493,#117148); #79230=LINE('',#494495,#117149); #79231=LINE('',#494496,#117150); #79232=LINE('',#494502,#117151); #79233=LINE('',#494504,#117152); #79234=LINE('',#494506,#117153); #79235=LINE('',#494507,#117154); #79236=LINE('',#494510,#117155); #79237=LINE('',#494512,#117156); #79238=LINE('',#494513,#117157); #79239=LINE('',#494516,#117158); #79240=LINE('',#494518,#117159); #79241=LINE('',#494519,#117160); #79242=LINE('',#494521,#117161); #79243=LINE('',#494522,#117162); #79244=LINE('',#494529,#117163); #79245=LINE('',#494535,#117164); #79246=LINE('',#494540,#117165); #79247=LINE('',#494542,#117166); #79248=LINE('',#494544,#117167); #79249=LINE('',#494545,#117168); #79250=LINE('',#494548,#117169); #79251=LINE('',#494550,#117170); #79252=LINE('',#494551,#117171); #79253=LINE('',#494554,#117172); #79254=LINE('',#494556,#117173); #79255=LINE('',#494557,#117174); #79256=LINE('',#494559,#117175); #79257=LINE('',#494560,#117176); #79258=LINE('',#494566,#117177); #79259=LINE('',#494568,#117178); #79260=LINE('',#494570,#117179); #79261=LINE('',#494571,#117180); #79262=LINE('',#494574,#117181); #79263=LINE('',#494576,#117182); #79264=LINE('',#494577,#117183); #79265=LINE('',#494580,#117184); #79266=LINE('',#494582,#117185); #79267=LINE('',#494583,#117186); #79268=LINE('',#494585,#117187); #79269=LINE('',#494586,#117188); #79270=LINE('',#494592,#117189); #79271=LINE('',#494594,#117190); #79272=LINE('',#494596,#117191); #79273=LINE('',#494597,#117192); #79274=LINE('',#494600,#117193); #79275=LINE('',#494602,#117194); #79276=LINE('',#494603,#117195); #79277=LINE('',#494606,#117196); #79278=LINE('',#494608,#117197); #79279=LINE('',#494609,#117198); #79280=LINE('',#494612,#117199); #79281=LINE('',#494614,#117200); #79282=LINE('',#494615,#117201); #79283=LINE('',#494618,#117202); #79284=LINE('',#494620,#117203); #79285=LINE('',#494621,#117204); #79286=LINE('',#494624,#117205); #79287=LINE('',#494626,#117206); #79288=LINE('',#494627,#117207); #79289=LINE('',#494633,#117208); #79290=LINE('',#494636,#117209); #79291=LINE('',#494638,#117210); #79292=LINE('',#494639,#117211); #79293=LINE('',#494642,#117212); #79294=LINE('',#494644,#117213); #79295=LINE('',#494645,#117214); #79296=LINE('',#494648,#117215); #79297=LINE('',#494650,#117216); #79298=LINE('',#494651,#117217); #79299=LINE('',#494654,#117218); #79300=LINE('',#494656,#117219); #79301=LINE('',#494657,#117220); #79302=LINE('',#494660,#117221); #79303=LINE('',#494662,#117222); #79304=LINE('',#494663,#117223); #79305=LINE('',#494669,#117224); #79306=LINE('',#494672,#117225); #79307=LINE('',#494674,#117226); #79308=LINE('',#494675,#117227); #79309=LINE('',#494678,#117228); #79310=LINE('',#494680,#117229); #79311=LINE('',#494681,#117230); #79312=LINE('',#494687,#117231); #79313=LINE('',#494690,#117232); #79314=LINE('',#494692,#117233); #79315=LINE('',#494693,#117234); #79316=LINE('',#494696,#117235); #79317=LINE('',#494698,#117236); #79318=LINE('',#494699,#117237); #79319=LINE('',#494702,#117238); #79320=LINE('',#494704,#117239); #79321=LINE('',#494705,#117240); #79322=LINE('',#494708,#117241); #79323=LINE('',#494710,#117242); #79324=LINE('',#494711,#117243); #79325=LINE('',#494714,#117244); #79326=LINE('',#494716,#117245); #79327=LINE('',#494717,#117246); #79328=LINE('',#494720,#117247); #79329=LINE('',#494722,#117248); #79330=LINE('',#494723,#117249); #79331=LINE('',#494726,#117250); #79332=LINE('',#494728,#117251); #79333=LINE('',#494729,#117252); #79334=LINE('',#494735,#117253); #79335=LINE('',#494738,#117254); #79336=LINE('',#494740,#117255); #79337=LINE('',#494741,#117256); #79338=LINE('',#494747,#117257); #79339=LINE('',#494750,#117258); #79340=LINE('',#494752,#117259); #79341=LINE('',#494753,#117260); #79342=LINE('',#494756,#117261); #79343=LINE('',#494758,#117262); #79344=LINE('',#494759,#117263); #79345=LINE('',#494762,#117264); #79346=LINE('',#494764,#117265); #79347=LINE('',#494765,#117266); #79348=LINE('',#494768,#117267); #79349=LINE('',#494770,#117268); #79350=LINE('',#494771,#117269); #79351=LINE('',#494774,#117270); #79352=LINE('',#494776,#117271); #79353=LINE('',#494777,#117272); #79354=LINE('',#494780,#117273); #79355=LINE('',#494782,#117274); #79356=LINE('',#494783,#117275); #79357=LINE('',#494786,#117276); #79358=LINE('',#494788,#117277); #79359=LINE('',#494789,#117278); #79360=LINE('',#494792,#117279); #79361=LINE('',#494794,#117280); #79362=LINE('',#494795,#117281); #79363=LINE('',#494801,#117282); #79364=LINE('',#494804,#117283); #79365=LINE('',#494806,#117284); #79366=LINE('',#494807,#117285); #79367=LINE('',#494813,#117286); #79368=LINE('',#494816,#117287); #79369=LINE('',#494818,#117288); #79370=LINE('',#494819,#117289); #79371=LINE('',#494822,#117290); #79372=LINE('',#494824,#117291); #79373=LINE('',#494825,#117292); #79374=LINE('',#494828,#117293); #79375=LINE('',#494830,#117294); #79376=LINE('',#494831,#117295); #79377=LINE('',#494837,#117296); #79378=LINE('',#494840,#117297); #79379=LINE('',#494842,#117298); #79380=LINE('',#494843,#117299); #79381=LINE('',#494849,#117300); #79382=LINE('',#494852,#117301); #79383=LINE('',#494854,#117302); #79384=LINE('',#494855,#117303); #79385=LINE('',#494858,#117304); #79386=LINE('',#494860,#117305); #79387=LINE('',#494861,#117306); #79388=LINE('',#494864,#117307); #79389=LINE('',#494866,#117308); #79390=LINE('',#494867,#117309); #79391=LINE('',#494870,#117310); #79392=LINE('',#494872,#117311); #79393=LINE('',#494873,#117312); #79394=LINE('',#494876,#117313); #79395=LINE('',#494878,#117314); #79396=LINE('',#494879,#117315); #79397=LINE('',#494885,#117316); #79398=LINE('',#494888,#117317); #79399=LINE('',#494890,#117318); #79400=LINE('',#494891,#117319); #79401=LINE('',#494894,#117320); #79402=LINE('',#494896,#117321); #79403=LINE('',#494897,#117322); #79404=LINE('',#494900,#117323); #79405=LINE('',#494902,#117324); #79406=LINE('',#494903,#117325); #79407=LINE('',#494906,#117326); #79408=LINE('',#494908,#117327); #79409=LINE('',#494909,#117328); #79410=LINE('',#494912,#117329); #79411=LINE('',#494914,#117330); #79412=LINE('',#494915,#117331); #79413=LINE('',#494918,#117332); #79414=LINE('',#494920,#117333); #79415=LINE('',#494921,#117334); #79416=LINE('',#494927,#117335); #79417=LINE('',#494930,#117336); #79418=LINE('',#494932,#117337); #79419=LINE('',#494933,#117338); #79420=LINE('',#494935,#117339); #79421=LINE('',#494936,#117340); #79422=LINE('',#494943,#117341); #79423=LINE('',#494949,#117342); #79424=LINE('',#494954,#117343); #79425=LINE('',#494956,#117344); #79426=LINE('',#494958,#117345); #79427=LINE('',#494959,#117346); #79428=LINE('',#494965,#117347); #79429=LINE('',#494971,#117348); #79430=LINE('',#494974,#117349); #79431=LINE('',#494976,#117350); #79432=LINE('',#494977,#117351); #79433=LINE('',#494983,#117352); #79434=LINE('',#494989,#117353); #79435=LINE('',#494992,#117354); #79436=LINE('',#494994,#117355); #79437=LINE('',#494995,#117356); #79438=LINE('',#495005,#117357); #79439=LINE('',#495010,#117358); #79440=LINE('',#495012,#117359); #79441=LINE('',#495014,#117360); #79442=LINE('',#495015,#117361); #79443=LINE('',#495021,#117362); #79444=LINE('',#495024,#117363); #79445=LINE('',#495026,#117364); #79446=LINE('',#495027,#117365); #79447=LINE('',#495030,#117366); #79448=LINE('',#495032,#117367); #79449=LINE('',#495033,#117368); #79450=LINE('',#495036,#117369); #79451=LINE('',#495038,#117370); #79452=LINE('',#495039,#117371); #79453=LINE('',#495042,#117372); #79454=LINE('',#495044,#117373); #79455=LINE('',#495045,#117374); #79456=LINE('',#495048,#117375); #79457=LINE('',#495050,#117376); #79458=LINE('',#495051,#117377); #79459=LINE('',#495054,#117378); #79460=LINE('',#495056,#117379); #79461=LINE('',#495057,#117380); #79462=LINE('',#495060,#117381); #79463=LINE('',#495062,#117382); #79464=LINE('',#495063,#117383); #79465=LINE('',#495073,#117384); #79466=LINE('',#495078,#117385); #79467=LINE('',#495080,#117386); #79468=LINE('',#495082,#117387); #79469=LINE('',#495083,#117388); #79470=LINE('',#495086,#117389); #79471=LINE('',#495088,#117390); #79472=LINE('',#495089,#117391); #79473=LINE('',#495092,#117392); #79474=LINE('',#495094,#117393); #79475=LINE('',#495095,#117394); #79476=LINE('',#495098,#117395); #79477=LINE('',#495100,#117396); #79478=LINE('',#495101,#117397); #79479=LINE('',#495104,#117398); #79480=LINE('',#495106,#117399); #79481=LINE('',#495107,#117400); #79482=LINE('',#495113,#117401); #79483=LINE('',#495116,#117402); #79484=LINE('',#495118,#117403); #79485=LINE('',#495119,#117404); #79486=LINE('',#495125,#117405); #79487=LINE('',#495131,#117406); #79488=LINE('',#495137,#117407); #79489=LINE('',#495140,#117408); #79490=LINE('',#495142,#117409); #79491=LINE('',#495143,#117410); #79492=LINE('',#495146,#117411); #79493=LINE('',#495148,#117412); #79494=LINE('',#495149,#117413); #79495=LINE('',#495151,#117414); #79496=LINE('',#495152,#117415); #79497=LINE('',#495159,#117416); #79498=LINE('',#495165,#117417); #79499=LINE('',#495170,#117418); #79500=LINE('',#495172,#117419); #79501=LINE('',#495174,#117420); #79502=LINE('',#495175,#117421); #79503=LINE('',#495181,#117422); #79504=LINE('',#495187,#117423); #79505=LINE('',#495190,#117424); #79506=LINE('',#495192,#117425); #79507=LINE('',#495193,#117426); #79508=LINE('',#495196,#117427); #79509=LINE('',#495198,#117428); #79510=LINE('',#495199,#117429); #79511=LINE('',#495202,#117430); #79512=LINE('',#495204,#117431); #79513=LINE('',#495205,#117432); #79514=LINE('',#495208,#117433); #79515=LINE('',#495210,#117434); #79516=LINE('',#495211,#117435); #79517=LINE('',#495214,#117436); #79518=LINE('',#495216,#117437); #79519=LINE('',#495217,#117438); #79520=LINE('',#495223,#117439); #79521=LINE('',#495226,#117440); #79522=LINE('',#495228,#117441); #79523=LINE('',#495229,#117442); #79524=LINE('',#495232,#117443); #79525=LINE('',#495234,#117444); #79526=LINE('',#495235,#117445); #79527=LINE('',#495241,#117446); #79528=LINE('',#495244,#117447); #79529=LINE('',#495246,#117448); #79530=LINE('',#495247,#117449); #79531=LINE('',#495253,#117450); #79532=LINE('',#495256,#117451); #79533=LINE('',#495258,#117452); #79534=LINE('',#495259,#117453); #79535=LINE('',#495262,#117454); #79536=LINE('',#495264,#117455); #79537=LINE('',#495265,#117456); #79538=LINE('',#495268,#117457); #79539=LINE('',#495270,#117458); #79540=LINE('',#495271,#117459); #79541=LINE('',#495277,#117460); #79542=LINE('',#495280,#117461); #79543=LINE('',#495282,#117462); #79544=LINE('',#495283,#117463); #79545=LINE('',#495289,#117464); #79546=LINE('',#495292,#117465); #79547=LINE('',#495294,#117466); #79548=LINE('',#495295,#117467); #79549=LINE('',#495301,#117468); #79550=LINE('',#495307,#117469); #79551=LINE('',#495310,#117470); #79552=LINE('',#495312,#117471); #79553=LINE('',#495313,#117472); #79554=LINE('',#495316,#117473); #79555=LINE('',#495318,#117474); #79556=LINE('',#495319,#117475); #79557=LINE('',#495322,#117476); #79558=LINE('',#495324,#117477); #79559=LINE('',#495325,#117478); #79560=LINE('',#495331,#117479); #79561=LINE('',#495334,#117480); #79562=LINE('',#495336,#117481); #79563=LINE('',#495337,#117482); #79564=LINE('',#495343,#117483); #79565=LINE('',#495346,#117484); #79566=LINE('',#495348,#117485); #79567=LINE('',#495349,#117486); #79568=LINE('',#495352,#117487); #79569=LINE('',#495354,#117488); #79570=LINE('',#495355,#117489); #79571=LINE('',#495358,#117490); #79572=LINE('',#495360,#117491); #79573=LINE('',#495361,#117492); #79574=LINE('',#495367,#117493); #79575=LINE('',#495370,#117494); #79576=LINE('',#495372,#117495); #79577=LINE('',#495373,#117496); #79578=LINE('',#495376,#117497); #79579=LINE('',#495378,#117498); #79580=LINE('',#495379,#117499); #79581=LINE('',#495385,#117500); #79582=LINE('',#495388,#117501); #79583=LINE('',#495390,#117502); #79584=LINE('',#495391,#117503); #79585=LINE('',#495397,#117504); #79586=LINE('',#495400,#117505); #79587=LINE('',#495402,#117506); #79588=LINE('',#495403,#117507); #79589=LINE('',#495409,#117508); #79590=LINE('',#495412,#117509); #79591=LINE('',#495414,#117510); #79592=LINE('',#495415,#117511); #79593=LINE('',#495421,#117512); #79594=LINE('',#495424,#117513); #79595=LINE('',#495426,#117514); #79596=LINE('',#495427,#117515); #79597=LINE('',#495437,#117516); #79598=LINE('',#495443,#117517); #79599=LINE('',#495448,#117518); #79600=LINE('',#495450,#117519); #79601=LINE('',#495452,#117520); #79602=LINE('',#495453,#117521); #79603=LINE('',#495459,#117522); #79604=LINE('',#495465,#117523); #79605=LINE('',#495468,#117524); #79606=LINE('',#495470,#117525); #79607=LINE('',#495471,#117526); #79608=LINE('',#495474,#117527); #79609=LINE('',#495476,#117528); #79610=LINE('',#495477,#117529); #79611=LINE('',#495483,#117530); #79612=LINE('',#495489,#117531); #79613=LINE('',#495492,#117532); #79614=LINE('',#495494,#117533); #79615=LINE('',#495495,#117534); #79616=LINE('',#495501,#117535); #79617=LINE('',#495504,#117536); #79618=LINE('',#495506,#117537); #79619=LINE('',#495507,#117538); #79620=LINE('',#495517,#117539); #79621=LINE('',#495522,#117540); #79622=LINE('',#495524,#117541); #79623=LINE('',#495526,#117542); #79624=LINE('',#495527,#117543); #79625=LINE('',#495530,#117544); #79626=LINE('',#495532,#117545); #79627=LINE('',#495533,#117546); #79628=LINE('',#495536,#117547); #79629=LINE('',#495538,#117548); #79630=LINE('',#495539,#117549); #79631=LINE('',#495542,#117550); #79632=LINE('',#495544,#117551); #79633=LINE('',#495545,#117552); #79634=LINE('',#495548,#117553); #79635=LINE('',#495550,#117554); #79636=LINE('',#495551,#117555); #79637=LINE('',#495557,#117556); #79638=LINE('',#495560,#117557); #79639=LINE('',#495562,#117558); #79640=LINE('',#495563,#117559); #79641=LINE('',#495569,#117560); #79642=LINE('',#495575,#117561); #79643=LINE('',#495581,#117562); #79644=LINE('',#495584,#117563); #79645=LINE('',#495586,#117564); #79646=LINE('',#495587,#117565); #79647=LINE('',#495593,#117566); #79648=LINE('',#495596,#117567); #79649=LINE('',#495598,#117568); #79650=LINE('',#495599,#117569); #79651=LINE('',#495602,#117570); #79652=LINE('',#495604,#117571); #79653=LINE('',#495605,#117572); #79654=LINE('',#495608,#117573); #79655=LINE('',#495610,#117574); #79656=LINE('',#495611,#117575); #79657=LINE('',#495614,#117576); #79658=LINE('',#495616,#117577); #79659=LINE('',#495617,#117578); #79660=LINE('',#495620,#117579); #79661=LINE('',#495622,#117580); #79662=LINE('',#495623,#117581); #79663=LINE('',#495626,#117582); #79664=LINE('',#495628,#117583); #79665=LINE('',#495629,#117584); #79666=LINE('',#495632,#117585); #79667=LINE('',#495634,#117586); #79668=LINE('',#495635,#117587); #79669=LINE('',#495638,#117588); #79670=LINE('',#495640,#117589); #79671=LINE('',#495641,#117590); #79672=LINE('',#495647,#117591); #79673=LINE('',#495650,#117592); #79674=LINE('',#495652,#117593); #79675=LINE('',#495653,#117594); #79676=LINE('',#495656,#117595); #79677=LINE('',#495658,#117596); #79678=LINE('',#495659,#117597); #79679=LINE('',#495661,#117598); #79680=LINE('',#495662,#117599); #79681=LINE('',#495669,#117600); #79682=LINE('',#495674,#117601); #79683=LINE('',#495676,#117602); #79684=LINE('',#495678,#117603); #79685=LINE('',#495679,#117604); #79686=LINE('',#495685,#117605); #79687=LINE('',#495691,#117606); #79688=LINE('',#495694,#117607); #79689=LINE('',#495696,#117608); #79690=LINE('',#495697,#117609); #79691=LINE('',#495703,#117610); #79692=LINE('',#495706,#117611); #79693=LINE('',#495708,#117612); #79694=LINE('',#495709,#117613); #79695=LINE('',#495712,#117614); #79696=LINE('',#495714,#117615); #79697=LINE('',#495715,#117616); #79698=LINE('',#495718,#117617); #79699=LINE('',#495720,#117618); #79700=LINE('',#495721,#117619); #79701=LINE('',#495724,#117620); #79702=LINE('',#495726,#117621); #79703=LINE('',#495727,#117622); #79704=LINE('',#495730,#117623); #79705=LINE('',#495732,#117624); #79706=LINE('',#495733,#117625); #79707=LINE('',#495736,#117626); #79708=LINE('',#495738,#117627); #79709=LINE('',#495739,#117628); #79710=LINE('',#495742,#117629); #79711=LINE('',#495744,#117630); #79712=LINE('',#495745,#117631); #79713=LINE('',#495748,#117632); #79714=LINE('',#495750,#117633); #79715=LINE('',#495751,#117634); #79716=LINE('',#495757,#117635); #79717=LINE('',#495760,#117636); #79718=LINE('',#495762,#117637); #79719=LINE('',#495763,#117638); #79720=LINE('',#495766,#117639); #79721=LINE('',#495768,#117640); #79722=LINE('',#495769,#117641); #79723=LINE('',#495772,#117642); #79724=LINE('',#495774,#117643); #79725=LINE('',#495775,#117644); #79726=LINE('',#495778,#117645); #79727=LINE('',#495780,#117646); #79728=LINE('',#495781,#117647); #79729=LINE('',#495784,#117648); #79730=LINE('',#495786,#117649); #79731=LINE('',#495787,#117650); #79732=LINE('',#495790,#117651); #79733=LINE('',#495792,#117652); #79734=LINE('',#495793,#117653); #79735=LINE('',#495796,#117654); #79736=LINE('',#495798,#117655); #79737=LINE('',#495799,#117656); #79738=LINE('',#495802,#117657); #79739=LINE('',#495804,#117658); #79740=LINE('',#495805,#117659); #79741=LINE('',#495811,#117660); #79742=LINE('',#495814,#117661); #79743=LINE('',#495816,#117662); #79744=LINE('',#495817,#117663); #79745=LINE('',#495823,#117664); #79746=LINE('',#495833,#117665); #79747=LINE('',#495839,#117666); #79748=LINE('',#495844,#117667); #79749=LINE('',#495846,#117668); #79750=LINE('',#495848,#117669); #79751=LINE('',#495849,#117670); #79752=LINE('',#495852,#117671); #79753=LINE('',#495854,#117672); #79754=LINE('',#495855,#117673); #79755=LINE('',#495861,#117674); #79756=LINE('',#495864,#117675); #79757=LINE('',#495866,#117676); #79758=LINE('',#495867,#117677); #79759=LINE('',#495870,#117678); #79760=LINE('',#495872,#117679); #79761=LINE('',#495873,#117680); #79762=LINE('',#495879,#117681); #79763=LINE('',#495885,#117682); #79764=LINE('',#495888,#117683); #79765=LINE('',#495890,#117684); #79766=LINE('',#495891,#117685); #79767=LINE('',#495897,#117686); #79768=LINE('',#495900,#117687); #79769=LINE('',#495902,#117688); #79770=LINE('',#495903,#117689); #79771=LINE('',#495906,#117690); #79772=LINE('',#495908,#117691); #79773=LINE('',#495909,#117692); #79774=LINE('',#495912,#117693); #79775=LINE('',#495914,#117694); #79776=LINE('',#495915,#117695); #79777=LINE('',#495921,#117696); #79778=LINE('',#495924,#117697); #79779=LINE('',#495926,#117698); #79780=LINE('',#495927,#117699); #79781=LINE('',#495930,#117700); #79782=LINE('',#495932,#117701); #79783=LINE('',#495933,#117702); #79784=LINE('',#495936,#117703); #79785=LINE('',#495938,#117704); #79786=LINE('',#495939,#117705); #79787=LINE('',#495942,#117706); #79788=LINE('',#495944,#117707); #79789=LINE('',#495945,#117708); #79790=LINE('',#495948,#117709); #79791=LINE('',#495950,#117710); #79792=LINE('',#495951,#117711); #79793=LINE('',#495957,#117712); #79794=LINE('',#495960,#117713); #79795=LINE('',#495962,#117714); #79796=LINE('',#495963,#117715); #79797=LINE('',#495966,#117716); #79798=LINE('',#495968,#117717); #79799=LINE('',#495969,#117718); #79800=LINE('',#495975,#117719); #79801=LINE('',#495978,#117720); #79802=LINE('',#495980,#117721); #79803=LINE('',#495981,#117722); #79804=LINE('',#495987,#117723); #79805=LINE('',#495990,#117724); #79806=LINE('',#495992,#117725); #79807=LINE('',#495993,#117726); #79808=LINE('',#495996,#117727); #79809=LINE('',#495998,#117728); #79810=LINE('',#495999,#117729); #79811=LINE('',#496002,#117730); #79812=LINE('',#496004,#117731); #79813=LINE('',#496005,#117732); #79814=LINE('',#496011,#117733); #79815=LINE('',#496014,#117734); #79816=LINE('',#496016,#117735); #79817=LINE('',#496017,#117736); #79818=LINE('',#496023,#117737); #79819=LINE('',#496026,#117738); #79820=LINE('',#496028,#117739); #79821=LINE('',#496029,#117740); #79822=LINE('',#496035,#117741); #79823=LINE('',#496038,#117742); #79824=LINE('',#496040,#117743); #79825=LINE('',#496041,#117744); #79826=LINE('',#496044,#117745); #79827=LINE('',#496046,#117746); #79828=LINE('',#496047,#117747); #79829=LINE('',#496053,#117748); #79830=LINE('',#496059,#117749); #79831=LINE('',#496062,#117750); #79832=LINE('',#496064,#117751); #79833=LINE('',#496065,#117752); #79834=LINE('',#496071,#117753); #79835=LINE('',#496074,#117754); #79836=LINE('',#496076,#117755); #79837=LINE('',#496077,#117756); #79838=LINE('',#496080,#117757); #79839=LINE('',#496082,#117758); #79840=LINE('',#496083,#117759); #79841=LINE('',#496086,#117760); #79842=LINE('',#496088,#117761); #79843=LINE('',#496089,#117762); #79844=LINE('',#496092,#117763); #79845=LINE('',#496094,#117764); #79846=LINE('',#496095,#117765); #79847=LINE('',#496101,#117766); #79848=LINE('',#496104,#117767); #79849=LINE('',#496106,#117768); #79850=LINE('',#496107,#117769); #79851=LINE('',#496113,#117770); #79852=LINE('',#496116,#117771); #79853=LINE('',#496118,#117772); #79854=LINE('',#496119,#117773); #79855=LINE('',#496122,#117774); #79856=LINE('',#496124,#117775); #79857=LINE('',#496125,#117776); #79858=LINE('',#496128,#117777); #79859=LINE('',#496130,#117778); #79860=LINE('',#496131,#117779); #79861=LINE('',#496137,#117780); #79862=LINE('',#496140,#117781); #79863=LINE('',#496142,#117782); #79864=LINE('',#496143,#117783); #79865=LINE('',#496146,#117784); #79866=LINE('',#496148,#117785); #79867=LINE('',#496149,#117786); #79868=LINE('',#496155,#117787); #79869=LINE('',#496158,#117788); #79870=LINE('',#496160,#117789); #79871=LINE('',#496161,#117790); #79872=LINE('',#496167,#117791); #79873=LINE('',#496170,#117792); #79874=LINE('',#496172,#117793); #79875=LINE('',#496173,#117794); #79876=LINE('',#496179,#117795); #79877=LINE('',#496182,#117796); #79878=LINE('',#496184,#117797); #79879=LINE('',#496185,#117798); #79880=LINE('',#496191,#117799); #79881=LINE('',#496194,#117800); #79882=LINE('',#496196,#117801); #79883=LINE('',#496197,#117802); #79884=LINE('',#496200,#117803); #79885=LINE('',#496202,#117804); #79886=LINE('',#496203,#117805); #79887=LINE('',#496213,#117806); #79888=LINE('',#496219,#117807); #79889=LINE('',#496224,#117808); #79890=LINE('',#496226,#117809); #79891=LINE('',#496228,#117810); #79892=LINE('',#496229,#117811); #79893=LINE('',#496235,#117812); #79894=LINE('',#496241,#117813); #79895=LINE('',#496244,#117814); #79896=LINE('',#496246,#117815); #79897=LINE('',#496247,#117816); #79898=LINE('',#496253,#117817); #79899=LINE('',#496259,#117818); #79900=LINE('',#496262,#117819); #79901=LINE('',#496264,#117820); #79902=LINE('',#496265,#117821); #79903=LINE('',#496275,#117822); #79904=LINE('',#496281,#117823); #79905=LINE('',#496286,#117824); #79906=LINE('',#496288,#117825); #79907=LINE('',#496290,#117826); #79908=LINE('',#496291,#117827); #79909=LINE('',#496297,#117828); #79910=LINE('',#496303,#117829); #79911=LINE('',#496306,#117830); #79912=LINE('',#496308,#117831); #79913=LINE('',#496309,#117832); #79914=LINE('',#496315,#117833); #79915=LINE('',#496321,#117834); #79916=LINE('',#496324,#117835); #79917=LINE('',#496326,#117836); #79918=LINE('',#496327,#117837); #79919=LINE('',#496337,#117838); #79920=LINE('',#496343,#117839); #79921=LINE('',#496348,#117840); #79922=LINE('',#496350,#117841); #79923=LINE('',#496352,#117842); #79924=LINE('',#496353,#117843); #79925=LINE('',#496359,#117844); #79926=LINE('',#496365,#117845); #79927=LINE('',#496368,#117846); #79928=LINE('',#496370,#117847); #79929=LINE('',#496371,#117848); #79930=LINE('',#496377,#117849); #79931=LINE('',#496383,#117850); #79932=LINE('',#496386,#117851); #79933=LINE('',#496388,#117852); #79934=LINE('',#496389,#117853); #79935=LINE('',#496399,#117854); #79936=LINE('',#496405,#117855); #79937=LINE('',#496410,#117856); #79938=LINE('',#496412,#117857); #79939=LINE('',#496414,#117858); #79940=LINE('',#496415,#117859); #79941=LINE('',#496421,#117860); #79942=LINE('',#496424,#117861); #79943=LINE('',#496426,#117862); #79944=LINE('',#496427,#117863); #79945=LINE('',#496430,#117864); #79946=LINE('',#496432,#117865); #79947=LINE('',#496433,#117866); #79948=LINE('',#496436,#117867); #79949=LINE('',#496438,#117868); #79950=LINE('',#496439,#117869); #79951=LINE('',#496445,#117870); #79952=LINE('',#496451,#117871); #79953=LINE('',#496454,#117872); #79954=LINE('',#496456,#117873); #79955=LINE('',#496457,#117874); #79956=LINE('',#496463,#117875); #79957=LINE('',#496466,#117876); #79958=LINE('',#496468,#117877); #79959=LINE('',#496469,#117878); #79960=LINE('',#496475,#117879); #79961=LINE('',#496478,#117880); #79962=LINE('',#496480,#117881); #79963=LINE('',#496481,#117882); #79964=LINE('',#496491,#117883); #79965=LINE('',#496496,#117884); #79966=LINE('',#496498,#117885); #79967=LINE('',#496500,#117886); #79968=LINE('',#496501,#117887); #79969=LINE('',#496504,#117888); #79970=LINE('',#496506,#117889); #79971=LINE('',#496507,#117890); #79972=LINE('',#496510,#117891); #79973=LINE('',#496512,#117892); #79974=LINE('',#496513,#117893); #79975=LINE('',#496515,#117894); #79976=LINE('',#496516,#117895); #79977=LINE('',#496523,#117896); #79978=LINE('',#496528,#117897); #79979=LINE('',#496530,#117898); #79980=LINE('',#496532,#117899); #79981=LINE('',#496533,#117900); #79982=LINE('',#496536,#117901); #79983=LINE('',#496538,#117902); #79984=LINE('',#496539,#117903); #79985=LINE('',#496542,#117904); #79986=LINE('',#496544,#117905); #79987=LINE('',#496545,#117906); #79988=LINE('',#496547,#117907); #79989=LINE('',#496548,#117908); #79990=LINE('',#496555,#117909); #79991=LINE('',#496560,#117910); #79992=LINE('',#496562,#117911); #79993=LINE('',#496564,#117912); #79994=LINE('',#496565,#117913); #79995=LINE('',#496568,#117914); #79996=LINE('',#496570,#117915); #79997=LINE('',#496571,#117916); #79998=LINE('',#496574,#117917); #79999=LINE('',#496576,#117918); #80000=LINE('',#496577,#117919); #80001=LINE('',#496579,#117920); #80002=LINE('',#496580,#117921); #80003=LINE('',#496587,#117922); #80004=LINE('',#496592,#117923); #80005=LINE('',#496594,#117924); #80006=LINE('',#496596,#117925); #80007=LINE('',#496597,#117926); #80008=LINE('',#496603,#117927); #80009=LINE('',#496606,#117928); #80010=LINE('',#496608,#117929); #80011=LINE('',#496609,#117930); #80012=LINE('',#496612,#117931); #80013=LINE('',#496614,#117932); #80014=LINE('',#496615,#117933); #80015=LINE('',#496618,#117934); #80016=LINE('',#496620,#117935); #80017=LINE('',#496621,#117936); #80018=LINE('',#496624,#117937); #80019=LINE('',#496626,#117938); #80020=LINE('',#496627,#117939); #80021=LINE('',#496630,#117940); #80022=LINE('',#496632,#117941); #80023=LINE('',#496633,#117942); #80024=LINE('',#496636,#117943); #80025=LINE('',#496638,#117944); #80026=LINE('',#496639,#117945); #80027=LINE('',#496642,#117946); #80028=LINE('',#496644,#117947); #80029=LINE('',#496645,#117948); #80030=LINE('',#496655,#117949); #80031=LINE('',#496661,#117950); #80032=LINE('',#496666,#117951); #80033=LINE('',#496668,#117952); #80034=LINE('',#496670,#117953); #80035=LINE('',#496671,#117954); #80036=LINE('',#496677,#117955); #80037=LINE('',#496680,#117956); #80038=LINE('',#496682,#117957); #80039=LINE('',#496683,#117958); #80040=LINE('',#496689,#117959); #80041=LINE('',#496695,#117960); #80042=LINE('',#496698,#117961); #80043=LINE('',#496700,#117962); #80044=LINE('',#496701,#117963); #80045=LINE('',#496711,#117964); #80046=LINE('',#496716,#117965); #80047=LINE('',#496718,#117966); #80048=LINE('',#496720,#117967); #80049=LINE('',#496721,#117968); #80050=LINE('',#496727,#117969); #80051=LINE('',#496730,#117970); #80052=LINE('',#496732,#117971); #80053=LINE('',#496733,#117972); #80054=LINE('',#496736,#117973); #80055=LINE('',#496738,#117974); #80056=LINE('',#496739,#117975); #80057=LINE('',#496742,#117976); #80058=LINE('',#496744,#117977); #80059=LINE('',#496745,#117978); #80060=LINE('',#496748,#117979); #80061=LINE('',#496750,#117980); #80062=LINE('',#496751,#117981); #80063=LINE('',#496754,#117982); #80064=LINE('',#496756,#117983); #80065=LINE('',#496757,#117984); #80066=LINE('',#496760,#117985); #80067=LINE('',#496762,#117986); #80068=LINE('',#496763,#117987); #80069=LINE('',#496766,#117988); #80070=LINE('',#496768,#117989); #80071=LINE('',#496769,#117990); #80072=LINE('',#496779,#117991); #80073=LINE('',#496785,#117992); #80074=LINE('',#496790,#117993); #80075=LINE('',#496792,#117994); #80076=LINE('',#496794,#117995); #80077=LINE('',#496795,#117996); #80078=LINE('',#496801,#117997); #80079=LINE('',#496804,#117998); #80080=LINE('',#496806,#117999); #80081=LINE('',#496807,#118000); #80082=LINE('',#496813,#118001); #80083=LINE('',#496819,#118002); #80084=LINE('',#496822,#118003); #80085=LINE('',#496824,#118004); #80086=LINE('',#496825,#118005); #80087=LINE('',#496835,#118006); #80088=LINE('',#496840,#118007); #80089=LINE('',#496842,#118008); #80090=LINE('',#496844,#118009); #80091=LINE('',#496845,#118010); #80092=LINE('',#496851,#118011); #80093=LINE('',#496854,#118012); #80094=LINE('',#496856,#118013); #80095=LINE('',#496857,#118014); #80096=LINE('',#496860,#118015); #80097=LINE('',#496862,#118016); #80098=LINE('',#496863,#118017); #80099=LINE('',#496866,#118018); #80100=LINE('',#496868,#118019); #80101=LINE('',#496869,#118020); #80102=LINE('',#496872,#118021); #80103=LINE('',#496874,#118022); #80104=LINE('',#496875,#118023); #80105=LINE('',#496878,#118024); #80106=LINE('',#496880,#118025); #80107=LINE('',#496881,#118026); #80108=LINE('',#496884,#118027); #80109=LINE('',#496886,#118028); #80110=LINE('',#496887,#118029); #80111=LINE('',#496890,#118030); #80112=LINE('',#496892,#118031); #80113=LINE('',#496893,#118032); #80114=LINE('',#496903,#118033); #80115=LINE('',#496908,#118034); #80116=LINE('',#496910,#118035); #80117=LINE('',#496912,#118036); #80118=LINE('',#496913,#118037); #80119=LINE('',#496919,#118038); #80120=LINE('',#496922,#118039); #80121=LINE('',#496924,#118040); #80122=LINE('',#496925,#118041); #80123=LINE('',#496928,#118042); #80124=LINE('',#496930,#118043); #80125=LINE('',#496931,#118044); #80126=LINE('',#496934,#118045); #80127=LINE('',#496936,#118046); #80128=LINE('',#496937,#118047); #80129=LINE('',#496940,#118048); #80130=LINE('',#496942,#118049); #80131=LINE('',#496943,#118050); #80132=LINE('',#496946,#118051); #80133=LINE('',#496948,#118052); #80134=LINE('',#496949,#118053); #80135=LINE('',#496952,#118054); #80136=LINE('',#496954,#118055); #80137=LINE('',#496955,#118056); #80138=LINE('',#496958,#118057); #80139=LINE('',#496960,#118058); #80140=LINE('',#496961,#118059); #80141=LINE('',#496971,#118060); #80142=LINE('',#496976,#118061); #80143=LINE('',#496978,#118062); #80144=LINE('',#496980,#118063); #80145=LINE('',#496981,#118064); #80146=LINE('',#496987,#118065); #80147=LINE('',#496990,#118066); #80148=LINE('',#496992,#118067); #80149=LINE('',#496993,#118068); #80150=LINE('',#496999,#118069); #80151=LINE('',#497002,#118070); #80152=LINE('',#497004,#118071); #80153=LINE('',#497005,#118072); #80154=LINE('',#497011,#118073); #80155=LINE('',#497014,#118074); #80156=LINE('',#497016,#118075); #80157=LINE('',#497017,#118076); #80158=LINE('',#497020,#118077); #80159=LINE('',#497022,#118078); #80160=LINE('',#497023,#118079); #80161=LINE('',#497026,#118080); #80162=LINE('',#497028,#118081); #80163=LINE('',#497029,#118082); #80164=LINE('',#497032,#118083); #80165=LINE('',#497034,#118084); #80166=LINE('',#497035,#118085); #80167=LINE('',#497038,#118086); #80168=LINE('',#497040,#118087); #80169=LINE('',#497041,#118088); #80170=LINE('',#497044,#118089); #80171=LINE('',#497046,#118090); #80172=LINE('',#497047,#118091); #80173=LINE('',#497050,#118092); #80174=LINE('',#497052,#118093); #80175=LINE('',#497053,#118094); #80176=LINE('',#497056,#118095); #80177=LINE('',#497058,#118096); #80178=LINE('',#497059,#118097); #80179=LINE('',#497062,#118098); #80180=LINE('',#497064,#118099); #80181=LINE('',#497065,#118100); #80182=LINE('',#497071,#118101); #80183=LINE('',#497081,#118102); #80184=LINE('',#497086,#118103); #80185=LINE('',#497088,#118104); #80186=LINE('',#497090,#118105); #80187=LINE('',#497091,#118106); #80188=LINE('',#497094,#118107); #80189=LINE('',#497096,#118108); #80190=LINE('',#497097,#118109); #80191=LINE('',#497100,#118110); #80192=LINE('',#497102,#118111); #80193=LINE('',#497103,#118112); #80194=LINE('',#497106,#118113); #80195=LINE('',#497108,#118114); #80196=LINE('',#497109,#118115); #80197=LINE('',#497112,#118116); #80198=LINE('',#497114,#118117); #80199=LINE('',#497115,#118118); #80200=LINE('',#497118,#118119); #80201=LINE('',#497120,#118120); #80202=LINE('',#497121,#118121); #80203=LINE('',#497124,#118122); #80204=LINE('',#497126,#118123); #80205=LINE('',#497127,#118124); #80206=LINE('',#497130,#118125); #80207=LINE('',#497132,#118126); #80208=LINE('',#497133,#118127); #80209=LINE('',#497139,#118128); #80210=LINE('',#497145,#118129); #80211=LINE('',#497148,#118130); #80212=LINE('',#497150,#118131); #80213=LINE('',#497151,#118132); #80214=LINE('',#497157,#118133); #80215=LINE('',#497160,#118134); #80216=LINE('',#497162,#118135); #80217=LINE('',#497163,#118136); #80218=LINE('',#497169,#118137); #80219=LINE('',#497171,#118138); #80220=LINE('',#497172,#118139); #80221=LINE('',#497179,#118140); #80222=LINE('',#497184,#118141); #80223=LINE('',#497186,#118142); #80224=LINE('',#497188,#118143); #80225=LINE('',#497189,#118144); #80226=LINE('',#497195,#118145); #80227=LINE('',#497205,#118146); #80228=LINE('',#497210,#118147); #80229=LINE('',#497212,#118148); #80230=LINE('',#497214,#118149); #80231=LINE('',#497215,#118150); #80232=LINE('',#497218,#118151); #80233=LINE('',#497220,#118152); #80234=LINE('',#497221,#118153); #80235=LINE('',#497224,#118154); #80236=LINE('',#497226,#118155); #80237=LINE('',#497227,#118156); #80238=LINE('',#497230,#118157); #80239=LINE('',#497232,#118158); #80240=LINE('',#497233,#118159); #80241=LINE('',#497236,#118160); #80242=LINE('',#497238,#118161); #80243=LINE('',#497239,#118162); #80244=LINE('',#497242,#118163); #80245=LINE('',#497244,#118164); #80246=LINE('',#497245,#118165); #80247=LINE('',#497248,#118166); #80248=LINE('',#497250,#118167); #80249=LINE('',#497251,#118168); #80250=LINE('',#497257,#118169); #80251=LINE('',#497260,#118170); #80252=LINE('',#497262,#118171); #80253=LINE('',#497263,#118172); #80254=LINE('',#497266,#118173); #80255=LINE('',#497268,#118174); #80256=LINE('',#497269,#118175); #80257=LINE('',#497275,#118176); #80258=LINE('',#497281,#118177); #80259=LINE('',#497284,#118178); #80260=LINE('',#497286,#118179); #80261=LINE('',#497287,#118180); #80262=LINE('',#497293,#118181); #80263=LINE('',#497296,#118182); #80264=LINE('',#497298,#118183); #80265=LINE('',#497299,#118184); #80266=LINE('',#497302,#118185); #80267=LINE('',#497304,#118186); #80268=LINE('',#497305,#118187); #80269=LINE('',#497311,#118188); #80270=LINE('',#497314,#118189); #80271=LINE('',#497316,#118190); #80272=LINE('',#497317,#118191); #80273=LINE('',#497323,#118192); #80274=LINE('',#497326,#118193); #80275=LINE('',#497328,#118194); #80276=LINE('',#497329,#118195); #80277=LINE('',#497331,#118196); #80278=LINE('',#497332,#118197); #80279=LINE('',#497339,#118198); #80280=LINE('',#497344,#118199); #80281=LINE('',#497346,#118200); #80282=LINE('',#497348,#118201); #80283=LINE('',#497349,#118202); #80284=LINE('',#497352,#118203); #80285=LINE('',#497354,#118204); #80286=LINE('',#497355,#118205); #80287=LINE('',#497358,#118206); #80288=LINE('',#497360,#118207); #80289=LINE('',#497361,#118208); #80290=LINE('',#497364,#118209); #80291=LINE('',#497366,#118210); #80292=LINE('',#497367,#118211); #80293=LINE('',#497373,#118212); #80294=LINE('',#497376,#118213); #80295=LINE('',#497378,#118214); #80296=LINE('',#497379,#118215); #80297=LINE('',#497385,#118216); #80298=LINE('',#497388,#118217); #80299=LINE('',#497390,#118218); #80300=LINE('',#497391,#118219); #80301=LINE('',#497397,#118220); #80302=LINE('',#497403,#118221); #80303=LINE('',#497406,#118222); #80304=LINE('',#497408,#118223); #80305=LINE('',#497409,#118224); #80306=LINE('',#497412,#118225); #80307=LINE('',#497414,#118226); #80308=LINE('',#497415,#118227); #80309=LINE('',#497418,#118228); #80310=LINE('',#497420,#118229); #80311=LINE('',#497421,#118230); #80312=LINE('',#497424,#118231); #80313=LINE('',#497426,#118232); #80314=LINE('',#497427,#118233); #80315=LINE('',#497429,#118234); #80316=LINE('',#497430,#118235); #80317=LINE('',#497436,#118236); #80318=LINE('',#497438,#118237); #80319=LINE('',#497440,#118238); #80320=LINE('',#497441,#118239); #80321=LINE('',#497444,#118240); #80322=LINE('',#497446,#118241); #80323=LINE('',#497447,#118242); #80324=LINE('',#497450,#118243); #80325=LINE('',#497452,#118244); #80326=LINE('',#497453,#118245); #80327=LINE('',#497456,#118246); #80328=LINE('',#497458,#118247); #80329=LINE('',#497459,#118248); #80330=LINE('',#497462,#118249); #80331=LINE('',#497464,#118250); #80332=LINE('',#497465,#118251); #80333=LINE('',#497471,#118252); #80334=LINE('',#497474,#118253); #80335=LINE('',#497476,#118254); #80336=LINE('',#497477,#118255); #80337=LINE('',#497480,#118256); #80338=LINE('',#497482,#118257); #80339=LINE('',#497483,#118258); #80340=LINE('',#497486,#118259); #80341=LINE('',#497488,#118260); #80342=LINE('',#497489,#118261); #80343=LINE('',#497492,#118262); #80344=LINE('',#497494,#118263); #80345=LINE('',#497495,#118264); #80346=LINE('',#497498,#118265); #80347=LINE('',#497500,#118266); #80348=LINE('',#497501,#118267); #80349=LINE('',#497504,#118268); #80350=LINE('',#497506,#118269); #80351=LINE('',#497507,#118270); #80352=LINE('',#497510,#118271); #80353=LINE('',#497512,#118272); #80354=LINE('',#497513,#118273); #80355=LINE('',#497515,#118274); #80356=LINE('',#497516,#118275); #80357=LINE('',#497522,#118276); #80358=LINE('',#497524,#118277); #80359=LINE('',#497526,#118278); #80360=LINE('',#497527,#118279); #80361=LINE('',#497530,#118280); #80362=LINE('',#497532,#118281); #80363=LINE('',#497533,#118282); #80364=LINE('',#497536,#118283); #80365=LINE('',#497538,#118284); #80366=LINE('',#497539,#118285); #80367=LINE('',#497542,#118286); #80368=LINE('',#497544,#118287); #80369=LINE('',#497545,#118288); #80370=LINE('',#497548,#118289); #80371=LINE('',#497550,#118290); #80372=LINE('',#497551,#118291); #80373=LINE('',#497554,#118292); #80374=LINE('',#497556,#118293); #80375=LINE('',#497557,#118294); #80376=LINE('',#497560,#118295); #80377=LINE('',#497562,#118296); #80378=LINE('',#497563,#118297); #80379=LINE('',#497566,#118298); #80380=LINE('',#497568,#118299); #80381=LINE('',#497569,#118300); #80382=LINE('',#497572,#118301); #80383=LINE('',#497574,#118302); #80384=LINE('',#497575,#118303); #80385=LINE('',#497578,#118304); #80386=LINE('',#497580,#118305); #80387=LINE('',#497581,#118306); #80388=LINE('',#497584,#118307); #80389=LINE('',#497586,#118308); #80390=LINE('',#497587,#118309); #80391=LINE('',#497593,#118310); #80392=LINE('',#497596,#118311); #80393=LINE('',#497598,#118312); #80394=LINE('',#497599,#118313); #80395=LINE('',#497601,#118314); #80396=LINE('',#497602,#118315); #80397=LINE('',#497609,#118316); #80398=LINE('',#497614,#118317); #80399=LINE('',#497616,#118318); #80400=LINE('',#497618,#118319); #80401=LINE('',#497619,#118320); #80402=LINE('',#497622,#118321); #80403=LINE('',#497624,#118322); #80404=LINE('',#497625,#118323); #80405=LINE('',#497628,#118324); #80406=LINE('',#497630,#118325); #80407=LINE('',#497631,#118326); #80408=LINE('',#497634,#118327); #80409=LINE('',#497636,#118328); #80410=LINE('',#497637,#118329); #80411=LINE('',#497640,#118330); #80412=LINE('',#497642,#118331); #80413=LINE('',#497643,#118332); #80414=LINE('',#497649,#118333); #80415=LINE('',#497652,#118334); #80416=LINE('',#497654,#118335); #80417=LINE('',#497655,#118336); #80418=LINE('',#497658,#118337); #80419=LINE('',#497660,#118338); #80420=LINE('',#497661,#118339); #80421=LINE('',#497664,#118340); #80422=LINE('',#497666,#118341); #80423=LINE('',#497667,#118342); #80424=LINE('',#497670,#118343); #80425=LINE('',#497672,#118344); #80426=LINE('',#497673,#118345); #80427=LINE('',#497679,#118346); #80428=LINE('',#497682,#118347); #80429=LINE('',#497684,#118348); #80430=LINE('',#497685,#118349); #80431=LINE('',#497691,#118350); #80432=LINE('',#497694,#118351); #80433=LINE('',#497696,#118352); #80434=LINE('',#497697,#118353); #80435=LINE('',#497700,#118354); #80436=LINE('',#497702,#118355); #80437=LINE('',#497703,#118356); #80438=LINE('',#497706,#118357); #80439=LINE('',#497708,#118358); #80440=LINE('',#497709,#118359); #80441=LINE('',#497712,#118360); #80442=LINE('',#497714,#118361); #80443=LINE('',#497715,#118362); #80444=LINE('',#497718,#118363); #80445=LINE('',#497720,#118364); #80446=LINE('',#497721,#118365); #80447=LINE('',#497724,#118366); #80448=LINE('',#497726,#118367); #80449=LINE('',#497727,#118368); #80450=LINE('',#497730,#118369); #80451=LINE('',#497732,#118370); #80452=LINE('',#497733,#118371); #80453=LINE('',#497736,#118372); #80454=LINE('',#497738,#118373); #80455=LINE('',#497739,#118374); #80456=LINE('',#497742,#118375); #80457=LINE('',#497744,#118376); #80458=LINE('',#497745,#118377); #80459=LINE('',#497751,#118378); #80460=LINE('',#497754,#118379); #80461=LINE('',#497756,#118380); #80462=LINE('',#497757,#118381); #80463=LINE('',#497763,#118382); #80464=LINE('',#497766,#118383); #80465=LINE('',#497768,#118384); #80466=LINE('',#497769,#118385); #80467=LINE('',#497775,#118386); #80468=LINE('',#497778,#118387); #80469=LINE('',#497780,#118388); #80470=LINE('',#497781,#118389); #80471=LINE('',#497784,#118390); #80472=LINE('',#497786,#118391); #80473=LINE('',#497787,#118392); #80474=LINE('',#497790,#118393); #80475=LINE('',#497792,#118394); #80476=LINE('',#497793,#118395); #80477=LINE('',#497796,#118396); #80478=LINE('',#497798,#118397); #80479=LINE('',#497799,#118398); #80480=LINE('',#497802,#118399); #80481=LINE('',#497804,#118400); #80482=LINE('',#497805,#118401); #80483=LINE('',#497808,#118402); #80484=LINE('',#497810,#118403); #80485=LINE('',#497811,#118404); #80486=LINE('',#497814,#118405); #80487=LINE('',#497816,#118406); #80488=LINE('',#497817,#118407); #80489=LINE('',#497820,#118408); #80490=LINE('',#497822,#118409); #80491=LINE('',#497823,#118410); #80492=LINE('',#497826,#118411); #80493=LINE('',#497828,#118412); #80494=LINE('',#497829,#118413); #80495=LINE('',#497832,#118414); #80496=LINE('',#497834,#118415); #80497=LINE('',#497835,#118416); #80498=LINE('',#497837,#118417); #80499=LINE('',#497838,#118418); #80500=LINE('',#497845,#118419); #80501=LINE('',#497851,#118420); #80502=LINE('',#497856,#118421); #80503=LINE('',#497858,#118422); #80504=LINE('',#497860,#118423); #80505=LINE('',#497861,#118424); #80506=LINE('',#497867,#118425); #80507=LINE('',#497870,#118426); #80508=LINE('',#497872,#118427); #80509=LINE('',#497873,#118428); #80510=LINE('',#497879,#118429); #80511=LINE('',#497885,#118430); #80512=LINE('',#497888,#118431); #80513=LINE('',#497890,#118432); #80514=LINE('',#497891,#118433); #80515=LINE('',#497901,#118434); #80516=LINE('',#497906,#118435); #80517=LINE('',#497908,#118436); #80518=LINE('',#497910,#118437); #80519=LINE('',#497911,#118438); #80520=LINE('',#497914,#118439); #80521=LINE('',#497916,#118440); #80522=LINE('',#497917,#118441); #80523=LINE('',#497920,#118442); #80524=LINE('',#497922,#118443); #80525=LINE('',#497923,#118444); #80526=LINE('',#497925,#118445); #80527=LINE('',#497926,#118446); #80528=LINE('',#497933,#118447); #80529=LINE('',#497939,#118448); #80530=LINE('',#497944,#118449); #80531=LINE('',#497946,#118450); #80532=LINE('',#497948,#118451); #80533=LINE('',#497949,#118452); #80534=LINE('',#497955,#118453); #80535=LINE('',#497958,#118454); #80536=LINE('',#497960,#118455); #80537=LINE('',#497961,#118456); #80538=LINE('',#497967,#118457); #80539=LINE('',#497970,#118458); #80540=LINE('',#497972,#118459); #80541=LINE('',#497973,#118460); #80542=LINE('',#497979,#118461); #80543=LINE('',#497982,#118462); #80544=LINE('',#497984,#118463); #80545=LINE('',#497985,#118464); #80546=LINE('',#497991,#118465); #80547=LINE('',#497994,#118466); #80548=LINE('',#497996,#118467); #80549=LINE('',#497997,#118468); #80550=LINE('',#498003,#118469); #80551=LINE('',#498006,#118470); #80552=LINE('',#498008,#118471); #80553=LINE('',#498009,#118472); #80554=LINE('',#498015,#118473); #80555=LINE('',#498018,#118474); #80556=LINE('',#498020,#118475); #80557=LINE('',#498021,#118476); #80558=LINE('',#498027,#118477); #80559=LINE('',#498033,#118478); #80560=LINE('',#498036,#118479); #80561=LINE('',#498038,#118480); #80562=LINE('',#498039,#118481); #80563=LINE('',#498042,#118482); #80564=LINE('',#498044,#118483); #80565=LINE('',#498045,#118484); #80566=LINE('',#498048,#118485); #80567=LINE('',#498050,#118486); #80568=LINE('',#498051,#118487); #80569=LINE('',#498054,#118488); #80570=LINE('',#498056,#118489); #80571=LINE('',#498057,#118490); #80572=LINE('',#498060,#118491); #80573=LINE('',#498062,#118492); #80574=LINE('',#498063,#118493); #80575=LINE('',#498066,#118494); #80576=LINE('',#498068,#118495); #80577=LINE('',#498069,#118496); #80578=LINE('',#498075,#118497); #80579=LINE('',#498085,#118498); #80580=LINE('',#498091,#118499); #80581=LINE('',#498096,#118500); #80582=LINE('',#498098,#118501); #80583=LINE('',#498100,#118502); #80584=LINE('',#498101,#118503); #80585=LINE('',#498107,#118504); #80586=LINE('',#498110,#118505); #80587=LINE('',#498112,#118506); #80588=LINE('',#498113,#118507); #80589=LINE('',#498119,#118508); #80590=LINE('',#498125,#118509); #80591=LINE('',#498128,#118510); #80592=LINE('',#498130,#118511); #80593=LINE('',#498131,#118512); #80594=LINE('',#498134,#118513); #80595=LINE('',#498136,#118514); #80596=LINE('',#498137,#118515); #80597=LINE('',#498147,#118516); #80598=LINE('',#498152,#118517); #80599=LINE('',#498154,#118518); #80600=LINE('',#498156,#118519); #80601=LINE('',#498157,#118520); #80602=LINE('',#498160,#118521); #80603=LINE('',#498162,#118522); #80604=LINE('',#498163,#118523); #80605=LINE('',#498166,#118524); #80606=LINE('',#498168,#118525); #80607=LINE('',#498169,#118526); #80608=LINE('',#498171,#118527); #80609=LINE('',#498172,#118528); #80610=LINE('',#498179,#118529); #80611=LINE('',#498184,#118530); #80612=LINE('',#498186,#118531); #80613=LINE('',#498188,#118532); #80614=LINE('',#498189,#118533); #80615=LINE('',#498195,#118534); #80616=LINE('',#498205,#118535); #80617=LINE('',#498211,#118536); #80618=LINE('',#498217,#118537); #80619=LINE('',#498223,#118538); #80620=LINE('',#498229,#118539); #80621=LINE('',#498235,#118540); #80622=LINE('',#498241,#118541); #80623=LINE('',#498247,#118542); #80624=LINE('',#498253,#118543); #80625=LINE('',#498259,#118544); #80626=LINE('',#498265,#118545); #80627=LINE('',#498271,#118546); #80628=LINE('',#498277,#118547); #80629=LINE('',#498282,#118548); #80630=LINE('',#498284,#118549); #80631=LINE('',#498286,#118550); #80632=LINE('',#498287,#118551); #80633=LINE('',#498290,#118552); #80634=LINE('',#498292,#118553); #80635=LINE('',#498293,#118554); #80636=LINE('',#498296,#118555); #80637=LINE('',#498298,#118556); #80638=LINE('',#498299,#118557); #80639=LINE('',#498302,#118558); #80640=LINE('',#498304,#118559); #80641=LINE('',#498305,#118560); #80642=LINE('',#498308,#118561); #80643=LINE('',#498310,#118562); #80644=LINE('',#498311,#118563); #80645=LINE('',#498314,#118564); #80646=LINE('',#498316,#118565); #80647=LINE('',#498317,#118566); #80648=LINE('',#498320,#118567); #80649=LINE('',#498322,#118568); #80650=LINE('',#498323,#118569); #80651=LINE('',#498326,#118570); #80652=LINE('',#498328,#118571); #80653=LINE('',#498329,#118572); #80654=LINE('',#498332,#118573); #80655=LINE('',#498334,#118574); #80656=LINE('',#498335,#118575); #80657=LINE('',#498338,#118576); #80658=LINE('',#498340,#118577); #80659=LINE('',#498341,#118578); #80660=LINE('',#498344,#118579); #80661=LINE('',#498346,#118580); #80662=LINE('',#498347,#118581); #80663=LINE('',#498350,#118582); #80664=LINE('',#498352,#118583); #80665=LINE('',#498353,#118584); #80666=LINE('',#498356,#118585); #80667=LINE('',#498358,#118586); #80668=LINE('',#498359,#118587); #80669=LINE('',#498362,#118588); #80670=LINE('',#498364,#118589); #80671=LINE('',#498365,#118590); #80672=LINE('',#498368,#118591); #80673=LINE('',#498370,#118592); #80674=LINE('',#498371,#118593); #80675=LINE('',#498373,#118594); #80676=LINE('',#498374,#118595); #80677=LINE('',#498378,#118596); #80678=LINE('',#498380,#118597); #80679=LINE('',#498382,#118598); #80680=LINE('',#498383,#118599); #80681=LINE('',#498386,#118600); #80682=LINE('',#498388,#118601); #80683=LINE('',#498389,#118602); #80684=LINE('',#498395,#118603); #80685=LINE('',#498398,#118604); #80686=LINE('',#498400,#118605); #80687=LINE('',#498401,#118606); #80688=LINE('',#498404,#118607); #80689=LINE('',#498406,#118608); #80690=LINE('',#498407,#118609); #80691=LINE('',#498410,#118610); #80692=LINE('',#498412,#118611); #80693=LINE('',#498413,#118612); #80694=LINE('',#498416,#118613); #80695=LINE('',#498418,#118614); #80696=LINE('',#498419,#118615); #80697=LINE('',#498422,#118616); #80698=LINE('',#498424,#118617); #80699=LINE('',#498425,#118618); #80700=LINE('',#498428,#118619); #80701=LINE('',#498430,#118620); #80702=LINE('',#498431,#118621); #80703=LINE('',#498434,#118622); #80704=LINE('',#498436,#118623); #80705=LINE('',#498437,#118624); #80706=LINE('',#498440,#118625); #80707=LINE('',#498442,#118626); #80708=LINE('',#498443,#118627); #80709=LINE('',#498446,#118628); #80710=LINE('',#498448,#118629); #80711=LINE('',#498449,#118630); #80712=LINE('',#498452,#118631); #80713=LINE('',#498454,#118632); #80714=LINE('',#498455,#118633); #80715=LINE('',#498458,#118634); #80716=LINE('',#498460,#118635); #80717=LINE('',#498461,#118636); #80718=LINE('',#498464,#118637); #80719=LINE('',#498466,#118638); #80720=LINE('',#498467,#118639); #80721=LINE('',#498470,#118640); #80722=LINE('',#498472,#118641); #80723=LINE('',#498473,#118642); #80724=LINE('',#498476,#118643); #80725=LINE('',#498478,#118644); #80726=LINE('',#498479,#118645); #80727=LINE('',#498482,#118646); #80728=LINE('',#498484,#118647); #80729=LINE('',#498485,#118648); #80730=LINE('',#498488,#118649); #80731=LINE('',#498490,#118650); #80732=LINE('',#498491,#118651); #80733=LINE('',#498494,#118652); #80734=LINE('',#498496,#118653); #80735=LINE('',#498497,#118654); #80736=LINE('',#498500,#118655); #80737=LINE('',#498502,#118656); #80738=LINE('',#498503,#118657); #80739=LINE('',#498506,#118658); #80740=LINE('',#498508,#118659); #80741=LINE('',#498509,#118660); #80742=LINE('',#498512,#118661); #80743=LINE('',#498514,#118662); #80744=LINE('',#498515,#118663); #80745=LINE('',#498521,#118664); #80746=LINE('',#498524,#118665); #80747=LINE('',#498526,#118666); #80748=LINE('',#498527,#118667); #80749=LINE('',#498530,#118668); #80750=LINE('',#498532,#118669); #80751=LINE('',#498533,#118670); #80752=LINE('',#498536,#118671); #80753=LINE('',#498538,#118672); #80754=LINE('',#498539,#118673); #80755=LINE('',#498542,#118674); #80756=LINE('',#498544,#118675); #80757=LINE('',#498545,#118676); #80758=LINE('',#498548,#118677); #80759=LINE('',#498550,#118678); #80760=LINE('',#498551,#118679); #80761=LINE('',#498554,#118680); #80762=LINE('',#498556,#118681); #80763=LINE('',#498557,#118682); #80764=LINE('',#498560,#118683); #80765=LINE('',#498562,#118684); #80766=LINE('',#498563,#118685); #80767=LINE('',#498566,#118686); #80768=LINE('',#498568,#118687); #80769=LINE('',#498569,#118688); #80770=LINE('',#498572,#118689); #80771=LINE('',#498574,#118690); #80772=LINE('',#498575,#118691); #80773=LINE('',#498578,#118692); #80774=LINE('',#498580,#118693); #80775=LINE('',#498581,#118694); #80776=LINE('',#498584,#118695); #80777=LINE('',#498586,#118696); #80778=LINE('',#498587,#118697); #80779=LINE('',#498590,#118698); #80780=LINE('',#498592,#118699); #80781=LINE('',#498593,#118700); #80782=LINE('',#498596,#118701); #80783=LINE('',#498598,#118702); #80784=LINE('',#498599,#118703); #80785=LINE('',#498602,#118704); #80786=LINE('',#498604,#118705); #80787=LINE('',#498605,#118706); #80788=LINE('',#498608,#118707); #80789=LINE('',#498610,#118708); #80790=LINE('',#498611,#118709); #80791=LINE('',#498614,#118710); #80792=LINE('',#498616,#118711); #80793=LINE('',#498617,#118712); #80794=LINE('',#498620,#118713); #80795=LINE('',#498622,#118714); #80796=LINE('',#498623,#118715); #80797=LINE('',#498626,#118716); #80798=LINE('',#498628,#118717); #80799=LINE('',#498629,#118718); #80800=LINE('',#498632,#118719); #80801=LINE('',#498634,#118720); #80802=LINE('',#498635,#118721); #80803=LINE('',#498638,#118722); #80804=LINE('',#498640,#118723); #80805=LINE('',#498641,#118724); #80806=LINE('',#498644,#118725); #80807=LINE('',#498646,#118726); #80808=LINE('',#498647,#118727); #80809=LINE('',#498650,#118728); #80810=LINE('',#498652,#118729); #80811=LINE('',#498653,#118730); #80812=LINE('',#498656,#118731); #80813=LINE('',#498658,#118732); #80814=LINE('',#498659,#118733); #80815=LINE('',#498662,#118734); #80816=LINE('',#498664,#118735); #80817=LINE('',#498665,#118736); #80818=LINE('',#498668,#118737); #80819=LINE('',#498670,#118738); #80820=LINE('',#498671,#118739); #80821=LINE('',#498674,#118740); #80822=LINE('',#498676,#118741); #80823=LINE('',#498677,#118742); #80824=LINE('',#498680,#118743); #80825=LINE('',#498682,#118744); #80826=LINE('',#498683,#118745); #80827=LINE('',#498686,#118746); #80828=LINE('',#498688,#118747); #80829=LINE('',#498689,#118748); #80830=LINE('',#498692,#118749); #80831=LINE('',#498694,#118750); #80832=LINE('',#498695,#118751); #80833=LINE('',#498698,#118752); #80834=LINE('',#498700,#118753); #80835=LINE('',#498701,#118754); #80836=LINE('',#498704,#118755); #80837=LINE('',#498706,#118756); #80838=LINE('',#498707,#118757); #80839=LINE('',#498710,#118758); #80840=LINE('',#498712,#118759); #80841=LINE('',#498713,#118760); #80842=LINE('',#498716,#118761); #80843=LINE('',#498718,#118762); #80844=LINE('',#498719,#118763); #80845=LINE('',#498722,#118764); #80846=LINE('',#498724,#118765); #80847=LINE('',#498725,#118766); #80848=LINE('',#498728,#118767); #80849=LINE('',#498730,#118768); #80850=LINE('',#498731,#118769); #80851=LINE('',#498734,#118770); #80852=LINE('',#498736,#118771); #80853=LINE('',#498737,#118772); #80854=LINE('',#498740,#118773); #80855=LINE('',#498742,#118774); #80856=LINE('',#498743,#118775); #80857=LINE('',#498746,#118776); #80858=LINE('',#498748,#118777); #80859=LINE('',#498749,#118778); #80860=LINE('',#498752,#118779); #80861=LINE('',#498754,#118780); #80862=LINE('',#498755,#118781); #80863=LINE('',#498758,#118782); #80864=LINE('',#498760,#118783); #80865=LINE('',#498761,#118784); #80866=LINE('',#498764,#118785); #80867=LINE('',#498766,#118786); #80868=LINE('',#498767,#118787); #80869=LINE('',#498770,#118788); #80870=LINE('',#498772,#118789); #80871=LINE('',#498773,#118790); #80872=LINE('',#498776,#118791); #80873=LINE('',#498778,#118792); #80874=LINE('',#498779,#118793); #80875=LINE('',#498782,#118794); #80876=LINE('',#498784,#118795); #80877=LINE('',#498785,#118796); #80878=LINE('',#498788,#118797); #80879=LINE('',#498790,#118798); #80880=LINE('',#498791,#118799); #80881=LINE('',#498794,#118800); #80882=LINE('',#498796,#118801); #80883=LINE('',#498797,#118802); #80884=LINE('',#498800,#118803); #80885=LINE('',#498802,#118804); #80886=LINE('',#498803,#118805); #80887=LINE('',#498806,#118806); #80888=LINE('',#498808,#118807); #80889=LINE('',#498809,#118808); #80890=LINE('',#498815,#118809); #80891=LINE('',#498818,#118810); #80892=LINE('',#498820,#118811); #80893=LINE('',#498821,#118812); #80894=LINE('',#498827,#118813); #80895=LINE('',#498830,#118814); #80896=LINE('',#498832,#118815); #80897=LINE('',#498833,#118816); #80898=LINE('',#498836,#118817); #80899=LINE('',#498838,#118818); #80900=LINE('',#498839,#118819); #80901=LINE('',#498842,#118820); #80902=LINE('',#498844,#118821); #80903=LINE('',#498845,#118822); #80904=LINE('',#498848,#118823); #80905=LINE('',#498850,#118824); #80906=LINE('',#498851,#118825); #80907=LINE('',#498854,#118826); #80908=LINE('',#498856,#118827); #80909=LINE('',#498857,#118828); #80910=LINE('',#498867,#118829); #80911=LINE('',#498873,#118830); #80912=LINE('',#498879,#118831); #80913=LINE('',#498885,#118832); #80914=LINE('',#498891,#118833); #80915=LINE('',#498897,#118834); #80916=LINE('',#498903,#118835); #80917=LINE('',#498909,#118836); #80918=LINE('',#498915,#118837); #80919=LINE('',#498921,#118838); #80920=LINE('',#498927,#118839); #80921=LINE('',#498933,#118840); #80922=LINE('',#498938,#118841); #80923=LINE('',#498940,#118842); #80924=LINE('',#498942,#118843); #80925=LINE('',#498943,#118844); #80926=LINE('',#498946,#118845); #80927=LINE('',#498948,#118846); #80928=LINE('',#498949,#118847); #80929=LINE('',#498952,#118848); #80930=LINE('',#498954,#118849); #80931=LINE('',#498955,#118850); #80932=LINE('',#498958,#118851); #80933=LINE('',#498960,#118852); #80934=LINE('',#498961,#118853); #80935=LINE('',#498964,#118854); #80936=LINE('',#498966,#118855); #80937=LINE('',#498967,#118856); #80938=LINE('',#498970,#118857); #80939=LINE('',#498972,#118858); #80940=LINE('',#498973,#118859); #80941=LINE('',#498976,#118860); #80942=LINE('',#498978,#118861); #80943=LINE('',#498979,#118862); #80944=LINE('',#498982,#118863); #80945=LINE('',#498984,#118864); #80946=LINE('',#498985,#118865); #80947=LINE('',#498988,#118866); #80948=LINE('',#498990,#118867); #80949=LINE('',#498991,#118868); #80950=LINE('',#498994,#118869); #80951=LINE('',#498996,#118870); #80952=LINE('',#498997,#118871); #80953=LINE('',#499000,#118872); #80954=LINE('',#499002,#118873); #80955=LINE('',#499003,#118874); #80956=LINE('',#499006,#118875); #80957=LINE('',#499008,#118876); #80958=LINE('',#499009,#118877); #80959=LINE('',#499012,#118878); #80960=LINE('',#499014,#118879); #80961=LINE('',#499015,#118880); #80962=LINE('',#499018,#118881); #80963=LINE('',#499020,#118882); #80964=LINE('',#499021,#118883); #80965=LINE('',#499024,#118884); #80966=LINE('',#499026,#118885); #80967=LINE('',#499027,#118886); #80968=LINE('',#499029,#118887); #80969=LINE('',#499030,#118888); #80970=LINE('',#499034,#118889); #80971=LINE('',#499036,#118890); #80972=LINE('',#499038,#118891); #80973=LINE('',#499039,#118892); #80974=LINE('',#499042,#118893); #80975=LINE('',#499044,#118894); #80976=LINE('',#499045,#118895); #80977=LINE('',#499048,#118896); #80978=LINE('',#499050,#118897); #80979=LINE('',#499051,#118898); #80980=LINE('',#499054,#118899); #80981=LINE('',#499056,#118900); #80982=LINE('',#499057,#118901); #80983=LINE('',#499060,#118902); #80984=LINE('',#499062,#118903); #80985=LINE('',#499063,#118904); #80986=LINE('',#499066,#118905); #80987=LINE('',#499068,#118906); #80988=LINE('',#499069,#118907); #80989=LINE('',#499072,#118908); #80990=LINE('',#499074,#118909); #80991=LINE('',#499075,#118910); #80992=LINE('',#499078,#118911); #80993=LINE('',#499080,#118912); #80994=LINE('',#499081,#118913); #80995=LINE('',#499084,#118914); #80996=LINE('',#499086,#118915); #80997=LINE('',#499087,#118916); #80998=LINE('',#499090,#118917); #80999=LINE('',#499092,#118918); #81000=LINE('',#499093,#118919); #81001=LINE('',#499096,#118920); #81002=LINE('',#499098,#118921); #81003=LINE('',#499099,#118922); #81004=LINE('',#499102,#118923); #81005=LINE('',#499104,#118924); #81006=LINE('',#499105,#118925); #81007=LINE('',#499108,#118926); #81008=LINE('',#499110,#118927); #81009=LINE('',#499111,#118928); #81010=LINE('',#499114,#118929); #81011=LINE('',#499116,#118930); #81012=LINE('',#499117,#118931); #81013=LINE('',#499120,#118932); #81014=LINE('',#499122,#118933); #81015=LINE('',#499123,#118934); #81016=LINE('',#499125,#118935); #81017=LINE('',#499126,#118936); #81018=LINE('',#499130,#118937); #81019=LINE('',#499132,#118938); #81020=LINE('',#499134,#118939); #81021=LINE('',#499135,#118940); #81022=LINE('',#499138,#118941); #81023=LINE('',#499140,#118942); #81024=LINE('',#499141,#118943); #81025=LINE('',#499144,#118944); #81026=LINE('',#499146,#118945); #81027=LINE('',#499147,#118946); #81028=LINE('',#499150,#118947); #81029=LINE('',#499152,#118948); #81030=LINE('',#499153,#118949); #81031=LINE('',#499156,#118950); #81032=LINE('',#499158,#118951); #81033=LINE('',#499159,#118952); #81034=LINE('',#499162,#118953); #81035=LINE('',#499164,#118954); #81036=LINE('',#499165,#118955); #81037=LINE('',#499168,#118956); #81038=LINE('',#499170,#118957); #81039=LINE('',#499171,#118958); #81040=LINE('',#499173,#118959); #81041=LINE('',#499174,#118960); #81042=LINE('',#499178,#118961); #81043=LINE('',#499180,#118962); #81044=LINE('',#499182,#118963); #81045=LINE('',#499183,#118964); #81046=LINE('',#499186,#118965); #81047=LINE('',#499188,#118966); #81048=LINE('',#499189,#118967); #81049=LINE('',#499192,#118968); #81050=LINE('',#499194,#118969); #81051=LINE('',#499195,#118970); #81052=LINE('',#499198,#118971); #81053=LINE('',#499200,#118972); #81054=LINE('',#499201,#118973); #81055=LINE('',#499204,#118974); #81056=LINE('',#499206,#118975); #81057=LINE('',#499207,#118976); #81058=LINE('',#499210,#118977); #81059=LINE('',#499212,#118978); #81060=LINE('',#499213,#118979); #81061=LINE('',#499216,#118980); #81062=LINE('',#499218,#118981); #81063=LINE('',#499219,#118982); #81064=LINE('',#499222,#118983); #81065=LINE('',#499224,#118984); #81066=LINE('',#499225,#118985); #81067=LINE('',#499228,#118986); #81068=LINE('',#499230,#118987); #81069=LINE('',#499231,#118988); #81070=LINE('',#499234,#118989); #81071=LINE('',#499236,#118990); #81072=LINE('',#499237,#118991); #81073=LINE('',#499240,#118992); #81074=LINE('',#499242,#118993); #81075=LINE('',#499243,#118994); #81076=LINE('',#499246,#118995); #81077=LINE('',#499248,#118996); #81078=LINE('',#499249,#118997); #81079=LINE('',#499252,#118998); #81080=LINE('',#499254,#118999); #81081=LINE('',#499255,#119000); #81082=LINE('',#499258,#119001); #81083=LINE('',#499260,#119002); #81084=LINE('',#499261,#119003); #81085=LINE('',#499264,#119004); #81086=LINE('',#499266,#119005); #81087=LINE('',#499267,#119006); #81088=LINE('',#499270,#119007); #81089=LINE('',#499272,#119008); #81090=LINE('',#499273,#119009); #81091=LINE('',#499276,#119010); #81092=LINE('',#499278,#119011); #81093=LINE('',#499279,#119012); #81094=LINE('',#499282,#119013); #81095=LINE('',#499284,#119014); #81096=LINE('',#499285,#119015); #81097=LINE('',#499288,#119016); #81098=LINE('',#499290,#119017); #81099=LINE('',#499291,#119018); #81100=LINE('',#499294,#119019); #81101=LINE('',#499296,#119020); #81102=LINE('',#499297,#119021); #81103=LINE('',#499300,#119022); #81104=LINE('',#499302,#119023); #81105=LINE('',#499303,#119024); #81106=LINE('',#499306,#119025); #81107=LINE('',#499308,#119026); #81108=LINE('',#499309,#119027); #81109=LINE('',#499312,#119028); #81110=LINE('',#499314,#119029); #81111=LINE('',#499315,#119030); #81112=LINE('',#499317,#119031); #81113=LINE('',#499318,#119032); #81114=LINE('',#499322,#119033); #81115=LINE('',#499324,#119034); #81116=LINE('',#499326,#119035); #81117=LINE('',#499327,#119036); #81118=LINE('',#499330,#119037); #81119=LINE('',#499332,#119038); #81120=LINE('',#499333,#119039); #81121=LINE('',#499336,#119040); #81122=LINE('',#499338,#119041); #81123=LINE('',#499339,#119042); #81124=LINE('',#499342,#119043); #81125=LINE('',#499344,#119044); #81126=LINE('',#499345,#119045); #81127=LINE('',#499348,#119046); #81128=LINE('',#499350,#119047); #81129=LINE('',#499351,#119048); #81130=LINE('',#499354,#119049); #81131=LINE('',#499356,#119050); #81132=LINE('',#499357,#119051); #81133=LINE('',#499360,#119052); #81134=LINE('',#499362,#119053); #81135=LINE('',#499363,#119054); #81136=LINE('',#499366,#119055); #81137=LINE('',#499368,#119056); #81138=LINE('',#499369,#119057); #81139=LINE('',#499372,#119058); #81140=LINE('',#499374,#119059); #81141=LINE('',#499375,#119060); #81142=LINE('',#499378,#119061); #81143=LINE('',#499380,#119062); #81144=LINE('',#499381,#119063); #81145=LINE('',#499384,#119064); #81146=LINE('',#499386,#119065); #81147=LINE('',#499387,#119066); #81148=LINE('',#499390,#119067); #81149=LINE('',#499392,#119068); #81150=LINE('',#499393,#119069); #81151=LINE('',#499396,#119070); #81152=LINE('',#499398,#119071); #81153=LINE('',#499399,#119072); #81154=LINE('',#499402,#119073); #81155=LINE('',#499404,#119074); #81156=LINE('',#499405,#119075); #81157=LINE('',#499408,#119076); #81158=LINE('',#499410,#119077); #81159=LINE('',#499411,#119078); #81160=LINE('',#499414,#119079); #81161=LINE('',#499416,#119080); #81162=LINE('',#499417,#119081); #81163=LINE('',#499420,#119082); #81164=LINE('',#499422,#119083); #81165=LINE('',#499423,#119084); #81166=LINE('',#499426,#119085); #81167=LINE('',#499428,#119086); #81168=LINE('',#499429,#119087); #81169=LINE('',#499432,#119088); #81170=LINE('',#499434,#119089); #81171=LINE('',#499435,#119090); #81172=LINE('',#499438,#119091); #81173=LINE('',#499440,#119092); #81174=LINE('',#499441,#119093); #81175=LINE('',#499444,#119094); #81176=LINE('',#499446,#119095); #81177=LINE('',#499447,#119096); #81178=LINE('',#499450,#119097); #81179=LINE('',#499452,#119098); #81180=LINE('',#499453,#119099); #81181=LINE('',#499456,#119100); #81182=LINE('',#499458,#119101); #81183=LINE('',#499459,#119102); #81184=LINE('',#499461,#119103); #81185=LINE('',#499462,#119104); #81186=LINE('',#499466,#119105); #81187=LINE('',#499468,#119106); #81188=LINE('',#499470,#119107); #81189=LINE('',#499471,#119108); #81190=LINE('',#499474,#119109); #81191=LINE('',#499476,#119110); #81192=LINE('',#499477,#119111); #81193=LINE('',#499480,#119112); #81194=LINE('',#499482,#119113); #81195=LINE('',#499483,#119114); #81196=LINE('',#499486,#119115); #81197=LINE('',#499488,#119116); #81198=LINE('',#499489,#119117); #81199=LINE('',#499492,#119118); #81200=LINE('',#499494,#119119); #81201=LINE('',#499495,#119120); #81202=LINE('',#499498,#119121); #81203=LINE('',#499500,#119122); #81204=LINE('',#499501,#119123); #81205=LINE('',#499504,#119124); #81206=LINE('',#499506,#119125); #81207=LINE('',#499507,#119126); #81208=LINE('',#499510,#119127); #81209=LINE('',#499512,#119128); #81210=LINE('',#499513,#119129); #81211=LINE('',#499516,#119130); #81212=LINE('',#499518,#119131); #81213=LINE('',#499519,#119132); #81214=LINE('',#499522,#119133); #81215=LINE('',#499524,#119134); #81216=LINE('',#499525,#119135); #81217=LINE('',#499528,#119136); #81218=LINE('',#499530,#119137); #81219=LINE('',#499531,#119138); #81220=LINE('',#499534,#119139); #81221=LINE('',#499536,#119140); #81222=LINE('',#499537,#119141); #81223=LINE('',#499540,#119142); #81224=LINE('',#499542,#119143); #81225=LINE('',#499543,#119144); #81226=LINE('',#499546,#119145); #81227=LINE('',#499548,#119146); #81228=LINE('',#499549,#119147); #81229=LINE('',#499552,#119148); #81230=LINE('',#499554,#119149); #81231=LINE('',#499555,#119150); #81232=LINE('',#499558,#119151); #81233=LINE('',#499560,#119152); #81234=LINE('',#499561,#119153); #81235=LINE('',#499564,#119154); #81236=LINE('',#499566,#119155); #81237=LINE('',#499567,#119156); #81238=LINE('',#499570,#119157); #81239=LINE('',#499572,#119158); #81240=LINE('',#499573,#119159); #81241=LINE('',#499576,#119160); #81242=LINE('',#499578,#119161); #81243=LINE('',#499579,#119162); #81244=LINE('',#499582,#119163); #81245=LINE('',#499584,#119164); #81246=LINE('',#499585,#119165); #81247=LINE('',#499588,#119166); #81248=LINE('',#499590,#119167); #81249=LINE('',#499591,#119168); #81250=LINE('',#499594,#119169); #81251=LINE('',#499596,#119170); #81252=LINE('',#499597,#119171); #81253=LINE('',#499600,#119172); #81254=LINE('',#499602,#119173); #81255=LINE('',#499603,#119174); #81256=LINE('',#499606,#119175); #81257=LINE('',#499608,#119176); #81258=LINE('',#499609,#119177); #81259=LINE('',#499612,#119178); #81260=LINE('',#499614,#119179); #81261=LINE('',#499615,#119180); #81262=LINE('',#499618,#119181); #81263=LINE('',#499620,#119182); #81264=LINE('',#499621,#119183); #81265=LINE('',#499624,#119184); #81266=LINE('',#499626,#119185); #81267=LINE('',#499627,#119186); #81268=LINE('',#499630,#119187); #81269=LINE('',#499632,#119188); #81270=LINE('',#499633,#119189); #81271=LINE('',#499636,#119190); #81272=LINE('',#499638,#119191); #81273=LINE('',#499639,#119192); #81274=LINE('',#499642,#119193); #81275=LINE('',#499644,#119194); #81276=LINE('',#499645,#119195); #81277=LINE('',#499648,#119196); #81278=LINE('',#499650,#119197); #81279=LINE('',#499651,#119198); #81280=LINE('',#499654,#119199); #81281=LINE('',#499656,#119200); #81282=LINE('',#499657,#119201); #81283=LINE('',#499660,#119202); #81284=LINE('',#499662,#119203); #81285=LINE('',#499663,#119204); #81286=LINE('',#499666,#119205); #81287=LINE('',#499668,#119206); #81288=LINE('',#499669,#119207); #81289=LINE('',#499672,#119208); #81290=LINE('',#499674,#119209); #81291=LINE('',#499675,#119210); #81292=LINE('',#499678,#119211); #81293=LINE('',#499680,#119212); #81294=LINE('',#499681,#119213); #81295=LINE('',#499684,#119214); #81296=LINE('',#499686,#119215); #81297=LINE('',#499687,#119216); #81298=LINE('',#499690,#119217); #81299=LINE('',#499692,#119218); #81300=LINE('',#499693,#119219); #81301=LINE('',#499696,#119220); #81302=LINE('',#499698,#119221); #81303=LINE('',#499699,#119222); #81304=LINE('',#499702,#119223); #81305=LINE('',#499704,#119224); #81306=LINE('',#499705,#119225); #81307=LINE('',#499708,#119226); #81308=LINE('',#499710,#119227); #81309=LINE('',#499711,#119228); #81310=LINE('',#499714,#119229); #81311=LINE('',#499716,#119230); #81312=LINE('',#499717,#119231); #81313=LINE('',#499720,#119232); #81314=LINE('',#499722,#119233); #81315=LINE('',#499723,#119234); #81316=LINE('',#499726,#119235); #81317=LINE('',#499728,#119236); #81318=LINE('',#499729,#119237); #81319=LINE('',#499732,#119238); #81320=LINE('',#499734,#119239); #81321=LINE('',#499735,#119240); #81322=LINE('',#499738,#119241); #81323=LINE('',#499740,#119242); #81324=LINE('',#499741,#119243); #81325=LINE('',#499744,#119244); #81326=LINE('',#499746,#119245); #81327=LINE('',#499747,#119246); #81328=LINE('',#499750,#119247); #81329=LINE('',#499752,#119248); #81330=LINE('',#499753,#119249); #81331=LINE('',#499756,#119250); #81332=LINE('',#499758,#119251); #81333=LINE('',#499759,#119252); #81334=LINE('',#499762,#119253); #81335=LINE('',#499764,#119254); #81336=LINE('',#499765,#119255); #81337=LINE('',#499768,#119256); #81338=LINE('',#499770,#119257); #81339=LINE('',#499771,#119258); #81340=LINE('',#499774,#119259); #81341=LINE('',#499776,#119260); #81342=LINE('',#499777,#119261); #81343=LINE('',#499780,#119262); #81344=LINE('',#499782,#119263); #81345=LINE('',#499783,#119264); #81346=LINE('',#499786,#119265); #81347=LINE('',#499788,#119266); #81348=LINE('',#499789,#119267); #81349=LINE('',#499792,#119268); #81350=LINE('',#499794,#119269); #81351=LINE('',#499795,#119270); #81352=LINE('',#499798,#119271); #81353=LINE('',#499800,#119272); #81354=LINE('',#499801,#119273); #81355=LINE('',#499804,#119274); #81356=LINE('',#499806,#119275); #81357=LINE('',#499807,#119276); #81358=LINE('',#499810,#119277); #81359=LINE('',#499812,#119278); #81360=LINE('',#499813,#119279); #81361=LINE('',#499816,#119280); #81362=LINE('',#499818,#119281); #81363=LINE('',#499819,#119282); #81364=LINE('',#499822,#119283); #81365=LINE('',#499824,#119284); #81366=LINE('',#499825,#119285); #81367=LINE('',#499828,#119286); #81368=LINE('',#499830,#119287); #81369=LINE('',#499831,#119288); #81370=LINE('',#499834,#119289); #81371=LINE('',#499836,#119290); #81372=LINE('',#499837,#119291); #81373=LINE('',#499840,#119292); #81374=LINE('',#499842,#119293); #81375=LINE('',#499843,#119294); #81376=LINE('',#499846,#119295); #81377=LINE('',#499848,#119296); #81378=LINE('',#499849,#119297); #81379=LINE('',#499852,#119298); #81380=LINE('',#499854,#119299); #81381=LINE('',#499855,#119300); #81382=LINE('',#499858,#119301); #81383=LINE('',#499860,#119302); #81384=LINE('',#499861,#119303); #81385=LINE('',#499864,#119304); #81386=LINE('',#499866,#119305); #81387=LINE('',#499867,#119306); #81388=LINE('',#499870,#119307); #81389=LINE('',#499872,#119308); #81390=LINE('',#499873,#119309); #81391=LINE('',#499876,#119310); #81392=LINE('',#499878,#119311); #81393=LINE('',#499879,#119312); #81394=LINE('',#499882,#119313); #81395=LINE('',#499884,#119314); #81396=LINE('',#499885,#119315); #81397=LINE('',#499888,#119316); #81398=LINE('',#499890,#119317); #81399=LINE('',#499891,#119318); #81400=LINE('',#499894,#119319); #81401=LINE('',#499896,#119320); #81402=LINE('',#499897,#119321); #81403=LINE('',#499900,#119322); #81404=LINE('',#499902,#119323); #81405=LINE('',#499903,#119324); #81406=LINE('',#499906,#119325); #81407=LINE('',#499908,#119326); #81408=LINE('',#499909,#119327); #81409=LINE('',#499912,#119328); #81410=LINE('',#499914,#119329); #81411=LINE('',#499915,#119330); #81412=LINE('',#499918,#119331); #81413=LINE('',#499920,#119332); #81414=LINE('',#499921,#119333); #81415=LINE('',#499924,#119334); #81416=LINE('',#499926,#119335); #81417=LINE('',#499927,#119336); #81418=LINE('',#499930,#119337); #81419=LINE('',#499932,#119338); #81420=LINE('',#499933,#119339); #81421=LINE('',#499936,#119340); #81422=LINE('',#499938,#119341); #81423=LINE('',#499939,#119342); #81424=LINE('',#499942,#119343); #81425=LINE('',#499944,#119344); #81426=LINE('',#499945,#119345); #81427=LINE('',#499948,#119346); #81428=LINE('',#499950,#119347); #81429=LINE('',#499951,#119348); #81430=LINE('',#499954,#119349); #81431=LINE('',#499956,#119350); #81432=LINE('',#499957,#119351); #81433=LINE('',#499960,#119352); #81434=LINE('',#499962,#119353); #81435=LINE('',#499963,#119354); #81436=LINE('',#499966,#119355); #81437=LINE('',#499968,#119356); #81438=LINE('',#499969,#119357); #81439=LINE('',#499972,#119358); #81440=LINE('',#499974,#119359); #81441=LINE('',#499975,#119360); #81442=LINE('',#499978,#119361); #81443=LINE('',#499980,#119362); #81444=LINE('',#499981,#119363); #81445=LINE('',#499984,#119364); #81446=LINE('',#499986,#119365); #81447=LINE('',#499987,#119366); #81448=LINE('',#499990,#119367); #81449=LINE('',#499992,#119368); #81450=LINE('',#499993,#119369); #81451=LINE('',#499996,#119370); #81452=LINE('',#499998,#119371); #81453=LINE('',#499999,#119372); #81454=LINE('',#500002,#119373); #81455=LINE('',#500004,#119374); #81456=LINE('',#500005,#119375); #81457=LINE('',#500008,#119376); #81458=LINE('',#500010,#119377); #81459=LINE('',#500011,#119378); #81460=LINE('',#500014,#119379); #81461=LINE('',#500016,#119380); #81462=LINE('',#500017,#119381); #81463=LINE('',#500020,#119382); #81464=LINE('',#500022,#119383); #81465=LINE('',#500023,#119384); #81466=LINE('',#500026,#119385); #81467=LINE('',#500028,#119386); #81468=LINE('',#500029,#119387); #81469=LINE('',#500032,#119388); #81470=LINE('',#500034,#119389); #81471=LINE('',#500035,#119390); #81472=LINE('',#500038,#119391); #81473=LINE('',#500040,#119392); #81474=LINE('',#500041,#119393); #81475=LINE('',#500044,#119394); #81476=LINE('',#500046,#119395); #81477=LINE('',#500047,#119396); #81478=LINE('',#500050,#119397); #81479=LINE('',#500052,#119398); #81480=LINE('',#500053,#119399); #81481=LINE('',#500056,#119400); #81482=LINE('',#500058,#119401); #81483=LINE('',#500059,#119402); #81484=LINE('',#500062,#119403); #81485=LINE('',#500064,#119404); #81486=LINE('',#500065,#119405); #81487=LINE('',#500068,#119406); #81488=LINE('',#500070,#119407); #81489=LINE('',#500071,#119408); #81490=LINE('',#500074,#119409); #81491=LINE('',#500076,#119410); #81492=LINE('',#500077,#119411); #81493=LINE('',#500080,#119412); #81494=LINE('',#500082,#119413); #81495=LINE('',#500083,#119414); #81496=LINE('',#500086,#119415); #81497=LINE('',#500088,#119416); #81498=LINE('',#500089,#119417); #81499=LINE('',#500092,#119418); #81500=LINE('',#500094,#119419); #81501=LINE('',#500095,#119420); #81502=LINE('',#500098,#119421); #81503=LINE('',#500100,#119422); #81504=LINE('',#500101,#119423); #81505=LINE('',#500104,#119424); #81506=LINE('',#500106,#119425); #81507=LINE('',#500107,#119426); #81508=LINE('',#500110,#119427); #81509=LINE('',#500112,#119428); #81510=LINE('',#500113,#119429); #81511=LINE('',#500116,#119430); #81512=LINE('',#500118,#119431); #81513=LINE('',#500119,#119432); #81514=LINE('',#500122,#119433); #81515=LINE('',#500124,#119434); #81516=LINE('',#500125,#119435); #81517=LINE('',#500128,#119436); #81518=LINE('',#500130,#119437); #81519=LINE('',#500131,#119438); #81520=LINE('',#500134,#119439); #81521=LINE('',#500136,#119440); #81522=LINE('',#500137,#119441); #81523=LINE('',#500140,#119442); #81524=LINE('',#500142,#119443); #81525=LINE('',#500143,#119444); #81526=LINE('',#500146,#119445); #81527=LINE('',#500148,#119446); #81528=LINE('',#500149,#119447); #81529=LINE('',#500152,#119448); #81530=LINE('',#500154,#119449); #81531=LINE('',#500155,#119450); #81532=LINE('',#500158,#119451); #81533=LINE('',#500160,#119452); #81534=LINE('',#500161,#119453); #81535=LINE('',#500164,#119454); #81536=LINE('',#500166,#119455); #81537=LINE('',#500167,#119456); #81538=LINE('',#500170,#119457); #81539=LINE('',#500172,#119458); #81540=LINE('',#500173,#119459); #81541=LINE('',#500176,#119460); #81542=LINE('',#500178,#119461); #81543=LINE('',#500179,#119462); #81544=LINE('',#500182,#119463); #81545=LINE('',#500184,#119464); #81546=LINE('',#500185,#119465); #81547=LINE('',#500188,#119466); #81548=LINE('',#500190,#119467); #81549=LINE('',#500191,#119468); #81550=LINE('',#500194,#119469); #81551=LINE('',#500196,#119470); #81552=LINE('',#500197,#119471); #81553=LINE('',#500200,#119472); #81554=LINE('',#500202,#119473); #81555=LINE('',#500203,#119474); #81556=LINE('',#500206,#119475); #81557=LINE('',#500208,#119476); #81558=LINE('',#500209,#119477); #81559=LINE('',#500212,#119478); #81560=LINE('',#500214,#119479); #81561=LINE('',#500215,#119480); #81562=LINE('',#500218,#119481); #81563=LINE('',#500220,#119482); #81564=LINE('',#500221,#119483); #81565=LINE('',#500224,#119484); #81566=LINE('',#500226,#119485); #81567=LINE('',#500227,#119486); #81568=LINE('',#500230,#119487); #81569=LINE('',#500232,#119488); #81570=LINE('',#500233,#119489); #81571=LINE('',#500236,#119490); #81572=LINE('',#500238,#119491); #81573=LINE('',#500239,#119492); #81574=LINE('',#500242,#119493); #81575=LINE('',#500244,#119494); #81576=LINE('',#500245,#119495); #81577=LINE('',#500248,#119496); #81578=LINE('',#500250,#119497); #81579=LINE('',#500251,#119498); #81580=LINE('',#500254,#119499); #81581=LINE('',#500256,#119500); #81582=LINE('',#500257,#119501); #81583=LINE('',#500260,#119502); #81584=LINE('',#500262,#119503); #81585=LINE('',#500263,#119504); #81586=LINE('',#500266,#119505); #81587=LINE('',#500268,#119506); #81588=LINE('',#500269,#119507); #81589=LINE('',#500272,#119508); #81590=LINE('',#500274,#119509); #81591=LINE('',#500275,#119510); #81592=LINE('',#500278,#119511); #81593=LINE('',#500280,#119512); #81594=LINE('',#500281,#119513); #81595=LINE('',#500284,#119514); #81596=LINE('',#500286,#119515); #81597=LINE('',#500287,#119516); #81598=LINE('',#500290,#119517); #81599=LINE('',#500292,#119518); #81600=LINE('',#500293,#119519); #81601=LINE('',#500296,#119520); #81602=LINE('',#500298,#119521); #81603=LINE('',#500299,#119522); #81604=LINE('',#500302,#119523); #81605=LINE('',#500304,#119524); #81606=LINE('',#500305,#119525); #81607=LINE('',#500308,#119526); #81608=LINE('',#500310,#119527); #81609=LINE('',#500311,#119528); #81610=LINE('',#500314,#119529); #81611=LINE('',#500316,#119530); #81612=LINE('',#500317,#119531); #81613=LINE('',#500320,#119532); #81614=LINE('',#500322,#119533); #81615=LINE('',#500323,#119534); #81616=LINE('',#500326,#119535); #81617=LINE('',#500328,#119536); #81618=LINE('',#500329,#119537); #81619=LINE('',#500332,#119538); #81620=LINE('',#500334,#119539); #81621=LINE('',#500335,#119540); #81622=LINE('',#500338,#119541); #81623=LINE('',#500340,#119542); #81624=LINE('',#500341,#119543); #81625=LINE('',#500344,#119544); #81626=LINE('',#500346,#119545); #81627=LINE('',#500347,#119546); #81628=LINE('',#500350,#119547); #81629=LINE('',#500352,#119548); #81630=LINE('',#500353,#119549); #81631=LINE('',#500356,#119550); #81632=LINE('',#500358,#119551); #81633=LINE('',#500359,#119552); #81634=LINE('',#500362,#119553); #81635=LINE('',#500364,#119554); #81636=LINE('',#500365,#119555); #81637=LINE('',#500368,#119556); #81638=LINE('',#500370,#119557); #81639=LINE('',#500371,#119558); #81640=LINE('',#500374,#119559); #81641=LINE('',#500376,#119560); #81642=LINE('',#500377,#119561); #81643=LINE('',#500380,#119562); #81644=LINE('',#500382,#119563); #81645=LINE('',#500383,#119564); #81646=LINE('',#500386,#119565); #81647=LINE('',#500388,#119566); #81648=LINE('',#500389,#119567); #81649=LINE('',#500392,#119568); #81650=LINE('',#500394,#119569); #81651=LINE('',#500395,#119570); #81652=LINE('',#500398,#119571); #81653=LINE('',#500400,#119572); #81654=LINE('',#500401,#119573); #81655=LINE('',#500404,#119574); #81656=LINE('',#500406,#119575); #81657=LINE('',#500407,#119576); #81658=LINE('',#500410,#119577); #81659=LINE('',#500412,#119578); #81660=LINE('',#500413,#119579); #81661=LINE('',#500416,#119580); #81662=LINE('',#500418,#119581); #81663=LINE('',#500419,#119582); #81664=LINE('',#500421,#119583); #81665=LINE('',#500422,#119584); #81666=LINE('',#500428,#119585); #81667=LINE('',#500430,#119586); #81668=LINE('',#500432,#119587); #81669=LINE('',#500433,#119588); #81670=LINE('',#500436,#119589); #81671=LINE('',#500438,#119590); #81672=LINE('',#500439,#119591); #81673=LINE('',#500442,#119592); #81674=LINE('',#500444,#119593); #81675=LINE('',#500445,#119594); #81676=LINE('',#500448,#119595); #81677=LINE('',#500450,#119596); #81678=LINE('',#500451,#119597); #81679=LINE('',#500454,#119598); #81680=LINE('',#500456,#119599); #81681=LINE('',#500457,#119600); #81682=LINE('',#500460,#119601); #81683=LINE('',#500462,#119602); #81684=LINE('',#500463,#119603); #81685=LINE('',#500466,#119604); #81686=LINE('',#500468,#119605); #81687=LINE('',#500469,#119606); #81688=LINE('',#500472,#119607); #81689=LINE('',#500474,#119608); #81690=LINE('',#500475,#119609); #81691=LINE('',#500478,#119610); #81692=LINE('',#500480,#119611); #81693=LINE('',#500481,#119612); #81694=LINE('',#500484,#119613); #81695=LINE('',#500486,#119614); #81696=LINE('',#500487,#119615); #81697=LINE('',#500490,#119616); #81698=LINE('',#500492,#119617); #81699=LINE('',#500493,#119618); #81700=LINE('',#500496,#119619); #81701=LINE('',#500498,#119620); #81702=LINE('',#500499,#119621); #81703=LINE('',#500502,#119622); #81704=LINE('',#500504,#119623); #81705=LINE('',#500505,#119624); #81706=LINE('',#500508,#119625); #81707=LINE('',#500510,#119626); #81708=LINE('',#500511,#119627); #81709=LINE('',#500514,#119628); #81710=LINE('',#500516,#119629); #81711=LINE('',#500517,#119630); #81712=LINE('',#500520,#119631); #81713=LINE('',#500522,#119632); #81714=LINE('',#500523,#119633); #81715=LINE('',#500526,#119634); #81716=LINE('',#500528,#119635); #81717=LINE('',#500529,#119636); #81718=LINE('',#500532,#119637); #81719=LINE('',#500534,#119638); #81720=LINE('',#500535,#119639); #81721=LINE('',#500538,#119640); #81722=LINE('',#500540,#119641); #81723=LINE('',#500541,#119642); #81724=LINE('',#500544,#119643); #81725=LINE('',#500546,#119644); #81726=LINE('',#500547,#119645); #81727=LINE('',#500550,#119646); #81728=LINE('',#500552,#119647); #81729=LINE('',#500553,#119648); #81730=LINE('',#500555,#119649); #81731=LINE('',#500556,#119650); #81732=LINE('',#500562,#119651); #81733=LINE('',#500564,#119652); #81734=LINE('',#500566,#119653); #81735=LINE('',#500567,#119654); #81736=LINE('',#500570,#119655); #81737=LINE('',#500572,#119656); #81738=LINE('',#500573,#119657); #81739=LINE('',#500576,#119658); #81740=LINE('',#500578,#119659); #81741=LINE('',#500579,#119660); #81742=LINE('',#500582,#119661); #81743=LINE('',#500584,#119662); #81744=LINE('',#500585,#119663); #81745=LINE('',#500588,#119664); #81746=LINE('',#500590,#119665); #81747=LINE('',#500591,#119666); #81748=LINE('',#500594,#119667); #81749=LINE('',#500596,#119668); #81750=LINE('',#500597,#119669); #81751=LINE('',#500600,#119670); #81752=LINE('',#500602,#119671); #81753=LINE('',#500603,#119672); #81754=LINE('',#500606,#119673); #81755=LINE('',#500608,#119674); #81756=LINE('',#500609,#119675); #81757=LINE('',#500612,#119676); #81758=LINE('',#500614,#119677); #81759=LINE('',#500615,#119678); #81760=LINE('',#500618,#119679); #81761=LINE('',#500620,#119680); #81762=LINE('',#500621,#119681); #81763=LINE('',#500624,#119682); #81764=LINE('',#500626,#119683); #81765=LINE('',#500627,#119684); #81766=LINE('',#500630,#119685); #81767=LINE('',#500632,#119686); #81768=LINE('',#500633,#119687); #81769=LINE('',#500636,#119688); #81770=LINE('',#500638,#119689); #81771=LINE('',#500639,#119690); #81772=LINE('',#500642,#119691); #81773=LINE('',#500644,#119692); #81774=LINE('',#500645,#119693); #81775=LINE('',#500648,#119694); #81776=LINE('',#500650,#119695); #81777=LINE('',#500651,#119696); #81778=LINE('',#500654,#119697); #81779=LINE('',#500656,#119698); #81780=LINE('',#500657,#119699); #81781=LINE('',#500660,#119700); #81782=LINE('',#500662,#119701); #81783=LINE('',#500663,#119702); #81784=LINE('',#500666,#119703); #81785=LINE('',#500668,#119704); #81786=LINE('',#500669,#119705); #81787=LINE('',#500672,#119706); #81788=LINE('',#500674,#119707); #81789=LINE('',#500675,#119708); #81790=LINE('',#500678,#119709); #81791=LINE('',#500680,#119710); #81792=LINE('',#500681,#119711); #81793=LINE('',#500683,#119712); #81794=LINE('',#500684,#119713); #81795=LINE('',#500690,#119714); #81796=LINE('',#500692,#119715); #81797=LINE('',#500694,#119716); #81798=LINE('',#500695,#119717); #81799=LINE('',#500698,#119718); #81800=LINE('',#500700,#119719); #81801=LINE('',#500701,#119720); #81802=LINE('',#500704,#119721); #81803=LINE('',#500706,#119722); #81804=LINE('',#500707,#119723); #81805=LINE('',#500710,#119724); #81806=LINE('',#500712,#119725); #81807=LINE('',#500713,#119726); #81808=LINE('',#500716,#119727); #81809=LINE('',#500718,#119728); #81810=LINE('',#500719,#119729); #81811=LINE('',#500722,#119730); #81812=LINE('',#500724,#119731); #81813=LINE('',#500725,#119732); #81814=LINE('',#500728,#119733); #81815=LINE('',#500730,#119734); #81816=LINE('',#500731,#119735); #81817=LINE('',#500734,#119736); #81818=LINE('',#500736,#119737); #81819=LINE('',#500737,#119738); #81820=LINE('',#500740,#119739); #81821=LINE('',#500742,#119740); #81822=LINE('',#500743,#119741); #81823=LINE('',#500746,#119742); #81824=LINE('',#500748,#119743); #81825=LINE('',#500749,#119744); #81826=LINE('',#500752,#119745); #81827=LINE('',#500754,#119746); #81828=LINE('',#500755,#119747); #81829=LINE('',#500758,#119748); #81830=LINE('',#500760,#119749); #81831=LINE('',#500761,#119750); #81832=LINE('',#500764,#119751); #81833=LINE('',#500766,#119752); #81834=LINE('',#500767,#119753); #81835=LINE('',#500770,#119754); #81836=LINE('',#500772,#119755); #81837=LINE('',#500773,#119756); #81838=LINE('',#500776,#119757); #81839=LINE('',#500778,#119758); #81840=LINE('',#500779,#119759); #81841=LINE('',#500782,#119760); #81842=LINE('',#500784,#119761); #81843=LINE('',#500785,#119762); #81844=LINE('',#500788,#119763); #81845=LINE('',#500790,#119764); #81846=LINE('',#500791,#119765); #81847=LINE('',#500794,#119766); #81848=LINE('',#500796,#119767); #81849=LINE('',#500797,#119768); #81850=LINE('',#500800,#119769); #81851=LINE('',#500802,#119770); #81852=LINE('',#500803,#119771); #81853=LINE('',#500805,#119772); #81854=LINE('',#500806,#119773); #81855=LINE('',#500812,#119774); #81856=LINE('',#500814,#119775); #81857=LINE('',#500816,#119776); #81858=LINE('',#500817,#119777); #81859=LINE('',#500823,#119778); #81860=LINE('',#500826,#119779); #81861=LINE('',#500828,#119780); #81862=LINE('',#500829,#119781); #81863=LINE('',#500836,#119782); #81864=LINE('',#500838,#119783); #81865=LINE('',#500840,#119784); #81866=LINE('',#500841,#119785); #81867=LINE('',#500844,#119786); #81868=LINE('',#500846,#119787); #81869=LINE('',#500847,#119788); #81870=LINE('',#500850,#119789); #81871=LINE('',#500852,#119790); #81872=LINE('',#500853,#119791); #81873=LINE('',#500856,#119792); #81874=LINE('',#500858,#119793); #81875=LINE('',#500859,#119794); #81876=LINE('',#500862,#119795); #81877=LINE('',#500864,#119796); #81878=LINE('',#500865,#119797); #81879=LINE('',#500868,#119798); #81880=LINE('',#500870,#119799); #81881=LINE('',#500871,#119800); #81882=LINE('',#500874,#119801); #81883=LINE('',#500876,#119802); #81884=LINE('',#500877,#119803); #81885=LINE('',#500880,#119804); #81886=LINE('',#500882,#119805); #81887=LINE('',#500883,#119806); #81888=LINE('',#500886,#119807); #81889=LINE('',#500888,#119808); #81890=LINE('',#500889,#119809); #81891=LINE('',#500892,#119810); #81892=LINE('',#500894,#119811); #81893=LINE('',#500895,#119812); #81894=LINE('',#500898,#119813); #81895=LINE('',#500900,#119814); #81896=LINE('',#500901,#119815); #81897=LINE('',#500904,#119816); #81898=LINE('',#500906,#119817); #81899=LINE('',#500907,#119818); #81900=LINE('',#500910,#119819); #81901=LINE('',#500912,#119820); #81902=LINE('',#500913,#119821); #81903=LINE('',#500916,#119822); #81904=LINE('',#500918,#119823); #81905=LINE('',#500919,#119824); #81906=LINE('',#500922,#119825); #81907=LINE('',#500924,#119826); #81908=LINE('',#500925,#119827); #81909=LINE('',#500928,#119828); #81910=LINE('',#500930,#119829); #81911=LINE('',#500931,#119830); #81912=LINE('',#500934,#119831); #81913=LINE('',#500936,#119832); #81914=LINE('',#500937,#119833); #81915=LINE('',#500940,#119834); #81916=LINE('',#500942,#119835); #81917=LINE('',#500943,#119836); #81918=LINE('',#500946,#119837); #81919=LINE('',#500948,#119838); #81920=LINE('',#500949,#119839); #81921=LINE('',#500952,#119840); #81922=LINE('',#500954,#119841); #81923=LINE('',#500955,#119842); #81924=LINE('',#500958,#119843); #81925=LINE('',#500960,#119844); #81926=LINE('',#500961,#119845); #81927=LINE('',#500964,#119846); #81928=LINE('',#500966,#119847); #81929=LINE('',#500967,#119848); #81930=LINE('',#500970,#119849); #81931=LINE('',#500972,#119850); #81932=LINE('',#500973,#119851); #81933=LINE('',#500976,#119852); #81934=LINE('',#500978,#119853); #81935=LINE('',#500979,#119854); #81936=LINE('',#500982,#119855); #81937=LINE('',#500984,#119856); #81938=LINE('',#500985,#119857); #81939=LINE('',#500988,#119858); #81940=LINE('',#500990,#119859); #81941=LINE('',#500991,#119860); #81942=LINE('',#500994,#119861); #81943=LINE('',#500996,#119862); #81944=LINE('',#500997,#119863); #81945=LINE('',#501000,#119864); #81946=LINE('',#501002,#119865); #81947=LINE('',#501003,#119866); #81948=LINE('',#501006,#119867); #81949=LINE('',#501008,#119868); #81950=LINE('',#501009,#119869); #81951=LINE('',#501012,#119870); #81952=LINE('',#501014,#119871); #81953=LINE('',#501015,#119872); #81954=LINE('',#501018,#119873); #81955=LINE('',#501020,#119874); #81956=LINE('',#501021,#119875); #81957=LINE('',#501024,#119876); #81958=LINE('',#501026,#119877); #81959=LINE('',#501027,#119878); #81960=LINE('',#501030,#119879); #81961=LINE('',#501032,#119880); #81962=LINE('',#501033,#119881); #81963=LINE('',#501036,#119882); #81964=LINE('',#501038,#119883); #81965=LINE('',#501039,#119884); #81966=LINE('',#501042,#119885); #81967=LINE('',#501044,#119886); #81968=LINE('',#501045,#119887); #81969=LINE('',#501048,#119888); #81970=LINE('',#501050,#119889); #81971=LINE('',#501051,#119890); #81972=LINE('',#501054,#119891); #81973=LINE('',#501056,#119892); #81974=LINE('',#501057,#119893); #81975=LINE('',#501060,#119894); #81976=LINE('',#501062,#119895); #81977=LINE('',#501063,#119896); #81978=LINE('',#501066,#119897); #81979=LINE('',#501068,#119898); #81980=LINE('',#501069,#119899); #81981=LINE('',#501072,#119900); #81982=LINE('',#501074,#119901); #81983=LINE('',#501075,#119902); #81984=LINE('',#501078,#119903); #81985=LINE('',#501080,#119904); #81986=LINE('',#501081,#119905); #81987=LINE('',#501084,#119906); #81988=LINE('',#501086,#119907); #81989=LINE('',#501087,#119908); #81990=LINE('',#501090,#119909); #81991=LINE('',#501092,#119910); #81992=LINE('',#501093,#119911); #81993=LINE('',#501096,#119912); #81994=LINE('',#501098,#119913); #81995=LINE('',#501099,#119914); #81996=LINE('',#501102,#119915); #81997=LINE('',#501104,#119916); #81998=LINE('',#501105,#119917); #81999=LINE('',#501108,#119918); #82000=LINE('',#501110,#119919); #82001=LINE('',#501111,#119920); #82002=LINE('',#501114,#119921); #82003=LINE('',#501116,#119922); #82004=LINE('',#501117,#119923); #82005=LINE('',#501120,#119924); #82006=LINE('',#501122,#119925); #82007=LINE('',#501123,#119926); #82008=LINE('',#501126,#119927); #82009=LINE('',#501128,#119928); #82010=LINE('',#501129,#119929); #82011=LINE('',#501132,#119930); #82012=LINE('',#501134,#119931); #82013=LINE('',#501135,#119932); #82014=LINE('',#501138,#119933); #82015=LINE('',#501140,#119934); #82016=LINE('',#501141,#119935); #82017=LINE('',#501144,#119936); #82018=LINE('',#501146,#119937); #82019=LINE('',#501147,#119938); #82020=LINE('',#501150,#119939); #82021=LINE('',#501152,#119940); #82022=LINE('',#501153,#119941); #82023=LINE('',#501156,#119942); #82024=LINE('',#501158,#119943); #82025=LINE('',#501159,#119944); #82026=LINE('',#501162,#119945); #82027=LINE('',#501164,#119946); #82028=LINE('',#501165,#119947); #82029=LINE('',#501168,#119948); #82030=LINE('',#501170,#119949); #82031=LINE('',#501171,#119950); #82032=LINE('',#501174,#119951); #82033=LINE('',#501176,#119952); #82034=LINE('',#501177,#119953); #82035=LINE('',#501180,#119954); #82036=LINE('',#501182,#119955); #82037=LINE('',#501183,#119956); #82038=LINE('',#501186,#119957); #82039=LINE('',#501188,#119958); #82040=LINE('',#501189,#119959); #82041=LINE('',#501192,#119960); #82042=LINE('',#501194,#119961); #82043=LINE('',#501195,#119962); #82044=LINE('',#501198,#119963); #82045=LINE('',#501200,#119964); #82046=LINE('',#501201,#119965); #82047=LINE('',#501204,#119966); #82048=LINE('',#501206,#119967); #82049=LINE('',#501207,#119968); #82050=LINE('',#501210,#119969); #82051=LINE('',#501212,#119970); #82052=LINE('',#501213,#119971); #82053=LINE('',#501216,#119972); #82054=LINE('',#501218,#119973); #82055=LINE('',#501219,#119974); #82056=LINE('',#501222,#119975); #82057=LINE('',#501224,#119976); #82058=LINE('',#501225,#119977); #82059=LINE('',#501228,#119978); #82060=LINE('',#501230,#119979); #82061=LINE('',#501231,#119980); #82062=LINE('',#501234,#119981); #82063=LINE('',#501236,#119982); #82064=LINE('',#501237,#119983); #82065=LINE('',#501240,#119984); #82066=LINE('',#501242,#119985); #82067=LINE('',#501243,#119986); #82068=LINE('',#501246,#119987); #82069=LINE('',#501248,#119988); #82070=LINE('',#501249,#119989); #82071=LINE('',#501252,#119990); #82072=LINE('',#501254,#119991); #82073=LINE('',#501255,#119992); #82074=LINE('',#501258,#119993); #82075=LINE('',#501260,#119994); #82076=LINE('',#501261,#119995); #82077=LINE('',#501263,#119996); #82078=LINE('',#501264,#119997); #82079=LINE('',#501270,#119998); #82080=LINE('',#501272,#119999); #82081=LINE('',#501274,#120000); #82082=LINE('',#501275,#120001); #82083=LINE('',#501278,#120002); #82084=LINE('',#501280,#120003); #82085=LINE('',#501281,#120004); #82086=LINE('',#501284,#120005); #82087=LINE('',#501286,#120006); #82088=LINE('',#501287,#120007); #82089=LINE('',#501290,#120008); #82090=LINE('',#501292,#120009); #82091=LINE('',#501293,#120010); #82092=LINE('',#501296,#120011); #82093=LINE('',#501298,#120012); #82094=LINE('',#501299,#120013); #82095=LINE('',#501302,#120014); #82096=LINE('',#501304,#120015); #82097=LINE('',#501305,#120016); #82098=LINE('',#501308,#120017); #82099=LINE('',#501310,#120018); #82100=LINE('',#501311,#120019); #82101=LINE('',#501314,#120020); #82102=LINE('',#501316,#120021); #82103=LINE('',#501317,#120022); #82104=LINE('',#501320,#120023); #82105=LINE('',#501322,#120024); #82106=LINE('',#501323,#120025); #82107=LINE('',#501326,#120026); #82108=LINE('',#501328,#120027); #82109=LINE('',#501329,#120028); #82110=LINE('',#501332,#120029); #82111=LINE('',#501334,#120030); #82112=LINE('',#501335,#120031); #82113=LINE('',#501338,#120032); #82114=LINE('',#501340,#120033); #82115=LINE('',#501341,#120034); #82116=LINE('',#501344,#120035); #82117=LINE('',#501346,#120036); #82118=LINE('',#501347,#120037); #82119=LINE('',#501350,#120038); #82120=LINE('',#501352,#120039); #82121=LINE('',#501353,#120040); #82122=LINE('',#501356,#120041); #82123=LINE('',#501358,#120042); #82124=LINE('',#501359,#120043); #82125=LINE('',#501362,#120044); #82126=LINE('',#501364,#120045); #82127=LINE('',#501365,#120046); #82128=LINE('',#501368,#120047); #82129=LINE('',#501370,#120048); #82130=LINE('',#501371,#120049); #82131=LINE('',#501374,#120050); #82132=LINE('',#501376,#120051); #82133=LINE('',#501377,#120052); #82134=LINE('',#501380,#120053); #82135=LINE('',#501382,#120054); #82136=LINE('',#501383,#120055); #82137=LINE('',#501386,#120056); #82138=LINE('',#501388,#120057); #82139=LINE('',#501389,#120058); #82140=LINE('',#501392,#120059); #82141=LINE('',#501394,#120060); #82142=LINE('',#501395,#120061); #82143=LINE('',#501398,#120062); #82144=LINE('',#501400,#120063); #82145=LINE('',#501401,#120064); #82146=LINE('',#501404,#120065); #82147=LINE('',#501406,#120066); #82148=LINE('',#501407,#120067); #82149=LINE('',#501410,#120068); #82150=LINE('',#501412,#120069); #82151=LINE('',#501413,#120070); #82152=LINE('',#501416,#120071); #82153=LINE('',#501418,#120072); #82154=LINE('',#501419,#120073); #82155=LINE('',#501422,#120074); #82156=LINE('',#501424,#120075); #82157=LINE('',#501425,#120076); #82158=LINE('',#501428,#120077); #82159=LINE('',#501430,#120078); #82160=LINE('',#501431,#120079); #82161=LINE('',#501434,#120080); #82162=LINE('',#501436,#120081); #82163=LINE('',#501437,#120082); #82164=LINE('',#501440,#120083); #82165=LINE('',#501442,#120084); #82166=LINE('',#501443,#120085); #82167=LINE('',#501446,#120086); #82168=LINE('',#501448,#120087); #82169=LINE('',#501449,#120088); #82170=LINE('',#501452,#120089); #82171=LINE('',#501454,#120090); #82172=LINE('',#501455,#120091); #82173=LINE('',#501458,#120092); #82174=LINE('',#501460,#120093); #82175=LINE('',#501461,#120094); #82176=LINE('',#501464,#120095); #82177=LINE('',#501466,#120096); #82178=LINE('',#501467,#120097); #82179=LINE('',#501469,#120098); #82180=LINE('',#501470,#120099); #82181=LINE('',#501477,#120100); #82182=LINE('',#501482,#120101); #82183=LINE('',#501484,#120102); #82184=LINE('',#501486,#120103); #82185=LINE('',#501487,#120104); #82186=LINE('',#501490,#120105); #82187=LINE('',#501492,#120106); #82188=LINE('',#501493,#120107); #82189=LINE('',#501496,#120108); #82190=LINE('',#501498,#120109); #82191=LINE('',#501499,#120110); #82192=LINE('',#501502,#120111); #82193=LINE('',#501504,#120112); #82194=LINE('',#501505,#120113); #82195=LINE('',#501508,#120114); #82196=LINE('',#501510,#120115); #82197=LINE('',#501511,#120116); #82198=LINE('',#501514,#120117); #82199=LINE('',#501516,#120118); #82200=LINE('',#501517,#120119); #82201=LINE('',#501520,#120120); #82202=LINE('',#501522,#120121); #82203=LINE('',#501523,#120122); #82204=LINE('',#501525,#120123); #82205=LINE('',#501526,#120124); #82206=LINE('',#501533,#120125); #82207=LINE('',#501539,#120126); #82208=LINE('',#501545,#120127); #82209=LINE('',#501551,#120128); #82210=LINE('',#501557,#120129); #82211=LINE('',#501563,#120130); #82212=LINE('',#501569,#120131); #82213=LINE('',#501575,#120132); #82214=LINE('',#501581,#120133); #82215=LINE('',#501587,#120134); #82216=LINE('',#501593,#120135); #82217=LINE('',#501599,#120136); #82218=LINE('',#501605,#120137); #82219=LINE('',#501611,#120138); #82220=LINE('',#501617,#120139); #82221=LINE('',#501623,#120140); #82222=LINE('',#501628,#120141); #82223=LINE('',#501630,#120142); #82224=LINE('',#501632,#120143); #82225=LINE('',#501633,#120144); #82226=LINE('',#501639,#120145); #82227=LINE('',#501642,#120146); #82228=LINE('',#501644,#120147); #82229=LINE('',#501645,#120148); #82230=LINE('',#501652,#120149); #82231=LINE('',#501654,#120150); #82232=LINE('',#501656,#120151); #82233=LINE('',#501657,#120152); #82234=LINE('',#501663,#120153); #82235=LINE('',#501666,#120154); #82236=LINE('',#501668,#120155); #82237=LINE('',#501669,#120156); #82238=LINE('',#501677,#120157); #82239=LINE('',#501683,#120158); #82240=LINE('',#501689,#120159); #82241=LINE('',#501695,#120160); #82242=LINE('',#501701,#120161); #82243=LINE('',#501707,#120162); #82244=LINE('',#501713,#120163); #82245=LINE('',#501719,#120164); #82246=LINE('',#501724,#120165); #82247=LINE('',#501726,#120166); #82248=LINE('',#501728,#120167); #82249=LINE('',#501729,#120168); #82250=LINE('',#501735,#120169); #82251=LINE('',#501738,#120170); #82252=LINE('',#501740,#120171); #82253=LINE('',#501741,#120172); #82254=LINE('',#501748,#120173); #82255=LINE('',#501750,#120174); #82256=LINE('',#501752,#120175); #82257=LINE('',#501753,#120176); #82258=LINE('',#501759,#120177); #82259=LINE('',#501762,#120178); #82260=LINE('',#501764,#120179); #82261=LINE('',#501765,#120180); #82262=LINE('',#501773,#120181); #82263=LINE('',#501779,#120182); #82264=LINE('',#501785,#120183); #82265=LINE('',#501791,#120184); #82266=LINE('',#501797,#120185); #82267=LINE('',#501803,#120186); #82268=LINE('',#501809,#120187); #82269=LINE('',#501815,#120188); #82270=LINE('',#501821,#120189); #82271=LINE('',#501827,#120190); #82272=LINE('',#501833,#120191); #82273=LINE('',#501839,#120192); #82274=LINE('',#501845,#120193); #82275=LINE('',#501851,#120194); #82276=LINE('',#501857,#120195); #82277=LINE('',#501863,#120196); #82278=LINE('',#501869,#120197); #82279=LINE('',#501875,#120198); #82280=LINE('',#501881,#120199); #82281=LINE('',#501887,#120200); #82282=LINE('',#501893,#120201); #82283=LINE('',#501899,#120202); #82284=LINE('',#501905,#120203); #82285=LINE('',#501911,#120204); #82286=LINE('',#501917,#120205); #82287=LINE('',#501923,#120206); #82288=LINE('',#501929,#120207); #82289=LINE('',#501935,#120208); #82290=LINE('',#501941,#120209); #82291=LINE('',#501947,#120210); #82292=LINE('',#501953,#120211); #82293=LINE('',#501959,#120212); #82294=LINE('',#501965,#120213); #82295=LINE('',#501971,#120214); #82296=LINE('',#501977,#120215); #82297=LINE('',#501983,#120216); #82298=LINE('',#501989,#120217); #82299=LINE('',#501995,#120218); #82300=LINE('',#502001,#120219); #82301=LINE('',#502007,#120220); #82302=LINE('',#502013,#120221); #82303=LINE('',#502019,#120222); #82304=LINE('',#502025,#120223); #82305=LINE('',#502031,#120224); #82306=LINE('',#502037,#120225); #82307=LINE('',#502043,#120226); #82308=LINE('',#502049,#120227); #82309=LINE('',#502055,#120228); #82310=LINE('',#502061,#120229); #82311=LINE('',#502067,#120230); #82312=LINE('',#502073,#120231); #82313=LINE('',#502079,#120232); #82314=LINE('',#502085,#120233); #82315=LINE('',#502091,#120234); #82316=LINE('',#502097,#120235); #82317=LINE('',#502103,#120236); #82318=LINE('',#502109,#120237); #82319=LINE('',#502115,#120238); #82320=LINE('',#502121,#120239); #82321=LINE('',#502127,#120240); #82322=LINE('',#502133,#120241); #82323=LINE('',#502139,#120242); #82324=LINE('',#502145,#120243); #82325=LINE('',#502151,#120244); #82326=LINE('',#502157,#120245); #82327=LINE('',#502163,#120246); #82328=LINE('',#502169,#120247); #82329=LINE('',#502175,#120248); #82330=LINE('',#502181,#120249); #82331=LINE('',#502187,#120250); #82332=LINE('',#502193,#120251); #82333=LINE('',#502199,#120252); #82334=LINE('',#502205,#120253); #82335=LINE('',#502211,#120254); #82336=LINE('',#502217,#120255); #82337=LINE('',#502223,#120256); #82338=LINE('',#502229,#120257); #82339=LINE('',#502235,#120258); #82340=LINE('',#502241,#120259); #82341=LINE('',#502247,#120260); #82342=LINE('',#502253,#120261); #82343=LINE('',#502259,#120262); #82344=LINE('',#502265,#120263); #82345=LINE('',#502271,#120264); #82346=LINE('',#502277,#120265); #82347=LINE('',#502283,#120266); #82348=LINE('',#502289,#120267); #82349=LINE('',#502295,#120268); #82350=LINE('',#502301,#120269); #82351=LINE('',#502307,#120270); #82352=LINE('',#502313,#120271); #82353=LINE('',#502319,#120272); #82354=LINE('',#502325,#120273); #82355=LINE('',#502331,#120274); #82356=LINE('',#502337,#120275); #82357=LINE('',#502343,#120276); #82358=LINE('',#502349,#120277); #82359=LINE('',#502355,#120278); #82360=LINE('',#502361,#120279); #82361=LINE('',#502366,#120280); #82362=LINE('',#502368,#120281); #82363=LINE('',#502370,#120282); #82364=LINE('',#502371,#120283); #82365=LINE('',#502374,#120284); #82366=LINE('',#502376,#120285); #82367=LINE('',#502377,#120286); #82368=LINE('',#502380,#120287); #82369=LINE('',#502382,#120288); #82370=LINE('',#502383,#120289); #82371=LINE('',#502386,#120290); #82372=LINE('',#502388,#120291); #82373=LINE('',#502389,#120292); #82374=LINE('',#502392,#120293); #82375=LINE('',#502394,#120294); #82376=LINE('',#502395,#120295); #82377=LINE('',#502398,#120296); #82378=LINE('',#502400,#120297); #82379=LINE('',#502401,#120298); #82380=LINE('',#502404,#120299); #82381=LINE('',#502406,#120300); #82382=LINE('',#502407,#120301); #82383=LINE('',#502410,#120302); #82384=LINE('',#502412,#120303); #82385=LINE('',#502413,#120304); #82386=LINE('',#502416,#120305); #82387=LINE('',#502418,#120306); #82388=LINE('',#502419,#120307); #82389=LINE('',#502422,#120308); #82390=LINE('',#502424,#120309); #82391=LINE('',#502425,#120310); #82392=LINE('',#502428,#120311); #82393=LINE('',#502430,#120312); #82394=LINE('',#502431,#120313); #82395=LINE('',#502434,#120314); #82396=LINE('',#502436,#120315); #82397=LINE('',#502437,#120316); #82398=LINE('',#502440,#120317); #82399=LINE('',#502442,#120318); #82400=LINE('',#502443,#120319); #82401=LINE('',#502446,#120320); #82402=LINE('',#502448,#120321); #82403=LINE('',#502449,#120322); #82404=LINE('',#502452,#120323); #82405=LINE('',#502454,#120324); #82406=LINE('',#502455,#120325); #82407=LINE('',#502458,#120326); #82408=LINE('',#502460,#120327); #82409=LINE('',#502461,#120328); #82410=LINE('',#502464,#120329); #82411=LINE('',#502466,#120330); #82412=LINE('',#502467,#120331); #82413=LINE('',#502470,#120332); #82414=LINE('',#502472,#120333); #82415=LINE('',#502473,#120334); #82416=LINE('',#502476,#120335); #82417=LINE('',#502478,#120336); #82418=LINE('',#502479,#120337); #82419=LINE('',#502482,#120338); #82420=LINE('',#502484,#120339); #82421=LINE('',#502485,#120340); #82422=LINE('',#502488,#120341); #82423=LINE('',#502490,#120342); #82424=LINE('',#502491,#120343); #82425=LINE('',#502494,#120344); #82426=LINE('',#502496,#120345); #82427=LINE('',#502497,#120346); #82428=LINE('',#502500,#120347); #82429=LINE('',#502502,#120348); #82430=LINE('',#502503,#120349); #82431=LINE('',#502506,#120350); #82432=LINE('',#502508,#120351); #82433=LINE('',#502509,#120352); #82434=LINE('',#502512,#120353); #82435=LINE('',#502514,#120354); #82436=LINE('',#502515,#120355); #82437=LINE('',#502518,#120356); #82438=LINE('',#502520,#120357); #82439=LINE('',#502521,#120358); #82440=LINE('',#502524,#120359); #82441=LINE('',#502526,#120360); #82442=LINE('',#502527,#120361); #82443=LINE('',#502530,#120362); #82444=LINE('',#502532,#120363); #82445=LINE('',#502533,#120364); #82446=LINE('',#502536,#120365); #82447=LINE('',#502538,#120366); #82448=LINE('',#502539,#120367); #82449=LINE('',#502542,#120368); #82450=LINE('',#502544,#120369); #82451=LINE('',#502545,#120370); #82452=LINE('',#502548,#120371); #82453=LINE('',#502550,#120372); #82454=LINE('',#502551,#120373); #82455=LINE('',#502554,#120374); #82456=LINE('',#502556,#120375); #82457=LINE('',#502557,#120376); #82458=LINE('',#502560,#120377); #82459=LINE('',#502562,#120378); #82460=LINE('',#502563,#120379); #82461=LINE('',#502566,#120380); #82462=LINE('',#502568,#120381); #82463=LINE('',#502569,#120382); #82464=LINE('',#502572,#120383); #82465=LINE('',#502574,#120384); #82466=LINE('',#502575,#120385); #82467=LINE('',#502578,#120386); #82468=LINE('',#502580,#120387); #82469=LINE('',#502581,#120388); #82470=LINE('',#502584,#120389); #82471=LINE('',#502586,#120390); #82472=LINE('',#502587,#120391); #82473=LINE('',#502590,#120392); #82474=LINE('',#502592,#120393); #82475=LINE('',#502593,#120394); #82476=LINE('',#502596,#120395); #82477=LINE('',#502598,#120396); #82478=LINE('',#502599,#120397); #82479=LINE('',#502602,#120398); #82480=LINE('',#502604,#120399); #82481=LINE('',#502605,#120400); #82482=LINE('',#502608,#120401); #82483=LINE('',#502610,#120402); #82484=LINE('',#502611,#120403); #82485=LINE('',#502614,#120404); #82486=LINE('',#502616,#120405); #82487=LINE('',#502617,#120406); #82488=LINE('',#502620,#120407); #82489=LINE('',#502622,#120408); #82490=LINE('',#502623,#120409); #82491=LINE('',#502626,#120410); #82492=LINE('',#502628,#120411); #82493=LINE('',#502629,#120412); #82494=LINE('',#502632,#120413); #82495=LINE('',#502634,#120414); #82496=LINE('',#502635,#120415); #82497=LINE('',#502638,#120416); #82498=LINE('',#502640,#120417); #82499=LINE('',#502641,#120418); #82500=LINE('',#502644,#120419); #82501=LINE('',#502646,#120420); #82502=LINE('',#502647,#120421); #82503=LINE('',#502650,#120422); #82504=LINE('',#502652,#120423); #82505=LINE('',#502653,#120424); #82506=LINE('',#502656,#120425); #82507=LINE('',#502658,#120426); #82508=LINE('',#502659,#120427); #82509=LINE('',#502662,#120428); #82510=LINE('',#502664,#120429); #82511=LINE('',#502665,#120430); #82512=LINE('',#502668,#120431); #82513=LINE('',#502670,#120432); #82514=LINE('',#502671,#120433); #82515=LINE('',#502674,#120434); #82516=LINE('',#502676,#120435); #82517=LINE('',#502677,#120436); #82518=LINE('',#502680,#120437); #82519=LINE('',#502682,#120438); #82520=LINE('',#502683,#120439); #82521=LINE('',#502686,#120440); #82522=LINE('',#502688,#120441); #82523=LINE('',#502689,#120442); #82524=LINE('',#502692,#120443); #82525=LINE('',#502694,#120444); #82526=LINE('',#502695,#120445); #82527=LINE('',#502698,#120446); #82528=LINE('',#502700,#120447); #82529=LINE('',#502701,#120448); #82530=LINE('',#502704,#120449); #82531=LINE('',#502706,#120450); #82532=LINE('',#502707,#120451); #82533=LINE('',#502710,#120452); #82534=LINE('',#502712,#120453); #82535=LINE('',#502713,#120454); #82536=LINE('',#502716,#120455); #82537=LINE('',#502718,#120456); #82538=LINE('',#502719,#120457); #82539=LINE('',#502722,#120458); #82540=LINE('',#502724,#120459); #82541=LINE('',#502725,#120460); #82542=LINE('',#502728,#120461); #82543=LINE('',#502730,#120462); #82544=LINE('',#502731,#120463); #82545=LINE('',#502734,#120464); #82546=LINE('',#502736,#120465); #82547=LINE('',#502737,#120466); #82548=LINE('',#502740,#120467); #82549=LINE('',#502742,#120468); #82550=LINE('',#502743,#120469); #82551=LINE('',#502746,#120470); #82552=LINE('',#502748,#120471); #82553=LINE('',#502749,#120472); #82554=LINE('',#502752,#120473); #82555=LINE('',#502754,#120474); #82556=LINE('',#502755,#120475); #82557=LINE('',#502758,#120476); #82558=LINE('',#502760,#120477); #82559=LINE('',#502761,#120478); #82560=LINE('',#502764,#120479); #82561=LINE('',#502766,#120480); #82562=LINE('',#502767,#120481); #82563=LINE('',#502770,#120482); #82564=LINE('',#502772,#120483); #82565=LINE('',#502773,#120484); #82566=LINE('',#502776,#120485); #82567=LINE('',#502778,#120486); #82568=LINE('',#502779,#120487); #82569=LINE('',#502782,#120488); #82570=LINE('',#502784,#120489); #82571=LINE('',#502785,#120490); #82572=LINE('',#502788,#120491); #82573=LINE('',#502790,#120492); #82574=LINE('',#502791,#120493); #82575=LINE('',#502794,#120494); #82576=LINE('',#502796,#120495); #82577=LINE('',#502797,#120496); #82578=LINE('',#502800,#120497); #82579=LINE('',#502802,#120498); #82580=LINE('',#502803,#120499); #82581=LINE('',#502806,#120500); #82582=LINE('',#502808,#120501); #82583=LINE('',#502809,#120502); #82584=LINE('',#502812,#120503); #82585=LINE('',#502814,#120504); #82586=LINE('',#502815,#120505); #82587=LINE('',#502818,#120506); #82588=LINE('',#502820,#120507); #82589=LINE('',#502821,#120508); #82590=LINE('',#502824,#120509); #82591=LINE('',#502826,#120510); #82592=LINE('',#502827,#120511); #82593=LINE('',#502830,#120512); #82594=LINE('',#502832,#120513); #82595=LINE('',#502833,#120514); #82596=LINE('',#502836,#120515); #82597=LINE('',#502838,#120516); #82598=LINE('',#502839,#120517); #82599=LINE('',#502842,#120518); #82600=LINE('',#502844,#120519); #82601=LINE('',#502845,#120520); #82602=LINE('',#502848,#120521); #82603=LINE('',#502850,#120522); #82604=LINE('',#502851,#120523); #82605=LINE('',#502854,#120524); #82606=LINE('',#502856,#120525); #82607=LINE('',#502857,#120526); #82608=LINE('',#502860,#120527); #82609=LINE('',#502862,#120528); #82610=LINE('',#502863,#120529); #82611=LINE('',#502866,#120530); #82612=LINE('',#502868,#120531); #82613=LINE('',#502869,#120532); #82614=LINE('',#502872,#120533); #82615=LINE('',#502874,#120534); #82616=LINE('',#502875,#120535); #82617=LINE('',#502878,#120536); #82618=LINE('',#502880,#120537); #82619=LINE('',#502881,#120538); #82620=LINE('',#502884,#120539); #82621=LINE('',#502886,#120540); #82622=LINE('',#502887,#120541); #82623=LINE('',#502890,#120542); #82624=LINE('',#502892,#120543); #82625=LINE('',#502893,#120544); #82626=LINE('',#502896,#120545); #82627=LINE('',#502898,#120546); #82628=LINE('',#502899,#120547); #82629=LINE('',#502902,#120548); #82630=LINE('',#502904,#120549); #82631=LINE('',#502905,#120550); #82632=LINE('',#502908,#120551); #82633=LINE('',#502910,#120552); #82634=LINE('',#502911,#120553); #82635=LINE('',#502914,#120554); #82636=LINE('',#502916,#120555); #82637=LINE('',#502917,#120556); #82638=LINE('',#502920,#120557); #82639=LINE('',#502922,#120558); #82640=LINE('',#502923,#120559); #82641=LINE('',#502926,#120560); #82642=LINE('',#502928,#120561); #82643=LINE('',#502929,#120562); #82644=LINE('',#502932,#120563); #82645=LINE('',#502934,#120564); #82646=LINE('',#502935,#120565); #82647=LINE('',#502938,#120566); #82648=LINE('',#502940,#120567); #82649=LINE('',#502941,#120568); #82650=LINE('',#502944,#120569); #82651=LINE('',#502946,#120570); #82652=LINE('',#502947,#120571); #82653=LINE('',#502950,#120572); #82654=LINE('',#502952,#120573); #82655=LINE('',#502953,#120574); #82656=LINE('',#502956,#120575); #82657=LINE('',#502958,#120576); #82658=LINE('',#502959,#120577); #82659=LINE('',#502962,#120578); #82660=LINE('',#502964,#120579); #82661=LINE('',#502965,#120580); #82662=LINE('',#502968,#120581); #82663=LINE('',#502970,#120582); #82664=LINE('',#502971,#120583); #82665=LINE('',#502974,#120584); #82666=LINE('',#502976,#120585); #82667=LINE('',#502977,#120586); #82668=LINE('',#502980,#120587); #82669=LINE('',#502982,#120588); #82670=LINE('',#502983,#120589); #82671=LINE('',#502986,#120590); #82672=LINE('',#502988,#120591); #82673=LINE('',#502989,#120592); #82674=LINE('',#502992,#120593); #82675=LINE('',#502994,#120594); #82676=LINE('',#502995,#120595); #82677=LINE('',#502998,#120596); #82678=LINE('',#503000,#120597); #82679=LINE('',#503001,#120598); #82680=LINE('',#503004,#120599); #82681=LINE('',#503006,#120600); #82682=LINE('',#503007,#120601); #82683=LINE('',#503010,#120602); #82684=LINE('',#503012,#120603); #82685=LINE('',#503013,#120604); #82686=LINE('',#503016,#120605); #82687=LINE('',#503018,#120606); #82688=LINE('',#503019,#120607); #82689=LINE('',#503022,#120608); #82690=LINE('',#503024,#120609); #82691=LINE('',#503025,#120610); #82692=LINE('',#503028,#120611); #82693=LINE('',#503030,#120612); #82694=LINE('',#503031,#120613); #82695=LINE('',#503034,#120614); #82696=LINE('',#503036,#120615); #82697=LINE('',#503037,#120616); #82698=LINE('',#503040,#120617); #82699=LINE('',#503042,#120618); #82700=LINE('',#503043,#120619); #82701=LINE('',#503046,#120620); #82702=LINE('',#503048,#120621); #82703=LINE('',#503049,#120622); #82704=LINE('',#503052,#120623); #82705=LINE('',#503054,#120624); #82706=LINE('',#503055,#120625); #82707=LINE('',#503058,#120626); #82708=LINE('',#503060,#120627); #82709=LINE('',#503061,#120628); #82710=LINE('',#503064,#120629); #82711=LINE('',#503066,#120630); #82712=LINE('',#503067,#120631); #82713=LINE('',#503070,#120632); #82714=LINE('',#503072,#120633); #82715=LINE('',#503073,#120634); #82716=LINE('',#503076,#120635); #82717=LINE('',#503078,#120636); #82718=LINE('',#503079,#120637); #82719=LINE('',#503082,#120638); #82720=LINE('',#503084,#120639); #82721=LINE('',#503085,#120640); #82722=LINE('',#503088,#120641); #82723=LINE('',#503090,#120642); #82724=LINE('',#503091,#120643); #82725=LINE('',#503094,#120644); #82726=LINE('',#503096,#120645); #82727=LINE('',#503097,#120646); #82728=LINE('',#503100,#120647); #82729=LINE('',#503102,#120648); #82730=LINE('',#503103,#120649); #82731=LINE('',#503106,#120650); #82732=LINE('',#503108,#120651); #82733=LINE('',#503109,#120652); #82734=LINE('',#503112,#120653); #82735=LINE('',#503114,#120654); #82736=LINE('',#503115,#120655); #82737=LINE('',#503118,#120656); #82738=LINE('',#503120,#120657); #82739=LINE('',#503121,#120658); #82740=LINE('',#503124,#120659); #82741=LINE('',#503126,#120660); #82742=LINE('',#503127,#120661); #82743=LINE('',#503130,#120662); #82744=LINE('',#503132,#120663); #82745=LINE('',#503133,#120664); #82746=LINE('',#503136,#120665); #82747=LINE('',#503138,#120666); #82748=LINE('',#503139,#120667); #82749=LINE('',#503142,#120668); #82750=LINE('',#503144,#120669); #82751=LINE('',#503145,#120670); #82752=LINE('',#503148,#120671); #82753=LINE('',#503150,#120672); #82754=LINE('',#503151,#120673); #82755=LINE('',#503153,#120674); #82756=LINE('',#503154,#120675); #82757=LINE('',#503158,#120676); #82758=LINE('',#503160,#120677); #82759=LINE('',#503162,#120678); #82760=LINE('',#503163,#120679); #82761=LINE('',#503166,#120680); #82762=LINE('',#503168,#120681); #82763=LINE('',#503169,#120682); #82764=LINE('',#503172,#120683); #82765=LINE('',#503174,#120684); #82766=LINE('',#503175,#120685); #82767=LINE('',#503178,#120686); #82768=LINE('',#503180,#120687); #82769=LINE('',#503181,#120688); #82770=LINE('',#503184,#120689); #82771=LINE('',#503186,#120690); #82772=LINE('',#503187,#120691); #82773=LINE('',#503190,#120692); #82774=LINE('',#503192,#120693); #82775=LINE('',#503193,#120694); #82776=LINE('',#503196,#120695); #82777=LINE('',#503198,#120696); #82778=LINE('',#503199,#120697); #82779=LINE('',#503201,#120698); #82780=LINE('',#503202,#120699); #82781=LINE('',#503206,#120700); #82782=LINE('',#503208,#120701); #82783=LINE('',#503210,#120702); #82784=LINE('',#503211,#120703); #82785=LINE('',#503214,#120704); #82786=LINE('',#503216,#120705); #82787=LINE('',#503217,#120706); #82788=LINE('',#503220,#120707); #82789=LINE('',#503222,#120708); #82790=LINE('',#503223,#120709); #82791=LINE('',#503226,#120710); #82792=LINE('',#503228,#120711); #82793=LINE('',#503229,#120712); #82794=LINE('',#503232,#120713); #82795=LINE('',#503234,#120714); #82796=LINE('',#503235,#120715); #82797=LINE('',#503238,#120716); #82798=LINE('',#503240,#120717); #82799=LINE('',#503241,#120718); #82800=LINE('',#503244,#120719); #82801=LINE('',#503246,#120720); #82802=LINE('',#503247,#120721); #82803=LINE('',#503249,#120722); #82804=LINE('',#503250,#120723); #82805=LINE('',#503254,#120724); #82806=LINE('',#503256,#120725); #82807=LINE('',#503258,#120726); #82808=LINE('',#503259,#120727); #82809=LINE('',#503262,#120728); #82810=LINE('',#503264,#120729); #82811=LINE('',#503265,#120730); #82812=LINE('',#503268,#120731); #82813=LINE('',#503270,#120732); #82814=LINE('',#503271,#120733); #82815=LINE('',#503274,#120734); #82816=LINE('',#503276,#120735); #82817=LINE('',#503277,#120736); #82818=LINE('',#503280,#120737); #82819=LINE('',#503282,#120738); #82820=LINE('',#503283,#120739); #82821=LINE('',#503286,#120740); #82822=LINE('',#503288,#120741); #82823=LINE('',#503289,#120742); #82824=LINE('',#503292,#120743); #82825=LINE('',#503294,#120744); #82826=LINE('',#503295,#120745); #82827=LINE('',#503297,#120746); #82828=LINE('',#503298,#120747); #82829=LINE('',#503302,#120748); #82830=LINE('',#503304,#120749); #82831=LINE('',#503306,#120750); #82832=LINE('',#503307,#120751); #82833=LINE('',#503310,#120752); #82834=LINE('',#503312,#120753); #82835=LINE('',#503313,#120754); #82836=LINE('',#503316,#120755); #82837=LINE('',#503318,#120756); #82838=LINE('',#503319,#120757); #82839=LINE('',#503322,#120758); #82840=LINE('',#503324,#120759); #82841=LINE('',#503325,#120760); #82842=LINE('',#503328,#120761); #82843=LINE('',#503330,#120762); #82844=LINE('',#503331,#120763); #82845=LINE('',#503334,#120764); #82846=LINE('',#503336,#120765); #82847=LINE('',#503337,#120766); #82848=LINE('',#503340,#120767); #82849=LINE('',#503342,#120768); #82850=LINE('',#503343,#120769); #82851=LINE('',#503346,#120770); #82852=LINE('',#503348,#120771); #82853=LINE('',#503349,#120772); #82854=LINE('',#503352,#120773); #82855=LINE('',#503354,#120774); #82856=LINE('',#503355,#120775); #82857=LINE('',#503358,#120776); #82858=LINE('',#503360,#120777); #82859=LINE('',#503361,#120778); #82860=LINE('',#503364,#120779); #82861=LINE('',#503366,#120780); #82862=LINE('',#503367,#120781); #82863=LINE('',#503370,#120782); #82864=LINE('',#503372,#120783); #82865=LINE('',#503373,#120784); #82866=LINE('',#503376,#120785); #82867=LINE('',#503378,#120786); #82868=LINE('',#503379,#120787); #82869=LINE('',#503382,#120788); #82870=LINE('',#503384,#120789); #82871=LINE('',#503385,#120790); #82872=LINE('',#503388,#120791); #82873=LINE('',#503390,#120792); #82874=LINE('',#503391,#120793); #82875=LINE('',#503394,#120794); #82876=LINE('',#503396,#120795); #82877=LINE('',#503397,#120796); #82878=LINE('',#503400,#120797); #82879=LINE('',#503402,#120798); #82880=LINE('',#503403,#120799); #82881=LINE('',#503406,#120800); #82882=LINE('',#503408,#120801); #82883=LINE('',#503409,#120802); #82884=LINE('',#503412,#120803); #82885=LINE('',#503414,#120804); #82886=LINE('',#503415,#120805); #82887=LINE('',#503418,#120806); #82888=LINE('',#503420,#120807); #82889=LINE('',#503421,#120808); #82890=LINE('',#503424,#120809); #82891=LINE('',#503426,#120810); #82892=LINE('',#503427,#120811); #82893=LINE('',#503430,#120812); #82894=LINE('',#503432,#120813); #82895=LINE('',#503433,#120814); #82896=LINE('',#503436,#120815); #82897=LINE('',#503438,#120816); #82898=LINE('',#503439,#120817); #82899=LINE('',#503442,#120818); #82900=LINE('',#503444,#120819); #82901=LINE('',#503445,#120820); #82902=LINE('',#503448,#120821); #82903=LINE('',#503450,#120822); #82904=LINE('',#503451,#120823); #82905=LINE('',#503454,#120824); #82906=LINE('',#503456,#120825); #82907=LINE('',#503457,#120826); #82908=LINE('',#503460,#120827); #82909=LINE('',#503462,#120828); #82910=LINE('',#503463,#120829); #82911=LINE('',#503466,#120830); #82912=LINE('',#503468,#120831); #82913=LINE('',#503469,#120832); #82914=LINE('',#503472,#120833); #82915=LINE('',#503474,#120834); #82916=LINE('',#503475,#120835); #82917=LINE('',#503478,#120836); #82918=LINE('',#503480,#120837); #82919=LINE('',#503481,#120838); #82920=LINE('',#503484,#120839); #82921=LINE('',#503486,#120840); #82922=LINE('',#503487,#120841); #82923=LINE('',#503490,#120842); #82924=LINE('',#503492,#120843); #82925=LINE('',#503493,#120844); #82926=LINE('',#503496,#120845); #82927=LINE('',#503498,#120846); #82928=LINE('',#503499,#120847); #82929=LINE('',#503502,#120848); #82930=LINE('',#503504,#120849); #82931=LINE('',#503505,#120850); #82932=LINE('',#503508,#120851); #82933=LINE('',#503510,#120852); #82934=LINE('',#503511,#120853); #82935=LINE('',#503514,#120854); #82936=LINE('',#503516,#120855); #82937=LINE('',#503517,#120856); #82938=LINE('',#503520,#120857); #82939=LINE('',#503522,#120858); #82940=LINE('',#503523,#120859); #82941=LINE('',#503526,#120860); #82942=LINE('',#503528,#120861); #82943=LINE('',#503529,#120862); #82944=LINE('',#503532,#120863); #82945=LINE('',#503534,#120864); #82946=LINE('',#503535,#120865); #82947=LINE('',#503538,#120866); #82948=LINE('',#503540,#120867); #82949=LINE('',#503541,#120868); #82950=LINE('',#503544,#120869); #82951=LINE('',#503546,#120870); #82952=LINE('',#503547,#120871); #82953=LINE('',#503550,#120872); #82954=LINE('',#503552,#120873); #82955=LINE('',#503553,#120874); #82956=LINE('',#503556,#120875); #82957=LINE('',#503558,#120876); #82958=LINE('',#503559,#120877); #82959=LINE('',#503562,#120878); #82960=LINE('',#503564,#120879); #82961=LINE('',#503565,#120880); #82962=LINE('',#503568,#120881); #82963=LINE('',#503570,#120882); #82964=LINE('',#503571,#120883); #82965=LINE('',#503574,#120884); #82966=LINE('',#503576,#120885); #82967=LINE('',#503577,#120886); #82968=LINE('',#503580,#120887); #82969=LINE('',#503582,#120888); #82970=LINE('',#503583,#120889); #82971=LINE('',#503586,#120890); #82972=LINE('',#503588,#120891); #82973=LINE('',#503589,#120892); #82974=LINE('',#503592,#120893); #82975=LINE('',#503594,#120894); #82976=LINE('',#503595,#120895); #82977=LINE('',#503598,#120896); #82978=LINE('',#503600,#120897); #82979=LINE('',#503601,#120898); #82980=LINE('',#503604,#120899); #82981=LINE('',#503606,#120900); #82982=LINE('',#503607,#120901); #82983=LINE('',#503610,#120902); #82984=LINE('',#503612,#120903); #82985=LINE('',#503613,#120904); #82986=LINE('',#503616,#120905); #82987=LINE('',#503618,#120906); #82988=LINE('',#503619,#120907); #82989=LINE('',#503622,#120908); #82990=LINE('',#503624,#120909); #82991=LINE('',#503625,#120910); #82992=LINE('',#503628,#120911); #82993=LINE('',#503630,#120912); #82994=LINE('',#503631,#120913); #82995=LINE('',#503634,#120914); #82996=LINE('',#503636,#120915); #82997=LINE('',#503637,#120916); #82998=LINE('',#503640,#120917); #82999=LINE('',#503642,#120918); #83000=LINE('',#503643,#120919); #83001=LINE('',#503646,#120920); #83002=LINE('',#503648,#120921); #83003=LINE('',#503649,#120922); #83004=LINE('',#503652,#120923); #83005=LINE('',#503654,#120924); #83006=LINE('',#503655,#120925); #83007=LINE('',#503657,#120926); #83008=LINE('',#503658,#120927); #83009=LINE('',#503662,#120928); #83010=LINE('',#503664,#120929); #83011=LINE('',#503666,#120930); #83012=LINE('',#503667,#120931); #83013=LINE('',#503670,#120932); #83014=LINE('',#503672,#120933); #83015=LINE('',#503673,#120934); #83016=LINE('',#503676,#120935); #83017=LINE('',#503678,#120936); #83018=LINE('',#503679,#120937); #83019=LINE('',#503682,#120938); #83020=LINE('',#503684,#120939); #83021=LINE('',#503685,#120940); #83022=LINE('',#503688,#120941); #83023=LINE('',#503690,#120942); #83024=LINE('',#503691,#120943); #83025=LINE('',#503694,#120944); #83026=LINE('',#503696,#120945); #83027=LINE('',#503697,#120946); #83028=LINE('',#503700,#120947); #83029=LINE('',#503702,#120948); #83030=LINE('',#503703,#120949); #83031=LINE('',#503706,#120950); #83032=LINE('',#503708,#120951); #83033=LINE('',#503709,#120952); #83034=LINE('',#503712,#120953); #83035=LINE('',#503714,#120954); #83036=LINE('',#503715,#120955); #83037=LINE('',#503718,#120956); #83038=LINE('',#503720,#120957); #83039=LINE('',#503721,#120958); #83040=LINE('',#503724,#120959); #83041=LINE('',#503726,#120960); #83042=LINE('',#503727,#120961); #83043=LINE('',#503730,#120962); #83044=LINE('',#503732,#120963); #83045=LINE('',#503733,#120964); #83046=LINE('',#503736,#120965); #83047=LINE('',#503738,#120966); #83048=LINE('',#503739,#120967); #83049=LINE('',#503742,#120968); #83050=LINE('',#503744,#120969); #83051=LINE('',#503745,#120970); #83052=LINE('',#503748,#120971); #83053=LINE('',#503750,#120972); #83054=LINE('',#503751,#120973); #83055=LINE('',#503754,#120974); #83056=LINE('',#503756,#120975); #83057=LINE('',#503757,#120976); #83058=LINE('',#503760,#120977); #83059=LINE('',#503762,#120978); #83060=LINE('',#503763,#120979); #83061=LINE('',#503766,#120980); #83062=LINE('',#503768,#120981); #83063=LINE('',#503769,#120982); #83064=LINE('',#503772,#120983); #83065=LINE('',#503774,#120984); #83066=LINE('',#503775,#120985); #83067=LINE('',#503778,#120986); #83068=LINE('',#503780,#120987); #83069=LINE('',#503781,#120988); #83070=LINE('',#503784,#120989); #83071=LINE('',#503786,#120990); #83072=LINE('',#503787,#120991); #83073=LINE('',#503790,#120992); #83074=LINE('',#503792,#120993); #83075=LINE('',#503793,#120994); #83076=LINE('',#503796,#120995); #83077=LINE('',#503798,#120996); #83078=LINE('',#503799,#120997); #83079=LINE('',#503802,#120998); #83080=LINE('',#503804,#120999); #83081=LINE('',#503805,#121000); #83082=LINE('',#503808,#121001); #83083=LINE('',#503810,#121002); #83084=LINE('',#503811,#121003); #83085=LINE('',#503814,#121004); #83086=LINE('',#503816,#121005); #83087=LINE('',#503817,#121006); #83088=LINE('',#503820,#121007); #83089=LINE('',#503822,#121008); #83090=LINE('',#503823,#121009); #83091=LINE('',#503826,#121010); #83092=LINE('',#503828,#121011); #83093=LINE('',#503829,#121012); #83094=LINE('',#503832,#121013); #83095=LINE('',#503834,#121014); #83096=LINE('',#503835,#121015); #83097=LINE('',#503838,#121016); #83098=LINE('',#503840,#121017); #83099=LINE('',#503841,#121018); #83100=LINE('',#503844,#121019); #83101=LINE('',#503846,#121020); #83102=LINE('',#503847,#121021); #83103=LINE('',#503850,#121022); #83104=LINE('',#503852,#121023); #83105=LINE('',#503853,#121024); #83106=LINE('',#503856,#121025); #83107=LINE('',#503858,#121026); #83108=LINE('',#503859,#121027); #83109=LINE('',#503861,#121028); #83110=LINE('',#503862,#121029); #83111=LINE('',#503866,#121030); #83112=LINE('',#503868,#121031); #83113=LINE('',#503870,#121032); #83114=LINE('',#503871,#121033); #83115=LINE('',#503874,#121034); #83116=LINE('',#503876,#121035); #83117=LINE('',#503877,#121036); #83118=LINE('',#503880,#121037); #83119=LINE('',#503882,#121038); #83120=LINE('',#503883,#121039); #83121=LINE('',#503886,#121040); #83122=LINE('',#503888,#121041); #83123=LINE('',#503889,#121042); #83124=LINE('',#503892,#121043); #83125=LINE('',#503894,#121044); #83126=LINE('',#503895,#121045); #83127=LINE('',#503898,#121046); #83128=LINE('',#503900,#121047); #83129=LINE('',#503901,#121048); #83130=LINE('',#503904,#121049); #83131=LINE('',#503906,#121050); #83132=LINE('',#503907,#121051); #83133=LINE('',#503910,#121052); #83134=LINE('',#503912,#121053); #83135=LINE('',#503913,#121054); #83136=LINE('',#503916,#121055); #83137=LINE('',#503918,#121056); #83138=LINE('',#503919,#121057); #83139=LINE('',#503922,#121058); #83140=LINE('',#503924,#121059); #83141=LINE('',#503925,#121060); #83142=LINE('',#503928,#121061); #83143=LINE('',#503930,#121062); #83144=LINE('',#503931,#121063); #83145=LINE('',#503934,#121064); #83146=LINE('',#503936,#121065); #83147=LINE('',#503937,#121066); #83148=LINE('',#503940,#121067); #83149=LINE('',#503942,#121068); #83150=LINE('',#503943,#121069); #83151=LINE('',#503946,#121070); #83152=LINE('',#503948,#121071); #83153=LINE('',#503949,#121072); #83154=LINE('',#503952,#121073); #83155=LINE('',#503954,#121074); #83156=LINE('',#503955,#121075); #83157=LINE('',#503958,#121076); #83158=LINE('',#503960,#121077); #83159=LINE('',#503961,#121078); #83160=LINE('',#503964,#121079); #83161=LINE('',#503966,#121080); #83162=LINE('',#503967,#121081); #83163=LINE('',#503970,#121082); #83164=LINE('',#503972,#121083); #83165=LINE('',#503973,#121084); #83166=LINE('',#503976,#121085); #83167=LINE('',#503978,#121086); #83168=LINE('',#503979,#121087); #83169=LINE('',#503982,#121088); #83170=LINE('',#503984,#121089); #83171=LINE('',#503985,#121090); #83172=LINE('',#503988,#121091); #83173=LINE('',#503990,#121092); #83174=LINE('',#503991,#121093); #83175=LINE('',#503994,#121094); #83176=LINE('',#503996,#121095); #83177=LINE('',#503997,#121096); #83178=LINE('',#504000,#121097); #83179=LINE('',#504002,#121098); #83180=LINE('',#504003,#121099); #83181=LINE('',#504006,#121100); #83182=LINE('',#504008,#121101); #83183=LINE('',#504009,#121102); #83184=LINE('',#504012,#121103); #83185=LINE('',#504014,#121104); #83186=LINE('',#504015,#121105); #83187=LINE('',#504018,#121106); #83188=LINE('',#504020,#121107); #83189=LINE('',#504021,#121108); #83190=LINE('',#504024,#121109); #83191=LINE('',#504026,#121110); #83192=LINE('',#504027,#121111); #83193=LINE('',#504030,#121112); #83194=LINE('',#504032,#121113); #83195=LINE('',#504033,#121114); #83196=LINE('',#504036,#121115); #83197=LINE('',#504038,#121116); #83198=LINE('',#504039,#121117); #83199=LINE('',#504042,#121118); #83200=LINE('',#504044,#121119); #83201=LINE('',#504045,#121120); #83202=LINE('',#504048,#121121); #83203=LINE('',#504050,#121122); #83204=LINE('',#504051,#121123); #83205=LINE('',#504054,#121124); #83206=LINE('',#504056,#121125); #83207=LINE('',#504057,#121126); #83208=LINE('',#504060,#121127); #83209=LINE('',#504062,#121128); #83210=LINE('',#504063,#121129); #83211=LINE('',#504065,#121130); #83212=LINE('',#504066,#121131); #83213=LINE('',#504070,#121132); #83214=LINE('',#504072,#121133); #83215=LINE('',#504074,#121134); #83216=LINE('',#504075,#121135); #83217=LINE('',#504078,#121136); #83218=LINE('',#504080,#121137); #83219=LINE('',#504081,#121138); #83220=LINE('',#504084,#121139); #83221=LINE('',#504086,#121140); #83222=LINE('',#504087,#121141); #83223=LINE('',#504090,#121142); #83224=LINE('',#504092,#121143); #83225=LINE('',#504093,#121144); #83226=LINE('',#504096,#121145); #83227=LINE('',#504098,#121146); #83228=LINE('',#504099,#121147); #83229=LINE('',#504102,#121148); #83230=LINE('',#504104,#121149); #83231=LINE('',#504105,#121150); #83232=LINE('',#504108,#121151); #83233=LINE('',#504110,#121152); #83234=LINE('',#504111,#121153); #83235=LINE('',#504114,#121154); #83236=LINE('',#504116,#121155); #83237=LINE('',#504117,#121156); #83238=LINE('',#504120,#121157); #83239=LINE('',#504122,#121158); #83240=LINE('',#504123,#121159); #83241=LINE('',#504126,#121160); #83242=LINE('',#504128,#121161); #83243=LINE('',#504129,#121162); #83244=LINE('',#504132,#121163); #83245=LINE('',#504134,#121164); #83246=LINE('',#504135,#121165); #83247=LINE('',#504138,#121166); #83248=LINE('',#504140,#121167); #83249=LINE('',#504141,#121168); #83250=LINE('',#504144,#121169); #83251=LINE('',#504146,#121170); #83252=LINE('',#504147,#121171); #83253=LINE('',#504150,#121172); #83254=LINE('',#504152,#121173); #83255=LINE('',#504153,#121174); #83256=LINE('',#504156,#121175); #83257=LINE('',#504158,#121176); #83258=LINE('',#504159,#121177); #83259=LINE('',#504162,#121178); #83260=LINE('',#504164,#121179); #83261=LINE('',#504165,#121180); #83262=LINE('',#504168,#121181); #83263=LINE('',#504170,#121182); #83264=LINE('',#504171,#121183); #83265=LINE('',#504174,#121184); #83266=LINE('',#504176,#121185); #83267=LINE('',#504177,#121186); #83268=LINE('',#504180,#121187); #83269=LINE('',#504182,#121188); #83270=LINE('',#504183,#121189); #83271=LINE('',#504186,#121190); #83272=LINE('',#504188,#121191); #83273=LINE('',#504189,#121192); #83274=LINE('',#504192,#121193); #83275=LINE('',#504194,#121194); #83276=LINE('',#504195,#121195); #83277=LINE('',#504198,#121196); #83278=LINE('',#504200,#121197); #83279=LINE('',#504201,#121198); #83280=LINE('',#504204,#121199); #83281=LINE('',#504206,#121200); #83282=LINE('',#504207,#121201); #83283=LINE('',#504210,#121202); #83284=LINE('',#504212,#121203); #83285=LINE('',#504213,#121204); #83286=LINE('',#504216,#121205); #83287=LINE('',#504218,#121206); #83288=LINE('',#504219,#121207); #83289=LINE('',#504222,#121208); #83290=LINE('',#504224,#121209); #83291=LINE('',#504225,#121210); #83292=LINE('',#504228,#121211); #83293=LINE('',#504230,#121212); #83294=LINE('',#504231,#121213); #83295=LINE('',#504234,#121214); #83296=LINE('',#504236,#121215); #83297=LINE('',#504237,#121216); #83298=LINE('',#504240,#121217); #83299=LINE('',#504242,#121218); #83300=LINE('',#504243,#121219); #83301=LINE('',#504246,#121220); #83302=LINE('',#504248,#121221); #83303=LINE('',#504249,#121222); #83304=LINE('',#504252,#121223); #83305=LINE('',#504254,#121224); #83306=LINE('',#504255,#121225); #83307=LINE('',#504258,#121226); #83308=LINE('',#504260,#121227); #83309=LINE('',#504261,#121228); #83310=LINE('',#504264,#121229); #83311=LINE('',#504266,#121230); #83312=LINE('',#504267,#121231); #83313=LINE('',#504270,#121232); #83314=LINE('',#504272,#121233); #83315=LINE('',#504273,#121234); #83316=LINE('',#504276,#121235); #83317=LINE('',#504278,#121236); #83318=LINE('',#504279,#121237); #83319=LINE('',#504282,#121238); #83320=LINE('',#504284,#121239); #83321=LINE('',#504285,#121240); #83322=LINE('',#504288,#121241); #83323=LINE('',#504290,#121242); #83324=LINE('',#504291,#121243); #83325=LINE('',#504294,#121244); #83326=LINE('',#504296,#121245); #83327=LINE('',#504297,#121246); #83328=LINE('',#504300,#121247); #83329=LINE('',#504302,#121248); #83330=LINE('',#504303,#121249); #83331=LINE('',#504305,#121250); #83332=LINE('',#504306,#121251); #83333=LINE('',#504310,#121252); #83334=LINE('',#504312,#121253); #83335=LINE('',#504314,#121254); #83336=LINE('',#504315,#121255); #83337=LINE('',#504318,#121256); #83338=LINE('',#504320,#121257); #83339=LINE('',#504321,#121258); #83340=LINE('',#504324,#121259); #83341=LINE('',#504326,#121260); #83342=LINE('',#504327,#121261); #83343=LINE('',#504330,#121262); #83344=LINE('',#504332,#121263); #83345=LINE('',#504333,#121264); #83346=LINE('',#504336,#121265); #83347=LINE('',#504338,#121266); #83348=LINE('',#504339,#121267); #83349=LINE('',#504342,#121268); #83350=LINE('',#504344,#121269); #83351=LINE('',#504345,#121270); #83352=LINE('',#504348,#121271); #83353=LINE('',#504350,#121272); #83354=LINE('',#504351,#121273); #83355=LINE('',#504354,#121274); #83356=LINE('',#504356,#121275); #83357=LINE('',#504357,#121276); #83358=LINE('',#504360,#121277); #83359=LINE('',#504362,#121278); #83360=LINE('',#504363,#121279); #83361=LINE('',#504366,#121280); #83362=LINE('',#504368,#121281); #83363=LINE('',#504369,#121282); #83364=LINE('',#504372,#121283); #83365=LINE('',#504374,#121284); #83366=LINE('',#504375,#121285); #83367=LINE('',#504378,#121286); #83368=LINE('',#504380,#121287); #83369=LINE('',#504381,#121288); #83370=LINE('',#504384,#121289); #83371=LINE('',#504386,#121290); #83372=LINE('',#504387,#121291); #83373=LINE('',#504390,#121292); #83374=LINE('',#504392,#121293); #83375=LINE('',#504393,#121294); #83376=LINE('',#504396,#121295); #83377=LINE('',#504398,#121296); #83378=LINE('',#504399,#121297); #83379=LINE('',#504401,#121298); #83380=LINE('',#504402,#121299); #83381=LINE('',#504406,#121300); #83382=LINE('',#504408,#121301); #83383=LINE('',#504410,#121302); #83384=LINE('',#504411,#121303); #83385=LINE('',#504414,#121304); #83386=LINE('',#504416,#121305); #83387=LINE('',#504417,#121306); #83388=LINE('',#504420,#121307); #83389=LINE('',#504422,#121308); #83390=LINE('',#504423,#121309); #83391=LINE('',#504426,#121310); #83392=LINE('',#504428,#121311); #83393=LINE('',#504429,#121312); #83394=LINE('',#504432,#121313); #83395=LINE('',#504434,#121314); #83396=LINE('',#504435,#121315); #83397=LINE('',#504438,#121316); #83398=LINE('',#504440,#121317); #83399=LINE('',#504441,#121318); #83400=LINE('',#504444,#121319); #83401=LINE('',#504446,#121320); #83402=LINE('',#504447,#121321); #83403=LINE('',#504450,#121322); #83404=LINE('',#504452,#121323); #83405=LINE('',#504453,#121324); #83406=LINE('',#504456,#121325); #83407=LINE('',#504458,#121326); #83408=LINE('',#504459,#121327); #83409=LINE('',#504462,#121328); #83410=LINE('',#504464,#121329); #83411=LINE('',#504465,#121330); #83412=LINE('',#504468,#121331); #83413=LINE('',#504470,#121332); #83414=LINE('',#504471,#121333); #83415=LINE('',#504474,#121334); #83416=LINE('',#504476,#121335); #83417=LINE('',#504477,#121336); #83418=LINE('',#504480,#121337); #83419=LINE('',#504482,#121338); #83420=LINE('',#504483,#121339); #83421=LINE('',#504486,#121340); #83422=LINE('',#504488,#121341); #83423=LINE('',#504489,#121342); #83424=LINE('',#504492,#121343); #83425=LINE('',#504494,#121344); #83426=LINE('',#504495,#121345); #83427=LINE('',#504498,#121346); #83428=LINE('',#504500,#121347); #83429=LINE('',#504501,#121348); #83430=LINE('',#504504,#121349); #83431=LINE('',#504506,#121350); #83432=LINE('',#504507,#121351); #83433=LINE('',#504510,#121352); #83434=LINE('',#504512,#121353); #83435=LINE('',#504513,#121354); #83436=LINE('',#504516,#121355); #83437=LINE('',#504518,#121356); #83438=LINE('',#504519,#121357); #83439=LINE('',#504522,#121358); #83440=LINE('',#504524,#121359); #83441=LINE('',#504525,#121360); #83442=LINE('',#504528,#121361); #83443=LINE('',#504530,#121362); #83444=LINE('',#504531,#121363); #83445=LINE('',#504534,#121364); #83446=LINE('',#504536,#121365); #83447=LINE('',#504537,#121366); #83448=LINE('',#504540,#121367); #83449=LINE('',#504542,#121368); #83450=LINE('',#504543,#121369); #83451=LINE('',#504546,#121370); #83452=LINE('',#504548,#121371); #83453=LINE('',#504549,#121372); #83454=LINE('',#504552,#121373); #83455=LINE('',#504554,#121374); #83456=LINE('',#504555,#121375); #83457=LINE('',#504558,#121376); #83458=LINE('',#504560,#121377); #83459=LINE('',#504561,#121378); #83460=LINE('',#504564,#121379); #83461=LINE('',#504566,#121380); #83462=LINE('',#504567,#121381); #83463=LINE('',#504570,#121382); #83464=LINE('',#504572,#121383); #83465=LINE('',#504573,#121384); #83466=LINE('',#504576,#121385); #83467=LINE('',#504578,#121386); #83468=LINE('',#504579,#121387); #83469=LINE('',#504582,#121388); #83470=LINE('',#504584,#121389); #83471=LINE('',#504585,#121390); #83472=LINE('',#504588,#121391); #83473=LINE('',#504590,#121392); #83474=LINE('',#504591,#121393); #83475=LINE('',#504594,#121394); #83476=LINE('',#504596,#121395); #83477=LINE('',#504597,#121396); #83478=LINE('',#504600,#121397); #83479=LINE('',#504602,#121398); #83480=LINE('',#504603,#121399); #83481=LINE('',#504606,#121400); #83482=LINE('',#504608,#121401); #83483=LINE('',#504609,#121402); #83484=LINE('',#504612,#121403); #83485=LINE('',#504614,#121404); #83486=LINE('',#504615,#121405); #83487=LINE('',#504618,#121406); #83488=LINE('',#504620,#121407); #83489=LINE('',#504621,#121408); #83490=LINE('',#504624,#121409); #83491=LINE('',#504626,#121410); #83492=LINE('',#504627,#121411); #83493=LINE('',#504630,#121412); #83494=LINE('',#504632,#121413); #83495=LINE('',#504633,#121414); #83496=LINE('',#504636,#121415); #83497=LINE('',#504638,#121416); #83498=LINE('',#504639,#121417); #83499=LINE('',#504642,#121418); #83500=LINE('',#504644,#121419); #83501=LINE('',#504645,#121420); #83502=LINE('',#504648,#121421); #83503=LINE('',#504650,#121422); #83504=LINE('',#504651,#121423); #83505=LINE('',#504654,#121424); #83506=LINE('',#504656,#121425); #83507=LINE('',#504657,#121426); #83508=LINE('',#504660,#121427); #83509=LINE('',#504662,#121428); #83510=LINE('',#504663,#121429); #83511=LINE('',#504666,#121430); #83512=LINE('',#504668,#121431); #83513=LINE('',#504669,#121432); #83514=LINE('',#504672,#121433); #83515=LINE('',#504674,#121434); #83516=LINE('',#504675,#121435); #83517=LINE('',#504678,#121436); #83518=LINE('',#504680,#121437); #83519=LINE('',#504681,#121438); #83520=LINE('',#504684,#121439); #83521=LINE('',#504686,#121440); #83522=LINE('',#504687,#121441); #83523=LINE('',#504690,#121442); #83524=LINE('',#504692,#121443); #83525=LINE('',#504693,#121444); #83526=LINE('',#504696,#121445); #83527=LINE('',#504698,#121446); #83528=LINE('',#504699,#121447); #83529=LINE('',#504702,#121448); #83530=LINE('',#504704,#121449); #83531=LINE('',#504705,#121450); #83532=LINE('',#504708,#121451); #83533=LINE('',#504710,#121452); #83534=LINE('',#504711,#121453); #83535=LINE('',#504714,#121454); #83536=LINE('',#504716,#121455); #83537=LINE('',#504717,#121456); #83538=LINE('',#504720,#121457); #83539=LINE('',#504722,#121458); #83540=LINE('',#504723,#121459); #83541=LINE('',#504726,#121460); #83542=LINE('',#504728,#121461); #83543=LINE('',#504729,#121462); #83544=LINE('',#504732,#121463); #83545=LINE('',#504734,#121464); #83546=LINE('',#504735,#121465); #83547=LINE('',#504738,#121466); #83548=LINE('',#504740,#121467); #83549=LINE('',#504741,#121468); #83550=LINE('',#504744,#121469); #83551=LINE('',#504746,#121470); #83552=LINE('',#504747,#121471); #83553=LINE('',#504750,#121472); #83554=LINE('',#504752,#121473); #83555=LINE('',#504753,#121474); #83556=LINE('',#504756,#121475); #83557=LINE('',#504758,#121476); #83558=LINE('',#504759,#121477); #83559=LINE('',#504762,#121478); #83560=LINE('',#504764,#121479); #83561=LINE('',#504765,#121480); #83562=LINE('',#504768,#121481); #83563=LINE('',#504770,#121482); #83564=LINE('',#504771,#121483); #83565=LINE('',#504774,#121484); #83566=LINE('',#504776,#121485); #83567=LINE('',#504777,#121486); #83568=LINE('',#504780,#121487); #83569=LINE('',#504782,#121488); #83570=LINE('',#504783,#121489); #83571=LINE('',#504786,#121490); #83572=LINE('',#504788,#121491); #83573=LINE('',#504789,#121492); #83574=LINE('',#504792,#121493); #83575=LINE('',#504794,#121494); #83576=LINE('',#504795,#121495); #83577=LINE('',#504798,#121496); #83578=LINE('',#504800,#121497); #83579=LINE('',#504801,#121498); #83580=LINE('',#504804,#121499); #83581=LINE('',#504806,#121500); #83582=LINE('',#504807,#121501); #83583=LINE('',#504810,#121502); #83584=LINE('',#504812,#121503); #83585=LINE('',#504813,#121504); #83586=LINE('',#504816,#121505); #83587=LINE('',#504818,#121506); #83588=LINE('',#504819,#121507); #83589=LINE('',#504822,#121508); #83590=LINE('',#504824,#121509); #83591=LINE('',#504825,#121510); #83592=LINE('',#504828,#121511); #83593=LINE('',#504830,#121512); #83594=LINE('',#504831,#121513); #83595=LINE('',#504834,#121514); #83596=LINE('',#504836,#121515); #83597=LINE('',#504837,#121516); #83598=LINE('',#504840,#121517); #83599=LINE('',#504842,#121518); #83600=LINE('',#504843,#121519); #83601=LINE('',#504846,#121520); #83602=LINE('',#504848,#121521); #83603=LINE('',#504849,#121522); #83604=LINE('',#504852,#121523); #83605=LINE('',#504854,#121524); #83606=LINE('',#504855,#121525); #83607=LINE('',#504858,#121526); #83608=LINE('',#504860,#121527); #83609=LINE('',#504861,#121528); #83610=LINE('',#504864,#121529); #83611=LINE('',#504866,#121530); #83612=LINE('',#504867,#121531); #83613=LINE('',#504870,#121532); #83614=LINE('',#504872,#121533); #83615=LINE('',#504873,#121534); #83616=LINE('',#504876,#121535); #83617=LINE('',#504878,#121536); #83618=LINE('',#504879,#121537); #83619=LINE('',#504882,#121538); #83620=LINE('',#504884,#121539); #83621=LINE('',#504885,#121540); #83622=LINE('',#504888,#121541); #83623=LINE('',#504890,#121542); #83624=LINE('',#504891,#121543); #83625=LINE('',#504894,#121544); #83626=LINE('',#504896,#121545); #83627=LINE('',#504897,#121546); #83628=LINE('',#504900,#121547); #83629=LINE('',#504902,#121548); #83630=LINE('',#504903,#121549); #83631=LINE('',#504906,#121550); #83632=LINE('',#504908,#121551); #83633=LINE('',#504909,#121552); #83634=LINE('',#504912,#121553); #83635=LINE('',#504914,#121554); #83636=LINE('',#504915,#121555); #83637=LINE('',#504918,#121556); #83638=LINE('',#504920,#121557); #83639=LINE('',#504921,#121558); #83640=LINE('',#504924,#121559); #83641=LINE('',#504926,#121560); #83642=LINE('',#504927,#121561); #83643=LINE('',#504930,#121562); #83644=LINE('',#504932,#121563); #83645=LINE('',#504933,#121564); #83646=LINE('',#504936,#121565); #83647=LINE('',#504938,#121566); #83648=LINE('',#504939,#121567); #83649=LINE('',#504942,#121568); #83650=LINE('',#504944,#121569); #83651=LINE('',#504945,#121570); #83652=LINE('',#504948,#121571); #83653=LINE('',#504950,#121572); #83654=LINE('',#504951,#121573); #83655=LINE('',#504954,#121574); #83656=LINE('',#504956,#121575); #83657=LINE('',#504957,#121576); #83658=LINE('',#504960,#121577); #83659=LINE('',#504962,#121578); #83660=LINE('',#504963,#121579); #83661=LINE('',#504966,#121580); #83662=LINE('',#504968,#121581); #83663=LINE('',#504969,#121582); #83664=LINE('',#504972,#121583); #83665=LINE('',#504974,#121584); #83666=LINE('',#504975,#121585); #83667=LINE('',#504978,#121586); #83668=LINE('',#504980,#121587); #83669=LINE('',#504981,#121588); #83670=LINE('',#504984,#121589); #83671=LINE('',#504986,#121590); #83672=LINE('',#504987,#121591); #83673=LINE('',#504990,#121592); #83674=LINE('',#504992,#121593); #83675=LINE('',#504993,#121594); #83676=LINE('',#504996,#121595); #83677=LINE('',#504998,#121596); #83678=LINE('',#504999,#121597); #83679=LINE('',#505002,#121598); #83680=LINE('',#505004,#121599); #83681=LINE('',#505005,#121600); #83682=LINE('',#505008,#121601); #83683=LINE('',#505010,#121602); #83684=LINE('',#505011,#121603); #83685=LINE('',#505014,#121604); #83686=LINE('',#505016,#121605); #83687=LINE('',#505017,#121606); #83688=LINE('',#505020,#121607); #83689=LINE('',#505022,#121608); #83690=LINE('',#505023,#121609); #83691=LINE('',#505026,#121610); #83692=LINE('',#505028,#121611); #83693=LINE('',#505029,#121612); #83694=LINE('',#505032,#121613); #83695=LINE('',#505034,#121614); #83696=LINE('',#505035,#121615); #83697=LINE('',#505038,#121616); #83698=LINE('',#505040,#121617); #83699=LINE('',#505041,#121618); #83700=LINE('',#505044,#121619); #83701=LINE('',#505046,#121620); #83702=LINE('',#505047,#121621); #83703=LINE('',#505050,#121622); #83704=LINE('',#505052,#121623); #83705=LINE('',#505053,#121624); #83706=LINE('',#505056,#121625); #83707=LINE('',#505058,#121626); #83708=LINE('',#505059,#121627); #83709=LINE('',#505062,#121628); #83710=LINE('',#505064,#121629); #83711=LINE('',#505065,#121630); #83712=LINE('',#505068,#121631); #83713=LINE('',#505070,#121632); #83714=LINE('',#505071,#121633); #83715=LINE('',#505074,#121634); #83716=LINE('',#505076,#121635); #83717=LINE('',#505077,#121636); #83718=LINE('',#505080,#121637); #83719=LINE('',#505082,#121638); #83720=LINE('',#505083,#121639); #83721=LINE('',#505086,#121640); #83722=LINE('',#505088,#121641); #83723=LINE('',#505089,#121642); #83724=LINE('',#505092,#121643); #83725=LINE('',#505094,#121644); #83726=LINE('',#505095,#121645); #83727=LINE('',#505098,#121646); #83728=LINE('',#505100,#121647); #83729=LINE('',#505101,#121648); #83730=LINE('',#505104,#121649); #83731=LINE('',#505106,#121650); #83732=LINE('',#505107,#121651); #83733=LINE('',#505110,#121652); #83734=LINE('',#505112,#121653); #83735=LINE('',#505113,#121654); #83736=LINE('',#505116,#121655); #83737=LINE('',#505118,#121656); #83738=LINE('',#505119,#121657); #83739=LINE('',#505122,#121658); #83740=LINE('',#505124,#121659); #83741=LINE('',#505125,#121660); #83742=LINE('',#505128,#121661); #83743=LINE('',#505130,#121662); #83744=LINE('',#505131,#121663); #83745=LINE('',#505134,#121664); #83746=LINE('',#505136,#121665); #83747=LINE('',#505137,#121666); #83748=LINE('',#505140,#121667); #83749=LINE('',#505142,#121668); #83750=LINE('',#505143,#121669); #83751=LINE('',#505146,#121670); #83752=LINE('',#505148,#121671); #83753=LINE('',#505149,#121672); #83754=LINE('',#505152,#121673); #83755=LINE('',#505154,#121674); #83756=LINE('',#505155,#121675); #83757=LINE('',#505158,#121676); #83758=LINE('',#505160,#121677); #83759=LINE('',#505161,#121678); #83760=LINE('',#505164,#121679); #83761=LINE('',#505166,#121680); #83762=LINE('',#505167,#121681); #83763=LINE('',#505170,#121682); #83764=LINE('',#505172,#121683); #83765=LINE('',#505173,#121684); #83766=LINE('',#505176,#121685); #83767=LINE('',#505178,#121686); #83768=LINE('',#505179,#121687); #83769=LINE('',#505182,#121688); #83770=LINE('',#505184,#121689); #83771=LINE('',#505185,#121690); #83772=LINE('',#505188,#121691); #83773=LINE('',#505190,#121692); #83774=LINE('',#505191,#121693); #83775=LINE('',#505194,#121694); #83776=LINE('',#505196,#121695); #83777=LINE('',#505197,#121696); #83778=LINE('',#505200,#121697); #83779=LINE('',#505202,#121698); #83780=LINE('',#505203,#121699); #83781=LINE('',#505206,#121700); #83782=LINE('',#505208,#121701); #83783=LINE('',#505209,#121702); #83784=LINE('',#505212,#121703); #83785=LINE('',#505214,#121704); #83786=LINE('',#505215,#121705); #83787=LINE('',#505218,#121706); #83788=LINE('',#505220,#121707); #83789=LINE('',#505221,#121708); #83790=LINE('',#505224,#121709); #83791=LINE('',#505226,#121710); #83792=LINE('',#505227,#121711); #83793=LINE('',#505230,#121712); #83794=LINE('',#505232,#121713); #83795=LINE('',#505233,#121714); #83796=LINE('',#505236,#121715); #83797=LINE('',#505238,#121716); #83798=LINE('',#505239,#121717); #83799=LINE('',#505242,#121718); #83800=LINE('',#505244,#121719); #83801=LINE('',#505245,#121720); #83802=LINE('',#505248,#121721); #83803=LINE('',#505250,#121722); #83804=LINE('',#505251,#121723); #83805=LINE('',#505254,#121724); #83806=LINE('',#505256,#121725); #83807=LINE('',#505257,#121726); #83808=LINE('',#505260,#121727); #83809=LINE('',#505262,#121728); #83810=LINE('',#505263,#121729); #83811=LINE('',#505266,#121730); #83812=LINE('',#505268,#121731); #83813=LINE('',#505269,#121732); #83814=LINE('',#505272,#121733); #83815=LINE('',#505274,#121734); #83816=LINE('',#505275,#121735); #83817=LINE('',#505278,#121736); #83818=LINE('',#505280,#121737); #83819=LINE('',#505281,#121738); #83820=LINE('',#505284,#121739); #83821=LINE('',#505286,#121740); #83822=LINE('',#505287,#121741); #83823=LINE('',#505290,#121742); #83824=LINE('',#505292,#121743); #83825=LINE('',#505293,#121744); #83826=LINE('',#505296,#121745); #83827=LINE('',#505298,#121746); #83828=LINE('',#505299,#121747); #83829=LINE('',#505302,#121748); #83830=LINE('',#505304,#121749); #83831=LINE('',#505305,#121750); #83832=LINE('',#505308,#121751); #83833=LINE('',#505310,#121752); #83834=LINE('',#505311,#121753); #83835=LINE('',#505314,#121754); #83836=LINE('',#505316,#121755); #83837=LINE('',#505317,#121756); #83838=LINE('',#505320,#121757); #83839=LINE('',#505322,#121758); #83840=LINE('',#505323,#121759); #83841=LINE('',#505326,#121760); #83842=LINE('',#505328,#121761); #83843=LINE('',#505329,#121762); #83844=LINE('',#505332,#121763); #83845=LINE('',#505334,#121764); #83846=LINE('',#505335,#121765); #83847=LINE('',#505338,#121766); #83848=LINE('',#505340,#121767); #83849=LINE('',#505341,#121768); #83850=LINE('',#505344,#121769); #83851=LINE('',#505346,#121770); #83852=LINE('',#505347,#121771); #83853=LINE('',#505350,#121772); #83854=LINE('',#505352,#121773); #83855=LINE('',#505353,#121774); #83856=LINE('',#505356,#121775); #83857=LINE('',#505358,#121776); #83858=LINE('',#505359,#121777); #83859=LINE('',#505362,#121778); #83860=LINE('',#505364,#121779); #83861=LINE('',#505365,#121780); #83862=LINE('',#505368,#121781); #83863=LINE('',#505370,#121782); #83864=LINE('',#505371,#121783); #83865=LINE('',#505374,#121784); #83866=LINE('',#505376,#121785); #83867=LINE('',#505377,#121786); #83868=LINE('',#505380,#121787); #83869=LINE('',#505382,#121788); #83870=LINE('',#505383,#121789); #83871=LINE('',#505386,#121790); #83872=LINE('',#505388,#121791); #83873=LINE('',#505389,#121792); #83874=LINE('',#505392,#121793); #83875=LINE('',#505394,#121794); #83876=LINE('',#505395,#121795); #83877=LINE('',#505398,#121796); #83878=LINE('',#505400,#121797); #83879=LINE('',#505401,#121798); #83880=LINE('',#505404,#121799); #83881=LINE('',#505406,#121800); #83882=LINE('',#505407,#121801); #83883=LINE('',#505410,#121802); #83884=LINE('',#505412,#121803); #83885=LINE('',#505413,#121804); #83886=LINE('',#505416,#121805); #83887=LINE('',#505418,#121806); #83888=LINE('',#505419,#121807); #83889=LINE('',#505422,#121808); #83890=LINE('',#505424,#121809); #83891=LINE('',#505425,#121810); #83892=LINE('',#505428,#121811); #83893=LINE('',#505430,#121812); #83894=LINE('',#505431,#121813); #83895=LINE('',#505434,#121814); #83896=LINE('',#505436,#121815); #83897=LINE('',#505437,#121816); #83898=LINE('',#505440,#121817); #83899=LINE('',#505442,#121818); #83900=LINE('',#505443,#121819); #83901=LINE('',#505446,#121820); #83902=LINE('',#505448,#121821); #83903=LINE('',#505449,#121822); #83904=LINE('',#505452,#121823); #83905=LINE('',#505454,#121824); #83906=LINE('',#505455,#121825); #83907=LINE('',#505458,#121826); #83908=LINE('',#505460,#121827); #83909=LINE('',#505461,#121828); #83910=LINE('',#505464,#121829); #83911=LINE('',#505466,#121830); #83912=LINE('',#505467,#121831); #83913=LINE('',#505470,#121832); #83914=LINE('',#505472,#121833); #83915=LINE('',#505473,#121834); #83916=LINE('',#505476,#121835); #83917=LINE('',#505478,#121836); #83918=LINE('',#505479,#121837); #83919=LINE('',#505482,#121838); #83920=LINE('',#505484,#121839); #83921=LINE('',#505485,#121840); #83922=LINE('',#505488,#121841); #83923=LINE('',#505490,#121842); #83924=LINE('',#505491,#121843); #83925=LINE('',#505494,#121844); #83926=LINE('',#505496,#121845); #83927=LINE('',#505497,#121846); #83928=LINE('',#505500,#121847); #83929=LINE('',#505502,#121848); #83930=LINE('',#505503,#121849); #83931=LINE('',#505506,#121850); #83932=LINE('',#505508,#121851); #83933=LINE('',#505509,#121852); #83934=LINE('',#505512,#121853); #83935=LINE('',#505514,#121854); #83936=LINE('',#505515,#121855); #83937=LINE('',#505518,#121856); #83938=LINE('',#505520,#121857); #83939=LINE('',#505521,#121858); #83940=LINE('',#505524,#121859); #83941=LINE('',#505526,#121860); #83942=LINE('',#505527,#121861); #83943=LINE('',#505530,#121862); #83944=LINE('',#505532,#121863); #83945=LINE('',#505533,#121864); #83946=LINE('',#505536,#121865); #83947=LINE('',#505538,#121866); #83948=LINE('',#505539,#121867); #83949=LINE('',#505542,#121868); #83950=LINE('',#505544,#121869); #83951=LINE('',#505545,#121870); #83952=LINE('',#505548,#121871); #83953=LINE('',#505550,#121872); #83954=LINE('',#505551,#121873); #83955=LINE('',#505554,#121874); #83956=LINE('',#505556,#121875); #83957=LINE('',#505557,#121876); #83958=LINE('',#505560,#121877); #83959=LINE('',#505562,#121878); #83960=LINE('',#505563,#121879); #83961=LINE('',#505566,#121880); #83962=LINE('',#505568,#121881); #83963=LINE('',#505569,#121882); #83964=LINE('',#505572,#121883); #83965=LINE('',#505574,#121884); #83966=LINE('',#505575,#121885); #83967=LINE('',#505578,#121886); #83968=LINE('',#505580,#121887); #83969=LINE('',#505581,#121888); #83970=LINE('',#505584,#121889); #83971=LINE('',#505586,#121890); #83972=LINE('',#505587,#121891); #83973=LINE('',#505590,#121892); #83974=LINE('',#505592,#121893); #83975=LINE('',#505593,#121894); #83976=LINE('',#505596,#121895); #83977=LINE('',#505598,#121896); #83978=LINE('',#505599,#121897); #83979=LINE('',#505602,#121898); #83980=LINE('',#505604,#121899); #83981=LINE('',#505605,#121900); #83982=LINE('',#505608,#121901); #83983=LINE('',#505610,#121902); #83984=LINE('',#505611,#121903); #83985=LINE('',#505614,#121904); #83986=LINE('',#505616,#121905); #83987=LINE('',#505617,#121906); #83988=LINE('',#505620,#121907); #83989=LINE('',#505622,#121908); #83990=LINE('',#505623,#121909); #83991=LINE('',#505626,#121910); #83992=LINE('',#505628,#121911); #83993=LINE('',#505629,#121912); #83994=LINE('',#505632,#121913); #83995=LINE('',#505634,#121914); #83996=LINE('',#505635,#121915); #83997=LINE('',#505638,#121916); #83998=LINE('',#505640,#121917); #83999=LINE('',#505641,#121918); #84000=LINE('',#505644,#121919); #84001=LINE('',#505646,#121920); #84002=LINE('',#505647,#121921); #84003=LINE('',#505650,#121922); #84004=LINE('',#505652,#121923); #84005=LINE('',#505653,#121924); #84006=LINE('',#505656,#121925); #84007=LINE('',#505658,#121926); #84008=LINE('',#505659,#121927); #84009=LINE('',#505662,#121928); #84010=LINE('',#505664,#121929); #84011=LINE('',#505665,#121930); #84012=LINE('',#505668,#121931); #84013=LINE('',#505670,#121932); #84014=LINE('',#505671,#121933); #84015=LINE('',#505674,#121934); #84016=LINE('',#505676,#121935); #84017=LINE('',#505677,#121936); #84018=LINE('',#505680,#121937); #84019=LINE('',#505682,#121938); #84020=LINE('',#505683,#121939); #84021=LINE('',#505686,#121940); #84022=LINE('',#505688,#121941); #84023=LINE('',#505689,#121942); #84024=LINE('',#505692,#121943); #84025=LINE('',#505694,#121944); #84026=LINE('',#505695,#121945); #84027=LINE('',#505698,#121946); #84028=LINE('',#505700,#121947); #84029=LINE('',#505701,#121948); #84030=LINE('',#505704,#121949); #84031=LINE('',#505706,#121950); #84032=LINE('',#505707,#121951); #84033=LINE('',#505710,#121952); #84034=LINE('',#505712,#121953); #84035=LINE('',#505713,#121954); #84036=LINE('',#505716,#121955); #84037=LINE('',#505718,#121956); #84038=LINE('',#505719,#121957); #84039=LINE('',#505722,#121958); #84040=LINE('',#505724,#121959); #84041=LINE('',#505725,#121960); #84042=LINE('',#505728,#121961); #84043=LINE('',#505730,#121962); #84044=LINE('',#505731,#121963); #84045=LINE('',#505734,#121964); #84046=LINE('',#505736,#121965); #84047=LINE('',#505737,#121966); #84048=LINE('',#505740,#121967); #84049=LINE('',#505742,#121968); #84050=LINE('',#505743,#121969); #84051=LINE('',#505746,#121970); #84052=LINE('',#505748,#121971); #84053=LINE('',#505749,#121972); #84054=LINE('',#505752,#121973); #84055=LINE('',#505754,#121974); #84056=LINE('',#505755,#121975); #84057=LINE('',#505758,#121976); #84058=LINE('',#505760,#121977); #84059=LINE('',#505761,#121978); #84060=LINE('',#505764,#121979); #84061=LINE('',#505766,#121980); #84062=LINE('',#505767,#121981); #84063=LINE('',#505770,#121982); #84064=LINE('',#505772,#121983); #84065=LINE('',#505773,#121984); #84066=LINE('',#505776,#121985); #84067=LINE('',#505778,#121986); #84068=LINE('',#505779,#121987); #84069=LINE('',#505782,#121988); #84070=LINE('',#505784,#121989); #84071=LINE('',#505785,#121990); #84072=LINE('',#505788,#121991); #84073=LINE('',#505790,#121992); #84074=LINE('',#505791,#121993); #84075=LINE('',#505794,#121994); #84076=LINE('',#505796,#121995); #84077=LINE('',#505797,#121996); #84078=LINE('',#505800,#121997); #84079=LINE('',#505802,#121998); #84080=LINE('',#505803,#121999); #84081=LINE('',#505806,#122000); #84082=LINE('',#505808,#122001); #84083=LINE('',#505809,#122002); #84084=LINE('',#505812,#122003); #84085=LINE('',#505814,#122004); #84086=LINE('',#505815,#122005); #84087=LINE('',#505818,#122006); #84088=LINE('',#505820,#122007); #84089=LINE('',#505821,#122008); #84090=LINE('',#505824,#122009); #84091=LINE('',#505826,#122010); #84092=LINE('',#505827,#122011); #84093=LINE('',#505830,#122012); #84094=LINE('',#505832,#122013); #84095=LINE('',#505833,#122014); #84096=LINE('',#505836,#122015); #84097=LINE('',#505838,#122016); #84098=LINE('',#505839,#122017); #84099=LINE('',#505842,#122018); #84100=LINE('',#505844,#122019); #84101=LINE('',#505845,#122020); #84102=LINE('',#505848,#122021); #84103=LINE('',#505850,#122022); #84104=LINE('',#505851,#122023); #84105=LINE('',#505854,#122024); #84106=LINE('',#505856,#122025); #84107=LINE('',#505857,#122026); #84108=LINE('',#505860,#122027); #84109=LINE('',#505862,#122028); #84110=LINE('',#505863,#122029); #84111=LINE('',#505866,#122030); #84112=LINE('',#505868,#122031); #84113=LINE('',#505869,#122032); #84114=LINE('',#505872,#122033); #84115=LINE('',#505874,#122034); #84116=LINE('',#505875,#122035); #84117=LINE('',#505878,#122036); #84118=LINE('',#505880,#122037); #84119=LINE('',#505881,#122038); #84120=LINE('',#505884,#122039); #84121=LINE('',#505886,#122040); #84122=LINE('',#505887,#122041); #84123=LINE('',#505890,#122042); #84124=LINE('',#505892,#122043); #84125=LINE('',#505893,#122044); #84126=LINE('',#505896,#122045); #84127=LINE('',#505898,#122046); #84128=LINE('',#505899,#122047); #84129=LINE('',#505902,#122048); #84130=LINE('',#505904,#122049); #84131=LINE('',#505905,#122050); #84132=LINE('',#505908,#122051); #84133=LINE('',#505910,#122052); #84134=LINE('',#505911,#122053); #84135=LINE('',#505914,#122054); #84136=LINE('',#505916,#122055); #84137=LINE('',#505917,#122056); #84138=LINE('',#505920,#122057); #84139=LINE('',#505922,#122058); #84140=LINE('',#505923,#122059); #84141=LINE('',#505926,#122060); #84142=LINE('',#505928,#122061); #84143=LINE('',#505929,#122062); #84144=LINE('',#505932,#122063); #84145=LINE('',#505934,#122064); #84146=LINE('',#505935,#122065); #84147=LINE('',#505938,#122066); #84148=LINE('',#505940,#122067); #84149=LINE('',#505941,#122068); #84150=LINE('',#505944,#122069); #84151=LINE('',#505946,#122070); #84152=LINE('',#505947,#122071); #84153=LINE('',#505950,#122072); #84154=LINE('',#505952,#122073); #84155=LINE('',#505953,#122074); #84156=LINE('',#505956,#122075); #84157=LINE('',#505958,#122076); #84158=LINE('',#505959,#122077); #84159=LINE('',#505962,#122078); #84160=LINE('',#505964,#122079); #84161=LINE('',#505965,#122080); #84162=LINE('',#505968,#122081); #84163=LINE('',#505970,#122082); #84164=LINE('',#505971,#122083); #84165=LINE('',#505974,#122084); #84166=LINE('',#505976,#122085); #84167=LINE('',#505977,#122086); #84168=LINE('',#505980,#122087); #84169=LINE('',#505982,#122088); #84170=LINE('',#505983,#122089); #84171=LINE('',#505986,#122090); #84172=LINE('',#505988,#122091); #84173=LINE('',#505989,#122092); #84174=LINE('',#505992,#122093); #84175=LINE('',#505994,#122094); #84176=LINE('',#505995,#122095); #84177=LINE('',#505998,#122096); #84178=LINE('',#506000,#122097); #84179=LINE('',#506001,#122098); #84180=LINE('',#506004,#122099); #84181=LINE('',#506006,#122100); #84182=LINE('',#506007,#122101); #84183=LINE('',#506010,#122102); #84184=LINE('',#506012,#122103); #84185=LINE('',#506013,#122104); #84186=LINE('',#506016,#122105); #84187=LINE('',#506018,#122106); #84188=LINE('',#506019,#122107); #84189=LINE('',#506022,#122108); #84190=LINE('',#506024,#122109); #84191=LINE('',#506025,#122110); #84192=LINE('',#506028,#122111); #84193=LINE('',#506030,#122112); #84194=LINE('',#506031,#122113); #84195=LINE('',#506034,#122114); #84196=LINE('',#506036,#122115); #84197=LINE('',#506037,#122116); #84198=LINE('',#506040,#122117); #84199=LINE('',#506042,#122118); #84200=LINE('',#506043,#122119); #84201=LINE('',#506046,#122120); #84202=LINE('',#506048,#122121); #84203=LINE('',#506049,#122122); #84204=LINE('',#506052,#122123); #84205=LINE('',#506054,#122124); #84206=LINE('',#506055,#122125); #84207=LINE('',#506058,#122126); #84208=LINE('',#506060,#122127); #84209=LINE('',#506061,#122128); #84210=LINE('',#506064,#122129); #84211=LINE('',#506066,#122130); #84212=LINE('',#506067,#122131); #84213=LINE('',#506070,#122132); #84214=LINE('',#506072,#122133); #84215=LINE('',#506073,#122134); #84216=LINE('',#506076,#122135); #84217=LINE('',#506078,#122136); #84218=LINE('',#506079,#122137); #84219=LINE('',#506082,#122138); #84220=LINE('',#506084,#122139); #84221=LINE('',#506085,#122140); #84222=LINE('',#506088,#122141); #84223=LINE('',#506090,#122142); #84224=LINE('',#506091,#122143); #84225=LINE('',#506094,#122144); #84226=LINE('',#506096,#122145); #84227=LINE('',#506097,#122146); #84228=LINE('',#506100,#122147); #84229=LINE('',#506102,#122148); #84230=LINE('',#506103,#122149); #84231=LINE('',#506106,#122150); #84232=LINE('',#506108,#122151); #84233=LINE('',#506109,#122152); #84234=LINE('',#506112,#122153); #84235=LINE('',#506114,#122154); #84236=LINE('',#506115,#122155); #84237=LINE('',#506118,#122156); #84238=LINE('',#506120,#122157); #84239=LINE('',#506121,#122158); #84240=LINE('',#506124,#122159); #84241=LINE('',#506126,#122160); #84242=LINE('',#506127,#122161); #84243=LINE('',#506130,#122162); #84244=LINE('',#506132,#122163); #84245=LINE('',#506133,#122164); #84246=LINE('',#506136,#122165); #84247=LINE('',#506138,#122166); #84248=LINE('',#506139,#122167); #84249=LINE('',#506142,#122168); #84250=LINE('',#506144,#122169); #84251=LINE('',#506145,#122170); #84252=LINE('',#506148,#122171); #84253=LINE('',#506150,#122172); #84254=LINE('',#506151,#122173); #84255=LINE('',#506154,#122174); #84256=LINE('',#506156,#122175); #84257=LINE('',#506157,#122176); #84258=LINE('',#506160,#122177); #84259=LINE('',#506162,#122178); #84260=LINE('',#506163,#122179); #84261=LINE('',#506166,#122180); #84262=LINE('',#506168,#122181); #84263=LINE('',#506169,#122182); #84264=LINE('',#506172,#122183); #84265=LINE('',#506174,#122184); #84266=LINE('',#506175,#122185); #84267=LINE('',#506178,#122186); #84268=LINE('',#506180,#122187); #84269=LINE('',#506181,#122188); #84270=LINE('',#506184,#122189); #84271=LINE('',#506186,#122190); #84272=LINE('',#506187,#122191); #84273=LINE('',#506190,#122192); #84274=LINE('',#506192,#122193); #84275=LINE('',#506193,#122194); #84276=LINE('',#506196,#122195); #84277=LINE('',#506198,#122196); #84278=LINE('',#506199,#122197); #84279=LINE('',#506202,#122198); #84280=LINE('',#506204,#122199); #84281=LINE('',#506205,#122200); #84282=LINE('',#506208,#122201); #84283=LINE('',#506210,#122202); #84284=LINE('',#506211,#122203); #84285=LINE('',#506214,#122204); #84286=LINE('',#506216,#122205); #84287=LINE('',#506217,#122206); #84288=LINE('',#506220,#122207); #84289=LINE('',#506222,#122208); #84290=LINE('',#506223,#122209); #84291=LINE('',#506226,#122210); #84292=LINE('',#506228,#122211); #84293=LINE('',#506229,#122212); #84294=LINE('',#506232,#122213); #84295=LINE('',#506234,#122214); #84296=LINE('',#506235,#122215); #84297=LINE('',#506238,#122216); #84298=LINE('',#506240,#122217); #84299=LINE('',#506241,#122218); #84300=LINE('',#506244,#122219); #84301=LINE('',#506246,#122220); #84302=LINE('',#506247,#122221); #84303=LINE('',#506250,#122222); #84304=LINE('',#506252,#122223); #84305=LINE('',#506253,#122224); #84306=LINE('',#506256,#122225); #84307=LINE('',#506258,#122226); #84308=LINE('',#506259,#122227); #84309=LINE('',#506262,#122228); #84310=LINE('',#506264,#122229); #84311=LINE('',#506265,#122230); #84312=LINE('',#506268,#122231); #84313=LINE('',#506270,#122232); #84314=LINE('',#506271,#122233); #84315=LINE('',#506274,#122234); #84316=LINE('',#506276,#122235); #84317=LINE('',#506277,#122236); #84318=LINE('',#506280,#122237); #84319=LINE('',#506282,#122238); #84320=LINE('',#506283,#122239); #84321=LINE('',#506286,#122240); #84322=LINE('',#506288,#122241); #84323=LINE('',#506289,#122242); #84324=LINE('',#506292,#122243); #84325=LINE('',#506294,#122244); #84326=LINE('',#506295,#122245); #84327=LINE('',#506298,#122246); #84328=LINE('',#506300,#122247); #84329=LINE('',#506301,#122248); #84330=LINE('',#506304,#122249); #84331=LINE('',#506306,#122250); #84332=LINE('',#506307,#122251); #84333=LINE('',#506310,#122252); #84334=LINE('',#506312,#122253); #84335=LINE('',#506313,#122254); #84336=LINE('',#506316,#122255); #84337=LINE('',#506318,#122256); #84338=LINE('',#506319,#122257); #84339=LINE('',#506322,#122258); #84340=LINE('',#506324,#122259); #84341=LINE('',#506325,#122260); #84342=LINE('',#506328,#122261); #84343=LINE('',#506330,#122262); #84344=LINE('',#506331,#122263); #84345=LINE('',#506334,#122264); #84346=LINE('',#506336,#122265); #84347=LINE('',#506337,#122266); #84348=LINE('',#506340,#122267); #84349=LINE('',#506342,#122268); #84350=LINE('',#506343,#122269); #84351=LINE('',#506346,#122270); #84352=LINE('',#506348,#122271); #84353=LINE('',#506349,#122272); #84354=LINE('',#506352,#122273); #84355=LINE('',#506354,#122274); #84356=LINE('',#506355,#122275); #84357=LINE('',#506358,#122276); #84358=LINE('',#506360,#122277); #84359=LINE('',#506361,#122278); #84360=LINE('',#506364,#122279); #84361=LINE('',#506366,#122280); #84362=LINE('',#506367,#122281); #84363=LINE('',#506370,#122282); #84364=LINE('',#506372,#122283); #84365=LINE('',#506373,#122284); #84366=LINE('',#506376,#122285); #84367=LINE('',#506378,#122286); #84368=LINE('',#506379,#122287); #84369=LINE('',#506382,#122288); #84370=LINE('',#506384,#122289); #84371=LINE('',#506385,#122290); #84372=LINE('',#506388,#122291); #84373=LINE('',#506390,#122292); #84374=LINE('',#506391,#122293); #84375=LINE('',#506394,#122294); #84376=LINE('',#506396,#122295); #84377=LINE('',#506397,#122296); #84378=LINE('',#506400,#122297); #84379=LINE('',#506402,#122298); #84380=LINE('',#506403,#122299); #84381=LINE('',#506406,#122300); #84382=LINE('',#506408,#122301); #84383=LINE('',#506409,#122302); #84384=LINE('',#506412,#122303); #84385=LINE('',#506414,#122304); #84386=LINE('',#506415,#122305); #84387=LINE('',#506418,#122306); #84388=LINE('',#506420,#122307); #84389=LINE('',#506421,#122308); #84390=LINE('',#506424,#122309); #84391=LINE('',#506426,#122310); #84392=LINE('',#506427,#122311); #84393=LINE('',#506430,#122312); #84394=LINE('',#506432,#122313); #84395=LINE('',#506433,#122314); #84396=LINE('',#506436,#122315); #84397=LINE('',#506438,#122316); #84398=LINE('',#506439,#122317); #84399=LINE('',#506442,#122318); #84400=LINE('',#506444,#122319); #84401=LINE('',#506445,#122320); #84402=LINE('',#506448,#122321); #84403=LINE('',#506450,#122322); #84404=LINE('',#506451,#122323); #84405=LINE('',#506454,#122324); #84406=LINE('',#506456,#122325); #84407=LINE('',#506457,#122326); #84408=LINE('',#506460,#122327); #84409=LINE('',#506462,#122328); #84410=LINE('',#506463,#122329); #84411=LINE('',#506466,#122330); #84412=LINE('',#506468,#122331); #84413=LINE('',#506469,#122332); #84414=LINE('',#506472,#122333); #84415=LINE('',#506474,#122334); #84416=LINE('',#506475,#122335); #84417=LINE('',#506478,#122336); #84418=LINE('',#506480,#122337); #84419=LINE('',#506481,#122338); #84420=LINE('',#506484,#122339); #84421=LINE('',#506486,#122340); #84422=LINE('',#506487,#122341); #84423=LINE('',#506490,#122342); #84424=LINE('',#506492,#122343); #84425=LINE('',#506493,#122344); #84426=LINE('',#506496,#122345); #84427=LINE('',#506498,#122346); #84428=LINE('',#506499,#122347); #84429=LINE('',#506502,#122348); #84430=LINE('',#506504,#122349); #84431=LINE('',#506505,#122350); #84432=LINE('',#506508,#122351); #84433=LINE('',#506510,#122352); #84434=LINE('',#506511,#122353); #84435=LINE('',#506514,#122354); #84436=LINE('',#506516,#122355); #84437=LINE('',#506517,#122356); #84438=LINE('',#506520,#122357); #84439=LINE('',#506522,#122358); #84440=LINE('',#506523,#122359); #84441=LINE('',#506526,#122360); #84442=LINE('',#506528,#122361); #84443=LINE('',#506529,#122362); #84444=LINE('',#506532,#122363); #84445=LINE('',#506534,#122364); #84446=LINE('',#506535,#122365); #84447=LINE('',#506538,#122366); #84448=LINE('',#506540,#122367); #84449=LINE('',#506541,#122368); #84450=LINE('',#506544,#122369); #84451=LINE('',#506546,#122370); #84452=LINE('',#506547,#122371); #84453=LINE('',#506550,#122372); #84454=LINE('',#506552,#122373); #84455=LINE('',#506553,#122374); #84456=LINE('',#506556,#122375); #84457=LINE('',#506558,#122376); #84458=LINE('',#506559,#122377); #84459=LINE('',#506562,#122378); #84460=LINE('',#506564,#122379); #84461=LINE('',#506565,#122380); #84462=LINE('',#506568,#122381); #84463=LINE('',#506570,#122382); #84464=LINE('',#506571,#122383); #84465=LINE('',#506574,#122384); #84466=LINE('',#506576,#122385); #84467=LINE('',#506577,#122386); #84468=LINE('',#506580,#122387); #84469=LINE('',#506582,#122388); #84470=LINE('',#506583,#122389); #84471=LINE('',#506586,#122390); #84472=LINE('',#506588,#122391); #84473=LINE('',#506589,#122392); #84474=LINE('',#506592,#122393); #84475=LINE('',#506594,#122394); #84476=LINE('',#506595,#122395); #84477=LINE('',#506598,#122396); #84478=LINE('',#506600,#122397); #84479=LINE('',#506601,#122398); #84480=LINE('',#506604,#122399); #84481=LINE('',#506606,#122400); #84482=LINE('',#506607,#122401); #84483=LINE('',#506610,#122402); #84484=LINE('',#506612,#122403); #84485=LINE('',#506613,#122404); #84486=LINE('',#506616,#122405); #84487=LINE('',#506618,#122406); #84488=LINE('',#506619,#122407); #84489=LINE('',#506622,#122408); #84490=LINE('',#506624,#122409); #84491=LINE('',#506625,#122410); #84492=LINE('',#506628,#122411); #84493=LINE('',#506630,#122412); #84494=LINE('',#506631,#122413); #84495=LINE('',#506634,#122414); #84496=LINE('',#506636,#122415); #84497=LINE('',#506637,#122416); #84498=LINE('',#506640,#122417); #84499=LINE('',#506642,#122418); #84500=LINE('',#506643,#122419); #84501=LINE('',#506646,#122420); #84502=LINE('',#506648,#122421); #84503=LINE('',#506649,#122422); #84504=LINE('',#506652,#122423); #84505=LINE('',#506654,#122424); #84506=LINE('',#506655,#122425); #84507=LINE('',#506658,#122426); #84508=LINE('',#506660,#122427); #84509=LINE('',#506661,#122428); #84510=LINE('',#506664,#122429); #84511=LINE('',#506666,#122430); #84512=LINE('',#506667,#122431); #84513=LINE('',#506670,#122432); #84514=LINE('',#506672,#122433); #84515=LINE('',#506673,#122434); #84516=LINE('',#506676,#122435); #84517=LINE('',#506678,#122436); #84518=LINE('',#506679,#122437); #84519=LINE('',#506682,#122438); #84520=LINE('',#506684,#122439); #84521=LINE('',#506685,#122440); #84522=LINE('',#506688,#122441); #84523=LINE('',#506690,#122442); #84524=LINE('',#506691,#122443); #84525=LINE('',#506694,#122444); #84526=LINE('',#506696,#122445); #84527=LINE('',#506697,#122446); #84528=LINE('',#506700,#122447); #84529=LINE('',#506702,#122448); #84530=LINE('',#506703,#122449); #84531=LINE('',#506706,#122450); #84532=LINE('',#506708,#122451); #84533=LINE('',#506709,#122452); #84534=LINE('',#506712,#122453); #84535=LINE('',#506714,#122454); #84536=LINE('',#506715,#122455); #84537=LINE('',#506718,#122456); #84538=LINE('',#506720,#122457); #84539=LINE('',#506721,#122458); #84540=LINE('',#506724,#122459); #84541=LINE('',#506726,#122460); #84542=LINE('',#506727,#122461); #84543=LINE('',#506730,#122462); #84544=LINE('',#506732,#122463); #84545=LINE('',#506733,#122464); #84546=LINE('',#506736,#122465); #84547=LINE('',#506738,#122466); #84548=LINE('',#506739,#122467); #84549=LINE('',#506742,#122468); #84550=LINE('',#506744,#122469); #84551=LINE('',#506745,#122470); #84552=LINE('',#506748,#122471); #84553=LINE('',#506750,#122472); #84554=LINE('',#506751,#122473); #84555=LINE('',#506754,#122474); #84556=LINE('',#506756,#122475); #84557=LINE('',#506757,#122476); #84558=LINE('',#506760,#122477); #84559=LINE('',#506762,#122478); #84560=LINE('',#506763,#122479); #84561=LINE('',#506766,#122480); #84562=LINE('',#506768,#122481); #84563=LINE('',#506769,#122482); #84564=LINE('',#506772,#122483); #84565=LINE('',#506774,#122484); #84566=LINE('',#506775,#122485); #84567=LINE('',#506778,#122486); #84568=LINE('',#506780,#122487); #84569=LINE('',#506781,#122488); #84570=LINE('',#506784,#122489); #84571=LINE('',#506786,#122490); #84572=LINE('',#506787,#122491); #84573=LINE('',#506790,#122492); #84574=LINE('',#506792,#122493); #84575=LINE('',#506793,#122494); #84576=LINE('',#506796,#122495); #84577=LINE('',#506798,#122496); #84578=LINE('',#506799,#122497); #84579=LINE('',#506802,#122498); #84580=LINE('',#506804,#122499); #84581=LINE('',#506805,#122500); #84582=LINE('',#506808,#122501); #84583=LINE('',#506810,#122502); #84584=LINE('',#506811,#122503); #84585=LINE('',#506814,#122504); #84586=LINE('',#506816,#122505); #84587=LINE('',#506817,#122506); #84588=LINE('',#506820,#122507); #84589=LINE('',#506822,#122508); #84590=LINE('',#506823,#122509); #84591=LINE('',#506826,#122510); #84592=LINE('',#506828,#122511); #84593=LINE('',#506829,#122512); #84594=LINE('',#506832,#122513); #84595=LINE('',#506834,#122514); #84596=LINE('',#506835,#122515); #84597=LINE('',#506838,#122516); #84598=LINE('',#506840,#122517); #84599=LINE('',#506841,#122518); #84600=LINE('',#506844,#122519); #84601=LINE('',#506846,#122520); #84602=LINE('',#506847,#122521); #84603=LINE('',#506850,#122522); #84604=LINE('',#506852,#122523); #84605=LINE('',#506853,#122524); #84606=LINE('',#506856,#122525); #84607=LINE('',#506858,#122526); #84608=LINE('',#506859,#122527); #84609=LINE('',#506862,#122528); #84610=LINE('',#506864,#122529); #84611=LINE('',#506865,#122530); #84612=LINE('',#506868,#122531); #84613=LINE('',#506870,#122532); #84614=LINE('',#506871,#122533); #84615=LINE('',#506874,#122534); #84616=LINE('',#506876,#122535); #84617=LINE('',#506877,#122536); #84618=LINE('',#506880,#122537); #84619=LINE('',#506882,#122538); #84620=LINE('',#506883,#122539); #84621=LINE('',#506886,#122540); #84622=LINE('',#506888,#122541); #84623=LINE('',#506889,#122542); #84624=LINE('',#506892,#122543); #84625=LINE('',#506894,#122544); #84626=LINE('',#506895,#122545); #84627=LINE('',#506898,#122546); #84628=LINE('',#506900,#122547); #84629=LINE('',#506901,#122548); #84630=LINE('',#506904,#122549); #84631=LINE('',#506906,#122550); #84632=LINE('',#506907,#122551); #84633=LINE('',#506910,#122552); #84634=LINE('',#506912,#122553); #84635=LINE('',#506913,#122554); #84636=LINE('',#506916,#122555); #84637=LINE('',#506918,#122556); #84638=LINE('',#506919,#122557); #84639=LINE('',#506922,#122558); #84640=LINE('',#506924,#122559); #84641=LINE('',#506925,#122560); #84642=LINE('',#506928,#122561); #84643=LINE('',#506930,#122562); #84644=LINE('',#506931,#122563); #84645=LINE('',#506934,#122564); #84646=LINE('',#506936,#122565); #84647=LINE('',#506937,#122566); #84648=LINE('',#506940,#122567); #84649=LINE('',#506942,#122568); #84650=LINE('',#506943,#122569); #84651=LINE('',#506946,#122570); #84652=LINE('',#506948,#122571); #84653=LINE('',#506949,#122572); #84654=LINE('',#506952,#122573); #84655=LINE('',#506954,#122574); #84656=LINE('',#506955,#122575); #84657=LINE('',#506958,#122576); #84658=LINE('',#506960,#122577); #84659=LINE('',#506961,#122578); #84660=LINE('',#506964,#122579); #84661=LINE('',#506966,#122580); #84662=LINE('',#506967,#122581); #84663=LINE('',#506970,#122582); #84664=LINE('',#506972,#122583); #84665=LINE('',#506973,#122584); #84666=LINE('',#506976,#122585); #84667=LINE('',#506978,#122586); #84668=LINE('',#506979,#122587); #84669=LINE('',#506982,#122588); #84670=LINE('',#506984,#122589); #84671=LINE('',#506985,#122590); #84672=LINE('',#506988,#122591); #84673=LINE('',#506990,#122592); #84674=LINE('',#506991,#122593); #84675=LINE('',#506994,#122594); #84676=LINE('',#506996,#122595); #84677=LINE('',#506997,#122596); #84678=LINE('',#507000,#122597); #84679=LINE('',#507002,#122598); #84680=LINE('',#507003,#122599); #84681=LINE('',#507006,#122600); #84682=LINE('',#507008,#122601); #84683=LINE('',#507009,#122602); #84684=LINE('',#507012,#122603); #84685=LINE('',#507014,#122604); #84686=LINE('',#507015,#122605); #84687=LINE('',#507018,#122606); #84688=LINE('',#507020,#122607); #84689=LINE('',#507021,#122608); #84690=LINE('',#507024,#122609); #84691=LINE('',#507026,#122610); #84692=LINE('',#507027,#122611); #84693=LINE('',#507030,#122612); #84694=LINE('',#507032,#122613); #84695=LINE('',#507033,#122614); #84696=LINE('',#507036,#122615); #84697=LINE('',#507038,#122616); #84698=LINE('',#507039,#122617); #84699=LINE('',#507042,#122618); #84700=LINE('',#507044,#122619); #84701=LINE('',#507045,#122620); #84702=LINE('',#507048,#122621); #84703=LINE('',#507050,#122622); #84704=LINE('',#507051,#122623); #84705=LINE('',#507054,#122624); #84706=LINE('',#507056,#122625); #84707=LINE('',#507057,#122626); #84708=LINE('',#507060,#122627); #84709=LINE('',#507062,#122628); #84710=LINE('',#507063,#122629); #84711=LINE('',#507066,#122630); #84712=LINE('',#507068,#122631); #84713=LINE('',#507069,#122632); #84714=LINE('',#507072,#122633); #84715=LINE('',#507074,#122634); #84716=LINE('',#507075,#122635); #84717=LINE('',#507078,#122636); #84718=LINE('',#507080,#122637); #84719=LINE('',#507081,#122638); #84720=LINE('',#507084,#122639); #84721=LINE('',#507086,#122640); #84722=LINE('',#507087,#122641); #84723=LINE('',#507090,#122642); #84724=LINE('',#507092,#122643); #84725=LINE('',#507093,#122644); #84726=LINE('',#507096,#122645); #84727=LINE('',#507098,#122646); #84728=LINE('',#507099,#122647); #84729=LINE('',#507102,#122648); #84730=LINE('',#507104,#122649); #84731=LINE('',#507105,#122650); #84732=LINE('',#507108,#122651); #84733=LINE('',#507110,#122652); #84734=LINE('',#507111,#122653); #84735=LINE('',#507114,#122654); #84736=LINE('',#507116,#122655); #84737=LINE('',#507117,#122656); #84738=LINE('',#507120,#122657); #84739=LINE('',#507122,#122658); #84740=LINE('',#507123,#122659); #84741=LINE('',#507126,#122660); #84742=LINE('',#507128,#122661); #84743=LINE('',#507129,#122662); #84744=LINE('',#507132,#122663); #84745=LINE('',#507134,#122664); #84746=LINE('',#507135,#122665); #84747=LINE('',#507138,#122666); #84748=LINE('',#507140,#122667); #84749=LINE('',#507141,#122668); #84750=LINE('',#507144,#122669); #84751=LINE('',#507146,#122670); #84752=LINE('',#507147,#122671); #84753=LINE('',#507150,#122672); #84754=LINE('',#507152,#122673); #84755=LINE('',#507153,#122674); #84756=LINE('',#507156,#122675); #84757=LINE('',#507158,#122676); #84758=LINE('',#507159,#122677); #84759=LINE('',#507162,#122678); #84760=LINE('',#507164,#122679); #84761=LINE('',#507165,#122680); #84762=LINE('',#507168,#122681); #84763=LINE('',#507170,#122682); #84764=LINE('',#507171,#122683); #84765=LINE('',#507174,#122684); #84766=LINE('',#507176,#122685); #84767=LINE('',#507177,#122686); #84768=LINE('',#507180,#122687); #84769=LINE('',#507182,#122688); #84770=LINE('',#507183,#122689); #84771=LINE('',#507186,#122690); #84772=LINE('',#507188,#122691); #84773=LINE('',#507189,#122692); #84774=LINE('',#507192,#122693); #84775=LINE('',#507194,#122694); #84776=LINE('',#507195,#122695); #84777=LINE('',#507198,#122696); #84778=LINE('',#507200,#122697); #84779=LINE('',#507201,#122698); #84780=LINE('',#507204,#122699); #84781=LINE('',#507206,#122700); #84782=LINE('',#507207,#122701); #84783=LINE('',#507210,#122702); #84784=LINE('',#507212,#122703); #84785=LINE('',#507213,#122704); #84786=LINE('',#507216,#122705); #84787=LINE('',#507218,#122706); #84788=LINE('',#507219,#122707); #84789=LINE('',#507222,#122708); #84790=LINE('',#507224,#122709); #84791=LINE('',#507225,#122710); #84792=LINE('',#507228,#122711); #84793=LINE('',#507230,#122712); #84794=LINE('',#507231,#122713); #84795=LINE('',#507234,#122714); #84796=LINE('',#507236,#122715); #84797=LINE('',#507237,#122716); #84798=LINE('',#507240,#122717); #84799=LINE('',#507242,#122718); #84800=LINE('',#507243,#122719); #84801=LINE('',#507246,#122720); #84802=LINE('',#507248,#122721); #84803=LINE('',#507249,#122722); #84804=LINE('',#507252,#122723); #84805=LINE('',#507254,#122724); #84806=LINE('',#507255,#122725); #84807=LINE('',#507258,#122726); #84808=LINE('',#507260,#122727); #84809=LINE('',#507261,#122728); #84810=LINE('',#507264,#122729); #84811=LINE('',#507266,#122730); #84812=LINE('',#507267,#122731); #84813=LINE('',#507270,#122732); #84814=LINE('',#507272,#122733); #84815=LINE('',#507273,#122734); #84816=LINE('',#507276,#122735); #84817=LINE('',#507278,#122736); #84818=LINE('',#507279,#122737); #84819=LINE('',#507282,#122738); #84820=LINE('',#507284,#122739); #84821=LINE('',#507285,#122740); #84822=LINE('',#507288,#122741); #84823=LINE('',#507290,#122742); #84824=LINE('',#507291,#122743); #84825=LINE('',#507294,#122744); #84826=LINE('',#507296,#122745); #84827=LINE('',#507297,#122746); #84828=LINE('',#507300,#122747); #84829=LINE('',#507302,#122748); #84830=LINE('',#507303,#122749); #84831=LINE('',#507306,#122750); #84832=LINE('',#507308,#122751); #84833=LINE('',#507309,#122752); #84834=LINE('',#507312,#122753); #84835=LINE('',#507314,#122754); #84836=LINE('',#507315,#122755); #84837=LINE('',#507318,#122756); #84838=LINE('',#507320,#122757); #84839=LINE('',#507321,#122758); #84840=LINE('',#507324,#122759); #84841=LINE('',#507326,#122760); #84842=LINE('',#507327,#122761); #84843=LINE('',#507330,#122762); #84844=LINE('',#507332,#122763); #84845=LINE('',#507333,#122764); #84846=LINE('',#507336,#122765); #84847=LINE('',#507338,#122766); #84848=LINE('',#507339,#122767); #84849=LINE('',#507342,#122768); #84850=LINE('',#507344,#122769); #84851=LINE('',#507345,#122770); #84852=LINE('',#507348,#122771); #84853=LINE('',#507350,#122772); #84854=LINE('',#507351,#122773); #84855=LINE('',#507354,#122774); #84856=LINE('',#507356,#122775); #84857=LINE('',#507357,#122776); #84858=LINE('',#507360,#122777); #84859=LINE('',#507362,#122778); #84860=LINE('',#507363,#122779); #84861=LINE('',#507366,#122780); #84862=LINE('',#507368,#122781); #84863=LINE('',#507369,#122782); #84864=LINE('',#507372,#122783); #84865=LINE('',#507374,#122784); #84866=LINE('',#507375,#122785); #84867=LINE('',#507378,#122786); #84868=LINE('',#507380,#122787); #84869=LINE('',#507381,#122788); #84870=LINE('',#507384,#122789); #84871=LINE('',#507386,#122790); #84872=LINE('',#507387,#122791); #84873=LINE('',#507390,#122792); #84874=LINE('',#507392,#122793); #84875=LINE('',#507393,#122794); #84876=LINE('',#507396,#122795); #84877=LINE('',#507398,#122796); #84878=LINE('',#507399,#122797); #84879=LINE('',#507402,#122798); #84880=LINE('',#507404,#122799); #84881=LINE('',#507405,#122800); #84882=LINE('',#507408,#122801); #84883=LINE('',#507410,#122802); #84884=LINE('',#507411,#122803); #84885=LINE('',#507414,#122804); #84886=LINE('',#507416,#122805); #84887=LINE('',#507417,#122806); #84888=LINE('',#507420,#122807); #84889=LINE('',#507422,#122808); #84890=LINE('',#507423,#122809); #84891=LINE('',#507426,#122810); #84892=LINE('',#507428,#122811); #84893=LINE('',#507429,#122812); #84894=LINE('',#507432,#122813); #84895=LINE('',#507434,#122814); #84896=LINE('',#507435,#122815); #84897=LINE('',#507438,#122816); #84898=LINE('',#507440,#122817); #84899=LINE('',#507441,#122818); #84900=LINE('',#507444,#122819); #84901=LINE('',#507446,#122820); #84902=LINE('',#507447,#122821); #84903=LINE('',#507450,#122822); #84904=LINE('',#507452,#122823); #84905=LINE('',#507453,#122824); #84906=LINE('',#507456,#122825); #84907=LINE('',#507458,#122826); #84908=LINE('',#507459,#122827); #84909=LINE('',#507462,#122828); #84910=LINE('',#507464,#122829); #84911=LINE('',#507465,#122830); #84912=LINE('',#507468,#122831); #84913=LINE('',#507470,#122832); #84914=LINE('',#507471,#122833); #84915=LINE('',#507474,#122834); #84916=LINE('',#507476,#122835); #84917=LINE('',#507477,#122836); #84918=LINE('',#507480,#122837); #84919=LINE('',#507482,#122838); #84920=LINE('',#507483,#122839); #84921=LINE('',#507486,#122840); #84922=LINE('',#507488,#122841); #84923=LINE('',#507489,#122842); #84924=LINE('',#507492,#122843); #84925=LINE('',#507494,#122844); #84926=LINE('',#507495,#122845); #84927=LINE('',#507498,#122846); #84928=LINE('',#507500,#122847); #84929=LINE('',#507501,#122848); #84930=LINE('',#507503,#122849); #84931=LINE('',#507504,#122850); #84932=LINE('',#507508,#122851); #84933=LINE('',#507510,#122852); #84934=LINE('',#507512,#122853); #84935=LINE('',#507513,#122854); #84936=LINE('',#507516,#122855); #84937=LINE('',#507518,#122856); #84938=LINE('',#507519,#122857); #84939=LINE('',#507522,#122858); #84940=LINE('',#507524,#122859); #84941=LINE('',#507525,#122860); #84942=LINE('',#507528,#122861); #84943=LINE('',#507530,#122862); #84944=LINE('',#507531,#122863); #84945=LINE('',#507534,#122864); #84946=LINE('',#507536,#122865); #84947=LINE('',#507537,#122866); #84948=LINE('',#507540,#122867); #84949=LINE('',#507542,#122868); #84950=LINE('',#507543,#122869); #84951=LINE('',#507546,#122870); #84952=LINE('',#507548,#122871); #84953=LINE('',#507549,#122872); #84954=LINE('',#507552,#122873); #84955=LINE('',#507554,#122874); #84956=LINE('',#507555,#122875); #84957=LINE('',#507558,#122876); #84958=LINE('',#507560,#122877); #84959=LINE('',#507561,#122878); #84960=LINE('',#507564,#122879); #84961=LINE('',#507566,#122880); #84962=LINE('',#507567,#122881); #84963=LINE('',#507570,#122882); #84964=LINE('',#507572,#122883); #84965=LINE('',#507573,#122884); #84966=LINE('',#507576,#122885); #84967=LINE('',#507578,#122886); #84968=LINE('',#507579,#122887); #84969=LINE('',#507582,#122888); #84970=LINE('',#507584,#122889); #84971=LINE('',#507585,#122890); #84972=LINE('',#507588,#122891); #84973=LINE('',#507590,#122892); #84974=LINE('',#507591,#122893); #84975=LINE('',#507594,#122894); #84976=LINE('',#507596,#122895); #84977=LINE('',#507597,#122896); #84978=LINE('',#507599,#122897); #84979=LINE('',#507600,#122898); #84980=LINE('',#507604,#122899); #84981=LINE('',#507606,#122900); #84982=LINE('',#507608,#122901); #84983=LINE('',#507609,#122902); #84984=LINE('',#507612,#122903); #84985=LINE('',#507614,#122904); #84986=LINE('',#507615,#122905); #84987=LINE('',#507618,#122906); #84988=LINE('',#507620,#122907); #84989=LINE('',#507621,#122908); #84990=LINE('',#507624,#122909); #84991=LINE('',#507626,#122910); #84992=LINE('',#507627,#122911); #84993=LINE('',#507630,#122912); #84994=LINE('',#507632,#122913); #84995=LINE('',#507633,#122914); #84996=LINE('',#507636,#122915); #84997=LINE('',#507638,#122916); #84998=LINE('',#507639,#122917); #84999=LINE('',#507642,#122918); #85000=LINE('',#507644,#122919); #85001=LINE('',#507645,#122920); #85002=LINE('',#507648,#122921); #85003=LINE('',#507650,#122922); #85004=LINE('',#507651,#122923); #85005=LINE('',#507654,#122924); #85006=LINE('',#507656,#122925); #85007=LINE('',#507657,#122926); #85008=LINE('',#507660,#122927); #85009=LINE('',#507662,#122928); #85010=LINE('',#507663,#122929); #85011=LINE('',#507666,#122930); #85012=LINE('',#507668,#122931); #85013=LINE('',#507669,#122932); #85014=LINE('',#507672,#122933); #85015=LINE('',#507674,#122934); #85016=LINE('',#507675,#122935); #85017=LINE('',#507678,#122936); #85018=LINE('',#507680,#122937); #85019=LINE('',#507681,#122938); #85020=LINE('',#507684,#122939); #85021=LINE('',#507686,#122940); #85022=LINE('',#507687,#122941); #85023=LINE('',#507690,#122942); #85024=LINE('',#507692,#122943); #85025=LINE('',#507693,#122944); #85026=LINE('',#507696,#122945); #85027=LINE('',#507698,#122946); #85028=LINE('',#507699,#122947); #85029=LINE('',#507702,#122948); #85030=LINE('',#507704,#122949); #85031=LINE('',#507705,#122950); #85032=LINE('',#507708,#122951); #85033=LINE('',#507710,#122952); #85034=LINE('',#507711,#122953); #85035=LINE('',#507714,#122954); #85036=LINE('',#507716,#122955); #85037=LINE('',#507717,#122956); #85038=LINE('',#507720,#122957); #85039=LINE('',#507722,#122958); #85040=LINE('',#507723,#122959); #85041=LINE('',#507726,#122960); #85042=LINE('',#507728,#122961); #85043=LINE('',#507729,#122962); #85044=LINE('',#507732,#122963); #85045=LINE('',#507734,#122964); #85046=LINE('',#507735,#122965); #85047=LINE('',#507738,#122966); #85048=LINE('',#507740,#122967); #85049=LINE('',#507741,#122968); #85050=LINE('',#507744,#122969); #85051=LINE('',#507746,#122970); #85052=LINE('',#507747,#122971); #85053=LINE('',#507750,#122972); #85054=LINE('',#507752,#122973); #85055=LINE('',#507753,#122974); #85056=LINE('',#507756,#122975); #85057=LINE('',#507758,#122976); #85058=LINE('',#507759,#122977); #85059=LINE('',#507762,#122978); #85060=LINE('',#507764,#122979); #85061=LINE('',#507765,#122980); #85062=LINE('',#507768,#122981); #85063=LINE('',#507770,#122982); #85064=LINE('',#507771,#122983); #85065=LINE('',#507774,#122984); #85066=LINE('',#507776,#122985); #85067=LINE('',#507777,#122986); #85068=LINE('',#507780,#122987); #85069=LINE('',#507782,#122988); #85070=LINE('',#507783,#122989); #85071=LINE('',#507786,#122990); #85072=LINE('',#507788,#122991); #85073=LINE('',#507789,#122992); #85074=LINE('',#507792,#122993); #85075=LINE('',#507794,#122994); #85076=LINE('',#507795,#122995); #85077=LINE('',#507798,#122996); #85078=LINE('',#507800,#122997); #85079=LINE('',#507801,#122998); #85080=LINE('',#507804,#122999); #85081=LINE('',#507806,#123000); #85082=LINE('',#507807,#123001); #85083=LINE('',#507810,#123002); #85084=LINE('',#507812,#123003); #85085=LINE('',#507813,#123004); #85086=LINE('',#507816,#123005); #85087=LINE('',#507818,#123006); #85088=LINE('',#507819,#123007); #85089=LINE('',#507822,#123008); #85090=LINE('',#507824,#123009); #85091=LINE('',#507825,#123010); #85092=LINE('',#507828,#123011); #85093=LINE('',#507830,#123012); #85094=LINE('',#507831,#123013); #85095=LINE('',#507834,#123014); #85096=LINE('',#507836,#123015); #85097=LINE('',#507837,#123016); #85098=LINE('',#507840,#123017); #85099=LINE('',#507842,#123018); #85100=LINE('',#507843,#123019); #85101=LINE('',#507846,#123020); #85102=LINE('',#507848,#123021); #85103=LINE('',#507849,#123022); #85104=LINE('',#507852,#123023); #85105=LINE('',#507854,#123024); #85106=LINE('',#507855,#123025); #85107=LINE('',#507858,#123026); #85108=LINE('',#507860,#123027); #85109=LINE('',#507861,#123028); #85110=LINE('',#507864,#123029); #85111=LINE('',#507866,#123030); #85112=LINE('',#507867,#123031); #85113=LINE('',#507870,#123032); #85114=LINE('',#507872,#123033); #85115=LINE('',#507873,#123034); #85116=LINE('',#507876,#123035); #85117=LINE('',#507878,#123036); #85118=LINE('',#507879,#123037); #85119=LINE('',#507882,#123038); #85120=LINE('',#507884,#123039); #85121=LINE('',#507885,#123040); #85122=LINE('',#507888,#123041); #85123=LINE('',#507890,#123042); #85124=LINE('',#507891,#123043); #85125=LINE('',#507894,#123044); #85126=LINE('',#507896,#123045); #85127=LINE('',#507897,#123046); #85128=LINE('',#507900,#123047); #85129=LINE('',#507902,#123048); #85130=LINE('',#507903,#123049); #85131=LINE('',#507906,#123050); #85132=LINE('',#507908,#123051); #85133=LINE('',#507909,#123052); #85134=LINE('',#507912,#123053); #85135=LINE('',#507914,#123054); #85136=LINE('',#507915,#123055); #85137=LINE('',#507918,#123056); #85138=LINE('',#507920,#123057); #85139=LINE('',#507921,#123058); #85140=LINE('',#507924,#123059); #85141=LINE('',#507926,#123060); #85142=LINE('',#507927,#123061); #85143=LINE('',#507930,#123062); #85144=LINE('',#507932,#123063); #85145=LINE('',#507933,#123064); #85146=LINE('',#507936,#123065); #85147=LINE('',#507938,#123066); #85148=LINE('',#507939,#123067); #85149=LINE('',#507942,#123068); #85150=LINE('',#507944,#123069); #85151=LINE('',#507945,#123070); #85152=LINE('',#507948,#123071); #85153=LINE('',#507950,#123072); #85154=LINE('',#507951,#123073); #85155=LINE('',#507954,#123074); #85156=LINE('',#507956,#123075); #85157=LINE('',#507957,#123076); #85158=LINE('',#507960,#123077); #85159=LINE('',#507962,#123078); #85160=LINE('',#507963,#123079); #85161=LINE('',#507966,#123080); #85162=LINE('',#507968,#123081); #85163=LINE('',#507969,#123082); #85164=LINE('',#507972,#123083); #85165=LINE('',#507974,#123084); #85166=LINE('',#507975,#123085); #85167=LINE('',#507978,#123086); #85168=LINE('',#507980,#123087); #85169=LINE('',#507981,#123088); #85170=LINE('',#507984,#123089); #85171=LINE('',#507986,#123090); #85172=LINE('',#507987,#123091); #85173=LINE('',#507990,#123092); #85174=LINE('',#507992,#123093); #85175=LINE('',#507993,#123094); #85176=LINE('',#507996,#123095); #85177=LINE('',#507998,#123096); #85178=LINE('',#507999,#123097); #85179=LINE('',#508002,#123098); #85180=LINE('',#508004,#123099); #85181=LINE('',#508005,#123100); #85182=LINE('',#508008,#123101); #85183=LINE('',#508010,#123102); #85184=LINE('',#508011,#123103); #85185=LINE('',#508014,#123104); #85186=LINE('',#508016,#123105); #85187=LINE('',#508017,#123106); #85188=LINE('',#508020,#123107); #85189=LINE('',#508022,#123108); #85190=LINE('',#508023,#123109); #85191=LINE('',#508026,#123110); #85192=LINE('',#508028,#123111); #85193=LINE('',#508029,#123112); #85194=LINE('',#508032,#123113); #85195=LINE('',#508034,#123114); #85196=LINE('',#508035,#123115); #85197=LINE('',#508038,#123116); #85198=LINE('',#508040,#123117); #85199=LINE('',#508041,#123118); #85200=LINE('',#508044,#123119); #85201=LINE('',#508046,#123120); #85202=LINE('',#508047,#123121); #85203=LINE('',#508050,#123122); #85204=LINE('',#508052,#123123); #85205=LINE('',#508053,#123124); #85206=LINE('',#508056,#123125); #85207=LINE('',#508058,#123126); #85208=LINE('',#508059,#123127); #85209=LINE('',#508062,#123128); #85210=LINE('',#508064,#123129); #85211=LINE('',#508065,#123130); #85212=LINE('',#508068,#123131); #85213=LINE('',#508070,#123132); #85214=LINE('',#508071,#123133); #85215=LINE('',#508074,#123134); #85216=LINE('',#508076,#123135); #85217=LINE('',#508077,#123136); #85218=LINE('',#508080,#123137); #85219=LINE('',#508082,#123138); #85220=LINE('',#508083,#123139); #85221=LINE('',#508086,#123140); #85222=LINE('',#508088,#123141); #85223=LINE('',#508089,#123142); #85224=LINE('',#508092,#123143); #85225=LINE('',#508094,#123144); #85226=LINE('',#508095,#123145); #85227=LINE('',#508098,#123146); #85228=LINE('',#508100,#123147); #85229=LINE('',#508101,#123148); #85230=LINE('',#508104,#123149); #85231=LINE('',#508106,#123150); #85232=LINE('',#508107,#123151); #85233=LINE('',#508110,#123152); #85234=LINE('',#508112,#123153); #85235=LINE('',#508113,#123154); #85236=LINE('',#508116,#123155); #85237=LINE('',#508118,#123156); #85238=LINE('',#508119,#123157); #85239=LINE('',#508122,#123158); #85240=LINE('',#508124,#123159); #85241=LINE('',#508125,#123160); #85242=LINE('',#508128,#123161); #85243=LINE('',#508130,#123162); #85244=LINE('',#508131,#123163); #85245=LINE('',#508134,#123164); #85246=LINE('',#508136,#123165); #85247=LINE('',#508137,#123166); #85248=LINE('',#508140,#123167); #85249=LINE('',#508142,#123168); #85250=LINE('',#508143,#123169); #85251=LINE('',#508146,#123170); #85252=LINE('',#508148,#123171); #85253=LINE('',#508149,#123172); #85254=LINE('',#508152,#123173); #85255=LINE('',#508154,#123174); #85256=LINE('',#508155,#123175); #85257=LINE('',#508158,#123176); #85258=LINE('',#508160,#123177); #85259=LINE('',#508161,#123178); #85260=LINE('',#508164,#123179); #85261=LINE('',#508166,#123180); #85262=LINE('',#508167,#123181); #85263=LINE('',#508170,#123182); #85264=LINE('',#508172,#123183); #85265=LINE('',#508173,#123184); #85266=LINE('',#508176,#123185); #85267=LINE('',#508178,#123186); #85268=LINE('',#508179,#123187); #85269=LINE('',#508182,#123188); #85270=LINE('',#508184,#123189); #85271=LINE('',#508185,#123190); #85272=LINE('',#508188,#123191); #85273=LINE('',#508190,#123192); #85274=LINE('',#508191,#123193); #85275=LINE('',#508194,#123194); #85276=LINE('',#508196,#123195); #85277=LINE('',#508197,#123196); #85278=LINE('',#508200,#123197); #85279=LINE('',#508202,#123198); #85280=LINE('',#508203,#123199); #85281=LINE('',#508206,#123200); #85282=LINE('',#508208,#123201); #85283=LINE('',#508209,#123202); #85284=LINE('',#508212,#123203); #85285=LINE('',#508214,#123204); #85286=LINE('',#508215,#123205); #85287=LINE('',#508218,#123206); #85288=LINE('',#508220,#123207); #85289=LINE('',#508221,#123208); #85290=LINE('',#508224,#123209); #85291=LINE('',#508226,#123210); #85292=LINE('',#508227,#123211); #85293=LINE('',#508230,#123212); #85294=LINE('',#508232,#123213); #85295=LINE('',#508233,#123214); #85296=LINE('',#508236,#123215); #85297=LINE('',#508238,#123216); #85298=LINE('',#508239,#123217); #85299=LINE('',#508242,#123218); #85300=LINE('',#508244,#123219); #85301=LINE('',#508245,#123220); #85302=LINE('',#508248,#123221); #85303=LINE('',#508250,#123222); #85304=LINE('',#508251,#123223); #85305=LINE('',#508254,#123224); #85306=LINE('',#508256,#123225); #85307=LINE('',#508257,#123226); #85308=LINE('',#508260,#123227); #85309=LINE('',#508262,#123228); #85310=LINE('',#508263,#123229); #85311=LINE('',#508266,#123230); #85312=LINE('',#508268,#123231); #85313=LINE('',#508269,#123232); #85314=LINE('',#508272,#123233); #85315=LINE('',#508274,#123234); #85316=LINE('',#508275,#123235); #85317=LINE('',#508278,#123236); #85318=LINE('',#508280,#123237); #85319=LINE('',#508281,#123238); #85320=LINE('',#508284,#123239); #85321=LINE('',#508286,#123240); #85322=LINE('',#508287,#123241); #85323=LINE('',#508290,#123242); #85324=LINE('',#508292,#123243); #85325=LINE('',#508293,#123244); #85326=LINE('',#508296,#123245); #85327=LINE('',#508298,#123246); #85328=LINE('',#508299,#123247); #85329=LINE('',#508302,#123248); #85330=LINE('',#508304,#123249); #85331=LINE('',#508305,#123250); #85332=LINE('',#508308,#123251); #85333=LINE('',#508310,#123252); #85334=LINE('',#508311,#123253); #85335=LINE('',#508314,#123254); #85336=LINE('',#508316,#123255); #85337=LINE('',#508317,#123256); #85338=LINE('',#508320,#123257); #85339=LINE('',#508322,#123258); #85340=LINE('',#508323,#123259); #85341=LINE('',#508326,#123260); #85342=LINE('',#508328,#123261); #85343=LINE('',#508329,#123262); #85344=LINE('',#508332,#123263); #85345=LINE('',#508334,#123264); #85346=LINE('',#508335,#123265); #85347=LINE('',#508338,#123266); #85348=LINE('',#508340,#123267); #85349=LINE('',#508341,#123268); #85350=LINE('',#508344,#123269); #85351=LINE('',#508346,#123270); #85352=LINE('',#508347,#123271); #85353=LINE('',#508350,#123272); #85354=LINE('',#508352,#123273); #85355=LINE('',#508353,#123274); #85356=LINE('',#508356,#123275); #85357=LINE('',#508358,#123276); #85358=LINE('',#508359,#123277); #85359=LINE('',#508362,#123278); #85360=LINE('',#508364,#123279); #85361=LINE('',#508365,#123280); #85362=LINE('',#508368,#123281); #85363=LINE('',#508370,#123282); #85364=LINE('',#508371,#123283); #85365=LINE('',#508374,#123284); #85366=LINE('',#508376,#123285); #85367=LINE('',#508377,#123286); #85368=LINE('',#508380,#123287); #85369=LINE('',#508382,#123288); #85370=LINE('',#508383,#123289); #85371=LINE('',#508386,#123290); #85372=LINE('',#508388,#123291); #85373=LINE('',#508389,#123292); #85374=LINE('',#508392,#123293); #85375=LINE('',#508394,#123294); #85376=LINE('',#508395,#123295); #85377=LINE('',#508398,#123296); #85378=LINE('',#508400,#123297); #85379=LINE('',#508401,#123298); #85380=LINE('',#508404,#123299); #85381=LINE('',#508406,#123300); #85382=LINE('',#508407,#123301); #85383=LINE('',#508410,#123302); #85384=LINE('',#508412,#123303); #85385=LINE('',#508413,#123304); #85386=LINE('',#508416,#123305); #85387=LINE('',#508418,#123306); #85388=LINE('',#508419,#123307); #85389=LINE('',#508422,#123308); #85390=LINE('',#508424,#123309); #85391=LINE('',#508425,#123310); #85392=LINE('',#508428,#123311); #85393=LINE('',#508430,#123312); #85394=LINE('',#508431,#123313); #85395=LINE('',#508434,#123314); #85396=LINE('',#508436,#123315); #85397=LINE('',#508437,#123316); #85398=LINE('',#508440,#123317); #85399=LINE('',#508442,#123318); #85400=LINE('',#508443,#123319); #85401=LINE('',#508446,#123320); #85402=LINE('',#508448,#123321); #85403=LINE('',#508449,#123322); #85404=LINE('',#508452,#123323); #85405=LINE('',#508454,#123324); #85406=LINE('',#508455,#123325); #85407=LINE('',#508458,#123326); #85408=LINE('',#508460,#123327); #85409=LINE('',#508461,#123328); #85410=LINE('',#508464,#123329); #85411=LINE('',#508466,#123330); #85412=LINE('',#508467,#123331); #85413=LINE('',#508470,#123332); #85414=LINE('',#508472,#123333); #85415=LINE('',#508473,#123334); #85416=LINE('',#508476,#123335); #85417=LINE('',#508478,#123336); #85418=LINE('',#508479,#123337); #85419=LINE('',#508482,#123338); #85420=LINE('',#508484,#123339); #85421=LINE('',#508485,#123340); #85422=LINE('',#508488,#123341); #85423=LINE('',#508490,#123342); #85424=LINE('',#508491,#123343); #85425=LINE('',#508494,#123344); #85426=LINE('',#508496,#123345); #85427=LINE('',#508497,#123346); #85428=LINE('',#508500,#123347); #85429=LINE('',#508502,#123348); #85430=LINE('',#508503,#123349); #85431=LINE('',#508506,#123350); #85432=LINE('',#508508,#123351); #85433=LINE('',#508509,#123352); #85434=LINE('',#508512,#123353); #85435=LINE('',#508514,#123354); #85436=LINE('',#508515,#123355); #85437=LINE('',#508518,#123356); #85438=LINE('',#508520,#123357); #85439=LINE('',#508521,#123358); #85440=LINE('',#508524,#123359); #85441=LINE('',#508526,#123360); #85442=LINE('',#508527,#123361); #85443=LINE('',#508530,#123362); #85444=LINE('',#508532,#123363); #85445=LINE('',#508533,#123364); #85446=LINE('',#508536,#123365); #85447=LINE('',#508538,#123366); #85448=LINE('',#508539,#123367); #85449=LINE('',#508542,#123368); #85450=LINE('',#508544,#123369); #85451=LINE('',#508545,#123370); #85452=LINE('',#508548,#123371); #85453=LINE('',#508550,#123372); #85454=LINE('',#508551,#123373); #85455=LINE('',#508554,#123374); #85456=LINE('',#508556,#123375); #85457=LINE('',#508557,#123376); #85458=LINE('',#508560,#123377); #85459=LINE('',#508562,#123378); #85460=LINE('',#508563,#123379); #85461=LINE('',#508566,#123380); #85462=LINE('',#508568,#123381); #85463=LINE('',#508569,#123382); #85464=LINE('',#508572,#123383); #85465=LINE('',#508574,#123384); #85466=LINE('',#508575,#123385); #85467=LINE('',#508578,#123386); #85468=LINE('',#508580,#123387); #85469=LINE('',#508581,#123388); #85470=LINE('',#508584,#123389); #85471=LINE('',#508586,#123390); #85472=LINE('',#508587,#123391); #85473=LINE('',#508590,#123392); #85474=LINE('',#508592,#123393); #85475=LINE('',#508593,#123394); #85476=LINE('',#508596,#123395); #85477=LINE('',#508598,#123396); #85478=LINE('',#508599,#123397); #85479=LINE('',#508602,#123398); #85480=LINE('',#508604,#123399); #85481=LINE('',#508605,#123400); #85482=LINE('',#508608,#123401); #85483=LINE('',#508610,#123402); #85484=LINE('',#508611,#123403); #85485=LINE('',#508614,#123404); #85486=LINE('',#508616,#123405); #85487=LINE('',#508617,#123406); #85488=LINE('',#508620,#123407); #85489=LINE('',#508622,#123408); #85490=LINE('',#508623,#123409); #85491=LINE('',#508626,#123410); #85492=LINE('',#508628,#123411); #85493=LINE('',#508629,#123412); #85494=LINE('',#508632,#123413); #85495=LINE('',#508634,#123414); #85496=LINE('',#508635,#123415); #85497=LINE('',#508638,#123416); #85498=LINE('',#508640,#123417); #85499=LINE('',#508641,#123418); #85500=LINE('',#508644,#123419); #85501=LINE('',#508646,#123420); #85502=LINE('',#508647,#123421); #85503=LINE('',#508650,#123422); #85504=LINE('',#508652,#123423); #85505=LINE('',#508653,#123424); #85506=LINE('',#508656,#123425); #85507=LINE('',#508658,#123426); #85508=LINE('',#508659,#123427); #85509=LINE('',#508662,#123428); #85510=LINE('',#508664,#123429); #85511=LINE('',#508665,#123430); #85512=LINE('',#508668,#123431); #85513=LINE('',#508670,#123432); #85514=LINE('',#508671,#123433); #85515=LINE('',#508674,#123434); #85516=LINE('',#508676,#123435); #85517=LINE('',#508677,#123436); #85518=LINE('',#508680,#123437); #85519=LINE('',#508682,#123438); #85520=LINE('',#508683,#123439); #85521=LINE('',#508686,#123440); #85522=LINE('',#508688,#123441); #85523=LINE('',#508689,#123442); #85524=LINE('',#508692,#123443); #85525=LINE('',#508694,#123444); #85526=LINE('',#508695,#123445); #85527=LINE('',#508698,#123446); #85528=LINE('',#508700,#123447); #85529=LINE('',#508701,#123448); #85530=LINE('',#508704,#123449); #85531=LINE('',#508706,#123450); #85532=LINE('',#508707,#123451); #85533=LINE('',#508710,#123452); #85534=LINE('',#508712,#123453); #85535=LINE('',#508713,#123454); #85536=LINE('',#508716,#123455); #85537=LINE('',#508718,#123456); #85538=LINE('',#508719,#123457); #85539=LINE('',#508722,#123458); #85540=LINE('',#508724,#123459); #85541=LINE('',#508725,#123460); #85542=LINE('',#508728,#123461); #85543=LINE('',#508730,#123462); #85544=LINE('',#508731,#123463); #85545=LINE('',#508734,#123464); #85546=LINE('',#508736,#123465); #85547=LINE('',#508737,#123466); #85548=LINE('',#508740,#123467); #85549=LINE('',#508742,#123468); #85550=LINE('',#508743,#123469); #85551=LINE('',#508746,#123470); #85552=LINE('',#508748,#123471); #85553=LINE('',#508749,#123472); #85554=LINE('',#508752,#123473); #85555=LINE('',#508754,#123474); #85556=LINE('',#508755,#123475); #85557=LINE('',#508758,#123476); #85558=LINE('',#508760,#123477); #85559=LINE('',#508761,#123478); #85560=LINE('',#508764,#123479); #85561=LINE('',#508766,#123480); #85562=LINE('',#508767,#123481); #85563=LINE('',#508770,#123482); #85564=LINE('',#508772,#123483); #85565=LINE('',#508773,#123484); #85566=LINE('',#508776,#123485); #85567=LINE('',#508778,#123486); #85568=LINE('',#508779,#123487); #85569=LINE('',#508782,#123488); #85570=LINE('',#508784,#123489); #85571=LINE('',#508785,#123490); #85572=LINE('',#508788,#123491); #85573=LINE('',#508790,#123492); #85574=LINE('',#508791,#123493); #85575=LINE('',#508794,#123494); #85576=LINE('',#508796,#123495); #85577=LINE('',#508797,#123496); #85578=LINE('',#508800,#123497); #85579=LINE('',#508802,#123498); #85580=LINE('',#508803,#123499); #85581=LINE('',#508806,#123500); #85582=LINE('',#508808,#123501); #85583=LINE('',#508809,#123502); #85584=LINE('',#508812,#123503); #85585=LINE('',#508814,#123504); #85586=LINE('',#508815,#123505); #85587=LINE('',#508818,#123506); #85588=LINE('',#508820,#123507); #85589=LINE('',#508821,#123508); #85590=LINE('',#508824,#123509); #85591=LINE('',#508826,#123510); #85592=LINE('',#508827,#123511); #85593=LINE('',#508829,#123512); #85594=LINE('',#508830,#123513); #85595=LINE('',#508834,#123514); #85596=LINE('',#508836,#123515); #85597=LINE('',#508838,#123516); #85598=LINE('',#508839,#123517); #85599=LINE('',#508842,#123518); #85600=LINE('',#508844,#123519); #85601=LINE('',#508845,#123520); #85602=LINE('',#508848,#123521); #85603=LINE('',#508850,#123522); #85604=LINE('',#508851,#123523); #85605=LINE('',#508854,#123524); #85606=LINE('',#508856,#123525); #85607=LINE('',#508857,#123526); #85608=LINE('',#508860,#123527); #85609=LINE('',#508862,#123528); #85610=LINE('',#508863,#123529); #85611=LINE('',#508866,#123530); #85612=LINE('',#508868,#123531); #85613=LINE('',#508869,#123532); #85614=LINE('',#508872,#123533); #85615=LINE('',#508874,#123534); #85616=LINE('',#508875,#123535); #85617=LINE('',#508878,#123536); #85618=LINE('',#508880,#123537); #85619=LINE('',#508881,#123538); #85620=LINE('',#508884,#123539); #85621=LINE('',#508886,#123540); #85622=LINE('',#508887,#123541); #85623=LINE('',#508890,#123542); #85624=LINE('',#508892,#123543); #85625=LINE('',#508893,#123544); #85626=LINE('',#508896,#123545); #85627=LINE('',#508898,#123546); #85628=LINE('',#508899,#123547); #85629=LINE('',#508902,#123548); #85630=LINE('',#508904,#123549); #85631=LINE('',#508905,#123550); #85632=LINE('',#508908,#123551); #85633=LINE('',#508910,#123552); #85634=LINE('',#508911,#123553); #85635=LINE('',#508914,#123554); #85636=LINE('',#508916,#123555); #85637=LINE('',#508917,#123556); #85638=LINE('',#508920,#123557); #85639=LINE('',#508922,#123558); #85640=LINE('',#508923,#123559); #85641=LINE('',#508926,#123560); #85642=LINE('',#508928,#123561); #85643=LINE('',#508929,#123562); #85644=LINE('',#508932,#123563); #85645=LINE('',#508934,#123564); #85646=LINE('',#508935,#123565); #85647=LINE('',#508938,#123566); #85648=LINE('',#508940,#123567); #85649=LINE('',#508941,#123568); #85650=LINE('',#508944,#123569); #85651=LINE('',#508946,#123570); #85652=LINE('',#508947,#123571); #85653=LINE('',#508950,#123572); #85654=LINE('',#508952,#123573); #85655=LINE('',#508953,#123574); #85656=LINE('',#508956,#123575); #85657=LINE('',#508958,#123576); #85658=LINE('',#508959,#123577); #85659=LINE('',#508962,#123578); #85660=LINE('',#508964,#123579); #85661=LINE('',#508965,#123580); #85662=LINE('',#508968,#123581); #85663=LINE('',#508970,#123582); #85664=LINE('',#508971,#123583); #85665=LINE('',#508974,#123584); #85666=LINE('',#508976,#123585); #85667=LINE('',#508977,#123586); #85668=LINE('',#508980,#123587); #85669=LINE('',#508982,#123588); #85670=LINE('',#508983,#123589); #85671=LINE('',#508986,#123590); #85672=LINE('',#508988,#123591); #85673=LINE('',#508989,#123592); #85674=LINE('',#508992,#123593); #85675=LINE('',#508994,#123594); #85676=LINE('',#508995,#123595); #85677=LINE('',#508998,#123596); #85678=LINE('',#509000,#123597); #85679=LINE('',#509001,#123598); #85680=LINE('',#509004,#123599); #85681=LINE('',#509006,#123600); #85682=LINE('',#509007,#123601); #85683=LINE('',#509010,#123602); #85684=LINE('',#509012,#123603); #85685=LINE('',#509013,#123604); #85686=LINE('',#509016,#123605); #85687=LINE('',#509018,#123606); #85688=LINE('',#509019,#123607); #85689=LINE('',#509022,#123608); #85690=LINE('',#509024,#123609); #85691=LINE('',#509025,#123610); #85692=LINE('',#509028,#123611); #85693=LINE('',#509030,#123612); #85694=LINE('',#509031,#123613); #85695=LINE('',#509034,#123614); #85696=LINE('',#509036,#123615); #85697=LINE('',#509037,#123616); #85698=LINE('',#509040,#123617); #85699=LINE('',#509042,#123618); #85700=LINE('',#509043,#123619); #85701=LINE('',#509046,#123620); #85702=LINE('',#509048,#123621); #85703=LINE('',#509049,#123622); #85704=LINE('',#509052,#123623); #85705=LINE('',#509054,#123624); #85706=LINE('',#509055,#123625); #85707=LINE('',#509058,#123626); #85708=LINE('',#509060,#123627); #85709=LINE('',#509061,#123628); #85710=LINE('',#509064,#123629); #85711=LINE('',#509066,#123630); #85712=LINE('',#509067,#123631); #85713=LINE('',#509070,#123632); #85714=LINE('',#509072,#123633); #85715=LINE('',#509073,#123634); #85716=LINE('',#509076,#123635); #85717=LINE('',#509078,#123636); #85718=LINE('',#509079,#123637); #85719=LINE('',#509082,#123638); #85720=LINE('',#509084,#123639); #85721=LINE('',#509085,#123640); #85722=LINE('',#509088,#123641); #85723=LINE('',#509090,#123642); #85724=LINE('',#509091,#123643); #85725=LINE('',#509094,#123644); #85726=LINE('',#509096,#123645); #85727=LINE('',#509097,#123646); #85728=LINE('',#509100,#123647); #85729=LINE('',#509102,#123648); #85730=LINE('',#509103,#123649); #85731=LINE('',#509106,#123650); #85732=LINE('',#509108,#123651); #85733=LINE('',#509109,#123652); #85734=LINE('',#509112,#123653); #85735=LINE('',#509114,#123654); #85736=LINE('',#509115,#123655); #85737=LINE('',#509118,#123656); #85738=LINE('',#509120,#123657); #85739=LINE('',#509121,#123658); #85740=LINE('',#509124,#123659); #85741=LINE('',#509126,#123660); #85742=LINE('',#509127,#123661); #85743=LINE('',#509130,#123662); #85744=LINE('',#509132,#123663); #85745=LINE('',#509133,#123664); #85746=LINE('',#509136,#123665); #85747=LINE('',#509138,#123666); #85748=LINE('',#509139,#123667); #85749=LINE('',#509142,#123668); #85750=LINE('',#509144,#123669); #85751=LINE('',#509145,#123670); #85752=LINE('',#509148,#123671); #85753=LINE('',#509150,#123672); #85754=LINE('',#509151,#123673); #85755=LINE('',#509154,#123674); #85756=LINE('',#509156,#123675); #85757=LINE('',#509157,#123676); #85758=LINE('',#509160,#123677); #85759=LINE('',#509162,#123678); #85760=LINE('',#509163,#123679); #85761=LINE('',#509166,#123680); #85762=LINE('',#509168,#123681); #85763=LINE('',#509169,#123682); #85764=LINE('',#509172,#123683); #85765=LINE('',#509174,#123684); #85766=LINE('',#509175,#123685); #85767=LINE('',#509178,#123686); #85768=LINE('',#509180,#123687); #85769=LINE('',#509181,#123688); #85770=LINE('',#509184,#123689); #85771=LINE('',#509186,#123690); #85772=LINE('',#509187,#123691); #85773=LINE('',#509190,#123692); #85774=LINE('',#509192,#123693); #85775=LINE('',#509193,#123694); #85776=LINE('',#509196,#123695); #85777=LINE('',#509198,#123696); #85778=LINE('',#509199,#123697); #85779=LINE('',#509202,#123698); #85780=LINE('',#509204,#123699); #85781=LINE('',#509205,#123700); #85782=LINE('',#509208,#123701); #85783=LINE('',#509210,#123702); #85784=LINE('',#509211,#123703); #85785=LINE('',#509214,#123704); #85786=LINE('',#509216,#123705); #85787=LINE('',#509217,#123706); #85788=LINE('',#509220,#123707); #85789=LINE('',#509222,#123708); #85790=LINE('',#509223,#123709); #85791=LINE('',#509226,#123710); #85792=LINE('',#509228,#123711); #85793=LINE('',#509229,#123712); #85794=LINE('',#509232,#123713); #85795=LINE('',#509234,#123714); #85796=LINE('',#509235,#123715); #85797=LINE('',#509238,#123716); #85798=LINE('',#509240,#123717); #85799=LINE('',#509241,#123718); #85800=LINE('',#509244,#123719); #85801=LINE('',#509246,#123720); #85802=LINE('',#509247,#123721); #85803=LINE('',#509250,#123722); #85804=LINE('',#509252,#123723); #85805=LINE('',#509253,#123724); #85806=LINE('',#509256,#123725); #85807=LINE('',#509258,#123726); #85808=LINE('',#509259,#123727); #85809=LINE('',#509262,#123728); #85810=LINE('',#509264,#123729); #85811=LINE('',#509265,#123730); #85812=LINE('',#509268,#123731); #85813=LINE('',#509270,#123732); #85814=LINE('',#509271,#123733); #85815=LINE('',#509274,#123734); #85816=LINE('',#509276,#123735); #85817=LINE('',#509277,#123736); #85818=LINE('',#509280,#123737); #85819=LINE('',#509282,#123738); #85820=LINE('',#509283,#123739); #85821=LINE('',#509286,#123740); #85822=LINE('',#509288,#123741); #85823=LINE('',#509289,#123742); #85824=LINE('',#509292,#123743); #85825=LINE('',#509294,#123744); #85826=LINE('',#509295,#123745); #85827=LINE('',#509298,#123746); #85828=LINE('',#509300,#123747); #85829=LINE('',#509301,#123748); #85830=LINE('',#509304,#123749); #85831=LINE('',#509306,#123750); #85832=LINE('',#509307,#123751); #85833=LINE('',#509310,#123752); #85834=LINE('',#509312,#123753); #85835=LINE('',#509313,#123754); #85836=LINE('',#509316,#123755); #85837=LINE('',#509318,#123756); #85838=LINE('',#509319,#123757); #85839=LINE('',#509322,#123758); #85840=LINE('',#509324,#123759); #85841=LINE('',#509325,#123760); #85842=LINE('',#509328,#123761); #85843=LINE('',#509330,#123762); #85844=LINE('',#509331,#123763); #85845=LINE('',#509334,#123764); #85846=LINE('',#509336,#123765); #85847=LINE('',#509337,#123766); #85848=LINE('',#509340,#123767); #85849=LINE('',#509342,#123768); #85850=LINE('',#509343,#123769); #85851=LINE('',#509346,#123770); #85852=LINE('',#509348,#123771); #85853=LINE('',#509349,#123772); #85854=LINE('',#509352,#123773); #85855=LINE('',#509354,#123774); #85856=LINE('',#509355,#123775); #85857=LINE('',#509358,#123776); #85858=LINE('',#509360,#123777); #85859=LINE('',#509361,#123778); #85860=LINE('',#509364,#123779); #85861=LINE('',#509366,#123780); #85862=LINE('',#509367,#123781); #85863=LINE('',#509370,#123782); #85864=LINE('',#509372,#123783); #85865=LINE('',#509373,#123784); #85866=LINE('',#509376,#123785); #85867=LINE('',#509378,#123786); #85868=LINE('',#509379,#123787); #85869=LINE('',#509382,#123788); #85870=LINE('',#509384,#123789); #85871=LINE('',#509385,#123790); #85872=LINE('',#509388,#123791); #85873=LINE('',#509390,#123792); #85874=LINE('',#509391,#123793); #85875=LINE('',#509394,#123794); #85876=LINE('',#509396,#123795); #85877=LINE('',#509397,#123796); #85878=LINE('',#509400,#123797); #85879=LINE('',#509402,#123798); #85880=LINE('',#509403,#123799); #85881=LINE('',#509406,#123800); #85882=LINE('',#509408,#123801); #85883=LINE('',#509409,#123802); #85884=LINE('',#509412,#123803); #85885=LINE('',#509414,#123804); #85886=LINE('',#509415,#123805); #85887=LINE('',#509418,#123806); #85888=LINE('',#509420,#123807); #85889=LINE('',#509421,#123808); #85890=LINE('',#509424,#123809); #85891=LINE('',#509426,#123810); #85892=LINE('',#509427,#123811); #85893=LINE('',#509429,#123812); #85894=LINE('',#509430,#123813); #85895=LINE('',#509434,#123814); #85896=LINE('',#509436,#123815); #85897=LINE('',#509438,#123816); #85898=LINE('',#509439,#123817); #85899=LINE('',#509442,#123818); #85900=LINE('',#509444,#123819); #85901=LINE('',#509445,#123820); #85902=LINE('',#509448,#123821); #85903=LINE('',#509450,#123822); #85904=LINE('',#509451,#123823); #85905=LINE('',#509454,#123824); #85906=LINE('',#509456,#123825); #85907=LINE('',#509457,#123826); #85908=LINE('',#509460,#123827); #85909=LINE('',#509462,#123828); #85910=LINE('',#509463,#123829); #85911=LINE('',#509466,#123830); #85912=LINE('',#509468,#123831); #85913=LINE('',#509469,#123832); #85914=LINE('',#509472,#123833); #85915=LINE('',#509474,#123834); #85916=LINE('',#509475,#123835); #85917=LINE('',#509478,#123836); #85918=LINE('',#509480,#123837); #85919=LINE('',#509481,#123838); #85920=LINE('',#509484,#123839); #85921=LINE('',#509486,#123840); #85922=LINE('',#509487,#123841); #85923=LINE('',#509490,#123842); #85924=LINE('',#509492,#123843); #85925=LINE('',#509493,#123844); #85926=LINE('',#509496,#123845); #85927=LINE('',#509498,#123846); #85928=LINE('',#509499,#123847); #85929=LINE('',#509502,#123848); #85930=LINE('',#509504,#123849); #85931=LINE('',#509505,#123850); #85932=LINE('',#509508,#123851); #85933=LINE('',#509510,#123852); #85934=LINE('',#509511,#123853); #85935=LINE('',#509514,#123854); #85936=LINE('',#509516,#123855); #85937=LINE('',#509517,#123856); #85938=LINE('',#509520,#123857); #85939=LINE('',#509522,#123858); #85940=LINE('',#509523,#123859); #85941=LINE('',#509526,#123860); #85942=LINE('',#509528,#123861); #85943=LINE('',#509529,#123862); #85944=LINE('',#509532,#123863); #85945=LINE('',#509534,#123864); #85946=LINE('',#509535,#123865); #85947=LINE('',#509538,#123866); #85948=LINE('',#509540,#123867); #85949=LINE('',#509541,#123868); #85950=LINE('',#509544,#123869); #85951=LINE('',#509546,#123870); #85952=LINE('',#509547,#123871); #85953=LINE('',#509550,#123872); #85954=LINE('',#509552,#123873); #85955=LINE('',#509553,#123874); #85956=LINE('',#509556,#123875); #85957=LINE('',#509558,#123876); #85958=LINE('',#509559,#123877); #85959=LINE('',#509562,#123878); #85960=LINE('',#509564,#123879); #85961=LINE('',#509565,#123880); #85962=LINE('',#509568,#123881); #85963=LINE('',#509570,#123882); #85964=LINE('',#509571,#123883); #85965=LINE('',#509573,#123884); #85966=LINE('',#509574,#123885); #85967=LINE('',#509578,#123886); #85968=LINE('',#509580,#123887); #85969=LINE('',#509582,#123888); #85970=LINE('',#509583,#123889); #85971=LINE('',#509586,#123890); #85972=LINE('',#509588,#123891); #85973=LINE('',#509589,#123892); #85974=LINE('',#509592,#123893); #85975=LINE('',#509594,#123894); #85976=LINE('',#509595,#123895); #85977=LINE('',#509598,#123896); #85978=LINE('',#509600,#123897); #85979=LINE('',#509601,#123898); #85980=LINE('',#509604,#123899); #85981=LINE('',#509606,#123900); #85982=LINE('',#509607,#123901); #85983=LINE('',#509610,#123902); #85984=LINE('',#509612,#123903); #85985=LINE('',#509613,#123904); #85986=LINE('',#509616,#123905); #85987=LINE('',#509618,#123906); #85988=LINE('',#509619,#123907); #85989=LINE('',#509622,#123908); #85990=LINE('',#509624,#123909); #85991=LINE('',#509625,#123910); #85992=LINE('',#509628,#123911); #85993=LINE('',#509630,#123912); #85994=LINE('',#509631,#123913); #85995=LINE('',#509634,#123914); #85996=LINE('',#509636,#123915); #85997=LINE('',#509637,#123916); #85998=LINE('',#509640,#123917); #85999=LINE('',#509642,#123918); #86000=LINE('',#509643,#123919); #86001=LINE('',#509646,#123920); #86002=LINE('',#509648,#123921); #86003=LINE('',#509649,#123922); #86004=LINE('',#509652,#123923); #86005=LINE('',#509654,#123924); #86006=LINE('',#509655,#123925); #86007=LINE('',#509658,#123926); #86008=LINE('',#509660,#123927); #86009=LINE('',#509661,#123928); #86010=LINE('',#509664,#123929); #86011=LINE('',#509666,#123930); #86012=LINE('',#509667,#123931); #86013=LINE('',#509670,#123932); #86014=LINE('',#509672,#123933); #86015=LINE('',#509673,#123934); #86016=LINE('',#509676,#123935); #86017=LINE('',#509678,#123936); #86018=LINE('',#509679,#123937); #86019=LINE('',#509682,#123938); #86020=LINE('',#509684,#123939); #86021=LINE('',#509685,#123940); #86022=LINE('',#509688,#123941); #86023=LINE('',#509690,#123942); #86024=LINE('',#509691,#123943); #86025=LINE('',#509694,#123944); #86026=LINE('',#509696,#123945); #86027=LINE('',#509697,#123946); #86028=LINE('',#509700,#123947); #86029=LINE('',#509702,#123948); #86030=LINE('',#509703,#123949); #86031=LINE('',#509706,#123950); #86032=LINE('',#509708,#123951); #86033=LINE('',#509709,#123952); #86034=LINE('',#509712,#123953); #86035=LINE('',#509714,#123954); #86036=LINE('',#509715,#123955); #86037=LINE('',#509718,#123956); #86038=LINE('',#509720,#123957); #86039=LINE('',#509721,#123958); #86040=LINE('',#509724,#123959); #86041=LINE('',#509726,#123960); #86042=LINE('',#509727,#123961); #86043=LINE('',#509730,#123962); #86044=LINE('',#509732,#123963); #86045=LINE('',#509733,#123964); #86046=LINE('',#509736,#123965); #86047=LINE('',#509738,#123966); #86048=LINE('',#509739,#123967); #86049=LINE('',#509742,#123968); #86050=LINE('',#509744,#123969); #86051=LINE('',#509745,#123970); #86052=LINE('',#509748,#123971); #86053=LINE('',#509750,#123972); #86054=LINE('',#509751,#123973); #86055=LINE('',#509753,#123974); #86056=LINE('',#509754,#123975); #86057=LINE('',#509758,#123976); #86058=LINE('',#509760,#123977); #86059=LINE('',#509762,#123978); #86060=LINE('',#509763,#123979); #86061=LINE('',#509766,#123980); #86062=LINE('',#509768,#123981); #86063=LINE('',#509769,#123982); #86064=LINE('',#509772,#123983); #86065=LINE('',#509774,#123984); #86066=LINE('',#509775,#123985); #86067=LINE('',#509778,#123986); #86068=LINE('',#509780,#123987); #86069=LINE('',#509781,#123988); #86070=LINE('',#509784,#123989); #86071=LINE('',#509786,#123990); #86072=LINE('',#509787,#123991); #86073=LINE('',#509790,#123992); #86074=LINE('',#509792,#123993); #86075=LINE('',#509793,#123994); #86076=LINE('',#509796,#123995); #86077=LINE('',#509798,#123996); #86078=LINE('',#509799,#123997); #86079=LINE('',#509802,#123998); #86080=LINE('',#509804,#123999); #86081=LINE('',#509805,#124000); #86082=LINE('',#509808,#124001); #86083=LINE('',#509810,#124002); #86084=LINE('',#509811,#124003); #86085=LINE('',#509814,#124004); #86086=LINE('',#509816,#124005); #86087=LINE('',#509817,#124006); #86088=LINE('',#509820,#124007); #86089=LINE('',#509822,#124008); #86090=LINE('',#509823,#124009); #86091=LINE('',#509826,#124010); #86092=LINE('',#509828,#124011); #86093=LINE('',#509829,#124012); #86094=LINE('',#509832,#124013); #86095=LINE('',#509834,#124014); #86096=LINE('',#509835,#124015); #86097=LINE('',#509838,#124016); #86098=LINE('',#509840,#124017); #86099=LINE('',#509841,#124018); #86100=LINE('',#509844,#124019); #86101=LINE('',#509846,#124020); #86102=LINE('',#509847,#124021); #86103=LINE('',#509850,#124022); #86104=LINE('',#509852,#124023); #86105=LINE('',#509853,#124024); #86106=LINE('',#509856,#124025); #86107=LINE('',#509858,#124026); #86108=LINE('',#509859,#124027); #86109=LINE('',#509862,#124028); #86110=LINE('',#509864,#124029); #86111=LINE('',#509865,#124030); #86112=LINE('',#509868,#124031); #86113=LINE('',#509870,#124032); #86114=LINE('',#509871,#124033); #86115=LINE('',#509874,#124034); #86116=LINE('',#509876,#124035); #86117=LINE('',#509877,#124036); #86118=LINE('',#509880,#124037); #86119=LINE('',#509882,#124038); #86120=LINE('',#509883,#124039); #86121=LINE('',#509886,#124040); #86122=LINE('',#509888,#124041); #86123=LINE('',#509889,#124042); #86124=LINE('',#509892,#124043); #86125=LINE('',#509894,#124044); #86126=LINE('',#509895,#124045); #86127=LINE('',#509898,#124046); #86128=LINE('',#509900,#124047); #86129=LINE('',#509901,#124048); #86130=LINE('',#509904,#124049); #86131=LINE('',#509906,#124050); #86132=LINE('',#509907,#124051); #86133=LINE('',#509910,#124052); #86134=LINE('',#509912,#124053); #86135=LINE('',#509913,#124054); #86136=LINE('',#509916,#124055); #86137=LINE('',#509918,#124056); #86138=LINE('',#509919,#124057); #86139=LINE('',#509922,#124058); #86140=LINE('',#509924,#124059); #86141=LINE('',#509925,#124060); #86142=LINE('',#509928,#124061); #86143=LINE('',#509930,#124062); #86144=LINE('',#509931,#124063); #86145=LINE('',#509933,#124064); #86146=LINE('',#509934,#124065); #86147=LINE('',#509938,#124066); #86148=LINE('',#509940,#124067); #86149=LINE('',#509942,#124068); #86150=LINE('',#509943,#124069); #86151=LINE('',#509946,#124070); #86152=LINE('',#509948,#124071); #86153=LINE('',#509949,#124072); #86154=LINE('',#509952,#124073); #86155=LINE('',#509954,#124074); #86156=LINE('',#509955,#124075); #86157=LINE('',#509958,#124076); #86158=LINE('',#509960,#124077); #86159=LINE('',#509961,#124078); #86160=LINE('',#509964,#124079); #86161=LINE('',#509966,#124080); #86162=LINE('',#509967,#124081); #86163=LINE('',#509970,#124082); #86164=LINE('',#509972,#124083); #86165=LINE('',#509973,#124084); #86166=LINE('',#509976,#124085); #86167=LINE('',#509978,#124086); #86168=LINE('',#509979,#124087); #86169=LINE('',#509982,#124088); #86170=LINE('',#509984,#124089); #86171=LINE('',#509985,#124090); #86172=LINE('',#509988,#124091); #86173=LINE('',#509990,#124092); #86174=LINE('',#509991,#124093); #86175=LINE('',#509994,#124094); #86176=LINE('',#509996,#124095); #86177=LINE('',#509997,#124096); #86178=LINE('',#510000,#124097); #86179=LINE('',#510002,#124098); #86180=LINE('',#510003,#124099); #86181=LINE('',#510006,#124100); #86182=LINE('',#510008,#124101); #86183=LINE('',#510009,#124102); #86184=LINE('',#510012,#124103); #86185=LINE('',#510014,#124104); #86186=LINE('',#510015,#124105); #86187=LINE('',#510018,#124106); #86188=LINE('',#510020,#124107); #86189=LINE('',#510021,#124108); #86190=LINE('',#510024,#124109); #86191=LINE('',#510026,#124110); #86192=LINE('',#510027,#124111); #86193=LINE('',#510030,#124112); #86194=LINE('',#510032,#124113); #86195=LINE('',#510033,#124114); #86196=LINE('',#510036,#124115); #86197=LINE('',#510038,#124116); #86198=LINE('',#510039,#124117); #86199=LINE('',#510042,#124118); #86200=LINE('',#510044,#124119); #86201=LINE('',#510045,#124120); #86202=LINE('',#510048,#124121); #86203=LINE('',#510050,#124122); #86204=LINE('',#510051,#124123); #86205=LINE('',#510054,#124124); #86206=LINE('',#510056,#124125); #86207=LINE('',#510057,#124126); #86208=LINE('',#510060,#124127); #86209=LINE('',#510062,#124128); #86210=LINE('',#510063,#124129); #86211=LINE('',#510066,#124130); #86212=LINE('',#510068,#124131); #86213=LINE('',#510069,#124132); #86214=LINE('',#510072,#124133); #86215=LINE('',#510074,#124134); #86216=LINE('',#510075,#124135); #86217=LINE('',#510078,#124136); #86218=LINE('',#510080,#124137); #86219=LINE('',#510081,#124138); #86220=LINE('',#510084,#124139); #86221=LINE('',#510086,#124140); #86222=LINE('',#510087,#124141); #86223=LINE('',#510090,#124142); #86224=LINE('',#510092,#124143); #86225=LINE('',#510093,#124144); #86226=LINE('',#510096,#124145); #86227=LINE('',#510098,#124146); #86228=LINE('',#510099,#124147); #86229=LINE('',#510102,#124148); #86230=LINE('',#510104,#124149); #86231=LINE('',#510105,#124150); #86232=LINE('',#510108,#124151); #86233=LINE('',#510110,#124152); #86234=LINE('',#510111,#124153); #86235=LINE('',#510113,#124154); #86236=LINE('',#510114,#124155); #86237=LINE('',#510118,#124156); #86238=LINE('',#510120,#124157); #86239=LINE('',#510122,#124158); #86240=LINE('',#510123,#124159); #86241=LINE('',#510126,#124160); #86242=LINE('',#510128,#124161); #86243=LINE('',#510129,#124162); #86244=LINE('',#510132,#124163); #86245=LINE('',#510134,#124164); #86246=LINE('',#510135,#124165); #86247=LINE('',#510138,#124166); #86248=LINE('',#510140,#124167); #86249=LINE('',#510141,#124168); #86250=LINE('',#510144,#124169); #86251=LINE('',#510146,#124170); #86252=LINE('',#510147,#124171); #86253=LINE('',#510150,#124172); #86254=LINE('',#510152,#124173); #86255=LINE('',#510153,#124174); #86256=LINE('',#510156,#124175); #86257=LINE('',#510158,#124176); #86258=LINE('',#510159,#124177); #86259=LINE('',#510162,#124178); #86260=LINE('',#510164,#124179); #86261=LINE('',#510165,#124180); #86262=LINE('',#510168,#124181); #86263=LINE('',#510170,#124182); #86264=LINE('',#510171,#124183); #86265=LINE('',#510174,#124184); #86266=LINE('',#510176,#124185); #86267=LINE('',#510177,#124186); #86268=LINE('',#510180,#124187); #86269=LINE('',#510182,#124188); #86270=LINE('',#510183,#124189); #86271=LINE('',#510186,#124190); #86272=LINE('',#510188,#124191); #86273=LINE('',#510189,#124192); #86274=LINE('',#510192,#124193); #86275=LINE('',#510194,#124194); #86276=LINE('',#510195,#124195); #86277=LINE('',#510198,#124196); #86278=LINE('',#510200,#124197); #86279=LINE('',#510201,#124198); #86280=LINE('',#510204,#124199); #86281=LINE('',#510206,#124200); #86282=LINE('',#510207,#124201); #86283=LINE('',#510210,#124202); #86284=LINE('',#510212,#124203); #86285=LINE('',#510213,#124204); #86286=LINE('',#510216,#124205); #86287=LINE('',#510218,#124206); #86288=LINE('',#510219,#124207); #86289=LINE('',#510222,#124208); #86290=LINE('',#510224,#124209); #86291=LINE('',#510225,#124210); #86292=LINE('',#510228,#124211); #86293=LINE('',#510230,#124212); #86294=LINE('',#510231,#124213); #86295=LINE('',#510234,#124214); #86296=LINE('',#510236,#124215); #86297=LINE('',#510237,#124216); #86298=LINE('',#510240,#124217); #86299=LINE('',#510242,#124218); #86300=LINE('',#510243,#124219); #86301=LINE('',#510246,#124220); #86302=LINE('',#510248,#124221); #86303=LINE('',#510249,#124222); #86304=LINE('',#510252,#124223); #86305=LINE('',#510254,#124224); #86306=LINE('',#510255,#124225); #86307=LINE('',#510258,#124226); #86308=LINE('',#510260,#124227); #86309=LINE('',#510261,#124228); #86310=LINE('',#510264,#124229); #86311=LINE('',#510266,#124230); #86312=LINE('',#510267,#124231); #86313=LINE('',#510270,#124232); #86314=LINE('',#510272,#124233); #86315=LINE('',#510273,#124234); #86316=LINE('',#510276,#124235); #86317=LINE('',#510278,#124236); #86318=LINE('',#510279,#124237); #86319=LINE('',#510282,#124238); #86320=LINE('',#510284,#124239); #86321=LINE('',#510285,#124240); #86322=LINE('',#510288,#124241); #86323=LINE('',#510290,#124242); #86324=LINE('',#510291,#124243); #86325=LINE('',#510293,#124244); #86326=LINE('',#510294,#124245); #86327=LINE('',#510298,#124246); #86328=LINE('',#510300,#124247); #86329=LINE('',#510302,#124248); #86330=LINE('',#510303,#124249); #86331=LINE('',#510306,#124250); #86332=LINE('',#510308,#124251); #86333=LINE('',#510309,#124252); #86334=LINE('',#510312,#124253); #86335=LINE('',#510314,#124254); #86336=LINE('',#510315,#124255); #86337=LINE('',#510318,#124256); #86338=LINE('',#510320,#124257); #86339=LINE('',#510321,#124258); #86340=LINE('',#510324,#124259); #86341=LINE('',#510326,#124260); #86342=LINE('',#510327,#124261); #86343=LINE('',#510330,#124262); #86344=LINE('',#510332,#124263); #86345=LINE('',#510333,#124264); #86346=LINE('',#510336,#124265); #86347=LINE('',#510338,#124266); #86348=LINE('',#510339,#124267); #86349=LINE('',#510342,#124268); #86350=LINE('',#510344,#124269); #86351=LINE('',#510345,#124270); #86352=LINE('',#510348,#124271); #86353=LINE('',#510350,#124272); #86354=LINE('',#510351,#124273); #86355=LINE('',#510354,#124274); #86356=LINE('',#510356,#124275); #86357=LINE('',#510357,#124276); #86358=LINE('',#510360,#124277); #86359=LINE('',#510362,#124278); #86360=LINE('',#510363,#124279); #86361=LINE('',#510366,#124280); #86362=LINE('',#510368,#124281); #86363=LINE('',#510369,#124282); #86364=LINE('',#510372,#124283); #86365=LINE('',#510374,#124284); #86366=LINE('',#510375,#124285); #86367=LINE('',#510378,#124286); #86368=LINE('',#510380,#124287); #86369=LINE('',#510381,#124288); #86370=LINE('',#510384,#124289); #86371=LINE('',#510386,#124290); #86372=LINE('',#510387,#124291); #86373=LINE('',#510390,#124292); #86374=LINE('',#510392,#124293); #86375=LINE('',#510393,#124294); #86376=LINE('',#510396,#124295); #86377=LINE('',#510398,#124296); #86378=LINE('',#510399,#124297); #86379=LINE('',#510402,#124298); #86380=LINE('',#510404,#124299); #86381=LINE('',#510405,#124300); #86382=LINE('',#510408,#124301); #86383=LINE('',#510410,#124302); #86384=LINE('',#510411,#124303); #86385=LINE('',#510414,#124304); #86386=LINE('',#510416,#124305); #86387=LINE('',#510417,#124306); #86388=LINE('',#510420,#124307); #86389=LINE('',#510422,#124308); #86390=LINE('',#510423,#124309); #86391=LINE('',#510426,#124310); #86392=LINE('',#510428,#124311); #86393=LINE('',#510429,#124312); #86394=LINE('',#510432,#124313); #86395=LINE('',#510434,#124314); #86396=LINE('',#510435,#124315); #86397=LINE('',#510438,#124316); #86398=LINE('',#510440,#124317); #86399=LINE('',#510441,#124318); #86400=LINE('',#510444,#124319); #86401=LINE('',#510446,#124320); #86402=LINE('',#510447,#124321); #86403=LINE('',#510450,#124322); #86404=LINE('',#510452,#124323); #86405=LINE('',#510453,#124324); #86406=LINE('',#510456,#124325); #86407=LINE('',#510458,#124326); #86408=LINE('',#510459,#124327); #86409=LINE('',#510462,#124328); #86410=LINE('',#510464,#124329); #86411=LINE('',#510465,#124330); #86412=LINE('',#510468,#124331); #86413=LINE('',#510470,#124332); #86414=LINE('',#510471,#124333); #86415=LINE('',#510474,#124334); #86416=LINE('',#510476,#124335); #86417=LINE('',#510477,#124336); #86418=LINE('',#510480,#124337); #86419=LINE('',#510482,#124338); #86420=LINE('',#510483,#124339); #86421=LINE('',#510486,#124340); #86422=LINE('',#510488,#124341); #86423=LINE('',#510489,#124342); #86424=LINE('',#510492,#124343); #86425=LINE('',#510494,#124344); #86426=LINE('',#510495,#124345); #86427=LINE('',#510498,#124346); #86428=LINE('',#510500,#124347); #86429=LINE('',#510501,#124348); #86430=LINE('',#510504,#124349); #86431=LINE('',#510506,#124350); #86432=LINE('',#510507,#124351); #86433=LINE('',#510510,#124352); #86434=LINE('',#510512,#124353); #86435=LINE('',#510513,#124354); #86436=LINE('',#510516,#124355); #86437=LINE('',#510518,#124356); #86438=LINE('',#510519,#124357); #86439=LINE('',#510522,#124358); #86440=LINE('',#510524,#124359); #86441=LINE('',#510525,#124360); #86442=LINE('',#510528,#124361); #86443=LINE('',#510530,#124362); #86444=LINE('',#510531,#124363); #86445=LINE('',#510534,#124364); #86446=LINE('',#510536,#124365); #86447=LINE('',#510537,#124366); #86448=LINE('',#510540,#124367); #86449=LINE('',#510542,#124368); #86450=LINE('',#510543,#124369); #86451=LINE('',#510546,#124370); #86452=LINE('',#510548,#124371); #86453=LINE('',#510549,#124372); #86454=LINE('',#510552,#124373); #86455=LINE('',#510554,#124374); #86456=LINE('',#510555,#124375); #86457=LINE('',#510558,#124376); #86458=LINE('',#510560,#124377); #86459=LINE('',#510561,#124378); #86460=LINE('',#510564,#124379); #86461=LINE('',#510566,#124380); #86462=LINE('',#510567,#124381); #86463=LINE('',#510570,#124382); #86464=LINE('',#510572,#124383); #86465=LINE('',#510573,#124384); #86466=LINE('',#510576,#124385); #86467=LINE('',#510578,#124386); #86468=LINE('',#510579,#124387); #86469=LINE('',#510582,#124388); #86470=LINE('',#510584,#124389); #86471=LINE('',#510585,#124390); #86472=LINE('',#510588,#124391); #86473=LINE('',#510590,#124392); #86474=LINE('',#510591,#124393); #86475=LINE('',#510594,#124394); #86476=LINE('',#510596,#124395); #86477=LINE('',#510597,#124396); #86478=LINE('',#510600,#124397); #86479=LINE('',#510602,#124398); #86480=LINE('',#510603,#124399); #86481=LINE('',#510606,#124400); #86482=LINE('',#510608,#124401); #86483=LINE('',#510609,#124402); #86484=LINE('',#510612,#124403); #86485=LINE('',#510614,#124404); #86486=LINE('',#510615,#124405); #86487=LINE('',#510618,#124406); #86488=LINE('',#510620,#124407); #86489=LINE('',#510621,#124408); #86490=LINE('',#510624,#124409); #86491=LINE('',#510626,#124410); #86492=LINE('',#510627,#124411); #86493=LINE('',#510630,#124412); #86494=LINE('',#510632,#124413); #86495=LINE('',#510633,#124414); #86496=LINE('',#510636,#124415); #86497=LINE('',#510638,#124416); #86498=LINE('',#510639,#124417); #86499=LINE('',#510642,#124418); #86500=LINE('',#510644,#124419); #86501=LINE('',#510645,#124420); #86502=LINE('',#510648,#124421); #86503=LINE('',#510650,#124422); #86504=LINE('',#510651,#124423); #86505=LINE('',#510654,#124424); #86506=LINE('',#510656,#124425); #86507=LINE('',#510657,#124426); #86508=LINE('',#510660,#124427); #86509=LINE('',#510662,#124428); #86510=LINE('',#510663,#124429); #86511=LINE('',#510666,#124430); #86512=LINE('',#510668,#124431); #86513=LINE('',#510669,#124432); #86514=LINE('',#510672,#124433); #86515=LINE('',#510674,#124434); #86516=LINE('',#510675,#124435); #86517=LINE('',#510678,#124436); #86518=LINE('',#510680,#124437); #86519=LINE('',#510681,#124438); #86520=LINE('',#510684,#124439); #86521=LINE('',#510686,#124440); #86522=LINE('',#510687,#124441); #86523=LINE('',#510690,#124442); #86524=LINE('',#510692,#124443); #86525=LINE('',#510693,#124444); #86526=LINE('',#510696,#124445); #86527=LINE('',#510698,#124446); #86528=LINE('',#510699,#124447); #86529=LINE('',#510702,#124448); #86530=LINE('',#510704,#124449); #86531=LINE('',#510705,#124450); #86532=LINE('',#510708,#124451); #86533=LINE('',#510710,#124452); #86534=LINE('',#510711,#124453); #86535=LINE('',#510714,#124454); #86536=LINE('',#510716,#124455); #86537=LINE('',#510717,#124456); #86538=LINE('',#510720,#124457); #86539=LINE('',#510722,#124458); #86540=LINE('',#510723,#124459); #86541=LINE('',#510726,#124460); #86542=LINE('',#510728,#124461); #86543=LINE('',#510729,#124462); #86544=LINE('',#510732,#124463); #86545=LINE('',#510734,#124464); #86546=LINE('',#510735,#124465); #86547=LINE('',#510738,#124466); #86548=LINE('',#510740,#124467); #86549=LINE('',#510741,#124468); #86550=LINE('',#510744,#124469); #86551=LINE('',#510746,#124470); #86552=LINE('',#510747,#124471); #86553=LINE('',#510750,#124472); #86554=LINE('',#510752,#124473); #86555=LINE('',#510753,#124474); #86556=LINE('',#510756,#124475); #86557=LINE('',#510758,#124476); #86558=LINE('',#510759,#124477); #86559=LINE('',#510762,#124478); #86560=LINE('',#510764,#124479); #86561=LINE('',#510765,#124480); #86562=LINE('',#510768,#124481); #86563=LINE('',#510770,#124482); #86564=LINE('',#510771,#124483); #86565=LINE('',#510774,#124484); #86566=LINE('',#510776,#124485); #86567=LINE('',#510777,#124486); #86568=LINE('',#510780,#124487); #86569=LINE('',#510782,#124488); #86570=LINE('',#510783,#124489); #86571=LINE('',#510786,#124490); #86572=LINE('',#510788,#124491); #86573=LINE('',#510789,#124492); #86574=LINE('',#510792,#124493); #86575=LINE('',#510794,#124494); #86576=LINE('',#510795,#124495); #86577=LINE('',#510798,#124496); #86578=LINE('',#510800,#124497); #86579=LINE('',#510801,#124498); #86580=LINE('',#510804,#124499); #86581=LINE('',#510806,#124500); #86582=LINE('',#510807,#124501); #86583=LINE('',#510810,#124502); #86584=LINE('',#510812,#124503); #86585=LINE('',#510813,#124504); #86586=LINE('',#510816,#124505); #86587=LINE('',#510818,#124506); #86588=LINE('',#510819,#124507); #86589=LINE('',#510822,#124508); #86590=LINE('',#510824,#124509); #86591=LINE('',#510825,#124510); #86592=LINE('',#510828,#124511); #86593=LINE('',#510830,#124512); #86594=LINE('',#510831,#124513); #86595=LINE('',#510834,#124514); #86596=LINE('',#510836,#124515); #86597=LINE('',#510837,#124516); #86598=LINE('',#510840,#124517); #86599=LINE('',#510842,#124518); #86600=LINE('',#510843,#124519); #86601=LINE('',#510846,#124520); #86602=LINE('',#510848,#124521); #86603=LINE('',#510849,#124522); #86604=LINE('',#510852,#124523); #86605=LINE('',#510854,#124524); #86606=LINE('',#510855,#124525); #86607=LINE('',#510858,#124526); #86608=LINE('',#510860,#124527); #86609=LINE('',#510861,#124528); #86610=LINE('',#510864,#124529); #86611=LINE('',#510866,#124530); #86612=LINE('',#510867,#124531); #86613=LINE('',#510870,#124532); #86614=LINE('',#510872,#124533); #86615=LINE('',#510873,#124534); #86616=LINE('',#510876,#124535); #86617=LINE('',#510878,#124536); #86618=LINE('',#510879,#124537); #86619=LINE('',#510882,#124538); #86620=LINE('',#510884,#124539); #86621=LINE('',#510885,#124540); #86622=LINE('',#510888,#124541); #86623=LINE('',#510890,#124542); #86624=LINE('',#510891,#124543); #86625=LINE('',#510894,#124544); #86626=LINE('',#510896,#124545); #86627=LINE('',#510897,#124546); #86628=LINE('',#510900,#124547); #86629=LINE('',#510902,#124548); #86630=LINE('',#510903,#124549); #86631=LINE('',#510906,#124550); #86632=LINE('',#510908,#124551); #86633=LINE('',#510909,#124552); #86634=LINE('',#510912,#124553); #86635=LINE('',#510914,#124554); #86636=LINE('',#510915,#124555); #86637=LINE('',#510918,#124556); #86638=LINE('',#510920,#124557); #86639=LINE('',#510921,#124558); #86640=LINE('',#510924,#124559); #86641=LINE('',#510926,#124560); #86642=LINE('',#510927,#124561); #86643=LINE('',#510930,#124562); #86644=LINE('',#510932,#124563); #86645=LINE('',#510933,#124564); #86646=LINE('',#510936,#124565); #86647=LINE('',#510938,#124566); #86648=LINE('',#510939,#124567); #86649=LINE('',#510942,#124568); #86650=LINE('',#510944,#124569); #86651=LINE('',#510945,#124570); #86652=LINE('',#510948,#124571); #86653=LINE('',#510950,#124572); #86654=LINE('',#510951,#124573); #86655=LINE('',#510954,#124574); #86656=LINE('',#510956,#124575); #86657=LINE('',#510957,#124576); #86658=LINE('',#510960,#124577); #86659=LINE('',#510962,#124578); #86660=LINE('',#510963,#124579); #86661=LINE('',#510966,#124580); #86662=LINE('',#510968,#124581); #86663=LINE('',#510969,#124582); #86664=LINE('',#510972,#124583); #86665=LINE('',#510974,#124584); #86666=LINE('',#510975,#124585); #86667=LINE('',#510978,#124586); #86668=LINE('',#510980,#124587); #86669=LINE('',#510981,#124588); #86670=LINE('',#510984,#124589); #86671=LINE('',#510986,#124590); #86672=LINE('',#510987,#124591); #86673=LINE('',#510990,#124592); #86674=LINE('',#510992,#124593); #86675=LINE('',#510993,#124594); #86676=LINE('',#510996,#124595); #86677=LINE('',#510998,#124596); #86678=LINE('',#510999,#124597); #86679=LINE('',#511002,#124598); #86680=LINE('',#511004,#124599); #86681=LINE('',#511005,#124600); #86682=LINE('',#511008,#124601); #86683=LINE('',#511010,#124602); #86684=LINE('',#511011,#124603); #86685=LINE('',#511013,#124604); #86686=LINE('',#511014,#124605); #86687=LINE('',#511020,#124606); #86688=LINE('',#511022,#124607); #86689=LINE('',#511024,#124608); #86690=LINE('',#511025,#124609); #86691=LINE('',#511028,#124610); #86692=LINE('',#511030,#124611); #86693=LINE('',#511031,#124612); #86694=LINE('',#511034,#124613); #86695=LINE('',#511036,#124614); #86696=LINE('',#511037,#124615); #86697=LINE('',#511040,#124616); #86698=LINE('',#511042,#124617); #86699=LINE('',#511043,#124618); #86700=LINE('',#511046,#124619); #86701=LINE('',#511048,#124620); #86702=LINE('',#511049,#124621); #86703=LINE('',#511052,#124622); #86704=LINE('',#511054,#124623); #86705=LINE('',#511055,#124624); #86706=LINE('',#511058,#124625); #86707=LINE('',#511060,#124626); #86708=LINE('',#511061,#124627); #86709=LINE('',#511064,#124628); #86710=LINE('',#511066,#124629); #86711=LINE('',#511067,#124630); #86712=LINE('',#511070,#124631); #86713=LINE('',#511072,#124632); #86714=LINE('',#511073,#124633); #86715=LINE('',#511076,#124634); #86716=LINE('',#511078,#124635); #86717=LINE('',#511079,#124636); #86718=LINE('',#511082,#124637); #86719=LINE('',#511084,#124638); #86720=LINE('',#511085,#124639); #86721=LINE('',#511088,#124640); #86722=LINE('',#511090,#124641); #86723=LINE('',#511091,#124642); #86724=LINE('',#511094,#124643); #86725=LINE('',#511096,#124644); #86726=LINE('',#511097,#124645); #86727=LINE('',#511100,#124646); #86728=LINE('',#511102,#124647); #86729=LINE('',#511103,#124648); #86730=LINE('',#511106,#124649); #86731=LINE('',#511108,#124650); #86732=LINE('',#511109,#124651); #86733=LINE('',#511112,#124652); #86734=LINE('',#511114,#124653); #86735=LINE('',#511115,#124654); #86736=LINE('',#511118,#124655); #86737=LINE('',#511120,#124656); #86738=LINE('',#511121,#124657); #86739=LINE('',#511124,#124658); #86740=LINE('',#511126,#124659); #86741=LINE('',#511127,#124660); #86742=LINE('',#511130,#124661); #86743=LINE('',#511132,#124662); #86744=LINE('',#511133,#124663); #86745=LINE('',#511136,#124664); #86746=LINE('',#511138,#124665); #86747=LINE('',#511139,#124666); #86748=LINE('',#511142,#124667); #86749=LINE('',#511144,#124668); #86750=LINE('',#511145,#124669); #86751=LINE('',#511148,#124670); #86752=LINE('',#511150,#124671); #86753=LINE('',#511151,#124672); #86754=LINE('',#511154,#124673); #86755=LINE('',#511156,#124674); #86756=LINE('',#511157,#124675); #86757=LINE('',#511160,#124676); #86758=LINE('',#511162,#124677); #86759=LINE('',#511163,#124678); #86760=LINE('',#511166,#124679); #86761=LINE('',#511168,#124680); #86762=LINE('',#511169,#124681); #86763=LINE('',#511172,#124682); #86764=LINE('',#511174,#124683); #86765=LINE('',#511175,#124684); #86766=LINE('',#511178,#124685); #86767=LINE('',#511180,#124686); #86768=LINE('',#511181,#124687); #86769=LINE('',#511184,#124688); #86770=LINE('',#511186,#124689); #86771=LINE('',#511187,#124690); #86772=LINE('',#511190,#124691); #86773=LINE('',#511192,#124692); #86774=LINE('',#511193,#124693); #86775=LINE('',#511196,#124694); #86776=LINE('',#511198,#124695); #86777=LINE('',#511199,#124696); #86778=LINE('',#511202,#124697); #86779=LINE('',#511204,#124698); #86780=LINE('',#511205,#124699); #86781=LINE('',#511207,#124700); #86782=LINE('',#511208,#124701); #86783=LINE('',#511214,#124702); #86784=LINE('',#511216,#124703); #86785=LINE('',#511218,#124704); #86786=LINE('',#511219,#124705); #86787=LINE('',#511222,#124706); #86788=LINE('',#511224,#124707); #86789=LINE('',#511225,#124708); #86790=LINE('',#511228,#124709); #86791=LINE('',#511230,#124710); #86792=LINE('',#511231,#124711); #86793=LINE('',#511234,#124712); #86794=LINE('',#511236,#124713); #86795=LINE('',#511237,#124714); #86796=LINE('',#511240,#124715); #86797=LINE('',#511242,#124716); #86798=LINE('',#511243,#124717); #86799=LINE('',#511246,#124718); #86800=LINE('',#511248,#124719); #86801=LINE('',#511249,#124720); #86802=LINE('',#511252,#124721); #86803=LINE('',#511254,#124722); #86804=LINE('',#511255,#124723); #86805=LINE('',#511258,#124724); #86806=LINE('',#511260,#124725); #86807=LINE('',#511261,#124726); #86808=LINE('',#511264,#124727); #86809=LINE('',#511266,#124728); #86810=LINE('',#511267,#124729); #86811=LINE('',#511270,#124730); #86812=LINE('',#511272,#124731); #86813=LINE('',#511273,#124732); #86814=LINE('',#511276,#124733); #86815=LINE('',#511278,#124734); #86816=LINE('',#511279,#124735); #86817=LINE('',#511282,#124736); #86818=LINE('',#511284,#124737); #86819=LINE('',#511285,#124738); #86820=LINE('',#511288,#124739); #86821=LINE('',#511290,#124740); #86822=LINE('',#511291,#124741); #86823=LINE('',#511294,#124742); #86824=LINE('',#511296,#124743); #86825=LINE('',#511297,#124744); #86826=LINE('',#511300,#124745); #86827=LINE('',#511302,#124746); #86828=LINE('',#511303,#124747); #86829=LINE('',#511306,#124748); #86830=LINE('',#511308,#124749); #86831=LINE('',#511309,#124750); #86832=LINE('',#511312,#124751); #86833=LINE('',#511314,#124752); #86834=LINE('',#511315,#124753); #86835=LINE('',#511318,#124754); #86836=LINE('',#511320,#124755); #86837=LINE('',#511321,#124756); #86838=LINE('',#511324,#124757); #86839=LINE('',#511326,#124758); #86840=LINE('',#511327,#124759); #86841=LINE('',#511330,#124760); #86842=LINE('',#511332,#124761); #86843=LINE('',#511333,#124762); #86844=LINE('',#511335,#124763); #86845=LINE('',#511336,#124764); #86846=LINE('',#511342,#124765); #86847=LINE('',#511344,#124766); #86848=LINE('',#511346,#124767); #86849=LINE('',#511347,#124768); #86850=LINE('',#511353,#124769); #86851=LINE('',#511356,#124770); #86852=LINE('',#511358,#124771); #86853=LINE('',#511359,#124772); #86854=LINE('',#511366,#124773); #86855=LINE('',#511368,#124774); #86856=LINE('',#511370,#124775); #86857=LINE('',#511371,#124776); #86858=LINE('',#511374,#124777); #86859=LINE('',#511376,#124778); #86860=LINE('',#511377,#124779); #86861=LINE('',#511380,#124780); #86862=LINE('',#511382,#124781); #86863=LINE('',#511383,#124782); #86864=LINE('',#511386,#124783); #86865=LINE('',#511388,#124784); #86866=LINE('',#511389,#124785); #86867=LINE('',#511392,#124786); #86868=LINE('',#511394,#124787); #86869=LINE('',#511395,#124788); #86870=LINE('',#511398,#124789); #86871=LINE('',#511400,#124790); #86872=LINE('',#511401,#124791); #86873=LINE('',#511404,#124792); #86874=LINE('',#511406,#124793); #86875=LINE('',#511407,#124794); #86876=LINE('',#511410,#124795); #86877=LINE('',#511412,#124796); #86878=LINE('',#511413,#124797); #86879=LINE('',#511416,#124798); #86880=LINE('',#511418,#124799); #86881=LINE('',#511419,#124800); #86882=LINE('',#511422,#124801); #86883=LINE('',#511424,#124802); #86884=LINE('',#511425,#124803); #86885=LINE('',#511428,#124804); #86886=LINE('',#511430,#124805); #86887=LINE('',#511431,#124806); #86888=LINE('',#511434,#124807); #86889=LINE('',#511436,#124808); #86890=LINE('',#511437,#124809); #86891=LINE('',#511440,#124810); #86892=LINE('',#511442,#124811); #86893=LINE('',#511443,#124812); #86894=LINE('',#511446,#124813); #86895=LINE('',#511448,#124814); #86896=LINE('',#511449,#124815); #86897=LINE('',#511452,#124816); #86898=LINE('',#511454,#124817); #86899=LINE('',#511455,#124818); #86900=LINE('',#511458,#124819); #86901=LINE('',#511460,#124820); #86902=LINE('',#511461,#124821); #86903=LINE('',#511464,#124822); #86904=LINE('',#511466,#124823); #86905=LINE('',#511467,#124824); #86906=LINE('',#511470,#124825); #86907=LINE('',#511472,#124826); #86908=LINE('',#511473,#124827); #86909=LINE('',#511476,#124828); #86910=LINE('',#511478,#124829); #86911=LINE('',#511479,#124830); #86912=LINE('',#511482,#124831); #86913=LINE('',#511484,#124832); #86914=LINE('',#511485,#124833); #86915=LINE('',#511488,#124834); #86916=LINE('',#511490,#124835); #86917=LINE('',#511491,#124836); #86918=LINE('',#511494,#124837); #86919=LINE('',#511496,#124838); #86920=LINE('',#511497,#124839); #86921=LINE('',#511500,#124840); #86922=LINE('',#511502,#124841); #86923=LINE('',#511503,#124842); #86924=LINE('',#511506,#124843); #86925=LINE('',#511508,#124844); #86926=LINE('',#511509,#124845); #86927=LINE('',#511512,#124846); #86928=LINE('',#511514,#124847); #86929=LINE('',#511515,#124848); #86930=LINE('',#511518,#124849); #86931=LINE('',#511520,#124850); #86932=LINE('',#511521,#124851); #86933=LINE('',#511524,#124852); #86934=LINE('',#511526,#124853); #86935=LINE('',#511527,#124854); #86936=LINE('',#511530,#124855); #86937=LINE('',#511532,#124856); #86938=LINE('',#511533,#124857); #86939=LINE('',#511536,#124858); #86940=LINE('',#511538,#124859); #86941=LINE('',#511539,#124860); #86942=LINE('',#511542,#124861); #86943=LINE('',#511544,#124862); #86944=LINE('',#511545,#124863); #86945=LINE('',#511548,#124864); #86946=LINE('',#511550,#124865); #86947=LINE('',#511551,#124866); #86948=LINE('',#511554,#124867); #86949=LINE('',#511556,#124868); #86950=LINE('',#511557,#124869); #86951=LINE('',#511560,#124870); #86952=LINE('',#511562,#124871); #86953=LINE('',#511563,#124872); #86954=LINE('',#511566,#124873); #86955=LINE('',#511568,#124874); #86956=LINE('',#511569,#124875); #86957=LINE('',#511572,#124876); #86958=LINE('',#511574,#124877); #86959=LINE('',#511575,#124878); #86960=LINE('',#511578,#124879); #86961=LINE('',#511580,#124880); #86962=LINE('',#511581,#124881); #86963=LINE('',#511584,#124882); #86964=LINE('',#511586,#124883); #86965=LINE('',#511587,#124884); #86966=LINE('',#511590,#124885); #86967=LINE('',#511592,#124886); #86968=LINE('',#511593,#124887); #86969=LINE('',#511596,#124888); #86970=LINE('',#511598,#124889); #86971=LINE('',#511599,#124890); #86972=LINE('',#511602,#124891); #86973=LINE('',#511604,#124892); #86974=LINE('',#511605,#124893); #86975=LINE('',#511608,#124894); #86976=LINE('',#511610,#124895); #86977=LINE('',#511611,#124896); #86978=LINE('',#511614,#124897); #86979=LINE('',#511616,#124898); #86980=LINE('',#511617,#124899); #86981=LINE('',#511620,#124900); #86982=LINE('',#511622,#124901); #86983=LINE('',#511623,#124902); #86984=LINE('',#511626,#124903); #86985=LINE('',#511628,#124904); #86986=LINE('',#511629,#124905); #86987=LINE('',#511632,#124906); #86988=LINE('',#511634,#124907); #86989=LINE('',#511635,#124908); #86990=LINE('',#511638,#124909); #86991=LINE('',#511640,#124910); #86992=LINE('',#511641,#124911); #86993=LINE('',#511644,#124912); #86994=LINE('',#511646,#124913); #86995=LINE('',#511647,#124914); #86996=LINE('',#511650,#124915); #86997=LINE('',#511652,#124916); #86998=LINE('',#511653,#124917); #86999=LINE('',#511656,#124918); #87000=LINE('',#511658,#124919); #87001=LINE('',#511659,#124920); #87002=LINE('',#511662,#124921); #87003=LINE('',#511664,#124922); #87004=LINE('',#511665,#124923); #87005=LINE('',#511668,#124924); #87006=LINE('',#511670,#124925); #87007=LINE('',#511671,#124926); #87008=LINE('',#511674,#124927); #87009=LINE('',#511676,#124928); #87010=LINE('',#511677,#124929); #87011=LINE('',#511680,#124930); #87012=LINE('',#511682,#124931); #87013=LINE('',#511683,#124932); #87014=LINE('',#511686,#124933); #87015=LINE('',#511688,#124934); #87016=LINE('',#511689,#124935); #87017=LINE('',#511692,#124936); #87018=LINE('',#511694,#124937); #87019=LINE('',#511695,#124938); #87020=LINE('',#511698,#124939); #87021=LINE('',#511700,#124940); #87022=LINE('',#511701,#124941); #87023=LINE('',#511704,#124942); #87024=LINE('',#511706,#124943); #87025=LINE('',#511707,#124944); #87026=LINE('',#511710,#124945); #87027=LINE('',#511712,#124946); #87028=LINE('',#511713,#124947); #87029=LINE('',#511716,#124948); #87030=LINE('',#511718,#124949); #87031=LINE('',#511719,#124950); #87032=LINE('',#511722,#124951); #87033=LINE('',#511724,#124952); #87034=LINE('',#511725,#124953); #87035=LINE('',#511728,#124954); #87036=LINE('',#511730,#124955); #87037=LINE('',#511731,#124956); #87038=LINE('',#511734,#124957); #87039=LINE('',#511736,#124958); #87040=LINE('',#511737,#124959); #87041=LINE('',#511740,#124960); #87042=LINE('',#511742,#124961); #87043=LINE('',#511743,#124962); #87044=LINE('',#511746,#124963); #87045=LINE('',#511748,#124964); #87046=LINE('',#511749,#124965); #87047=LINE('',#511752,#124966); #87048=LINE('',#511754,#124967); #87049=LINE('',#511755,#124968); #87050=LINE('',#511758,#124969); #87051=LINE('',#511760,#124970); #87052=LINE('',#511761,#124971); #87053=LINE('',#511764,#124972); #87054=LINE('',#511766,#124973); #87055=LINE('',#511767,#124974); #87056=LINE('',#511770,#124975); #87057=LINE('',#511772,#124976); #87058=LINE('',#511773,#124977); #87059=LINE('',#511776,#124978); #87060=LINE('',#511778,#124979); #87061=LINE('',#511779,#124980); #87062=LINE('',#511782,#124981); #87063=LINE('',#511784,#124982); #87064=LINE('',#511785,#124983); #87065=LINE('',#511788,#124984); #87066=LINE('',#511790,#124985); #87067=LINE('',#511791,#124986); #87068=LINE('',#511793,#124987); #87069=LINE('',#511794,#124988); #87070=LINE('',#511800,#124989); #87071=LINE('',#511802,#124990); #87072=LINE('',#511804,#124991); #87073=LINE('',#511805,#124992); #87074=LINE('',#511808,#124993); #87075=LINE('',#511810,#124994); #87076=LINE('',#511811,#124995); #87077=LINE('',#511814,#124996); #87078=LINE('',#511816,#124997); #87079=LINE('',#511817,#124998); #87080=LINE('',#511820,#124999); #87081=LINE('',#511822,#125000); #87082=LINE('',#511823,#125001); #87083=LINE('',#511826,#125002); #87084=LINE('',#511828,#125003); #87085=LINE('',#511829,#125004); #87086=LINE('',#511832,#125005); #87087=LINE('',#511834,#125006); #87088=LINE('',#511835,#125007); #87089=LINE('',#511838,#125008); #87090=LINE('',#511840,#125009); #87091=LINE('',#511841,#125010); #87092=LINE('',#511844,#125011); #87093=LINE('',#511846,#125012); #87094=LINE('',#511847,#125013); #87095=LINE('',#511850,#125014); #87096=LINE('',#511852,#125015); #87097=LINE('',#511853,#125016); #87098=LINE('',#511856,#125017); #87099=LINE('',#511858,#125018); #87100=LINE('',#511859,#125019); #87101=LINE('',#511862,#125020); #87102=LINE('',#511864,#125021); #87103=LINE('',#511865,#125022); #87104=LINE('',#511868,#125023); #87105=LINE('',#511870,#125024); #87106=LINE('',#511871,#125025); #87107=LINE('',#511874,#125026); #87108=LINE('',#511876,#125027); #87109=LINE('',#511877,#125028); #87110=LINE('',#511880,#125029); #87111=LINE('',#511882,#125030); #87112=LINE('',#511883,#125031); #87113=LINE('',#511886,#125032); #87114=LINE('',#511888,#125033); #87115=LINE('',#511889,#125034); #87116=LINE('',#511892,#125035); #87117=LINE('',#511894,#125036); #87118=LINE('',#511895,#125037); #87119=LINE('',#511898,#125038); #87120=LINE('',#511900,#125039); #87121=LINE('',#511901,#125040); #87122=LINE('',#511904,#125041); #87123=LINE('',#511906,#125042); #87124=LINE('',#511907,#125043); #87125=LINE('',#511910,#125044); #87126=LINE('',#511912,#125045); #87127=LINE('',#511913,#125046); #87128=LINE('',#511916,#125047); #87129=LINE('',#511918,#125048); #87130=LINE('',#511919,#125049); #87131=LINE('',#511922,#125050); #87132=LINE('',#511924,#125051); #87133=LINE('',#511925,#125052); #87134=LINE('',#511928,#125053); #87135=LINE('',#511930,#125054); #87136=LINE('',#511931,#125055); #87137=LINE('',#511934,#125056); #87138=LINE('',#511936,#125057); #87139=LINE('',#511937,#125058); #87140=LINE('',#511940,#125059); #87141=LINE('',#511942,#125060); #87142=LINE('',#511943,#125061); #87143=LINE('',#511946,#125062); #87144=LINE('',#511948,#125063); #87145=LINE('',#511949,#125064); #87146=LINE('',#511952,#125065); #87147=LINE('',#511954,#125066); #87148=LINE('',#511955,#125067); #87149=LINE('',#511957,#125068); #87150=LINE('',#511958,#125069); #87151=LINE('',#511964,#125070); #87152=LINE('',#511966,#125071); #87153=LINE('',#511968,#125072); #87154=LINE('',#511969,#125073); #87155=LINE('',#511972,#125074); #87156=LINE('',#511974,#125075); #87157=LINE('',#511975,#125076); #87158=LINE('',#511978,#125077); #87159=LINE('',#511980,#125078); #87160=LINE('',#511981,#125079); #87161=LINE('',#511984,#125080); #87162=LINE('',#511986,#125081); #87163=LINE('',#511987,#125082); #87164=LINE('',#511990,#125083); #87165=LINE('',#511992,#125084); #87166=LINE('',#511993,#125085); #87167=LINE('',#511996,#125086); #87168=LINE('',#511998,#125087); #87169=LINE('',#511999,#125088); #87170=LINE('',#512002,#125089); #87171=LINE('',#512004,#125090); #87172=LINE('',#512005,#125091); #87173=LINE('',#512008,#125092); #87174=LINE('',#512010,#125093); #87175=LINE('',#512011,#125094); #87176=LINE('',#512014,#125095); #87177=LINE('',#512016,#125096); #87178=LINE('',#512017,#125097); #87179=LINE('',#512020,#125098); #87180=LINE('',#512022,#125099); #87181=LINE('',#512023,#125100); #87182=LINE('',#512026,#125101); #87183=LINE('',#512028,#125102); #87184=LINE('',#512029,#125103); #87185=LINE('',#512032,#125104); #87186=LINE('',#512034,#125105); #87187=LINE('',#512035,#125106); #87188=LINE('',#512038,#125107); #87189=LINE('',#512040,#125108); #87190=LINE('',#512041,#125109); #87191=LINE('',#512044,#125110); #87192=LINE('',#512046,#125111); #87193=LINE('',#512047,#125112); #87194=LINE('',#512050,#125113); #87195=LINE('',#512052,#125114); #87196=LINE('',#512053,#125115); #87197=LINE('',#512056,#125116); #87198=LINE('',#512058,#125117); #87199=LINE('',#512059,#125118); #87200=LINE('',#512062,#125119); #87201=LINE('',#512064,#125120); #87202=LINE('',#512065,#125121); #87203=LINE('',#512068,#125122); #87204=LINE('',#512070,#125123); #87205=LINE('',#512071,#125124); #87206=LINE('',#512074,#125125); #87207=LINE('',#512076,#125126); #87208=LINE('',#512077,#125127); #87209=LINE('',#512080,#125128); #87210=LINE('',#512082,#125129); #87211=LINE('',#512083,#125130); #87212=LINE('',#512085,#125131); #87213=LINE('',#512086,#125132); #87214=LINE('',#512092,#125133); #87215=LINE('',#512094,#125134); #87216=LINE('',#512096,#125135); #87217=LINE('',#512097,#125136); #87218=LINE('',#512100,#125137); #87219=LINE('',#512102,#125138); #87220=LINE('',#512103,#125139); #87221=LINE('',#512106,#125140); #87222=LINE('',#512108,#125141); #87223=LINE('',#512109,#125142); #87224=LINE('',#512112,#125143); #87225=LINE('',#512114,#125144); #87226=LINE('',#512115,#125145); #87227=LINE('',#512118,#125146); #87228=LINE('',#512120,#125147); #87229=LINE('',#512121,#125148); #87230=LINE('',#512124,#125149); #87231=LINE('',#512126,#125150); #87232=LINE('',#512127,#125151); #87233=LINE('',#512130,#125152); #87234=LINE('',#512132,#125153); #87235=LINE('',#512133,#125154); #87236=LINE('',#512136,#125155); #87237=LINE('',#512138,#125156); #87238=LINE('',#512139,#125157); #87239=LINE('',#512142,#125158); #87240=LINE('',#512144,#125159); #87241=LINE('',#512145,#125160); #87242=LINE('',#512148,#125161); #87243=LINE('',#512150,#125162); #87244=LINE('',#512151,#125163); #87245=LINE('',#512154,#125164); #87246=LINE('',#512156,#125165); #87247=LINE('',#512157,#125166); #87248=LINE('',#512160,#125167); #87249=LINE('',#512162,#125168); #87250=LINE('',#512163,#125169); #87251=LINE('',#512166,#125170); #87252=LINE('',#512168,#125171); #87253=LINE('',#512169,#125172); #87254=LINE('',#512172,#125173); #87255=LINE('',#512174,#125174); #87256=LINE('',#512175,#125175); #87257=LINE('',#512178,#125176); #87258=LINE('',#512180,#125177); #87259=LINE('',#512181,#125178); #87260=LINE('',#512184,#125179); #87261=LINE('',#512186,#125180); #87262=LINE('',#512187,#125181); #87263=LINE('',#512190,#125182); #87264=LINE('',#512192,#125183); #87265=LINE('',#512193,#125184); #87266=LINE('',#512196,#125185); #87267=LINE('',#512198,#125186); #87268=LINE('',#512199,#125187); #87269=LINE('',#512202,#125188); #87270=LINE('',#512204,#125189); #87271=LINE('',#512205,#125190); #87272=LINE('',#512207,#125191); #87273=LINE('',#512208,#125192); #87274=LINE('',#512215,#125193); #87275=LINE('',#512220,#125194); #87276=LINE('',#512222,#125195); #87277=LINE('',#512224,#125196); #87278=LINE('',#512225,#125197); #87279=LINE('',#512228,#125198); #87280=LINE('',#512230,#125199); #87281=LINE('',#512231,#125200); #87282=LINE('',#512234,#125201); #87283=LINE('',#512236,#125202); #87284=LINE('',#512237,#125203); #87285=LINE('',#512240,#125204); #87286=LINE('',#512242,#125205); #87287=LINE('',#512243,#125206); #87288=LINE('',#512246,#125207); #87289=LINE('',#512248,#125208); #87290=LINE('',#512249,#125209); #87291=LINE('',#512252,#125210); #87292=LINE('',#512254,#125211); #87293=LINE('',#512255,#125212); #87294=LINE('',#512258,#125213); #87295=LINE('',#512260,#125214); #87296=LINE('',#512261,#125215); #87297=LINE('',#512263,#125216); #87298=LINE('',#512264,#125217); #87299=LINE('',#512270,#125218); #87300=LINE('',#512272,#125219); #87301=LINE('',#512274,#125220); #87302=LINE('',#512275,#125221); #87303=LINE('',#512278,#125222); #87304=LINE('',#512280,#125223); #87305=LINE('',#512281,#125224); #87306=LINE('',#512284,#125225); #87307=LINE('',#512286,#125226); #87308=LINE('',#512287,#125227); #87309=LINE('',#512290,#125228); #87310=LINE('',#512292,#125229); #87311=LINE('',#512293,#125230); #87312=LINE('',#512296,#125231); #87313=LINE('',#512298,#125232); #87314=LINE('',#512299,#125233); #87315=LINE('',#512302,#125234); #87316=LINE('',#512304,#125235); #87317=LINE('',#512305,#125236); #87318=LINE('',#512308,#125237); #87319=LINE('',#512310,#125238); #87320=LINE('',#512311,#125239); #87321=LINE('',#512314,#125240); #87322=LINE('',#512316,#125241); #87323=LINE('',#512317,#125242); #87324=LINE('',#512320,#125243); #87325=LINE('',#512322,#125244); #87326=LINE('',#512323,#125245); #87327=LINE('',#512326,#125246); #87328=LINE('',#512328,#125247); #87329=LINE('',#512329,#125248); #87330=LINE('',#512332,#125249); #87331=LINE('',#512334,#125250); #87332=LINE('',#512335,#125251); #87333=LINE('',#512338,#125252); #87334=LINE('',#512340,#125253); #87335=LINE('',#512341,#125254); #87336=LINE('',#512344,#125255); #87337=LINE('',#512346,#125256); #87338=LINE('',#512347,#125257); #87339=LINE('',#512350,#125258); #87340=LINE('',#512352,#125259); #87341=LINE('',#512353,#125260); #87342=LINE('',#512356,#125261); #87343=LINE('',#512358,#125262); #87344=LINE('',#512359,#125263); #87345=LINE('',#512362,#125264); #87346=LINE('',#512364,#125265); #87347=LINE('',#512365,#125266); #87348=LINE('',#512368,#125267); #87349=LINE('',#512370,#125268); #87350=LINE('',#512371,#125269); #87351=LINE('',#512374,#125270); #87352=LINE('',#512376,#125271); #87353=LINE('',#512377,#125272); #87354=LINE('',#512380,#125273); #87355=LINE('',#512382,#125274); #87356=LINE('',#512383,#125275); #87357=LINE('',#512386,#125276); #87358=LINE('',#512388,#125277); #87359=LINE('',#512389,#125278); #87360=LINE('',#512391,#125279); #87361=LINE('',#512392,#125280); #87362=LINE('',#512398,#125281); #87363=LINE('',#512400,#125282); #87364=LINE('',#512402,#125283); #87365=LINE('',#512403,#125284); #87366=LINE('',#512406,#125285); #87367=LINE('',#512408,#125286); #87368=LINE('',#512409,#125287); #87369=LINE('',#512412,#125288); #87370=LINE('',#512414,#125289); #87371=LINE('',#512415,#125290); #87372=LINE('',#512418,#125291); #87373=LINE('',#512420,#125292); #87374=LINE('',#512421,#125293); #87375=LINE('',#512424,#125294); #87376=LINE('',#512426,#125295); #87377=LINE('',#512427,#125296); #87378=LINE('',#512430,#125297); #87379=LINE('',#512432,#125298); #87380=LINE('',#512433,#125299); #87381=LINE('',#512436,#125300); #87382=LINE('',#512438,#125301); #87383=LINE('',#512439,#125302); #87384=LINE('',#512442,#125303); #87385=LINE('',#512444,#125304); #87386=LINE('',#512445,#125305); #87387=LINE('',#512448,#125306); #87388=LINE('',#512450,#125307); #87389=LINE('',#512451,#125308); #87390=LINE('',#512454,#125309); #87391=LINE('',#512456,#125310); #87392=LINE('',#512457,#125311); #87393=LINE('',#512460,#125312); #87394=LINE('',#512462,#125313); #87395=LINE('',#512463,#125314); #87396=LINE('',#512466,#125315); #87397=LINE('',#512468,#125316); #87398=LINE('',#512469,#125317); #87399=LINE('',#512472,#125318); #87400=LINE('',#512474,#125319); #87401=LINE('',#512475,#125320); #87402=LINE('',#512478,#125321); #87403=LINE('',#512480,#125322); #87404=LINE('',#512481,#125323); #87405=LINE('',#512484,#125324); #87406=LINE('',#512486,#125325); #87407=LINE('',#512487,#125326); #87408=LINE('',#512490,#125327); #87409=LINE('',#512492,#125328); #87410=LINE('',#512493,#125329); #87411=LINE('',#512496,#125330); #87412=LINE('',#512498,#125331); #87413=LINE('',#512499,#125332); #87414=LINE('',#512502,#125333); #87415=LINE('',#512504,#125334); #87416=LINE('',#512505,#125335); #87417=LINE('',#512508,#125336); #87418=LINE('',#512510,#125337); #87419=LINE('',#512511,#125338); #87420=LINE('',#512514,#125339); #87421=LINE('',#512516,#125340); #87422=LINE('',#512517,#125341); #87423=LINE('',#512520,#125342); #87424=LINE('',#512522,#125343); #87425=LINE('',#512523,#125344); #87426=LINE('',#512525,#125345); #87427=LINE('',#512526,#125346); #87428=LINE('',#512532,#125347); #87429=LINE('',#512534,#125348); #87430=LINE('',#512536,#125349); #87431=LINE('',#512537,#125350); #87432=LINE('',#512540,#125351); #87433=LINE('',#512542,#125352); #87434=LINE('',#512543,#125353); #87435=LINE('',#512546,#125354); #87436=LINE('',#512548,#125355); #87437=LINE('',#512549,#125356); #87438=LINE('',#512552,#125357); #87439=LINE('',#512554,#125358); #87440=LINE('',#512555,#125359); #87441=LINE('',#512558,#125360); #87442=LINE('',#512560,#125361); #87443=LINE('',#512561,#125362); #87444=LINE('',#512564,#125363); #87445=LINE('',#512566,#125364); #87446=LINE('',#512567,#125365); #87447=LINE('',#512570,#125366); #87448=LINE('',#512572,#125367); #87449=LINE('',#512573,#125368); #87450=LINE('',#512576,#125369); #87451=LINE('',#512578,#125370); #87452=LINE('',#512579,#125371); #87453=LINE('',#512582,#125372); #87454=LINE('',#512584,#125373); #87455=LINE('',#512585,#125374); #87456=LINE('',#512588,#125375); #87457=LINE('',#512590,#125376); #87458=LINE('',#512591,#125377); #87459=LINE('',#512594,#125378); #87460=LINE('',#512596,#125379); #87461=LINE('',#512597,#125380); #87462=LINE('',#512600,#125381); #87463=LINE('',#512602,#125382); #87464=LINE('',#512603,#125383); #87465=LINE('',#512606,#125384); #87466=LINE('',#512608,#125385); #87467=LINE('',#512609,#125386); #87468=LINE('',#512612,#125387); #87469=LINE('',#512614,#125388); #87470=LINE('',#512615,#125389); #87471=LINE('',#512618,#125390); #87472=LINE('',#512620,#125391); #87473=LINE('',#512621,#125392); #87474=LINE('',#512624,#125393); #87475=LINE('',#512626,#125394); #87476=LINE('',#512627,#125395); #87477=LINE('',#512630,#125396); #87478=LINE('',#512632,#125397); #87479=LINE('',#512633,#125398); #87480=LINE('',#512636,#125399); #87481=LINE('',#512638,#125400); #87482=LINE('',#512639,#125401); #87483=LINE('',#512642,#125402); #87484=LINE('',#512644,#125403); #87485=LINE('',#512645,#125404); #87486=LINE('',#512647,#125405); #87487=LINE('',#512648,#125406); #87488=LINE('',#512654,#125407); #87489=LINE('',#512656,#125408); #87490=LINE('',#512658,#125409); #87491=LINE('',#512659,#125410); #87492=LINE('',#512662,#125411); #87493=LINE('',#512664,#125412); #87494=LINE('',#512665,#125413); #87495=LINE('',#512668,#125414); #87496=LINE('',#512670,#125415); #87497=LINE('',#512671,#125416); #87498=LINE('',#512674,#125417); #87499=LINE('',#512676,#125418); #87500=LINE('',#512677,#125419); #87501=LINE('',#512680,#125420); #87502=LINE('',#512682,#125421); #87503=LINE('',#512683,#125422); #87504=LINE('',#512686,#125423); #87505=LINE('',#512688,#125424); #87506=LINE('',#512689,#125425); #87507=LINE('',#512692,#125426); #87508=LINE('',#512694,#125427); #87509=LINE('',#512695,#125428); #87510=LINE('',#512698,#125429); #87511=LINE('',#512700,#125430); #87512=LINE('',#512701,#125431); #87513=LINE('',#512704,#125432); #87514=LINE('',#512706,#125433); #87515=LINE('',#512707,#125434); #87516=LINE('',#512710,#125435); #87517=LINE('',#512712,#125436); #87518=LINE('',#512713,#125437); #87519=LINE('',#512716,#125438); #87520=LINE('',#512718,#125439); #87521=LINE('',#512719,#125440); #87522=LINE('',#512722,#125441); #87523=LINE('',#512724,#125442); #87524=LINE('',#512725,#125443); #87525=LINE('',#512728,#125444); #87526=LINE('',#512730,#125445); #87527=LINE('',#512731,#125446); #87528=LINE('',#512734,#125447); #87529=LINE('',#512736,#125448); #87530=LINE('',#512737,#125449); #87531=LINE('',#512740,#125450); #87532=LINE('',#512742,#125451); #87533=LINE('',#512743,#125452); #87534=LINE('',#512746,#125453); #87535=LINE('',#512748,#125454); #87536=LINE('',#512749,#125455); #87537=LINE('',#512752,#125456); #87538=LINE('',#512754,#125457); #87539=LINE('',#512755,#125458); #87540=LINE('',#512758,#125459); #87541=LINE('',#512760,#125460); #87542=LINE('',#512761,#125461); #87543=LINE('',#512764,#125462); #87544=LINE('',#512766,#125463); #87545=LINE('',#512767,#125464); #87546=LINE('',#512770,#125465); #87547=LINE('',#512772,#125466); #87548=LINE('',#512773,#125467); #87549=LINE('',#512776,#125468); #87550=LINE('',#512778,#125469); #87551=LINE('',#512779,#125470); #87552=LINE('',#512782,#125471); #87553=LINE('',#512784,#125472); #87554=LINE('',#512785,#125473); #87555=LINE('',#512788,#125474); #87556=LINE('',#512790,#125475); #87557=LINE('',#512791,#125476); #87558=LINE('',#512794,#125477); #87559=LINE('',#512796,#125478); #87560=LINE('',#512797,#125479); #87561=LINE('',#512800,#125480); #87562=LINE('',#512802,#125481); #87563=LINE('',#512803,#125482); #87564=LINE('',#512806,#125483); #87565=LINE('',#512808,#125484); #87566=LINE('',#512809,#125485); #87567=LINE('',#512812,#125486); #87568=LINE('',#512814,#125487); #87569=LINE('',#512815,#125488); #87570=LINE('',#512818,#125489); #87571=LINE('',#512820,#125490); #87572=LINE('',#512821,#125491); #87573=LINE('',#512824,#125492); #87574=LINE('',#512826,#125493); #87575=LINE('',#512827,#125494); #87576=LINE('',#512830,#125495); #87577=LINE('',#512832,#125496); #87578=LINE('',#512833,#125497); #87579=LINE('',#512836,#125498); #87580=LINE('',#512838,#125499); #87581=LINE('',#512839,#125500); #87582=LINE('',#512842,#125501); #87583=LINE('',#512844,#125502); #87584=LINE('',#512845,#125503); #87585=LINE('',#512848,#125504); #87586=LINE('',#512850,#125505); #87587=LINE('',#512851,#125506); #87588=LINE('',#512854,#125507); #87589=LINE('',#512856,#125508); #87590=LINE('',#512857,#125509); #87591=LINE('',#512860,#125510); #87592=LINE('',#512862,#125511); #87593=LINE('',#512863,#125512); #87594=LINE('',#512866,#125513); #87595=LINE('',#512868,#125514); #87596=LINE('',#512869,#125515); #87597=LINE('',#512872,#125516); #87598=LINE('',#512874,#125517); #87599=LINE('',#512875,#125518); #87600=LINE('',#512878,#125519); #87601=LINE('',#512880,#125520); #87602=LINE('',#512881,#125521); #87603=LINE('',#512884,#125522); #87604=LINE('',#512886,#125523); #87605=LINE('',#512887,#125524); #87606=LINE('',#512890,#125525); #87607=LINE('',#512892,#125526); #87608=LINE('',#512893,#125527); #87609=LINE('',#512896,#125528); #87610=LINE('',#512898,#125529); #87611=LINE('',#512899,#125530); #87612=LINE('',#512902,#125531); #87613=LINE('',#512904,#125532); #87614=LINE('',#512905,#125533); #87615=LINE('',#512908,#125534); #87616=LINE('',#512910,#125535); #87617=LINE('',#512911,#125536); #87618=LINE('',#512914,#125537); #87619=LINE('',#512916,#125538); #87620=LINE('',#512917,#125539); #87621=LINE('',#512920,#125540); #87622=LINE('',#512922,#125541); #87623=LINE('',#512923,#125542); #87624=LINE('',#512926,#125543); #87625=LINE('',#512928,#125544); #87626=LINE('',#512929,#125545); #87627=LINE('',#512931,#125546); #87628=LINE('',#512932,#125547); #87629=LINE('',#512938,#125548); #87630=LINE('',#512940,#125549); #87631=LINE('',#512942,#125550); #87632=LINE('',#512943,#125551); #87633=LINE('',#512946,#125552); #87634=LINE('',#512948,#125553); #87635=LINE('',#512949,#125554); #87636=LINE('',#512952,#125555); #87637=LINE('',#512954,#125556); #87638=LINE('',#512955,#125557); #87639=LINE('',#512958,#125558); #87640=LINE('',#512960,#125559); #87641=LINE('',#512961,#125560); #87642=LINE('',#512964,#125561); #87643=LINE('',#512966,#125562); #87644=LINE('',#512967,#125563); #87645=LINE('',#512970,#125564); #87646=LINE('',#512972,#125565); #87647=LINE('',#512973,#125566); #87648=LINE('',#512976,#125567); #87649=LINE('',#512978,#125568); #87650=LINE('',#512979,#125569); #87651=LINE('',#512982,#125570); #87652=LINE('',#512984,#125571); #87653=LINE('',#512985,#125572); #87654=LINE('',#512988,#125573); #87655=LINE('',#512990,#125574); #87656=LINE('',#512991,#125575); #87657=LINE('',#512994,#125576); #87658=LINE('',#512996,#125577); #87659=LINE('',#512997,#125578); #87660=LINE('',#513000,#125579); #87661=LINE('',#513002,#125580); #87662=LINE('',#513003,#125581); #87663=LINE('',#513006,#125582); #87664=LINE('',#513008,#125583); #87665=LINE('',#513009,#125584); #87666=LINE('',#513012,#125585); #87667=LINE('',#513014,#125586); #87668=LINE('',#513015,#125587); #87669=LINE('',#513018,#125588); #87670=LINE('',#513020,#125589); #87671=LINE('',#513021,#125590); #87672=LINE('',#513024,#125591); #87673=LINE('',#513026,#125592); #87674=LINE('',#513027,#125593); #87675=LINE('',#513030,#125594); #87676=LINE('',#513032,#125595); #87677=LINE('',#513033,#125596); #87678=LINE('',#513036,#125597); #87679=LINE('',#513038,#125598); #87680=LINE('',#513039,#125599); #87681=LINE('',#513042,#125600); #87682=LINE('',#513044,#125601); #87683=LINE('',#513045,#125602); #87684=LINE('',#513048,#125603); #87685=LINE('',#513050,#125604); #87686=LINE('',#513051,#125605); #87687=LINE('',#513054,#125606); #87688=LINE('',#513056,#125607); #87689=LINE('',#513057,#125608); #87690=LINE('',#513060,#125609); #87691=LINE('',#513062,#125610); #87692=LINE('',#513063,#125611); #87693=LINE('',#513066,#125612); #87694=LINE('',#513068,#125613); #87695=LINE('',#513069,#125614); #87696=LINE('',#513072,#125615); #87697=LINE('',#513074,#125616); #87698=LINE('',#513075,#125617); #87699=LINE('',#513078,#125618); #87700=LINE('',#513080,#125619); #87701=LINE('',#513081,#125620); #87702=LINE('',#513084,#125621); #87703=LINE('',#513086,#125622); #87704=LINE('',#513087,#125623); #87705=LINE('',#513090,#125624); #87706=LINE('',#513092,#125625); #87707=LINE('',#513093,#125626); #87708=LINE('',#513096,#125627); #87709=LINE('',#513098,#125628); #87710=LINE('',#513099,#125629); #87711=LINE('',#513101,#125630); #87712=LINE('',#513102,#125631); #87713=LINE('',#513109,#125632); #87714=LINE('',#513115,#125633); #87715=LINE('',#513121,#125634); #87716=LINE('',#513127,#125635); #87717=LINE('',#513133,#125636); #87718=LINE('',#513139,#125637); #87719=LINE('',#513145,#125638); #87720=LINE('',#513151,#125639); #87721=LINE('',#513157,#125640); #87722=LINE('',#513163,#125641); #87723=LINE('',#513169,#125642); #87724=LINE('',#513175,#125643); #87725=LINE('',#513181,#125644); #87726=LINE('',#513187,#125645); #87727=LINE('',#513193,#125646); #87728=LINE('',#513199,#125647); #87729=LINE('',#513204,#125648); #87730=LINE('',#513206,#125649); #87731=LINE('',#513208,#125650); #87732=LINE('',#513209,#125651); #87733=LINE('',#513212,#125652); #87734=LINE('',#513214,#125653); #87735=LINE('',#513215,#125654); #87736=LINE('',#513218,#125655); #87737=LINE('',#513220,#125656); #87738=LINE('',#513221,#125657); #87739=LINE('',#513224,#125658); #87740=LINE('',#513226,#125659); #87741=LINE('',#513227,#125660); #87742=LINE('',#513230,#125661); #87743=LINE('',#513232,#125662); #87744=LINE('',#513233,#125663); #87745=LINE('',#513236,#125664); #87746=LINE('',#513238,#125665); #87747=LINE('',#513239,#125666); #87748=LINE('',#513242,#125667); #87749=LINE('',#513244,#125668); #87750=LINE('',#513245,#125669); #87751=LINE('',#513248,#125670); #87752=LINE('',#513250,#125671); #87753=LINE('',#513251,#125672); #87754=LINE('',#513254,#125673); #87755=LINE('',#513256,#125674); #87756=LINE('',#513257,#125675); #87757=LINE('',#513260,#125676); #87758=LINE('',#513262,#125677); #87759=LINE('',#513263,#125678); #87760=LINE('',#513266,#125679); #87761=LINE('',#513268,#125680); #87762=LINE('',#513269,#125681); #87763=LINE('',#513272,#125682); #87764=LINE('',#513274,#125683); #87765=LINE('',#513275,#125684); #87766=LINE('',#513278,#125685); #87767=LINE('',#513280,#125686); #87768=LINE('',#513281,#125687); #87769=LINE('',#513284,#125688); #87770=LINE('',#513286,#125689); #87771=LINE('',#513287,#125690); #87772=LINE('',#513290,#125691); #87773=LINE('',#513292,#125692); #87774=LINE('',#513293,#125693); #87775=LINE('',#513296,#125694); #87776=LINE('',#513298,#125695); #87777=LINE('',#513299,#125696); #87778=LINE('',#513302,#125697); #87779=LINE('',#513304,#125698); #87780=LINE('',#513305,#125699); #87781=LINE('',#513308,#125700); #87782=LINE('',#513310,#125701); #87783=LINE('',#513311,#125702); #87784=LINE('',#513314,#125703); #87785=LINE('',#513316,#125704); #87786=LINE('',#513317,#125705); #87787=LINE('',#513320,#125706); #87788=LINE('',#513322,#125707); #87789=LINE('',#513323,#125708); #87790=LINE('',#513326,#125709); #87791=LINE('',#513328,#125710); #87792=LINE('',#513329,#125711); #87793=LINE('',#513332,#125712); #87794=LINE('',#513334,#125713); #87795=LINE('',#513335,#125714); #87796=LINE('',#513338,#125715); #87797=LINE('',#513340,#125716); #87798=LINE('',#513341,#125717); #87799=LINE('',#513344,#125718); #87800=LINE('',#513346,#125719); #87801=LINE('',#513347,#125720); #87802=LINE('',#513350,#125721); #87803=LINE('',#513352,#125722); #87804=LINE('',#513353,#125723); #87805=LINE('',#513356,#125724); #87806=LINE('',#513358,#125725); #87807=LINE('',#513359,#125726); #87808=LINE('',#513362,#125727); #87809=LINE('',#513364,#125728); #87810=LINE('',#513365,#125729); #87811=LINE('',#513368,#125730); #87812=LINE('',#513370,#125731); #87813=LINE('',#513371,#125732); #87814=LINE('',#513374,#125733); #87815=LINE('',#513376,#125734); #87816=LINE('',#513377,#125735); #87817=LINE('',#513380,#125736); #87818=LINE('',#513382,#125737); #87819=LINE('',#513383,#125738); #87820=LINE('',#513386,#125739); #87821=LINE('',#513388,#125740); #87822=LINE('',#513389,#125741); #87823=LINE('',#513392,#125742); #87824=LINE('',#513394,#125743); #87825=LINE('',#513395,#125744); #87826=LINE('',#513398,#125745); #87827=LINE('',#513400,#125746); #87828=LINE('',#513401,#125747); #87829=LINE('',#513404,#125748); #87830=LINE('',#513406,#125749); #87831=LINE('',#513407,#125750); #87832=LINE('',#513410,#125751); #87833=LINE('',#513412,#125752); #87834=LINE('',#513413,#125753); #87835=LINE('',#513416,#125754); #87836=LINE('',#513418,#125755); #87837=LINE('',#513419,#125756); #87838=LINE('',#513422,#125757); #87839=LINE('',#513424,#125758); #87840=LINE('',#513425,#125759); #87841=LINE('',#513428,#125760); #87842=LINE('',#513430,#125761); #87843=LINE('',#513431,#125762); #87844=LINE('',#513434,#125763); #87845=LINE('',#513436,#125764); #87846=LINE('',#513437,#125765); #87847=LINE('',#513440,#125766); #87848=LINE('',#513442,#125767); #87849=LINE('',#513443,#125768); #87850=LINE('',#513446,#125769); #87851=LINE('',#513448,#125770); #87852=LINE('',#513449,#125771); #87853=LINE('',#513452,#125772); #87854=LINE('',#513454,#125773); #87855=LINE('',#513455,#125774); #87856=LINE('',#513458,#125775); #87857=LINE('',#513460,#125776); #87858=LINE('',#513461,#125777); #87859=LINE('',#513464,#125778); #87860=LINE('',#513466,#125779); #87861=LINE('',#513467,#125780); #87862=LINE('',#513470,#125781); #87863=LINE('',#513472,#125782); #87864=LINE('',#513473,#125783); #87865=LINE('',#513476,#125784); #87866=LINE('',#513478,#125785); #87867=LINE('',#513479,#125786); #87868=LINE('',#513482,#125787); #87869=LINE('',#513484,#125788); #87870=LINE('',#513485,#125789); #87871=LINE('',#513488,#125790); #87872=LINE('',#513490,#125791); #87873=LINE('',#513491,#125792); #87874=LINE('',#513494,#125793); #87875=LINE('',#513496,#125794); #87876=LINE('',#513497,#125795); #87877=LINE('',#513500,#125796); #87878=LINE('',#513502,#125797); #87879=LINE('',#513503,#125798); #87880=LINE('',#513506,#125799); #87881=LINE('',#513508,#125800); #87882=LINE('',#513509,#125801); #87883=LINE('',#513512,#125802); #87884=LINE('',#513514,#125803); #87885=LINE('',#513515,#125804); #87886=LINE('',#513518,#125805); #87887=LINE('',#513520,#125806); #87888=LINE('',#513521,#125807); #87889=LINE('',#513524,#125808); #87890=LINE('',#513526,#125809); #87891=LINE('',#513527,#125810); #87892=LINE('',#513530,#125811); #87893=LINE('',#513532,#125812); #87894=LINE('',#513533,#125813); #87895=LINE('',#513536,#125814); #87896=LINE('',#513538,#125815); #87897=LINE('',#513539,#125816); #87898=LINE('',#513542,#125817); #87899=LINE('',#513544,#125818); #87900=LINE('',#513545,#125819); #87901=LINE('',#513548,#125820); #87902=LINE('',#513550,#125821); #87903=LINE('',#513551,#125822); #87904=LINE('',#513554,#125823); #87905=LINE('',#513556,#125824); #87906=LINE('',#513557,#125825); #87907=LINE('',#513560,#125826); #87908=LINE('',#513562,#125827); #87909=LINE('',#513563,#125828); #87910=LINE('',#513566,#125829); #87911=LINE('',#513568,#125830); #87912=LINE('',#513569,#125831); #87913=LINE('',#513572,#125832); #87914=LINE('',#513574,#125833); #87915=LINE('',#513575,#125834); #87916=LINE('',#513578,#125835); #87917=LINE('',#513580,#125836); #87918=LINE('',#513581,#125837); #87919=LINE('',#513584,#125838); #87920=LINE('',#513586,#125839); #87921=LINE('',#513587,#125840); #87922=LINE('',#513590,#125841); #87923=LINE('',#513592,#125842); #87924=LINE('',#513593,#125843); #87925=LINE('',#513596,#125844); #87926=LINE('',#513598,#125845); #87927=LINE('',#513599,#125846); #87928=LINE('',#513602,#125847); #87929=LINE('',#513604,#125848); #87930=LINE('',#513605,#125849); #87931=LINE('',#513608,#125850); #87932=LINE('',#513610,#125851); #87933=LINE('',#513611,#125852); #87934=LINE('',#513614,#125853); #87935=LINE('',#513616,#125854); #87936=LINE('',#513617,#125855); #87937=LINE('',#513620,#125856); #87938=LINE('',#513622,#125857); #87939=LINE('',#513623,#125858); #87940=LINE('',#513626,#125859); #87941=LINE('',#513628,#125860); #87942=LINE('',#513629,#125861); #87943=LINE('',#513632,#125862); #87944=LINE('',#513634,#125863); #87945=LINE('',#513635,#125864); #87946=LINE('',#513638,#125865); #87947=LINE('',#513640,#125866); #87948=LINE('',#513641,#125867); #87949=LINE('',#513643,#125868); #87950=LINE('',#513644,#125869); #87951=LINE('',#513651,#125870); #87952=LINE('',#513657,#125871); #87953=LINE('',#513663,#125872); #87954=LINE('',#513669,#125873); #87955=LINE('',#513675,#125874); #87956=LINE('',#513681,#125875); #87957=LINE('',#513687,#125876); #87958=LINE('',#513693,#125877); #87959=LINE('',#513699,#125878); #87960=LINE('',#513705,#125879); #87961=LINE('',#513711,#125880); #87962=LINE('',#513717,#125881); #87963=LINE('',#513723,#125882); #87964=LINE('',#513729,#125883); #87965=LINE('',#513735,#125884); #87966=LINE('',#513741,#125885); #87967=LINE('',#513746,#125886); #87968=LINE('',#513748,#125887); #87969=LINE('',#513750,#125888); #87970=LINE('',#513751,#125889); #87971=LINE('',#513754,#125890); #87972=LINE('',#513756,#125891); #87973=LINE('',#513757,#125892); #87974=LINE('',#513760,#125893); #87975=LINE('',#513762,#125894); #87976=LINE('',#513763,#125895); #87977=LINE('',#513766,#125896); #87978=LINE('',#513768,#125897); #87979=LINE('',#513769,#125898); #87980=LINE('',#513772,#125899); #87981=LINE('',#513774,#125900); #87982=LINE('',#513775,#125901); #87983=LINE('',#513778,#125902); #87984=LINE('',#513780,#125903); #87985=LINE('',#513781,#125904); #87986=LINE('',#513784,#125905); #87987=LINE('',#513786,#125906); #87988=LINE('',#513787,#125907); #87989=LINE('',#513790,#125908); #87990=LINE('',#513792,#125909); #87991=LINE('',#513793,#125910); #87992=LINE('',#513796,#125911); #87993=LINE('',#513798,#125912); #87994=LINE('',#513799,#125913); #87995=LINE('',#513802,#125914); #87996=LINE('',#513804,#125915); #87997=LINE('',#513805,#125916); #87998=LINE('',#513808,#125917); #87999=LINE('',#513810,#125918); #88000=LINE('',#513811,#125919); #88001=LINE('',#513814,#125920); #88002=LINE('',#513816,#125921); #88003=LINE('',#513817,#125922); #88004=LINE('',#513820,#125923); #88005=LINE('',#513822,#125924); #88006=LINE('',#513823,#125925); #88007=LINE('',#513826,#125926); #88008=LINE('',#513828,#125927); #88009=LINE('',#513829,#125928); #88010=LINE('',#513832,#125929); #88011=LINE('',#513834,#125930); #88012=LINE('',#513835,#125931); #88013=LINE('',#513838,#125932); #88014=LINE('',#513840,#125933); #88015=LINE('',#513841,#125934); #88016=LINE('',#513844,#125935); #88017=LINE('',#513846,#125936); #88018=LINE('',#513847,#125937); #88019=LINE('',#513850,#125938); #88020=LINE('',#513852,#125939); #88021=LINE('',#513853,#125940); #88022=LINE('',#513856,#125941); #88023=LINE('',#513858,#125942); #88024=LINE('',#513859,#125943); #88025=LINE('',#513862,#125944); #88026=LINE('',#513864,#125945); #88027=LINE('',#513865,#125946); #88028=LINE('',#513868,#125947); #88029=LINE('',#513870,#125948); #88030=LINE('',#513871,#125949); #88031=LINE('',#513874,#125950); #88032=LINE('',#513876,#125951); #88033=LINE('',#513877,#125952); #88034=LINE('',#513880,#125953); #88035=LINE('',#513882,#125954); #88036=LINE('',#513883,#125955); #88037=LINE('',#513886,#125956); #88038=LINE('',#513888,#125957); #88039=LINE('',#513889,#125958); #88040=LINE('',#513892,#125959); #88041=LINE('',#513894,#125960); #88042=LINE('',#513895,#125961); #88043=LINE('',#513898,#125962); #88044=LINE('',#513900,#125963); #88045=LINE('',#513901,#125964); #88046=LINE('',#513904,#125965); #88047=LINE('',#513906,#125966); #88048=LINE('',#513907,#125967); #88049=LINE('',#513910,#125968); #88050=LINE('',#513912,#125969); #88051=LINE('',#513913,#125970); #88052=LINE('',#513916,#125971); #88053=LINE('',#513918,#125972); #88054=LINE('',#513919,#125973); #88055=LINE('',#513922,#125974); #88056=LINE('',#513924,#125975); #88057=LINE('',#513925,#125976); #88058=LINE('',#513928,#125977); #88059=LINE('',#513930,#125978); #88060=LINE('',#513931,#125979); #88061=LINE('',#513934,#125980); #88062=LINE('',#513936,#125981); #88063=LINE('',#513937,#125982); #88064=LINE('',#513940,#125983); #88065=LINE('',#513942,#125984); #88066=LINE('',#513943,#125985); #88067=LINE('',#513946,#125986); #88068=LINE('',#513948,#125987); #88069=LINE('',#513949,#125988); #88070=LINE('',#513952,#125989); #88071=LINE('',#513954,#125990); #88072=LINE('',#513955,#125991); #88073=LINE('',#513958,#125992); #88074=LINE('',#513960,#125993); #88075=LINE('',#513961,#125994); #88076=LINE('',#513964,#125995); #88077=LINE('',#513966,#125996); #88078=LINE('',#513967,#125997); #88079=LINE('',#513970,#125998); #88080=LINE('',#513972,#125999); #88081=LINE('',#513973,#126000); #88082=LINE('',#513976,#126001); #88083=LINE('',#513978,#126002); #88084=LINE('',#513979,#126003); #88085=LINE('',#513982,#126004); #88086=LINE('',#513984,#126005); #88087=LINE('',#513985,#126006); #88088=LINE('',#513988,#126007); #88089=LINE('',#513990,#126008); #88090=LINE('',#513991,#126009); #88091=LINE('',#513994,#126010); #88092=LINE('',#513996,#126011); #88093=LINE('',#513997,#126012); #88094=LINE('',#514000,#126013); #88095=LINE('',#514002,#126014); #88096=LINE('',#514003,#126015); #88097=LINE('',#514006,#126016); #88098=LINE('',#514008,#126017); #88099=LINE('',#514009,#126018); #88100=LINE('',#514012,#126019); #88101=LINE('',#514014,#126020); #88102=LINE('',#514015,#126021); #88103=LINE('',#514018,#126022); #88104=LINE('',#514020,#126023); #88105=LINE('',#514021,#126024); #88106=LINE('',#514024,#126025); #88107=LINE('',#514026,#126026); #88108=LINE('',#514027,#126027); #88109=LINE('',#514030,#126028); #88110=LINE('',#514032,#126029); #88111=LINE('',#514033,#126030); #88112=LINE('',#514036,#126031); #88113=LINE('',#514038,#126032); #88114=LINE('',#514039,#126033); #88115=LINE('',#514042,#126034); #88116=LINE('',#514044,#126035); #88117=LINE('',#514045,#126036); #88118=LINE('',#514048,#126037); #88119=LINE('',#514050,#126038); #88120=LINE('',#514051,#126039); #88121=LINE('',#514054,#126040); #88122=LINE('',#514056,#126041); #88123=LINE('',#514057,#126042); #88124=LINE('',#514060,#126043); #88125=LINE('',#514062,#126044); #88126=LINE('',#514063,#126045); #88127=LINE('',#514066,#126046); #88128=LINE('',#514068,#126047); #88129=LINE('',#514069,#126048); #88130=LINE('',#514072,#126049); #88131=LINE('',#514074,#126050); #88132=LINE('',#514075,#126051); #88133=LINE('',#514078,#126052); #88134=LINE('',#514080,#126053); #88135=LINE('',#514081,#126054); #88136=LINE('',#514084,#126055); #88137=LINE('',#514086,#126056); #88138=LINE('',#514087,#126057); #88139=LINE('',#514090,#126058); #88140=LINE('',#514092,#126059); #88141=LINE('',#514093,#126060); #88142=LINE('',#514096,#126061); #88143=LINE('',#514098,#126062); #88144=LINE('',#514099,#126063); #88145=LINE('',#514102,#126064); #88146=LINE('',#514104,#126065); #88147=LINE('',#514105,#126066); #88148=LINE('',#514108,#126067); #88149=LINE('',#514110,#126068); #88150=LINE('',#514111,#126069); #88151=LINE('',#514114,#126070); #88152=LINE('',#514116,#126071); #88153=LINE('',#514117,#126072); #88154=LINE('',#514120,#126073); #88155=LINE('',#514122,#126074); #88156=LINE('',#514123,#126075); #88157=LINE('',#514126,#126076); #88158=LINE('',#514128,#126077); #88159=LINE('',#514129,#126078); #88160=LINE('',#514132,#126079); #88161=LINE('',#514134,#126080); #88162=LINE('',#514135,#126081); #88163=LINE('',#514138,#126082); #88164=LINE('',#514140,#126083); #88165=LINE('',#514141,#126084); #88166=LINE('',#514144,#126085); #88167=LINE('',#514146,#126086); #88168=LINE('',#514147,#126087); #88169=LINE('',#514150,#126088); #88170=LINE('',#514152,#126089); #88171=LINE('',#514153,#126090); #88172=LINE('',#514156,#126091); #88173=LINE('',#514158,#126092); #88174=LINE('',#514159,#126093); #88175=LINE('',#514161,#126094); #88176=LINE('',#514162,#126095); #88177=LINE('',#514169,#126096); #88178=LINE('',#514175,#126097); #88179=LINE('',#514181,#126098); #88180=LINE('',#514187,#126099); #88181=LINE('',#514193,#126100); #88182=LINE('',#514199,#126101); #88183=LINE('',#514205,#126102); #88184=LINE('',#514211,#126103); #88185=LINE('',#514217,#126104); #88186=LINE('',#514223,#126105); #88187=LINE('',#514229,#126106); #88188=LINE('',#514235,#126107); #88189=LINE('',#514241,#126108); #88190=LINE('',#514247,#126109); #88191=LINE('',#514253,#126110); #88192=LINE('',#514259,#126111); #88193=LINE('',#514265,#126112); #88194=LINE('',#514271,#126113); #88195=LINE('',#514277,#126114); #88196=LINE('',#514283,#126115); #88197=LINE('',#514289,#126116); #88198=LINE('',#514295,#126117); #88199=LINE('',#514301,#126118); #88200=LINE('',#514307,#126119); #88201=LINE('',#514313,#126120); #88202=LINE('',#514319,#126121); #88203=LINE('',#514325,#126122); #88204=LINE('',#514331,#126123); #88205=LINE('',#514337,#126124); #88206=LINE('',#514343,#126125); #88207=LINE('',#514348,#126126); #88208=LINE('',#514350,#126127); #88209=LINE('',#514352,#126128); #88210=LINE('',#514353,#126129); #88211=LINE('',#514356,#126130); #88212=LINE('',#514358,#126131); #88213=LINE('',#514359,#126132); #88214=LINE('',#514362,#126133); #88215=LINE('',#514364,#126134); #88216=LINE('',#514365,#126135); #88217=LINE('',#514368,#126136); #88218=LINE('',#514370,#126137); #88219=LINE('',#514371,#126138); #88220=LINE('',#514374,#126139); #88221=LINE('',#514376,#126140); #88222=LINE('',#514377,#126141); #88223=LINE('',#514380,#126142); #88224=LINE('',#514382,#126143); #88225=LINE('',#514383,#126144); #88226=LINE('',#514386,#126145); #88227=LINE('',#514388,#126146); #88228=LINE('',#514389,#126147); #88229=LINE('',#514392,#126148); #88230=LINE('',#514394,#126149); #88231=LINE('',#514395,#126150); #88232=LINE('',#514398,#126151); #88233=LINE('',#514400,#126152); #88234=LINE('',#514401,#126153); #88235=LINE('',#514404,#126154); #88236=LINE('',#514406,#126155); #88237=LINE('',#514407,#126156); #88238=LINE('',#514410,#126157); #88239=LINE('',#514412,#126158); #88240=LINE('',#514413,#126159); #88241=LINE('',#514416,#126160); #88242=LINE('',#514418,#126161); #88243=LINE('',#514419,#126162); #88244=LINE('',#514422,#126163); #88245=LINE('',#514424,#126164); #88246=LINE('',#514425,#126165); #88247=LINE('',#514428,#126166); #88248=LINE('',#514430,#126167); #88249=LINE('',#514431,#126168); #88250=LINE('',#514434,#126169); #88251=LINE('',#514436,#126170); #88252=LINE('',#514437,#126171); #88253=LINE('',#514440,#126172); #88254=LINE('',#514442,#126173); #88255=LINE('',#514443,#126174); #88256=LINE('',#514446,#126175); #88257=LINE('',#514448,#126176); #88258=LINE('',#514449,#126177); #88259=LINE('',#514452,#126178); #88260=LINE('',#514454,#126179); #88261=LINE('',#514455,#126180); #88262=LINE('',#514458,#126181); #88263=LINE('',#514460,#126182); #88264=LINE('',#514461,#126183); #88265=LINE('',#514464,#126184); #88266=LINE('',#514466,#126185); #88267=LINE('',#514467,#126186); #88268=LINE('',#514470,#126187); #88269=LINE('',#514472,#126188); #88270=LINE('',#514473,#126189); #88271=LINE('',#514476,#126190); #88272=LINE('',#514478,#126191); #88273=LINE('',#514479,#126192); #88274=LINE('',#514482,#126193); #88275=LINE('',#514484,#126194); #88276=LINE('',#514485,#126195); #88277=LINE('',#514488,#126196); #88278=LINE('',#514490,#126197); #88279=LINE('',#514491,#126198); #88280=LINE('',#514494,#126199); #88281=LINE('',#514496,#126200); #88282=LINE('',#514497,#126201); #88283=LINE('',#514500,#126202); #88284=LINE('',#514502,#126203); #88285=LINE('',#514503,#126204); #88286=LINE('',#514506,#126205); #88287=LINE('',#514508,#126206); #88288=LINE('',#514509,#126207); #88289=LINE('',#514512,#126208); #88290=LINE('',#514514,#126209); #88291=LINE('',#514515,#126210); #88292=LINE('',#514518,#126211); #88293=LINE('',#514520,#126212); #88294=LINE('',#514521,#126213); #88295=LINE('',#514524,#126214); #88296=LINE('',#514526,#126215); #88297=LINE('',#514527,#126216); #88298=LINE('',#514530,#126217); #88299=LINE('',#514532,#126218); #88300=LINE('',#514533,#126219); #88301=LINE('',#514536,#126220); #88302=LINE('',#514538,#126221); #88303=LINE('',#514539,#126222); #88304=LINE('',#514542,#126223); #88305=LINE('',#514544,#126224); #88306=LINE('',#514545,#126225); #88307=LINE('',#514548,#126226); #88308=LINE('',#514550,#126227); #88309=LINE('',#514551,#126228); #88310=LINE('',#514554,#126229); #88311=LINE('',#514556,#126230); #88312=LINE('',#514557,#126231); #88313=LINE('',#514560,#126232); #88314=LINE('',#514562,#126233); #88315=LINE('',#514563,#126234); #88316=LINE('',#514566,#126235); #88317=LINE('',#514568,#126236); #88318=LINE('',#514569,#126237); #88319=LINE('',#514572,#126238); #88320=LINE('',#514574,#126239); #88321=LINE('',#514575,#126240); #88322=LINE('',#514578,#126241); #88323=LINE('',#514580,#126242); #88324=LINE('',#514581,#126243); #88325=LINE('',#514584,#126244); #88326=LINE('',#514586,#126245); #88327=LINE('',#514587,#126246); #88328=LINE('',#514590,#126247); #88329=LINE('',#514592,#126248); #88330=LINE('',#514593,#126249); #88331=LINE('',#514596,#126250); #88332=LINE('',#514598,#126251); #88333=LINE('',#514599,#126252); #88334=LINE('',#514602,#126253); #88335=LINE('',#514604,#126254); #88336=LINE('',#514605,#126255); #88337=LINE('',#514608,#126256); #88338=LINE('',#514610,#126257); #88339=LINE('',#514611,#126258); #88340=LINE('',#514613,#126259); #88341=LINE('',#514614,#126260); #88342=LINE('',#514621,#126261); #88343=LINE('',#514627,#126262); #88344=LINE('',#514632,#126263); #88345=LINE('',#514634,#126264); #88346=LINE('',#514636,#126265); #88347=LINE('',#514637,#126266); #88348=LINE('',#514643,#126267); #88349=LINE('',#514646,#126268); #88350=LINE('',#514648,#126269); #88351=LINE('',#514649,#126270); #88352=LINE('',#514655,#126271); #88353=LINE('',#514661,#126272); #88354=LINE('',#514664,#126273); #88355=LINE('',#514666,#126274); #88356=LINE('',#514667,#126275); #88357=LINE('',#514677,#126276); #88358=LINE('',#514683,#126277); #88359=LINE('',#514689,#126278); #88360=LINE('',#514695,#126279); #88361=LINE('',#514701,#126280); #88362=LINE('',#514707,#126281); #88363=LINE('',#514713,#126282); #88364=LINE('',#514719,#126283); #88365=LINE('',#514725,#126284); #88366=LINE('',#514731,#126285); #88367=LINE('',#514737,#126286); #88368=LINE('',#514743,#126287); #88369=LINE('',#514749,#126288); #88370=LINE('',#514755,#126289); #88371=LINE('',#514761,#126290); #88372=LINE('',#514767,#126291); #88373=LINE('',#514773,#126292); #88374=LINE('',#514779,#126293); #88375=LINE('',#514785,#126294); #88376=LINE('',#514791,#126295); #88377=LINE('',#514797,#126296); #88378=LINE('',#514803,#126297); #88379=LINE('',#514809,#126298); #88380=LINE('',#514815,#126299); #88381=LINE('',#514821,#126300); #88382=LINE('',#514827,#126301); #88383=LINE('',#514833,#126302); #88384=LINE('',#514839,#126303); #88385=LINE('',#514845,#126304); #88386=LINE('',#514851,#126305); #88387=LINE('',#514856,#126306); #88388=LINE('',#514858,#126307); #88389=LINE('',#514860,#126308); #88390=LINE('',#514861,#126309); #88391=LINE('',#514864,#126310); #88392=LINE('',#514866,#126311); #88393=LINE('',#514867,#126312); #88394=LINE('',#514870,#126313); #88395=LINE('',#514872,#126314); #88396=LINE('',#514873,#126315); #88397=LINE('',#514876,#126316); #88398=LINE('',#514878,#126317); #88399=LINE('',#514879,#126318); #88400=LINE('',#514882,#126319); #88401=LINE('',#514884,#126320); #88402=LINE('',#514885,#126321); #88403=LINE('',#514888,#126322); #88404=LINE('',#514890,#126323); #88405=LINE('',#514891,#126324); #88406=LINE('',#514894,#126325); #88407=LINE('',#514896,#126326); #88408=LINE('',#514897,#126327); #88409=LINE('',#514900,#126328); #88410=LINE('',#514902,#126329); #88411=LINE('',#514903,#126330); #88412=LINE('',#514906,#126331); #88413=LINE('',#514908,#126332); #88414=LINE('',#514909,#126333); #88415=LINE('',#514912,#126334); #88416=LINE('',#514914,#126335); #88417=LINE('',#514915,#126336); #88418=LINE('',#514918,#126337); #88419=LINE('',#514920,#126338); #88420=LINE('',#514921,#126339); #88421=LINE('',#514924,#126340); #88422=LINE('',#514926,#126341); #88423=LINE('',#514927,#126342); #88424=LINE('',#514930,#126343); #88425=LINE('',#514932,#126344); #88426=LINE('',#514933,#126345); #88427=LINE('',#514936,#126346); #88428=LINE('',#514938,#126347); #88429=LINE('',#514939,#126348); #88430=LINE('',#514942,#126349); #88431=LINE('',#514944,#126350); #88432=LINE('',#514945,#126351); #88433=LINE('',#514948,#126352); #88434=LINE('',#514950,#126353); #88435=LINE('',#514951,#126354); #88436=LINE('',#514954,#126355); #88437=LINE('',#514956,#126356); #88438=LINE('',#514957,#126357); #88439=LINE('',#514960,#126358); #88440=LINE('',#514962,#126359); #88441=LINE('',#514963,#126360); #88442=LINE('',#514966,#126361); #88443=LINE('',#514968,#126362); #88444=LINE('',#514969,#126363); #88445=LINE('',#514972,#126364); #88446=LINE('',#514974,#126365); #88447=LINE('',#514975,#126366); #88448=LINE('',#514978,#126367); #88449=LINE('',#514980,#126368); #88450=LINE('',#514981,#126369); #88451=LINE('',#514984,#126370); #88452=LINE('',#514986,#126371); #88453=LINE('',#514987,#126372); #88454=LINE('',#514990,#126373); #88455=LINE('',#514992,#126374); #88456=LINE('',#514993,#126375); #88457=LINE('',#514996,#126376); #88458=LINE('',#514998,#126377); #88459=LINE('',#514999,#126378); #88460=LINE('',#515002,#126379); #88461=LINE('',#515004,#126380); #88462=LINE('',#515005,#126381); #88463=LINE('',#515008,#126382); #88464=LINE('',#515010,#126383); #88465=LINE('',#515011,#126384); #88466=LINE('',#515014,#126385); #88467=LINE('',#515016,#126386); #88468=LINE('',#515017,#126387); #88469=LINE('',#515020,#126388); #88470=LINE('',#515022,#126389); #88471=LINE('',#515023,#126390); #88472=LINE('',#515026,#126391); #88473=LINE('',#515028,#126392); #88474=LINE('',#515029,#126393); #88475=LINE('',#515032,#126394); #88476=LINE('',#515034,#126395); #88477=LINE('',#515035,#126396); #88478=LINE('',#515038,#126397); #88479=LINE('',#515040,#126398); #88480=LINE('',#515041,#126399); #88481=LINE('',#515044,#126400); #88482=LINE('',#515046,#126401); #88483=LINE('',#515047,#126402); #88484=LINE('',#515050,#126403); #88485=LINE('',#515052,#126404); #88486=LINE('',#515053,#126405); #88487=LINE('',#515056,#126406); #88488=LINE('',#515058,#126407); #88489=LINE('',#515059,#126408); #88490=LINE('',#515062,#126409); #88491=LINE('',#515064,#126410); #88492=LINE('',#515065,#126411); #88493=LINE('',#515068,#126412); #88494=LINE('',#515070,#126413); #88495=LINE('',#515071,#126414); #88496=LINE('',#515074,#126415); #88497=LINE('',#515076,#126416); #88498=LINE('',#515077,#126417); #88499=LINE('',#515080,#126418); #88500=LINE('',#515082,#126419); #88501=LINE('',#515083,#126420); #88502=LINE('',#515086,#126421); #88503=LINE('',#515088,#126422); #88504=LINE('',#515089,#126423); #88505=LINE('',#515092,#126424); #88506=LINE('',#515094,#126425); #88507=LINE('',#515095,#126426); #88508=LINE('',#515098,#126427); #88509=LINE('',#515100,#126428); #88510=LINE('',#515101,#126429); #88511=LINE('',#515104,#126430); #88512=LINE('',#515106,#126431); #88513=LINE('',#515107,#126432); #88514=LINE('',#515110,#126433); #88515=LINE('',#515112,#126434); #88516=LINE('',#515113,#126435); #88517=LINE('',#515116,#126436); #88518=LINE('',#515118,#126437); #88519=LINE('',#515119,#126438); #88520=LINE('',#515121,#126439); #88521=LINE('',#515122,#126440); #88522=LINE('',#515129,#126441); #88523=LINE('',#515135,#126442); #88524=LINE('',#515140,#126443); #88525=LINE('',#515142,#126444); #88526=LINE('',#515144,#126445); #88527=LINE('',#515145,#126446); #88528=LINE('',#515151,#126447); #88529=LINE('',#515154,#126448); #88530=LINE('',#515156,#126449); #88531=LINE('',#515157,#126450); #88532=LINE('',#515163,#126451); #88533=LINE('',#515169,#126452); #88534=LINE('',#515172,#126453); #88535=LINE('',#515174,#126454); #88536=LINE('',#515175,#126455); #88537=LINE('',#515185,#126456); #88538=LINE('',#515191,#126457); #88539=LINE('',#515196,#126458); #88540=LINE('',#515198,#126459); #88541=LINE('',#515200,#126460); #88542=LINE('',#515201,#126461); #88543=LINE('',#515204,#126462); #88544=LINE('',#515206,#126463); #88545=LINE('',#515207,#126464); #88546=LINE('',#515210,#126465); #88547=LINE('',#515212,#126466); #88548=LINE('',#515213,#126467); #88549=LINE('',#515216,#126468); #88550=LINE('',#515218,#126469); #88551=LINE('',#515219,#126470); #88552=LINE('',#515222,#126471); #88553=LINE('',#515224,#126472); #88554=LINE('',#515225,#126473); #88555=LINE('',#515228,#126474); #88556=LINE('',#515230,#126475); #88557=LINE('',#515231,#126476); #88558=LINE('',#515234,#126477); #88559=LINE('',#515236,#126478); #88560=LINE('',#515237,#126479); #88561=LINE('',#515239,#126480); #88562=LINE('',#515240,#126481); #88563=LINE('',#515244,#126482); #88564=LINE('',#515246,#126483); #88565=LINE('',#515248,#126484); #88566=LINE('',#515249,#126485); #88567=LINE('',#515252,#126486); #88568=LINE('',#515254,#126487); #88569=LINE('',#515255,#126488); #88570=LINE('',#515258,#126489); #88571=LINE('',#515260,#126490); #88572=LINE('',#515261,#126491); #88573=LINE('',#515264,#126492); #88574=LINE('',#515266,#126493); #88575=LINE('',#515267,#126494); #88576=LINE('',#515270,#126495); #88577=LINE('',#515272,#126496); #88578=LINE('',#515273,#126497); #88579=LINE('',#515276,#126498); #88580=LINE('',#515278,#126499); #88581=LINE('',#515279,#126500); #88582=LINE('',#515282,#126501); #88583=LINE('',#515284,#126502); #88584=LINE('',#515285,#126503); #88585=LINE('',#515288,#126504); #88586=LINE('',#515290,#126505); #88587=LINE('',#515291,#126506); #88588=LINE('',#515294,#126507); #88589=LINE('',#515296,#126508); #88590=LINE('',#515297,#126509); #88591=LINE('',#515300,#126510); #88592=LINE('',#515302,#126511); #88593=LINE('',#515303,#126512); #88594=LINE('',#515306,#126513); #88595=LINE('',#515308,#126514); #88596=LINE('',#515309,#126515); #88597=LINE('',#515312,#126516); #88598=LINE('',#515314,#126517); #88599=LINE('',#515315,#126518); #88600=LINE('',#515318,#126519); #88601=LINE('',#515320,#126520); #88602=LINE('',#515321,#126521); #88603=LINE('',#515324,#126522); #88604=LINE('',#515326,#126523); #88605=LINE('',#515327,#126524); #88606=LINE('',#515330,#126525); #88607=LINE('',#515332,#126526); #88608=LINE('',#515333,#126527); #88609=LINE('',#515336,#126528); #88610=LINE('',#515338,#126529); #88611=LINE('',#515339,#126530); #88612=LINE('',#515342,#126531); #88613=LINE('',#515344,#126532); #88614=LINE('',#515345,#126533); #88615=LINE('',#515348,#126534); #88616=LINE('',#515350,#126535); #88617=LINE('',#515351,#126536); #88618=LINE('',#515354,#126537); #88619=LINE('',#515356,#126538); #88620=LINE('',#515357,#126539); #88621=LINE('',#515360,#126540); #88622=LINE('',#515362,#126541); #88623=LINE('',#515363,#126542); #88624=LINE('',#515366,#126543); #88625=LINE('',#515368,#126544); #88626=LINE('',#515369,#126545); #88627=LINE('',#515372,#126546); #88628=LINE('',#515374,#126547); #88629=LINE('',#515375,#126548); #88630=LINE('',#515378,#126549); #88631=LINE('',#515380,#126550); #88632=LINE('',#515381,#126551); #88633=LINE('',#515384,#126552); #88634=LINE('',#515386,#126553); #88635=LINE('',#515387,#126554); #88636=LINE('',#515390,#126555); #88637=LINE('',#515392,#126556); #88638=LINE('',#515393,#126557); #88639=LINE('',#515396,#126558); #88640=LINE('',#515398,#126559); #88641=LINE('',#515399,#126560); #88642=LINE('',#515402,#126561); #88643=LINE('',#515404,#126562); #88644=LINE('',#515405,#126563); #88645=LINE('',#515408,#126564); #88646=LINE('',#515410,#126565); #88647=LINE('',#515411,#126566); #88648=LINE('',#515414,#126567); #88649=LINE('',#515416,#126568); #88650=LINE('',#515417,#126569); #88651=LINE('',#515420,#126570); #88652=LINE('',#515422,#126571); #88653=LINE('',#515423,#126572); #88654=LINE('',#515426,#126573); #88655=LINE('',#515428,#126574); #88656=LINE('',#515429,#126575); #88657=LINE('',#515432,#126576); #88658=LINE('',#515434,#126577); #88659=LINE('',#515435,#126578); #88660=LINE('',#515438,#126579); #88661=LINE('',#515440,#126580); #88662=LINE('',#515441,#126581); #88663=LINE('',#515444,#126582); #88664=LINE('',#515446,#126583); #88665=LINE('',#515447,#126584); #88666=LINE('',#515450,#126585); #88667=LINE('',#515452,#126586); #88668=LINE('',#515453,#126587); #88669=LINE('',#515456,#126588); #88670=LINE('',#515458,#126589); #88671=LINE('',#515459,#126590); #88672=LINE('',#515462,#126591); #88673=LINE('',#515464,#126592); #88674=LINE('',#515465,#126593); #88675=LINE('',#515468,#126594); #88676=LINE('',#515470,#126595); #88677=LINE('',#515471,#126596); #88678=LINE('',#515474,#126597); #88679=LINE('',#515476,#126598); #88680=LINE('',#515477,#126599); #88681=LINE('',#515480,#126600); #88682=LINE('',#515482,#126601); #88683=LINE('',#515483,#126602); #88684=LINE('',#515486,#126603); #88685=LINE('',#515488,#126604); #88686=LINE('',#515489,#126605); #88687=LINE('',#515492,#126606); #88688=LINE('',#515494,#126607); #88689=LINE('',#515495,#126608); #88690=LINE('',#515498,#126609); #88691=LINE('',#515500,#126610); #88692=LINE('',#515501,#126611); #88693=LINE('',#515504,#126612); #88694=LINE('',#515506,#126613); #88695=LINE('',#515507,#126614); #88696=LINE('',#515510,#126615); #88697=LINE('',#515512,#126616); #88698=LINE('',#515513,#126617); #88699=LINE('',#515516,#126618); #88700=LINE('',#515518,#126619); #88701=LINE('',#515519,#126620); #88702=LINE('',#515522,#126621); #88703=LINE('',#515524,#126622); #88704=LINE('',#515525,#126623); #88705=LINE('',#515528,#126624); #88706=LINE('',#515530,#126625); #88707=LINE('',#515531,#126626); #88708=LINE('',#515534,#126627); #88709=LINE('',#515536,#126628); #88710=LINE('',#515537,#126629); #88711=LINE('',#515540,#126630); #88712=LINE('',#515542,#126631); #88713=LINE('',#515543,#126632); #88714=LINE('',#515546,#126633); #88715=LINE('',#515548,#126634); #88716=LINE('',#515549,#126635); #88717=LINE('',#515552,#126636); #88718=LINE('',#515554,#126637); #88719=LINE('',#515555,#126638); #88720=LINE('',#515558,#126639); #88721=LINE('',#515560,#126640); #88722=LINE('',#515561,#126641); #88723=LINE('',#515564,#126642); #88724=LINE('',#515566,#126643); #88725=LINE('',#515567,#126644); #88726=LINE('',#515570,#126645); #88727=LINE('',#515572,#126646); #88728=LINE('',#515573,#126647); #88729=LINE('',#515576,#126648); #88730=LINE('',#515578,#126649); #88731=LINE('',#515579,#126650); #88732=LINE('',#515582,#126651); #88733=LINE('',#515584,#126652); #88734=LINE('',#515585,#126653); #88735=LINE('',#515588,#126654); #88736=LINE('',#515590,#126655); #88737=LINE('',#515591,#126656); #88738=LINE('',#515594,#126657); #88739=LINE('',#515596,#126658); #88740=LINE('',#515597,#126659); #88741=LINE('',#515600,#126660); #88742=LINE('',#515602,#126661); #88743=LINE('',#515603,#126662); #88744=LINE('',#515606,#126663); #88745=LINE('',#515608,#126664); #88746=LINE('',#515609,#126665); #88747=LINE('',#515612,#126666); #88748=LINE('',#515614,#126667); #88749=LINE('',#515615,#126668); #88750=LINE('',#515618,#126669); #88751=LINE('',#515620,#126670); #88752=LINE('',#515621,#126671); #88753=LINE('',#515624,#126672); #88754=LINE('',#515626,#126673); #88755=LINE('',#515627,#126674); #88756=LINE('',#515630,#126675); #88757=LINE('',#515632,#126676); #88758=LINE('',#515633,#126677); #88759=LINE('',#515636,#126678); #88760=LINE('',#515638,#126679); #88761=LINE('',#515639,#126680); #88762=LINE('',#515642,#126681); #88763=LINE('',#515644,#126682); #88764=LINE('',#515645,#126683); #88765=LINE('',#515648,#126684); #88766=LINE('',#515650,#126685); #88767=LINE('',#515651,#126686); #88768=LINE('',#515654,#126687); #88769=LINE('',#515656,#126688); #88770=LINE('',#515657,#126689); #88771=LINE('',#515660,#126690); #88772=LINE('',#515662,#126691); #88773=LINE('',#515663,#126692); #88774=LINE('',#515666,#126693); #88775=LINE('',#515668,#126694); #88776=LINE('',#515669,#126695); #88777=LINE('',#515672,#126696); #88778=LINE('',#515674,#126697); #88779=LINE('',#515675,#126698); #88780=LINE('',#515678,#126699); #88781=LINE('',#515680,#126700); #88782=LINE('',#515681,#126701); #88783=LINE('',#515684,#126702); #88784=LINE('',#515686,#126703); #88785=LINE('',#515687,#126704); #88786=LINE('',#515690,#126705); #88787=LINE('',#515692,#126706); #88788=LINE('',#515693,#126707); #88789=LINE('',#515696,#126708); #88790=LINE('',#515698,#126709); #88791=LINE('',#515699,#126710); #88792=LINE('',#515702,#126711); #88793=LINE('',#515704,#126712); #88794=LINE('',#515705,#126713); #88795=LINE('',#515708,#126714); #88796=LINE('',#515710,#126715); #88797=LINE('',#515711,#126716); #88798=LINE('',#515714,#126717); #88799=LINE('',#515716,#126718); #88800=LINE('',#515717,#126719); #88801=LINE('',#515720,#126720); #88802=LINE('',#515722,#126721); #88803=LINE('',#515723,#126722); #88804=LINE('',#515726,#126723); #88805=LINE('',#515728,#126724); #88806=LINE('',#515729,#126725); #88807=LINE('',#515732,#126726); #88808=LINE('',#515734,#126727); #88809=LINE('',#515735,#126728); #88810=LINE('',#515738,#126729); #88811=LINE('',#515740,#126730); #88812=LINE('',#515741,#126731); #88813=LINE('',#515744,#126732); #88814=LINE('',#515746,#126733); #88815=LINE('',#515747,#126734); #88816=LINE('',#515750,#126735); #88817=LINE('',#515752,#126736); #88818=LINE('',#515753,#126737); #88819=LINE('',#515756,#126738); #88820=LINE('',#515758,#126739); #88821=LINE('',#515759,#126740); #88822=LINE('',#515762,#126741); #88823=LINE('',#515764,#126742); #88824=LINE('',#515765,#126743); #88825=LINE('',#515768,#126744); #88826=LINE('',#515770,#126745); #88827=LINE('',#515771,#126746); #88828=LINE('',#515774,#126747); #88829=LINE('',#515776,#126748); #88830=LINE('',#515777,#126749); #88831=LINE('',#515780,#126750); #88832=LINE('',#515782,#126751); #88833=LINE('',#515783,#126752); #88834=LINE('',#515786,#126753); #88835=LINE('',#515788,#126754); #88836=LINE('',#515789,#126755); #88837=LINE('',#515792,#126756); #88838=LINE('',#515794,#126757); #88839=LINE('',#515795,#126758); #88840=LINE('',#515797,#126759); #88841=LINE('',#515798,#126760); #88842=LINE('',#515805,#126761); #88843=LINE('',#515810,#126762); #88844=LINE('',#515812,#126763); #88845=LINE('',#515814,#126764); #88846=LINE('',#515815,#126765); #88847=LINE('',#515821,#126766); #88848=LINE('',#515831,#126767); #88849=LINE('',#515837,#126768); #88850=LINE('',#515843,#126769); #88851=LINE('',#515849,#126770); #88852=LINE('',#515855,#126771); #88853=LINE('',#515861,#126772); #88854=LINE('',#515867,#126773); #88855=LINE('',#515873,#126774); #88856=LINE('',#515879,#126775); #88857=LINE('',#515885,#126776); #88858=LINE('',#515891,#126777); #88859=LINE('',#515897,#126778); #88860=LINE('',#515903,#126779); #88861=LINE('',#515909,#126780); #88862=LINE('',#515915,#126781); #88863=LINE('',#515920,#126782); #88864=LINE('',#515922,#126783); #88865=LINE('',#515924,#126784); #88866=LINE('',#515925,#126785); #88867=LINE('',#515928,#126786); #88868=LINE('',#515930,#126787); #88869=LINE('',#515931,#126788); #88870=LINE('',#515934,#126789); #88871=LINE('',#515936,#126790); #88872=LINE('',#515937,#126791); #88873=LINE('',#515940,#126792); #88874=LINE('',#515942,#126793); #88875=LINE('',#515943,#126794); #88876=LINE('',#515946,#126795); #88877=LINE('',#515948,#126796); #88878=LINE('',#515949,#126797); #88879=LINE('',#515952,#126798); #88880=LINE('',#515954,#126799); #88881=LINE('',#515955,#126800); #88882=LINE('',#515958,#126801); #88883=LINE('',#515960,#126802); #88884=LINE('',#515961,#126803); #88885=LINE('',#515964,#126804); #88886=LINE('',#515966,#126805); #88887=LINE('',#515967,#126806); #88888=LINE('',#515970,#126807); #88889=LINE('',#515972,#126808); #88890=LINE('',#515973,#126809); #88891=LINE('',#515976,#126810); #88892=LINE('',#515978,#126811); #88893=LINE('',#515979,#126812); #88894=LINE('',#515982,#126813); #88895=LINE('',#515984,#126814); #88896=LINE('',#515985,#126815); #88897=LINE('',#515988,#126816); #88898=LINE('',#515990,#126817); #88899=LINE('',#515991,#126818); #88900=LINE('',#515994,#126819); #88901=LINE('',#515996,#126820); #88902=LINE('',#515997,#126821); #88903=LINE('',#516000,#126822); #88904=LINE('',#516002,#126823); #88905=LINE('',#516003,#126824); #88906=LINE('',#516006,#126825); #88907=LINE('',#516008,#126826); #88908=LINE('',#516009,#126827); #88909=LINE('',#516012,#126828); #88910=LINE('',#516014,#126829); #88911=LINE('',#516015,#126830); #88912=LINE('',#516018,#126831); #88913=LINE('',#516020,#126832); #88914=LINE('',#516021,#126833); #88915=LINE('',#516024,#126834); #88916=LINE('',#516026,#126835); #88917=LINE('',#516027,#126836); #88918=LINE('',#516030,#126837); #88919=LINE('',#516032,#126838); #88920=LINE('',#516033,#126839); #88921=LINE('',#516036,#126840); #88922=LINE('',#516038,#126841); #88923=LINE('',#516039,#126842); #88924=LINE('',#516042,#126843); #88925=LINE('',#516044,#126844); #88926=LINE('',#516045,#126845); #88927=LINE('',#516048,#126846); #88928=LINE('',#516050,#126847); #88929=LINE('',#516051,#126848); #88930=LINE('',#516054,#126849); #88931=LINE('',#516056,#126850); #88932=LINE('',#516057,#126851); #88933=LINE('',#516060,#126852); #88934=LINE('',#516062,#126853); #88935=LINE('',#516063,#126854); #88936=LINE('',#516066,#126855); #88937=LINE('',#516068,#126856); #88938=LINE('',#516069,#126857); #88939=LINE('',#516072,#126858); #88940=LINE('',#516074,#126859); #88941=LINE('',#516075,#126860); #88942=LINE('',#516078,#126861); #88943=LINE('',#516080,#126862); #88944=LINE('',#516081,#126863); #88945=LINE('',#516084,#126864); #88946=LINE('',#516086,#126865); #88947=LINE('',#516087,#126866); #88948=LINE('',#516090,#126867); #88949=LINE('',#516092,#126868); #88950=LINE('',#516093,#126869); #88951=LINE('',#516096,#126870); #88952=LINE('',#516098,#126871); #88953=LINE('',#516099,#126872); #88954=LINE('',#516102,#126873); #88955=LINE('',#516104,#126874); #88956=LINE('',#516105,#126875); #88957=LINE('',#516108,#126876); #88958=LINE('',#516110,#126877); #88959=LINE('',#516111,#126878); #88960=LINE('',#516114,#126879); #88961=LINE('',#516116,#126880); #88962=LINE('',#516117,#126881); #88963=LINE('',#516120,#126882); #88964=LINE('',#516122,#126883); #88965=LINE('',#516123,#126884); #88966=LINE('',#516126,#126885); #88967=LINE('',#516128,#126886); #88968=LINE('',#516129,#126887); #88969=LINE('',#516132,#126888); #88970=LINE('',#516134,#126889); #88971=LINE('',#516135,#126890); #88972=LINE('',#516138,#126891); #88973=LINE('',#516140,#126892); #88974=LINE('',#516141,#126893); #88975=LINE('',#516144,#126894); #88976=LINE('',#516146,#126895); #88977=LINE('',#516147,#126896); #88978=LINE('',#516150,#126897); #88979=LINE('',#516152,#126898); #88980=LINE('',#516153,#126899); #88981=LINE('',#516156,#126900); #88982=LINE('',#516158,#126901); #88983=LINE('',#516159,#126902); #88984=LINE('',#516162,#126903); #88985=LINE('',#516164,#126904); #88986=LINE('',#516165,#126905); #88987=LINE('',#516168,#126906); #88988=LINE('',#516170,#126907); #88989=LINE('',#516171,#126908); #88990=LINE('',#516174,#126909); #88991=LINE('',#516176,#126910); #88992=LINE('',#516177,#126911); #88993=LINE('',#516180,#126912); #88994=LINE('',#516182,#126913); #88995=LINE('',#516183,#126914); #88996=LINE('',#516186,#126915); #88997=LINE('',#516188,#126916); #88998=LINE('',#516189,#126917); #88999=LINE('',#516192,#126918); #89000=LINE('',#516194,#126919); #89001=LINE('',#516195,#126920); #89002=LINE('',#516198,#126921); #89003=LINE('',#516200,#126922); #89004=LINE('',#516201,#126923); #89005=LINE('',#516204,#126924); #89006=LINE('',#516206,#126925); #89007=LINE('',#516207,#126926); #89008=LINE('',#516210,#126927); #89009=LINE('',#516212,#126928); #89010=LINE('',#516213,#126929); #89011=LINE('',#516216,#126930); #89012=LINE('',#516218,#126931); #89013=LINE('',#516219,#126932); #89014=LINE('',#516222,#126933); #89015=LINE('',#516224,#126934); #89016=LINE('',#516225,#126935); #89017=LINE('',#516228,#126936); #89018=LINE('',#516230,#126937); #89019=LINE('',#516231,#126938); #89020=LINE('',#516234,#126939); #89021=LINE('',#516236,#126940); #89022=LINE('',#516237,#126941); #89023=LINE('',#516240,#126942); #89024=LINE('',#516242,#126943); #89025=LINE('',#516243,#126944); #89026=LINE('',#516246,#126945); #89027=LINE('',#516248,#126946); #89028=LINE('',#516249,#126947); #89029=LINE('',#516252,#126948); #89030=LINE('',#516254,#126949); #89031=LINE('',#516255,#126950); #89032=LINE('',#516258,#126951); #89033=LINE('',#516260,#126952); #89034=LINE('',#516261,#126953); #89035=LINE('',#516264,#126954); #89036=LINE('',#516266,#126955); #89037=LINE('',#516267,#126956); #89038=LINE('',#516270,#126957); #89039=LINE('',#516272,#126958); #89040=LINE('',#516273,#126959); #89041=LINE('',#516276,#126960); #89042=LINE('',#516278,#126961); #89043=LINE('',#516279,#126962); #89044=LINE('',#516282,#126963); #89045=LINE('',#516284,#126964); #89046=LINE('',#516285,#126965); #89047=LINE('',#516288,#126966); #89048=LINE('',#516290,#126967); #89049=LINE('',#516291,#126968); #89050=LINE('',#516294,#126969); #89051=LINE('',#516296,#126970); #89052=LINE('',#516297,#126971); #89053=LINE('',#516300,#126972); #89054=LINE('',#516302,#126973); #89055=LINE('',#516303,#126974); #89056=LINE('',#516306,#126975); #89057=LINE('',#516308,#126976); #89058=LINE('',#516309,#126977); #89059=LINE('',#516312,#126978); #89060=LINE('',#516314,#126979); #89061=LINE('',#516315,#126980); #89062=LINE('',#516318,#126981); #89063=LINE('',#516320,#126982); #89064=LINE('',#516321,#126983); #89065=LINE('',#516324,#126984); #89066=LINE('',#516326,#126985); #89067=LINE('',#516327,#126986); #89068=LINE('',#516330,#126987); #89069=LINE('',#516332,#126988); #89070=LINE('',#516333,#126989); #89071=LINE('',#516336,#126990); #89072=LINE('',#516338,#126991); #89073=LINE('',#516339,#126992); #89074=LINE('',#516342,#126993); #89075=LINE('',#516344,#126994); #89076=LINE('',#516345,#126995); #89077=LINE('',#516347,#126996); #89078=LINE('',#516348,#126997); #89079=LINE('',#516352,#126998); #89080=LINE('',#516354,#126999); #89081=LINE('',#516356,#127000); #89082=LINE('',#516357,#127001); #89083=LINE('',#516360,#127002); #89084=LINE('',#516362,#127003); #89085=LINE('',#516363,#127004); #89086=LINE('',#516366,#127005); #89087=LINE('',#516368,#127006); #89088=LINE('',#516369,#127007); #89089=LINE('',#516372,#127008); #89090=LINE('',#516374,#127009); #89091=LINE('',#516375,#127010); #89092=LINE('',#516378,#127011); #89093=LINE('',#516380,#127012); #89094=LINE('',#516381,#127013); #89095=LINE('',#516384,#127014); #89096=LINE('',#516386,#127015); #89097=LINE('',#516387,#127016); #89098=LINE('',#516390,#127017); #89099=LINE('',#516392,#127018); #89100=LINE('',#516393,#127019); #89101=LINE('',#516396,#127020); #89102=LINE('',#516398,#127021); #89103=LINE('',#516399,#127022); #89104=LINE('',#516402,#127023); #89105=LINE('',#516404,#127024); #89106=LINE('',#516405,#127025); #89107=LINE('',#516408,#127026); #89108=LINE('',#516410,#127027); #89109=LINE('',#516411,#127028); #89110=LINE('',#516414,#127029); #89111=LINE('',#516416,#127030); #89112=LINE('',#516417,#127031); #89113=LINE('',#516420,#127032); #89114=LINE('',#516422,#127033); #89115=LINE('',#516423,#127034); #89116=LINE('',#516426,#127035); #89117=LINE('',#516428,#127036); #89118=LINE('',#516429,#127037); #89119=LINE('',#516432,#127038); #89120=LINE('',#516434,#127039); #89121=LINE('',#516435,#127040); #89122=LINE('',#516438,#127041); #89123=LINE('',#516440,#127042); #89124=LINE('',#516441,#127043); #89125=LINE('',#516444,#127044); #89126=LINE('',#516446,#127045); #89127=LINE('',#516447,#127046); #89128=LINE('',#516450,#127047); #89129=LINE('',#516452,#127048); #89130=LINE('',#516453,#127049); #89131=LINE('',#516456,#127050); #89132=LINE('',#516458,#127051); #89133=LINE('',#516459,#127052); #89134=LINE('',#516462,#127053); #89135=LINE('',#516464,#127054); #89136=LINE('',#516465,#127055); #89137=LINE('',#516468,#127056); #89138=LINE('',#516470,#127057); #89139=LINE('',#516471,#127058); #89140=LINE('',#516474,#127059); #89141=LINE('',#516476,#127060); #89142=LINE('',#516477,#127061); #89143=LINE('',#516480,#127062); #89144=LINE('',#516482,#127063); #89145=LINE('',#516483,#127064); #89146=LINE('',#516486,#127065); #89147=LINE('',#516488,#127066); #89148=LINE('',#516489,#127067); #89149=LINE('',#516492,#127068); #89150=LINE('',#516494,#127069); #89151=LINE('',#516495,#127070); #89152=LINE('',#516498,#127071); #89153=LINE('',#516500,#127072); #89154=LINE('',#516501,#127073); #89155=LINE('',#516504,#127074); #89156=LINE('',#516506,#127075); #89157=LINE('',#516507,#127076); #89158=LINE('',#516510,#127077); #89159=LINE('',#516512,#127078); #89160=LINE('',#516513,#127079); #89161=LINE('',#516516,#127080); #89162=LINE('',#516518,#127081); #89163=LINE('',#516519,#127082); #89164=LINE('',#516522,#127083); #89165=LINE('',#516524,#127084); #89166=LINE('',#516525,#127085); #89167=LINE('',#516528,#127086); #89168=LINE('',#516530,#127087); #89169=LINE('',#516531,#127088); #89170=LINE('',#516534,#127089); #89171=LINE('',#516536,#127090); #89172=LINE('',#516537,#127091); #89173=LINE('',#516540,#127092); #89174=LINE('',#516542,#127093); #89175=LINE('',#516543,#127094); #89176=LINE('',#516546,#127095); #89177=LINE('',#516548,#127096); #89178=LINE('',#516549,#127097); #89179=LINE('',#516552,#127098); #89180=LINE('',#516554,#127099); #89181=LINE('',#516555,#127100); #89182=LINE('',#516558,#127101); #89183=LINE('',#516560,#127102); #89184=LINE('',#516561,#127103); #89185=LINE('',#516564,#127104); #89186=LINE('',#516566,#127105); #89187=LINE('',#516567,#127106); #89188=LINE('',#516570,#127107); #89189=LINE('',#516572,#127108); #89190=LINE('',#516573,#127109); #89191=LINE('',#516576,#127110); #89192=LINE('',#516578,#127111); #89193=LINE('',#516579,#127112); #89194=LINE('',#516582,#127113); #89195=LINE('',#516584,#127114); #89196=LINE('',#516585,#127115); #89197=LINE('',#516588,#127116); #89198=LINE('',#516590,#127117); #89199=LINE('',#516591,#127118); #89200=LINE('',#516594,#127119); #89201=LINE('',#516596,#127120); #89202=LINE('',#516597,#127121); #89203=LINE('',#516600,#127122); #89204=LINE('',#516602,#127123); #89205=LINE('',#516603,#127124); #89206=LINE('',#516606,#127125); #89207=LINE('',#516608,#127126); #89208=LINE('',#516609,#127127); #89209=LINE('',#516612,#127128); #89210=LINE('',#516614,#127129); #89211=LINE('',#516615,#127130); #89212=LINE('',#516618,#127131); #89213=LINE('',#516620,#127132); #89214=LINE('',#516621,#127133); #89215=LINE('',#516624,#127134); #89216=LINE('',#516626,#127135); #89217=LINE('',#516627,#127136); #89218=LINE('',#516630,#127137); #89219=LINE('',#516632,#127138); #89220=LINE('',#516633,#127139); #89221=LINE('',#516636,#127140); #89222=LINE('',#516638,#127141); #89223=LINE('',#516639,#127142); #89224=LINE('',#516642,#127143); #89225=LINE('',#516644,#127144); #89226=LINE('',#516645,#127145); #89227=LINE('',#516648,#127146); #89228=LINE('',#516650,#127147); #89229=LINE('',#516651,#127148); #89230=LINE('',#516654,#127149); #89231=LINE('',#516656,#127150); #89232=LINE('',#516657,#127151); #89233=LINE('',#516660,#127152); #89234=LINE('',#516662,#127153); #89235=LINE('',#516663,#127154); #89236=LINE('',#516666,#127155); #89237=LINE('',#516668,#127156); #89238=LINE('',#516669,#127157); #89239=LINE('',#516672,#127158); #89240=LINE('',#516674,#127159); #89241=LINE('',#516675,#127160); #89242=LINE('',#516678,#127161); #89243=LINE('',#516680,#127162); #89244=LINE('',#516681,#127163); #89245=LINE('',#516684,#127164); #89246=LINE('',#516686,#127165); #89247=LINE('',#516687,#127166); #89248=LINE('',#516690,#127167); #89249=LINE('',#516692,#127168); #89250=LINE('',#516693,#127169); #89251=LINE('',#516696,#127170); #89252=LINE('',#516698,#127171); #89253=LINE('',#516699,#127172); #89254=LINE('',#516702,#127173); #89255=LINE('',#516704,#127174); #89256=LINE('',#516705,#127175); #89257=LINE('',#516708,#127176); #89258=LINE('',#516710,#127177); #89259=LINE('',#516711,#127178); #89260=LINE('',#516714,#127179); #89261=LINE('',#516716,#127180); #89262=LINE('',#516717,#127181); #89263=LINE('',#516720,#127182); #89264=LINE('',#516722,#127183); #89265=LINE('',#516723,#127184); #89266=LINE('',#516726,#127185); #89267=LINE('',#516728,#127186); #89268=LINE('',#516729,#127187); #89269=LINE('',#516732,#127188); #89270=LINE('',#516734,#127189); #89271=LINE('',#516735,#127190); #89272=LINE('',#516738,#127191); #89273=LINE('',#516740,#127192); #89274=LINE('',#516741,#127193); #89275=LINE('',#516744,#127194); #89276=LINE('',#516746,#127195); #89277=LINE('',#516747,#127196); #89278=LINE('',#516750,#127197); #89279=LINE('',#516752,#127198); #89280=LINE('',#516753,#127199); #89281=LINE('',#516756,#127200); #89282=LINE('',#516758,#127201); #89283=LINE('',#516759,#127202); #89284=LINE('',#516762,#127203); #89285=LINE('',#516764,#127204); #89286=LINE('',#516765,#127205); #89287=LINE('',#516768,#127206); #89288=LINE('',#516770,#127207); #89289=LINE('',#516771,#127208); #89290=LINE('',#516774,#127209); #89291=LINE('',#516776,#127210); #89292=LINE('',#516777,#127211); #89293=LINE('',#516780,#127212); #89294=LINE('',#516782,#127213); #89295=LINE('',#516783,#127214); #89296=LINE('',#516786,#127215); #89297=LINE('',#516788,#127216); #89298=LINE('',#516789,#127217); #89299=LINE('',#516792,#127218); #89300=LINE('',#516794,#127219); #89301=LINE('',#516795,#127220); #89302=LINE('',#516798,#127221); #89303=LINE('',#516800,#127222); #89304=LINE('',#516801,#127223); #89305=LINE('',#516804,#127224); #89306=LINE('',#516806,#127225); #89307=LINE('',#516807,#127226); #89308=LINE('',#516810,#127227); #89309=LINE('',#516812,#127228); #89310=LINE('',#516813,#127229); #89311=LINE('',#516816,#127230); #89312=LINE('',#516818,#127231); #89313=LINE('',#516819,#127232); #89314=LINE('',#516822,#127233); #89315=LINE('',#516824,#127234); #89316=LINE('',#516825,#127235); #89317=LINE('',#516828,#127236); #89318=LINE('',#516830,#127237); #89319=LINE('',#516831,#127238); #89320=LINE('',#516834,#127239); #89321=LINE('',#516836,#127240); #89322=LINE('',#516837,#127241); #89323=LINE('',#516840,#127242); #89324=LINE('',#516842,#127243); #89325=LINE('',#516843,#127244); #89326=LINE('',#516846,#127245); #89327=LINE('',#516848,#127246); #89328=LINE('',#516849,#127247); #89329=LINE('',#516852,#127248); #89330=LINE('',#516854,#127249); #89331=LINE('',#516855,#127250); #89332=LINE('',#516858,#127251); #89333=LINE('',#516860,#127252); #89334=LINE('',#516861,#127253); #89335=LINE('',#516864,#127254); #89336=LINE('',#516866,#127255); #89337=LINE('',#516867,#127256); #89338=LINE('',#516870,#127257); #89339=LINE('',#516872,#127258); #89340=LINE('',#516873,#127259); #89341=LINE('',#516876,#127260); #89342=LINE('',#516878,#127261); #89343=LINE('',#516879,#127262); #89344=LINE('',#516882,#127263); #89345=LINE('',#516884,#127264); #89346=LINE('',#516885,#127265); #89347=LINE('',#516888,#127266); #89348=LINE('',#516890,#127267); #89349=LINE('',#516891,#127268); #89350=LINE('',#516894,#127269); #89351=LINE('',#516896,#127270); #89352=LINE('',#516897,#127271); #89353=LINE('',#516900,#127272); #89354=LINE('',#516902,#127273); #89355=LINE('',#516903,#127274); #89356=LINE('',#516906,#127275); #89357=LINE('',#516908,#127276); #89358=LINE('',#516909,#127277); #89359=LINE('',#516912,#127278); #89360=LINE('',#516914,#127279); #89361=LINE('',#516915,#127280); #89362=LINE('',#516917,#127281); #89363=LINE('',#516918,#127282); #89364=LINE('',#516925,#127283); #89365=LINE('',#516931,#127284); #89366=LINE('',#516936,#127285); #89367=LINE('',#516938,#127286); #89368=LINE('',#516940,#127287); #89369=LINE('',#516941,#127288); #89370=LINE('',#516947,#127289); #89371=LINE('',#516950,#127290); #89372=LINE('',#516952,#127291); #89373=LINE('',#516953,#127292); #89374=LINE('',#516956,#127293); #89375=LINE('',#516958,#127294); #89376=LINE('',#516959,#127295); #89377=LINE('',#516965,#127296); #89378=LINE('',#516968,#127297); #89379=LINE('',#516970,#127298); #89380=LINE('',#516971,#127299); #89381=LINE('',#516974,#127300); #89382=LINE('',#516976,#127301); #89383=LINE('',#516977,#127302); #89384=LINE('',#516983,#127303); #89385=LINE('',#516986,#127304); #89386=LINE('',#516988,#127305); #89387=LINE('',#516989,#127306); #89388=LINE('',#516995,#127307); #89389=LINE('',#516998,#127308); #89390=LINE('',#517000,#127309); #89391=LINE('',#517001,#127310); #89392=LINE('',#517004,#127311); #89393=LINE('',#517006,#127312); #89394=LINE('',#517007,#127313); #89395=LINE('',#517010,#127314); #89396=LINE('',#517012,#127315); #89397=LINE('',#517013,#127316); #89398=LINE('',#517019,#127317); #89399=LINE('',#517022,#127318); #89400=LINE('',#517024,#127319); #89401=LINE('',#517025,#127320); #89402=LINE('',#517031,#127321); #89403=LINE('',#517034,#127322); #89404=LINE('',#517036,#127323); #89405=LINE('',#517037,#127324); #89406=LINE('',#517040,#127325); #89407=LINE('',#517042,#127326); #89408=LINE('',#517043,#127327); #89409=LINE('',#517049,#127328); #89410=LINE('',#517052,#127329); #89411=LINE('',#517054,#127330); #89412=LINE('',#517055,#127331); #89413=LINE('',#517061,#127332); #89414=LINE('',#517067,#127333); #89415=LINE('',#517070,#127334); #89416=LINE('',#517072,#127335); #89417=LINE('',#517073,#127336); #89418=LINE('',#517076,#127337); #89419=LINE('',#517078,#127338); #89420=LINE('',#517079,#127339); #89421=LINE('',#517085,#127340); #89422=LINE('',#517088,#127341); #89423=LINE('',#517090,#127342); #89424=LINE('',#517091,#127343); #89425=LINE('',#517094,#127344); #89426=LINE('',#517096,#127345); #89427=LINE('',#517097,#127346); #89428=LINE('',#517100,#127347); #89429=LINE('',#517102,#127348); #89430=LINE('',#517103,#127349); #89431=LINE('',#517109,#127350); #89432=LINE('',#517112,#127351); #89433=LINE('',#517114,#127352); #89434=LINE('',#517115,#127353); #89435=LINE('',#517121,#127354); #89436=LINE('',#517124,#127355); #89437=LINE('',#517126,#127356); #89438=LINE('',#517127,#127357); #89439=LINE('',#517130,#127358); #89440=LINE('',#517132,#127359); #89441=LINE('',#517133,#127360); #89442=LINE('',#517136,#127361); #89443=LINE('',#517138,#127362); #89444=LINE('',#517139,#127363); #89445=LINE('',#517145,#127364); #89446=LINE('',#517148,#127365); #89447=LINE('',#517150,#127366); #89448=LINE('',#517151,#127367); #89449=LINE('',#517154,#127368); #89450=LINE('',#517156,#127369); #89451=LINE('',#517157,#127370); #89452=LINE('',#517163,#127371); #89453=LINE('',#517166,#127372); #89454=LINE('',#517168,#127373); #89455=LINE('',#517169,#127374); #89456=LINE('',#517172,#127375); #89457=LINE('',#517174,#127376); #89458=LINE('',#517175,#127377); #89459=LINE('',#517185,#127378); #89460=LINE('',#517190,#127379); #89461=LINE('',#517192,#127380); #89462=LINE('',#517194,#127381); #89463=LINE('',#517195,#127382); #89464=LINE('',#517201,#127383); #89465=LINE('',#517211,#127384); #89466=LINE('',#517217,#127385); #89467=LINE('',#517223,#127386); #89468=LINE('',#517229,#127387); #89469=LINE('',#517235,#127388); #89470=LINE('',#517241,#127389); #89471=LINE('',#517247,#127390); #89472=LINE('',#517253,#127391); #89473=LINE('',#517259,#127392); #89474=LINE('',#517265,#127393); #89475=LINE('',#517270,#127394); #89476=LINE('',#517272,#127395); #89477=LINE('',#517274,#127396); #89478=LINE('',#517275,#127397); #89479=LINE('',#517278,#127398); #89480=LINE('',#517280,#127399); #89481=LINE('',#517281,#127400); #89482=LINE('',#517284,#127401); #89483=LINE('',#517286,#127402); #89484=LINE('',#517287,#127403); #89485=LINE('',#517290,#127404); #89486=LINE('',#517292,#127405); #89487=LINE('',#517293,#127406); #89488=LINE('',#517296,#127407); #89489=LINE('',#517298,#127408); #89490=LINE('',#517299,#127409); #89491=LINE('',#517302,#127410); #89492=LINE('',#517304,#127411); #89493=LINE('',#517305,#127412); #89494=LINE('',#517308,#127413); #89495=LINE('',#517310,#127414); #89496=LINE('',#517311,#127415); #89497=LINE('',#517314,#127416); #89498=LINE('',#517316,#127417); #89499=LINE('',#517317,#127418); #89500=LINE('',#517320,#127419); #89501=LINE('',#517322,#127420); #89502=LINE('',#517323,#127421); #89503=LINE('',#517326,#127422); #89504=LINE('',#517328,#127423); #89505=LINE('',#517329,#127424); #89506=LINE('',#517332,#127425); #89507=LINE('',#517334,#127426); #89508=LINE('',#517335,#127427); #89509=LINE('',#517338,#127428); #89510=LINE('',#517340,#127429); #89511=LINE('',#517341,#127430); #89512=LINE('',#517344,#127431); #89513=LINE('',#517346,#127432); #89514=LINE('',#517347,#127433); #89515=LINE('',#517350,#127434); #89516=LINE('',#517352,#127435); #89517=LINE('',#517353,#127436); #89518=LINE('',#517356,#127437); #89519=LINE('',#517358,#127438); #89520=LINE('',#517359,#127439); #89521=LINE('',#517362,#127440); #89522=LINE('',#517364,#127441); #89523=LINE('',#517365,#127442); #89524=LINE('',#517368,#127443); #89525=LINE('',#517370,#127444); #89526=LINE('',#517371,#127445); #89527=LINE('',#517374,#127446); #89528=LINE('',#517376,#127447); #89529=LINE('',#517377,#127448); #89530=LINE('',#517380,#127449); #89531=LINE('',#517382,#127450); #89532=LINE('',#517383,#127451); #89533=LINE('',#517386,#127452); #89534=LINE('',#517388,#127453); #89535=LINE('',#517389,#127454); #89536=LINE('',#517392,#127455); #89537=LINE('',#517394,#127456); #89538=LINE('',#517395,#127457); #89539=LINE('',#517398,#127458); #89540=LINE('',#517400,#127459); #89541=LINE('',#517401,#127460); #89542=LINE('',#517404,#127461); #89543=LINE('',#517406,#127462); #89544=LINE('',#517407,#127463); #89545=LINE('',#517409,#127464); #89546=LINE('',#517410,#127465); #89547=LINE('',#517417,#127466); #89548=LINE('',#517422,#127467); #89549=LINE('',#517424,#127468); #89550=LINE('',#517426,#127469); #89551=LINE('',#517427,#127470); #89552=LINE('',#517433,#127471); #89553=LINE('',#517443,#127472); #89554=LINE('',#517448,#127473); #89555=LINE('',#517450,#127474); #89556=LINE('',#517452,#127475); #89557=LINE('',#517453,#127476); #89558=LINE('',#517459,#127477); #89559=LINE('',#517469,#127478); #89560=LINE('',#517474,#127479); #89561=LINE('',#517476,#127480); #89562=LINE('',#517478,#127481); #89563=LINE('',#517479,#127482); #89564=LINE('',#517485,#127483); #89565=LINE('',#517495,#127484); #89566=LINE('',#517500,#127485); #89567=LINE('',#517502,#127486); #89568=LINE('',#517504,#127487); #89569=LINE('',#517505,#127488); #89570=LINE('',#517508,#127489); #89571=LINE('',#517510,#127490); #89572=LINE('',#517511,#127491); #89573=LINE('',#517514,#127492); #89574=LINE('',#517516,#127493); #89575=LINE('',#517517,#127494); #89576=LINE('',#517520,#127495); #89577=LINE('',#517522,#127496); #89578=LINE('',#517523,#127497); #89579=LINE('',#517526,#127498); #89580=LINE('',#517528,#127499); #89581=LINE('',#517529,#127500); #89582=LINE('',#517532,#127501); #89583=LINE('',#517534,#127502); #89584=LINE('',#517535,#127503); #89585=LINE('',#517538,#127504); #89586=LINE('',#517540,#127505); #89587=LINE('',#517541,#127506); #89588=LINE('',#517543,#127507); #89589=LINE('',#517544,#127508); #89590=LINE('',#517551,#127509); #89591=LINE('',#517556,#127510); #89592=LINE('',#517558,#127511); #89593=LINE('',#517560,#127512); #89594=LINE('',#517561,#127513); #89595=LINE('',#517567,#127514); #89596=LINE('',#517577,#127515); #89597=LINE('',#517582,#127516); #89598=LINE('',#517584,#127517); #89599=LINE('',#517586,#127518); #89600=LINE('',#517587,#127519); #89601=LINE('',#517590,#127520); #89602=LINE('',#517592,#127521); #89603=LINE('',#517593,#127522); #89604=LINE('',#517596,#127523); #89605=LINE('',#517598,#127524); #89606=LINE('',#517599,#127525); #89607=LINE('',#517601,#127526); #89608=LINE('',#517602,#127527); #89609=LINE('',#517609,#127528); #89610=LINE('',#517614,#127529); #89611=LINE('',#517616,#127530); #89612=LINE('',#517618,#127531); #89613=LINE('',#517619,#127532); #89614=LINE('',#517625,#127533); #89615=LINE('',#517634,#127534); #89616=LINE('',#517636,#127535); #89617=LINE('',#517638,#127536); #89618=LINE('',#517639,#127537); #89619=LINE('',#517645,#127538); #89620=LINE('',#517648,#127539); #89621=LINE('',#517650,#127540); #89622=LINE('',#517651,#127541); #89623=LINE('',#517658,#127542); #89624=LINE('',#517660,#127543); #89625=LINE('',#517662,#127544); #89626=LINE('',#517663,#127545); #89627=LINE('',#517666,#127546); #89628=LINE('',#517668,#127547); #89629=LINE('',#517669,#127548); #89630=LINE('',#517672,#127549); #89631=LINE('',#517674,#127550); #89632=LINE('',#517675,#127551); #89633=LINE('',#517678,#127552); #89634=LINE('',#517680,#127553); #89635=LINE('',#517681,#127554); #89636=LINE('',#517684,#127555); #89637=LINE('',#517686,#127556); #89638=LINE('',#517687,#127557); #89639=LINE('',#517690,#127558); #89640=LINE('',#517692,#127559); #89641=LINE('',#517693,#127560); #89642=LINE('',#517696,#127561); #89643=LINE('',#517698,#127562); #89644=LINE('',#517699,#127563); #89645=LINE('',#517702,#127564); #89646=LINE('',#517704,#127565); #89647=LINE('',#517705,#127566); #89648=LINE('',#517708,#127567); #89649=LINE('',#517710,#127568); #89650=LINE('',#517711,#127569); #89651=LINE('',#517714,#127570); #89652=LINE('',#517716,#127571); #89653=LINE('',#517717,#127572); #89654=LINE('',#517720,#127573); #89655=LINE('',#517722,#127574); #89656=LINE('',#517723,#127575); #89657=LINE('',#517726,#127576); #89658=LINE('',#517728,#127577); #89659=LINE('',#517729,#127578); #89660=LINE('',#517732,#127579); #89661=LINE('',#517734,#127580); #89662=LINE('',#517735,#127581); #89663=LINE('',#517738,#127582); #89664=LINE('',#517740,#127583); #89665=LINE('',#517741,#127584); #89666=LINE('',#517744,#127585); #89667=LINE('',#517746,#127586); #89668=LINE('',#517747,#127587); #89669=LINE('',#517750,#127588); #89670=LINE('',#517752,#127589); #89671=LINE('',#517753,#127590); #89672=LINE('',#517756,#127591); #89673=LINE('',#517758,#127592); #89674=LINE('',#517759,#127593); #89675=LINE('',#517762,#127594); #89676=LINE('',#517764,#127595); #89677=LINE('',#517765,#127596); #89678=LINE('',#517768,#127597); #89679=LINE('',#517770,#127598); #89680=LINE('',#517771,#127599); #89681=LINE('',#517774,#127600); #89682=LINE('',#517776,#127601); #89683=LINE('',#517777,#127602); #89684=LINE('',#517780,#127603); #89685=LINE('',#517782,#127604); #89686=LINE('',#517783,#127605); #89687=LINE('',#517786,#127606); #89688=LINE('',#517788,#127607); #89689=LINE('',#517789,#127608); #89690=LINE('',#517792,#127609); #89691=LINE('',#517794,#127610); #89692=LINE('',#517795,#127611); #89693=LINE('',#517798,#127612); #89694=LINE('',#517800,#127613); #89695=LINE('',#517801,#127614); #89696=LINE('',#517804,#127615); #89697=LINE('',#517806,#127616); #89698=LINE('',#517807,#127617); #89699=LINE('',#517810,#127618); #89700=LINE('',#517812,#127619); #89701=LINE('',#517813,#127620); #89702=LINE('',#517816,#127621); #89703=LINE('',#517818,#127622); #89704=LINE('',#517819,#127623); #89705=LINE('',#517822,#127624); #89706=LINE('',#517824,#127625); #89707=LINE('',#517825,#127626); #89708=LINE('',#517828,#127627); #89709=LINE('',#517830,#127628); #89710=LINE('',#517831,#127629); #89711=LINE('',#517834,#127630); #89712=LINE('',#517836,#127631); #89713=LINE('',#517837,#127632); #89714=LINE('',#517840,#127633); #89715=LINE('',#517842,#127634); #89716=LINE('',#517843,#127635); #89717=LINE('',#517846,#127636); #89718=LINE('',#517848,#127637); #89719=LINE('',#517849,#127638); #89720=LINE('',#517852,#127639); #89721=LINE('',#517854,#127640); #89722=LINE('',#517855,#127641); #89723=LINE('',#517858,#127642); #89724=LINE('',#517860,#127643); #89725=LINE('',#517861,#127644); #89726=LINE('',#517864,#127645); #89727=LINE('',#517866,#127646); #89728=LINE('',#517867,#127647); #89729=LINE('',#517870,#127648); #89730=LINE('',#517872,#127649); #89731=LINE('',#517873,#127650); #89732=LINE('',#517876,#127651); #89733=LINE('',#517878,#127652); #89734=LINE('',#517879,#127653); #89735=LINE('',#517882,#127654); #89736=LINE('',#517884,#127655); #89737=LINE('',#517885,#127656); #89738=LINE('',#517888,#127657); #89739=LINE('',#517890,#127658); #89740=LINE('',#517891,#127659); #89741=LINE('',#517894,#127660); #89742=LINE('',#517896,#127661); #89743=LINE('',#517897,#127662); #89744=LINE('',#517900,#127663); #89745=LINE('',#517902,#127664); #89746=LINE('',#517903,#127665); #89747=LINE('',#517906,#127666); #89748=LINE('',#517908,#127667); #89749=LINE('',#517909,#127668); #89750=LINE('',#517912,#127669); #89751=LINE('',#517914,#127670); #89752=LINE('',#517915,#127671); #89753=LINE('',#517918,#127672); #89754=LINE('',#517920,#127673); #89755=LINE('',#517921,#127674); #89756=LINE('',#517924,#127675); #89757=LINE('',#517926,#127676); #89758=LINE('',#517927,#127677); #89759=LINE('',#517930,#127678); #89760=LINE('',#517932,#127679); #89761=LINE('',#517933,#127680); #89762=LINE('',#517936,#127681); #89763=LINE('',#517938,#127682); #89764=LINE('',#517939,#127683); #89765=LINE('',#517942,#127684); #89766=LINE('',#517944,#127685); #89767=LINE('',#517945,#127686); #89768=LINE('',#517948,#127687); #89769=LINE('',#517950,#127688); #89770=LINE('',#517951,#127689); #89771=LINE('',#517954,#127690); #89772=LINE('',#517956,#127691); #89773=LINE('',#517957,#127692); #89774=LINE('',#517960,#127693); #89775=LINE('',#517962,#127694); #89776=LINE('',#517963,#127695); #89777=LINE('',#517966,#127696); #89778=LINE('',#517968,#127697); #89779=LINE('',#517969,#127698); #89780=LINE('',#517972,#127699); #89781=LINE('',#517974,#127700); #89782=LINE('',#517975,#127701); #89783=LINE('',#517978,#127702); #89784=LINE('',#517980,#127703); #89785=LINE('',#517981,#127704); #89786=LINE('',#517984,#127705); #89787=LINE('',#517986,#127706); #89788=LINE('',#517987,#127707); #89789=LINE('',#517989,#127708); #89790=LINE('',#517990,#127709); #89791=LINE('',#517997,#127710); #89792=LINE('',#518002,#127711); #89793=LINE('',#518004,#127712); #89794=LINE('',#518006,#127713); #89795=LINE('',#518007,#127714); #89796=LINE('',#518013,#127715); #89797=LINE('',#518022,#127716); #89798=LINE('',#518024,#127717); #89799=LINE('',#518026,#127718); #89800=LINE('',#518027,#127719); #89801=LINE('',#518033,#127720); #89802=LINE('',#518036,#127721); #89803=LINE('',#518038,#127722); #89804=LINE('',#518039,#127723); #89805=LINE('',#518046,#127724); #89806=LINE('',#518048,#127725); #89807=LINE('',#518050,#127726); #89808=LINE('',#518051,#127727); #89809=LINE('',#518054,#127728); #89810=LINE('',#518056,#127729); #89811=LINE('',#518057,#127730); #89812=LINE('',#518060,#127731); #89813=LINE('',#518062,#127732); #89814=LINE('',#518063,#127733); #89815=LINE('',#518066,#127734); #89816=LINE('',#518068,#127735); #89817=LINE('',#518069,#127736); #89818=LINE('',#518072,#127737); #89819=LINE('',#518074,#127738); #89820=LINE('',#518075,#127739); #89821=LINE('',#518078,#127740); #89822=LINE('',#518080,#127741); #89823=LINE('',#518081,#127742); #89824=LINE('',#518084,#127743); #89825=LINE('',#518086,#127744); #89826=LINE('',#518087,#127745); #89827=LINE('',#518090,#127746); #89828=LINE('',#518092,#127747); #89829=LINE('',#518093,#127748); #89830=LINE('',#518096,#127749); #89831=LINE('',#518098,#127750); #89832=LINE('',#518099,#127751); #89833=LINE('',#518102,#127752); #89834=LINE('',#518104,#127753); #89835=LINE('',#518105,#127754); #89836=LINE('',#518108,#127755); #89837=LINE('',#518110,#127756); #89838=LINE('',#518111,#127757); #89839=LINE('',#518114,#127758); #89840=LINE('',#518116,#127759); #89841=LINE('',#518117,#127760); #89842=LINE('',#518120,#127761); #89843=LINE('',#518122,#127762); #89844=LINE('',#518123,#127763); #89845=LINE('',#518126,#127764); #89846=LINE('',#518128,#127765); #89847=LINE('',#518129,#127766); #89848=LINE('',#518132,#127767); #89849=LINE('',#518134,#127768); #89850=LINE('',#518135,#127769); #89851=LINE('',#518138,#127770); #89852=LINE('',#518140,#127771); #89853=LINE('',#518141,#127772); #89854=LINE('',#518144,#127773); #89855=LINE('',#518146,#127774); #89856=LINE('',#518147,#127775); #89857=LINE('',#518150,#127776); #89858=LINE('',#518152,#127777); #89859=LINE('',#518153,#127778); #89860=LINE('',#518156,#127779); #89861=LINE('',#518158,#127780); #89862=LINE('',#518159,#127781); #89863=LINE('',#518162,#127782); #89864=LINE('',#518164,#127783); #89865=LINE('',#518165,#127784); #89866=LINE('',#518168,#127785); #89867=LINE('',#518170,#127786); #89868=LINE('',#518171,#127787); #89869=LINE('',#518174,#127788); #89870=LINE('',#518176,#127789); #89871=LINE('',#518177,#127790); #89872=LINE('',#518180,#127791); #89873=LINE('',#518182,#127792); #89874=LINE('',#518183,#127793); #89875=LINE('',#518186,#127794); #89876=LINE('',#518188,#127795); #89877=LINE('',#518189,#127796); #89878=LINE('',#518192,#127797); #89879=LINE('',#518194,#127798); #89880=LINE('',#518195,#127799); #89881=LINE('',#518198,#127800); #89882=LINE('',#518200,#127801); #89883=LINE('',#518201,#127802); #89884=LINE('',#518204,#127803); #89885=LINE('',#518206,#127804); #89886=LINE('',#518207,#127805); #89887=LINE('',#518210,#127806); #89888=LINE('',#518212,#127807); #89889=LINE('',#518213,#127808); #89890=LINE('',#518216,#127809); #89891=LINE('',#518218,#127810); #89892=LINE('',#518219,#127811); #89893=LINE('',#518222,#127812); #89894=LINE('',#518224,#127813); #89895=LINE('',#518225,#127814); #89896=LINE('',#518228,#127815); #89897=LINE('',#518230,#127816); #89898=LINE('',#518231,#127817); #89899=LINE('',#518234,#127818); #89900=LINE('',#518236,#127819); #89901=LINE('',#518237,#127820); #89902=LINE('',#518240,#127821); #89903=LINE('',#518242,#127822); #89904=LINE('',#518243,#127823); #89905=LINE('',#518246,#127824); #89906=LINE('',#518248,#127825); #89907=LINE('',#518249,#127826); #89908=LINE('',#518252,#127827); #89909=LINE('',#518254,#127828); #89910=LINE('',#518255,#127829); #89911=LINE('',#518258,#127830); #89912=LINE('',#518260,#127831); #89913=LINE('',#518261,#127832); #89914=LINE('',#518264,#127833); #89915=LINE('',#518266,#127834); #89916=LINE('',#518267,#127835); #89917=LINE('',#518270,#127836); #89918=LINE('',#518272,#127837); #89919=LINE('',#518273,#127838); #89920=LINE('',#518276,#127839); #89921=LINE('',#518278,#127840); #89922=LINE('',#518279,#127841); #89923=LINE('',#518282,#127842); #89924=LINE('',#518284,#127843); #89925=LINE('',#518285,#127844); #89926=LINE('',#518288,#127845); #89927=LINE('',#518290,#127846); #89928=LINE('',#518291,#127847); #89929=LINE('',#518294,#127848); #89930=LINE('',#518296,#127849); #89931=LINE('',#518297,#127850); #89932=LINE('',#518300,#127851); #89933=LINE('',#518302,#127852); #89934=LINE('',#518303,#127853); #89935=LINE('',#518306,#127854); #89936=LINE('',#518308,#127855); #89937=LINE('',#518309,#127856); #89938=LINE('',#518312,#127857); #89939=LINE('',#518314,#127858); #89940=LINE('',#518315,#127859); #89941=LINE('',#518318,#127860); #89942=LINE('',#518320,#127861); #89943=LINE('',#518321,#127862); #89944=LINE('',#518324,#127863); #89945=LINE('',#518326,#127864); #89946=LINE('',#518327,#127865); #89947=LINE('',#518330,#127866); #89948=LINE('',#518332,#127867); #89949=LINE('',#518333,#127868); #89950=LINE('',#518336,#127869); #89951=LINE('',#518338,#127870); #89952=LINE('',#518339,#127871); #89953=LINE('',#518342,#127872); #89954=LINE('',#518344,#127873); #89955=LINE('',#518345,#127874); #89956=LINE('',#518348,#127875); #89957=LINE('',#518350,#127876); #89958=LINE('',#518351,#127877); #89959=LINE('',#518354,#127878); #89960=LINE('',#518356,#127879); #89961=LINE('',#518357,#127880); #89962=LINE('',#518360,#127881); #89963=LINE('',#518362,#127882); #89964=LINE('',#518363,#127883); #89965=LINE('',#518366,#127884); #89966=LINE('',#518368,#127885); #89967=LINE('',#518369,#127886); #89968=LINE('',#518372,#127887); #89969=LINE('',#518374,#127888); #89970=LINE('',#518375,#127889); #89971=LINE('',#518377,#127890); #89972=LINE('',#518378,#127891); #89973=LINE('',#518384,#127892); #89974=LINE('',#518386,#127893); #89975=LINE('',#518388,#127894); #89976=LINE('',#518389,#127895); #89977=LINE('',#518395,#127896); #89978=LINE('',#518398,#127897); #89979=LINE('',#518400,#127898); #89980=LINE('',#518401,#127899); #89981=LINE('',#518408,#127900); #89982=LINE('',#518410,#127901); #89983=LINE('',#518412,#127902); #89984=LINE('',#518413,#127903); #89985=LINE('',#518416,#127904); #89986=LINE('',#518418,#127905); #89987=LINE('',#518419,#127906); #89988=LINE('',#518422,#127907); #89989=LINE('',#518424,#127908); #89990=LINE('',#518425,#127909); #89991=LINE('',#518428,#127910); #89992=LINE('',#518430,#127911); #89993=LINE('',#518431,#127912); #89994=LINE('',#518434,#127913); #89995=LINE('',#518436,#127914); #89996=LINE('',#518437,#127915); #89997=LINE('',#518440,#127916); #89998=LINE('',#518442,#127917); #89999=LINE('',#518443,#127918); #90000=LINE('',#518446,#127919); #90001=LINE('',#518448,#127920); #90002=LINE('',#518449,#127921); #90003=LINE('',#518452,#127922); #90004=LINE('',#518454,#127923); #90005=LINE('',#518455,#127924); #90006=LINE('',#518458,#127925); #90007=LINE('',#518460,#127926); #90008=LINE('',#518461,#127927); #90009=LINE('',#518464,#127928); #90010=LINE('',#518466,#127929); #90011=LINE('',#518467,#127930); #90012=LINE('',#518470,#127931); #90013=LINE('',#518472,#127932); #90014=LINE('',#518473,#127933); #90015=LINE('',#518476,#127934); #90016=LINE('',#518478,#127935); #90017=LINE('',#518479,#127936); #90018=LINE('',#518482,#127937); #90019=LINE('',#518484,#127938); #90020=LINE('',#518485,#127939); #90021=LINE('',#518488,#127940); #90022=LINE('',#518490,#127941); #90023=LINE('',#518491,#127942); #90024=LINE('',#518494,#127943); #90025=LINE('',#518496,#127944); #90026=LINE('',#518497,#127945); #90027=LINE('',#518500,#127946); #90028=LINE('',#518502,#127947); #90029=LINE('',#518503,#127948); #90030=LINE('',#518506,#127949); #90031=LINE('',#518508,#127950); #90032=LINE('',#518509,#127951); #90033=LINE('',#518512,#127952); #90034=LINE('',#518514,#127953); #90035=LINE('',#518515,#127954); #90036=LINE('',#518518,#127955); #90037=LINE('',#518520,#127956); #90038=LINE('',#518521,#127957); #90039=LINE('',#518524,#127958); #90040=LINE('',#518526,#127959); #90041=LINE('',#518527,#127960); #90042=LINE('',#518530,#127961); #90043=LINE('',#518532,#127962); #90044=LINE('',#518533,#127963); #90045=LINE('',#518536,#127964); #90046=LINE('',#518538,#127965); #90047=LINE('',#518539,#127966); #90048=LINE('',#518542,#127967); #90049=LINE('',#518544,#127968); #90050=LINE('',#518545,#127969); #90051=LINE('',#518548,#127970); #90052=LINE('',#518550,#127971); #90053=LINE('',#518551,#127972); #90054=LINE('',#518554,#127973); #90055=LINE('',#518556,#127974); #90056=LINE('',#518557,#127975); #90057=LINE('',#518560,#127976); #90058=LINE('',#518562,#127977); #90059=LINE('',#518563,#127978); #90060=LINE('',#518566,#127979); #90061=LINE('',#518568,#127980); #90062=LINE('',#518569,#127981); #90063=LINE('',#518572,#127982); #90064=LINE('',#518574,#127983); #90065=LINE('',#518575,#127984); #90066=LINE('',#518578,#127985); #90067=LINE('',#518580,#127986); #90068=LINE('',#518581,#127987); #90069=LINE('',#518584,#127988); #90070=LINE('',#518586,#127989); #90071=LINE('',#518587,#127990); #90072=LINE('',#518590,#127991); #90073=LINE('',#518592,#127992); #90074=LINE('',#518593,#127993); #90075=LINE('',#518596,#127994); #90076=LINE('',#518598,#127995); #90077=LINE('',#518599,#127996); #90078=LINE('',#518602,#127997); #90079=LINE('',#518604,#127998); #90080=LINE('',#518605,#127999); #90081=LINE('',#518608,#128000); #90082=LINE('',#518610,#128001); #90083=LINE('',#518611,#128002); #90084=LINE('',#518614,#128003); #90085=LINE('',#518616,#128004); #90086=LINE('',#518617,#128005); #90087=LINE('',#518620,#128006); #90088=LINE('',#518622,#128007); #90089=LINE('',#518623,#128008); #90090=LINE('',#518626,#128009); #90091=LINE('',#518628,#128010); #90092=LINE('',#518629,#128011); #90093=LINE('',#518632,#128012); #90094=LINE('',#518634,#128013); #90095=LINE('',#518635,#128014); #90096=LINE('',#518638,#128015); #90097=LINE('',#518640,#128016); #90098=LINE('',#518641,#128017); #90099=LINE('',#518644,#128018); #90100=LINE('',#518646,#128019); #90101=LINE('',#518647,#128020); #90102=LINE('',#518650,#128021); #90103=LINE('',#518652,#128022); #90104=LINE('',#518653,#128023); #90105=LINE('',#518656,#128024); #90106=LINE('',#518658,#128025); #90107=LINE('',#518659,#128026); #90108=LINE('',#518662,#128027); #90109=LINE('',#518664,#128028); #90110=LINE('',#518665,#128029); #90111=LINE('',#518668,#128030); #90112=LINE('',#518670,#128031); #90113=LINE('',#518671,#128032); #90114=LINE('',#518674,#128033); #90115=LINE('',#518676,#128034); #90116=LINE('',#518677,#128035); #90117=LINE('',#518680,#128036); #90118=LINE('',#518682,#128037); #90119=LINE('',#518683,#128038); #90120=LINE('',#518686,#128039); #90121=LINE('',#518688,#128040); #90122=LINE('',#518689,#128041); #90123=LINE('',#518692,#128042); #90124=LINE('',#518694,#128043); #90125=LINE('',#518695,#128044); #90126=LINE('',#518698,#128045); #90127=LINE('',#518700,#128046); #90128=LINE('',#518701,#128047); #90129=LINE('',#518704,#128048); #90130=LINE('',#518706,#128049); #90131=LINE('',#518707,#128050); #90132=LINE('',#518710,#128051); #90133=LINE('',#518712,#128052); #90134=LINE('',#518713,#128053); #90135=LINE('',#518716,#128054); #90136=LINE('',#518718,#128055); #90137=LINE('',#518719,#128056); #90138=LINE('',#518722,#128057); #90139=LINE('',#518724,#128058); #90140=LINE('',#518725,#128059); #90141=LINE('',#518728,#128060); #90142=LINE('',#518730,#128061); #90143=LINE('',#518731,#128062); #90144=LINE('',#518734,#128063); #90145=LINE('',#518736,#128064); #90146=LINE('',#518737,#128065); #90147=LINE('',#518740,#128066); #90148=LINE('',#518742,#128067); #90149=LINE('',#518743,#128068); #90150=LINE('',#518746,#128069); #90151=LINE('',#518748,#128070); #90152=LINE('',#518749,#128071); #90153=LINE('',#518752,#128072); #90154=LINE('',#518754,#128073); #90155=LINE('',#518755,#128074); #90156=LINE('',#518758,#128075); #90157=LINE('',#518760,#128076); #90158=LINE('',#518761,#128077); #90159=LINE('',#518764,#128078); #90160=LINE('',#518766,#128079); #90161=LINE('',#518767,#128080); #90162=LINE('',#518770,#128081); #90163=LINE('',#518772,#128082); #90164=LINE('',#518773,#128083); #90165=LINE('',#518776,#128084); #90166=LINE('',#518778,#128085); #90167=LINE('',#518779,#128086); #90168=LINE('',#518782,#128087); #90169=LINE('',#518784,#128088); #90170=LINE('',#518785,#128089); #90171=LINE('',#518788,#128090); #90172=LINE('',#518790,#128091); #90173=LINE('',#518791,#128092); #90174=LINE('',#518794,#128093); #90175=LINE('',#518796,#128094); #90176=LINE('',#518797,#128095); #90177=LINE('',#518800,#128096); #90178=LINE('',#518802,#128097); #90179=LINE('',#518803,#128098); #90180=LINE('',#518806,#128099); #90181=LINE('',#518808,#128100); #90182=LINE('',#518809,#128101); #90183=LINE('',#518812,#128102); #90184=LINE('',#518814,#128103); #90185=LINE('',#518815,#128104); #90186=LINE('',#518818,#128105); #90187=LINE('',#518820,#128106); #90188=LINE('',#518821,#128107); #90189=LINE('',#518824,#128108); #90190=LINE('',#518826,#128109); #90191=LINE('',#518827,#128110); #90192=LINE('',#518830,#128111); #90193=LINE('',#518832,#128112); #90194=LINE('',#518833,#128113); #90195=LINE('',#518835,#128114); #90196=LINE('',#518836,#128115); #90197=LINE('',#518842,#128116); #90198=LINE('',#518844,#128117); #90199=LINE('',#518846,#128118); #90200=LINE('',#518847,#128119); #90201=LINE('',#518853,#128120); #90202=LINE('',#518856,#128121); #90203=LINE('',#518858,#128122); #90204=LINE('',#518859,#128123); #90205=LINE('',#518866,#128124); #90206=LINE('',#518868,#128125); #90207=LINE('',#518870,#128126); #90208=LINE('',#518871,#128127); #90209=LINE('',#518874,#128128); #90210=LINE('',#518876,#128129); #90211=LINE('',#518877,#128130); #90212=LINE('',#518880,#128131); #90213=LINE('',#518882,#128132); #90214=LINE('',#518883,#128133); #90215=LINE('',#518886,#128134); #90216=LINE('',#518888,#128135); #90217=LINE('',#518889,#128136); #90218=LINE('',#518892,#128137); #90219=LINE('',#518894,#128138); #90220=LINE('',#518895,#128139); #90221=LINE('',#518898,#128140); #90222=LINE('',#518900,#128141); #90223=LINE('',#518901,#128142); #90224=LINE('',#518904,#128143); #90225=LINE('',#518906,#128144); #90226=LINE('',#518907,#128145); #90227=LINE('',#518910,#128146); #90228=LINE('',#518912,#128147); #90229=LINE('',#518913,#128148); #90230=LINE('',#518916,#128149); #90231=LINE('',#518918,#128150); #90232=LINE('',#518919,#128151); #90233=LINE('',#518922,#128152); #90234=LINE('',#518924,#128153); #90235=LINE('',#518925,#128154); #90236=LINE('',#518928,#128155); #90237=LINE('',#518930,#128156); #90238=LINE('',#518931,#128157); #90239=LINE('',#518934,#128158); #90240=LINE('',#518936,#128159); #90241=LINE('',#518937,#128160); #90242=LINE('',#518940,#128161); #90243=LINE('',#518942,#128162); #90244=LINE('',#518943,#128163); #90245=LINE('',#518946,#128164); #90246=LINE('',#518948,#128165); #90247=LINE('',#518949,#128166); #90248=LINE('',#518952,#128167); #90249=LINE('',#518954,#128168); #90250=LINE('',#518955,#128169); #90251=LINE('',#518958,#128170); #90252=LINE('',#518960,#128171); #90253=LINE('',#518961,#128172); #90254=LINE('',#518964,#128173); #90255=LINE('',#518966,#128174); #90256=LINE('',#518967,#128175); #90257=LINE('',#518970,#128176); #90258=LINE('',#518972,#128177); #90259=LINE('',#518973,#128178); #90260=LINE('',#518976,#128179); #90261=LINE('',#518978,#128180); #90262=LINE('',#518979,#128181); #90263=LINE('',#518982,#128182); #90264=LINE('',#518984,#128183); #90265=LINE('',#518985,#128184); #90266=LINE('',#518988,#128185); #90267=LINE('',#518990,#128186); #90268=LINE('',#518991,#128187); #90269=LINE('',#518994,#128188); #90270=LINE('',#518996,#128189); #90271=LINE('',#518997,#128190); #90272=LINE('',#519000,#128191); #90273=LINE('',#519002,#128192); #90274=LINE('',#519003,#128193); #90275=LINE('',#519006,#128194); #90276=LINE('',#519008,#128195); #90277=LINE('',#519009,#128196); #90278=LINE('',#519012,#128197); #90279=LINE('',#519014,#128198); #90280=LINE('',#519015,#128199); #90281=LINE('',#519018,#128200); #90282=LINE('',#519020,#128201); #90283=LINE('',#519021,#128202); #90284=LINE('',#519024,#128203); #90285=LINE('',#519026,#128204); #90286=LINE('',#519027,#128205); #90287=LINE('',#519030,#128206); #90288=LINE('',#519032,#128207); #90289=LINE('',#519033,#128208); #90290=LINE('',#519036,#128209); #90291=LINE('',#519038,#128210); #90292=LINE('',#519039,#128211); #90293=LINE('',#519042,#128212); #90294=LINE('',#519044,#128213); #90295=LINE('',#519045,#128214); #90296=LINE('',#519048,#128215); #90297=LINE('',#519050,#128216); #90298=LINE('',#519051,#128217); #90299=LINE('',#519054,#128218); #90300=LINE('',#519056,#128219); #90301=LINE('',#519057,#128220); #90302=LINE('',#519060,#128221); #90303=LINE('',#519062,#128222); #90304=LINE('',#519063,#128223); #90305=LINE('',#519066,#128224); #90306=LINE('',#519068,#128225); #90307=LINE('',#519069,#128226); #90308=LINE('',#519072,#128227); #90309=LINE('',#519074,#128228); #90310=LINE('',#519075,#128229); #90311=LINE('',#519078,#128230); #90312=LINE('',#519080,#128231); #90313=LINE('',#519081,#128232); #90314=LINE('',#519084,#128233); #90315=LINE('',#519086,#128234); #90316=LINE('',#519087,#128235); #90317=LINE('',#519090,#128236); #90318=LINE('',#519092,#128237); #90319=LINE('',#519093,#128238); #90320=LINE('',#519096,#128239); #90321=LINE('',#519098,#128240); #90322=LINE('',#519099,#128241); #90323=LINE('',#519102,#128242); #90324=LINE('',#519104,#128243); #90325=LINE('',#519105,#128244); #90326=LINE('',#519108,#128245); #90327=LINE('',#519110,#128246); #90328=LINE('',#519111,#128247); #90329=LINE('',#519114,#128248); #90330=LINE('',#519116,#128249); #90331=LINE('',#519117,#128250); #90332=LINE('',#519120,#128251); #90333=LINE('',#519122,#128252); #90334=LINE('',#519123,#128253); #90335=LINE('',#519126,#128254); #90336=LINE('',#519128,#128255); #90337=LINE('',#519129,#128256); #90338=LINE('',#519132,#128257); #90339=LINE('',#519134,#128258); #90340=LINE('',#519135,#128259); #90341=LINE('',#519138,#128260); #90342=LINE('',#519140,#128261); #90343=LINE('',#519141,#128262); #90344=LINE('',#519144,#128263); #90345=LINE('',#519146,#128264); #90346=LINE('',#519147,#128265); #90347=LINE('',#519150,#128266); #90348=LINE('',#519152,#128267); #90349=LINE('',#519153,#128268); #90350=LINE('',#519156,#128269); #90351=LINE('',#519158,#128270); #90352=LINE('',#519159,#128271); #90353=LINE('',#519162,#128272); #90354=LINE('',#519164,#128273); #90355=LINE('',#519165,#128274); #90356=LINE('',#519168,#128275); #90357=LINE('',#519170,#128276); #90358=LINE('',#519171,#128277); #90359=LINE('',#519174,#128278); #90360=LINE('',#519176,#128279); #90361=LINE('',#519177,#128280); #90362=LINE('',#519180,#128281); #90363=LINE('',#519182,#128282); #90364=LINE('',#519183,#128283); #90365=LINE('',#519186,#128284); #90366=LINE('',#519188,#128285); #90367=LINE('',#519189,#128286); #90368=LINE('',#519192,#128287); #90369=LINE('',#519194,#128288); #90370=LINE('',#519195,#128289); #90371=LINE('',#519197,#128290); #90372=LINE('',#519198,#128291); #90373=LINE('',#519205,#128292); #90374=LINE('',#519210,#128293); #90375=LINE('',#519212,#128294); #90376=LINE('',#519214,#128295); #90377=LINE('',#519215,#128296); #90378=LINE('',#519221,#128297); #90379=LINE('',#519230,#128298); #90380=LINE('',#519232,#128299); #90381=LINE('',#519234,#128300); #90382=LINE('',#519235,#128301); #90383=LINE('',#519241,#128302); #90384=LINE('',#519244,#128303); #90385=LINE('',#519246,#128304); #90386=LINE('',#519247,#128305); #90387=LINE('',#519254,#128306); #90388=LINE('',#519256,#128307); #90389=LINE('',#519258,#128308); #90390=LINE('',#519259,#128309); #90391=LINE('',#519262,#128310); #90392=LINE('',#519264,#128311); #90393=LINE('',#519265,#128312); #90394=LINE('',#519268,#128313); #90395=LINE('',#519270,#128314); #90396=LINE('',#519271,#128315); #90397=LINE('',#519274,#128316); #90398=LINE('',#519276,#128317); #90399=LINE('',#519277,#128318); #90400=LINE('',#519280,#128319); #90401=LINE('',#519282,#128320); #90402=LINE('',#519283,#128321); #90403=LINE('',#519286,#128322); #90404=LINE('',#519288,#128323); #90405=LINE('',#519289,#128324); #90406=LINE('',#519292,#128325); #90407=LINE('',#519294,#128326); #90408=LINE('',#519295,#128327); #90409=LINE('',#519298,#128328); #90410=LINE('',#519300,#128329); #90411=LINE('',#519301,#128330); #90412=LINE('',#519304,#128331); #90413=LINE('',#519306,#128332); #90414=LINE('',#519307,#128333); #90415=LINE('',#519310,#128334); #90416=LINE('',#519312,#128335); #90417=LINE('',#519313,#128336); #90418=LINE('',#519316,#128337); #90419=LINE('',#519318,#128338); #90420=LINE('',#519319,#128339); #90421=LINE('',#519322,#128340); #90422=LINE('',#519324,#128341); #90423=LINE('',#519325,#128342); #90424=LINE('',#519328,#128343); #90425=LINE('',#519330,#128344); #90426=LINE('',#519331,#128345); #90427=LINE('',#519334,#128346); #90428=LINE('',#519336,#128347); #90429=LINE('',#519337,#128348); #90430=LINE('',#519340,#128349); #90431=LINE('',#519342,#128350); #90432=LINE('',#519343,#128351); #90433=LINE('',#519346,#128352); #90434=LINE('',#519348,#128353); #90435=LINE('',#519349,#128354); #90436=LINE('',#519352,#128355); #90437=LINE('',#519354,#128356); #90438=LINE('',#519355,#128357); #90439=LINE('',#519358,#128358); #90440=LINE('',#519360,#128359); #90441=LINE('',#519361,#128360); #90442=LINE('',#519364,#128361); #90443=LINE('',#519366,#128362); #90444=LINE('',#519367,#128363); #90445=LINE('',#519370,#128364); #90446=LINE('',#519372,#128365); #90447=LINE('',#519373,#128366); #90448=LINE('',#519376,#128367); #90449=LINE('',#519378,#128368); #90450=LINE('',#519379,#128369); #90451=LINE('',#519382,#128370); #90452=LINE('',#519384,#128371); #90453=LINE('',#519385,#128372); #90454=LINE('',#519388,#128373); #90455=LINE('',#519390,#128374); #90456=LINE('',#519391,#128375); #90457=LINE('',#519394,#128376); #90458=LINE('',#519396,#128377); #90459=LINE('',#519397,#128378); #90460=LINE('',#519400,#128379); #90461=LINE('',#519402,#128380); #90462=LINE('',#519403,#128381); #90463=LINE('',#519406,#128382); #90464=LINE('',#519408,#128383); #90465=LINE('',#519409,#128384); #90466=LINE('',#519412,#128385); #90467=LINE('',#519414,#128386); #90468=LINE('',#519415,#128387); #90469=LINE('',#519418,#128388); #90470=LINE('',#519420,#128389); #90471=LINE('',#519421,#128390); #90472=LINE('',#519424,#128391); #90473=LINE('',#519426,#128392); #90474=LINE('',#519427,#128393); #90475=LINE('',#519430,#128394); #90476=LINE('',#519432,#128395); #90477=LINE('',#519433,#128396); #90478=LINE('',#519436,#128397); #90479=LINE('',#519438,#128398); #90480=LINE('',#519439,#128399); #90481=LINE('',#519442,#128400); #90482=LINE('',#519444,#128401); #90483=LINE('',#519445,#128402); #90484=LINE('',#519448,#128403); #90485=LINE('',#519450,#128404); #90486=LINE('',#519451,#128405); #90487=LINE('',#519454,#128406); #90488=LINE('',#519456,#128407); #90489=LINE('',#519457,#128408); #90490=LINE('',#519460,#128409); #90491=LINE('',#519462,#128410); #90492=LINE('',#519463,#128411); #90493=LINE('',#519466,#128412); #90494=LINE('',#519468,#128413); #90495=LINE('',#519469,#128414); #90496=LINE('',#519472,#128415); #90497=LINE('',#519474,#128416); #90498=LINE('',#519475,#128417); #90499=LINE('',#519478,#128418); #90500=LINE('',#519480,#128419); #90501=LINE('',#519481,#128420); #90502=LINE('',#519484,#128421); #90503=LINE('',#519486,#128422); #90504=LINE('',#519487,#128423); #90505=LINE('',#519490,#128424); #90506=LINE('',#519492,#128425); #90507=LINE('',#519493,#128426); #90508=LINE('',#519496,#128427); #90509=LINE('',#519498,#128428); #90510=LINE('',#519499,#128429); #90511=LINE('',#519502,#128430); #90512=LINE('',#519504,#128431); #90513=LINE('',#519505,#128432); #90514=LINE('',#519508,#128433); #90515=LINE('',#519510,#128434); #90516=LINE('',#519511,#128435); #90517=LINE('',#519514,#128436); #90518=LINE('',#519516,#128437); #90519=LINE('',#519517,#128438); #90520=LINE('',#519520,#128439); #90521=LINE('',#519522,#128440); #90522=LINE('',#519523,#128441); #90523=LINE('',#519526,#128442); #90524=LINE('',#519528,#128443); #90525=LINE('',#519529,#128444); #90526=LINE('',#519532,#128445); #90527=LINE('',#519534,#128446); #90528=LINE('',#519535,#128447); #90529=LINE('',#519538,#128448); #90530=LINE('',#519540,#128449); #90531=LINE('',#519541,#128450); #90532=LINE('',#519544,#128451); #90533=LINE('',#519546,#128452); #90534=LINE('',#519547,#128453); #90535=LINE('',#519550,#128454); #90536=LINE('',#519552,#128455); #90537=LINE('',#519553,#128456); #90538=LINE('',#519556,#128457); #90539=LINE('',#519558,#128458); #90540=LINE('',#519559,#128459); #90541=LINE('',#519562,#128460); #90542=LINE('',#519564,#128461); #90543=LINE('',#519565,#128462); #90544=LINE('',#519568,#128463); #90545=LINE('',#519570,#128464); #90546=LINE('',#519571,#128465); #90547=LINE('',#519574,#128466); #90548=LINE('',#519576,#128467); #90549=LINE('',#519577,#128468); #90550=LINE('',#519580,#128469); #90551=LINE('',#519582,#128470); #90552=LINE('',#519583,#128471); #90553=LINE('',#519585,#128472); #90554=LINE('',#519586,#128473); #90555=LINE('',#519593,#128474); #90556=LINE('',#519598,#128475); #90557=LINE('',#519600,#128476); #90558=LINE('',#519602,#128477); #90559=LINE('',#519603,#128478); #90560=LINE('',#519606,#128479); #90561=LINE('',#519608,#128480); #90562=LINE('',#519609,#128481); #90563=LINE('',#519612,#128482); #90564=LINE('',#519614,#128483); #90565=LINE('',#519615,#128484); #90566=LINE('',#519618,#128485); #90567=LINE('',#519620,#128486); #90568=LINE('',#519621,#128487); #90569=LINE('',#519624,#128488); #90570=LINE('',#519626,#128489); #90571=LINE('',#519627,#128490); #90572=LINE('',#519630,#128491); #90573=LINE('',#519632,#128492); #90574=LINE('',#519633,#128493); #90575=LINE('',#519636,#128494); #90576=LINE('',#519638,#128495); #90577=LINE('',#519639,#128496); #90578=LINE('',#519641,#128497); #90579=LINE('',#519642,#128498); #90580=LINE('',#519649,#128499); #90581=LINE('',#519654,#128500); #90582=LINE('',#519656,#128501); #90583=LINE('',#519658,#128502); #90584=LINE('',#519659,#128503); #90585=LINE('',#519665,#128504); #90586=LINE('',#519674,#128505); #90587=LINE('',#519676,#128506); #90588=LINE('',#519678,#128507); #90589=LINE('',#519679,#128508); #90590=LINE('',#519685,#128509); #90591=LINE('',#519688,#128510); #90592=LINE('',#519690,#128511); #90593=LINE('',#519691,#128512); #90594=LINE('',#519698,#128513); #90595=LINE('',#519700,#128514); #90596=LINE('',#519702,#128515); #90597=LINE('',#519703,#128516); #90598=LINE('',#519706,#128517); #90599=LINE('',#519708,#128518); #90600=LINE('',#519709,#128519); #90601=LINE('',#519712,#128520); #90602=LINE('',#519714,#128521); #90603=LINE('',#519715,#128522); #90604=LINE('',#519718,#128523); #90605=LINE('',#519720,#128524); #90606=LINE('',#519721,#128525); #90607=LINE('',#519724,#128526); #90608=LINE('',#519726,#128527); #90609=LINE('',#519727,#128528); #90610=LINE('',#519730,#128529); #90611=LINE('',#519732,#128530); #90612=LINE('',#519733,#128531); #90613=LINE('',#519736,#128532); #90614=LINE('',#519738,#128533); #90615=LINE('',#519739,#128534); #90616=LINE('',#519742,#128535); #90617=LINE('',#519744,#128536); #90618=LINE('',#519745,#128537); #90619=LINE('',#519748,#128538); #90620=LINE('',#519750,#128539); #90621=LINE('',#519751,#128540); #90622=LINE('',#519754,#128541); #90623=LINE('',#519756,#128542); #90624=LINE('',#519757,#128543); #90625=LINE('',#519760,#128544); #90626=LINE('',#519762,#128545); #90627=LINE('',#519763,#128546); #90628=LINE('',#519766,#128547); #90629=LINE('',#519768,#128548); #90630=LINE('',#519769,#128549); #90631=LINE('',#519772,#128550); #90632=LINE('',#519774,#128551); #90633=LINE('',#519775,#128552); #90634=LINE('',#519778,#128553); #90635=LINE('',#519780,#128554); #90636=LINE('',#519781,#128555); #90637=LINE('',#519784,#128556); #90638=LINE('',#519786,#128557); #90639=LINE('',#519787,#128558); #90640=LINE('',#519790,#128559); #90641=LINE('',#519792,#128560); #90642=LINE('',#519793,#128561); #90643=LINE('',#519796,#128562); #90644=LINE('',#519798,#128563); #90645=LINE('',#519799,#128564); #90646=LINE('',#519802,#128565); #90647=LINE('',#519804,#128566); #90648=LINE('',#519805,#128567); #90649=LINE('',#519808,#128568); #90650=LINE('',#519810,#128569); #90651=LINE('',#519811,#128570); #90652=LINE('',#519814,#128571); #90653=LINE('',#519816,#128572); #90654=LINE('',#519817,#128573); #90655=LINE('',#519820,#128574); #90656=LINE('',#519822,#128575); #90657=LINE('',#519823,#128576); #90658=LINE('',#519826,#128577); #90659=LINE('',#519828,#128578); #90660=LINE('',#519829,#128579); #90661=LINE('',#519832,#128580); #90662=LINE('',#519834,#128581); #90663=LINE('',#519835,#128582); #90664=LINE('',#519838,#128583); #90665=LINE('',#519840,#128584); #90666=LINE('',#519841,#128585); #90667=LINE('',#519844,#128586); #90668=LINE('',#519846,#128587); #90669=LINE('',#519847,#128588); #90670=LINE('',#519850,#128589); #90671=LINE('',#519852,#128590); #90672=LINE('',#519853,#128591); #90673=LINE('',#519856,#128592); #90674=LINE('',#519858,#128593); #90675=LINE('',#519859,#128594); #90676=LINE('',#519862,#128595); #90677=LINE('',#519864,#128596); #90678=LINE('',#519865,#128597); #90679=LINE('',#519868,#128598); #90680=LINE('',#519870,#128599); #90681=LINE('',#519871,#128600); #90682=LINE('',#519874,#128601); #90683=LINE('',#519876,#128602); #90684=LINE('',#519877,#128603); #90685=LINE('',#519880,#128604); #90686=LINE('',#519882,#128605); #90687=LINE('',#519883,#128606); #90688=LINE('',#519886,#128607); #90689=LINE('',#519888,#128608); #90690=LINE('',#519889,#128609); #90691=LINE('',#519892,#128610); #90692=LINE('',#519894,#128611); #90693=LINE('',#519895,#128612); #90694=LINE('',#519898,#128613); #90695=LINE('',#519900,#128614); #90696=LINE('',#519901,#128615); #90697=LINE('',#519904,#128616); #90698=LINE('',#519906,#128617); #90699=LINE('',#519907,#128618); #90700=LINE('',#519910,#128619); #90701=LINE('',#519912,#128620); #90702=LINE('',#519913,#128621); #90703=LINE('',#519916,#128622); #90704=LINE('',#519918,#128623); #90705=LINE('',#519919,#128624); #90706=LINE('',#519922,#128625); #90707=LINE('',#519924,#128626); #90708=LINE('',#519925,#128627); #90709=LINE('',#519928,#128628); #90710=LINE('',#519930,#128629); #90711=LINE('',#519931,#128630); #90712=LINE('',#519934,#128631); #90713=LINE('',#519936,#128632); #90714=LINE('',#519937,#128633); #90715=LINE('',#519940,#128634); #90716=LINE('',#519942,#128635); #90717=LINE('',#519943,#128636); #90718=LINE('',#519946,#128637); #90719=LINE('',#519948,#128638); #90720=LINE('',#519949,#128639); #90721=LINE('',#519952,#128640); #90722=LINE('',#519954,#128641); #90723=LINE('',#519955,#128642); #90724=LINE('',#519958,#128643); #90725=LINE('',#519960,#128644); #90726=LINE('',#519961,#128645); #90727=LINE('',#519964,#128646); #90728=LINE('',#519966,#128647); #90729=LINE('',#519967,#128648); #90730=LINE('',#519970,#128649); #90731=LINE('',#519972,#128650); #90732=LINE('',#519973,#128651); #90733=LINE('',#519976,#128652); #90734=LINE('',#519978,#128653); #90735=LINE('',#519979,#128654); #90736=LINE('',#519982,#128655); #90737=LINE('',#519984,#128656); #90738=LINE('',#519985,#128657); #90739=LINE('',#519988,#128658); #90740=LINE('',#519990,#128659); #90741=LINE('',#519991,#128660); #90742=LINE('',#519994,#128661); #90743=LINE('',#519996,#128662); #90744=LINE('',#519997,#128663); #90745=LINE('',#520000,#128664); #90746=LINE('',#520002,#128665); #90747=LINE('',#520003,#128666); #90748=LINE('',#520006,#128667); #90749=LINE('',#520008,#128668); #90750=LINE('',#520009,#128669); #90751=LINE('',#520012,#128670); #90752=LINE('',#520014,#128671); #90753=LINE('',#520015,#128672); #90754=LINE('',#520018,#128673); #90755=LINE('',#520020,#128674); #90756=LINE('',#520021,#128675); #90757=LINE('',#520024,#128676); #90758=LINE('',#520026,#128677); #90759=LINE('',#520027,#128678); #90760=LINE('',#520030,#128679); #90761=LINE('',#520032,#128680); #90762=LINE('',#520033,#128681); #90763=LINE('',#520036,#128682); #90764=LINE('',#520038,#128683); #90765=LINE('',#520039,#128684); #90766=LINE('',#520042,#128685); #90767=LINE('',#520044,#128686); #90768=LINE('',#520045,#128687); #90769=LINE('',#520048,#128688); #90770=LINE('',#520050,#128689); #90771=LINE('',#520051,#128690); #90772=LINE('',#520054,#128691); #90773=LINE('',#520056,#128692); #90774=LINE('',#520057,#128693); #90775=LINE('',#520060,#128694); #90776=LINE('',#520062,#128695); #90777=LINE('',#520063,#128696); #90778=LINE('',#520066,#128697); #90779=LINE('',#520068,#128698); #90780=LINE('',#520069,#128699); #90781=LINE('',#520072,#128700); #90782=LINE('',#520074,#128701); #90783=LINE('',#520075,#128702); #90784=LINE('',#520078,#128703); #90785=LINE('',#520080,#128704); #90786=LINE('',#520081,#128705); #90787=LINE('',#520084,#128706); #90788=LINE('',#520086,#128707); #90789=LINE('',#520087,#128708); #90790=LINE('',#520090,#128709); #90791=LINE('',#520092,#128710); #90792=LINE('',#520093,#128711); #90793=LINE('',#520096,#128712); #90794=LINE('',#520098,#128713); #90795=LINE('',#520099,#128714); #90796=LINE('',#520102,#128715); #90797=LINE('',#520104,#128716); #90798=LINE('',#520105,#128717); #90799=LINE('',#520108,#128718); #90800=LINE('',#520110,#128719); #90801=LINE('',#520111,#128720); #90802=LINE('',#520114,#128721); #90803=LINE('',#520116,#128722); #90804=LINE('',#520117,#128723); #90805=LINE('',#520120,#128724); #90806=LINE('',#520122,#128725); #90807=LINE('',#520123,#128726); #90808=LINE('',#520125,#128727); #90809=LINE('',#520126,#128728); #90810=LINE('',#520133,#128729); #90811=LINE('',#520138,#128730); #90812=LINE('',#520140,#128731); #90813=LINE('',#520142,#128732); #90814=LINE('',#520143,#128733); #90815=LINE('',#520146,#128734); #90816=LINE('',#520148,#128735); #90817=LINE('',#520149,#128736); #90818=LINE('',#520152,#128737); #90819=LINE('',#520154,#128738); #90820=LINE('',#520155,#128739); #90821=LINE('',#520158,#128740); #90822=LINE('',#520160,#128741); #90823=LINE('',#520161,#128742); #90824=LINE('',#520164,#128743); #90825=LINE('',#520166,#128744); #90826=LINE('',#520167,#128745); #90827=LINE('',#520170,#128746); #90828=LINE('',#520172,#128747); #90829=LINE('',#520173,#128748); #90830=LINE('',#520176,#128749); #90831=LINE('',#520178,#128750); #90832=LINE('',#520179,#128751); #90833=LINE('',#520181,#128752); #90834=LINE('',#520182,#128753); #90835=LINE('',#520189,#128754); #90836=LINE('',#520194,#128755); #90837=LINE('',#520196,#128756); #90838=LINE('',#520198,#128757); #90839=LINE('',#520199,#128758); #90840=LINE('',#520205,#128759); #90841=LINE('',#520215,#128760); #90842=LINE('',#520220,#128761); #90843=LINE('',#520222,#128762); #90844=LINE('',#520224,#128763); #90845=LINE('',#520225,#128764); #90846=LINE('',#520231,#128765); #90847=LINE('',#520241,#128766); #90848=LINE('',#520246,#128767); #90849=LINE('',#520248,#128768); #90850=LINE('',#520250,#128769); #90851=LINE('',#520251,#128770); #90852=LINE('',#520257,#128771); #90853=LINE('',#520267,#128772); #90854=LINE('',#520272,#128773); #90855=LINE('',#520274,#128774); #90856=LINE('',#520276,#128775); #90857=LINE('',#520277,#128776); #90858=LINE('',#520280,#128777); #90859=LINE('',#520282,#128778); #90860=LINE('',#520283,#128779); #90861=LINE('',#520286,#128780); #90862=LINE('',#520288,#128781); #90863=LINE('',#520289,#128782); #90864=LINE('',#520291,#128783); #90865=LINE('',#520292,#128784); #90866=LINE('',#520299,#128785); #90867=LINE('',#520304,#128786); #90868=LINE('',#520306,#128787); #90869=LINE('',#520308,#128788); #90870=LINE('',#520309,#128789); #90871=LINE('',#520312,#128790); #90872=LINE('',#520314,#128791); #90873=LINE('',#520315,#128792); #90874=LINE('',#520318,#128793); #90875=LINE('',#520320,#128794); #90876=LINE('',#520321,#128795); #90877=LINE('',#520324,#128796); #90878=LINE('',#520326,#128797); #90879=LINE('',#520327,#128798); #90880=LINE('',#520330,#128799); #90881=LINE('',#520332,#128800); #90882=LINE('',#520333,#128801); #90883=LINE('',#520336,#128802); #90884=LINE('',#520338,#128803); #90885=LINE('',#520339,#128804); #90886=LINE('',#520342,#128805); #90887=LINE('',#520344,#128806); #90888=LINE('',#520345,#128807); #90889=LINE('',#520347,#128808); #90890=LINE('',#520348,#128809); #90891=LINE('',#520355,#128810); #90892=LINE('',#520360,#128811); #90893=LINE('',#520362,#128812); #90894=LINE('',#520364,#128813); #90895=LINE('',#520365,#128814); #90896=LINE('',#520371,#128815); #90897=LINE('',#520381,#128816); #90898=LINE('',#520386,#128817); #90899=LINE('',#520388,#128818); #90900=LINE('',#520390,#128819); #90901=LINE('',#520391,#128820); #90902=LINE('',#520397,#128821); #90903=LINE('',#520406,#128822); #90904=LINE('',#520408,#128823); #90905=LINE('',#520410,#128824); #90906=LINE('',#520411,#128825); #90907=LINE('',#520414,#128826); #90908=LINE('',#520416,#128827); #90909=LINE('',#520417,#128828); #90910=LINE('',#520420,#128829); #90911=LINE('',#520422,#128830); #90912=LINE('',#520423,#128831); #90913=LINE('',#520425,#128832); #90914=LINE('',#520426,#128833); #90915=LINE('',#520432,#128834); #90916=LINE('',#520434,#128835); #90917=LINE('',#520436,#128836); #90918=LINE('',#520437,#128837); #90919=LINE('',#520440,#128838); #90920=LINE('',#520442,#128839); #90921=LINE('',#520443,#128840); #90922=LINE('',#520446,#128841); #90923=LINE('',#520448,#128842); #90924=LINE('',#520449,#128843); #90925=LINE('',#520451,#128844); #90926=LINE('',#520452,#128845); #90927=LINE('',#520458,#128846); #90928=LINE('',#520460,#128847); #90929=LINE('',#520462,#128848); #90930=LINE('',#520463,#128849); #90931=LINE('',#520466,#128850); #90932=LINE('',#520468,#128851); #90933=LINE('',#520469,#128852); #90934=LINE('',#520472,#128853); #90935=LINE('',#520474,#128854); #90936=LINE('',#520475,#128855); #90937=LINE('',#520477,#128856); #90938=LINE('',#520478,#128857); #90939=LINE('',#520484,#128858); #90940=LINE('',#520486,#128859); #90941=LINE('',#520488,#128860); #90942=LINE('',#520489,#128861); #90943=LINE('',#520492,#128862); #90944=LINE('',#520494,#128863); #90945=LINE('',#520495,#128864); #90946=LINE('',#520498,#128865); #90947=LINE('',#520500,#128866); #90948=LINE('',#520501,#128867); #90949=LINE('',#520503,#128868); #90950=LINE('',#520504,#128869); #90951=LINE('',#520510,#128870); #90952=LINE('',#520512,#128871); #90953=LINE('',#520514,#128872); #90954=LINE('',#520515,#128873); #90955=LINE('',#520518,#128874); #90956=LINE('',#520520,#128875); #90957=LINE('',#520521,#128876); #90958=LINE('',#520524,#128877); #90959=LINE('',#520526,#128878); #90960=LINE('',#520527,#128879); #90961=LINE('',#520529,#128880); #90962=LINE('',#520530,#128881); #90963=LINE('',#520536,#128882); #90964=LINE('',#520538,#128883); #90965=LINE('',#520540,#128884); #90966=LINE('',#520541,#128885); #90967=LINE('',#520544,#128886); #90968=LINE('',#520546,#128887); #90969=LINE('',#520547,#128888); #90970=LINE('',#520550,#128889); #90971=LINE('',#520552,#128890); #90972=LINE('',#520553,#128891); #90973=LINE('',#520555,#128892); #90974=LINE('',#520556,#128893); #90975=LINE('',#520562,#128894); #90976=LINE('',#520564,#128895); #90977=LINE('',#520566,#128896); #90978=LINE('',#520567,#128897); #90979=LINE('',#520570,#128898); #90980=LINE('',#520572,#128899); #90981=LINE('',#520573,#128900); #90982=LINE('',#520576,#128901); #90983=LINE('',#520578,#128902); #90984=LINE('',#520579,#128903); #90985=LINE('',#520581,#128904); #90986=LINE('',#520582,#128905); #90987=LINE('',#520588,#128906); #90988=LINE('',#520590,#128907); #90989=LINE('',#520592,#128908); #90990=LINE('',#520593,#128909); #90991=LINE('',#520596,#128910); #90992=LINE('',#520598,#128911); #90993=LINE('',#520599,#128912); #90994=LINE('',#520602,#128913); #90995=LINE('',#520604,#128914); #90996=LINE('',#520605,#128915); #90997=LINE('',#520607,#128916); #90998=LINE('',#520608,#128917); #90999=LINE('',#520614,#128918); #91000=LINE('',#520616,#128919); #91001=LINE('',#520618,#128920); #91002=LINE('',#520619,#128921); #91003=LINE('',#520622,#128922); #91004=LINE('',#520624,#128923); #91005=LINE('',#520625,#128924); #91006=LINE('',#520628,#128925); #91007=LINE('',#520630,#128926); #91008=LINE('',#520631,#128927); #91009=LINE('',#520633,#128928); #91010=LINE('',#520634,#128929); #91011=LINE('',#520640,#128930); #91012=LINE('',#520642,#128931); #91013=LINE('',#520644,#128932); #91014=LINE('',#520645,#128933); #91015=LINE('',#520648,#128934); #91016=LINE('',#520650,#128935); #91017=LINE('',#520651,#128936); #91018=LINE('',#520654,#128937); #91019=LINE('',#520656,#128938); #91020=LINE('',#520657,#128939); #91021=LINE('',#520659,#128940); #91022=LINE('',#520660,#128941); #91023=LINE('',#520666,#128942); #91024=LINE('',#520668,#128943); #91025=LINE('',#520670,#128944); #91026=LINE('',#520671,#128945); #91027=LINE('',#520674,#128946); #91028=LINE('',#520676,#128947); #91029=LINE('',#520677,#128948); #91030=LINE('',#520680,#128949); #91031=LINE('',#520682,#128950); #91032=LINE('',#520683,#128951); #91033=LINE('',#520685,#128952); #91034=LINE('',#520686,#128953); #91035=LINE('',#520692,#128954); #91036=LINE('',#520694,#128955); #91037=LINE('',#520696,#128956); #91038=LINE('',#520697,#128957); #91039=LINE('',#520700,#128958); #91040=LINE('',#520702,#128959); #91041=LINE('',#520703,#128960); #91042=LINE('',#520706,#128961); #91043=LINE('',#520708,#128962); #91044=LINE('',#520709,#128963); #91045=LINE('',#520711,#128964); #91046=LINE('',#520712,#128965); #91047=LINE('',#520718,#128966); #91048=LINE('',#520720,#128967); #91049=LINE('',#520722,#128968); #91050=LINE('',#520723,#128969); #91051=LINE('',#520726,#128970); #91052=LINE('',#520728,#128971); #91053=LINE('',#520729,#128972); #91054=LINE('',#520732,#128973); #91055=LINE('',#520734,#128974); #91056=LINE('',#520735,#128975); #91057=LINE('',#520737,#128976); #91058=LINE('',#520738,#128977); #91059=LINE('',#520744,#128978); #91060=LINE('',#520746,#128979); #91061=LINE('',#520748,#128980); #91062=LINE('',#520749,#128981); #91063=LINE('',#520752,#128982); #91064=LINE('',#520754,#128983); #91065=LINE('',#520755,#128984); #91066=LINE('',#520758,#128985); #91067=LINE('',#520760,#128986); #91068=LINE('',#520761,#128987); #91069=LINE('',#520763,#128988); #91070=LINE('',#520764,#128989); #91071=LINE('',#520770,#128990); #91072=LINE('',#520772,#128991); #91073=LINE('',#520774,#128992); #91074=LINE('',#520775,#128993); #91075=LINE('',#520778,#128994); #91076=LINE('',#520780,#128995); #91077=LINE('',#520781,#128996); #91078=LINE('',#520784,#128997); #91079=LINE('',#520786,#128998); #91080=LINE('',#520787,#128999); #91081=LINE('',#520789,#129000); #91082=LINE('',#520790,#129001); #91083=LINE('',#520796,#129002); #91084=LINE('',#520798,#129003); #91085=LINE('',#520800,#129004); #91086=LINE('',#520801,#129005); #91087=LINE('',#520804,#129006); #91088=LINE('',#520806,#129007); #91089=LINE('',#520807,#129008); #91090=LINE('',#520810,#129009); #91091=LINE('',#520812,#129010); #91092=LINE('',#520813,#129011); #91093=LINE('',#520815,#129012); #91094=LINE('',#520816,#129013); #91095=LINE('',#520822,#129014); #91096=LINE('',#520824,#129015); #91097=LINE('',#520826,#129016); #91098=LINE('',#520827,#129017); #91099=LINE('',#520830,#129018); #91100=LINE('',#520832,#129019); #91101=LINE('',#520833,#129020); #91102=LINE('',#520836,#129021); #91103=LINE('',#520838,#129022); #91104=LINE('',#520839,#129023); #91105=LINE('',#520841,#129024); #91106=LINE('',#520842,#129025); #91107=LINE('',#520848,#129026); #91108=LINE('',#520850,#129027); #91109=LINE('',#520852,#129028); #91110=LINE('',#520853,#129029); #91111=LINE('',#520856,#129030); #91112=LINE('',#520858,#129031); #91113=LINE('',#520859,#129032); #91114=LINE('',#520862,#129033); #91115=LINE('',#520864,#129034); #91116=LINE('',#520865,#129035); #91117=LINE('',#520867,#129036); #91118=LINE('',#520868,#129037); #91119=LINE('',#520874,#129038); #91120=LINE('',#520876,#129039); #91121=LINE('',#520878,#129040); #91122=LINE('',#520879,#129041); #91123=LINE('',#520882,#129042); #91124=LINE('',#520884,#129043); #91125=LINE('',#520885,#129044); #91126=LINE('',#520888,#129045); #91127=LINE('',#520890,#129046); #91128=LINE('',#520891,#129047); #91129=LINE('',#520893,#129048); #91130=LINE('',#520894,#129049); #91131=LINE('',#520900,#129050); #91132=LINE('',#520902,#129051); #91133=LINE('',#520904,#129052); #91134=LINE('',#520905,#129053); #91135=LINE('',#520908,#129054); #91136=LINE('',#520910,#129055); #91137=LINE('',#520911,#129056); #91138=LINE('',#520914,#129057); #91139=LINE('',#520916,#129058); #91140=LINE('',#520917,#129059); #91141=LINE('',#520919,#129060); #91142=LINE('',#520920,#129061); #91143=LINE('',#520926,#129062); #91144=LINE('',#520928,#129063); #91145=LINE('',#520930,#129064); #91146=LINE('',#520931,#129065); #91147=LINE('',#520934,#129066); #91148=LINE('',#520936,#129067); #91149=LINE('',#520937,#129068); #91150=LINE('',#520940,#129069); #91151=LINE('',#520942,#129070); #91152=LINE('',#520943,#129071); #91153=LINE('',#520945,#129072); #91154=LINE('',#520946,#129073); #91155=LINE('',#520952,#129074); #91156=LINE('',#520954,#129075); #91157=LINE('',#520956,#129076); #91158=LINE('',#520957,#129077); #91159=LINE('',#520960,#129078); #91160=LINE('',#520962,#129079); #91161=LINE('',#520963,#129080); #91162=LINE('',#520966,#129081); #91163=LINE('',#520968,#129082); #91164=LINE('',#520969,#129083); #91165=LINE('',#520971,#129084); #91166=LINE('',#520972,#129085); #91167=LINE('',#520978,#129086); #91168=LINE('',#520980,#129087); #91169=LINE('',#520982,#129088); #91170=LINE('',#520983,#129089); #91171=LINE('',#520986,#129090); #91172=LINE('',#520988,#129091); #91173=LINE('',#520989,#129092); #91174=LINE('',#520992,#129093); #91175=LINE('',#520994,#129094); #91176=LINE('',#520995,#129095); #91177=LINE('',#520997,#129096); #91178=LINE('',#520998,#129097); #91179=LINE('',#521005,#129098); #91180=LINE('',#521011,#129099); #91181=LINE('',#521016,#129100); #91182=LINE('',#521018,#129101); #91183=LINE('',#521020,#129102); #91184=LINE('',#521021,#129103); #91185=LINE('',#521024,#129104); #91186=LINE('',#521026,#129105); #91187=LINE('',#521027,#129106); #91188=LINE('',#521030,#129107); #91189=LINE('',#521032,#129108); #91190=LINE('',#521033,#129109); #91191=LINE('',#521035,#129110); #91192=LINE('',#521036,#129111); #91193=LINE('',#521042,#129112); #91194=LINE('',#521044,#129113); #91195=LINE('',#521046,#129114); #91196=LINE('',#521047,#129115); #91197=LINE('',#521050,#129116); #91198=LINE('',#521052,#129117); #91199=LINE('',#521053,#129118); #91200=LINE('',#521056,#129119); #91201=LINE('',#521058,#129120); #91202=LINE('',#521059,#129121); #91203=LINE('',#521061,#129122); #91204=LINE('',#521062,#129123); #91205=LINE('',#521068,#129124); #91206=LINE('',#521070,#129125); #91207=LINE('',#521072,#129126); #91208=LINE('',#521073,#129127); #91209=LINE('',#521076,#129128); #91210=LINE('',#521078,#129129); #91211=LINE('',#521079,#129130); #91212=LINE('',#521082,#129131); #91213=LINE('',#521084,#129132); #91214=LINE('',#521085,#129133); #91215=LINE('',#521087,#129134); #91216=LINE('',#521088,#129135); #91217=LINE('',#521094,#129136); #91218=LINE('',#521096,#129137); #91219=LINE('',#521098,#129138); #91220=LINE('',#521099,#129139); #91221=LINE('',#521102,#129140); #91222=LINE('',#521104,#129141); #91223=LINE('',#521105,#129142); #91224=LINE('',#521108,#129143); #91225=LINE('',#521110,#129144); #91226=LINE('',#521111,#129145); #91227=LINE('',#521113,#129146); #91228=LINE('',#521114,#129147); #91229=LINE('',#521120,#129148); #91230=LINE('',#521122,#129149); #91231=LINE('',#521124,#129150); #91232=LINE('',#521125,#129151); #91233=LINE('',#521128,#129152); #91234=LINE('',#521130,#129153); #91235=LINE('',#521131,#129154); #91236=LINE('',#521134,#129155); #91237=LINE('',#521136,#129156); #91238=LINE('',#521137,#129157); #91239=LINE('',#521139,#129158); #91240=LINE('',#521140,#129159); #91241=LINE('',#521146,#129160); #91242=LINE('',#521148,#129161); #91243=LINE('',#521150,#129162); #91244=LINE('',#521151,#129163); #91245=LINE('',#521154,#129164); #91246=LINE('',#521156,#129165); #91247=LINE('',#521157,#129166); #91248=LINE('',#521160,#129167); #91249=LINE('',#521162,#129168); #91250=LINE('',#521163,#129169); #91251=LINE('',#521165,#129170); #91252=LINE('',#521166,#129171); #91253=LINE('',#521172,#129172); #91254=LINE('',#521174,#129173); #91255=LINE('',#521176,#129174); #91256=LINE('',#521177,#129175); #91257=LINE('',#521180,#129176); #91258=LINE('',#521182,#129177); #91259=LINE('',#521183,#129178); #91260=LINE('',#521186,#129179); #91261=LINE('',#521188,#129180); #91262=LINE('',#521189,#129181); #91263=LINE('',#521191,#129182); #91264=LINE('',#521192,#129183); #91265=LINE('',#521198,#129184); #91266=LINE('',#521200,#129185); #91267=LINE('',#521202,#129186); #91268=LINE('',#521203,#129187); #91269=LINE('',#521206,#129188); #91270=LINE('',#521208,#129189); #91271=LINE('',#521209,#129190); #91272=LINE('',#521212,#129191); #91273=LINE('',#521214,#129192); #91274=LINE('',#521215,#129193); #91275=LINE('',#521217,#129194); #91276=LINE('',#521218,#129195); #91277=LINE('',#521224,#129196); #91278=LINE('',#521226,#129197); #91279=LINE('',#521228,#129198); #91280=LINE('',#521229,#129199); #91281=LINE('',#521232,#129200); #91282=LINE('',#521234,#129201); #91283=LINE('',#521235,#129202); #91284=LINE('',#521238,#129203); #91285=LINE('',#521240,#129204); #91286=LINE('',#521241,#129205); #91287=LINE('',#521243,#129206); #91288=LINE('',#521244,#129207); #91289=LINE('',#521251,#129208); #91290=LINE('',#521257,#129209); #91291=LINE('',#521262,#129210); #91292=LINE('',#521264,#129211); #91293=LINE('',#521266,#129212); #91294=LINE('',#521267,#129213); #91295=LINE('',#521270,#129214); #91296=LINE('',#521272,#129215); #91297=LINE('',#521273,#129216); #91298=LINE('',#521276,#129217); #91299=LINE('',#521278,#129218); #91300=LINE('',#521279,#129219); #91301=LINE('',#521281,#129220); #91302=LINE('',#521282,#129221); #91303=LINE('',#521288,#129222); #91304=LINE('',#521290,#129223); #91305=LINE('',#521292,#129224); #91306=LINE('',#521293,#129225); #91307=LINE('',#521296,#129226); #91308=LINE('',#521298,#129227); #91309=LINE('',#521299,#129228); #91310=LINE('',#521302,#129229); #91311=LINE('',#521304,#129230); #91312=LINE('',#521305,#129231); #91313=LINE('',#521307,#129232); #91314=LINE('',#521308,#129233); #91315=LINE('',#521314,#129234); #91316=LINE('',#521316,#129235); #91317=LINE('',#521318,#129236); #91318=LINE('',#521319,#129237); #91319=LINE('',#521322,#129238); #91320=LINE('',#521324,#129239); #91321=LINE('',#521325,#129240); #91322=LINE('',#521328,#129241); #91323=LINE('',#521330,#129242); #91324=LINE('',#521331,#129243); #91325=LINE('',#521333,#129244); #91326=LINE('',#521334,#129245); #91327=LINE('',#521340,#129246); #91328=LINE('',#521342,#129247); #91329=LINE('',#521344,#129248); #91330=LINE('',#521345,#129249); #91331=LINE('',#521348,#129250); #91332=LINE('',#521350,#129251); #91333=LINE('',#521351,#129252); #91334=LINE('',#521354,#129253); #91335=LINE('',#521356,#129254); #91336=LINE('',#521357,#129255); #91337=LINE('',#521359,#129256); #91338=LINE('',#521360,#129257); #91339=LINE('',#521366,#129258); #91340=LINE('',#521368,#129259); #91341=LINE('',#521370,#129260); #91342=LINE('',#521371,#129261); #91343=LINE('',#521374,#129262); #91344=LINE('',#521376,#129263); #91345=LINE('',#521377,#129264); #91346=LINE('',#521380,#129265); #91347=LINE('',#521382,#129266); #91348=LINE('',#521383,#129267); #91349=LINE('',#521385,#129268); #91350=LINE('',#521386,#129269); #91351=LINE('',#521392,#129270); #91352=LINE('',#521394,#129271); #91353=LINE('',#521396,#129272); #91354=LINE('',#521397,#129273); #91355=LINE('',#521400,#129274); #91356=LINE('',#521402,#129275); #91357=LINE('',#521403,#129276); #91358=LINE('',#521406,#129277); #91359=LINE('',#521408,#129278); #91360=LINE('',#521409,#129279); #91361=LINE('',#521411,#129280); #91362=LINE('',#521412,#129281); #91363=LINE('',#521418,#129282); #91364=LINE('',#521420,#129283); #91365=LINE('',#521422,#129284); #91366=LINE('',#521423,#129285); #91367=LINE('',#521426,#129286); #91368=LINE('',#521428,#129287); #91369=LINE('',#521429,#129288); #91370=LINE('',#521432,#129289); #91371=LINE('',#521434,#129290); #91372=LINE('',#521435,#129291); #91373=LINE('',#521437,#129292); #91374=LINE('',#521438,#129293); #91375=LINE('',#521444,#129294); #91376=LINE('',#521446,#129295); #91377=LINE('',#521448,#129296); #91378=LINE('',#521449,#129297); #91379=LINE('',#521452,#129298); #91380=LINE('',#521454,#129299); #91381=LINE('',#521455,#129300); #91382=LINE('',#521458,#129301); #91383=LINE('',#521460,#129302); #91384=LINE('',#521461,#129303); #91385=LINE('',#521463,#129304); #91386=LINE('',#521464,#129305); #91387=LINE('',#521470,#129306); #91388=LINE('',#521472,#129307); #91389=LINE('',#521474,#129308); #91390=LINE('',#521475,#129309); #91391=LINE('',#521478,#129310); #91392=LINE('',#521480,#129311); #91393=LINE('',#521481,#129312); #91394=LINE('',#521484,#129313); #91395=LINE('',#521486,#129314); #91396=LINE('',#521487,#129315); #91397=LINE('',#521489,#129316); #91398=LINE('',#521490,#129317); #91399=LINE('',#521497,#129318); #91400=LINE('',#521502,#129319); #91401=LINE('',#521504,#129320); #91402=LINE('',#521506,#129321); #91403=LINE('',#521507,#129322); #91404=LINE('',#521510,#129323); #91405=LINE('',#521512,#129324); #91406=LINE('',#521513,#129325); #91407=LINE('',#521516,#129326); #91408=LINE('',#521518,#129327); #91409=LINE('',#521519,#129328); #91410=LINE('',#521521,#129329); #91411=LINE('',#521522,#129330); #91412=LINE('',#521528,#129331); #91413=LINE('',#521530,#129332); #91414=LINE('',#521532,#129333); #91415=LINE('',#521533,#129334); #91416=LINE('',#521536,#129335); #91417=LINE('',#521538,#129336); #91418=LINE('',#521539,#129337); #91419=LINE('',#521542,#129338); #91420=LINE('',#521544,#129339); #91421=LINE('',#521545,#129340); #91422=LINE('',#521547,#129341); #91423=LINE('',#521548,#129342); #91424=LINE('',#521554,#129343); #91425=LINE('',#521556,#129344); #91426=LINE('',#521558,#129345); #91427=LINE('',#521559,#129346); #91428=LINE('',#521562,#129347); #91429=LINE('',#521564,#129348); #91430=LINE('',#521565,#129349); #91431=LINE('',#521568,#129350); #91432=LINE('',#521570,#129351); #91433=LINE('',#521571,#129352); #91434=LINE('',#521573,#129353); #91435=LINE('',#521574,#129354); #91436=LINE('',#521580,#129355); #91437=LINE('',#521582,#129356); #91438=LINE('',#521584,#129357); #91439=LINE('',#521585,#129358); #91440=LINE('',#521588,#129359); #91441=LINE('',#521590,#129360); #91442=LINE('',#521591,#129361); #91443=LINE('',#521594,#129362); #91444=LINE('',#521596,#129363); #91445=LINE('',#521597,#129364); #91446=LINE('',#521599,#129365); #91447=LINE('',#521600,#129366); #91448=LINE('',#521606,#129367); #91449=LINE('',#521608,#129368); #91450=LINE('',#521610,#129369); #91451=LINE('',#521611,#129370); #91452=LINE('',#521614,#129371); #91453=LINE('',#521616,#129372); #91454=LINE('',#521617,#129373); #91455=LINE('',#521620,#129374); #91456=LINE('',#521622,#129375); #91457=LINE('',#521623,#129376); #91458=LINE('',#521625,#129377); #91459=LINE('',#521626,#129378); #91460=LINE('',#521633,#129379); #91461=LINE('',#521639,#129380); #91462=LINE('',#521645,#129381); #91463=LINE('',#521651,#129382); #91464=LINE('',#521657,#129383); #91465=LINE('',#521663,#129384); #91466=LINE('',#521669,#129385); #91467=LINE('',#521675,#129386); #91468=LINE('',#521681,#129387); #91469=LINE('',#521687,#129388); #91470=LINE('',#521693,#129389); #91471=LINE('',#521699,#129390); #91472=LINE('',#521705,#129391); #91473=LINE('',#521711,#129392); #91474=LINE('',#521717,#129393); #91475=LINE('',#521722,#129394); #91476=LINE('',#521724,#129395); #91477=LINE('',#521726,#129396); #91478=LINE('',#521727,#129397); #91479=LINE('',#521730,#129398); #91480=LINE('',#521732,#129399); #91481=LINE('',#521733,#129400); #91482=LINE('',#521736,#129401); #91483=LINE('',#521738,#129402); #91484=LINE('',#521739,#129403); #91485=LINE('',#521741,#129404); #91486=LINE('',#521742,#129405); #91487=LINE('',#521748,#129406); #91488=LINE('',#521750,#129407); #91489=LINE('',#521752,#129408); #91490=LINE('',#521753,#129409); #91491=LINE('',#521756,#129410); #91492=LINE('',#521758,#129411); #91493=LINE('',#521759,#129412); #91494=LINE('',#521762,#129413); #91495=LINE('',#521764,#129414); #91496=LINE('',#521765,#129415); #91497=LINE('',#521767,#129416); #91498=LINE('',#521768,#129417); #91499=LINE('',#521774,#129418); #91500=LINE('',#521776,#129419); #91501=LINE('',#521778,#129420); #91502=LINE('',#521779,#129421); #91503=LINE('',#521782,#129422); #91504=LINE('',#521784,#129423); #91505=LINE('',#521785,#129424); #91506=LINE('',#521788,#129425); #91507=LINE('',#521790,#129426); #91508=LINE('',#521791,#129427); #91509=LINE('',#521793,#129428); #91510=LINE('',#521794,#129429); #91511=LINE('',#521800,#129430); #91512=LINE('',#521802,#129431); #91513=LINE('',#521804,#129432); #91514=LINE('',#521805,#129433); #91515=LINE('',#521808,#129434); #91516=LINE('',#521810,#129435); #91517=LINE('',#521811,#129436); #91518=LINE('',#521814,#129437); #91519=LINE('',#521816,#129438); #91520=LINE('',#521817,#129439); #91521=LINE('',#521819,#129440); #91522=LINE('',#521820,#129441); #91523=LINE('',#521827,#129442); #91524=LINE('',#521832,#129443); #91525=LINE('',#521834,#129444); #91526=LINE('',#521836,#129445); #91527=LINE('',#521837,#129446); #91528=LINE('',#521840,#129447); #91529=LINE('',#521842,#129448); #91530=LINE('',#521843,#129449); #91531=LINE('',#521846,#129450); #91532=LINE('',#521848,#129451); #91533=LINE('',#521849,#129452); #91534=LINE('',#521851,#129453); #91535=LINE('',#521852,#129454); #91536=LINE('',#521858,#129455); #91537=LINE('',#521860,#129456); #91538=LINE('',#521862,#129457); #91539=LINE('',#521863,#129458); #91540=LINE('',#521866,#129459); #91541=LINE('',#521868,#129460); #91542=LINE('',#521869,#129461); #91543=LINE('',#521872,#129462); #91544=LINE('',#521874,#129463); #91545=LINE('',#521875,#129464); #91546=LINE('',#521877,#129465); #91547=LINE('',#521878,#129466); #91548=LINE('',#521884,#129467); #91549=LINE('',#521886,#129468); #91550=LINE('',#521888,#129469); #91551=LINE('',#521889,#129470); #91552=LINE('',#521892,#129471); #91553=LINE('',#521894,#129472); #91554=LINE('',#521895,#129473); #91555=LINE('',#521898,#129474); #91556=LINE('',#521900,#129475); #91557=LINE('',#521901,#129476); #91558=LINE('',#521903,#129477); #91559=LINE('',#521904,#129478); #91560=LINE('',#521910,#129479); #91561=LINE('',#521912,#129480); #91562=LINE('',#521914,#129481); #91563=LINE('',#521915,#129482); #91564=LINE('',#521918,#129483); #91565=LINE('',#521920,#129484); #91566=LINE('',#521921,#129485); #91567=LINE('',#521924,#129486); #91568=LINE('',#521926,#129487); #91569=LINE('',#521927,#129488); #91570=LINE('',#521929,#129489); #91571=LINE('',#521930,#129490); #91572=LINE('',#521936,#129491); #91573=LINE('',#521938,#129492); #91574=LINE('',#521940,#129493); #91575=LINE('',#521941,#129494); #91576=LINE('',#521944,#129495); #91577=LINE('',#521946,#129496); #91578=LINE('',#521947,#129497); #91579=LINE('',#521950,#129498); #91580=LINE('',#521952,#129499); #91581=LINE('',#521953,#129500); #91582=LINE('',#521955,#129501); #91583=LINE('',#521956,#129502); #91584=LINE('',#521963,#129503); #91585=LINE('',#521969,#129504); #91586=LINE('',#521975,#129505); #91587=LINE('',#521981,#129506); #91588=LINE('',#521987,#129507); #91589=LINE('',#521993,#129508); #91590=LINE('',#521999,#129509); #91591=LINE('',#522005,#129510); #91592=LINE('',#522011,#129511); #91593=LINE('',#522017,#129512); #91594=LINE('',#522023,#129513); #91595=LINE('',#522029,#129514); #91596=LINE('',#522035,#129515); #91597=LINE('',#522041,#129516); #91598=LINE('',#522047,#129517); #91599=LINE('',#522052,#129518); #91600=LINE('',#522054,#129519); #91601=LINE('',#522056,#129520); #91602=LINE('',#522057,#129521); #91603=LINE('',#522060,#129522); #91604=LINE('',#522062,#129523); #91605=LINE('',#522063,#129524); #91606=LINE('',#522066,#129525); #91607=LINE('',#522068,#129526); #91608=LINE('',#522069,#129527); #91609=LINE('',#522071,#129528); #91610=LINE('',#522072,#129529); #91611=LINE('',#522078,#129530); #91612=LINE('',#522080,#129531); #91613=LINE('',#522082,#129532); #91614=LINE('',#522083,#129533); #91615=LINE('',#522086,#129534); #91616=LINE('',#522088,#129535); #91617=LINE('',#522089,#129536); #91618=LINE('',#522092,#129537); #91619=LINE('',#522094,#129538); #91620=LINE('',#522095,#129539); #91621=LINE('',#522097,#129540); #91622=LINE('',#522098,#129541); #91623=LINE('',#522104,#129542); #91624=LINE('',#522106,#129543); #91625=LINE('',#522108,#129544); #91626=LINE('',#522109,#129545); #91627=LINE('',#522112,#129546); #91628=LINE('',#522114,#129547); #91629=LINE('',#522115,#129548); #91630=LINE('',#522118,#129549); #91631=LINE('',#522120,#129550); #91632=LINE('',#522121,#129551); #91633=LINE('',#522123,#129552); #91634=LINE('',#522124,#129553); #91635=LINE('',#522130,#129554); #91636=LINE('',#522132,#129555); #91637=LINE('',#522134,#129556); #91638=LINE('',#522135,#129557); #91639=LINE('',#522138,#129558); #91640=LINE('',#522140,#129559); #91641=LINE('',#522141,#129560); #91642=LINE('',#522144,#129561); #91643=LINE('',#522146,#129562); #91644=LINE('',#522147,#129563); #91645=LINE('',#522149,#129564); #91646=LINE('',#522150,#129565); #91647=LINE('',#522157,#129566); #91648=LINE('',#522162,#129567); #91649=LINE('',#522164,#129568); #91650=LINE('',#522166,#129569); #91651=LINE('',#522167,#129570); #91652=LINE('',#522170,#129571); #91653=LINE('',#522172,#129572); #91654=LINE('',#522173,#129573); #91655=LINE('',#522176,#129574); #91656=LINE('',#522178,#129575); #91657=LINE('',#522179,#129576); #91658=LINE('',#522181,#129577); #91659=LINE('',#522182,#129578); #91660=LINE('',#522189,#129579); #91661=LINE('',#522194,#129580); #91662=LINE('',#522196,#129581); #91663=LINE('',#522198,#129582); #91664=LINE('',#522199,#129583); #91665=LINE('',#522202,#129584); #91666=LINE('',#522204,#129585); #91667=LINE('',#522205,#129586); #91668=LINE('',#522208,#129587); #91669=LINE('',#522210,#129588); #91670=LINE('',#522211,#129589); #91671=LINE('',#522213,#129590); #91672=LINE('',#522214,#129591); #91673=LINE('',#522221,#129592); #91674=LINE('',#522226,#129593); #91675=LINE('',#522228,#129594); #91676=LINE('',#522230,#129595); #91677=LINE('',#522231,#129596); #91678=LINE('',#522234,#129597); #91679=LINE('',#522236,#129598); #91680=LINE('',#522237,#129599); #91681=LINE('',#522240,#129600); #91682=LINE('',#522242,#129601); #91683=LINE('',#522243,#129602); #91684=LINE('',#522245,#129603); #91685=LINE('',#522246,#129604); #91686=LINE('',#522253,#129605); #91687=LINE('',#522259,#129606); #91688=LINE('',#522265,#129607); #91689=LINE('',#522271,#129608); #91690=LINE('',#522277,#129609); #91691=LINE('',#522283,#129610); #91692=LINE('',#522289,#129611); #91693=LINE('',#522295,#129612); #91694=LINE('',#522301,#129613); #91695=LINE('',#522307,#129614); #91696=LINE('',#522313,#129615); #91697=LINE('',#522319,#129616); #91698=LINE('',#522325,#129617); #91699=LINE('',#522331,#129618); #91700=LINE('',#522337,#129619); #91701=LINE('',#522342,#129620); #91702=LINE('',#522344,#129621); #91703=LINE('',#522346,#129622); #91704=LINE('',#522347,#129623); #91705=LINE('',#522350,#129624); #91706=LINE('',#522352,#129625); #91707=LINE('',#522353,#129626); #91708=LINE('',#522356,#129627); #91709=LINE('',#522358,#129628); #91710=LINE('',#522359,#129629); #91711=LINE('',#522361,#129630); #91712=LINE('',#522362,#129631); #91713=LINE('',#522368,#129632); #91714=LINE('',#522370,#129633); #91715=LINE('',#522372,#129634); #91716=LINE('',#522373,#129635); #91717=LINE('',#522376,#129636); #91718=LINE('',#522378,#129637); #91719=LINE('',#522379,#129638); #91720=LINE('',#522382,#129639); #91721=LINE('',#522384,#129640); #91722=LINE('',#522385,#129641); #91723=LINE('',#522387,#129642); #91724=LINE('',#522388,#129643); #91725=LINE('',#522394,#129644); #91726=LINE('',#522396,#129645); #91727=LINE('',#522398,#129646); #91728=LINE('',#522399,#129647); #91729=LINE('',#522402,#129648); #91730=LINE('',#522404,#129649); #91731=LINE('',#522405,#129650); #91732=LINE('',#522408,#129651); #91733=LINE('',#522410,#129652); #91734=LINE('',#522411,#129653); #91735=LINE('',#522413,#129654); #91736=LINE('',#522414,#129655); #91737=LINE('',#522420,#129656); #91738=LINE('',#522422,#129657); #91739=LINE('',#522424,#129658); #91740=LINE('',#522425,#129659); #91741=LINE('',#522428,#129660); #91742=LINE('',#522430,#129661); #91743=LINE('',#522431,#129662); #91744=LINE('',#522434,#129663); #91745=LINE('',#522436,#129664); #91746=LINE('',#522437,#129665); #91747=LINE('',#522439,#129666); #91748=LINE('',#522440,#129667); #91749=LINE('',#522446,#129668); #91750=LINE('',#522448,#129669); #91751=LINE('',#522450,#129670); #91752=LINE('',#522451,#129671); #91753=LINE('',#522454,#129672); #91754=LINE('',#522456,#129673); #91755=LINE('',#522457,#129674); #91756=LINE('',#522460,#129675); #91757=LINE('',#522462,#129676); #91758=LINE('',#522463,#129677); #91759=LINE('',#522465,#129678); #91760=LINE('',#522466,#129679); #91761=LINE('',#522472,#129680); #91762=LINE('',#522474,#129681); #91763=LINE('',#522476,#129682); #91764=LINE('',#522477,#129683); #91765=LINE('',#522480,#129684); #91766=LINE('',#522482,#129685); #91767=LINE('',#522483,#129686); #91768=LINE('',#522486,#129687); #91769=LINE('',#522488,#129688); #91770=LINE('',#522489,#129689); #91771=LINE('',#522491,#129690); #91772=LINE('',#522492,#129691); #91773=LINE('',#522498,#129692); #91774=LINE('',#522500,#129693); #91775=LINE('',#522502,#129694); #91776=LINE('',#522503,#129695); #91777=LINE('',#522506,#129696); #91778=LINE('',#522508,#129697); #91779=LINE('',#522509,#129698); #91780=LINE('',#522512,#129699); #91781=LINE('',#522514,#129700); #91782=LINE('',#522515,#129701); #91783=LINE('',#522517,#129702); #91784=LINE('',#522518,#129703); #91785=LINE('',#522524,#129704); #91786=LINE('',#522526,#129705); #91787=LINE('',#522528,#129706); #91788=LINE('',#522529,#129707); #91789=LINE('',#522532,#129708); #91790=LINE('',#522534,#129709); #91791=LINE('',#522535,#129710); #91792=LINE('',#522538,#129711); #91793=LINE('',#522540,#129712); #91794=LINE('',#522541,#129713); #91795=LINE('',#522543,#129714); #91796=LINE('',#522544,#129715); #91797=LINE('',#522550,#129716); #91798=LINE('',#522552,#129717); #91799=LINE('',#522554,#129718); #91800=LINE('',#522555,#129719); #91801=LINE('',#522558,#129720); #91802=LINE('',#522560,#129721); #91803=LINE('',#522561,#129722); #91804=LINE('',#522564,#129723); #91805=LINE('',#522566,#129724); #91806=LINE('',#522567,#129725); #91807=LINE('',#522569,#129726); #91808=LINE('',#522570,#129727); #91809=LINE('',#522576,#129728); #91810=LINE('',#522578,#129729); #91811=LINE('',#522580,#129730); #91812=LINE('',#522581,#129731); #91813=LINE('',#522584,#129732); #91814=LINE('',#522586,#129733); #91815=LINE('',#522587,#129734); #91816=LINE('',#522590,#129735); #91817=LINE('',#522592,#129736); #91818=LINE('',#522593,#129737); #91819=LINE('',#522595,#129738); #91820=LINE('',#522596,#129739); #91821=LINE('',#522602,#129740); #91822=LINE('',#522604,#129741); #91823=LINE('',#522606,#129742); #91824=LINE('',#522607,#129743); #91825=LINE('',#522610,#129744); #91826=LINE('',#522612,#129745); #91827=LINE('',#522613,#129746); #91828=LINE('',#522616,#129747); #91829=LINE('',#522618,#129748); #91830=LINE('',#522619,#129749); #91831=LINE('',#522621,#129750); #91832=LINE('',#522622,#129751); #91833=LINE('',#522628,#129752); #91834=LINE('',#522630,#129753); #91835=LINE('',#522632,#129754); #91836=LINE('',#522633,#129755); #91837=LINE('',#522636,#129756); #91838=LINE('',#522638,#129757); #91839=LINE('',#522639,#129758); #91840=LINE('',#522642,#129759); #91841=LINE('',#522644,#129760); #91842=LINE('',#522645,#129761); #91843=LINE('',#522647,#129762); #91844=LINE('',#522648,#129763); #91845=LINE('',#522654,#129764); #91846=LINE('',#522656,#129765); #91847=LINE('',#522658,#129766); #91848=LINE('',#522659,#129767); #91849=LINE('',#522662,#129768); #91850=LINE('',#522664,#129769); #91851=LINE('',#522665,#129770); #91852=LINE('',#522668,#129771); #91853=LINE('',#522670,#129772); #91854=LINE('',#522671,#129773); #91855=LINE('',#522673,#129774); #91856=LINE('',#522674,#129775); #91857=LINE('',#522680,#129776); #91858=LINE('',#522682,#129777); #91859=LINE('',#522684,#129778); #91860=LINE('',#522685,#129779); #91861=LINE('',#522688,#129780); #91862=LINE('',#522690,#129781); #91863=LINE('',#522691,#129782); #91864=LINE('',#522694,#129783); #91865=LINE('',#522696,#129784); #91866=LINE('',#522697,#129785); #91867=LINE('',#522699,#129786); #91868=LINE('',#522700,#129787); #91869=LINE('',#522706,#129788); #91870=LINE('',#522708,#129789); #91871=LINE('',#522710,#129790); #91872=LINE('',#522711,#129791); #91873=LINE('',#522714,#129792); #91874=LINE('',#522716,#129793); #91875=LINE('',#522717,#129794); #91876=LINE('',#522720,#129795); #91877=LINE('',#522722,#129796); #91878=LINE('',#522723,#129797); #91879=LINE('',#522725,#129798); #91880=LINE('',#522726,#129799); #91881=LINE('',#522732,#129800); #91882=LINE('',#522734,#129801); #91883=LINE('',#522736,#129802); #91884=LINE('',#522737,#129803); #91885=LINE('',#522740,#129804); #91886=LINE('',#522742,#129805); #91887=LINE('',#522743,#129806); #91888=LINE('',#522746,#129807); #91889=LINE('',#522748,#129808); #91890=LINE('',#522749,#129809); #91891=LINE('',#522751,#129810); #91892=LINE('',#522752,#129811); #91893=LINE('',#522758,#129812); #91894=LINE('',#522760,#129813); #91895=LINE('',#522762,#129814); #91896=LINE('',#522763,#129815); #91897=LINE('',#522766,#129816); #91898=LINE('',#522768,#129817); #91899=LINE('',#522769,#129818); #91900=LINE('',#522772,#129819); #91901=LINE('',#522774,#129820); #91902=LINE('',#522775,#129821); #91903=LINE('',#522777,#129822); #91904=LINE('',#522778,#129823); #91905=LINE('',#522784,#129824); #91906=LINE('',#522786,#129825); #91907=LINE('',#522788,#129826); #91908=LINE('',#522789,#129827); #91909=LINE('',#522792,#129828); #91910=LINE('',#522794,#129829); #91911=LINE('',#522795,#129830); #91912=LINE('',#522798,#129831); #91913=LINE('',#522800,#129832); #91914=LINE('',#522801,#129833); #91915=LINE('',#522803,#129834); #91916=LINE('',#522804,#129835); #91917=LINE('',#522810,#129836); #91918=LINE('',#522812,#129837); #91919=LINE('',#522814,#129838); #91920=LINE('',#522815,#129839); #91921=LINE('',#522818,#129840); #91922=LINE('',#522820,#129841); #91923=LINE('',#522821,#129842); #91924=LINE('',#522824,#129843); #91925=LINE('',#522826,#129844); #91926=LINE('',#522827,#129845); #91927=LINE('',#522829,#129846); #91928=LINE('',#522830,#129847); #91929=LINE('',#522836,#129848); #91930=LINE('',#522838,#129849); #91931=LINE('',#522840,#129850); #91932=LINE('',#522841,#129851); #91933=LINE('',#522844,#129852); #91934=LINE('',#522846,#129853); #91935=LINE('',#522847,#129854); #91936=LINE('',#522850,#129855); #91937=LINE('',#522852,#129856); #91938=LINE('',#522853,#129857); #91939=LINE('',#522855,#129858); #91940=LINE('',#522856,#129859); #91941=LINE('',#522862,#129860); #91942=LINE('',#522864,#129861); #91943=LINE('',#522866,#129862); #91944=LINE('',#522867,#129863); #91945=LINE('',#522870,#129864); #91946=LINE('',#522872,#129865); #91947=LINE('',#522873,#129866); #91948=LINE('',#522876,#129867); #91949=LINE('',#522878,#129868); #91950=LINE('',#522879,#129869); #91951=LINE('',#522881,#129870); #91952=LINE('',#522882,#129871); #91953=LINE('',#522889,#129872); #91954=LINE('',#522895,#129873); #91955=LINE('',#522901,#129874); #91956=LINE('',#522907,#129875); #91957=LINE('',#522913,#129876); #91958=LINE('',#522919,#129877); #91959=LINE('',#522925,#129878); #91960=LINE('',#522931,#129879); #91961=LINE('',#522937,#129880); #91962=LINE('',#522943,#129881); #91963=LINE('',#522949,#129882); #91964=LINE('',#522955,#129883); #91965=LINE('',#522961,#129884); #91966=LINE('',#522967,#129885); #91967=LINE('',#522973,#129886); #91968=LINE('',#522978,#129887); #91969=LINE('',#522980,#129888); #91970=LINE('',#522982,#129889); #91971=LINE('',#522983,#129890); #91972=LINE('',#522986,#129891); #91973=LINE('',#522988,#129892); #91974=LINE('',#522989,#129893); #91975=LINE('',#522992,#129894); #91976=LINE('',#522994,#129895); #91977=LINE('',#522995,#129896); #91978=LINE('',#522997,#129897); #91979=LINE('',#522998,#129898); #91980=LINE('',#523004,#129899); #91981=LINE('',#523006,#129900); #91982=LINE('',#523008,#129901); #91983=LINE('',#523009,#129902); #91984=LINE('',#523012,#129903); #91985=LINE('',#523014,#129904); #91986=LINE('',#523015,#129905); #91987=LINE('',#523018,#129906); #91988=LINE('',#523020,#129907); #91989=LINE('',#523021,#129908); #91990=LINE('',#523023,#129909); #91991=LINE('',#523024,#129910); #91992=LINE('',#523030,#129911); #91993=LINE('',#523032,#129912); #91994=LINE('',#523034,#129913); #91995=LINE('',#523035,#129914); #91996=LINE('',#523038,#129915); #91997=LINE('',#523040,#129916); #91998=LINE('',#523041,#129917); #91999=LINE('',#523044,#129918); #92000=LINE('',#523046,#129919); #92001=LINE('',#523047,#129920); #92002=LINE('',#523049,#129921); #92003=LINE('',#523050,#129922); #92004=LINE('',#523056,#129923); #92005=LINE('',#523058,#129924); #92006=LINE('',#523060,#129925); #92007=LINE('',#523061,#129926); #92008=LINE('',#523064,#129927); #92009=LINE('',#523066,#129928); #92010=LINE('',#523067,#129929); #92011=LINE('',#523070,#129930); #92012=LINE('',#523072,#129931); #92013=LINE('',#523073,#129932); #92014=LINE('',#523075,#129933); #92015=LINE('',#523076,#129934); #92016=LINE('',#523082,#129935); #92017=LINE('',#523084,#129936); #92018=LINE('',#523086,#129937); #92019=LINE('',#523087,#129938); #92020=LINE('',#523090,#129939); #92021=LINE('',#523092,#129940); #92022=LINE('',#523093,#129941); #92023=LINE('',#523096,#129942); #92024=LINE('',#523098,#129943); #92025=LINE('',#523099,#129944); #92026=LINE('',#523101,#129945); #92027=LINE('',#523102,#129946); #92028=LINE('',#523108,#129947); #92029=LINE('',#523110,#129948); #92030=LINE('',#523112,#129949); #92031=LINE('',#523113,#129950); #92032=LINE('',#523116,#129951); #92033=LINE('',#523118,#129952); #92034=LINE('',#523119,#129953); #92035=LINE('',#523122,#129954); #92036=LINE('',#523124,#129955); #92037=LINE('',#523125,#129956); #92038=LINE('',#523127,#129957); #92039=LINE('',#523128,#129958); #92040=LINE('',#523134,#129959); #92041=LINE('',#523136,#129960); #92042=LINE('',#523138,#129961); #92043=LINE('',#523139,#129962); #92044=LINE('',#523142,#129963); #92045=LINE('',#523144,#129964); #92046=LINE('',#523145,#129965); #92047=LINE('',#523148,#129966); #92048=LINE('',#523150,#129967); #92049=LINE('',#523151,#129968); #92050=LINE('',#523153,#129969); #92051=LINE('',#523154,#129970); #92052=LINE('',#523161,#129971); #92053=LINE('',#523166,#129972); #92054=LINE('',#523168,#129973); #92055=LINE('',#523170,#129974); #92056=LINE('',#523171,#129975); #92057=LINE('',#523174,#129976); #92058=LINE('',#523176,#129977); #92059=LINE('',#523177,#129978); #92060=LINE('',#523180,#129979); #92061=LINE('',#523182,#129980); #92062=LINE('',#523183,#129981); #92063=LINE('',#523185,#129982); #92064=LINE('',#523186,#129983); #92065=LINE('',#523193,#129984); #92066=LINE('',#523199,#129985); #92067=LINE('',#523204,#129986); #92068=LINE('',#523206,#129987); #92069=LINE('',#523208,#129988); #92070=LINE('',#523209,#129989); #92071=LINE('',#523212,#129990); #92072=LINE('',#523214,#129991); #92073=LINE('',#523215,#129992); #92074=LINE('',#523218,#129993); #92075=LINE('',#523220,#129994); #92076=LINE('',#523221,#129995); #92077=LINE('',#523223,#129996); #92078=LINE('',#523224,#129997); #92079=LINE('',#523231,#129998); #92080=LINE('',#523237,#129999); #92081=LINE('',#523242,#130000); #92082=LINE('',#523244,#130001); #92083=LINE('',#523246,#130002); #92084=LINE('',#523247,#130003); #92085=LINE('',#523250,#130004); #92086=LINE('',#523252,#130005); #92087=LINE('',#523253,#130006); #92088=LINE('',#523256,#130007); #92089=LINE('',#523258,#130008); #92090=LINE('',#523259,#130009); #92091=LINE('',#523261,#130010); #92092=LINE('',#523262,#130011); #92093=LINE('',#523269,#130012); #92094=LINE('',#523274,#130013); #92095=LINE('',#523276,#130014); #92096=LINE('',#523278,#130015); #92097=LINE('',#523279,#130016); #92098=LINE('',#523282,#130017); #92099=LINE('',#523284,#130018); #92100=LINE('',#523285,#130019); #92101=LINE('',#523288,#130020); #92102=LINE('',#523290,#130021); #92103=LINE('',#523291,#130022); #92104=LINE('',#523293,#130023); #92105=LINE('',#523294,#130024); #92106=LINE('',#523300,#130025); #92107=LINE('',#523302,#130026); #92108=LINE('',#523304,#130027); #92109=LINE('',#523305,#130028); #92110=LINE('',#523308,#130029); #92111=LINE('',#523310,#130030); #92112=LINE('',#523311,#130031); #92113=LINE('',#523314,#130032); #92114=LINE('',#523316,#130033); #92115=LINE('',#523317,#130034); #92116=LINE('',#523319,#130035); #92117=LINE('',#523320,#130036); #92118=LINE('',#523328,#130037); #92119=LINE('',#523334,#130038); #92120=LINE('',#523340,#130039); #92121=LINE('',#523346,#130040); #92122=LINE('',#523352,#130041); #92123=LINE('',#523358,#130042); #92124=LINE('',#523364,#130043); #92125=LINE('',#523370,#130044); #92126=LINE('',#523376,#130045); #92127=LINE('',#523382,#130046); #92128=LINE('',#523388,#130047); #92129=LINE('',#523394,#130048); #92130=LINE('',#523400,#130049); #92131=LINE('',#523406,#130050); #92132=LINE('',#523412,#130051); #92133=LINE('',#523418,#130052); #92134=LINE('',#523424,#130053); #92135=LINE('',#523430,#130054); #92136=LINE('',#523436,#130055); #92137=LINE('',#523442,#130056); #92138=LINE('',#523448,#130057); #92139=LINE('',#523454,#130058); #92140=LINE('',#523460,#130059); #92141=LINE('',#523466,#130060); #92142=LINE('',#523472,#130061); #92143=LINE('',#523478,#130062); #92144=LINE('',#523484,#130063); #92145=LINE('',#523490,#130064); #92146=LINE('',#523496,#130065); #92147=LINE('',#523502,#130066); #92148=LINE('',#523508,#130067); #92149=LINE('',#523514,#130068); #92150=LINE('',#523520,#130069); #92151=LINE('',#523526,#130070); #92152=LINE('',#523532,#130071); #92153=LINE('',#523538,#130072); #92154=LINE('',#523544,#130073); #92155=LINE('',#523550,#130074); #92156=LINE('',#523556,#130075); #92157=LINE('',#523561,#130076); #92158=LINE('',#523563,#130077); #92159=LINE('',#523565,#130078); #92160=LINE('',#523566,#130079); #92161=LINE('',#523572,#130080); #92162=LINE('',#523575,#130081); #92163=LINE('',#523577,#130082); #92164=LINE('',#523578,#130083); #92165=LINE('',#523586,#130084); #92166=LINE('',#523591,#130085); #92167=LINE('',#523593,#130086); #92168=LINE('',#523595,#130087); #92169=LINE('',#523596,#130088); #92170=LINE('',#523602,#130089); #92171=LINE('',#523605,#130090); #92172=LINE('',#523607,#130091); #92173=LINE('',#523608,#130092); #92174=LINE('',#523616,#130093); #92175=LINE('',#523622,#130094); #92176=LINE('',#523628,#130095); #92177=LINE('',#523634,#130096); #92178=LINE('',#523640,#130097); #92179=LINE('',#523646,#130098); #92180=LINE('',#523652,#130099); #92181=LINE('',#523658,#130100); #92182=LINE('',#523664,#130101); #92183=LINE('',#523670,#130102); #92184=LINE('',#523676,#130103); #92185=LINE('',#523681,#130104); #92186=LINE('',#523683,#130105); #92187=LINE('',#523685,#130106); #92188=LINE('',#523686,#130107); #92189=LINE('',#523692,#130108); #92190=LINE('',#523695,#130109); #92191=LINE('',#523697,#130110); #92192=LINE('',#523698,#130111); #92193=LINE('',#523706,#130112); #92194=LINE('',#523711,#130113); #92195=LINE('',#523713,#130114); #92196=LINE('',#523715,#130115); #92197=LINE('',#523716,#130116); #92198=LINE('',#523722,#130117); #92199=LINE('',#523725,#130118); #92200=LINE('',#523727,#130119); #92201=LINE('',#523728,#130120); #92202=LINE('',#523736,#130121); #92203=LINE('',#523741,#130122); #92204=LINE('',#523743,#130123); #92205=LINE('',#523745,#130124); #92206=LINE('',#523746,#130125); #92207=LINE('',#523752,#130126); #92208=LINE('',#523755,#130127); #92209=LINE('',#523757,#130128); #92210=LINE('',#523758,#130129); #92211=LINE('',#523766,#130130); #92212=LINE('',#523771,#130131); #92213=LINE('',#523773,#130132); #92214=LINE('',#523775,#130133); #92215=LINE('',#523776,#130134); #92216=LINE('',#523782,#130135); #92217=LINE('',#523785,#130136); #92218=LINE('',#523787,#130137); #92219=LINE('',#523788,#130138); #92220=LINE('',#523796,#130139); #92221=LINE('',#523802,#130140); #92222=LINE('',#523808,#130141); #92223=LINE('',#523814,#130142); #92224=LINE('',#523820,#130143); #92225=LINE('',#523826,#130144); #92226=LINE('',#523832,#130145); #92227=LINE('',#523838,#130146); #92228=LINE('',#523844,#130147); #92229=LINE('',#523850,#130148); #92230=LINE('',#523856,#130149); #92231=LINE('',#523862,#130150); #92232=LINE('',#523868,#130151); #92233=LINE('',#523874,#130152); #92234=LINE('',#523880,#130153); #92235=LINE('',#523886,#130154); #92236=LINE('',#523892,#130155); #92237=LINE('',#523898,#130156); #92238=LINE('',#523904,#130157); #92239=LINE('',#523910,#130158); #92240=LINE('',#523916,#130159); #92241=LINE('',#523922,#130160); #92242=LINE('',#523928,#130161); #92243=LINE('',#523934,#130162); #92244=LINE('',#523940,#130163); #92245=LINE('',#523946,#130164); #92246=LINE('',#523952,#130165); #92247=LINE('',#523958,#130166); #92248=LINE('',#523964,#130167); #92249=LINE('',#523970,#130168); #92250=LINE('',#523976,#130169); #92251=LINE('',#523982,#130170); #92252=LINE('',#523988,#130171); #92253=LINE('',#523994,#130172); #92254=LINE('',#524000,#130173); #92255=LINE('',#524006,#130174); #92256=LINE('',#524012,#130175); #92257=LINE('',#524018,#130176); #92258=LINE('',#524024,#130177); #92259=LINE('',#524030,#130178); #92260=LINE('',#524036,#130179); #92261=LINE('',#524042,#130180); #92262=LINE('',#524048,#130181); #92263=LINE('',#524054,#130182); #92264=LINE('',#524060,#130183); #92265=LINE('',#524066,#130184); #92266=LINE('',#524072,#130185); #92267=LINE('',#524078,#130186); #92268=LINE('',#524084,#130187); #92269=LINE('',#524090,#130188); #92270=LINE('',#524096,#130189); #92271=LINE('',#524102,#130190); #92272=LINE('',#524108,#130191); #92273=LINE('',#524114,#130192); #92274=LINE('',#524120,#130193); #92275=LINE('',#524126,#130194); #92276=LINE('',#524132,#130195); #92277=LINE('',#524138,#130196); #92278=LINE('',#524144,#130197); #92279=LINE('',#524150,#130198); #92280=LINE('',#524156,#130199); #92281=LINE('',#524162,#130200); #92282=LINE('',#524168,#130201); #92283=LINE('',#524174,#130202); #92284=LINE('',#524180,#130203); #92285=LINE('',#524186,#130204); #92286=LINE('',#524192,#130205); #92287=LINE('',#524198,#130206); #92288=LINE('',#524204,#130207); #92289=LINE('',#524210,#130208); #92290=LINE('',#524216,#130209); #92291=LINE('',#524222,#130210); #92292=LINE('',#524228,#130211); #92293=LINE('',#524234,#130212); #92294=LINE('',#524240,#130213); #92295=LINE('',#524246,#130214); #92296=LINE('',#524252,#130215); #92297=LINE('',#524258,#130216); #92298=LINE('',#524264,#130217); #92299=LINE('',#524270,#130218); #92300=LINE('',#524276,#130219); #92301=LINE('',#524282,#130220); #92302=LINE('',#524288,#130221); #92303=LINE('',#524294,#130222); #92304=LINE('',#524300,#130223); #92305=LINE('',#524306,#130224); #92306=LINE('',#524312,#130225); #92307=LINE('',#524318,#130226); #92308=LINE('',#524324,#130227); #92309=LINE('',#524330,#130228); #92310=LINE('',#524336,#130229); #92311=LINE('',#524342,#130230); #92312=LINE('',#524348,#130231); #92313=LINE('',#524354,#130232); #92314=LINE('',#524360,#130233); #92315=LINE('',#524366,#130234); #92316=LINE('',#524372,#130235); #92317=LINE('',#524378,#130236); #92318=LINE('',#524384,#130237); #92319=LINE('',#524390,#130238); #92320=LINE('',#524396,#130239); #92321=LINE('',#524402,#130240); #92322=LINE('',#524408,#130241); #92323=LINE('',#524414,#130242); #92324=LINE('',#524420,#130243); #92325=LINE('',#524426,#130244); #92326=LINE('',#524432,#130245); #92327=LINE('',#524438,#130246); #92328=LINE('',#524444,#130247); #92329=LINE('',#524450,#130248); #92330=LINE('',#524456,#130249); #92331=LINE('',#524462,#130250); #92332=LINE('',#524468,#130251); #92333=LINE('',#524474,#130252); #92334=LINE('',#524480,#130253); #92335=LINE('',#524486,#130254); #92336=LINE('',#524492,#130255); #92337=LINE('',#524498,#130256); #92338=LINE('',#524504,#130257); #92339=LINE('',#524510,#130258); #92340=LINE('',#524516,#130259); #92341=LINE('',#524522,#130260); #92342=LINE('',#524528,#130261); #92343=LINE('',#524534,#130262); #92344=LINE('',#524540,#130263); #92345=LINE('',#524546,#130264); #92346=LINE('',#524552,#130265); #92347=LINE('',#524558,#130266); #92348=LINE('',#524564,#130267); #92349=LINE('',#524570,#130268); #92350=LINE('',#524576,#130269); #92351=LINE('',#524582,#130270); #92352=LINE('',#524588,#130271); #92353=LINE('',#524594,#130272); #92354=LINE('',#524600,#130273); #92355=LINE('',#524606,#130274); #92356=LINE('',#524612,#130275); #92357=LINE('',#524618,#130276); #92358=LINE('',#524624,#130277); #92359=LINE('',#524630,#130278); #92360=LINE('',#524636,#130279); #92361=LINE('',#524642,#130280); #92362=LINE('',#524648,#130281); #92363=LINE('',#524654,#130282); #92364=LINE('',#524660,#130283); #92365=LINE('',#524666,#130284); #92366=LINE('',#524672,#130285); #92367=LINE('',#524678,#130286); #92368=LINE('',#524684,#130287); #92369=LINE('',#524690,#130288); #92370=LINE('',#524696,#130289); #92371=LINE('',#524702,#130290); #92372=LINE('',#524708,#130291); #92373=LINE('',#524714,#130292); #92374=LINE('',#524720,#130293); #92375=LINE('',#524726,#130294); #92376=LINE('',#524732,#130295); #92377=LINE('',#524738,#130296); #92378=LINE('',#524744,#130297); #92379=LINE('',#524750,#130298); #92380=LINE('',#524756,#130299); #92381=LINE('',#524762,#130300); #92382=LINE('',#524768,#130301); #92383=LINE('',#524774,#130302); #92384=LINE('',#524780,#130303); #92385=LINE('',#524786,#130304); #92386=LINE('',#524792,#130305); #92387=LINE('',#524798,#130306); #92388=LINE('',#524804,#130307); #92389=LINE('',#524810,#130308); #92390=LINE('',#524816,#130309); #92391=LINE('',#524822,#130310); #92392=LINE('',#524828,#130311); #92393=LINE('',#524834,#130312); #92394=LINE('',#524840,#130313); #92395=LINE('',#524846,#130314); #92396=LINE('',#524852,#130315); #92397=LINE('',#524858,#130316); #92398=LINE('',#524864,#130317); #92399=LINE('',#524870,#130318); #92400=LINE('',#524876,#130319); #92401=LINE('',#524882,#130320); #92402=LINE('',#524888,#130321); #92403=LINE('',#524894,#130322); #92404=LINE('',#524900,#130323); #92405=LINE('',#524906,#130324); #92406=LINE('',#524912,#130325); #92407=LINE('',#524918,#130326); #92408=LINE('',#524924,#130327); #92409=LINE('',#524930,#130328); #92410=LINE('',#524936,#130329); #92411=LINE('',#524942,#130330); #92412=LINE('',#524948,#130331); #92413=LINE('',#524954,#130332); #92414=LINE('',#524960,#130333); #92415=LINE('',#524966,#130334); #92416=LINE('',#524972,#130335); #92417=LINE('',#524978,#130336); #92418=LINE('',#524984,#130337); #92419=LINE('',#524990,#130338); #92420=LINE('',#524996,#130339); #92421=LINE('',#525002,#130340); #92422=LINE('',#525008,#130341); #92423=LINE('',#525014,#130342); #92424=LINE('',#525020,#130343); #92425=LINE('',#525026,#130344); #92426=LINE('',#525032,#130345); #92427=LINE('',#525038,#130346); #92428=LINE('',#525044,#130347); #92429=LINE('',#525050,#130348); #92430=LINE('',#525056,#130349); #92431=LINE('',#525062,#130350); #92432=LINE('',#525068,#130351); #92433=LINE('',#525074,#130352); #92434=LINE('',#525080,#130353); #92435=LINE('',#525086,#130354); #92436=LINE('',#525092,#130355); #92437=LINE('',#525098,#130356); #92438=LINE('',#525104,#130357); #92439=LINE('',#525110,#130358); #92440=LINE('',#525116,#130359); #92441=LINE('',#525122,#130360); #92442=LINE('',#525128,#130361); #92443=LINE('',#525134,#130362); #92444=LINE('',#525140,#130363); #92445=LINE('',#525146,#130364); #92446=LINE('',#525152,#130365); #92447=LINE('',#525158,#130366); #92448=LINE('',#525164,#130367); #92449=LINE('',#525170,#130368); #92450=LINE('',#525176,#130369); #92451=LINE('',#525182,#130370); #92452=LINE('',#525188,#130371); #92453=LINE('',#525194,#130372); #92454=LINE('',#525200,#130373); #92455=LINE('',#525206,#130374); #92456=LINE('',#525212,#130375); #92457=LINE('',#525218,#130376); #92458=LINE('',#525224,#130377); #92459=LINE('',#525230,#130378); #92460=LINE('',#525236,#130379); #92461=LINE('',#525242,#130380); #92462=LINE('',#525248,#130381); #92463=LINE('',#525254,#130382); #92464=LINE('',#525260,#130383); #92465=LINE('',#525266,#130384); #92466=LINE('',#525272,#130385); #92467=LINE('',#525278,#130386); #92468=LINE('',#525284,#130387); #92469=LINE('',#525290,#130388); #92470=LINE('',#525296,#130389); #92471=LINE('',#525302,#130390); #92472=LINE('',#525308,#130391); #92473=LINE('',#525314,#130392); #92474=LINE('',#525320,#130393); #92475=LINE('',#525326,#130394); #92476=LINE('',#525332,#130395); #92477=LINE('',#525338,#130396); #92478=LINE('',#525344,#130397); #92479=LINE('',#525350,#130398); #92480=LINE('',#525356,#130399); #92481=LINE('',#525362,#130400); #92482=LINE('',#525368,#130401); #92483=LINE('',#525374,#130402); #92484=LINE('',#525380,#130403); #92485=LINE('',#525386,#130404); #92486=LINE('',#525392,#130405); #92487=LINE('',#525398,#130406); #92488=LINE('',#525404,#130407); #92489=LINE('',#525410,#130408); #92490=LINE('',#525416,#130409); #92491=LINE('',#525422,#130410); #92492=LINE('',#525428,#130411); #92493=LINE('',#525437,#130412); #92494=LINE('',#525443,#130413); #92495=LINE('',#525449,#130414); #92496=LINE('',#525455,#130415); #92497=LINE('',#525461,#130416); #92498=LINE('',#525467,#130417); #92499=LINE('',#525473,#130418); #92500=LINE('',#525479,#130419); #92501=LINE('',#525485,#130420); #92502=LINE('',#525491,#130421); #92503=LINE('',#525497,#130422); #92504=LINE('',#525503,#130423); #92505=LINE('',#525509,#130424); #92506=LINE('',#525515,#130425); #92507=LINE('',#525521,#130426); #92508=LINE('',#525527,#130427); #92509=LINE('',#525533,#130428); #92510=LINE('',#525539,#130429); #92511=LINE('',#525545,#130430); #92512=LINE('',#525551,#130431); #92513=LINE('',#525557,#130432); #92514=LINE('',#525563,#130433); #92515=LINE('',#525569,#130434); #92516=LINE('',#525575,#130435); #92517=LINE('',#525581,#130436); #92518=LINE('',#525587,#130437); #92519=LINE('',#525593,#130438); #92520=LINE('',#525599,#130439); #92521=LINE('',#525605,#130440); #92522=LINE('',#525611,#130441); #92523=LINE('',#525617,#130442); #92524=LINE('',#525623,#130443); #92525=LINE('',#525629,#130444); #92526=LINE('',#525635,#130445); #92527=LINE('',#525641,#130446); #92528=LINE('',#525647,#130447); #92529=LINE('',#525653,#130448); #92530=LINE('',#525659,#130449); #92531=LINE('',#525665,#130450); #92532=LINE('',#525670,#130451); #92533=LINE('',#525672,#130452); #92534=LINE('',#525674,#130453); #92535=LINE('',#525675,#130454); #92536=LINE('',#525681,#130455); #92537=LINE('',#525684,#130456); #92538=LINE('',#525686,#130457); #92539=LINE('',#525687,#130458); #92540=LINE('',#525695,#130459); #92541=LINE('',#525700,#130460); #92542=LINE('',#525702,#130461); #92543=LINE('',#525704,#130462); #92544=LINE('',#525705,#130463); #92545=LINE('',#525711,#130464); #92546=LINE('',#525714,#130465); #92547=LINE('',#525716,#130466); #92548=LINE('',#525717,#130467); #92549=LINE('',#525725,#130468); #92550=LINE('',#525731,#130469); #92551=LINE('',#525737,#130470); #92552=LINE('',#525743,#130471); #92553=LINE('',#525749,#130472); #92554=LINE('',#525755,#130473); #92555=LINE('',#525761,#130474); #92556=LINE('',#525767,#130475); #92557=LINE('',#525773,#130476); #92558=LINE('',#525779,#130477); #92559=LINE('',#525785,#130478); #92560=LINE('',#525790,#130479); #92561=LINE('',#525792,#130480); #92562=LINE('',#525794,#130481); #92563=LINE('',#525795,#130482); #92564=LINE('',#525801,#130483); #92565=LINE('',#525804,#130484); #92566=LINE('',#525806,#130485); #92567=LINE('',#525807,#130486); #92568=LINE('',#525815,#130487); #92569=LINE('',#525820,#130488); #92570=LINE('',#525822,#130489); #92571=LINE('',#525824,#130490); #92572=LINE('',#525825,#130491); #92573=LINE('',#525831,#130492); #92574=LINE('',#525834,#130493); #92575=LINE('',#525836,#130494); #92576=LINE('',#525837,#130495); #92577=LINE('',#525845,#130496); #92578=LINE('',#525850,#130497); #92579=LINE('',#525852,#130498); #92580=LINE('',#525854,#130499); #92581=LINE('',#525855,#130500); #92582=LINE('',#525861,#130501); #92583=LINE('',#525864,#130502); #92584=LINE('',#525866,#130503); #92585=LINE('',#525867,#130504); #92586=LINE('',#525875,#130505); #92587=LINE('',#525880,#130506); #92588=LINE('',#525882,#130507); #92589=LINE('',#525884,#130508); #92590=LINE('',#525885,#130509); #92591=LINE('',#525891,#130510); #92592=LINE('',#525894,#130511); #92593=LINE('',#525896,#130512); #92594=LINE('',#525897,#130513); #92595=LINE('',#525905,#130514); #92596=LINE('',#525911,#130515); #92597=LINE('',#525917,#130516); #92598=LINE('',#525923,#130517); #92599=LINE('',#525929,#130518); #92600=LINE('',#525935,#130519); #92601=LINE('',#525941,#130520); #92602=LINE('',#525947,#130521); #92603=LINE('',#525953,#130522); #92604=LINE('',#525959,#130523); #92605=LINE('',#525965,#130524); #92606=LINE('',#525971,#130525); #92607=LINE('',#525977,#130526); #92608=LINE('',#525983,#130527); #92609=LINE('',#525989,#130528); #92610=LINE('',#525995,#130529); #92611=LINE('',#526001,#130530); #92612=LINE('',#526007,#130531); #92613=LINE('',#526013,#130532); #92614=LINE('',#526019,#130533); #92615=LINE('',#526025,#130534); #92616=LINE('',#526031,#130535); #92617=LINE('',#526037,#130536); #92618=LINE('',#526043,#130537); #92619=LINE('',#526049,#130538); #92620=LINE('',#526055,#130539); #92621=LINE('',#526061,#130540); #92622=LINE('',#526067,#130541); #92623=LINE('',#526073,#130542); #92624=LINE('',#526079,#130543); #92625=LINE('',#526085,#130544); #92626=LINE('',#526091,#130545); #92627=LINE('',#526097,#130546); #92628=LINE('',#526103,#130547); #92629=LINE('',#526109,#130548); #92630=LINE('',#526115,#130549); #92631=LINE('',#526121,#130550); #92632=LINE('',#526127,#130551); #92633=LINE('',#526133,#130552); #92634=LINE('',#526139,#130553); #92635=LINE('',#526145,#130554); #92636=LINE('',#526151,#130555); #92637=LINE('',#526157,#130556); #92638=LINE('',#526163,#130557); #92639=LINE('',#526169,#130558); #92640=LINE('',#526175,#130559); #92641=LINE('',#526181,#130560); #92642=LINE('',#526187,#130561); #92643=LINE('',#526193,#130562); #92644=LINE('',#526199,#130563); #92645=LINE('',#526205,#130564); #92646=LINE('',#526211,#130565); #92647=LINE('',#526217,#130566); #92648=LINE('',#526223,#130567); #92649=LINE('',#526229,#130568); #92650=LINE('',#526235,#130569); #92651=LINE('',#526241,#130570); #92652=LINE('',#526247,#130571); #92653=LINE('',#526253,#130572); #92654=LINE('',#526259,#130573); #92655=LINE('',#526265,#130574); #92656=LINE('',#526271,#130575); #92657=LINE('',#526277,#130576); #92658=LINE('',#526283,#130577); #92659=LINE('',#526289,#130578); #92660=LINE('',#526295,#130579); #92661=LINE('',#526301,#130580); #92662=LINE('',#526307,#130581); #92663=LINE('',#526313,#130582); #92664=LINE('',#526319,#130583); #92665=LINE('',#526325,#130584); #92666=LINE('',#526331,#130585); #92667=LINE('',#526337,#130586); #92668=LINE('',#526343,#130587); #92669=LINE('',#526349,#130588); #92670=LINE('',#526355,#130589); #92671=LINE('',#526361,#130590); #92672=LINE('',#526367,#130591); #92673=LINE('',#526373,#130592); #92674=LINE('',#526379,#130593); #92675=LINE('',#526385,#130594); #92676=LINE('',#526391,#130595); #92677=LINE('',#526397,#130596); #92678=LINE('',#526403,#130597); #92679=LINE('',#526409,#130598); #92680=LINE('',#526415,#130599); #92681=LINE('',#526421,#130600); #92682=LINE('',#526427,#130601); #92683=LINE('',#526433,#130602); #92684=LINE('',#526439,#130603); #92685=LINE('',#526445,#130604); #92686=LINE('',#526451,#130605); #92687=LINE('',#526457,#130606); #92688=LINE('',#526463,#130607); #92689=LINE('',#526469,#130608); #92690=LINE('',#526475,#130609); #92691=LINE('',#526481,#130610); #92692=LINE('',#526487,#130611); #92693=LINE('',#526493,#130612); #92694=LINE('',#526499,#130613); #92695=LINE('',#526505,#130614); #92696=LINE('',#526511,#130615); #92697=LINE('',#526517,#130616); #92698=LINE('',#526523,#130617); #92699=LINE('',#526529,#130618); #92700=LINE('',#526535,#130619); #92701=LINE('',#526541,#130620); #92702=LINE('',#526547,#130621); #92703=LINE('',#526553,#130622); #92704=LINE('',#526559,#130623); #92705=LINE('',#526565,#130624); #92706=LINE('',#526571,#130625); #92707=LINE('',#526577,#130626); #92708=LINE('',#526583,#130627); #92709=LINE('',#526589,#130628); #92710=LINE('',#526595,#130629); #92711=LINE('',#526601,#130630); #92712=LINE('',#526607,#130631); #92713=LINE('',#526613,#130632); #92714=LINE('',#526619,#130633); #92715=LINE('',#526625,#130634); #92716=LINE('',#526631,#130635); #92717=LINE('',#526637,#130636); #92718=LINE('',#526643,#130637); #92719=LINE('',#526649,#130638); #92720=LINE('',#526655,#130639); #92721=LINE('',#526661,#130640); #92722=LINE('',#526667,#130641); #92723=LINE('',#526673,#130642); #92724=LINE('',#526679,#130643); #92725=LINE('',#526685,#130644); #92726=LINE('',#526691,#130645); #92727=LINE('',#526697,#130646); #92728=LINE('',#526703,#130647); #92729=LINE('',#526709,#130648); #92730=LINE('',#526715,#130649); #92731=LINE('',#526721,#130650); #92732=LINE('',#526727,#130651); #92733=LINE('',#526733,#130652); #92734=LINE('',#526739,#130653); #92735=LINE('',#526745,#130654); #92736=LINE('',#526751,#130655); #92737=LINE('',#526757,#130656); #92738=LINE('',#526763,#130657); #92739=LINE('',#526769,#130658); #92740=LINE('',#526775,#130659); #92741=LINE('',#526781,#130660); #92742=LINE('',#526787,#130661); #92743=LINE('',#526793,#130662); #92744=LINE('',#526799,#130663); #92745=LINE('',#526805,#130664); #92746=LINE('',#526811,#130665); #92747=LINE('',#526817,#130666); #92748=LINE('',#526823,#130667); #92749=LINE('',#526829,#130668); #92750=LINE('',#526835,#130669); #92751=LINE('',#526841,#130670); #92752=LINE('',#526847,#130671); #92753=LINE('',#526853,#130672); #92754=LINE('',#526859,#130673); #92755=LINE('',#526865,#130674); #92756=LINE('',#526871,#130675); #92757=LINE('',#526877,#130676); #92758=LINE('',#526883,#130677); #92759=LINE('',#526889,#130678); #92760=LINE('',#526895,#130679); #92761=LINE('',#526901,#130680); #92762=LINE('',#526907,#130681); #92763=LINE('',#526913,#130682); #92764=LINE('',#526919,#130683); #92765=LINE('',#526925,#130684); #92766=LINE('',#526931,#130685); #92767=LINE('',#526937,#130686); #92768=LINE('',#526943,#130687); #92769=LINE('',#526949,#130688); #92770=LINE('',#526955,#130689); #92771=LINE('',#526961,#130690); #92772=LINE('',#526967,#130691); #92773=LINE('',#526973,#130692); #92774=LINE('',#526979,#130693); #92775=LINE('',#526985,#130694); #92776=LINE('',#526991,#130695); #92777=LINE('',#526997,#130696); #92778=LINE('',#527003,#130697); #92779=LINE('',#527009,#130698); #92780=LINE('',#527015,#130699); #92781=LINE('',#527021,#130700); #92782=LINE('',#527027,#130701); #92783=LINE('',#527033,#130702); #92784=LINE('',#527039,#130703); #92785=LINE('',#527045,#130704); #92786=LINE('',#527051,#130705); #92787=LINE('',#527057,#130706); #92788=LINE('',#527063,#130707); #92789=LINE('',#527069,#130708); #92790=LINE('',#527075,#130709); #92791=LINE('',#527081,#130710); #92792=LINE('',#527087,#130711); #92793=LINE('',#527093,#130712); #92794=LINE('',#527099,#130713); #92795=LINE('',#527105,#130714); #92796=LINE('',#527111,#130715); #92797=LINE('',#527117,#130716); #92798=LINE('',#527123,#130717); #92799=LINE('',#527129,#130718); #92800=LINE('',#527135,#130719); #92801=LINE('',#527141,#130720); #92802=LINE('',#527147,#130721); #92803=LINE('',#527153,#130722); #92804=LINE('',#527159,#130723); #92805=LINE('',#527165,#130724); #92806=LINE('',#527171,#130725); #92807=LINE('',#527177,#130726); #92808=LINE('',#527183,#130727); #92809=LINE('',#527189,#130728); #92810=LINE('',#527195,#130729); #92811=LINE('',#527201,#130730); #92812=LINE('',#527207,#130731); #92813=LINE('',#527213,#130732); #92814=LINE('',#527219,#130733); #92815=LINE('',#527225,#130734); #92816=LINE('',#527231,#130735); #92817=LINE('',#527237,#130736); #92818=LINE('',#527243,#130737); #92819=LINE('',#527249,#130738); #92820=LINE('',#527255,#130739); #92821=LINE('',#527261,#130740); #92822=LINE('',#527267,#130741); #92823=LINE('',#527273,#130742); #92824=LINE('',#527279,#130743); #92825=LINE('',#527285,#130744); #92826=LINE('',#527291,#130745); #92827=LINE('',#527297,#130746); #92828=LINE('',#527303,#130747); #92829=LINE('',#527309,#130748); #92830=LINE('',#527315,#130749); #92831=LINE('',#527321,#130750); #92832=LINE('',#527327,#130751); #92833=LINE('',#527333,#130752); #92834=LINE('',#527339,#130753); #92835=LINE('',#527345,#130754); #92836=LINE('',#527351,#130755); #92837=LINE('',#527357,#130756); #92838=LINE('',#527363,#130757); #92839=LINE('',#527369,#130758); #92840=LINE('',#527375,#130759); #92841=LINE('',#527381,#130760); #92842=LINE('',#527387,#130761); #92843=LINE('',#527393,#130762); #92844=LINE('',#527399,#130763); #92845=LINE('',#527405,#130764); #92846=LINE('',#527411,#130765); #92847=LINE('',#527417,#130766); #92848=LINE('',#527423,#130767); #92849=LINE('',#527429,#130768); #92850=LINE('',#527435,#130769); #92851=LINE('',#527441,#130770); #92852=LINE('',#527447,#130771); #92853=LINE('',#527453,#130772); #92854=LINE('',#527459,#130773); #92855=LINE('',#527465,#130774); #92856=LINE('',#527471,#130775); #92857=LINE('',#527477,#130776); #92858=LINE('',#527483,#130777); #92859=LINE('',#527489,#130778); #92860=LINE('',#527495,#130779); #92861=LINE('',#527501,#130780); #92862=LINE('',#527507,#130781); #92863=LINE('',#527513,#130782); #92864=LINE('',#527519,#130783); #92865=LINE('',#527525,#130784); #92866=LINE('',#527531,#130785); #92867=LINE('',#527537,#130786); #92868=LINE('',#527547,#130787); #92869=LINE('',#527549,#130788); #92870=LINE('',#527551,#130789); #92871=LINE('',#527552,#130790); #92872=LINE('',#527555,#130791); #92873=LINE('',#527557,#130792); #92874=LINE('',#527558,#130793); #92875=LINE('',#527561,#130794); #92876=LINE('',#527563,#130795); #92877=LINE('',#527565,#130796); #92878=LINE('',#527567,#130797); #92879=LINE('',#527569,#130798); #92880=LINE('',#527571,#130799); #92881=LINE('',#527573,#130800); #92882=LINE('',#527575,#130801); #92883=LINE('',#527577,#130802); #92884=LINE('',#527579,#130803); #92885=LINE('',#527581,#130804); #92886=LINE('',#527583,#130805); #92887=LINE('',#527585,#130806); #92888=LINE('',#527587,#130807); #92889=LINE('',#527589,#130808); #92890=LINE('',#527591,#130809); #92891=LINE('',#527593,#130810); #92892=LINE('',#527595,#130811); #92893=LINE('',#527597,#130812); #92894=LINE('',#527599,#130813); #92895=LINE('',#527601,#130814); #92896=LINE('',#527603,#130815); #92897=LINE('',#527605,#130816); #92898=LINE('',#527606,#130817); #92899=LINE('',#527609,#130818); #92900=LINE('',#527610,#130819); #92901=LINE('',#527613,#130820); #92902=LINE('',#527615,#130821); #92903=LINE('',#527616,#130822); #92904=LINE('',#527619,#130823); #92905=LINE('',#527621,#130824); #92906=LINE('',#527623,#130825); #92907=LINE('',#527625,#130826); #92908=LINE('',#527626,#130827); #92909=LINE('',#527629,#130828); #92910=LINE('',#527630,#130829); #92911=LINE('',#527632,#130830); #92912=LINE('',#527634,#130831); #92913=LINE('',#527635,#130832); #92914=LINE('',#527638,#130833); #92915=LINE('',#527640,#130834); #92916=LINE('',#527642,#130835); #92917=LINE('',#527643,#130836); #92918=LINE('',#527646,#130837); #92919=LINE('',#527648,#130838); #92920=LINE('',#527650,#130839); #92921=LINE('',#527651,#130840); #92922=LINE('',#527654,#130841); #92923=LINE('',#527656,#130842); #92924=LINE('',#527658,#130843); #92925=LINE('',#527659,#130844); #92926=LINE('',#527662,#130845); #92927=LINE('',#527664,#130846); #92928=LINE('',#527666,#130847); #92929=LINE('',#527667,#130848); #92930=LINE('',#527671,#130849); #92931=LINE('',#527672,#130850); #92932=LINE('',#527673,#130851); #92933=LINE('',#527676,#130852); #92934=LINE('',#527678,#130853); #92935=LINE('',#527680,#130854); #92936=LINE('',#527681,#130855); #92937=LINE('',#527685,#130856); #92938=LINE('',#527686,#130857); #92939=LINE('',#527687,#130858); #92940=LINE('',#527690,#130859); #92941=LINE('',#527692,#130860); #92942=LINE('',#527694,#130861); #92943=LINE('',#527695,#130862); #92944=LINE('',#527699,#130863); #92945=LINE('',#527700,#130864); #92946=LINE('',#527701,#130865); #92947=LINE('',#527704,#130866); #92948=LINE('',#527706,#130867); #92949=LINE('',#527708,#130868); #92950=LINE('',#527709,#130869); #92951=LINE('',#527713,#130870); #92952=LINE('',#527714,#130871); #92953=LINE('',#527715,#130872); #92954=LINE('',#527719,#130873); #92955=LINE('',#527720,#130874); #92956=LINE('',#527721,#130875); #92957=LINE('',#527725,#130876); #92958=LINE('',#527726,#130877); #92959=LINE('',#527727,#130878); #92960=LINE('',#527730,#130879); #92961=LINE('',#527732,#130880); #92962=LINE('',#527734,#130881); #92963=LINE('',#527735,#130882); #92964=LINE('',#527738,#130883); #92965=LINE('',#527740,#130884); #92966=LINE('',#527741,#130885); #92967=LINE('',#527744,#130886); #92968=LINE('',#527746,#130887); #92969=LINE('',#527747,#130888); #92970=LINE('',#527748,#130889); #92971=LINE('',#527749,#130890); #92972=LINE('',#527750,#130891); #92973=LINE('',#527751,#130892); #92974=LINE('',#527753,#130893); #92975=LINE('',#527755,#130894); #92976=LINE('',#527756,#130895); #92977=LINE('',#527757,#130896); #92978=LINE('',#527758,#130897); #92979=LINE('',#527760,#130898); #92980=LINE('',#527761,#130899); #92981=LINE('',#527766,#130900); #92982=LINE('',#527768,#130901); #92983=LINE('',#527770,#130902); #92984=LINE('',#527771,#130903); #92985=LINE('',#527773,#130904); #92986=LINE('',#527775,#130905); #92987=LINE('',#527777,#130906); #92988=LINE('',#527784,#130907); #92989=LINE('',#527786,#130908); #92990=LINE('',#527788,#130909); #92991=LINE('',#527789,#130910); #92992=LINE('',#527792,#130911); #92993=LINE('',#527794,#130912); #92994=LINE('',#527795,#130913); #92995=LINE('',#527798,#130914); #92996=LINE('',#527800,#130915); #92997=LINE('',#527801,#130916); #92998=LINE('',#527803,#130917); #92999=LINE('',#527805,#130918); #93000=LINE('',#527808,#130919); #93001=LINE('',#527810,#130920); #93002=LINE('',#527811,#130921); #93003=LINE('',#527814,#130922); #93004=LINE('',#527816,#130923); #93005=LINE('',#527817,#130924); #93006=LINE('',#527819,#130925); #93007=LINE('',#527821,#130926); #93008=LINE('',#527825,#130927); #93009=LINE('',#527827,#130928); #93010=LINE('',#527829,#130929); #93011=LINE('',#527830,#130930); #93012=LINE('',#527832,#130931); #93013=LINE('',#527833,#130932); #93014=LINE('',#527835,#130933); #93015=LINE('',#527837,#130934); #93016=LINE('',#527840,#130935); #93017=LINE('',#527841,#130936); #93018=LINE('',#527843,#130937); #93019=LINE('',#527845,#130938); #93020=LINE('',#527851,#130939); #93021=LINE('',#527853,#130940); #93022=LINE('',#527858,#130941); #93023=LINE('',#527860,#130942); #93024=LINE('',#527862,#130943); #93025=LINE('',#527863,#130944); #93026=LINE('',#527866,#130945); #93027=LINE('',#527868,#130946); #93028=LINE('',#527869,#130947); #93029=LINE('',#527872,#130948); #93030=LINE('',#527874,#130949); #93031=LINE('',#527875,#130950); #93032=LINE('',#527877,#130951); #93033=LINE('',#527879,#130952); #93034=LINE('',#527882,#130953); #93035=LINE('',#527884,#130954); #93036=LINE('',#527885,#130955); #93037=LINE('',#527888,#130956); #93038=LINE('',#527890,#130957); #93039=LINE('',#527891,#130958); #93040=LINE('',#527893,#130959); #93041=LINE('',#527895,#130960); #93042=LINE('',#527899,#130961); #93043=LINE('',#527901,#130962); #93044=LINE('',#527903,#130963); #93045=LINE('',#527904,#130964); #93046=LINE('',#527908,#130965); #93047=LINE('',#527910,#130966); #93048=LINE('',#527912,#130967); #93049=LINE('',#527913,#130968); #93050=LINE('',#527916,#130969); #93051=LINE('',#527918,#130970); #93052=LINE('',#527919,#130971); #93053=LINE('',#527922,#130972); #93054=LINE('',#527924,#130973); #93055=LINE('',#527925,#130974); #93056=LINE('',#527927,#130975); #93057=LINE('',#527929,#130976); #93058=LINE('',#527932,#130977); #93059=LINE('',#527934,#130978); #93060=LINE('',#527935,#130979); #93061=LINE('',#527938,#130980); #93062=LINE('',#527940,#130981); #93063=LINE('',#527941,#130982); #93064=LINE('',#527943,#130983); #93065=LINE('',#527945,#130984); #93066=LINE('',#527949,#130985); #93067=LINE('',#527951,#130986); #93068=LINE('',#527953,#130987); #93069=LINE('',#527954,#130988); #93070=LINE('',#527958,#130989); #93071=LINE('',#527960,#130990); #93072=LINE('',#527962,#130991); #93073=LINE('',#527963,#130992); #93074=LINE('',#527966,#130993); #93075=LINE('',#527968,#130994); #93076=LINE('',#527969,#130995); #93077=LINE('',#527972,#130996); #93078=LINE('',#527974,#130997); #93079=LINE('',#527975,#130998); #93080=LINE('',#527977,#130999); #93081=LINE('',#527979,#131000); #93082=LINE('',#527982,#131001); #93083=LINE('',#527984,#131002); #93084=LINE('',#527985,#131003); #93085=LINE('',#527988,#131004); #93086=LINE('',#527990,#131005); #93087=LINE('',#527991,#131006); #93088=LINE('',#527993,#131007); #93089=LINE('',#527995,#131008); #93090=LINE('',#527999,#131009); #93091=LINE('',#528001,#131010); #93092=LINE('',#528003,#131011); #93093=LINE('',#528004,#131012); #93094=LINE('',#528006,#131013); #93095=LINE('',#528007,#131014); #93096=LINE('',#528009,#131015); #93097=LINE('',#528011,#131016); #93098=LINE('',#528014,#131017); #93099=LINE('',#528015,#131018); #93100=LINE('',#528017,#131019); #93101=LINE('',#528019,#131020); #93102=LINE('',#528022,#131021); #93103=LINE('',#528023,#131022); #93104=LINE('',#528025,#131023); #93105=LINE('',#528027,#131024); #93106=LINE('',#528030,#131025); #93107=LINE('',#528031,#131026); #93108=LINE('',#528033,#131027); #93109=LINE('',#528035,#131028); #93110=LINE('',#528038,#131029); #93111=LINE('',#528039,#131030); #93112=LINE('',#528041,#131031); #93113=LINE('',#528043,#131032); #93114=LINE('',#528046,#131033); #93115=LINE('',#528047,#131034); #93116=LINE('',#528049,#131035); #93117=LINE('',#528051,#131036); #93118=LINE('',#528093,#131037); #93119=LINE('',#528094,#131038); #93120=LINE('',#528110,#131039); #93121=LINE('',#528111,#131040); #93122=LINE('',#528113,#131041); #93123=LINE('',#528114,#131042); #93124=LINE('',#528123,#131043); #93125=LINE('',#528124,#131044); #93126=LINE('',#528126,#131045); #93127=LINE('',#528127,#131046); #93128=LINE('',#528129,#131047); #93129=LINE('',#528130,#131048); #93130=LINE('',#528132,#131049); #93131=LINE('',#528133,#131050); #93132=LINE('',#528135,#131051); #93133=LINE('',#528136,#131052); #93134=LINE('',#528138,#131053); #93135=LINE('',#528139,#131054); #93136=LINE('',#528141,#131055); #93137=LINE('',#528142,#131056); #93138=LINE('',#528144,#131057); #93139=LINE('',#528145,#131058); #93140=LINE('',#528147,#131059); #93141=LINE('',#528148,#131060); #93142=LINE('',#528191,#131061); #93143=LINE('',#528192,#131062); #93144=LINE('',#528208,#131063); #93145=LINE('',#528209,#131064); #93146=LINE('',#528211,#131065); #93147=LINE('',#528212,#131066); #93148=LINE('',#528221,#131067); #93149=LINE('',#528222,#131068); #93150=LINE('',#528224,#131069); #93151=LINE('',#528225,#131070); #93152=LINE('',#528227,#131071); #93153=LINE('',#528228,#131072); #93154=LINE('',#528230,#131073); #93155=LINE('',#528231,#131074); #93156=LINE('',#528233,#131075); #93157=LINE('',#528234,#131076); #93158=LINE('',#528236,#131077); #93159=LINE('',#528237,#131078); #93160=LINE('',#528239,#131079); #93161=LINE('',#528240,#131080); #93162=LINE('',#528242,#131081); #93163=LINE('',#528243,#131082); #93164=LINE('',#528245,#131083); #93165=LINE('',#528246,#131084); #93166=LINE('',#528256,#131085); #93167=LINE('',#528258,#131086); #93168=LINE('',#528260,#131087); #93169=LINE('',#528261,#131088); #93170=LINE('',#528264,#131089); #93171=LINE('',#528266,#131090); #93172=LINE('',#528267,#131091); #93173=LINE('',#528270,#131092); #93174=LINE('',#528272,#131093); #93175=LINE('',#528273,#131094); #93176=LINE('',#528275,#131095); #93177=LINE('',#528276,#131096); #93178=LINE('',#528282,#131097); #93179=LINE('',#528284,#131098); #93180=LINE('',#528286,#131099); #93181=LINE('',#528287,#131100); #93182=LINE('',#528291,#131101); #93183=LINE('',#528293,#131102); #93184=LINE('',#528295,#131103); #93185=LINE('',#528296,#131104); #93186=LINE('',#528298,#131105); #93187=LINE('',#528299,#131106); #93188=LINE('',#528301,#131107); #93189=LINE('',#528303,#131108); #93190=LINE('',#528308,#131109); #93191=LINE('',#528310,#131110); #93192=LINE('',#528312,#131111); #93193=LINE('',#528313,#131112); #93194=LINE('',#528316,#131113); #93195=LINE('',#528318,#131114); #93196=LINE('',#528319,#131115); #93197=LINE('',#528322,#131116); #93198=LINE('',#528324,#131117); #93199=LINE('',#528325,#131118); #93200=LINE('',#528327,#131119); #93201=LINE('',#528328,#131120); #93202=LINE('',#528339,#131121); #93203=LINE('',#528341,#131122); #93204=LINE('',#528343,#131123); #93205=LINE('',#528345,#131124); #93206=LINE('',#528347,#131125); #93207=LINE('',#528349,#131126); #93208=LINE('',#528351,#131127); #93209=LINE('',#528353,#131128); #93210=LINE('',#528355,#131129); #93211=LINE('',#528357,#131130); #93212=LINE('',#528359,#131131); #93213=LINE('',#528361,#131132); #93214=LINE('',#528363,#131133); #93215=LINE('',#528365,#131134); #93216=LINE('',#528367,#131135); #93217=LINE('',#528368,#131136); #93218=LINE('',#528372,#131137); #93219=LINE('',#528374,#131138); #93220=LINE('',#528376,#131139); #93221=LINE('',#528377,#131140); #93222=LINE('',#528383,#131141); #93223=LINE('',#528387,#131142); #93224=LINE('',#528391,#131143); #93225=LINE('',#528394,#131144); #93226=LINE('',#528400,#131145); #93227=LINE('',#528404,#131146); #93228=LINE('',#528408,#131147); #93229=LINE('',#528411,#131148); #93230=LINE('',#528413,#131149); #93231=LINE('',#528414,#131150); #93232=LINE('',#528416,#131151); #93233=LINE('',#528417,#131152); #93234=LINE('',#528421,#131153); #93235=LINE('',#528423,#131154); #93236=LINE('',#528425,#131155); #93237=LINE('',#528427,#131156); #93238=LINE('',#528429,#131157); #93239=LINE('',#528431,#131158); #93240=LINE('',#528433,#131159); #93241=LINE('',#528435,#131160); #93242=LINE('',#528437,#131161); #93243=LINE('',#528439,#131162); #93244=LINE('',#528441,#131163); #93245=LINE('',#528443,#131164); #93246=LINE('',#528445,#131165); #93247=LINE('',#528447,#131166); #93248=LINE('',#528449,#131167); #93249=LINE('',#528450,#131168); #93250=LINE('',#528453,#131169); #93251=LINE('',#528455,#131170); #93252=LINE('',#528456,#131171); #93253=LINE('',#528460,#131172); #93254=LINE('',#528462,#131173); #93255=LINE('',#528464,#131174); #93256=LINE('',#528466,#131175); #93257=LINE('',#528468,#131176); #93258=LINE('',#528470,#131177); #93259=LINE('',#528472,#131178); #93260=LINE('',#528474,#131179); #93261=LINE('',#528476,#131180); #93262=LINE('',#528478,#131181); #93263=LINE('',#528480,#131182); #93264=LINE('',#528482,#131183); #93265=LINE('',#528484,#131184); #93266=LINE('',#528486,#131185); #93267=LINE('',#528488,#131186); #93268=LINE('',#528490,#131187); #93269=LINE('',#528492,#131188); #93270=LINE('',#528494,#131189); #93271=LINE('',#528495,#131190); #93272=LINE('',#528498,#131191); #93273=LINE('',#528502,#131192); #93274=LINE('',#528504,#131193); #93275=LINE('',#528505,#131194); #93276=LINE('',#528510,#131195); #93277=LINE('',#528512,#131196); #93278=LINE('',#528514,#131197); #93279=LINE('',#528515,#131198); #93280=LINE('',#528525,#131199); #93281=LINE('',#528528,#131200); #93282=LINE('',#528538,#131201); #93283=LINE('',#528540,#131202); #93284=LINE('',#528542,#131203); #93285=LINE('',#528543,#131204); #93286=LINE('',#528547,#131205); #93287=LINE('',#528549,#131206); #93288=LINE('',#528551,#131207); #93289=LINE('',#528552,#131208); #93290=LINE('',#528556,#131209); #93291=LINE('',#528558,#131210); #93292=LINE('',#528560,#131211); #93293=LINE('',#528561,#131212); #93294=LINE('',#528565,#131213); #93295=LINE('',#528567,#131214); #93296=LINE('',#528569,#131215); #93297=LINE('',#528570,#131216); #93298=LINE('',#528574,#131217); #93299=LINE('',#528576,#131218); #93300=LINE('',#528578,#131219); #93301=LINE('',#528579,#131220); #93302=LINE('',#528583,#131221); #93303=LINE('',#528585,#131222); #93304=LINE('',#528587,#131223); #93305=LINE('',#528588,#131224); #93306=LINE('',#528592,#131225); #93307=LINE('',#528594,#131226); #93308=LINE('',#528596,#131227); #93309=LINE('',#528597,#131228); #93310=LINE('',#528601,#131229); #93311=LINE('',#528603,#131230); #93312=LINE('',#528605,#131231); #93313=LINE('',#528606,#131232); #93314=LINE('',#528610,#131233); #93315=LINE('',#528612,#131234); #93316=LINE('',#528614,#131235); #93317=LINE('',#528615,#131236); #93318=LINE('',#528619,#131237); #93319=LINE('',#528621,#131238); #93320=LINE('',#528623,#131239); #93321=LINE('',#528624,#131240); #93322=LINE('',#528628,#131241); #93323=LINE('',#528630,#131242); #93324=LINE('',#528632,#131243); #93325=LINE('',#528633,#131244); #93326=LINE('',#528637,#131245); #93327=LINE('',#528639,#131246); #93328=LINE('',#528641,#131247); #93329=LINE('',#528642,#131248); #93330=LINE('',#528645,#131249); #93331=LINE('',#528647,#131250); #93332=LINE('',#528648,#131251); #93333=LINE('',#528651,#131252); #93334=LINE('',#528653,#131253); #93335=LINE('',#528654,#131254); #93336=LINE('',#528658,#131255); #93337=LINE('',#528660,#131256); #93338=LINE('',#528662,#131257); #93339=LINE('',#528663,#131258); #93340=LINE('',#528665,#131259); #93341=LINE('',#528666,#131260); #93342=LINE('',#528669,#131261); #93343=LINE('',#528671,#131262); #93344=LINE('',#528672,#131263); #93345=LINE('',#528675,#131264); #93346=LINE('',#528677,#131265); #93347=LINE('',#528678,#131266); #93348=LINE('',#528682,#131267); #93349=LINE('',#528684,#131268); #93350=LINE('',#528686,#131269); #93351=LINE('',#528687,#131270); #93352=LINE('',#528689,#131271); #93353=LINE('',#528690,#131272); #93354=LINE('',#528693,#131273); #93355=LINE('',#528695,#131274); #93356=LINE('',#528696,#131275); #93357=LINE('',#528699,#131276); #93358=LINE('',#528701,#131277); #93359=LINE('',#528702,#131278); #93360=LINE('',#528706,#131279); #93361=LINE('',#528708,#131280); #93362=LINE('',#528710,#131281); #93363=LINE('',#528711,#131282); #93364=LINE('',#528713,#131283); #93365=LINE('',#528714,#131284); #93366=LINE('',#528717,#131285); #93367=LINE('',#528719,#131286); #93368=LINE('',#528720,#131287); #93369=LINE('',#528723,#131288); #93370=LINE('',#528725,#131289); #93371=LINE('',#528726,#131290); #93372=LINE('',#528730,#131291); #93373=LINE('',#528732,#131292); #93374=LINE('',#528734,#131293); #93375=LINE('',#528735,#131294); #93376=LINE('',#528737,#131295); #93377=LINE('',#528738,#131296); #93378=LINE('',#528741,#131297); #93379=LINE('',#528743,#131298); #93380=LINE('',#528744,#131299); #93381=LINE('',#528747,#131300); #93382=LINE('',#528749,#131301); #93383=LINE('',#528750,#131302); #93384=LINE('',#528754,#131303); #93385=LINE('',#528756,#131304); #93386=LINE('',#528758,#131305); #93387=LINE('',#528759,#131306); #93388=LINE('',#528761,#131307); #93389=LINE('',#528762,#131308); #93390=LINE('',#528765,#131309); #93391=LINE('',#528767,#131310); #93392=LINE('',#528768,#131311); #93393=LINE('',#528771,#131312); #93394=LINE('',#528773,#131313); #93395=LINE('',#528774,#131314); #93396=LINE('',#528778,#131315); #93397=LINE('',#528780,#131316); #93398=LINE('',#528782,#131317); #93399=LINE('',#528783,#131318); #93400=LINE('',#528785,#131319); #93401=LINE('',#528786,#131320); #93402=LINE('',#528789,#131321); #93403=LINE('',#528791,#131322); #93404=LINE('',#528792,#131323); #93405=LINE('',#528795,#131324); #93406=LINE('',#528797,#131325); #93407=LINE('',#528798,#131326); #93408=LINE('',#528801,#131327); #93409=LINE('',#528803,#131328); #93410=LINE('',#528804,#131329); #93411=LINE('',#528807,#131330); #93412=LINE('',#528809,#131331); #93413=LINE('',#528810,#131332); #93414=LINE('',#528814,#131333); #93415=LINE('',#528816,#131334); #93416=LINE('',#528818,#131335); #93417=LINE('',#528819,#131336); #93418=LINE('',#528821,#131337); #93419=LINE('',#528822,#131338); #93420=LINE('',#528825,#131339); #93421=LINE('',#528827,#131340); #93422=LINE('',#528828,#131341); #93423=LINE('',#528831,#131342); #93424=LINE('',#528833,#131343); #93425=LINE('',#528834,#131344); #93426=LINE('',#528838,#131345); #93427=LINE('',#528840,#131346); #93428=LINE('',#528842,#131347); #93429=LINE('',#528843,#131348); #93430=LINE('',#528845,#131349); #93431=LINE('',#528846,#131350); #93432=LINE('',#528849,#131351); #93433=LINE('',#528851,#131352); #93434=LINE('',#528852,#131353); #93435=LINE('',#528855,#131354); #93436=LINE('',#528857,#131355); #93437=LINE('',#528858,#131356); #93438=LINE('',#528862,#131357); #93439=LINE('',#528864,#131358); #93440=LINE('',#528866,#131359); #93441=LINE('',#528867,#131360); #93442=LINE('',#528869,#131361); #93443=LINE('',#528870,#131362); #93444=LINE('',#528873,#131363); #93445=LINE('',#528875,#131364); #93446=LINE('',#528876,#131365); #93447=LINE('',#528879,#131366); #93448=LINE('',#528881,#131367); #93449=LINE('',#528882,#131368); #93450=LINE('',#528886,#131369); #93451=LINE('',#528888,#131370); #93452=LINE('',#528890,#131371); #93453=LINE('',#528891,#131372); #93454=LINE('',#528893,#131373); #93455=LINE('',#528894,#131374); #93456=LINE('',#528897,#131375); #93457=LINE('',#528899,#131376); #93458=LINE('',#528900,#131377); #93459=LINE('',#528903,#131378); #93460=LINE('',#528905,#131379); #93461=LINE('',#528906,#131380); #93462=LINE('',#528910,#131381); #93463=LINE('',#528912,#131382); #93464=LINE('',#528914,#131383); #93465=LINE('',#528915,#131384); #93466=LINE('',#528917,#131385); #93467=LINE('',#528918,#131386); #93468=LINE('',#528921,#131387); #93469=LINE('',#528923,#131388); #93470=LINE('',#528924,#131389); #93471=LINE('',#528927,#131390); #93472=LINE('',#528929,#131391); #93473=LINE('',#528930,#131392); #93474=LINE('',#528934,#131393); #93475=LINE('',#528936,#131394); #93476=LINE('',#528937,#131395); #93477=LINE('',#528938,#131396); #93478=LINE('',#528941,#131397); #93479=LINE('',#528943,#131398); #93480=LINE('',#528945,#131399); #93481=LINE('',#528947,#131400); #93482=LINE('',#528948,#131401); #93483=LINE('',#528951,#131402); #93484=LINE('',#528952,#131403); #93485=LINE('',#528953,#131404); #93486=LINE('',#528955,#131405); #93487=LINE('',#528956,#131406); #93488=LINE('',#528957,#131407); #93489=LINE('',#528958,#131408); #93490=LINE('',#528959,#131409); #93491=LINE('',#528960,#131410); #93492=LINE('',#528961,#131411); #93493=LINE('',#528962,#131412); #93494=LINE('',#528963,#131413); #93495=LINE('',#528964,#131414); #93496=LINE('',#528965,#131415); #93497=LINE('',#528966,#131416); #93498=LINE('',#528967,#131417); #93499=LINE('',#528970,#131418); #93500=LINE('',#528972,#131419); #93501=LINE('',#528974,#131420); #93502=LINE('',#528976,#131421); #93503=LINE('',#528977,#131422); #93504=LINE('',#528980,#131423); #93505=LINE('',#528982,#131424); #93506=LINE('',#528984,#131425); #93507=LINE('',#528986,#131426); #93508=LINE('',#528987,#131427); #93509=LINE('',#528991,#131428); #93510=LINE('',#528995,#131429); #93511=LINE('',#528999,#131430); #93512=LINE('',#529001,#131431); #93513=LINE('',#529002,#131432); #93514=LINE('',#529006,#131433); #93515=LINE('',#529008,#131434); #93516=LINE('',#529010,#131435); #93517=LINE('',#529011,#131436); #93518=LINE('',#529015,#131437); #93519=LINE('',#529017,#131438); #93520=LINE('',#529019,#131439); #93521=LINE('',#529021,#131440); #93522=LINE('',#529023,#131441); #93523=LINE('',#529025,#131442); #93524=LINE('',#529027,#131443); #93525=LINE('',#529029,#131444); #93526=LINE('',#529031,#131445); #93527=LINE('',#529033,#131446); #93528=LINE('',#529035,#131447); #93529=LINE('',#529037,#131448); #93530=LINE('',#529039,#131449); #93531=LINE('',#529040,#131450); #93532=LINE('',#529044,#131451); #93533=LINE('',#529046,#131452); #93534=LINE('',#529048,#131453); #93535=LINE('',#529049,#131454); #93536=LINE('',#529052,#131455); #93537=LINE('',#529054,#131456); #93538=LINE('',#529055,#131457); #93539=LINE('',#529059,#131458); #93540=LINE('',#529061,#131459); #93541=LINE('',#529063,#131460); #93542=LINE('',#529067,#131461); #93543=LINE('',#529071,#131462); #93544=LINE('',#529073,#131463); #93545=LINE('',#529075,#131464); #93546=LINE('',#529079,#131465); #93547=LINE('',#529080,#131466); #93548=LINE('',#529082,#131467); #93549=LINE('',#529083,#131468); #93550=LINE('',#529086,#131469); #93551=LINE('',#529087,#131470); #93552=LINE('',#529089,#131471); #93553=LINE('',#529091,#131472); #93554=LINE('',#529093,#131473); #93555=LINE('',#529097,#131474); #93556=LINE('',#529102,#131475); #93557=LINE('',#529104,#131476); #93558=LINE('',#529106,#131477); #93559=LINE('',#529107,#131478); #93560=LINE('',#529109,#131479); #93561=LINE('',#529110,#131480); #93562=LINE('',#529112,#131481); #93563=LINE('',#529113,#131482); #93564=LINE('',#529115,#131483); #93565=LINE('',#529116,#131484); #93566=LINE('',#529121,#131485); #93567=LINE('',#529125,#131486); #93568=LINE('',#529127,#131487); #93569=LINE('',#529128,#131488); #93570=LINE('',#529129,#131489); #93571=LINE('',#529135,#131490); #93572=LINE('',#529138,#131491); #93573=LINE('',#529139,#131492); #93574=LINE('',#529140,#131493); #93575=LINE('',#529143,#131494); #93576=LINE('',#529144,#131495); #93577=LINE('',#529146,#131496); #93578=LINE('',#529148,#131497); #93579=LINE('',#529150,#131498); #93580=LINE('',#529151,#131499); #93581=LINE('',#529153,#131500); #93582=LINE('',#529155,#131501); #93583=LINE('',#529157,#131502); #93584=LINE('',#529158,#131503); #93585=LINE('',#529163,#131504); #93586=LINE('',#529167,#131505); #93587=LINE('',#529171,#131506); #93588=LINE('',#529173,#131507); #93589=LINE('',#529174,#131508); #93590=LINE('',#529178,#131509); #93591=LINE('',#529181,#131510); #93592=LINE('',#529185,#131511); #93593=LINE('',#529187,#131512); #93594=LINE('',#529189,#131513); #93595=LINE('',#529190,#131514); #93596=LINE('',#529192,#131515); #93597=LINE('',#529194,#131516); #93598=LINE('',#529195,#131517); #93599=LINE('',#529199,#131518); #93600=LINE('',#529203,#131519); #93601=LINE('',#529207,#131520); #93602=LINE('',#529211,#131521); #93603=LINE('',#529215,#131522); #93604=LINE('',#529217,#131523); #93605=LINE('',#529219,#131524); #93606=LINE('',#529220,#131525); #93607=LINE('',#529223,#131526); #93608=LINE('',#529225,#131527); #93609=LINE('',#529226,#131528); #93610=LINE('',#529229,#131529); #93611=LINE('',#529231,#131530); #93612=LINE('',#529232,#131531); #93613=LINE('',#529238,#131532); #93614=LINE('',#529241,#131533); #93615=LINE('',#529243,#131534); #93616=LINE('',#529244,#131535); #93617=LINE('',#529247,#131536); #93618=LINE('',#529249,#131537); #93619=LINE('',#529250,#131538); #93620=LINE('',#529254,#131539); #93621=LINE('',#529256,#131540); #93622=LINE('',#529258,#131541); #93623=LINE('',#529259,#131542); #93624=LINE('',#529263,#131543); #93625=LINE('',#529265,#131544); #93626=LINE('',#529267,#131545); #93627=LINE('',#529268,#131546); #93628=LINE('',#529271,#131547); #93629=LINE('',#529273,#131548); #93630=LINE('',#529274,#131549); #93631=LINE('',#529280,#131550); #93632=LINE('',#529282,#131551); #93633=LINE('',#529283,#131552); #93634=LINE('',#529286,#131553); #93635=LINE('',#529288,#131554); #93636=LINE('',#529289,#131555); #93637=LINE('',#529291,#131556); #93638=LINE('',#529292,#131557); #93639=LINE('',#529294,#131558); #93640=LINE('',#529296,#131559); #93641=LINE('',#529298,#131560); #93642=LINE('',#529300,#131561); #93643=LINE('',#529302,#131562); #93644=LINE('',#529304,#131563); #93645=LINE('',#529307,#131564); #93646=LINE('',#529309,#131565); #93647=LINE('',#529311,#131566); #93648=LINE('',#529314,#131567); #93649=LINE('',#529325,#131568); #93650=LINE('',#529327,#131569); #93651=LINE('',#529330,#131570); #93652=LINE('',#529332,#131571); #93653=LINE('',#529335,#131572); #93654=LINE('',#529338,#131573); #93655=LINE('',#529340,#131574); #93656=LINE('',#529341,#131575); #93657=LINE('',#529342,#131576); #93658=LINE('',#529344,#131577); #93659=LINE('',#529348,#131578); #93660=LINE('',#529350,#131579); #93661=LINE('',#529352,#131580); #93662=LINE('',#529353,#131581); #93663=LINE('',#529355,#131582); #93664=LINE('',#529356,#131583); #93665=LINE('',#529360,#131584); #93666=LINE('',#529362,#131585); #93667=LINE('',#529364,#131586); #93668=LINE('',#529365,#131587); #93669=LINE('',#529367,#131588); #93670=LINE('',#529368,#131589); #93671=LINE('',#529370,#131590); #93672=LINE('',#529373,#131591); #93673=LINE('',#529375,#131592); #93674=LINE('',#529376,#131593); #93675=LINE('',#529377,#131594); #93676=LINE('',#529379,#131595); #93677=LINE('',#529382,#131596); #93678=LINE('',#529384,#131597); #93679=LINE('',#529387,#131598); #93680=LINE('',#529390,#131599); #93681=LINE('',#529391,#131600); #93682=LINE('',#529394,#131601); #93683=LINE('',#529396,#131602); #93684=LINE('',#529397,#131603); #93685=LINE('',#529399,#131604); #93686=LINE('',#529400,#131605); #93687=LINE('',#529402,#131606); #93688=LINE('',#529404,#131607); #93689=LINE('',#529412,#131608); #93690=LINE('',#529419,#131609); #93691=LINE('',#529425,#131610); #93692=LINE('',#529427,#131611); #93693=LINE('',#529431,#131612); #93694=LINE('',#529432,#131613); #93695=LINE('',#529447,#131614); #93696=LINE('',#529450,#131615); #93697=LINE('',#529452,#131616); #93698=LINE('',#529455,#131617); #93699=LINE('',#529456,#131618); #93700=LINE('',#529457,#131619); #93701=LINE('',#529458,#131620); #93702=LINE('',#529459,#131621); #93703=LINE('',#529460,#131622); #93704=LINE('',#529461,#131623); #93705=LINE('',#529462,#131624); #93706=LINE('',#529463,#131625); #93707=LINE('',#529464,#131626); #93708=LINE('',#529465,#131627); #93709=LINE('',#529466,#131628); #93710=LINE('',#529468,#131629); #93711=LINE('',#529471,#131630); #93712=LINE('',#529474,#131631); #93713=LINE('',#529477,#131632); #93714=LINE('',#529480,#131633); #93715=LINE('',#529483,#131634); #93716=LINE('',#529486,#131635); #93717=LINE('',#529489,#131636); #93718=LINE('',#529492,#131637); #93719=LINE('',#529495,#131638); #93720=LINE('',#529498,#131639); #93721=LINE('',#529501,#131640); #93722=LINE('',#529506,#131641); #93723=LINE('',#529508,#131642); #93724=LINE('',#529509,#131643); #93725=LINE('',#529511,#131644); #93726=LINE('',#529512,#131645); #93727=LINE('',#529515,#131646); #93728=LINE('',#529516,#131647); #93729=LINE('',#529518,#131648); #93730=LINE('',#529520,#131649); #93731=LINE('',#529522,#131650); #93732=LINE('',#529523,#131651); #93733=LINE('',#529525,#131652); #93734=LINE('',#529526,#131653); #93735=LINE('',#529528,#131654); #93736=LINE('',#529529,#131655); #93737=LINE('',#529531,#131656); #93738=LINE('',#529534,#131657); #93739=LINE('',#529536,#131658); #93740=LINE('',#529537,#131659); #93741=LINE('',#529539,#131660); #93742=LINE('',#529541,#131661); #93743=LINE('',#529543,#131662); #93744=LINE('',#529545,#131663); #93745=LINE('',#529546,#131664); #93746=LINE('',#529549,#131665); #93747=LINE('',#529551,#131666); #93748=LINE('',#529553,#131667); #93749=LINE('',#529555,#131668); #93750=LINE('',#529557,#131669); #93751=LINE('',#529559,#131670); #93752=LINE('',#529561,#131671); #93753=LINE('',#529563,#131672); #93754=LINE('',#529565,#131673); #93755=LINE('',#529567,#131674); #93756=LINE('',#529569,#131675); #93757=LINE('',#529571,#131676); #93758=LINE('',#529573,#131677); #93759=LINE('',#529575,#131678); #93760=LINE('',#529576,#131679); #93761=LINE('',#529579,#131680); #93762=LINE('',#529580,#131681); #93763=LINE('',#529583,#131682); #93764=LINE('',#529584,#131683); #93765=LINE('',#529586,#131684); #93766=LINE('',#529587,#131685); #93767=LINE('',#529591,#131686); #93768=LINE('',#529592,#131687); #93769=LINE('',#529595,#131688); #93770=LINE('',#529596,#131689); #93771=LINE('',#529598,#131690); #93772=LINE('',#529599,#131691); #93773=LINE('',#529603,#131692); #93774=LINE('',#529604,#131693); #93775=LINE('',#529607,#131694); #93776=LINE('',#529608,#131695); #93777=LINE('',#529611,#131696); #93778=LINE('',#529612,#131697); #93779=LINE('',#529615,#131698); #93780=LINE('',#529616,#131699); #93781=LINE('',#529619,#131700); #93782=LINE('',#529620,#131701); #93783=LINE('',#529623,#131702); #93784=LINE('',#529624,#131703); #93785=LINE('',#529627,#131704); #93786=LINE('',#529628,#131705); #93787=LINE('',#529631,#131706); #93788=LINE('',#529632,#131707); #93789=LINE('',#529635,#131708); #93790=LINE('',#529636,#131709); #93791=LINE('',#529639,#131710); #93792=LINE('',#529640,#131711); #93793=LINE('',#529643,#131712); #93794=LINE('',#529644,#131713); #93795=LINE('',#529647,#131714); #93796=LINE('',#529648,#131715); #93797=LINE('',#529651,#131716); #93798=LINE('',#529652,#131717); #93799=LINE('',#529655,#131718); #93800=LINE('',#529656,#131719); #93801=LINE('',#529658,#131720); #93802=LINE('',#529661,#131721); #93803=LINE('',#529662,#131722); #93804=LINE('',#529665,#131723); #93805=LINE('',#529666,#131724); #93806=LINE('',#529669,#131725); #93807=LINE('',#529670,#131726); #93808=LINE('',#529673,#131727); #93809=LINE('',#529674,#131728); #93810=LINE('',#529677,#131729); #93811=LINE('',#529678,#131730); #93812=LINE('',#529681,#131731); #93813=LINE('',#529682,#131732); #93814=LINE('',#529685,#131733); #93815=LINE('',#529686,#131734); #93816=LINE('',#529689,#131735); #93817=LINE('',#529690,#131736); #93818=LINE('',#529693,#131737); #93819=LINE('',#529694,#131738); #93820=LINE('',#529697,#131739); #93821=LINE('',#529698,#131740); #93822=LINE('',#529701,#131741); #93823=LINE('',#529702,#131742); #93824=LINE('',#529705,#131743); #93825=LINE('',#529706,#131744); #93826=LINE('',#529709,#131745); #93827=LINE('',#529710,#131746); #93828=LINE('',#529713,#131747); #93829=LINE('',#529714,#131748); #93830=LINE('',#529716,#131749); #93831=LINE('',#529719,#131750); #93832=LINE('',#529721,#131751); #93833=LINE('',#529724,#131752); #93834=LINE('',#529726,#131753); #93835=LINE('',#529730,#131754); #93836=LINE('',#529737,#131755); #93837=LINE('',#529739,#131756); #93838=LINE('',#529741,#131757); #93839=LINE('',#529742,#131758); #93840=LINE('',#529745,#131759); #93841=LINE('',#529749,#131760); #93842=LINE('',#529753,#131761); #93843=LINE('',#529755,#131762); #93844=LINE('',#529757,#131763); #93845=LINE('',#529761,#131764); #93846=LINE('',#529764,#131765); #93847=LINE('',#529768,#131766); #93848=LINE('',#529770,#131767); #93849=LINE('',#529772,#131768); #93850=LINE('',#529776,#131769); #93851=LINE('',#529780,#131770); #93852=LINE('',#529782,#131771); #93853=LINE('',#529784,#131772); #93854=LINE('',#529788,#131773); #93855=LINE('',#529792,#131774); #93856=LINE('',#529794,#131775); #93857=LINE('',#529795,#131776); #93858=LINE('',#529799,#131777); #93859=LINE('',#529801,#131778); #93860=LINE('',#529802,#131779); #93861=LINE('',#529804,#131780); #93862=LINE('',#529805,#131781); #93863=LINE('',#529809,#131782); #93864=LINE('',#529810,#131783); #93865=LINE('',#529811,#131784); #93866=LINE('',#529814,#131785); #93867=LINE('',#529815,#131786); #93868=LINE('',#529817,#131787); #93869=LINE('',#529818,#131788); #93870=LINE('',#529820,#131789); #93871=LINE('',#529821,#131790); #93872=LINE('',#529823,#131791); #93873=LINE('',#529824,#131792); #93874=LINE('',#529826,#131793); #93875=LINE('',#529827,#131794); #93876=LINE('',#529829,#131795); #93877=LINE('',#529831,#131796); #93878=LINE('',#529833,#131797); #93879=LINE('',#529836,#131798); #93880=LINE('',#529838,#131799); #93881=LINE('',#529840,#131800); #93882=LINE('',#529848,#131801); #93883=LINE('',#529850,#131802); #93884=LINE('',#529852,#131803); #93885=LINE('',#529853,#131804); #93886=LINE('',#529856,#131805); #93887=LINE('',#529860,#131806); #93888=LINE('',#529864,#131807); #93889=LINE('',#529866,#131808); #93890=LINE('',#529868,#131809); #93891=LINE('',#529872,#131810); #93892=LINE('',#529875,#131811); #93893=LINE('',#529879,#131812); #93894=LINE('',#529881,#131813); #93895=LINE('',#529883,#131814); #93896=LINE('',#529887,#131815); #93897=LINE('',#529891,#131816); #93898=LINE('',#529893,#131817); #93899=LINE('',#529895,#131818); #93900=LINE('',#529899,#131819); #93901=LINE('',#529903,#131820); #93902=LINE('',#529905,#131821); #93903=LINE('',#529906,#131822); #93904=LINE('',#529910,#131823); #93905=LINE('',#529912,#131824); #93906=LINE('',#529913,#131825); #93907=LINE('',#529915,#131826); #93908=LINE('',#529916,#131827); #93909=LINE('',#529920,#131828); #93910=LINE('',#529921,#131829); #93911=LINE('',#529922,#131830); #93912=LINE('',#529925,#131831); #93913=LINE('',#529926,#131832); #93914=LINE('',#529928,#131833); #93915=LINE('',#529929,#131834); #93916=LINE('',#529931,#131835); #93917=LINE('',#529932,#131836); #93918=LINE('',#529934,#131837); #93919=LINE('',#529935,#131838); #93920=LINE('',#529937,#131839); #93921=LINE('',#529938,#131840); #93922=LINE('',#529940,#131841); #93923=LINE('',#529942,#131842); #93924=LINE('',#529944,#131843); #93925=LINE('',#529947,#131844); #93926=LINE('',#529949,#131845); #93927=LINE('',#529951,#131846); #93928=LINE('',#529959,#131847); #93929=LINE('',#529961,#131848); #93930=LINE('',#529963,#131849); #93931=LINE('',#529964,#131850); #93932=LINE('',#529967,#131851); #93933=LINE('',#529971,#131852); #93934=LINE('',#529975,#131853); #93935=LINE('',#529977,#131854); #93936=LINE('',#529979,#131855); #93937=LINE('',#529983,#131856); #93938=LINE('',#529986,#131857); #93939=LINE('',#529990,#131858); #93940=LINE('',#529992,#131859); #93941=LINE('',#529994,#131860); #93942=LINE('',#529998,#131861); #93943=LINE('',#530002,#131862); #93944=LINE('',#530004,#131863); #93945=LINE('',#530006,#131864); #93946=LINE('',#530010,#131865); #93947=LINE('',#530014,#131866); #93948=LINE('',#530016,#131867); #93949=LINE('',#530017,#131868); #93950=LINE('',#530021,#131869); #93951=LINE('',#530023,#131870); #93952=LINE('',#530024,#131871); #93953=LINE('',#530026,#131872); #93954=LINE('',#530027,#131873); #93955=LINE('',#530031,#131874); #93956=LINE('',#530032,#131875); #93957=LINE('',#530033,#131876); #93958=LINE('',#530036,#131877); #93959=LINE('',#530037,#131878); #93960=LINE('',#530039,#131879); #93961=LINE('',#530040,#131880); #93962=LINE('',#530042,#131881); #93963=LINE('',#530043,#131882); #93964=LINE('',#530045,#131883); #93965=LINE('',#530046,#131884); #93966=LINE('',#530048,#131885); #93967=LINE('',#530049,#131886); #93968=LINE('',#530051,#131887); #93969=LINE('',#530053,#131888); #93970=LINE('',#530055,#131889); #93971=LINE('',#530058,#131890); #93972=LINE('',#530060,#131891); #93973=LINE('',#530062,#131892); #93974=LINE('',#530070,#131893); #93975=LINE('',#530072,#131894); #93976=LINE('',#530074,#131895); #93977=LINE('',#530075,#131896); #93978=LINE('',#530078,#131897); #93979=LINE('',#530082,#131898); #93980=LINE('',#530086,#131899); #93981=LINE('',#530088,#131900); #93982=LINE('',#530090,#131901); #93983=LINE('',#530094,#131902); #93984=LINE('',#530097,#131903); #93985=LINE('',#530101,#131904); #93986=LINE('',#530103,#131905); #93987=LINE('',#530105,#131906); #93988=LINE('',#530109,#131907); #93989=LINE('',#530113,#131908); #93990=LINE('',#530115,#131909); #93991=LINE('',#530117,#131910); #93992=LINE('',#530121,#131911); #93993=LINE('',#530125,#131912); #93994=LINE('',#530127,#131913); #93995=LINE('',#530128,#131914); #93996=LINE('',#530132,#131915); #93997=LINE('',#530134,#131916); #93998=LINE('',#530135,#131917); #93999=LINE('',#530137,#131918); #94000=LINE('',#530138,#131919); #94001=LINE('',#530142,#131920); #94002=LINE('',#530143,#131921); #94003=LINE('',#530144,#131922); #94004=LINE('',#530147,#131923); #94005=LINE('',#530148,#131924); #94006=LINE('',#530150,#131925); #94007=LINE('',#530151,#131926); #94008=LINE('',#530153,#131927); #94009=LINE('',#530154,#131928); #94010=LINE('',#530156,#131929); #94011=LINE('',#530157,#131930); #94012=LINE('',#530159,#131931); #94013=LINE('',#530160,#131932); #94014=LINE('',#530162,#131933); #94015=LINE('',#530164,#131934); #94016=LINE('',#530166,#131935); #94017=LINE('',#530169,#131936); #94018=LINE('',#530171,#131937); #94019=LINE('',#530173,#131938); #94020=LINE('',#530181,#131939); #94021=LINE('',#530183,#131940); #94022=LINE('',#530185,#131941); #94023=LINE('',#530186,#131942); #94024=LINE('',#530189,#131943); #94025=LINE('',#530193,#131944); #94026=LINE('',#530197,#131945); #94027=LINE('',#530199,#131946); #94028=LINE('',#530201,#131947); #94029=LINE('',#530205,#131948); #94030=LINE('',#530208,#131949); #94031=LINE('',#530212,#131950); #94032=LINE('',#530214,#131951); #94033=LINE('',#530216,#131952); #94034=LINE('',#530220,#131953); #94035=LINE('',#530224,#131954); #94036=LINE('',#530226,#131955); #94037=LINE('',#530228,#131956); #94038=LINE('',#530232,#131957); #94039=LINE('',#530236,#131958); #94040=LINE('',#530238,#131959); #94041=LINE('',#530239,#131960); #94042=LINE('',#530243,#131961); #94043=LINE('',#530245,#131962); #94044=LINE('',#530246,#131963); #94045=LINE('',#530248,#131964); #94046=LINE('',#530249,#131965); #94047=LINE('',#530253,#131966); #94048=LINE('',#530254,#131967); #94049=LINE('',#530255,#131968); #94050=LINE('',#530258,#131969); #94051=LINE('',#530259,#131970); #94052=LINE('',#530261,#131971); #94053=LINE('',#530262,#131972); #94054=LINE('',#530264,#131973); #94055=LINE('',#530265,#131974); #94056=LINE('',#530267,#131975); #94057=LINE('',#530268,#131976); #94058=LINE('',#530270,#131977); #94059=LINE('',#530271,#131978); #94060=LINE('',#530273,#131979); #94061=LINE('',#530275,#131980); #94062=LINE('',#530277,#131981); #94063=LINE('',#530280,#131982); #94064=LINE('',#530282,#131983); #94065=LINE('',#530284,#131984); #94066=LINE('',#530292,#131985); #94067=LINE('',#530294,#131986); #94068=LINE('',#530296,#131987); #94069=LINE('',#530297,#131988); #94070=LINE('',#530300,#131989); #94071=LINE('',#530304,#131990); #94072=LINE('',#530308,#131991); #94073=LINE('',#530310,#131992); #94074=LINE('',#530312,#131993); #94075=LINE('',#530316,#131994); #94076=LINE('',#530319,#131995); #94077=LINE('',#530323,#131996); #94078=LINE('',#530325,#131997); #94079=LINE('',#530327,#131998); #94080=LINE('',#530331,#131999); #94081=LINE('',#530335,#132000); #94082=LINE('',#530337,#132001); #94083=LINE('',#530339,#132002); #94084=LINE('',#530343,#132003); #94085=LINE('',#530347,#132004); #94086=LINE('',#530349,#132005); #94087=LINE('',#530350,#132006); #94088=LINE('',#530354,#132007); #94089=LINE('',#530356,#132008); #94090=LINE('',#530357,#132009); #94091=LINE('',#530359,#132010); #94092=LINE('',#530360,#132011); #94093=LINE('',#530364,#132012); #94094=LINE('',#530365,#132013); #94095=LINE('',#530366,#132014); #94096=LINE('',#530369,#132015); #94097=LINE('',#530370,#132016); #94098=LINE('',#530372,#132017); #94099=LINE('',#530373,#132018); #94100=LINE('',#530375,#132019); #94101=LINE('',#530376,#132020); #94102=LINE('',#530378,#132021); #94103=LINE('',#530379,#132022); #94104=LINE('',#530381,#132023); #94105=LINE('',#530382,#132024); #94106=LINE('',#530384,#132025); #94107=LINE('',#530386,#132026); #94108=LINE('',#530388,#132027); #94109=LINE('',#530391,#132028); #94110=LINE('',#530393,#132029); #94111=LINE('',#530395,#132030); #94112=LINE('',#530403,#132031); #94113=LINE('',#530405,#132032); #94114=LINE('',#530407,#132033); #94115=LINE('',#530408,#132034); #94116=LINE('',#530411,#132035); #94117=LINE('',#530415,#132036); #94118=LINE('',#530419,#132037); #94119=LINE('',#530421,#132038); #94120=LINE('',#530423,#132039); #94121=LINE('',#530427,#132040); #94122=LINE('',#530430,#132041); #94123=LINE('',#530434,#132042); #94124=LINE('',#530436,#132043); #94125=LINE('',#530438,#132044); #94126=LINE('',#530442,#132045); #94127=LINE('',#530446,#132046); #94128=LINE('',#530448,#132047); #94129=LINE('',#530450,#132048); #94130=LINE('',#530454,#132049); #94131=LINE('',#530458,#132050); #94132=LINE('',#530460,#132051); #94133=LINE('',#530461,#132052); #94134=LINE('',#530465,#132053); #94135=LINE('',#530467,#132054); #94136=LINE('',#530468,#132055); #94137=LINE('',#530470,#132056); #94138=LINE('',#530471,#132057); #94139=LINE('',#530475,#132058); #94140=LINE('',#530476,#132059); #94141=LINE('',#530477,#132060); #94142=LINE('',#530480,#132061); #94143=LINE('',#530481,#132062); #94144=LINE('',#530483,#132063); #94145=LINE('',#530484,#132064); #94146=LINE('',#530486,#132065); #94147=LINE('',#530487,#132066); #94148=LINE('',#530489,#132067); #94149=LINE('',#530490,#132068); #94150=LINE('',#530492,#132069); #94151=LINE('',#530493,#132070); #94152=LINE('',#530495,#132071); #94153=LINE('',#530497,#132072); #94154=LINE('',#530499,#132073); #94155=LINE('',#530502,#132074); #94156=LINE('',#530504,#132075); #94157=LINE('',#530506,#132076); #94158=LINE('',#530514,#132077); #94159=LINE('',#530516,#132078); #94160=LINE('',#530518,#132079); #94161=LINE('',#530519,#132080); #94162=LINE('',#530522,#132081); #94163=LINE('',#530524,#132082); #94164=LINE('',#530528,#132083); #94165=LINE('',#530532,#132084); #94166=LINE('',#530534,#132085); #94167=LINE('',#530536,#132086); #94168=LINE('',#530540,#132087); #94169=LINE('',#530545,#132088); #94170=LINE('',#530549,#132089); #94171=LINE('',#530553,#132090); #94172=LINE('',#530555,#132091); #94173=LINE('',#530557,#132092); #94174=LINE('',#530561,#132093); #94175=LINE('',#530565,#132094); #94176=LINE('',#530566,#132095); #94177=LINE('',#530569,#132096); #94178=LINE('',#530571,#132097); #94179=LINE('',#530572,#132098); #94180=LINE('',#530576,#132099); #94181=LINE('',#530578,#132100); #94182=LINE('',#530579,#132101); #94183=LINE('',#530581,#132102); #94184=LINE('',#530582,#132103); #94185=LINE('',#530586,#132104); #94186=LINE('',#530587,#132105); #94187=LINE('',#530588,#132106); #94188=LINE('',#530591,#132107); #94189=LINE('',#530593,#132108); #94190=LINE('',#530594,#132109); #94191=LINE('',#530595,#132110); #94192=LINE('',#530597,#132111); #94193=LINE('',#530598,#132112); #94194=LINE('',#530600,#132113); #94195=LINE('',#530601,#132114); #94196=LINE('',#530603,#132115); #94197=LINE('',#530604,#132116); #94198=LINE('',#530606,#132117); #94199=LINE('',#530608,#132118); #94200=LINE('',#530610,#132119); #94201=LINE('',#530613,#132120); #94202=LINE('',#530615,#132121); #94203=LINE('',#530617,#132122); #94204=LINE('',#530625,#132123); #94205=LINE('',#530627,#132124); #94206=LINE('',#530629,#132125); #94207=LINE('',#530630,#132126); #94208=LINE('',#530633,#132127); #94209=LINE('',#530635,#132128); #94210=LINE('',#530639,#132129); #94211=LINE('',#530643,#132130); #94212=LINE('',#530645,#132131); #94213=LINE('',#530647,#132132); #94214=LINE('',#530651,#132133); #94215=LINE('',#530656,#132134); #94216=LINE('',#530660,#132135); #94217=LINE('',#530664,#132136); #94218=LINE('',#530666,#132137); #94219=LINE('',#530668,#132138); #94220=LINE('',#530672,#132139); #94221=LINE('',#530676,#132140); #94222=LINE('',#530677,#132141); #94223=LINE('',#530680,#132142); #94224=LINE('',#530682,#132143); #94225=LINE('',#530683,#132144); #94226=LINE('',#530687,#132145); #94227=LINE('',#530689,#132146); #94228=LINE('',#530690,#132147); #94229=LINE('',#530692,#132148); #94230=LINE('',#530693,#132149); #94231=LINE('',#530697,#132150); #94232=LINE('',#530698,#132151); #94233=LINE('',#530699,#132152); #94234=LINE('',#530702,#132153); #94235=LINE('',#530704,#132154); #94236=LINE('',#530705,#132155); #94237=LINE('',#530706,#132156); #94238=LINE('',#530708,#132157); #94239=LINE('',#530709,#132158); #94240=LINE('',#530711,#132159); #94241=LINE('',#530712,#132160); #94242=LINE('',#530714,#132161); #94243=LINE('',#530715,#132162); #94244=LINE('',#530717,#132163); #94245=LINE('',#530719,#132164); #94246=LINE('',#530721,#132165); #94247=LINE('',#530724,#132166); #94248=LINE('',#530726,#132167); #94249=LINE('',#530728,#132168); #94250=LINE('',#530736,#132169); #94251=LINE('',#530738,#132170); #94252=LINE('',#530740,#132171); #94253=LINE('',#530741,#132172); #94254=LINE('',#530744,#132173); #94255=LINE('',#530746,#132174); #94256=LINE('',#530750,#132175); #94257=LINE('',#530754,#132176); #94258=LINE('',#530756,#132177); #94259=LINE('',#530758,#132178); #94260=LINE('',#530762,#132179); #94261=LINE('',#530767,#132180); #94262=LINE('',#530771,#132181); #94263=LINE('',#530775,#132182); #94264=LINE('',#530777,#132183); #94265=LINE('',#530779,#132184); #94266=LINE('',#530783,#132185); #94267=LINE('',#530787,#132186); #94268=LINE('',#530788,#132187); #94269=LINE('',#530791,#132188); #94270=LINE('',#530793,#132189); #94271=LINE('',#530794,#132190); #94272=LINE('',#530798,#132191); #94273=LINE('',#530800,#132192); #94274=LINE('',#530801,#132193); #94275=LINE('',#530803,#132194); #94276=LINE('',#530804,#132195); #94277=LINE('',#530808,#132196); #94278=LINE('',#530809,#132197); #94279=LINE('',#530810,#132198); #94280=LINE('',#530813,#132199); #94281=LINE('',#530815,#132200); #94282=LINE('',#530816,#132201); #94283=LINE('',#530817,#132202); #94284=LINE('',#530819,#132203); #94285=LINE('',#530820,#132204); #94286=LINE('',#530822,#132205); #94287=LINE('',#530823,#132206); #94288=LINE('',#530825,#132207); #94289=LINE('',#530826,#132208); #94290=LINE('',#530828,#132209); #94291=LINE('',#530830,#132210); #94292=LINE('',#530832,#132211); #94293=LINE('',#530835,#132212); #94294=LINE('',#530837,#132213); #94295=LINE('',#530839,#132214); #94296=LINE('',#530847,#132215); #94297=LINE('',#530849,#132216); #94298=LINE('',#530851,#132217); #94299=LINE('',#530852,#132218); #94300=LINE('',#530855,#132219); #94301=LINE('',#530857,#132220); #94302=LINE('',#530861,#132221); #94303=LINE('',#530865,#132222); #94304=LINE('',#530867,#132223); #94305=LINE('',#530869,#132224); #94306=LINE('',#530873,#132225); #94307=LINE('',#530878,#132226); #94308=LINE('',#530882,#132227); #94309=LINE('',#530886,#132228); #94310=LINE('',#530888,#132229); #94311=LINE('',#530890,#132230); #94312=LINE('',#530894,#132231); #94313=LINE('',#530898,#132232); #94314=LINE('',#530899,#132233); #94315=LINE('',#530902,#132234); #94316=LINE('',#530904,#132235); #94317=LINE('',#530905,#132236); #94318=LINE('',#530909,#132237); #94319=LINE('',#530911,#132238); #94320=LINE('',#530912,#132239); #94321=LINE('',#530914,#132240); #94322=LINE('',#530915,#132241); #94323=LINE('',#530919,#132242); #94324=LINE('',#530920,#132243); #94325=LINE('',#530921,#132244); #94326=LINE('',#530924,#132245); #94327=LINE('',#530926,#132246); #94328=LINE('',#530927,#132247); #94329=LINE('',#530928,#132248); #94330=LINE('',#530930,#132249); #94331=LINE('',#530931,#132250); #94332=LINE('',#530933,#132251); #94333=LINE('',#530934,#132252); #94334=LINE('',#530936,#132253); #94335=LINE('',#530937,#132254); #94336=LINE('',#530939,#132255); #94337=LINE('',#530941,#132256); #94338=LINE('',#530943,#132257); #94339=LINE('',#530946,#132258); #94340=LINE('',#530948,#132259); #94341=LINE('',#530950,#132260); #94342=LINE('',#530958,#132261); #94343=LINE('',#530960,#132262); #94344=LINE('',#530962,#132263); #94345=LINE('',#530963,#132264); #94346=LINE('',#530966,#132265); #94347=LINE('',#530968,#132266); #94348=LINE('',#530972,#132267); #94349=LINE('',#530976,#132268); #94350=LINE('',#530978,#132269); #94351=LINE('',#530980,#132270); #94352=LINE('',#530984,#132271); #94353=LINE('',#530989,#132272); #94354=LINE('',#530993,#132273); #94355=LINE('',#530997,#132274); #94356=LINE('',#530999,#132275); #94357=LINE('',#531001,#132276); #94358=LINE('',#531005,#132277); #94359=LINE('',#531009,#132278); #94360=LINE('',#531010,#132279); #94361=LINE('',#531013,#132280); #94362=LINE('',#531015,#132281); #94363=LINE('',#531016,#132282); #94364=LINE('',#531020,#132283); #94365=LINE('',#531022,#132284); #94366=LINE('',#531023,#132285); #94367=LINE('',#531025,#132286); #94368=LINE('',#531026,#132287); #94369=LINE('',#531030,#132288); #94370=LINE('',#531031,#132289); #94371=LINE('',#531032,#132290); #94372=LINE('',#531035,#132291); #94373=LINE('',#531037,#132292); #94374=LINE('',#531038,#132293); #94375=LINE('',#531039,#132294); #94376=LINE('',#531041,#132295); #94377=LINE('',#531042,#132296); #94378=LINE('',#531044,#132297); #94379=LINE('',#531045,#132298); #94380=LINE('',#531047,#132299); #94381=LINE('',#531048,#132300); #94382=LINE('',#531050,#132301); #94383=LINE('',#531052,#132302); #94384=LINE('',#531054,#132303); #94385=LINE('',#531057,#132304); #94386=LINE('',#531059,#132305); #94387=LINE('',#531061,#132306); #94388=LINE('',#531069,#132307); #94389=LINE('',#531071,#132308); #94390=LINE('',#531073,#132309); #94391=LINE('',#531074,#132310); #94392=LINE('',#531077,#132311); #94393=LINE('',#531079,#132312); #94394=LINE('',#531083,#132313); #94395=LINE('',#531087,#132314); #94396=LINE('',#531089,#132315); #94397=LINE('',#531091,#132316); #94398=LINE('',#531095,#132317); #94399=LINE('',#531100,#132318); #94400=LINE('',#531104,#132319); #94401=LINE('',#531108,#132320); #94402=LINE('',#531110,#132321); #94403=LINE('',#531112,#132322); #94404=LINE('',#531116,#132323); #94405=LINE('',#531120,#132324); #94406=LINE('',#531121,#132325); #94407=LINE('',#531124,#132326); #94408=LINE('',#531126,#132327); #94409=LINE('',#531127,#132328); #94410=LINE('',#531131,#132329); #94411=LINE('',#531133,#132330); #94412=LINE('',#531134,#132331); #94413=LINE('',#531136,#132332); #94414=LINE('',#531137,#132333); #94415=LINE('',#531141,#132334); #94416=LINE('',#531142,#132335); #94417=LINE('',#531143,#132336); #94418=LINE('',#531146,#132337); #94419=LINE('',#531148,#132338); #94420=LINE('',#531149,#132339); #94421=LINE('',#531150,#132340); #94422=LINE('',#531152,#132341); #94423=LINE('',#531153,#132342); #94424=LINE('',#531155,#132343); #94425=LINE('',#531156,#132344); #94426=LINE('',#531158,#132345); #94427=LINE('',#531159,#132346); #94428=LINE('',#531161,#132347); #94429=LINE('',#531163,#132348); #94430=LINE('',#531165,#132349); #94431=LINE('',#531168,#132350); #94432=LINE('',#531170,#132351); #94433=LINE('',#531172,#132352); #94434=LINE('',#531180,#132353); #94435=LINE('',#531182,#132354); #94436=LINE('',#531184,#132355); #94437=LINE('',#531185,#132356); #94438=LINE('',#531188,#132357); #94439=LINE('',#531190,#132358); #94440=LINE('',#531194,#132359); #94441=LINE('',#531198,#132360); #94442=LINE('',#531200,#132361); #94443=LINE('',#531202,#132362); #94444=LINE('',#531206,#132363); #94445=LINE('',#531211,#132364); #94446=LINE('',#531215,#132365); #94447=LINE('',#531219,#132366); #94448=LINE('',#531221,#132367); #94449=LINE('',#531223,#132368); #94450=LINE('',#531227,#132369); #94451=LINE('',#531231,#132370); #94452=LINE('',#531232,#132371); #94453=LINE('',#531235,#132372); #94454=LINE('',#531237,#132373); #94455=LINE('',#531238,#132374); #94456=LINE('',#531242,#132375); #94457=LINE('',#531244,#132376); #94458=LINE('',#531245,#132377); #94459=LINE('',#531247,#132378); #94460=LINE('',#531248,#132379); #94461=LINE('',#531252,#132380); #94462=LINE('',#531253,#132381); #94463=LINE('',#531254,#132382); #94464=LINE('',#531257,#132383); #94465=LINE('',#531259,#132384); #94466=LINE('',#531260,#132385); #94467=LINE('',#531261,#132386); #94468=LINE('',#531263,#132387); #94469=LINE('',#531264,#132388); #94470=LINE('',#531266,#132389); #94471=LINE('',#531267,#132390); #94472=LINE('',#531269,#132391); #94473=LINE('',#531270,#132392); #94474=LINE('',#531272,#132393); #94475=LINE('',#531274,#132394); #94476=LINE('',#531276,#132395); #94477=LINE('',#531279,#132396); #94478=LINE('',#531281,#132397); #94479=LINE('',#531283,#132398); #94480=LINE('',#531291,#132399); #94481=LINE('',#531293,#132400); #94482=LINE('',#531295,#132401); #94483=LINE('',#531296,#132402); #94484=LINE('',#531299,#132403); #94485=LINE('',#531301,#132404); #94486=LINE('',#531302,#132405); #94487=LINE('',#531305,#132406); #94488=LINE('',#531307,#132407); #94489=LINE('',#531308,#132408); #94490=LINE('',#531311,#132409); #94491=LINE('',#531313,#132410); #94492=LINE('',#531314,#132411); #94493=LINE('',#531319,#132412); #94494=LINE('',#531323,#132413); #94495=LINE('',#531325,#132414); #94496=LINE('',#531326,#132415); #94497=LINE('',#531329,#132416); #94498=LINE('',#531331,#132417); #94499=LINE('',#531332,#132418); #94500=LINE('',#531335,#132419); #94501=LINE('',#531337,#132420); #94502=LINE('',#531338,#132421); #94503=LINE('',#531343,#132422); #94504=LINE('',#531347,#132423); #94505=LINE('',#531349,#132424); #94506=LINE('',#531350,#132425); #94507=LINE('',#531355,#132426); #94508=LINE('',#531361,#132427); #94509=LINE('',#531365,#132428); #94510=LINE('',#531367,#132429); #94511=LINE('',#531368,#132430); #94512=LINE('',#531373,#132431); #94513=LINE('',#531377,#132432); #94514=LINE('',#531379,#132433); #94515=LINE('',#531380,#132434); #94516=LINE('',#531385,#132435); #94517=LINE('',#531389,#132436); #94518=LINE('',#531391,#132437); #94519=LINE('',#531392,#132438); #94520=LINE('',#531395,#132439); #94521=LINE('',#531397,#132440); #94522=LINE('',#531398,#132441); #94523=LINE('',#531401,#132442); #94524=LINE('',#531403,#132443); #94525=LINE('',#531404,#132444); #94526=LINE('',#531407,#132445); #94527=LINE('',#531409,#132446); #94528=LINE('',#531410,#132447); #94529=LINE('',#531413,#132448); #94530=LINE('',#531415,#132449); #94531=LINE('',#531416,#132450); #94532=LINE('',#531419,#132451); #94533=LINE('',#531421,#132452); #94534=LINE('',#531422,#132453); #94535=LINE('',#531425,#132454); #94536=LINE('',#531427,#132455); #94537=LINE('',#531428,#132456); #94538=LINE('',#531433,#132457); #94539=LINE('',#531437,#132458); #94540=LINE('',#531439,#132459); #94541=LINE('',#531440,#132460); #94542=LINE('',#531445,#132461); #94543=LINE('',#531449,#132462); #94544=LINE('',#531451,#132463); #94545=LINE('',#531452,#132464); #94546=LINE('',#531457,#132465); #94547=LINE('',#531463,#132466); #94548=LINE('',#531467,#132467); #94549=LINE('',#531469,#132468); #94550=LINE('',#531470,#132469); #94551=LINE('',#531475,#132470); #94552=LINE('',#531479,#132471); #94553=LINE('',#531481,#132472); #94554=LINE('',#531482,#132473); #94555=LINE('',#531485,#132474); #94556=LINE('',#531487,#132475); #94557=LINE('',#531488,#132476); #94558=LINE('',#531491,#132477); #94559=LINE('',#531493,#132478); #94560=LINE('',#531494,#132479); #94561=LINE('',#531499,#132480); #94562=LINE('',#531502,#132481); #94563=LINE('',#531503,#132482); #94564=LINE('',#531510,#132483); #94565=LINE('',#531512,#132484); #94566=LINE('',#531514,#132485); #94567=LINE('',#531515,#132486); #94568=LINE('',#531519,#132487); #94569=LINE('',#531521,#132488); #94570=LINE('',#531523,#132489); #94571=LINE('',#531524,#132490); #94572=LINE('',#531530,#132491); #94573=LINE('',#531534,#132492); #94574=LINE('',#531538,#132493); #94575=LINE('',#531542,#132494); #94576=LINE('',#531546,#132495); #94577=LINE('',#531550,#132496); #94578=LINE('',#531554,#132497); #94579=LINE('',#531558,#132498); #94580=LINE('',#531560,#132499); #94581=LINE('',#531562,#132500); #94582=LINE('',#531564,#132501); #94583=LINE('',#531568,#132502); #94584=LINE('',#531570,#132503); #94585=LINE('',#531574,#132504); #94586=LINE('',#531578,#132505); #94587=LINE('',#531584,#132506); #94588=LINE('',#531588,#132507); #94589=LINE('',#531592,#132508); #94590=LINE('',#531596,#132509); #94591=LINE('',#531598,#132510); #94592=LINE('',#531602,#132511); #94593=LINE('',#531603,#132512); #94594=LINE('',#531606,#132513); #94595=LINE('',#531608,#132514); #94596=LINE('',#531610,#132515); #94597=LINE('',#531612,#132516); #94598=LINE('',#531614,#132517); #94599=LINE('',#531616,#132518); #94600=LINE('',#531618,#132519); #94601=LINE('',#531619,#132520); #94602=LINE('',#531623,#132521); #94603=LINE('',#531627,#132522); #94604=LINE('',#531629,#132523); #94605=LINE('',#531633,#132524); #94606=LINE('',#531635,#132525); #94607=LINE('',#531639,#132526); #94608=LINE('',#531643,#132527); #94609=LINE('',#531647,#132528); #94610=LINE('',#531653,#132529); #94611=LINE('',#531657,#132530); #94612=LINE('',#531661,#132531); #94613=LINE('',#531663,#132532); #94614=LINE('',#531667,#132533); #94615=LINE('',#531669,#132534); #94616=LINE('',#531671,#132535); #94617=LINE('',#531673,#132536); #94618=LINE('',#531677,#132537); #94619=LINE('',#531681,#132538); #94620=LINE('',#531685,#132539); #94621=LINE('',#531689,#132540); #94622=LINE('',#531693,#132541); #94623=LINE('',#531697,#132542); #94624=LINE('',#531701,#132543); #94625=LINE('',#531703,#132544); #94626=LINE('',#531705,#132545); #94627=LINE('',#531707,#132546); #94628=LINE('',#531709,#132547); #94629=LINE('',#531711,#132548); #94630=LINE('',#531713,#132549); #94631=LINE('',#531714,#132550); #94632=LINE('',#531716,#132551); #94633=LINE('',#531717,#132552); #94634=LINE('',#531719,#132553); #94635=LINE('',#531721,#132554); #94636=LINE('',#531722,#132555); #94637=LINE('',#531728,#132556); #94638=LINE('',#531730,#132557); #94639=LINE('',#531733,#132558); #94640=LINE('',#531739,#132559); #94641=LINE('',#531741,#132560); #94642=LINE('',#531744,#132561); #94643=LINE('',#531749,#132562); #94644=LINE('',#531751,#132563); #94645=LINE('',#531754,#132564); #94646=LINE('',#531756,#132565); #94647=LINE('',#531758,#132566); #94648=LINE('',#531760,#132567); #94649=LINE('',#531762,#132568); #94650=LINE('',#531763,#132569); #94651=LINE('',#531766,#132570); #94652=LINE('',#531768,#132571); #94653=LINE('',#531770,#132572); #94654=LINE('',#531772,#132573); #94655=LINE('',#531774,#132574); #94656=LINE('',#531776,#132575); #94657=LINE('',#531778,#132576); #94658=LINE('',#531779,#132577); #94659=LINE('',#531782,#132578); #94660=LINE('',#531784,#132579); #94661=LINE('',#531786,#132580); #94662=LINE('',#531788,#132581); #94663=LINE('',#531790,#132582); #94664=LINE('',#531792,#132583); #94665=LINE('',#531794,#132584); #94666=LINE('',#531795,#132585); #94667=LINE('',#531799,#132586); #94668=LINE('',#531803,#132587); #94669=LINE('',#531809,#132588); #94670=LINE('',#531813,#132589); #94671=LINE('',#531817,#132590); #94672=LINE('',#531821,#132591); #94673=LINE('',#531825,#132592); #94674=LINE('',#531827,#132593); #94675=LINE('',#531829,#132594); #94676=LINE('',#531835,#132595); #94677=LINE('',#531837,#132596); #94678=LINE('',#531839,#132597); #94679=LINE('',#531841,#132598); #94680=LINE('',#531843,#132599); #94681=LINE('',#531847,#132600); #94682=LINE('',#531851,#132601); #94683=LINE('',#531855,#132602); #94684=LINE('',#531859,#132603); #94685=LINE('',#531863,#132604); #94686=LINE('',#531871,#132605); #94687=LINE('',#531875,#132606); #94688=LINE('',#531879,#132607); #94689=LINE('',#531880,#132608); #94690=LINE('',#531883,#132609); #94691=LINE('',#531885,#132610); #94692=LINE('',#531887,#132611); #94693=LINE('',#531888,#132612); #94694=LINE('',#531892,#132613); #94695=LINE('',#531896,#132614); #94696=LINE('',#531898,#132615); #94697=LINE('',#531900,#132616); #94698=LINE('',#531904,#132617); #94699=LINE('',#531905,#132618); #94700=LINE('',#531910,#132619); #94701=LINE('',#531914,#132620); #94702=LINE('',#531918,#132621); #94703=LINE('',#531922,#132622); #94704=LINE('',#531928,#132623); #94705=LINE('',#531932,#132624); #94706=LINE('',#531936,#132625); #94707=LINE('',#531938,#132626); #94708=LINE('',#531942,#132627); #94709=LINE('',#531944,#132628); #94710=LINE('',#531946,#132629); #94711=LINE('',#531948,#132630); #94712=LINE('',#531952,#132631); #94713=LINE('',#531956,#132632); #94714=LINE('',#531960,#132633); #94715=LINE('',#531965,#132634); #94716=LINE('',#531969,#132635); #94717=LINE('',#531973,#132636); #94718=LINE('',#531975,#132637); #94719=LINE('',#531978,#132638); #94720=LINE('',#531980,#132639); #94721=LINE('',#531982,#132640); #94722=LINE('',#531984,#132641); #94723=LINE('',#531986,#132642); #94724=LINE('',#531988,#132643); #94725=LINE('',#531990,#132644); #94726=LINE('',#531991,#132645); #94727=LINE('',#531994,#132646); #94728=LINE('',#531996,#132647); #94729=LINE('',#531997,#132648); #94730=LINE('',#532000,#132649); #94731=LINE('',#532002,#132650); #94732=LINE('',#532003,#132651); #94733=LINE('',#532006,#132652); #94734=LINE('',#532008,#132653); #94735=LINE('',#532009,#132654); #94736=LINE('',#532012,#132655); #94737=LINE('',#532014,#132656); #94738=LINE('',#532015,#132657); #94739=LINE('',#532025,#132658); #94740=LINE('',#532027,#132659); #94741=LINE('',#532028,#132660); #94742=LINE('',#532031,#132661); #94743=LINE('',#532035,#132662); #94744=LINE('',#532036,#132663); #94745=LINE('',#532041,#132664); #94746=LINE('',#532043,#132665); #94747=LINE('',#532045,#132666); #94748=LINE('',#532047,#132667); #94749=LINE('',#532053,#132668); #94750=LINE('',#532055,#132669); #94751=LINE('',#532057,#132670); #94752=LINE('',#532061,#132671); #94753=LINE('',#532065,#132672); #94754=LINE('',#532069,#132673); #94755=LINE('',#532073,#132674); #94756=LINE('',#532079,#132675); #94757=LINE('',#532083,#132676); #94758=LINE('',#532085,#132677); #94759=LINE('',#532087,#132678); #94760=LINE('',#532091,#132679); #94761=LINE('',#532095,#132680); #94762=LINE('',#532103,#132681); #94763=LINE('',#532107,#132682); #94764=LINE('',#532111,#132683); #94765=LINE('',#532115,#132684); #94766=LINE('',#532119,#132685); #94767=LINE('',#532122,#132686); #94768=LINE('',#532125,#132687); #94769=LINE('',#532127,#132688); #94770=LINE('',#532129,#132689); #94771=LINE('',#532130,#132690); #94772=LINE('',#532133,#132691); #94773=LINE('',#532134,#132692); #94774=LINE('',#532135,#132693); #94775=LINE('',#532137,#132694); #94776=LINE('',#532138,#132695); #94777=LINE('',#532140,#132696); #94778=LINE('',#532141,#132697); #94779=LINE('',#532144,#132698); #94780=LINE('',#532146,#132699); #94781=LINE('',#532147,#132700); #94782=LINE('',#532150,#132701); #94783=LINE('',#532152,#132702); #94784=LINE('',#532153,#132703); #94785=LINE('',#532157,#132704); #94786=LINE('',#532159,#132705); #94787=LINE('',#532161,#132706); #94788=LINE('',#532163,#132707); #94789=LINE('',#532165,#132708); #94790=LINE('',#532169,#132709); #94791=LINE('',#532173,#132710); #94792=LINE('',#532177,#132711); #94793=LINE('',#532181,#132712); #94794=LINE('',#532185,#132713); #94795=LINE('',#532193,#132714); #94796=LINE('',#532197,#132715); #94797=LINE('',#532201,#132716); #94798=LINE('',#532203,#132717); #94799=LINE('',#532205,#132718); #94800=LINE('',#532209,#132719); #94801=LINE('',#532215,#132720); #94802=LINE('',#532219,#132721); #94803=LINE('',#532223,#132722); #94804=LINE('',#532227,#132723); #94805=LINE('',#532231,#132724); #94806=LINE('',#532232,#132725); #94807=LINE('',#532235,#132726); #94808=LINE('',#532237,#132727); #94809=LINE('',#532239,#132728); #94810=LINE('',#532243,#132729); #94811=LINE('',#532249,#132730); #94812=LINE('',#532251,#132731); #94813=LINE('',#532257,#132732); #94814=LINE('',#532260,#132733); #94815=LINE('',#532264,#132734); #94816=LINE('',#532268,#132735); #94817=LINE('',#532272,#132736); #94818=LINE('',#532277,#132737); #94819=LINE('',#532281,#132738); #94820=LINE('',#532285,#132739); #94821=LINE('',#532289,#132740); #94822=LINE('',#532291,#132741); #94823=LINE('',#532293,#132742); #94824=LINE('',#532295,#132743); #94825=LINE('',#532299,#132744); #94826=LINE('',#532301,#132745); #94827=LINE('',#532305,#132746); #94828=LINE('',#532309,#132747); #94829=LINE('',#532315,#132748); #94830=LINE('',#532319,#132749); #94831=LINE('',#532323,#132750); #94832=LINE('',#532326,#132751); #94833=LINE('',#532328,#132752); #94834=LINE('',#532329,#132753); #94835=LINE('',#532331,#132754); #94836=LINE('',#532333,#132755); #94837=LINE('',#532334,#132756); #94838=LINE('',#532362,#132757); #94839=LINE('',#532372,#132758); #94840=LINE('',#532392,#132759); #94841=LINE('',#532393,#132760); #94842=LINE('',#532395,#132761); #94843=LINE('',#532397,#132762); #94844=LINE('',#532398,#132763); #94845=LINE('',#532401,#132764); #94846=LINE('',#532404,#132765); #94847=LINE('',#532408,#132766); #94848=LINE('',#532409,#132767); #94849=LINE('',#532412,#132768); #94850=LINE('',#532416,#132769); #94851=LINE('',#532420,#132770); #94852=LINE('',#532424,#132771); #94853=LINE('',#532427,#132772); #94854=LINE('',#532431,#132773); #94855=LINE('',#532434,#132774); #94856=LINE('',#532459,#132775); #94857=LINE('',#532461,#132776); #94858=LINE('',#532463,#132777); #94859=LINE('',#532467,#132778); #94860=LINE('',#532471,#132779); #94861=LINE('',#532475,#132780); #94862=LINE('',#532479,#132781); #94863=LINE('',#532485,#132782); #94864=LINE('',#532488,#132783); #94865=LINE('',#532490,#132784); #94866=LINE('',#532494,#132785); #94867=LINE('',#532498,#132786); #94868=LINE('',#532506,#132787); #94869=LINE('',#532510,#132788); #94870=LINE('',#532514,#132789); #94871=LINE('',#532518,#132790); #94872=LINE('',#532522,#132791); #94873=LINE('',#532525,#132792); #94874=LINE('',#532528,#132793); #94875=LINE('',#532530,#132794); #94876=LINE('',#532532,#132795); #94877=LINE('',#532536,#132796); #94878=LINE('',#532539,#132797); #94879=LINE('',#532548,#132798); #94880=LINE('',#532550,#132799); #94881=LINE('',#532552,#132800); #94882=LINE('',#532555,#132801); #94883=LINE('',#532557,#132802); #94884=LINE('',#532558,#132803); #94885=LINE('',#532560,#132804); #94886=LINE('',#532562,#132805); #94887=LINE('',#532563,#132806); #94888=LINE('',#532565,#132807); #94889=LINE('',#532567,#132808); #94890=LINE('',#532568,#132809); #94891=LINE('',#532570,#132810); #94892=LINE('',#532572,#132811); #94893=LINE('',#532573,#132812); #94894=LINE('',#532602,#132813); #94895=LINE('',#532603,#132814); #94896=LINE('',#532606,#132815); #94897=LINE('',#532607,#132816); #94898=LINE('',#532609,#132817); #94899=LINE('',#532610,#132818); #94900=LINE('',#532612,#132819); #94901=LINE('',#532617,#132820); #94902=LINE('',#532619,#132821); #94903=LINE('',#532621,#132822); #94904=LINE('',#532623,#132823); #94905=LINE('',#532625,#132824); #94906=LINE('',#532627,#132825); #94907=LINE('',#532629,#132826); #94908=LINE('',#532631,#132827); #94909=LINE('',#532635,#132828); #94910=LINE('',#532636,#132829); #94911=LINE('',#532638,#132830); #94912=LINE('',#532640,#132831); #94913=LINE('',#532643,#132832); #94914=LINE('',#532644,#132833); #94915=LINE('',#532646,#132834); #94916=LINE('',#532649,#132835); #94917=LINE('',#532652,#132836); #94918=LINE('',#532653,#132837); #94919=LINE('',#532655,#132838); #94920=LINE('',#532656,#132839); #94921=LINE('',#532658,#132840); #94922=LINE('',#532659,#132841); #94923=LINE('',#532661,#132842); #94924=LINE('',#532663,#132843); #94925=LINE('',#532665,#132844); #94926=LINE('',#532667,#132845); #94927=LINE('',#532669,#132846); #94928=LINE('',#532671,#132847); #94929=LINE('',#532673,#132848); #94930=LINE('',#532675,#132849); #94931=LINE('',#532678,#132850); #94932=LINE('',#532679,#132851); #94933=LINE('',#532681,#132852); #94934=LINE('',#532682,#132853); #94935=LINE('',#532684,#132854); #94936=LINE('',#532686,#132855); #94937=LINE('',#532688,#132856); #94938=LINE('',#532690,#132857); #94939=LINE('',#532692,#132858); #94940=LINE('',#532694,#132859); #94941=LINE('',#532696,#132860); #94942=LINE('',#532698,#132861); #94943=LINE('',#532701,#132862); #94944=LINE('',#532702,#132863); #94945=LINE('',#532704,#132864); #94946=LINE('',#532706,#132865); #94947=LINE('',#532708,#132866); #94948=LINE('',#532710,#132867); #94949=LINE('',#532712,#132868); #94950=LINE('',#532714,#132869); #94951=LINE('',#532716,#132870); #94952=LINE('',#532718,#132871); #94953=LINE('',#532720,#132872); #94954=LINE('',#532722,#132873); #94955=LINE('',#532724,#132874); #94956=LINE('',#532726,#132875); #94957=LINE('',#532728,#132876); #94958=LINE('',#532730,#132877); #94959=LINE('',#532732,#132878); #94960=LINE('',#532734,#132879); #94961=LINE('',#532736,#132880); #94962=LINE('',#532739,#132881); #94963=LINE('',#532740,#132882); #94964=LINE('',#532742,#132883); #94965=LINE('',#532743,#132884); #94966=LINE('',#532745,#132885); #94967=LINE('',#532747,#132886); #94968=LINE('',#532749,#132887); #94969=LINE('',#532751,#132888); #94970=LINE('',#532753,#132889); #94971=LINE('',#532755,#132890); #94972=LINE('',#532757,#132891); #94973=LINE('',#532759,#132892); #94974=LINE('',#532761,#132893); #94975=LINE('',#532763,#132894); #94976=LINE('',#532765,#132895); #94977=LINE('',#532771,#132896); #94978=LINE('',#532773,#132897); #94979=LINE('',#532775,#132898); #94980=LINE('',#532777,#132899); #94981=LINE('',#532779,#132900); #94982=LINE('',#532781,#132901); #94983=LINE('',#532783,#132902); #94984=LINE('',#532785,#132903); #94985=LINE('',#532788,#132904); #94986=LINE('',#532789,#132905); #94987=LINE('',#532792,#132906); #94988=LINE('',#532793,#132907); #94989=LINE('',#532799,#132908); #94990=LINE('',#532803,#132909); #94991=LINE('',#532807,#132910); #94992=LINE('',#532812,#132911); #94993=LINE('',#532816,#132912); #94994=LINE('',#532820,#132913); #94995=LINE('',#532825,#132914); #94996=LINE('',#532829,#132915); #94997=LINE('',#532833,#132916); #94998=LINE('',#532838,#132917); #94999=LINE('',#532842,#132918); #95000=LINE('',#532846,#132919); #95001=LINE('',#532850,#132920); #95002=LINE('',#532852,#132921); #95003=LINE('',#532854,#132922); #95004=LINE('',#532856,#132923); #95005=LINE('',#532858,#132924); #95006=LINE('',#532860,#132925); #95007=LINE('',#532863,#132926); #95008=LINE('',#532865,#132927); #95009=LINE('',#532867,#132928); #95010=LINE('',#532869,#132929); #95011=LINE('',#532871,#132930); #95012=LINE('',#532873,#132931); #95013=LINE('',#532876,#132932); #95014=LINE('',#532878,#132933); #95015=LINE('',#532879,#132934); #95016=LINE('',#532882,#132935); #95017=LINE('',#532887,#132936); #95018=LINE('',#532891,#132937); #95019=LINE('',#532895,#132938); #95020=LINE('',#532900,#132939); #95021=LINE('',#532904,#132940); #95022=LINE('',#532908,#132941); #95023=LINE('',#532913,#132942); #95024=LINE('',#532917,#132943); #95025=LINE('',#532921,#132944); #95026=LINE('',#532926,#132945); #95027=LINE('',#532930,#132946); #95028=LINE('',#532934,#132947); #95029=LINE('',#532938,#132948); #95030=LINE('',#532940,#132949); #95031=LINE('',#532942,#132950); #95032=LINE('',#532944,#132951); #95033=LINE('',#532946,#132952); #95034=LINE('',#532948,#132953); #95035=LINE('',#532951,#132954); #95036=LINE('',#532953,#132955); #95037=LINE('',#532955,#132956); #95038=LINE('',#532957,#132957); #95039=LINE('',#532959,#132958); #95040=LINE('',#532961,#132959); #95041=LINE('',#532966,#132960); #95042=LINE('',#532968,#132961); #95043=LINE('',#532970,#132962); #95044=LINE('',#532971,#132963); #95045=LINE('',#532977,#132964); #95046=LINE('',#532980,#132965); #95047=LINE('',#532982,#132966); #95048=LINE('',#532983,#132967); #95049=LINE('',#532989,#132968); #95050=LINE('',#532994,#132969); #95051=LINE('',#532996,#132970); #95052=LINE('',#532998,#132971); #95053=LINE('',#533054,#132972); #95054=LINE('',#533060,#132973); #95055=LINE('',#533061,#132974); #95056=LINE('',#533062,#132975); #95057=LINE('',#533074,#132976); #95058=LINE('',#533076,#132977); #95059=LINE('',#533077,#132978); #95060=LINE('',#533080,#132979); #95061=LINE('',#533082,#132980); #95062=LINE('',#533083,#132981); #95063=LINE('',#533085,#132982); #95064=LINE('',#533086,#132983); #95065=LINE('',#533090,#132984); #95066=LINE('',#533091,#132985); #95067=LINE('',#533093,#132986); #95068=LINE('',#533094,#132987); #95069=LINE('',#533096,#132988); #95070=LINE('',#533098,#132989); #95071=LINE('',#533100,#132990); #95072=LINE('',#533102,#132991); #95073=LINE('',#533104,#132992); #95074=LINE('',#533106,#132993); #95075=LINE('',#533108,#132994); #95076=LINE('',#533110,#132995); #95077=LINE('',#533112,#132996); #95078=LINE('',#533114,#132997); #95079=LINE('',#533116,#132998); #95080=LINE('',#533118,#132999); #95081=LINE('',#533121,#133000); #95082=LINE('',#533122,#133001); #95083=LINE('',#533124,#133002); #95084=LINE('',#533126,#133003); #95085=LINE('',#533128,#133004); #95086=LINE('',#533130,#133005); #95087=LINE('',#533132,#133006); #95088=LINE('',#533134,#133007); #95089=LINE('',#533136,#133008); #95090=LINE('',#533138,#133009); #95091=LINE('',#533140,#133010); #95092=LINE('',#533142,#133011); #95093=LINE('',#533145,#133012); #95094=LINE('',#533146,#133013); #95095=LINE('',#533148,#133014); #95096=LINE('',#533149,#133015); #95097=LINE('',#533151,#133016); #95098=LINE('',#533153,#133017); #95099=LINE('',#533160,#133018); #95100=LINE('',#533162,#133019); #95101=LINE('',#533163,#133020); #95102=LINE('',#533166,#133021); #95103=LINE('',#533171,#133022); #95104=LINE('',#533175,#133023); #95105=LINE('',#533179,#133024); #95106=LINE('',#533184,#133025); #95107=LINE('',#533188,#133026); #95108=LINE('',#533192,#133027); #95109=LINE('',#533197,#133028); #95110=LINE('',#533201,#133029); #95111=LINE('',#533205,#133030); #95112=LINE('',#533210,#133031); #95113=LINE('',#533214,#133032); #95114=LINE('',#533218,#133033); #95115=LINE('',#533222,#133034); #95116=LINE('',#533224,#133035); #95117=LINE('',#533226,#133036); #95118=LINE('',#533228,#133037); #95119=LINE('',#533230,#133038); #95120=LINE('',#533232,#133039); #95121=LINE('',#533235,#133040); #95122=LINE('',#533237,#133041); #95123=LINE('',#533239,#133042); #95124=LINE('',#533241,#133043); #95125=LINE('',#533243,#133044); #95126=LINE('',#533245,#133045); #95127=LINE('',#533248,#133046); #95128=LINE('',#533249,#133047); #95129=LINE('',#533252,#133048); #95130=LINE('',#533253,#133049); #95131=LINE('',#533259,#133050); #95132=LINE('',#533263,#133051); #95133=LINE('',#533267,#133052); #95134=LINE('',#533272,#133053); #95135=LINE('',#533276,#133054); #95136=LINE('',#533280,#133055); #95137=LINE('',#533285,#133056); #95138=LINE('',#533289,#133057); #95139=LINE('',#533293,#133058); #95140=LINE('',#533298,#133059); #95141=LINE('',#533302,#133060); #95142=LINE('',#533306,#133061); #95143=LINE('',#533310,#133062); #95144=LINE('',#533312,#133063); #95145=LINE('',#533314,#133064); #95146=LINE('',#533316,#133065); #95147=LINE('',#533318,#133066); #95148=LINE('',#533320,#133067); #95149=LINE('',#533323,#133068); #95150=LINE('',#533325,#133069); #95151=LINE('',#533327,#133070); #95152=LINE('',#533329,#133071); #95153=LINE('',#533331,#133072); #95154=LINE('',#533333,#133073); #95155=LINE('',#533340,#133074); #95156=LINE('',#533343,#133075); #95157=LINE('',#533346,#133076); #95158=LINE('',#533348,#133077); #95159=LINE('',#533349,#133078); #95160=LINE('',#533356,#133079); #95161=LINE('',#533357,#133080); #95162=LINE('',#533358,#133081); #95163=LINE('',#533363,#133082); #95164=LINE('',#533365,#133083); #95165=LINE('',#533368,#133084); #95166=LINE('',#533370,#133085); #95167=LINE('',#533427,#133086); #95168=LINE('',#533432,#133087); #95169=LINE('',#533436,#133088); #95170=LINE('',#533437,#133089); #95171=LINE('',#533446,#133090); #95172=LINE('',#533448,#133091); #95173=LINE('',#533449,#133092); #95174=LINE('',#533452,#133093); #95175=LINE('',#533454,#133094); #95176=LINE('',#533455,#133095); #95177=LINE('',#533457,#133096); #95178=LINE('',#533458,#133097); #95179=LINE('',#533464,#133098); #95180=LINE('',#533466,#133099); #95181=LINE('',#533468,#133100); #95182=LINE('',#533469,#133101); #95183=LINE('',#533474,#133102); #95184=LINE('',#533479,#133103); #95185=LINE('',#533481,#133104); #95186=LINE('',#533483,#133105); #95187=LINE('',#533484,#133106); #95188=LINE('',#533490,#133107); #95189=LINE('',#533496,#133108); #95190=LINE('',#533502,#133109); #95191=LINE('',#533505,#133110); #95192=LINE('',#533507,#133111); #95193=LINE('',#533508,#133112); #95194=LINE('',#533514,#133113); #95195=LINE('',#533520,#133114); #95196=LINE('',#533526,#133115); #95197=LINE('',#533529,#133116); #95198=LINE('',#533531,#133117); #95199=LINE('',#533532,#133118); #95200=LINE('',#533538,#133119); #95201=LINE('',#533541,#133120); #95202=LINE('',#533543,#133121); #95203=LINE('',#533544,#133122); #95204=LINE('',#533551,#133123); #95205=LINE('',#533553,#133124); #95206=LINE('',#533555,#133125); #95207=LINE('',#533556,#133126); #95208=LINE('',#533561,#133127); #95209=LINE('',#533567,#133128); #95210=LINE('',#533573,#133129); #95211=LINE('',#533577,#133130); #95212=LINE('',#533579,#133131); #95213=LINE('',#533580,#133132); #95214=LINE('',#533585,#133133); #95215=LINE('',#533591,#133134); #95216=LINE('',#533597,#133135); #95217=LINE('',#533601,#133136); #95218=LINE('',#533603,#133137); #95219=LINE('',#533604,#133138); #95220=LINE('',#533609,#133139); #95221=LINE('',#533613,#133140); #95222=LINE('',#533615,#133141); #95223=LINE('',#533616,#133142); #95224=LINE('',#533623,#133143); #95225=LINE('',#533625,#133144); #95226=LINE('',#533627,#133145); #95227=LINE('',#533628,#133146); #95228=LINE('',#533632,#133147); #95229=LINE('',#533645,#133148); #95230=LINE('',#533652,#133149); #95231=LINE('',#533654,#133150); #95232=LINE('',#533682,#133151); #95233=LINE('',#533732,#133152); #95234=LINE('',#533734,#133153); #95235=LINE('',#533738,#133154); #95236=LINE('',#533741,#133155); #95237=LINE('',#533743,#133156); #95238=LINE('',#533747,#133157); #95239=LINE('',#533751,#133158); #95240=LINE('',#533752,#133159); #95241=LINE('',#533755,#133160); #95242=LINE('',#533756,#133161); #95243=LINE('',#533760,#133162); #95244=LINE('',#533762,#133163); #95245=LINE('',#533764,#133164); #95246=LINE('',#533765,#133165); #95247=LINE('',#533767,#133166); #95248=LINE('',#533769,#133167); #95249=LINE('',#533773,#133168); #95250=LINE('',#533789,#133169); #95251=LINE('',#533820,#133170); #95252=LINE('',#533842,#133171); #95253=LINE('',#533844,#133172); #95254=LINE('',#533845,#133173); #95255=LINE('',#533859,#133174); #95256=LINE('',#533878,#133175); #95257=LINE('',#533881,#133176); #95258=LINE('',#533886,#133177); #95259=LINE('',#533888,#133178); #95260=LINE('',#533890,#133179); #95261=LINE('',#533891,#133180); #95262=LINE('',#533895,#133181); #95263=LINE('',#533897,#133182); #95264=LINE('',#533899,#133183); #95265=LINE('',#533900,#133184); #95266=LINE('',#533904,#133185); #95267=LINE('',#533908,#133186); #95268=LINE('',#533910,#133187); #95269=LINE('',#533912,#133188); #95270=LINE('',#533913,#133189); #95271=LINE('',#533918,#133190); #95272=LINE('',#533924,#133191); #95273=LINE('',#533932,#133192); #95274=LINE('',#533935,#133193); #95275=LINE('',#533937,#133194); #95276=LINE('',#533938,#133195); #95277=LINE('',#533943,#133196); #95278=LINE('',#533947,#133197); #95279=LINE('',#533949,#133198); #95280=LINE('',#533950,#133199); #95281=LINE('',#533955,#133200); #95282=LINE('',#533959,#133201); #95283=LINE('',#533961,#133202); #95284=LINE('',#533962,#133203); #95285=LINE('',#533967,#133204); #95286=LINE('',#533977,#133205); #95287=LINE('',#533982,#133206); #95288=LINE('',#533988,#133207); #95289=LINE('',#533992,#133208); #95290=LINE('',#533994,#133209); #95291=LINE('',#533995,#133210); #95292=LINE('',#534000,#133211); #95293=LINE('',#534010,#133212); #95294=LINE('',#534015,#133213); #95295=LINE('',#534021,#133214); #95296=LINE('',#534025,#133215); #95297=LINE('',#534027,#133216); #95298=LINE('',#534028,#133217); #95299=LINE('',#534033,#133218); #95300=LINE('',#534037,#133219); #95301=LINE('',#534039,#133220); #95302=LINE('',#534040,#133221); #95303=LINE('',#534045,#133222); #95304=LINE('',#534048,#133223); #95305=LINE('',#534050,#133224); #95306=LINE('',#534053,#133225); #95307=LINE('',#534059,#133226); #95308=LINE('',#534064,#133227); #95309=LINE('',#534070,#133228); #95310=LINE('',#534074,#133229); #95311=LINE('',#534076,#133230); #95312=LINE('',#534077,#133231); #95313=LINE('',#534081,#133232); #95314=LINE('',#534083,#133233); #95315=LINE('',#534085,#133234); #95316=LINE('',#534086,#133235); #95317=LINE('',#534091,#133236); #95318=LINE('',#534098,#133237); #95319=LINE('',#534101,#133238); #95320=LINE('',#534105,#133239); #95321=LINE('',#534108,#133240); #95322=LINE('',#534113,#133241); #95323=LINE('',#534114,#133242); #95324=LINE('',#534116,#133243); #95325=LINE('',#534121,#133244); #95326=LINE('',#534123,#133245); #95327=LINE('',#534127,#133246); #95328=LINE('',#534129,#133247); #95329=LINE('',#534130,#133248); #95330=LINE('',#534133,#133249); #95331=LINE('',#534134,#133250); #95332=LINE('',#534139,#133251); #95333=LINE('',#534156,#133252); #95334=LINE('',#534162,#133253); #95335=LINE('',#534249,#133254); #95336=LINE('',#534261,#133255); #95337=LINE('',#534280,#133256); #95338=LINE('',#534282,#133257); #95339=LINE('',#534284,#133258); #95340=LINE('',#534286,#133259); #95341=LINE('',#534288,#133260); #95342=LINE('',#534290,#133261); #95343=LINE('',#534293,#133262); #95344=LINE('',#534295,#133263); #95345=LINE('',#534297,#133264); #95346=LINE('',#534299,#133265); #95347=LINE('',#534301,#133266); #95348=LINE('',#534303,#133267); #95349=LINE('',#534306,#133268); #95350=LINE('',#534308,#133269); #95351=LINE('',#534310,#133270); #95352=LINE('',#534312,#133271); #95353=LINE('',#534314,#133272); #95354=LINE('',#534316,#133273); #95355=LINE('',#534319,#133274); #95356=LINE('',#534321,#133275); #95357=LINE('',#534323,#133276); #95358=LINE('',#534325,#133277); #95359=LINE('',#534327,#133278); #95360=LINE('',#534329,#133279); #95361=LINE('',#534335,#133280); #95362=LINE('',#534337,#133281); #95363=LINE('',#534339,#133282); #95364=LINE('',#534340,#133283); #95365=LINE('',#534343,#133284); #95366=LINE('',#534347,#133285); #95367=LINE('',#534351,#133286); #95368=LINE('',#534353,#133287); #95369=LINE('',#534355,#133288); #95370=LINE('',#534359,#133289); #95371=LINE('',#534362,#133290); #95372=LINE('',#534366,#133291); #95373=LINE('',#534368,#133292); #95374=LINE('',#534370,#133293); #95375=LINE('',#534374,#133294); #95376=LINE('',#534378,#133295); #95377=LINE('',#534380,#133296); #95378=LINE('',#534382,#133297); #95379=LINE('',#534386,#133298); #95380=LINE('',#534390,#133299); #95381=LINE('',#534392,#133300); #95382=LINE('',#534393,#133301); #95383=LINE('',#534397,#133302); #95384=LINE('',#534399,#133303); #95385=LINE('',#534400,#133304); #95386=LINE('',#534402,#133305); #95387=LINE('',#534403,#133306); #95388=LINE('',#534407,#133307); #95389=LINE('',#534408,#133308); #95390=LINE('',#534409,#133309); #95391=LINE('',#534412,#133310); #95392=LINE('',#534413,#133311); #95393=LINE('',#534415,#133312); #95394=LINE('',#534416,#133313); #95395=LINE('',#534418,#133314); #95396=LINE('',#534419,#133315); #95397=LINE('',#534421,#133316); #95398=LINE('',#534422,#133317); #95399=LINE('',#534424,#133318); #95400=LINE('',#534425,#133319); #95401=LINE('',#534427,#133320); #95402=LINE('',#534429,#133321); #95403=LINE('',#534431,#133322); #95404=LINE('',#534434,#133323); #95405=LINE('',#534436,#133324); #95406=LINE('',#534438,#133325); #95407=LINE('',#534468,#133326); #95408=LINE('',#534470,#133327); #95409=LINE('',#534472,#133328); #95410=LINE('',#534474,#133329); #95411=LINE('',#534476,#133330); #95412=LINE('',#534478,#133331); #95413=LINE('',#534480,#133332); #95414=LINE('',#534482,#133333); #95415=LINE('',#534484,#133334); #95416=LINE('',#534486,#133335); #95417=LINE('',#534488,#133336); #95418=LINE('',#534489,#133337); #95419=LINE('',#534493,#133338); #95420=LINE('',#534495,#133339); #95421=LINE('',#534497,#133340); #95422=LINE('',#534498,#133341); #95423=LINE('',#534502,#133342); #95424=LINE('',#534504,#133343); #95425=LINE('',#534506,#133344); #95426=LINE('',#534507,#133345); #95427=LINE('',#534511,#133346); #95428=LINE('',#534513,#133347); #95429=LINE('',#534515,#133348); #95430=LINE('',#534516,#133349); #95431=LINE('',#534519,#133350); #95432=LINE('',#534521,#133351); #95433=LINE('',#534523,#133352); #95434=LINE('',#534524,#133353); #95435=LINE('',#534528,#133354); #95436=LINE('',#534530,#133355); #95437=LINE('',#534532,#133356); #95438=LINE('',#534533,#133357); #95439=LINE('',#534536,#133358); #95440=LINE('',#534538,#133359); #95441=LINE('',#534540,#133360); #95442=LINE('',#534541,#133361); #95443=LINE('',#534545,#133362); #95444=LINE('',#534547,#133363); #95445=LINE('',#534549,#133364); #95446=LINE('',#534550,#133365); #95447=LINE('',#534553,#133366); #95448=LINE('',#534555,#133367); #95449=LINE('',#534557,#133368); #95450=LINE('',#534558,#133369); #95451=LINE('',#534561,#133370); #95452=LINE('',#534563,#133371); #95453=LINE('',#534565,#133372); #95454=LINE('',#534566,#133373); #95455=LINE('',#534569,#133374); #95456=LINE('',#534570,#133375); #95457=LINE('',#534572,#133376); #95458=LINE('',#534573,#133377); #95459=LINE('',#534576,#133378); #95460=LINE('',#534578,#133379); #95461=LINE('',#534579,#133380); #95462=LINE('',#534580,#133381); #95463=LINE('',#534583,#133382); #95464=LINE('',#534584,#133383); #95465=LINE('',#534587,#133384); #95466=LINE('',#534588,#133385); #95467=LINE('',#534590,#133386); #95468=LINE('',#534591,#133387); #95469=LINE('',#534594,#133388); #95470=LINE('',#534595,#133389); #95471=LINE('',#534596,#133390); #95472=LINE('',#534599,#133391); #95473=LINE('',#534600,#133392); #95474=LINE('',#534604,#133393); #95475=LINE('',#534606,#133394); #95476=LINE('',#534608,#133395); #95477=LINE('',#534610,#133396); #95478=LINE('',#534612,#133397); #95479=LINE('',#534613,#133398); #95480=LINE('',#534614,#133399); #95481=LINE('',#534618,#133400); #95482=LINE('',#534621,#133401); #95483=LINE('',#534622,#133402); #95484=LINE('',#534623,#133403); #95485=LINE('',#534626,#133404); #95486=LINE('',#534630,#133405); #95487=LINE('',#534631,#133406); #95488=LINE('',#534633,#133407); #95489=LINE('',#534634,#133408); #95490=LINE('',#534640,#133409); #95491=LINE('',#534644,#133410); #95492=LINE('',#534646,#133411); #95493=LINE('',#534648,#133412); #95494=LINE('',#534649,#133413); #95495=LINE('',#534650,#133414); #95496=LINE('',#534651,#133415); #95497=LINE('',#534652,#133416); #95498=LINE('',#534654,#133417); #95499=LINE('',#534656,#133418); #95500=LINE('',#534658,#133419); #95501=LINE('',#534659,#133420); #95502=LINE('',#534666,#133421); #95503=LINE('',#534670,#133422); #95504=LINE('',#534672,#133423); #95505=LINE('',#534674,#133424); #95506=LINE('',#534675,#133425); #95507=LINE('',#534677,#133426); #95508=LINE('',#534678,#133427); #95509=LINE('',#534680,#133428); #95510=LINE('',#534681,#133429); #95511=LINE('',#534683,#133430); #95512=LINE('',#534685,#133431); #95513=LINE('',#534687,#133432); #95514=LINE('',#534688,#133433); #95515=LINE('',#534691,#133434); #95516=LINE('',#534693,#133435); #95517=LINE('',#534695,#133436); #95518=LINE('',#534697,#133437); #95519=LINE('',#534701,#133438); #95520=LINE('',#534702,#133439); #95521=LINE('',#534706,#133440); #95522=LINE('',#534707,#133441); #95523=LINE('',#534710,#133442); #95524=LINE('',#534712,#133443); #95525=LINE('',#534714,#133444); #95526=LINE('',#534716,#133445); #95527=LINE('',#534718,#133446); #95528=LINE('',#534720,#133447); #95529=LINE('',#534722,#133448); #95530=LINE('',#534724,#133449); #95531=LINE('',#534726,#133450); #95532=LINE('',#534728,#133451); #95533=LINE('',#534730,#133452); #95534=LINE('',#534738,#133453); #95535=LINE('',#534740,#133454); #95536=LINE('',#534741,#133455); #95537=LINE('',#534744,#133456); #95538=LINE('',#534745,#133457); #95539=LINE('',#534748,#133458); #95540=LINE('',#534749,#133459); #95541=LINE('',#534751,#133460); #95542=LINE('',#534755,#133461); #95543=LINE('',#534757,#133462); #95544=LINE('',#534759,#133463); #95545=LINE('',#534760,#133464); #95546=LINE('',#534762,#133465); #95547=LINE('',#534763,#133466); #95548=LINE('',#534765,#133467); #95549=LINE('',#534767,#133468); #95550=LINE('',#534771,#133469); #95551=LINE('',#534773,#133470); #95552=LINE('',#534774,#133471); #95553=LINE('',#534777,#133472); #95554=LINE('',#534778,#133473); #95555=LINE('',#534781,#133474); #95556=LINE('',#534782,#133475); #95557=LINE('',#534784,#133476); #95558=LINE('',#534788,#133477); #95559=LINE('',#534790,#133478); #95560=LINE('',#534792,#133479); #95561=LINE('',#534793,#133480); #95562=LINE('',#534795,#133481); #95563=LINE('',#534796,#133482); #95564=LINE('',#534798,#133483); #95565=LINE('',#534800,#133484); #95566=LINE('',#534804,#133485); #95567=LINE('',#534806,#133486); #95568=LINE('',#534807,#133487); #95569=LINE('',#534811,#133488); #95570=LINE('',#534813,#133489); #95571=LINE('',#534815,#133490); #95572=LINE('',#534816,#133491); #95573=LINE('',#534819,#133492); #95574=LINE('',#534821,#133493); #95575=LINE('',#534822,#133494); #95576=LINE('',#534824,#133495); #95577=LINE('',#534826,#133496); #95578=LINE('',#534828,#133497); #95579=LINE('',#534829,#133498); #95580=LINE('',#534831,#133499); #95581=LINE('',#534833,#133500); #95582=LINE('',#534837,#133501); #95583=LINE('',#534839,#133502); #95584=LINE('',#534840,#133503); #95585=LINE('',#534844,#133504); #95586=LINE('',#534846,#133505); #95587=LINE('',#534848,#133506); #95588=LINE('',#534849,#133507); #95589=LINE('',#534852,#133508); #95590=LINE('',#534854,#133509); #95591=LINE('',#534855,#133510); #95592=LINE('',#534857,#133511); #95593=LINE('',#534859,#133512); #95594=LINE('',#534861,#133513); #95595=LINE('',#534862,#133514); #95596=LINE('',#534864,#133515); #95597=LINE('',#534866,#133516); #95598=LINE('',#534869,#133517); #95599=LINE('',#534870,#133518); #95600=LINE('',#534872,#133519); #95601=LINE('',#534874,#133520); #95602=LINE('',#534875,#133521); #95603=LINE('',#534877,#133522); #95604=LINE('',#534881,#133523); #95605=LINE('',#534883,#133524); #95606=LINE('',#534924,#133525); #95607=LINE('',#534925,#133526); #95608=LINE('',#534941,#133527); #95609=LINE('',#534942,#133528); #95610=LINE('',#534944,#133529); #95611=LINE('',#534945,#133530); #95612=LINE('',#534954,#133531); #95613=LINE('',#534955,#133532); #95614=LINE('',#534957,#133533); #95615=LINE('',#534958,#133534); #95616=LINE('',#534960,#133535); #95617=LINE('',#534961,#133536); #95618=LINE('',#534963,#133537); #95619=LINE('',#534964,#133538); #95620=LINE('',#534966,#133539); #95621=LINE('',#534967,#133540); #95622=LINE('',#534969,#133541); #95623=LINE('',#534970,#133542); #95624=LINE('',#534972,#133543); #95625=LINE('',#534973,#133544); #95626=LINE('',#534975,#133545); #95627=LINE('',#534976,#133546); #95628=LINE('',#534978,#133547); #95629=LINE('',#534979,#133548); #95630=LINE('',#535022,#133549); #95631=LINE('',#535023,#133550); #95632=LINE('',#535039,#133551); #95633=LINE('',#535040,#133552); #95634=LINE('',#535042,#133553); #95635=LINE('',#535043,#133554); #95636=LINE('',#535052,#133555); #95637=LINE('',#535053,#133556); #95638=LINE('',#535055,#133557); #95639=LINE('',#535056,#133558); #95640=LINE('',#535058,#133559); #95641=LINE('',#535059,#133560); #95642=LINE('',#535061,#133561); #95643=LINE('',#535062,#133562); #95644=LINE('',#535064,#133563); #95645=LINE('',#535065,#133564); #95646=LINE('',#535067,#133565); #95647=LINE('',#535068,#133566); #95648=LINE('',#535070,#133567); #95649=LINE('',#535071,#133568); #95650=LINE('',#535073,#133569); #95651=LINE('',#535074,#133570); #95652=LINE('',#535076,#133571); #95653=LINE('',#535077,#133572); #95654=LINE('',#535087,#133573); #95655=LINE('',#535089,#133574); #95656=LINE('',#535091,#133575); #95657=LINE('',#535092,#133576); #95658=LINE('',#535095,#133577); #95659=LINE('',#535097,#133578); #95660=LINE('',#535098,#133579); #95661=LINE('',#535101,#133580); #95662=LINE('',#535103,#133581); #95663=LINE('',#535104,#133582); #95664=LINE('',#535106,#133583); #95665=LINE('',#535107,#133584); #95666=LINE('',#535149,#133585); #95667=LINE('',#535150,#133586); #95668=LINE('',#535166,#133587); #95669=LINE('',#535167,#133588); #95670=LINE('',#535169,#133589); #95671=LINE('',#535170,#133590); #95672=LINE('',#535179,#133591); #95673=LINE('',#535180,#133592); #95674=LINE('',#535182,#133593); #95675=LINE('',#535183,#133594); #95676=LINE('',#535185,#133595); #95677=LINE('',#535186,#133596); #95678=LINE('',#535188,#133597); #95679=LINE('',#535189,#133598); #95680=LINE('',#535191,#133599); #95681=LINE('',#535192,#133600); #95682=LINE('',#535194,#133601); #95683=LINE('',#535195,#133602); #95684=LINE('',#535197,#133603); #95685=LINE('',#535198,#133604); #95686=LINE('',#535200,#133605); #95687=LINE('',#535201,#133606); #95688=LINE('',#535203,#133607); #95689=LINE('',#535204,#133608); #95690=LINE('',#535247,#133609); #95691=LINE('',#535248,#133610); #95692=LINE('',#535264,#133611); #95693=LINE('',#535265,#133612); #95694=LINE('',#535267,#133613); #95695=LINE('',#535268,#133614); #95696=LINE('',#535277,#133615); #95697=LINE('',#535278,#133616); #95698=LINE('',#535280,#133617); #95699=LINE('',#535281,#133618); #95700=LINE('',#535283,#133619); #95701=LINE('',#535284,#133620); #95702=LINE('',#535286,#133621); #95703=LINE('',#535287,#133622); #95704=LINE('',#535289,#133623); #95705=LINE('',#535290,#133624); #95706=LINE('',#535292,#133625); #95707=LINE('',#535293,#133626); #95708=LINE('',#535295,#133627); #95709=LINE('',#535296,#133628); #95710=LINE('',#535298,#133629); #95711=LINE('',#535299,#133630); #95712=LINE('',#535301,#133631); #95713=LINE('',#535302,#133632); #95714=LINE('',#535312,#133633); #95715=LINE('',#535314,#133634); #95716=LINE('',#535316,#133635); #95717=LINE('',#535317,#133636); #95718=LINE('',#535320,#133637); #95719=LINE('',#535322,#133638); #95720=LINE('',#535323,#133639); #95721=LINE('',#535326,#133640); #95722=LINE('',#535328,#133641); #95723=LINE('',#535329,#133642); #95724=LINE('',#535331,#133643); #95725=LINE('',#535332,#133644); #95726=LINE('',#535374,#133645); #95727=LINE('',#535375,#133646); #95728=LINE('',#535391,#133647); #95729=LINE('',#535392,#133648); #95730=LINE('',#535394,#133649); #95731=LINE('',#535395,#133650); #95732=LINE('',#535404,#133651); #95733=LINE('',#535405,#133652); #95734=LINE('',#535407,#133653); #95735=LINE('',#535408,#133654); #95736=LINE('',#535410,#133655); #95737=LINE('',#535411,#133656); #95738=LINE('',#535413,#133657); #95739=LINE('',#535414,#133658); #95740=LINE('',#535416,#133659); #95741=LINE('',#535417,#133660); #95742=LINE('',#535419,#133661); #95743=LINE('',#535420,#133662); #95744=LINE('',#535422,#133663); #95745=LINE('',#535423,#133664); #95746=LINE('',#535425,#133665); #95747=LINE('',#535426,#133666); #95748=LINE('',#535428,#133667); #95749=LINE('',#535429,#133668); #95750=LINE('',#535472,#133669); #95751=LINE('',#535473,#133670); #95752=LINE('',#535489,#133671); #95753=LINE('',#535490,#133672); #95754=LINE('',#535492,#133673); #95755=LINE('',#535493,#133674); #95756=LINE('',#535502,#133675); #95757=LINE('',#535503,#133676); #95758=LINE('',#535505,#133677); #95759=LINE('',#535506,#133678); #95760=LINE('',#535508,#133679); #95761=LINE('',#535509,#133680); #95762=LINE('',#535511,#133681); #95763=LINE('',#535512,#133682); #95764=LINE('',#535514,#133683); #95765=LINE('',#535515,#133684); #95766=LINE('',#535517,#133685); #95767=LINE('',#535518,#133686); #95768=LINE('',#535520,#133687); #95769=LINE('',#535521,#133688); #95770=LINE('',#535523,#133689); #95771=LINE('',#535524,#133690); #95772=LINE('',#535526,#133691); #95773=LINE('',#535527,#133692); #95774=LINE('',#535537,#133693); #95775=LINE('',#535539,#133694); #95776=LINE('',#535541,#133695); #95777=LINE('',#535542,#133696); #95778=LINE('',#535545,#133697); #95779=LINE('',#535547,#133698); #95780=LINE('',#535548,#133699); #95781=LINE('',#535551,#133700); #95782=LINE('',#535553,#133701); #95783=LINE('',#535554,#133702); #95784=LINE('',#535556,#133703); #95785=LINE('',#535557,#133704); #95786=LINE('',#535567,#133705); #95787=LINE('',#535580,#133706); #95788=LINE('',#535596,#133707); #95789=LINE('',#535611,#133708); #95790=LINE('',#535615,#133709); #95791=LINE('',#535619,#133710); #95792=LINE('',#535627,#133711); #95793=LINE('',#535631,#133712); #95794=LINE('',#535634,#133713); #95795=LINE('',#535647,#133714); #95796=LINE('',#535655,#133715); #95797=LINE('',#535663,#133716); #95798=LINE('',#535679,#133717); #95799=LINE('',#535687,#133718); #95800=LINE('',#535695,#133719); #95801=LINE('',#535704,#133720); #95802=LINE('',#535712,#133721); #95803=LINE('',#535719,#133722); #95804=LINE('',#535721,#133723); #95805=LINE('',#535723,#133724); #95806=LINE('',#535724,#133725); #95807=LINE('',#535729,#133726); #95808=LINE('',#535733,#133727); #95809=LINE('',#535737,#133728); #95810=LINE('',#535740,#133729); #95811=LINE('',#535745,#133730); #95812=LINE('',#535747,#133731); #95813=LINE('',#535749,#133732); #95814=LINE('',#535750,#133733); #95815=LINE('',#535755,#133734); #95816=LINE('',#535759,#133735); #95817=LINE('',#535763,#133736); #95818=LINE('',#535766,#133737); #95819=LINE('',#535771,#133738); #95820=LINE('',#535773,#133739); #95821=LINE('',#535775,#133740); #95822=LINE('',#535776,#133741); #95823=LINE('',#535781,#133742); #95824=LINE('',#535785,#133743); #95825=LINE('',#535789,#133744); #95826=LINE('',#535792,#133745); #95827=LINE('',#535797,#133746); #95828=LINE('',#535799,#133747); #95829=LINE('',#535801,#133748); #95830=LINE('',#535802,#133749); #95831=LINE('',#535807,#133750); #95832=LINE('',#535811,#133751); #95833=LINE('',#535815,#133752); #95834=LINE('',#535818,#133753); #95835=LINE('',#535823,#133754); #95836=LINE('',#535825,#133755); #95837=LINE('',#535827,#133756); #95838=LINE('',#535828,#133757); #95839=LINE('',#535833,#133758); #95840=LINE('',#535837,#133759); #95841=LINE('',#535841,#133760); #95842=LINE('',#535844,#133761); #95843=LINE('',#535849,#133762); #95844=LINE('',#535851,#133763); #95845=LINE('',#535853,#133764); #95846=LINE('',#535854,#133765); #95847=LINE('',#535859,#133766); #95848=LINE('',#535863,#133767); #95849=LINE('',#535867,#133768); #95850=LINE('',#535870,#133769); #95851=LINE('',#535875,#133770); #95852=LINE('',#535877,#133771); #95853=LINE('',#535879,#133772); #95854=LINE('',#535880,#133773); #95855=LINE('',#535885,#133774); #95856=LINE('',#535889,#133775); #95857=LINE('',#535893,#133776); #95858=LINE('',#535896,#133777); #95859=LINE('',#535901,#133778); #95860=LINE('',#535903,#133779); #95861=LINE('',#535905,#133780); #95862=LINE('',#535906,#133781); #95863=LINE('',#535911,#133782); #95864=LINE('',#535915,#133783); #95865=LINE('',#535919,#133784); #95866=LINE('',#535922,#133785); #95867=LINE('',#535927,#133786); #95868=LINE('',#535929,#133787); #95869=LINE('',#535931,#133788); #95870=LINE('',#535932,#133789); #95871=LINE('',#535937,#133790); #95872=LINE('',#535941,#133791); #95873=LINE('',#535945,#133792); #95874=LINE('',#535948,#133793); #95875=LINE('',#535957,#133794); #95876=LINE('',#535963,#133795); #95877=LINE('',#535965,#133796); #95878=LINE('',#535967,#133797); #95879=LINE('',#535968,#133798); #95880=LINE('',#535971,#133799); #95881=LINE('',#535973,#133800); #95882=LINE('',#535974,#133801); #95883=LINE('',#535977,#133802); #95884=LINE('',#535979,#133803); #95885=LINE('',#535980,#133804); #95886=LINE('',#535982,#133805); #95887=LINE('',#535983,#133806); #95888=LINE('',#535986,#133807); #95889=LINE('',#535988,#133808); #95890=LINE('',#535989,#133809); #95891=LINE('',#535992,#133810); #95892=LINE('',#535993,#133811); #95893=LINE('',#535997,#133812); #95894=LINE('',#535998,#133813); #95895=LINE('',#536000,#133814); #95896=LINE('',#536005,#133815); #95897=LINE('',#536007,#133816); #95898=LINE('',#536009,#133817); #95899=LINE('',#536010,#133818); #95900=LINE('',#536013,#133819); #95901=LINE('',#536015,#133820); #95902=LINE('',#536016,#133821); #95903=LINE('',#536019,#133822); #95904=LINE('',#536021,#133823); #95905=LINE('',#536022,#133824); #95906=LINE('',#536024,#133825); #95907=LINE('',#536025,#133826); #95908=LINE('',#536031,#133827); #95909=LINE('',#536033,#133828); #95910=LINE('',#536035,#133829); #95911=LINE('',#536036,#133830); #95912=LINE('',#536039,#133831); #95913=LINE('',#536041,#133832); #95914=LINE('',#536042,#133833); #95915=LINE('',#536048,#133834); #95916=LINE('',#536051,#133835); #95917=LINE('',#536053,#133836); #95918=LINE('',#536054,#133837); #95919=LINE('',#536060,#133838); #95920=LINE('',#536062,#133839); #95921=LINE('',#536063,#133840); #95922=LINE('',#536069,#133841); #95923=LINE('',#536071,#133842); #95924=LINE('',#536073,#133843); #95925=LINE('',#536074,#133844); #95926=LINE('',#536077,#133845); #95927=LINE('',#536079,#133846); #95928=LINE('',#536080,#133847); #95929=LINE('',#536085,#133848); #95930=LINE('',#536089,#133849); #95931=LINE('',#536091,#133850); #95932=LINE('',#536092,#133851); #95933=LINE('',#536097,#133852); #95934=LINE('',#536100,#133853); #95935=LINE('',#536101,#133854); #95936=LINE('',#536107,#133855); #95937=LINE('',#536109,#133856); #95938=LINE('',#536111,#133857); #95939=LINE('',#536112,#133858); #95940=LINE('',#536115,#133859); #95941=LINE('',#536117,#133860); #95942=LINE('',#536118,#133861); #95943=LINE('',#536124,#133862); #95944=LINE('',#536127,#133863); #95945=LINE('',#536129,#133864); #95946=LINE('',#536130,#133865); #95947=LINE('',#536136,#133866); #95948=LINE('',#536138,#133867); #95949=LINE('',#536139,#133868); #95950=LINE('',#536145,#133869); #95951=LINE('',#536147,#133870); #95952=LINE('',#536149,#133871); #95953=LINE('',#536150,#133872); #95954=LINE('',#536153,#133873); #95955=LINE('',#536155,#133874); #95956=LINE('',#536156,#133875); #95957=LINE('',#536162,#133876); #95958=LINE('',#536165,#133877); #95959=LINE('',#536167,#133878); #95960=LINE('',#536168,#133879); #95961=LINE('',#536174,#133880); #95962=LINE('',#536176,#133881); #95963=LINE('',#536177,#133882); #95964=LINE('',#536183,#133883); #95965=LINE('',#536185,#133884); #95966=LINE('',#536187,#133885); #95967=LINE('',#536188,#133886); #95968=LINE('',#536191,#133887); #95969=LINE('',#536193,#133888); #95970=LINE('',#536194,#133889); #95971=LINE('',#536200,#133890); #95972=LINE('',#536203,#133891); #95973=LINE('',#536205,#133892); #95974=LINE('',#536206,#133893); #95975=LINE('',#536212,#133894); #95976=LINE('',#536214,#133895); #95977=LINE('',#536215,#133896); #95978=LINE('',#536221,#133897); #95979=LINE('',#536223,#133898); #95980=LINE('',#536225,#133899); #95981=LINE('',#536226,#133900); #95982=LINE('',#536229,#133901); #95983=LINE('',#536231,#133902); #95984=LINE('',#536232,#133903); #95985=LINE('',#536237,#133904); #95986=LINE('',#536241,#133905); #95987=LINE('',#536243,#133906); #95988=LINE('',#536244,#133907); #95989=LINE('',#536249,#133908); #95990=LINE('',#536252,#133909); #95991=LINE('',#536253,#133910); #95992=LINE('',#536259,#133911); #95993=LINE('',#536261,#133912); #95994=LINE('',#536263,#133913); #95995=LINE('',#536264,#133914); #95996=LINE('',#536267,#133915); #95997=LINE('',#536269,#133916); #95998=LINE('',#536270,#133917); #95999=LINE('',#536275,#133918); #96000=LINE('',#536279,#133919); #96001=LINE('',#536281,#133920); #96002=LINE('',#536282,#133921); #96003=LINE('',#536287,#133922); #96004=LINE('',#536290,#133923); #96005=LINE('',#536291,#133924); #96006=LINE('',#536297,#133925); #96007=LINE('',#536299,#133926); #96008=LINE('',#536301,#133927); #96009=LINE('',#536302,#133928); #96010=LINE('',#536305,#133929); #96011=LINE('',#536307,#133930); #96012=LINE('',#536308,#133931); #96013=LINE('',#536313,#133932); #96014=LINE('',#536317,#133933); #96015=LINE('',#536319,#133934); #96016=LINE('',#536320,#133935); #96017=LINE('',#536325,#133936); #96018=LINE('',#536328,#133937); #96019=LINE('',#536329,#133938); #96020=LINE('',#536335,#133939); #96021=LINE('',#536337,#133940); #96022=LINE('',#536339,#133941); #96023=LINE('',#536340,#133942); #96024=LINE('',#536343,#133943); #96025=LINE('',#536345,#133944); #96026=LINE('',#536346,#133945); #96027=LINE('',#536349,#133946); #96028=LINE('',#536351,#133947); #96029=LINE('',#536352,#133948); #96030=LINE('',#536354,#133949); #96031=LINE('',#536355,#133950); #96032=LINE('',#536361,#133951); #96033=LINE('',#536363,#133952); #96034=LINE('',#536365,#133953); #96035=LINE('',#536366,#133954); #96036=LINE('',#536369,#133955); #96037=LINE('',#536371,#133956); #96038=LINE('',#536372,#133957); #96039=LINE('',#536375,#133958); #96040=LINE('',#536377,#133959); #96041=LINE('',#536378,#133960); #96042=LINE('',#536380,#133961); #96043=LINE('',#536381,#133962); #96044=LINE('',#536387,#133963); #96045=LINE('',#536389,#133964); #96046=LINE('',#536391,#133965); #96047=LINE('',#536392,#133966); #96048=LINE('',#536395,#133967); #96049=LINE('',#536397,#133968); #96050=LINE('',#536398,#133969); #96051=LINE('',#536401,#133970); #96052=LINE('',#536403,#133971); #96053=LINE('',#536404,#133972); #96054=LINE('',#536406,#133973); #96055=LINE('',#536407,#133974); #96056=LINE('',#536413,#133975); #96057=LINE('',#536415,#133976); #96058=LINE('',#536417,#133977); #96059=LINE('',#536418,#133978); #96060=LINE('',#536421,#133979); #96061=LINE('',#536423,#133980); #96062=LINE('',#536424,#133981); #96063=LINE('',#536427,#133982); #96064=LINE('',#536429,#133983); #96065=LINE('',#536430,#133984); #96066=LINE('',#536432,#133985); #96067=LINE('',#536433,#133986); #96068=LINE('',#536439,#133987); #96069=LINE('',#536441,#133988); #96070=LINE('',#536443,#133989); #96071=LINE('',#536444,#133990); #96072=LINE('',#536447,#133991); #96073=LINE('',#536449,#133992); #96074=LINE('',#536450,#133993); #96075=LINE('',#536453,#133994); #96076=LINE('',#536455,#133995); #96077=LINE('',#536456,#133996); #96078=LINE('',#536458,#133997); #96079=LINE('',#536459,#133998); #96080=LINE('',#536465,#133999); #96081=LINE('',#536467,#134000); #96082=LINE('',#536469,#134001); #96083=LINE('',#536470,#134002); #96084=LINE('',#536473,#134003); #96085=LINE('',#536475,#134004); #96086=LINE('',#536476,#134005); #96087=LINE('',#536479,#134006); #96088=LINE('',#536481,#134007); #96089=LINE('',#536482,#134008); #96090=LINE('',#536484,#134009); #96091=LINE('',#536485,#134010); #96092=LINE('',#536491,#134011); #96093=LINE('',#536493,#134012); #96094=LINE('',#536495,#134013); #96095=LINE('',#536496,#134014); #96096=LINE('',#536499,#134015); #96097=LINE('',#536501,#134016); #96098=LINE('',#536502,#134017); #96099=LINE('',#536505,#134018); #96100=LINE('',#536507,#134019); #96101=LINE('',#536508,#134020); #96102=LINE('',#536510,#134021); #96103=LINE('',#536511,#134022); #96104=LINE('',#536517,#134023); #96105=LINE('',#536519,#134024); #96106=LINE('',#536521,#134025); #96107=LINE('',#536522,#134026); #96108=LINE('',#536525,#134027); #96109=LINE('',#536527,#134028); #96110=LINE('',#536528,#134029); #96111=LINE('',#536531,#134030); #96112=LINE('',#536533,#134031); #96113=LINE('',#536534,#134032); #96114=LINE('',#536536,#134033); #96115=LINE('',#536537,#134034); #96116=LINE('',#536547,#134035); #96117=LINE('',#536553,#134036); #96118=LINE('',#536555,#134037); #96119=LINE('',#536557,#134038); #96120=LINE('',#536558,#134039); #96121=LINE('',#536561,#134040); #96122=LINE('',#536563,#134041); #96123=LINE('',#536564,#134042); #96124=LINE('',#536567,#134043); #96125=LINE('',#536569,#134044); #96126=LINE('',#536570,#134045); #96127=LINE('',#536572,#134046); #96128=LINE('',#536573,#134047); #96129=LINE('',#536576,#134048); #96130=LINE('',#536578,#134049); #96131=LINE('',#536579,#134050); #96132=LINE('',#536582,#134051); #96133=LINE('',#536583,#134052); #96134=LINE('',#536587,#134053); #96135=LINE('',#536588,#134054); #96136=LINE('',#536590,#134055); #96137=LINE('',#536595,#134056); #96138=LINE('',#536597,#134057); #96139=LINE('',#536599,#134058); #96140=LINE('',#536600,#134059); #96141=LINE('',#536603,#134060); #96142=LINE('',#536605,#134061); #96143=LINE('',#536606,#134062); #96144=LINE('',#536609,#134063); #96145=LINE('',#536611,#134064); #96146=LINE('',#536612,#134065); #96147=LINE('',#536614,#134066); #96148=LINE('',#536615,#134067); #96149=LINE('',#536621,#134068); #96150=LINE('',#536623,#134069); #96151=LINE('',#536625,#134070); #96152=LINE('',#536626,#134071); #96153=LINE('',#536629,#134072); #96154=LINE('',#536631,#134073); #96155=LINE('',#536632,#134074); #96156=LINE('',#536638,#134075); #96157=LINE('',#536641,#134076); #96158=LINE('',#536643,#134077); #96159=LINE('',#536644,#134078); #96160=LINE('',#536650,#134079); #96161=LINE('',#536652,#134080); #96162=LINE('',#536653,#134081); #96163=LINE('',#536659,#134082); #96164=LINE('',#536661,#134083); #96165=LINE('',#536663,#134084); #96166=LINE('',#536664,#134085); #96167=LINE('',#536667,#134086); #96168=LINE('',#536669,#134087); #96169=LINE('',#536670,#134088); #96170=LINE('',#536675,#134089); #96171=LINE('',#536679,#134090); #96172=LINE('',#536681,#134091); #96173=LINE('',#536682,#134092); #96174=LINE('',#536687,#134093); #96175=LINE('',#536690,#134094); #96176=LINE('',#536691,#134095); #96177=LINE('',#536697,#134096); #96178=LINE('',#536699,#134097); #96179=LINE('',#536701,#134098); #96180=LINE('',#536702,#134099); #96181=LINE('',#536705,#134100); #96182=LINE('',#536707,#134101); #96183=LINE('',#536708,#134102); #96184=LINE('',#536714,#134103); #96185=LINE('',#536717,#134104); #96186=LINE('',#536719,#134105); #96187=LINE('',#536720,#134106); #96188=LINE('',#536726,#134107); #96189=LINE('',#536728,#134108); #96190=LINE('',#536729,#134109); #96191=LINE('',#536735,#134110); #96192=LINE('',#536737,#134111); #96193=LINE('',#536739,#134112); #96194=LINE('',#536740,#134113); #96195=LINE('',#536743,#134114); #96196=LINE('',#536745,#134115); #96197=LINE('',#536746,#134116); #96198=LINE('',#536752,#134117); #96199=LINE('',#536755,#134118); #96200=LINE('',#536757,#134119); #96201=LINE('',#536758,#134120); #96202=LINE('',#536764,#134121); #96203=LINE('',#536766,#134122); #96204=LINE('',#536767,#134123); #96205=LINE('',#536773,#134124); #96206=LINE('',#536775,#134125); #96207=LINE('',#536777,#134126); #96208=LINE('',#536778,#134127); #96209=LINE('',#536781,#134128); #96210=LINE('',#536783,#134129); #96211=LINE('',#536784,#134130); #96212=LINE('',#536790,#134131); #96213=LINE('',#536793,#134132); #96214=LINE('',#536795,#134133); #96215=LINE('',#536796,#134134); #96216=LINE('',#536802,#134135); #96217=LINE('',#536804,#134136); #96218=LINE('',#536805,#134137); #96219=LINE('',#536811,#134138); #96220=LINE('',#536813,#134139); #96221=LINE('',#536815,#134140); #96222=LINE('',#536816,#134141); #96223=LINE('',#536819,#134142); #96224=LINE('',#536821,#134143); #96225=LINE('',#536822,#134144); #96226=LINE('',#536827,#134145); #96227=LINE('',#536831,#134146); #96228=LINE('',#536833,#134147); #96229=LINE('',#536834,#134148); #96230=LINE('',#536839,#134149); #96231=LINE('',#536842,#134150); #96232=LINE('',#536843,#134151); #96233=LINE('',#536849,#134152); #96234=LINE('',#536851,#134153); #96235=LINE('',#536853,#134154); #96236=LINE('',#536854,#134155); #96237=LINE('',#536857,#134156); #96238=LINE('',#536859,#134157); #96239=LINE('',#536860,#134158); #96240=LINE('',#536865,#134159); #96241=LINE('',#536869,#134160); #96242=LINE('',#536871,#134161); #96243=LINE('',#536872,#134162); #96244=LINE('',#536877,#134163); #96245=LINE('',#536880,#134164); #96246=LINE('',#536881,#134165); #96247=LINE('',#536887,#134166); #96248=LINE('',#536889,#134167); #96249=LINE('',#536891,#134168); #96250=LINE('',#536892,#134169); #96251=LINE('',#536895,#134170); #96252=LINE('',#536897,#134171); #96253=LINE('',#536898,#134172); #96254=LINE('',#536903,#134173); #96255=LINE('',#536907,#134174); #96256=LINE('',#536909,#134175); #96257=LINE('',#536910,#134176); #96258=LINE('',#536915,#134177); #96259=LINE('',#536918,#134178); #96260=LINE('',#536919,#134179); #96261=LINE('',#536925,#134180); #96262=LINE('',#536927,#134181); #96263=LINE('',#536929,#134182); #96264=LINE('',#536930,#134183); #96265=LINE('',#536933,#134184); #96266=LINE('',#536935,#134185); #96267=LINE('',#536936,#134186); #96268=LINE('',#536939,#134187); #96269=LINE('',#536941,#134188); #96270=LINE('',#536942,#134189); #96271=LINE('',#536944,#134190); #96272=LINE('',#536945,#134191); #96273=LINE('',#536951,#134192); #96274=LINE('',#536953,#134193); #96275=LINE('',#536955,#134194); #96276=LINE('',#536956,#134195); #96277=LINE('',#536959,#134196); #96278=LINE('',#536961,#134197); #96279=LINE('',#536962,#134198); #96280=LINE('',#536965,#134199); #96281=LINE('',#536967,#134200); #96282=LINE('',#536968,#134201); #96283=LINE('',#536970,#134202); #96284=LINE('',#536971,#134203); #96285=LINE('',#536977,#134204); #96286=LINE('',#536979,#134205); #96287=LINE('',#536981,#134206); #96288=LINE('',#536982,#134207); #96289=LINE('',#536985,#134208); #96290=LINE('',#536987,#134209); #96291=LINE('',#536988,#134210); #96292=LINE('',#536991,#134211); #96293=LINE('',#536993,#134212); #96294=LINE('',#536994,#134213); #96295=LINE('',#536996,#134214); #96296=LINE('',#536997,#134215); #96297=LINE('',#537003,#134216); #96298=LINE('',#537005,#134217); #96299=LINE('',#537007,#134218); #96300=LINE('',#537008,#134219); #96301=LINE('',#537011,#134220); #96302=LINE('',#537013,#134221); #96303=LINE('',#537014,#134222); #96304=LINE('',#537017,#134223); #96305=LINE('',#537019,#134224); #96306=LINE('',#537020,#134225); #96307=LINE('',#537022,#134226); #96308=LINE('',#537023,#134227); #96309=LINE('',#537029,#134228); #96310=LINE('',#537031,#134229); #96311=LINE('',#537033,#134230); #96312=LINE('',#537034,#134231); #96313=LINE('',#537037,#134232); #96314=LINE('',#537039,#134233); #96315=LINE('',#537040,#134234); #96316=LINE('',#537043,#134235); #96317=LINE('',#537045,#134236); #96318=LINE('',#537046,#134237); #96319=LINE('',#537048,#134238); #96320=LINE('',#537049,#134239); #96321=LINE('',#537055,#134240); #96322=LINE('',#537057,#134241); #96323=LINE('',#537059,#134242); #96324=LINE('',#537060,#134243); #96325=LINE('',#537063,#134244); #96326=LINE('',#537065,#134245); #96327=LINE('',#537066,#134246); #96328=LINE('',#537069,#134247); #96329=LINE('',#537071,#134248); #96330=LINE('',#537072,#134249); #96331=LINE('',#537074,#134250); #96332=LINE('',#537075,#134251); #96333=LINE('',#537081,#134252); #96334=LINE('',#537083,#134253); #96335=LINE('',#537085,#134254); #96336=LINE('',#537086,#134255); #96337=LINE('',#537089,#134256); #96338=LINE('',#537091,#134257); #96339=LINE('',#537092,#134258); #96340=LINE('',#537095,#134259); #96341=LINE('',#537097,#134260); #96342=LINE('',#537098,#134261); #96343=LINE('',#537100,#134262); #96344=LINE('',#537101,#134263); #96345=LINE('',#537107,#134264); #96346=LINE('',#537109,#134265); #96347=LINE('',#537111,#134266); #96348=LINE('',#537112,#134267); #96349=LINE('',#537115,#134268); #96350=LINE('',#537117,#134269); #96351=LINE('',#537118,#134270); #96352=LINE('',#537121,#134271); #96353=LINE('',#537123,#134272); #96354=LINE('',#537124,#134273); #96355=LINE('',#537126,#134274); #96356=LINE('',#537127,#134275); #96357=LINE('',#537136,#134276); #96358=LINE('',#537138,#134277); #96359=LINE('',#537140,#134278); #96360=LINE('',#537141,#134279); #96361=LINE('',#537144,#134280); #96362=LINE('',#537146,#134281); #96363=LINE('',#537147,#134282); #96364=LINE('',#537150,#134283); #96365=LINE('',#537152,#134284); #96366=LINE('',#537153,#134285); #96367=LINE('',#537155,#134286); #96368=LINE('',#537156,#134287); #96369=LINE('',#537159,#134288); #96370=LINE('',#537161,#134289); #96371=LINE('',#537162,#134290); #96372=LINE('',#537165,#134291); #96373=LINE('',#537166,#134292); #96374=LINE('',#537170,#134293); #96375=LINE('',#537171,#134294); #96376=LINE('',#537173,#134295); #96377=LINE('',#537178,#134296); #96378=LINE('',#537180,#134297); #96379=LINE('',#537182,#134298); #96380=LINE('',#537183,#134299); #96381=LINE('',#537186,#134300); #96382=LINE('',#537188,#134301); #96383=LINE('',#537189,#134302); #96384=LINE('',#537192,#134303); #96385=LINE('',#537194,#134304); #96386=LINE('',#537195,#134305); #96387=LINE('',#537197,#134306); #96388=LINE('',#537198,#134307); #96389=LINE('',#537204,#134308); #96390=LINE('',#537206,#134309); #96391=LINE('',#537208,#134310); #96392=LINE('',#537209,#134311); #96393=LINE('',#537212,#134312); #96394=LINE('',#537214,#134313); #96395=LINE('',#537215,#134314); #96396=LINE('',#537218,#134315); #96397=LINE('',#537220,#134316); #96398=LINE('',#537221,#134317); #96399=LINE('',#537223,#134318); #96400=LINE('',#537224,#134319); #96401=LINE('',#537230,#134320); #96402=LINE('',#537232,#134321); #96403=LINE('',#537234,#134322); #96404=LINE('',#537235,#134323); #96405=LINE('',#537238,#134324); #96406=LINE('',#537240,#134325); #96407=LINE('',#537241,#134326); #96408=LINE('',#537244,#134327); #96409=LINE('',#537246,#134328); #96410=LINE('',#537247,#134329); #96411=LINE('',#537249,#134330); #96412=LINE('',#537250,#134331); #96413=LINE('',#537256,#134332); #96414=LINE('',#537258,#134333); #96415=LINE('',#537260,#134334); #96416=LINE('',#537261,#134335); #96417=LINE('',#537264,#134336); #96418=LINE('',#537266,#134337); #96419=LINE('',#537267,#134338); #96420=LINE('',#537270,#134339); #96421=LINE('',#537272,#134340); #96422=LINE('',#537273,#134341); #96423=LINE('',#537275,#134342); #96424=LINE('',#537276,#134343); #96425=LINE('',#537286,#134344); #96426=LINE('',#537292,#134345); #96427=LINE('',#537299,#134346); #96428=LINE('',#537352,#134347); #96429=LINE('',#537406,#134348); #96430=LINE('',#537433,#134349); #96431=LINE('',#537478,#134350); #96432=LINE('',#537529,#134351); #96433=LINE('',#538330,#134352); #96434=LINE('',#538332,#134353); #96435=LINE('',#538334,#134354); #96436=LINE('',#538336,#134355); #96437=LINE('',#538338,#134356); #96438=LINE('',#538339,#134357); #96439=LINE('',#538341,#134358); #96440=LINE('',#538342,#134359); #96441=LINE('',#538344,#134360); #96442=LINE('',#538346,#134361); #96443=LINE('',#538348,#134362); #96444=LINE('',#538350,#134363); #96445=LINE('',#538355,#134364); #96446=LINE('',#538379,#134365); #96447=LINE('',#538427,#134366); #96448=LINE('',#538475,#134367); #96449=LINE('',#538523,#134368); #96450=LINE('',#538577,#134369); #96451=LINE('',#538625,#134370); #96452=LINE('',#538673,#134371); #96453=LINE('',#538683,#134372); #96454=LINE('',#538708,#134373); #96455=LINE('',#538732,#134374); #96456=LINE('',#538757,#134375); #96457=LINE('',#538782,#134376); #96458=LINE('',#538806,#134377); #96459=LINE('',#538830,#134378); #96460=LINE('',#538832,#134379); #96461=LINE('',#538834,#134380); #96462=LINE('',#538835,#134381); #96463=LINE('',#538839,#134382); #96464=LINE('',#538841,#134383); #96465=LINE('',#538843,#134384); #96466=LINE('',#538844,#134385); #96467=LINE('',#538848,#134386); #96468=LINE('',#538850,#134387); #96469=LINE('',#538852,#134388); #96470=LINE('',#538853,#134389); #96471=LINE('',#538857,#134390); #96472=LINE('',#538859,#134391); #96473=LINE('',#538861,#134392); #96474=LINE('',#538862,#134393); #96475=LINE('',#538866,#134394); #96476=LINE('',#538868,#134395); #96477=LINE('',#538870,#134396); #96478=LINE('',#538871,#134397); #96479=LINE('',#538875,#134398); #96480=LINE('',#538877,#134399); #96481=LINE('',#538879,#134400); #96482=LINE('',#538880,#134401); #96483=LINE('',#538884,#134402); #96484=LINE('',#538886,#134403); #96485=LINE('',#538888,#134404); #96486=LINE('',#538889,#134405); #96487=LINE('',#538908,#134406); #96488=LINE('',#538924,#134407); #96489=LINE('',#538930,#134408); #96490=LINE('',#538933,#134409); #96491=LINE('',#538935,#134410); #96492=LINE('',#538936,#134411); #96493=LINE('',#538938,#134412); #96494=LINE('',#538939,#134413); #96495=LINE('',#538942,#134414); #96496=LINE('',#538948,#134415); #96497=LINE('',#538950,#134416); #96498=LINE('',#538952,#134417); #96499=LINE('',#538954,#134418); #96500=LINE('',#538956,#134419); #96501=LINE('',#538959,#134420); #96502=LINE('',#538962,#134421); #96503=LINE('',#538964,#134422); #96504=LINE('',#538965,#134423); #96505=LINE('',#538984,#134424); #96506=LINE('',#539000,#134425); #96507=LINE('',#539006,#134426); #96508=LINE('',#539008,#134427); #96509=LINE('',#539009,#134428); #96510=LINE('',#539011,#134429); #96511=LINE('',#539015,#134430); #96512=LINE('',#539017,#134431); #96513=LINE('',#539022,#134432); #96514=LINE('',#539025,#134433); #96515=LINE('',#539027,#134434); #96516=LINE('',#539034,#134435); #96517=LINE('',#539036,#134436); #96518=LINE('',#539041,#134437); #96519=LINE('',#539044,#134438); #96520=LINE('',#539046,#134439); #96521=LINE('',#539053,#134440); #96522=LINE('',#539055,#134441); #96523=LINE('',#539060,#134442); #96524=LINE('',#539063,#134443); #96525=LINE('',#539065,#134444); #96526=LINE('',#539074,#134445); #96527=LINE('',#539077,#134446); #96528=LINE('',#539084,#134447); #96529=LINE('',#539086,#134448); #96530=LINE('',#539091,#134449); #96531=LINE('',#539094,#134450); #96532=LINE('',#539096,#134451); #96533=LINE('',#539103,#134452); #96534=LINE('',#539105,#134453); #96535=LINE('',#539110,#134454); #96536=LINE('',#539113,#134455); #96537=LINE('',#539115,#134456); #96538=LINE('',#539122,#134457); #96539=LINE('',#539124,#134458); #96540=LINE('',#539129,#134459); #96541=LINE('',#539132,#134460); #96542=LINE('',#539134,#134461); #96543=LINE('',#539141,#134462); #96544=LINE('',#539143,#134463); #96545=LINE('',#539148,#134464); #96546=LINE('',#539151,#134465); #96547=LINE('',#539153,#134466); #96548=LINE('',#539197,#134467); #96549=LINE('',#539199,#134468); #96550=LINE('',#539204,#134469); #96551=LINE('',#539207,#134470); #96552=LINE('',#539209,#134471); #96553=LINE('',#539216,#134472); #96554=LINE('',#539218,#134473); #96555=LINE('',#539223,#134474); #96556=LINE('',#539226,#134475); #96557=LINE('',#539228,#134476); #96558=LINE('',#539235,#134477); #96559=LINE('',#539237,#134478); #96560=LINE('',#539242,#134479); #96561=LINE('',#539245,#134480); #96562=LINE('',#539247,#134481); #96563=LINE('',#539254,#134482); #96564=LINE('',#539256,#134483); #96565=LINE('',#539261,#134484); #96566=LINE('',#539264,#134485); #96567=LINE('',#539266,#134486); #96568=LINE('',#539331,#134487); #96569=LINE('',#539333,#134488); #96570=LINE('',#539337,#134489); #96571=LINE('',#539338,#134490); #96572=LINE('',#539339,#134491); #96573=LINE('',#539342,#134492); #96574=LINE('',#539343,#134493); #96575=LINE('',#539347,#134494); #96576=LINE('',#539348,#134495); #96577=LINE('',#539349,#134496); #96578=LINE('',#539352,#134497); #96579=LINE('',#539353,#134498); #96580=LINE('',#539357,#134499); #96581=LINE('',#539358,#134500); #96582=LINE('',#539359,#134501); #96583=LINE('',#539362,#134502); #96584=LINE('',#539363,#134503); #96585=LINE('',#539383,#134504); #96586=LINE('',#539387,#134505); #96587=LINE('',#539389,#134506); #96588=LINE('',#539391,#134507); #96589=LINE('',#539392,#134508); #96590=LINE('',#539396,#134509); #96591=LINE('',#539398,#134510); #96592=LINE('',#539400,#134511); #96593=LINE('',#539401,#134512); #96594=LINE('',#539406,#134513); #96595=LINE('',#539413,#134514); #96596=LINE('',#539416,#134515); #96597=LINE('',#539420,#134516); #96598=LINE('',#539422,#134517); #96599=LINE('',#539426,#134518); #96600=LINE('',#539430,#134519); #96601=LINE('',#539432,#134520); #96602=LINE('',#539435,#134521); #96603=LINE('',#539438,#134522); #96604=LINE('',#539439,#134523); #96605=LINE('',#539440,#134524); #96606=LINE('',#539443,#134525); #96607=LINE('',#539445,#134526); #96608=LINE('',#539446,#134527); #96609=LINE('',#539449,#134528); #96610=LINE('',#539451,#134529); #96611=LINE('',#539452,#134530); #96612=LINE('',#539455,#134531); #96613=LINE('',#539456,#134532); #96614=LINE('',#539460,#134533); #96615=LINE('',#539463,#134534); #96616=LINE('',#539464,#134535); #96617=LINE('',#539470,#134536); #96618=LINE('',#539473,#134537); #96619=LINE('',#539474,#134538); #96620=LINE('',#539476,#134539); #96621=LINE('',#539480,#134540); #96622=LINE('',#539484,#134541); #96623=LINE('',#539486,#134542); #96624=LINE('',#539490,#134543); #96625=LINE('',#539492,#134544); #96626=LINE('',#539496,#134545); #96627=LINE('',#539500,#134546); #96628=LINE('',#539502,#134547); #96629=LINE('',#539505,#134548); #96630=LINE('',#539508,#134549); #96631=LINE('',#539510,#134550); #96632=LINE('',#539511,#134551); #96633=LINE('',#539514,#134552); #96634=LINE('',#539516,#134553); #96635=LINE('',#539520,#134554); #96636=LINE('',#539522,#134555); #96637=LINE('',#539526,#134556); #96638=LINE('',#539530,#134557); #96639=LINE('',#539532,#134558); #96640=LINE('',#539535,#134559); #96641=LINE('',#539536,#134560); #96642=LINE('',#539538,#134561); #96643=LINE('',#539540,#134562); #96644=LINE('',#539542,#134563); #96645=LINE('',#539543,#134564); #96646=LINE('',#539545,#134565); #96647=LINE('',#539547,#134566); #96648=LINE('',#539549,#134567); #96649=LINE('',#539553,#134568); #96650=LINE('',#539557,#134569); #96651=LINE('',#539559,#134570); #96652=LINE('',#539561,#134571); #96653=LINE('',#539562,#134572); #96654=LINE('',#539568,#134573); #96655=LINE('',#539572,#134574); #96656=LINE('',#539576,#134575); #96657=LINE('',#539580,#134576); #96658=LINE('',#539582,#134577); #96659=LINE('',#539583,#134578); #96660=LINE('',#539586,#134579); #96661=LINE('',#539590,#134580); #96662=LINE('',#539592,#134581); #96663=LINE('',#539596,#134582); #96664=LINE('',#539600,#134583); #96665=LINE('',#539602,#134584); #96666=LINE('',#539605,#134585); #96667=LINE('',#539608,#134586); #96668=LINE('',#539609,#134587); #96669=LINE('',#539610,#134588); #96670=LINE('',#539615,#134589); #96671=LINE('',#539616,#134590); #96672=LINE('',#539619,#134591); #96673=LINE('',#539623,#134592); #96674=LINE('',#539624,#134593); #96675=LINE('',#539626,#134594); #96676=LINE('',#539630,#134595); #96677=LINE('',#539632,#134596); #96678=LINE('',#539635,#134597); #96679=LINE('',#539637,#134598); #96680=LINE('',#539639,#134599); #96681=LINE('',#539641,#134600); #96682=LINE('',#539643,#134601); #96683=LINE('',#539644,#134602); #96684=LINE('',#539646,#134603); #96685=LINE('',#539648,#134604); #96686=LINE('',#539654,#134605); #96687=LINE('',#539656,#134606); #96688=LINE('',#539658,#134607); #96689=LINE('',#539659,#134608); #96690=LINE('',#539663,#134609); #96691=LINE('',#539665,#134610); #96692=LINE('',#539667,#134611); #96693=LINE('',#539668,#134612); #96694=LINE('',#539673,#134613); #96695=LINE('',#539680,#134614); #96696=LINE('',#539683,#134615); #96697=LINE('',#539687,#134616); #96698=LINE('',#539689,#134617); #96699=LINE('',#539693,#134618); #96700=LINE('',#539697,#134619); #96701=LINE('',#539699,#134620); #96702=LINE('',#539702,#134621); #96703=LINE('',#539705,#134622); #96704=LINE('',#539706,#134623); #96705=LINE('',#539707,#134624); #96706=LINE('',#539710,#134625); #96707=LINE('',#539712,#134626); #96708=LINE('',#539713,#134627); #96709=LINE('',#539716,#134628); #96710=LINE('',#539718,#134629); #96711=LINE('',#539719,#134630); #96712=LINE('',#539722,#134631); #96713=LINE('',#539723,#134632); #96714=LINE('',#539727,#134633); #96715=LINE('',#539730,#134634); #96716=LINE('',#539731,#134635); #96717=LINE('',#539737,#134636); #96718=LINE('',#539740,#134637); #96719=LINE('',#539741,#134638); #96720=LINE('',#539743,#134639); #96721=LINE('',#539747,#134640); #96722=LINE('',#539751,#134641); #96723=LINE('',#539753,#134642); #96724=LINE('',#539757,#134643); #96725=LINE('',#539759,#134644); #96726=LINE('',#539763,#134645); #96727=LINE('',#539767,#134646); #96728=LINE('',#539769,#134647); #96729=LINE('',#539772,#134648); #96730=LINE('',#539775,#134649); #96731=LINE('',#539777,#134650); #96732=LINE('',#539778,#134651); #96733=LINE('',#539781,#134652); #96734=LINE('',#539783,#134653); #96735=LINE('',#539787,#134654); #96736=LINE('',#539789,#134655); #96737=LINE('',#539793,#134656); #96738=LINE('',#539797,#134657); #96739=LINE('',#539799,#134658); #96740=LINE('',#539802,#134659); #96741=LINE('',#539803,#134660); #96742=LINE('',#539805,#134661); #96743=LINE('',#539806,#134662); #96744=LINE('',#539808,#134663); #96745=LINE('',#539809,#134664); #96746=LINE('',#539811,#134665); #96747=LINE('',#539814,#134666); #96748=LINE('',#539817,#134667); #96749=LINE('',#539819,#134668); #96750=LINE('',#539823,#134669); #96751=LINE('',#539838,#134670); #96752=LINE('',#539842,#134671); #96753=LINE('',#539843,#134672); #96754=LINE('',#539846,#134673); #96755=LINE('',#539848,#134674); #96756=LINE('',#539850,#134675); #96757=LINE('',#539852,#134676); #96758=LINE('',#539854,#134677); #96759=LINE('',#539856,#134678); #96760=LINE('',#539857,#134679); #96761=LINE('',#539858,#134680); #96762=LINE('',#539861,#134681); #96763=LINE('',#539863,#134682); #96764=LINE('',#539865,#134683); #96765=LINE('',#539869,#134684); #96766=LINE('',#539885,#134685); #96767=LINE('',#539889,#134686); #96768=LINE('',#539892,#134687); #96769=LINE('',#539893,#134688); #96770=LINE('',#539898,#134689); #96771=LINE('',#539902,#134690); #96772=LINE('',#539905,#134691); #96773=LINE('',#539909,#134692); #96774=LINE('',#539911,#134693); #96775=LINE('',#539913,#134694); #96776=LINE('',#539915,#134695); #96777=LINE('',#539917,#134696); #96778=LINE('',#539919,#134697); #96779=LINE('',#539921,#134698); #96780=LINE('',#539923,#134699); #96781=LINE('',#539924,#134700); #96782=LINE('',#540722,#134701); #96783=LINE('',#540724,#134702); #96784=LINE('',#540726,#134703); #96785=LINE('',#540728,#134704); #96786=LINE('',#540730,#134705); #96787=LINE('',#540731,#134706); #96788=LINE('',#540734,#134707); #96789=LINE('',#540736,#134708); #96790=LINE('',#540737,#134709); #96791=LINE('',#540740,#134710); #96792=LINE('',#540742,#134711); #96793=LINE('',#540743,#134712); #96794=LINE('',#540746,#134713); #96795=LINE('',#540747,#134714); #96796=LINE('',#540750,#134715); #96797=LINE('',#540751,#134716); #96798=LINE('',#540752,#134717); #96799=LINE('',#540756,#134718); #96800=LINE('',#540758,#134719); #96801=LINE('',#540760,#134720); #96802=LINE('',#540762,#134721); #96803=LINE('',#540764,#134722); #96804=LINE('',#540766,#134723); #96805=LINE('',#540768,#134724); #96806=LINE('',#540770,#134725); #96807=LINE('',#540771,#134726); #96808=LINE('',#540776,#134727); #96809=LINE('',#540778,#134728); #96810=LINE('',#540780,#134729); #96811=LINE('',#540781,#134730); #96812=LINE('',#540784,#134731); #96813=LINE('',#540786,#134732); #96814=LINE('',#540787,#134733); #96815=LINE('',#540791,#134734); #96816=LINE('',#540793,#134735); #96817=LINE('',#540795,#134736); #96818=LINE('',#540796,#134737); #96819=LINE('',#540800,#134738); #96820=LINE('',#540802,#134739); #96821=LINE('',#540804,#134740); #96822=LINE('',#540805,#134741); #96823=LINE('',#540808,#134742); #96824=LINE('',#540810,#134743); #96825=LINE('',#540811,#134744); #96826=LINE('',#540815,#134745); #96827=LINE('',#540817,#134746); #96828=LINE('',#540819,#134747); #96829=LINE('',#540820,#134748); #96830=LINE('',#540825,#134749); #96831=LINE('',#540830,#134750); #96832=LINE('',#540831,#134751); #96833=LINE('',#540832,#134752); #96834=LINE('',#540837,#134753); #96835=LINE('',#540839,#134754); #96836=LINE('',#540843,#134755); #96837=LINE('',#540844,#134756); #96838=LINE('',#540847,#134757); #96839=LINE('',#540849,#134758); #96840=LINE('',#540850,#134759); #96841=LINE('',#540853,#134760); #96842=LINE('',#540854,#134761); #96843=LINE('',#540857,#134762); #96844=LINE('',#540858,#134763); #96845=LINE('',#540864,#134764); #96846=LINE('',#540866,#134765); #96847=LINE('',#540868,#134766); #96848=LINE('',#540869,#134767); #96849=LINE('',#540874,#134768); #96850=LINE('',#540879,#134769); #96851=LINE('',#540880,#134770); #96852=LINE('',#540881,#134771); #96853=LINE('',#540885,#134772); #96854=LINE('',#540887,#134773); #96855=LINE('',#540889,#134774); #96856=LINE('',#540890,#134775); #96857=LINE('',#540892,#134776); #96858=LINE('',#540893,#134777); #96859=LINE('',#540897,#134778); #96860=LINE('',#540899,#134779); #96861=LINE('',#540901,#134780); #96862=LINE('',#540902,#134781); #96863=LINE('',#540907,#134782); #96864=LINE('',#540908,#134783); #96865=LINE('',#540909,#134784); #96866=LINE('',#540915,#134785); #96867=LINE('',#540918,#134786); #96868=LINE('',#540922,#134787); #96869=LINE('',#540923,#134788); #96870=LINE('',#540927,#134789); #96871=LINE('',#540931,#134790); #96872=LINE('',#540933,#134791); #96873=LINE('',#540935,#134792); #96874=LINE('',#540937,#134793); #96875=LINE('',#540938,#134794); #96876=LINE('',#540940,#134795); #96877=LINE('',#540941,#134796); #96878=LINE('',#540945,#134797); #96879=LINE('',#540946,#134798); #96880=LINE('',#540947,#134799); #96881=LINE('',#540950,#134800); #96882=LINE('',#540951,#134801); #96883=LINE('',#540952,#134802); #96884=LINE('',#540958,#134803); #96885=LINE('',#540961,#134804); #96886=LINE('',#540963,#134805); #96887=LINE('',#540965,#134806); #96888=LINE('',#540966,#134807); #96889=LINE('',#540968,#134808); #96890=LINE('',#540969,#134809); #96891=LINE('',#540974,#134810); #96892=LINE('',#540976,#134811); #96893=LINE('',#540977,#134812); #96894=LINE('',#540979,#134813); #96895=LINE('',#540981,#134814); #96896=LINE('',#540984,#134815); #96897=LINE('',#540986,#134816); #96898=LINE('',#540990,#134817); #96899=LINE('',#540992,#134818); #96900=LINE('',#540994,#134819); #96901=LINE('',#540995,#134820); #96902=LINE('',#541001,#134821); #96903=LINE('',#541004,#134822); #96904=LINE('',#541006,#134823); #96905=LINE('',#541007,#134824); #96906=LINE('',#541013,#134825); #96907=LINE('',#541016,#134826); #96908=LINE('',#541018,#134827); #96909=LINE('',#541019,#134828); #96910=LINE('',#541022,#134829); #96911=LINE('',#541023,#134830); #96912=LINE('',#541024,#134831); #96913=LINE('',#541027,#134832); #96914=LINE('',#541028,#134833); #96915=LINE('',#541032,#134834); #96916=LINE('',#541033,#134835); #96917=LINE('',#541034,#134836); #96918=LINE('',#541037,#134837); #96919=LINE('',#541038,#134838); #96920=LINE('',#541041,#134839); #96921=LINE('',#541042,#134840); #96922=LINE('',#541046,#134841); #96923=LINE('',#541049,#134842); #96924=LINE('',#541050,#134843); #96925=LINE('',#541055,#134844); #96926=LINE('',#541056,#134845); #96927=LINE('',#541058,#134846); #96928=LINE('',#541063,#134847); #96929=LINE('',#541064,#134848); #96930=LINE('',#541066,#134849); #96931=LINE('',#541067,#134850); #96932=LINE('',#541071,#134851); #96933=LINE('',#541072,#134852); #96934=LINE('',#541074,#134853); #96935=LINE('',#541076,#134854); #96936=LINE('',#541077,#134855); #96937=LINE('',#541078,#134856); #96938=LINE('',#541086,#134857); #96939=LINE('',#541090,#134858); #96940=LINE('',#541092,#134859); #96941=LINE('',#541094,#134860); #96942=LINE('',#541096,#134861); #96943=LINE('',#541098,#134862); #96944=LINE('',#541101,#134863); #96945=LINE('',#541102,#134864); #96946=LINE('',#541104,#134865); #96947=LINE('',#541105,#134866); #96948=LINE('',#541111,#134867); #96949=LINE('',#541112,#134868); #96950=LINE('',#541119,#134869); #96951=LINE('',#541120,#134870); #96952=LINE('',#541122,#134871); #96953=LINE('',#541126,#134872); #96954=LINE('',#541130,#134873); #96955=LINE('',#541131,#134874); #96956=LINE('',#541133,#134875); #96957=LINE('',#541135,#134876); #96958=LINE('',#541137,#134877); #96959=LINE('',#541138,#134878); #96960=LINE('',#541145,#134879); #96961=LINE('',#541147,#134880); #96962=LINE('',#541149,#134881); #96963=LINE('',#541151,#134882); #96964=LINE('',#541153,#134883); #96965=LINE('',#541155,#134884); #96966=LINE('',#541157,#134885); #96967=LINE('',#541159,#134886); #96968=LINE('',#541161,#134887); #96969=LINE('',#541164,#134888); #96970=LINE('',#541179,#134889); #96971=LINE('',#541183,#134890); #96972=LINE('',#541185,#134891); #96973=LINE('',#541187,#134892); #96974=LINE('',#541191,#134893); #96975=LINE('',#541205,#134894); #96976=LINE('',#541207,#134895); #96977=LINE('',#541209,#134896); #96978=LINE('',#541211,#134897); #96979=LINE('',#541213,#134898); #96980=LINE('',#541215,#134899); #96981=LINE('',#541217,#134900); #96982=LINE('',#541218,#134901); #96983=LINE('',#541221,#134902); #96984=LINE('',#541225,#134903); #96985=LINE('',#541229,#134904); #96986=LINE('',#541231,#134905); #96987=LINE('',#541233,#134906); #96988=LINE('',#541237,#134907); #96989=LINE('',#541240,#134908); #96990=LINE('',#541242,#134909); #96991=LINE('',#541244,#134910); #96992=LINE('',#541248,#134911); #96993=LINE('',#541250,#134912); #96994=LINE('',#541252,#134913); #96995=LINE('',#541254,#134914); #96996=LINE('',#541256,#134915); #96997=LINE('',#541258,#134916); #96998=LINE('',#541260,#134917); #96999=LINE('',#541262,#134918); #97000=LINE('',#541264,#134919); #97001=LINE('',#541268,#134920); #97002=LINE('',#541270,#134921); #97003=LINE('',#541272,#134922); #97004=LINE('',#541273,#134923); #97005=LINE('',#541277,#134924); #97006=LINE('',#541279,#134925); #97007=LINE('',#541281,#134926); #97008=LINE('',#541282,#134927); #97009=LINE('',#541286,#134928); #97010=LINE('',#541289,#134929); #97011=LINE('',#541292,#134930); #97012=LINE('',#541295,#134931); #97013=LINE('',#541300,#134932); #97014=LINE('',#541305,#134933); #97015=LINE('',#541309,#134934); #97016=LINE('',#541311,#134935); #97017=LINE('',#541314,#134936); #97018=LINE('',#541316,#134937); #97019=LINE('',#541317,#134938); #97020=LINE('',#541321,#134939); #97021=LINE('',#541323,#134940); #97022=LINE('',#541325,#134941); #97023=LINE('',#541326,#134942); #97024=LINE('',#541330,#134943); #97025=LINE('',#541332,#134944); #97026=LINE('',#541334,#134945); #97027=LINE('',#541335,#134946); #97028=LINE('',#541339,#134947); #97029=LINE('',#541342,#134948); #97030=LINE('',#541346,#134949); #97031=LINE('',#541352,#134950); #97032=LINE('',#541357,#134951); #97033=LINE('',#541361,#134952); #97034=LINE('',#541363,#134953); #97035=LINE('',#541368,#134954); #97036=LINE('',#541371,#134955); #97037=LINE('',#541373,#134956); #97038=LINE('',#541374,#134957); #97039=LINE('',#541379,#134958); #97040=LINE('',#541381,#134959); #97041=LINE('',#541383,#134960); #97042=LINE('',#541389,#134961); #97043=LINE('',#541393,#134962); #97044=LINE('',#541395,#134963); #97045=LINE('',#541396,#134964); #97046=LINE('',#541399,#134965); #97047=LINE('',#541401,#134966); #97048=LINE('',#541405,#134967); #97049=LINE('',#541406,#134968); #97050=LINE('',#541408,#134969); #97051=LINE('',#541409,#134970); #97052=LINE('',#541414,#134971); #97053=LINE('',#541415,#134972); #97054=LINE('',#541420,#134973); #97055=LINE('',#541423,#134974); #97056=LINE('',#541424,#134975); #97057=LINE('',#541425,#134976); #97058=LINE('',#541431,#134977); #97059=LINE('',#541434,#134978); #97060=LINE('',#541437,#134979); #97061=LINE('',#541439,#134980); #97062=LINE('',#541441,#134981); #97063=LINE('',#541445,#134982); #97064=LINE('',#541447,#134983); #97065=LINE('',#541448,#134984); #97066=LINE('',#541451,#134985); #97067=LINE('',#541454,#134986); #97068=LINE('',#541455,#134987); #97069=LINE('',#541458,#134988); #97070=LINE('',#541463,#134989); #97071=LINE('',#541464,#134990); #97072=LINE('',#541467,#134991); #97073=LINE('',#541469,#134992); #97074=LINE('',#541470,#134993); #97075=LINE('',#541472,#134994); #97076=LINE('',#541473,#134995); #97077=LINE('',#541476,#134996); #97078=LINE('',#541478,#134997); #97079=LINE('',#541481,#134998); #97080=LINE('',#541484,#134999); #97081=LINE('',#541487,#135000); #97082=LINE('',#541489,#135001); #97083=LINE('',#541491,#135002); #97084=LINE('',#541494,#135003); #97085=LINE('',#541497,#135004); #97086=LINE('',#541499,#135005); #97087=LINE('',#541501,#135006); #97088=LINE('',#541503,#135007); #97089=LINE('',#541505,#135008); #97090=LINE('',#541518,#135009); #97091=LINE('',#541532,#135010); #97092=LINE('',#541541,#135011); #97093=LINE('',#541544,#135012); #97094=LINE('',#541550,#135013); #97095=LINE('',#541553,#135014); #97096=LINE('',#541557,#135015); #97097=LINE('',#541561,#135016); #97098=LINE('',#541566,#135017); #97099=LINE('',#541570,#135018); #97100=LINE('',#541575,#135019); #97101=LINE('',#541582,#135020); #97102=LINE('',#541585,#135021); #97103=LINE('',#541586,#135022); #97104=LINE('',#541587,#135023); #97105=LINE('',#541588,#135024); #97106=LINE('',#541590,#135025); #97107=LINE('',#541593,#135026); #97108=LINE('',#541595,#135027); #97109=LINE('',#541596,#135028); #97110=LINE('',#541601,#135029); #97111=LINE('',#541604,#135030); #97112=LINE('',#541605,#135031); #97113=LINE('',#541607,#135032); #97114=LINE('',#541609,#135033); #97115=LINE('',#541611,#135034); #97116=LINE('',#541613,#135035); #97117=LINE('',#541615,#135036); #97118=LINE('',#541619,#135037); #97119=LINE('',#541620,#135038); #97120=LINE('',#541621,#135039); #97121=LINE('',#541625,#135040); #97122=LINE('',#541629,#135041); #97123=LINE('',#541630,#135042); #97124=LINE('',#541632,#135043); #97125=LINE('',#541634,#135044); #97126=LINE('',#541636,#135045); #97127=LINE('',#541639,#135046); #97128=LINE('',#541641,#135047); #97129=LINE('',#541643,#135048); #97130=LINE('',#541650,#135049); #97131=LINE('',#541653,#135050); #97132=LINE('',#541659,#135051); #97133=LINE('',#541662,#135052); #97134=LINE('',#541666,#135053); #97135=LINE('',#541670,#135054); #97136=LINE('',#541675,#135055); #97137=LINE('',#541679,#135056); #97138=LINE('',#541685,#135057); #97139=LINE('',#541691,#135058); #97140=LINE('',#541694,#135059); #97141=LINE('',#541695,#135060); #97142=LINE('',#541696,#135061); #97143=LINE('',#541697,#135062); #97144=LINE('',#541699,#135063); #97145=LINE('',#541703,#135064); #97146=LINE('',#541704,#135065); #97147=LINE('',#541705,#135066); #97148=LINE('',#541708,#135067); #97149=LINE('',#541710,#135068); #97150=LINE('',#541711,#135069); #97151=LINE('',#541713,#135070); #97152=LINE('',#541715,#135071); #97153=LINE('',#541717,#135072); #97154=LINE('',#541719,#135073); #97155=LINE('',#541721,#135074); #97156=LINE('',#541724,#135075); #97157=LINE('',#541726,#135076); #97158=LINE('',#541727,#135077); #97159=LINE('',#541731,#135078); #97160=LINE('',#541732,#135079); #97161=LINE('',#541733,#135080); #97162=LINE('',#541735,#135081); #97163=LINE('',#541737,#135082); #97164=LINE('',#541741,#135083); #97165=LINE('',#541744,#135084); #97166=LINE('',#541746,#135085); #97167=LINE('',#541748,#135086); #97168=LINE('',#541761,#135087); #97169=LINE('',#541767,#135088); #97170=LINE('',#541769,#135089); #97171=LINE('',#541771,#135090); #97172=LINE('',#541772,#135091); #97173=LINE('',#541775,#135092); #97174=LINE('',#541777,#135093); #97175=LINE('',#541778,#135094); #97176=LINE('',#541781,#135095); #97177=LINE('',#541783,#135096); #97178=LINE('',#541784,#135097); #97179=LINE('',#541786,#135098); #97180=LINE('',#541787,#135099); #97181=LINE('',#541790,#135100); #97182=LINE('',#541792,#135101); #97183=LINE('',#541793,#135102); #97184=LINE('',#541796,#135103); #97185=LINE('',#541797,#135104); #97186=LINE('',#541801,#135105); #97187=LINE('',#541802,#135106); #97188=LINE('',#541804,#135107); #97189=LINE('',#541811,#135108); #97190=LINE('',#541813,#135109); #97191=LINE('',#541814,#135110); #97192=LINE('',#541817,#135111); #97193=LINE('',#541820,#135112); #97194=LINE('',#541824,#135113); #97195=LINE('',#541826,#135114); #97196=LINE('',#541828,#135115); #97197=LINE('',#541829,#135116); #97198=LINE('',#541831,#135117); #97199=LINE('',#541832,#135118); #97200=LINE('',#541835,#135119); #97201=LINE('',#541837,#135120); #97202=LINE('',#541838,#135121); #97203=LINE('',#541840,#135122); #97204=LINE('',#541841,#135123); #97205=LINE('',#541849,#135124); #97206=LINE('',#541851,#135125); #97207=LINE('',#541852,#135126); #97208=LINE('',#541855,#135127); #97209=LINE('',#541858,#135128); #97210=LINE('',#541862,#135129); #97211=LINE('',#541864,#135130); #97212=LINE('',#541866,#135131); #97213=LINE('',#541867,#135132); #97214=LINE('',#541869,#135133); #97215=LINE('',#541870,#135134); #97216=LINE('',#541873,#135135); #97217=LINE('',#541875,#135136); #97218=LINE('',#541876,#135137); #97219=LINE('',#541878,#135138); #97220=LINE('',#541879,#135139); #97221=LINE('',#541887,#135140); #97222=LINE('',#541889,#135141); #97223=LINE('',#541890,#135142); #97224=LINE('',#541893,#135143); #97225=LINE('',#541896,#135144); #97226=LINE('',#541900,#135145); #97227=LINE('',#541902,#135146); #97228=LINE('',#541904,#135147); #97229=LINE('',#541905,#135148); #97230=LINE('',#541907,#135149); #97231=LINE('',#541908,#135150); #97232=LINE('',#541911,#135151); #97233=LINE('',#541913,#135152); #97234=LINE('',#541914,#135153); #97235=LINE('',#541916,#135154); #97236=LINE('',#541917,#135155); #97237=LINE('',#541925,#135156); #97238=LINE('',#541927,#135157); #97239=LINE('',#541928,#135158); #97240=LINE('',#541931,#135159); #97241=LINE('',#541934,#135160); #97242=LINE('',#541938,#135161); #97243=LINE('',#541940,#135162); #97244=LINE('',#541942,#135163); #97245=LINE('',#541943,#135164); #97246=LINE('',#541945,#135165); #97247=LINE('',#541946,#135166); #97248=LINE('',#541949,#135167); #97249=LINE('',#541951,#135168); #97250=LINE('',#541952,#135169); #97251=LINE('',#541954,#135170); #97252=LINE('',#541955,#135171); #97253=LINE('',#541963,#135172); #97254=LINE('',#541965,#135173); #97255=LINE('',#541966,#135174); #97256=LINE('',#541969,#135175); #97257=LINE('',#541972,#135176); #97258=LINE('',#541976,#135177); #97259=LINE('',#541978,#135178); #97260=LINE('',#541980,#135179); #97261=LINE('',#541981,#135180); #97262=LINE('',#541983,#135181); #97263=LINE('',#541984,#135182); #97264=LINE('',#541987,#135183); #97265=LINE('',#541989,#135184); #97266=LINE('',#541990,#135185); #97267=LINE('',#541992,#135186); #97268=LINE('',#541993,#135187); #97269=LINE('',#542001,#135188); #97270=LINE('',#542003,#135189); #97271=LINE('',#542004,#135190); #97272=LINE('',#542007,#135191); #97273=LINE('',#542010,#135192); #97274=LINE('',#542014,#135193); #97275=LINE('',#542016,#135194); #97276=LINE('',#542018,#135195); #97277=LINE('',#542019,#135196); #97278=LINE('',#542021,#135197); #97279=LINE('',#542022,#135198); #97280=LINE('',#542025,#135199); #97281=LINE('',#542027,#135200); #97282=LINE('',#542028,#135201); #97283=LINE('',#542030,#135202); #97284=LINE('',#542031,#135203); #97285=LINE('',#542039,#135204); #97286=LINE('',#542041,#135205); #97287=LINE('',#542042,#135206); #97288=LINE('',#542045,#135207); #97289=LINE('',#542048,#135208); #97290=LINE('',#542052,#135209); #97291=LINE('',#542054,#135210); #97292=LINE('',#542056,#135211); #97293=LINE('',#542057,#135212); #97294=LINE('',#542059,#135213); #97295=LINE('',#542060,#135214); #97296=LINE('',#542063,#135215); #97297=LINE('',#542065,#135216); #97298=LINE('',#542066,#135217); #97299=LINE('',#542068,#135218); #97300=LINE('',#542069,#135219); #97301=LINE('',#542077,#135220); #97302=LINE('',#542079,#135221); #97303=LINE('',#542080,#135222); #97304=LINE('',#542083,#135223); #97305=LINE('',#542086,#135224); #97306=LINE('',#542090,#135225); #97307=LINE('',#542092,#135226); #97308=LINE('',#542094,#135227); #97309=LINE('',#542095,#135228); #97310=LINE('',#542097,#135229); #97311=LINE('',#542098,#135230); #97312=LINE('',#542101,#135231); #97313=LINE('',#542103,#135232); #97314=LINE('',#542104,#135233); #97315=LINE('',#542106,#135234); #97316=LINE('',#542107,#135235); #97317=LINE('',#542115,#135236); #97318=LINE('',#542117,#135237); #97319=LINE('',#542118,#135238); #97320=LINE('',#542121,#135239); #97321=LINE('',#542124,#135240); #97322=LINE('',#542128,#135241); #97323=LINE('',#542130,#135242); #97324=LINE('',#542132,#135243); #97325=LINE('',#542133,#135244); #97326=LINE('',#542135,#135245); #97327=LINE('',#542136,#135246); #97328=LINE('',#542139,#135247); #97329=LINE('',#542141,#135248); #97330=LINE('',#542142,#135249); #97331=LINE('',#542144,#135250); #97332=LINE('',#542145,#135251); #97333=LINE('',#542153,#135252); #97334=LINE('',#542155,#135253); #97335=LINE('',#542156,#135254); #97336=LINE('',#542159,#135255); #97337=LINE('',#542162,#135256); #97338=LINE('',#542166,#135257); #97339=LINE('',#542168,#135258); #97340=LINE('',#542170,#135259); #97341=LINE('',#542171,#135260); #97342=LINE('',#542173,#135261); #97343=LINE('',#542174,#135262); #97344=LINE('',#542177,#135263); #97345=LINE('',#542179,#135264); #97346=LINE('',#542180,#135265); #97347=LINE('',#542182,#135266); #97348=LINE('',#542183,#135267); #97349=LINE('',#542191,#135268); #97350=LINE('',#542193,#135269); #97351=LINE('',#542194,#135270); #97352=LINE('',#542197,#135271); #97353=LINE('',#542200,#135272); #97354=LINE('',#542204,#135273); #97355=LINE('',#542206,#135274); #97356=LINE('',#542208,#135275); #97357=LINE('',#542209,#135276); #97358=LINE('',#542211,#135277); #97359=LINE('',#542212,#135278); #97360=LINE('',#542215,#135279); #97361=LINE('',#542217,#135280); #97362=LINE('',#542218,#135281); #97363=LINE('',#542220,#135282); #97364=LINE('',#542221,#135283); #97365=LINE('',#542229,#135284); #97366=LINE('',#542231,#135285); #97367=LINE('',#542232,#135286); #97368=LINE('',#542235,#135287); #97369=LINE('',#542238,#135288); #97370=LINE('',#542242,#135289); #97371=LINE('',#542244,#135290); #97372=LINE('',#542246,#135291); #97373=LINE('',#542247,#135292); #97374=LINE('',#542249,#135293); #97375=LINE('',#542250,#135294); #97376=LINE('',#542253,#135295); #97377=LINE('',#542255,#135296); #97378=LINE('',#542256,#135297); #97379=LINE('',#542258,#135298); #97380=LINE('',#542259,#135299); #97381=LINE('',#542267,#135300); #97382=LINE('',#542269,#135301); #97383=LINE('',#542270,#135302); #97384=LINE('',#542273,#135303); #97385=LINE('',#542276,#135304); #97386=LINE('',#542280,#135305); #97387=LINE('',#542282,#135306); #97388=LINE('',#542284,#135307); #97389=LINE('',#542285,#135308); #97390=LINE('',#542287,#135309); #97391=LINE('',#542288,#135310); #97392=LINE('',#542291,#135311); #97393=LINE('',#542293,#135312); #97394=LINE('',#542294,#135313); #97395=LINE('',#542296,#135314); #97396=LINE('',#542297,#135315); #97397=LINE('',#542305,#135316); #97398=LINE('',#542307,#135317); #97399=LINE('',#542308,#135318); #97400=LINE('',#542311,#135319); #97401=LINE('',#542314,#135320); #97402=LINE('',#542318,#135321); #97403=LINE('',#542320,#135322); #97404=LINE('',#542322,#135323); #97405=LINE('',#542323,#135324); #97406=LINE('',#542325,#135325); #97407=LINE('',#542326,#135326); #97408=LINE('',#542329,#135327); #97409=LINE('',#542331,#135328); #97410=LINE('',#542332,#135329); #97411=LINE('',#542334,#135330); #97412=LINE('',#542335,#135331); #97413=LINE('',#542343,#135332); #97414=LINE('',#542345,#135333); #97415=LINE('',#542346,#135334); #97416=LINE('',#542349,#135335); #97417=LINE('',#542352,#135336); #97418=LINE('',#542356,#135337); #97419=LINE('',#542358,#135338); #97420=LINE('',#542360,#135339); #97421=LINE('',#542361,#135340); #97422=LINE('',#542363,#135341); #97423=LINE('',#542364,#135342); #97424=LINE('',#542367,#135343); #97425=LINE('',#542369,#135344); #97426=LINE('',#542370,#135345); #97427=LINE('',#542372,#135346); #97428=LINE('',#542373,#135347); #97429=LINE('',#542381,#135348); #97430=LINE('',#542383,#135349); #97431=LINE('',#542384,#135350); #97432=LINE('',#542387,#135351); #97433=LINE('',#542390,#135352); #97434=LINE('',#542394,#135353); #97435=LINE('',#542396,#135354); #97436=LINE('',#542398,#135355); #97437=LINE('',#542399,#135356); #97438=LINE('',#542401,#135357); #97439=LINE('',#542402,#135358); #97440=LINE('',#542405,#135359); #97441=LINE('',#542407,#135360); #97442=LINE('',#542408,#135361); #97443=LINE('',#542410,#135362); #97444=LINE('',#542411,#135363); #97445=LINE('',#542419,#135364); #97446=LINE('',#542421,#135365); #97447=LINE('',#542422,#135366); #97448=LINE('',#542425,#135367); #97449=LINE('',#542428,#135368); #97450=LINE('',#542432,#135369); #97451=LINE('',#542434,#135370); #97452=LINE('',#542436,#135371); #97453=LINE('',#542437,#135372); #97454=LINE('',#542439,#135373); #97455=LINE('',#542440,#135374); #97456=LINE('',#542443,#135375); #97457=LINE('',#542445,#135376); #97458=LINE('',#542446,#135377); #97459=LINE('',#542448,#135378); #97460=LINE('',#542449,#135379); #97461=LINE('',#542457,#135380); #97462=LINE('',#542459,#135381); #97463=LINE('',#542460,#135382); #97464=LINE('',#542463,#135383); #97465=LINE('',#542466,#135384); #97466=LINE('',#542470,#135385); #97467=LINE('',#542472,#135386); #97468=LINE('',#542474,#135387); #97469=LINE('',#542475,#135388); #97470=LINE('',#542477,#135389); #97471=LINE('',#542478,#135390); #97472=LINE('',#542481,#135391); #97473=LINE('',#542483,#135392); #97474=LINE('',#542484,#135393); #97475=LINE('',#542486,#135394); #97476=LINE('',#542487,#135395); #97477=LINE('',#542495,#135396); #97478=LINE('',#542497,#135397); #97479=LINE('',#542498,#135398); #97480=LINE('',#542501,#135399); #97481=LINE('',#542504,#135400); #97482=LINE('',#542508,#135401); #97483=LINE('',#542510,#135402); #97484=LINE('',#542512,#135403); #97485=LINE('',#542513,#135404); #97486=LINE('',#542515,#135405); #97487=LINE('',#542516,#135406); #97488=LINE('',#542519,#135407); #97489=LINE('',#542521,#135408); #97490=LINE('',#542522,#135409); #97491=LINE('',#542524,#135410); #97492=LINE('',#542525,#135411); #97493=LINE('',#542533,#135412); #97494=LINE('',#542535,#135413); #97495=LINE('',#542536,#135414); #97496=LINE('',#542539,#135415); #97497=LINE('',#542542,#135416); #97498=LINE('',#542546,#135417); #97499=LINE('',#542548,#135418); #97500=LINE('',#542550,#135419); #97501=LINE('',#542551,#135420); #97502=LINE('',#542553,#135421); #97503=LINE('',#542554,#135422); #97504=LINE('',#542557,#135423); #97505=LINE('',#542559,#135424); #97506=LINE('',#542560,#135425); #97507=LINE('',#542562,#135426); #97508=LINE('',#542563,#135427); #97509=LINE('',#542571,#135428); #97510=LINE('',#542573,#135429); #97511=LINE('',#542574,#135430); #97512=LINE('',#542577,#135431); #97513=LINE('',#542580,#135432); #97514=LINE('',#542584,#135433); #97515=LINE('',#542586,#135434); #97516=LINE('',#542588,#135435); #97517=LINE('',#542589,#135436); #97518=LINE('',#542591,#135437); #97519=LINE('',#542592,#135438); #97520=LINE('',#542595,#135439); #97521=LINE('',#542597,#135440); #97522=LINE('',#542598,#135441); #97523=LINE('',#542600,#135442); #97524=LINE('',#542601,#135443); #97525=LINE('',#542609,#135444); #97526=LINE('',#542611,#135445); #97527=LINE('',#542612,#135446); #97528=LINE('',#542615,#135447); #97529=LINE('',#542618,#135448); #97530=LINE('',#542622,#135449); #97531=LINE('',#542624,#135450); #97532=LINE('',#542626,#135451); #97533=LINE('',#542627,#135452); #97534=LINE('',#542629,#135453); #97535=LINE('',#542630,#135454); #97536=LINE('',#542633,#135455); #97537=LINE('',#542635,#135456); #97538=LINE('',#542636,#135457); #97539=LINE('',#542638,#135458); #97540=LINE('',#542639,#135459); #97541=LINE('',#542647,#135460); #97542=LINE('',#542649,#135461); #97543=LINE('',#542650,#135462); #97544=LINE('',#542653,#135463); #97545=LINE('',#542656,#135464); #97546=LINE('',#542660,#135465); #97547=LINE('',#542662,#135466); #97548=LINE('',#542664,#135467); #97549=LINE('',#542665,#135468); #97550=LINE('',#542667,#135469); #97551=LINE('',#542668,#135470); #97552=LINE('',#542671,#135471); #97553=LINE('',#542673,#135472); #97554=LINE('',#542674,#135473); #97555=LINE('',#542676,#135474); #97556=LINE('',#542677,#135475); #97557=LINE('',#542685,#135476); #97558=LINE('',#542687,#135477); #97559=LINE('',#542688,#135478); #97560=LINE('',#542691,#135479); #97561=LINE('',#542694,#135480); #97562=LINE('',#542698,#135481); #97563=LINE('',#542700,#135482); #97564=LINE('',#542702,#135483); #97565=LINE('',#542703,#135484); #97566=LINE('',#542705,#135485); #97567=LINE('',#542706,#135486); #97568=LINE('',#542709,#135487); #97569=LINE('',#542711,#135488); #97570=LINE('',#542712,#135489); #97571=LINE('',#542714,#135490); #97572=LINE('',#542715,#135491); #97573=LINE('',#542723,#135492); #97574=LINE('',#542725,#135493); #97575=LINE('',#542726,#135494); #97576=LINE('',#542731,#135495); #97577=LINE('',#542732,#135496); #97578=LINE('',#542735,#135497); #97579=LINE('',#542737,#135498); #97580=LINE('',#542738,#135499); #97581=LINE('',#542741,#135500); #97582=LINE('',#542743,#135501); #97583=LINE('',#542744,#135502); #97584=LINE('',#542747,#135503); #97585=LINE('',#542749,#135504); #97586=LINE('',#542750,#135505); #97587=LINE('',#542752,#135506); #97588=LINE('',#542753,#135507); #97589=LINE('',#542761,#135508); #97590=LINE('',#542763,#135509); #97591=LINE('',#542764,#135510); #97592=LINE('',#542769,#135511); #97593=LINE('',#542770,#135512); #97594=LINE('',#542773,#135513); #97595=LINE('',#542775,#135514); #97596=LINE('',#542776,#135515); #97597=LINE('',#542779,#135516); #97598=LINE('',#542781,#135517); #97599=LINE('',#542782,#135518); #97600=LINE('',#542785,#135519); #97601=LINE('',#542787,#135520); #97602=LINE('',#542788,#135521); #97603=LINE('',#542790,#135522); #97604=LINE('',#542791,#135523); #97605=LINE('',#542799,#135524); #97606=LINE('',#542801,#135525); #97607=LINE('',#542802,#135526); #97608=LINE('',#542807,#135527); #97609=LINE('',#542808,#135528); #97610=LINE('',#542811,#135529); #97611=LINE('',#542813,#135530); #97612=LINE('',#542814,#135531); #97613=LINE('',#542817,#135532); #97614=LINE('',#542819,#135533); #97615=LINE('',#542820,#135534); #97616=LINE('',#542823,#135535); #97617=LINE('',#542825,#135536); #97618=LINE('',#542826,#135537); #97619=LINE('',#542828,#135538); #97620=LINE('',#542829,#135539); #97621=LINE('',#542837,#135540); #97622=LINE('',#542839,#135541); #97623=LINE('',#542840,#135542); #97624=LINE('',#542845,#135543); #97625=LINE('',#542846,#135544); #97626=LINE('',#542849,#135545); #97627=LINE('',#542851,#135546); #97628=LINE('',#542852,#135547); #97629=LINE('',#542855,#135548); #97630=LINE('',#542857,#135549); #97631=LINE('',#542858,#135550); #97632=LINE('',#542861,#135551); #97633=LINE('',#542863,#135552); #97634=LINE('',#542864,#135553); #97635=LINE('',#542866,#135554); #97636=LINE('',#542867,#135555); #97637=LINE('',#542875,#135556); #97638=LINE('',#542877,#135557); #97639=LINE('',#542878,#135558); #97640=LINE('',#542883,#135559); #97641=LINE('',#542884,#135560); #97642=LINE('',#542887,#135561); #97643=LINE('',#542889,#135562); #97644=LINE('',#542890,#135563); #97645=LINE('',#542893,#135564); #97646=LINE('',#542895,#135565); #97647=LINE('',#542896,#135566); #97648=LINE('',#542899,#135567); #97649=LINE('',#542901,#135568); #97650=LINE('',#542902,#135569); #97651=LINE('',#542904,#135570); #97652=LINE('',#542905,#135571); #97653=LINE('',#542913,#135572); #97654=LINE('',#542915,#135573); #97655=LINE('',#542916,#135574); #97656=LINE('',#542921,#135575); #97657=LINE('',#542922,#135576); #97658=LINE('',#542925,#135577); #97659=LINE('',#542927,#135578); #97660=LINE('',#542928,#135579); #97661=LINE('',#542931,#135580); #97662=LINE('',#542933,#135581); #97663=LINE('',#542934,#135582); #97664=LINE('',#542937,#135583); #97665=LINE('',#542939,#135584); #97666=LINE('',#542940,#135585); #97667=LINE('',#542942,#135586); #97668=LINE('',#542943,#135587); #97669=LINE('',#542951,#135588); #97670=LINE('',#542953,#135589); #97671=LINE('',#542954,#135590); #97672=LINE('',#542959,#135591); #97673=LINE('',#542960,#135592); #97674=LINE('',#542963,#135593); #97675=LINE('',#542965,#135594); #97676=LINE('',#542966,#135595); #97677=LINE('',#542969,#135596); #97678=LINE('',#542971,#135597); #97679=LINE('',#542972,#135598); #97680=LINE('',#542975,#135599); #97681=LINE('',#542977,#135600); #97682=LINE('',#542978,#135601); #97683=LINE('',#542980,#135602); #97684=LINE('',#542981,#135603); #97685=LINE('',#542989,#135604); #97686=LINE('',#542991,#135605); #97687=LINE('',#542992,#135606); #97688=LINE('',#542997,#135607); #97689=LINE('',#542998,#135608); #97690=LINE('',#543001,#135609); #97691=LINE('',#543003,#135610); #97692=LINE('',#543004,#135611); #97693=LINE('',#543007,#135612); #97694=LINE('',#543009,#135613); #97695=LINE('',#543010,#135614); #97696=LINE('',#543013,#135615); #97697=LINE('',#543015,#135616); #97698=LINE('',#543016,#135617); #97699=LINE('',#543018,#135618); #97700=LINE('',#543019,#135619); #97701=LINE('',#543027,#135620); #97702=LINE('',#543029,#135621); #97703=LINE('',#543030,#135622); #97704=LINE('',#543035,#135623); #97705=LINE('',#543036,#135624); #97706=LINE('',#543039,#135625); #97707=LINE('',#543041,#135626); #97708=LINE('',#543042,#135627); #97709=LINE('',#543045,#135628); #97710=LINE('',#543047,#135629); #97711=LINE('',#543048,#135630); #97712=LINE('',#543051,#135631); #97713=LINE('',#543053,#135632); #97714=LINE('',#543054,#135633); #97715=LINE('',#543056,#135634); #97716=LINE('',#543057,#135635); #97717=LINE('',#543065,#135636); #97718=LINE('',#543067,#135637); #97719=LINE('',#543068,#135638); #97720=LINE('',#543073,#135639); #97721=LINE('',#543074,#135640); #97722=LINE('',#543077,#135641); #97723=LINE('',#543079,#135642); #97724=LINE('',#543080,#135643); #97725=LINE('',#543083,#135644); #97726=LINE('',#543085,#135645); #97727=LINE('',#543086,#135646); #97728=LINE('',#543089,#135647); #97729=LINE('',#543091,#135648); #97730=LINE('',#543092,#135649); #97731=LINE('',#543094,#135650); #97732=LINE('',#543095,#135651); #97733=LINE('',#543103,#135652); #97734=LINE('',#543105,#135653); #97735=LINE('',#543106,#135654); #97736=LINE('',#543111,#135655); #97737=LINE('',#543112,#135656); #97738=LINE('',#543115,#135657); #97739=LINE('',#543117,#135658); #97740=LINE('',#543118,#135659); #97741=LINE('',#543121,#135660); #97742=LINE('',#543123,#135661); #97743=LINE('',#543124,#135662); #97744=LINE('',#543127,#135663); #97745=LINE('',#543129,#135664); #97746=LINE('',#543130,#135665); #97747=LINE('',#543132,#135666); #97748=LINE('',#543133,#135667); #97749=LINE('',#543141,#135668); #97750=LINE('',#543143,#135669); #97751=LINE('',#543144,#135670); #97752=LINE('',#543149,#135671); #97753=LINE('',#543150,#135672); #97754=LINE('',#543153,#135673); #97755=LINE('',#543155,#135674); #97756=LINE('',#543156,#135675); #97757=LINE('',#543159,#135676); #97758=LINE('',#543161,#135677); #97759=LINE('',#543162,#135678); #97760=LINE('',#543165,#135679); #97761=LINE('',#543167,#135680); #97762=LINE('',#543168,#135681); #97763=LINE('',#543170,#135682); #97764=LINE('',#543171,#135683); #97765=LINE('',#543179,#135684); #97766=LINE('',#543181,#135685); #97767=LINE('',#543182,#135686); #97768=LINE('',#543187,#135687); #97769=LINE('',#543188,#135688); #97770=LINE('',#543191,#135689); #97771=LINE('',#543193,#135690); #97772=LINE('',#543194,#135691); #97773=LINE('',#543197,#135692); #97774=LINE('',#543199,#135693); #97775=LINE('',#543200,#135694); #97776=LINE('',#543203,#135695); #97777=LINE('',#543205,#135696); #97778=LINE('',#543206,#135697); #97779=LINE('',#543208,#135698); #97780=LINE('',#543209,#135699); #97781=LINE('',#543217,#135700); #97782=LINE('',#543219,#135701); #97783=LINE('',#543220,#135702); #97784=LINE('',#543225,#135703); #97785=LINE('',#543226,#135704); #97786=LINE('',#543229,#135705); #97787=LINE('',#543231,#135706); #97788=LINE('',#543232,#135707); #97789=LINE('',#543235,#135708); #97790=LINE('',#543237,#135709); #97791=LINE('',#543238,#135710); #97792=LINE('',#543241,#135711); #97793=LINE('',#543243,#135712); #97794=LINE('',#543244,#135713); #97795=LINE('',#543246,#135714); #97796=LINE('',#543247,#135715); #97797=LINE('',#543255,#135716); #97798=LINE('',#543257,#135717); #97799=LINE('',#543258,#135718); #97800=LINE('',#543263,#135719); #97801=LINE('',#543264,#135720); #97802=LINE('',#543267,#135721); #97803=LINE('',#543269,#135722); #97804=LINE('',#543270,#135723); #97805=LINE('',#543273,#135724); #97806=LINE('',#543275,#135725); #97807=LINE('',#543276,#135726); #97808=LINE('',#543279,#135727); #97809=LINE('',#543281,#135728); #97810=LINE('',#543282,#135729); #97811=LINE('',#543284,#135730); #97812=LINE('',#543285,#135731); #97813=LINE('',#543293,#135732); #97814=LINE('',#543295,#135733); #97815=LINE('',#543296,#135734); #97816=LINE('',#543301,#135735); #97817=LINE('',#543302,#135736); #97818=LINE('',#543305,#135737); #97819=LINE('',#543307,#135738); #97820=LINE('',#543308,#135739); #97821=LINE('',#543311,#135740); #97822=LINE('',#543313,#135741); #97823=LINE('',#543314,#135742); #97824=LINE('',#543317,#135743); #97825=LINE('',#543319,#135744); #97826=LINE('',#543320,#135745); #97827=LINE('',#543322,#135746); #97828=LINE('',#543323,#135747); #97829=LINE('',#543331,#135748); #97830=LINE('',#543333,#135749); #97831=LINE('',#543334,#135750); #97832=LINE('',#543339,#135751); #97833=LINE('',#543340,#135752); #97834=LINE('',#543343,#135753); #97835=LINE('',#543345,#135754); #97836=LINE('',#543346,#135755); #97837=LINE('',#543349,#135756); #97838=LINE('',#543351,#135757); #97839=LINE('',#543352,#135758); #97840=LINE('',#543355,#135759); #97841=LINE('',#543357,#135760); #97842=LINE('',#543358,#135761); #97843=LINE('',#543360,#135762); #97844=LINE('',#543361,#135763); #97845=LINE('',#543369,#135764); #97846=LINE('',#543371,#135765); #97847=LINE('',#543372,#135766); #97848=LINE('',#543377,#135767); #97849=LINE('',#543378,#135768); #97850=LINE('',#543381,#135769); #97851=LINE('',#543383,#135770); #97852=LINE('',#543384,#135771); #97853=LINE('',#543387,#135772); #97854=LINE('',#543389,#135773); #97855=LINE('',#543390,#135774); #97856=LINE('',#543393,#135775); #97857=LINE('',#543395,#135776); #97858=LINE('',#543396,#135777); #97859=LINE('',#543398,#135778); #97860=LINE('',#543399,#135779); #97861=LINE('',#543407,#135780); #97862=LINE('',#543409,#135781); #97863=LINE('',#543410,#135782); #97864=LINE('',#543415,#135783); #97865=LINE('',#543416,#135784); #97866=LINE('',#543419,#135785); #97867=LINE('',#543421,#135786); #97868=LINE('',#543422,#135787); #97869=LINE('',#543425,#135788); #97870=LINE('',#543427,#135789); #97871=LINE('',#543428,#135790); #97872=LINE('',#543431,#135791); #97873=LINE('',#543433,#135792); #97874=LINE('',#543434,#135793); #97875=LINE('',#543436,#135794); #97876=LINE('',#543437,#135795); #97877=LINE('',#543445,#135796); #97878=LINE('',#543447,#135797); #97879=LINE('',#543448,#135798); #97880=LINE('',#543453,#135799); #97881=LINE('',#543454,#135800); #97882=LINE('',#543457,#135801); #97883=LINE('',#543459,#135802); #97884=LINE('',#543460,#135803); #97885=LINE('',#543463,#135804); #97886=LINE('',#543465,#135805); #97887=LINE('',#543466,#135806); #97888=LINE('',#543469,#135807); #97889=LINE('',#543471,#135808); #97890=LINE('',#543472,#135809); #97891=LINE('',#543474,#135810); #97892=LINE('',#543475,#135811); #97893=LINE('',#543483,#135812); #97894=LINE('',#543485,#135813); #97895=LINE('',#543486,#135814); #97896=LINE('',#543491,#135815); #97897=LINE('',#543492,#135816); #97898=LINE('',#543495,#135817); #97899=LINE('',#543497,#135818); #97900=LINE('',#543498,#135819); #97901=LINE('',#543501,#135820); #97902=LINE('',#543503,#135821); #97903=LINE('',#543504,#135822); #97904=LINE('',#543507,#135823); #97905=LINE('',#543509,#135824); #97906=LINE('',#543510,#135825); #97907=LINE('',#543512,#135826); #97908=LINE('',#543513,#135827); #97909=LINE('',#543521,#135828); #97910=LINE('',#543523,#135829); #97911=LINE('',#543524,#135830); #97912=LINE('',#543529,#135831); #97913=LINE('',#543530,#135832); #97914=LINE('',#543533,#135833); #97915=LINE('',#543535,#135834); #97916=LINE('',#543536,#135835); #97917=LINE('',#543539,#135836); #97918=LINE('',#543541,#135837); #97919=LINE('',#543542,#135838); #97920=LINE('',#543545,#135839); #97921=LINE('',#543547,#135840); #97922=LINE('',#543548,#135841); #97923=LINE('',#543550,#135842); #97924=LINE('',#543551,#135843); #97925=LINE('',#543559,#135844); #97926=LINE('',#543561,#135845); #97927=LINE('',#543562,#135846); #97928=LINE('',#543567,#135847); #97929=LINE('',#543568,#135848); #97930=LINE('',#543571,#135849); #97931=LINE('',#543573,#135850); #97932=LINE('',#543574,#135851); #97933=LINE('',#543577,#135852); #97934=LINE('',#543579,#135853); #97935=LINE('',#543580,#135854); #97936=LINE('',#543583,#135855); #97937=LINE('',#543585,#135856); #97938=LINE('',#543586,#135857); #97939=LINE('',#543588,#135858); #97940=LINE('',#543589,#135859); #97941=LINE('',#543597,#135860); #97942=LINE('',#543599,#135861); #97943=LINE('',#543600,#135862); #97944=LINE('',#543605,#135863); #97945=LINE('',#543606,#135864); #97946=LINE('',#543609,#135865); #97947=LINE('',#543611,#135866); #97948=LINE('',#543612,#135867); #97949=LINE('',#543615,#135868); #97950=LINE('',#543617,#135869); #97951=LINE('',#543618,#135870); #97952=LINE('',#543621,#135871); #97953=LINE('',#543623,#135872); #97954=LINE('',#543624,#135873); #97955=LINE('',#543626,#135874); #97956=LINE('',#543627,#135875); #97957=LINE('',#543633,#135876); #97958=LINE('',#543635,#135877); #97959=LINE('',#543637,#135878); #97960=LINE('',#543638,#135879); #97961=LINE('',#543641,#135880); #97962=LINE('',#543643,#135881); #97963=LINE('',#543644,#135882); #97964=LINE('',#543647,#135883); #97965=LINE('',#543649,#135884); #97966=LINE('',#543650,#135885); #97967=LINE('',#543652,#135886); #97968=LINE('',#543653,#135887); #97969=LINE('',#543695,#135888); #97970=LINE('',#543696,#135889); #97971=LINE('',#543712,#135890); #97972=LINE('',#543713,#135891); #97973=LINE('',#543715,#135892); #97974=LINE('',#543716,#135893); #97975=LINE('',#543725,#135894); #97976=LINE('',#543726,#135895); #97977=LINE('',#543728,#135896); #97978=LINE('',#543729,#135897); #97979=LINE('',#543731,#135898); #97980=LINE('',#543732,#135899); #97981=LINE('',#543734,#135900); #97982=LINE('',#543735,#135901); #97983=LINE('',#543737,#135902); #97984=LINE('',#543738,#135903); #97985=LINE('',#543740,#135904); #97986=LINE('',#543741,#135905); #97987=LINE('',#543743,#135906); #97988=LINE('',#543744,#135907); #97989=LINE('',#543746,#135908); #97990=LINE('',#543747,#135909); #97991=LINE('',#543749,#135910); #97992=LINE('',#543750,#135911); #97993=LINE('',#543793,#135912); #97994=LINE('',#543794,#135913); #97995=LINE('',#543810,#135914); #97996=LINE('',#543811,#135915); #97997=LINE('',#543813,#135916); #97998=LINE('',#543814,#135917); #97999=LINE('',#543823,#135918); #98000=LINE('',#543824,#135919); #98001=LINE('',#543826,#135920); #98002=LINE('',#543827,#135921); #98003=LINE('',#543829,#135922); #98004=LINE('',#543830,#135923); #98005=LINE('',#543832,#135924); #98006=LINE('',#543833,#135925); #98007=LINE('',#543835,#135926); #98008=LINE('',#543836,#135927); #98009=LINE('',#543838,#135928); #98010=LINE('',#543839,#135929); #98011=LINE('',#543841,#135930); #98012=LINE('',#543842,#135931); #98013=LINE('',#543844,#135932); #98014=LINE('',#543845,#135933); #98015=LINE('',#543847,#135934); #98016=LINE('',#543848,#135935); #98017=LINE('',#543858,#135936); #98018=LINE('',#543860,#135937); #98019=LINE('',#543862,#135938); #98020=LINE('',#543863,#135939); #98021=LINE('',#543866,#135940); #98022=LINE('',#543868,#135941); #98023=LINE('',#543869,#135942); #98024=LINE('',#543872,#135943); #98025=LINE('',#543874,#135944); #98026=LINE('',#543875,#135945); #98027=LINE('',#543877,#135946); #98028=LINE('',#543878,#135947); #98029=LINE('',#543887,#135948); #98030=LINE('',#543889,#135949); #98031=LINE('',#543891,#135950); #98032=LINE('',#543892,#135951); #98033=LINE('',#543895,#135952); #98034=LINE('',#543897,#135953); #98035=LINE('',#543898,#135954); #98036=LINE('',#543901,#135955); #98037=LINE('',#543903,#135956); #98038=LINE('',#543904,#135957); #98039=LINE('',#543906,#135958); #98040=LINE('',#543907,#135959); #98041=LINE('',#543910,#135960); #98042=LINE('',#543912,#135961); #98043=LINE('',#543913,#135962); #98044=LINE('',#543916,#135963); #98045=LINE('',#543917,#135964); #98046=LINE('',#543921,#135965); #98047=LINE('',#543922,#135966); #98048=LINE('',#543924,#135967); #98049=LINE('',#543929,#135968); #98050=LINE('',#543931,#135969); #98051=LINE('',#543933,#135970); #98052=LINE('',#543934,#135971); #98053=LINE('',#543937,#135972); #98054=LINE('',#543939,#135973); #98055=LINE('',#543940,#135974); #98056=LINE('',#543943,#135975); #98057=LINE('',#543945,#135976); #98058=LINE('',#543946,#135977); #98059=LINE('',#543948,#135978); #98060=LINE('',#543949,#135979); #98061=LINE('',#543955,#135980); #98062=LINE('',#543957,#135981); #98063=LINE('',#543959,#135982); #98064=LINE('',#543960,#135983); #98065=LINE('',#543963,#135984); #98066=LINE('',#543965,#135985); #98067=LINE('',#543966,#135986); #98068=LINE('',#543969,#135987); #98069=LINE('',#543971,#135988); #98070=LINE('',#543972,#135989); #98071=LINE('',#543974,#135990); #98072=LINE('',#543975,#135991); #98073=LINE('',#543981,#135992); #98074=LINE('',#543983,#135993); #98075=LINE('',#543985,#135994); #98076=LINE('',#543986,#135995); #98077=LINE('',#543989,#135996); #98078=LINE('',#543991,#135997); #98079=LINE('',#543992,#135998); #98080=LINE('',#543995,#135999); #98081=LINE('',#543997,#136000); #98082=LINE('',#543998,#136001); #98083=LINE('',#544000,#136002); #98084=LINE('',#544001,#136003); #98085=LINE('',#544007,#136004); #98086=LINE('',#544009,#136005); #98087=LINE('',#544011,#136006); #98088=LINE('',#544012,#136007); #98089=LINE('',#544015,#136008); #98090=LINE('',#544017,#136009); #98091=LINE('',#544018,#136010); #98092=LINE('',#544021,#136011); #98093=LINE('',#544023,#136012); #98094=LINE('',#544024,#136013); #98095=LINE('',#544026,#136014); #98096=LINE('',#544027,#136015); #98097=LINE('',#544033,#136016); #98098=LINE('',#544035,#136017); #98099=LINE('',#544037,#136018); #98100=LINE('',#544038,#136019); #98101=LINE('',#544041,#136020); #98102=LINE('',#544043,#136021); #98103=LINE('',#544044,#136022); #98104=LINE('',#544047,#136023); #98105=LINE('',#544049,#136024); #98106=LINE('',#544050,#136025); #98107=LINE('',#544052,#136026); #98108=LINE('',#544053,#136027); #98109=LINE('',#544063,#136028); #98110=LINE('',#544069,#136029); #98111=LINE('',#544071,#136030); #98112=LINE('',#544073,#136031); #98113=LINE('',#544074,#136032); #98114=LINE('',#544077,#136033); #98115=LINE('',#544079,#136034); #98116=LINE('',#544080,#136035); #98117=LINE('',#544083,#136036); #98118=LINE('',#544085,#136037); #98119=LINE('',#544086,#136038); #98120=LINE('',#544088,#136039); #98121=LINE('',#544089,#136040); #98122=LINE('',#544092,#136041); #98123=LINE('',#544094,#136042); #98124=LINE('',#544095,#136043); #98125=LINE('',#544098,#136044); #98126=LINE('',#544099,#136045); #98127=LINE('',#544103,#136046); #98128=LINE('',#544105,#136047); #98129=LINE('',#544107,#136048); #98130=LINE('',#544108,#136049); #98131=LINE('',#544111,#136050); #98132=LINE('',#544112,#136051); #98133=LINE('',#544114,#136052); #98134=LINE('',#544116,#136053); #98135=LINE('',#544117,#136054); #98136=LINE('',#544119,#136055); #98137=LINE('',#544121,#136056); #98138=LINE('',#544129,#136057); #98139=LINE('',#544133,#136058); #98140=LINE('',#544135,#136059); #98141=LINE('',#544137,#136060); #98142=LINE('',#544141,#136061); #98143=LINE('',#544145,#136062); #98144=LINE('',#544147,#136063); #98145=LINE('',#544148,#136064); #98146=LINE('',#544151,#136065); #98147=LINE('',#544153,#136066); #98148=LINE('',#544154,#136067); #98149=LINE('',#544158,#136068); #98150=LINE('',#544161,#136069); #98151=LINE('',#544162,#136070); #98152=LINE('',#544165,#136071); #98153=LINE('',#544166,#136072); #98154=LINE('',#544169,#136073); #98155=LINE('',#544170,#136074); #98156=LINE('',#544174,#136075); #98157=LINE('',#544177,#136076); #98158=LINE('',#544178,#136077); #98159=LINE('',#544182,#136078); #98160=LINE('',#544185,#136079); #98161=LINE('',#544186,#136080); #98162=LINE('',#544189,#136081); #98163=LINE('',#544190,#136082); #98164=LINE('',#544193,#136083); #98165=LINE('',#544194,#136084); #98166=LINE('',#544203,#136085); #98167=LINE('',#544205,#136086); #98168=LINE('',#544207,#136087); #98169=LINE('',#544211,#136088); #98170=LINE('',#544215,#136089); #98171=LINE('',#544217,#136090); #98172=LINE('',#544219,#136091); #98173=LINE('',#544222,#136092); #98174=LINE('',#544225,#136093); #98175=LINE('',#544227,#136094); #98176=LINE('',#544228,#136095); #98177=LINE('',#544231,#136096); #98178=LINE('',#544235,#136097); #98179=LINE('',#544236,#136098); #98180=LINE('',#544239,#136099); #98181=LINE('',#544240,#136100); #98182=LINE('',#544243,#136101); #98183=LINE('',#544244,#136102); #98184=LINE('',#544247,#136103); #98185=LINE('',#544251,#136104); #98186=LINE('',#544252,#136105); #98187=LINE('',#544255,#136106); #98188=LINE('',#544259,#136107); #98189=LINE('',#544260,#136108); #98190=LINE('',#544263,#136109); #98191=LINE('',#544264,#136110); #98192=LINE('',#544267,#136111); #98193=LINE('',#544268,#136112); #98194=LINE('',#544277,#136113); #98195=LINE('',#544281,#136114); #98196=LINE('',#544283,#136115); #98197=LINE('',#544285,#136116); #98198=LINE('',#544289,#136117); #98199=LINE('',#544293,#136118); #98200=LINE('',#544295,#136119); #98201=LINE('',#544296,#136120); #98202=LINE('',#544299,#136121); #98203=LINE('',#544301,#136122); #98204=LINE('',#544302,#136123); #98205=LINE('',#544306,#136124); #98206=LINE('',#544309,#136125); #98207=LINE('',#544310,#136126); #98208=LINE('',#544313,#136127); #98209=LINE('',#544314,#136128); #98210=LINE('',#544317,#136129); #98211=LINE('',#544318,#136130); #98212=LINE('',#544322,#136131); #98213=LINE('',#544325,#136132); #98214=LINE('',#544326,#136133); #98215=LINE('',#544330,#136134); #98216=LINE('',#544333,#136135); #98217=LINE('',#544334,#136136); #98218=LINE('',#544337,#136137); #98219=LINE('',#544338,#136138); #98220=LINE('',#544341,#136139); #98221=LINE('',#544342,#136140); #98222=LINE('',#544351,#136141); #98223=LINE('',#544355,#136142); #98224=LINE('',#544357,#136143); #98225=LINE('',#544359,#136144); #98226=LINE('',#544363,#136145); #98227=LINE('',#544367,#136146); #98228=LINE('',#544369,#136147); #98229=LINE('',#544370,#136148); #98230=LINE('',#544373,#136149); #98231=LINE('',#544375,#136150); #98232=LINE('',#544376,#136151); #98233=LINE('',#544380,#136152); #98234=LINE('',#544383,#136153); #98235=LINE('',#544384,#136154); #98236=LINE('',#544387,#136155); #98237=LINE('',#544388,#136156); #98238=LINE('',#544391,#136157); #98239=LINE('',#544392,#136158); #98240=LINE('',#544396,#136159); #98241=LINE('',#544399,#136160); #98242=LINE('',#544400,#136161); #98243=LINE('',#544404,#136162); #98244=LINE('',#544407,#136163); #98245=LINE('',#544408,#136164); #98246=LINE('',#544411,#136165); #98247=LINE('',#544412,#136166); #98248=LINE('',#544415,#136167); #98249=LINE('',#544416,#136168); #98250=LINE('',#544425,#136169); #98251=LINE('',#544429,#136170); #98252=LINE('',#544431,#136171); #98253=LINE('',#544433,#136172); #98254=LINE('',#544437,#136173); #98255=LINE('',#544441,#136174); #98256=LINE('',#544443,#136175); #98257=LINE('',#544444,#136176); #98258=LINE('',#544447,#136177); #98259=LINE('',#544449,#136178); #98260=LINE('',#544450,#136179); #98261=LINE('',#544454,#136180); #98262=LINE('',#544457,#136181); #98263=LINE('',#544458,#136182); #98264=LINE('',#544461,#136183); #98265=LINE('',#544462,#136184); #98266=LINE('',#544465,#136185); #98267=LINE('',#544466,#136186); #98268=LINE('',#544470,#136187); #98269=LINE('',#544473,#136188); #98270=LINE('',#544474,#136189); #98271=LINE('',#544478,#136190); #98272=LINE('',#544481,#136191); #98273=LINE('',#544482,#136192); #98274=LINE('',#544485,#136193); #98275=LINE('',#544486,#136194); #98276=LINE('',#544489,#136195); #98277=LINE('',#544490,#136196); #98278=LINE('',#544499,#136197); #98279=LINE('',#544501,#136198); #98280=LINE('',#544503,#136199); #98281=LINE('',#544507,#136200); #98282=LINE('',#544511,#136201); #98283=LINE('',#544513,#136202); #98284=LINE('',#544515,#136203); #98285=LINE('',#544518,#136204); #98286=LINE('',#544521,#136205); #98287=LINE('',#544523,#136206); #98288=LINE('',#544524,#136207); #98289=LINE('',#544527,#136208); #98290=LINE('',#544531,#136209); #98291=LINE('',#544532,#136210); #98292=LINE('',#544535,#136211); #98293=LINE('',#544536,#136212); #98294=LINE('',#544539,#136213); #98295=LINE('',#544540,#136214); #98296=LINE('',#544543,#136215); #98297=LINE('',#544547,#136216); #98298=LINE('',#544548,#136217); #98299=LINE('',#544551,#136218); #98300=LINE('',#544555,#136219); #98301=LINE('',#544556,#136220); #98302=LINE('',#544559,#136221); #98303=LINE('',#544560,#136222); #98304=LINE('',#544563,#136223); #98305=LINE('',#544564,#136224); #98306=LINE('',#544573,#136225); #98307=LINE('',#544575,#136226); #98308=LINE('',#544577,#136227); #98309=LINE('',#544581,#136228); #98310=LINE('',#544585,#136229); #98311=LINE('',#544587,#136230); #98312=LINE('',#544589,#136231); #98313=LINE('',#544592,#136232); #98314=LINE('',#544595,#136233); #98315=LINE('',#544597,#136234); #98316=LINE('',#544598,#136235); #98317=LINE('',#544601,#136236); #98318=LINE('',#544605,#136237); #98319=LINE('',#544606,#136238); #98320=LINE('',#544609,#136239); #98321=LINE('',#544610,#136240); #98322=LINE('',#544613,#136241); #98323=LINE('',#544614,#136242); #98324=LINE('',#544617,#136243); #98325=LINE('',#544621,#136244); #98326=LINE('',#544622,#136245); #98327=LINE('',#544625,#136246); #98328=LINE('',#544629,#136247); #98329=LINE('',#544630,#136248); #98330=LINE('',#544633,#136249); #98331=LINE('',#544634,#136250); #98332=LINE('',#544637,#136251); #98333=LINE('',#544638,#136252); #98334=LINE('',#544647,#136253); #98335=LINE('',#544649,#136254); #98336=LINE('',#544651,#136255); #98337=LINE('',#544655,#136256); #98338=LINE('',#544659,#136257); #98339=LINE('',#544661,#136258); #98340=LINE('',#544663,#136259); #98341=LINE('',#544666,#136260); #98342=LINE('',#544669,#136261); #98343=LINE('',#544671,#136262); #98344=LINE('',#544672,#136263); #98345=LINE('',#544675,#136264); #98346=LINE('',#544679,#136265); #98347=LINE('',#544680,#136266); #98348=LINE('',#544683,#136267); #98349=LINE('',#544684,#136268); #98350=LINE('',#544687,#136269); #98351=LINE('',#544688,#136270); #98352=LINE('',#544691,#136271); #98353=LINE('',#544695,#136272); #98354=LINE('',#544696,#136273); #98355=LINE('',#544699,#136274); #98356=LINE('',#544703,#136275); #98357=LINE('',#544704,#136276); #98358=LINE('',#544707,#136277); #98359=LINE('',#544708,#136278); #98360=LINE('',#544711,#136279); #98361=LINE('',#544712,#136280); #98362=LINE('',#544723,#136281); #98363=LINE('',#544729,#136282); #98364=LINE('',#544731,#136283); #98365=LINE('',#544733,#136284); #98366=LINE('',#544734,#136285); #98367=LINE('',#544737,#136286); #98368=LINE('',#544739,#136287); #98369=LINE('',#544740,#136288); #98370=LINE('',#544743,#136289); #98371=LINE('',#544745,#136290); #98372=LINE('',#544746,#136291); #98373=LINE('',#544748,#136292); #98374=LINE('',#544749,#136293); #98375=LINE('',#544752,#136294); #98376=LINE('',#544754,#136295); #98377=LINE('',#544755,#136296); #98378=LINE('',#544758,#136297); #98379=LINE('',#544759,#136298); #98380=LINE('',#544763,#136299); #98381=LINE('',#544765,#136300); #98382=LINE('',#544767,#136301); #98383=LINE('',#544768,#136302); #98384=LINE('',#544771,#136303); #98385=LINE('',#544772,#136304); #98386=LINE('',#544774,#136305); #98387=LINE('',#544776,#136306); #98388=LINE('',#544777,#136307); #98389=LINE('',#544779,#136308); #98390=LINE('',#544781,#136309); #98391=LINE('',#544789,#136310); #98392=LINE('',#544791,#136311); #98393=LINE('',#544793,#136312); #98394=LINE('',#544797,#136313); #98395=LINE('',#544801,#136314); #98396=LINE('',#544803,#136315); #98397=LINE('',#544805,#136316); #98398=LINE('',#544808,#136317); #98399=LINE('',#544811,#136318); #98400=LINE('',#544813,#136319); #98401=LINE('',#544814,#136320); #98402=LINE('',#544817,#136321); #98403=LINE('',#544818,#136322); #98404=LINE('',#544821,#136323); #98405=LINE('',#544822,#136324); #98406=LINE('',#544826,#136325); #98407=LINE('',#544829,#136326); #98408=LINE('',#544830,#136327); #98409=LINE('',#544834,#136328); #98410=LINE('',#544837,#136329); #98411=LINE('',#544838,#136330); #98412=LINE('',#544841,#136331); #98413=LINE('',#544842,#136332); #98414=LINE('',#544845,#136333); #98415=LINE('',#544846,#136334); #98416=LINE('',#544850,#136335); #98417=LINE('',#544853,#136336); #98418=LINE('',#544854,#136337); #98419=LINE('',#544863,#136338); #98420=LINE('',#544865,#136339); #98421=LINE('',#544867,#136340); #98422=LINE('',#544871,#136341); #98423=LINE('',#544875,#136342); #98424=LINE('',#544877,#136343); #98425=LINE('',#544879,#136344); #98426=LINE('',#544882,#136345); #98427=LINE('',#544885,#136346); #98428=LINE('',#544887,#136347); #98429=LINE('',#544888,#136348); #98430=LINE('',#544891,#136349); #98431=LINE('',#544892,#136350); #98432=LINE('',#544895,#136351); #98433=LINE('',#544896,#136352); #98434=LINE('',#544900,#136353); #98435=LINE('',#544903,#136354); #98436=LINE('',#544904,#136355); #98437=LINE('',#544908,#136356); #98438=LINE('',#544911,#136357); #98439=LINE('',#544912,#136358); #98440=LINE('',#544915,#136359); #98441=LINE('',#544916,#136360); #98442=LINE('',#544919,#136361); #98443=LINE('',#544920,#136362); #98444=LINE('',#544924,#136363); #98445=LINE('',#544927,#136364); #98446=LINE('',#544928,#136365); #98447=LINE('',#544937,#136366); #98448=LINE('',#544939,#136367); #98449=LINE('',#544941,#136368); #98450=LINE('',#544945,#136369); #98451=LINE('',#544949,#136370); #98452=LINE('',#544951,#136371); #98453=LINE('',#544953,#136372); #98454=LINE('',#544956,#136373); #98455=LINE('',#544959,#136374); #98456=LINE('',#544961,#136375); #98457=LINE('',#544962,#136376); #98458=LINE('',#544965,#136377); #98459=LINE('',#544966,#136378); #98460=LINE('',#544969,#136379); #98461=LINE('',#544970,#136380); #98462=LINE('',#544974,#136381); #98463=LINE('',#544977,#136382); #98464=LINE('',#544978,#136383); #98465=LINE('',#544982,#136384); #98466=LINE('',#544985,#136385); #98467=LINE('',#544986,#136386); #98468=LINE('',#544989,#136387); #98469=LINE('',#544990,#136388); #98470=LINE('',#544993,#136389); #98471=LINE('',#544994,#136390); #98472=LINE('',#544998,#136391); #98473=LINE('',#545001,#136392); #98474=LINE('',#545002,#136393); #98475=LINE('',#545011,#136394); #98476=LINE('',#545013,#136395); #98477=LINE('',#545015,#136396); #98478=LINE('',#545019,#136397); #98479=LINE('',#545023,#136398); #98480=LINE('',#545025,#136399); #98481=LINE('',#545027,#136400); #98482=LINE('',#545030,#136401); #98483=LINE('',#545033,#136402); #98484=LINE('',#545035,#136403); #98485=LINE('',#545036,#136404); #98486=LINE('',#545039,#136405); #98487=LINE('',#545040,#136406); #98488=LINE('',#545043,#136407); #98489=LINE('',#545044,#136408); #98490=LINE('',#545048,#136409); #98491=LINE('',#545051,#136410); #98492=LINE('',#545052,#136411); #98493=LINE('',#545056,#136412); #98494=LINE('',#545059,#136413); #98495=LINE('',#545060,#136414); #98496=LINE('',#545063,#136415); #98497=LINE('',#545064,#136416); #98498=LINE('',#545067,#136417); #98499=LINE('',#545068,#136418); #98500=LINE('',#545072,#136419); #98501=LINE('',#545075,#136420); #98502=LINE('',#545076,#136421); #98503=LINE('',#545085,#136422); #98504=LINE('',#545087,#136423); #98505=LINE('',#545089,#136424); #98506=LINE('',#545093,#136425); #98507=LINE('',#545097,#136426); #98508=LINE('',#545099,#136427); #98509=LINE('',#545101,#136428); #98510=LINE('',#545104,#136429); #98511=LINE('',#545107,#136430); #98512=LINE('',#545109,#136431); #98513=LINE('',#545110,#136432); #98514=LINE('',#545113,#136433); #98515=LINE('',#545114,#136434); #98516=LINE('',#545117,#136435); #98517=LINE('',#545118,#136436); #98518=LINE('',#545122,#136437); #98519=LINE('',#545125,#136438); #98520=LINE('',#545126,#136439); #98521=LINE('',#545130,#136440); #98522=LINE('',#545133,#136441); #98523=LINE('',#545134,#136442); #98524=LINE('',#545137,#136443); #98525=LINE('',#545138,#136444); #98526=LINE('',#545141,#136445); #98527=LINE('',#545142,#136446); #98528=LINE('',#545146,#136447); #98529=LINE('',#545149,#136448); #98530=LINE('',#545150,#136449); #98531=LINE('',#545193,#136450); #98532=LINE('',#545194,#136451); #98533=LINE('',#545210,#136452); #98534=LINE('',#545211,#136453); #98535=LINE('',#545213,#136454); #98536=LINE('',#545214,#136455); #98537=LINE('',#545223,#136456); #98538=LINE('',#545224,#136457); #98539=LINE('',#545226,#136458); #98540=LINE('',#545227,#136459); #98541=LINE('',#545229,#136460); #98542=LINE('',#545230,#136461); #98543=LINE('',#545232,#136462); #98544=LINE('',#545233,#136463); #98545=LINE('',#545235,#136464); #98546=LINE('',#545236,#136465); #98547=LINE('',#545238,#136466); #98548=LINE('',#545239,#136467); #98549=LINE('',#545241,#136468); #98550=LINE('',#545242,#136469); #98551=LINE('',#545244,#136470); #98552=LINE('',#545245,#136471); #98553=LINE('',#545247,#136472); #98554=LINE('',#545248,#136473); #98555=LINE('',#545291,#136474); #98556=LINE('',#545292,#136475); #98557=LINE('',#545308,#136476); #98558=LINE('',#545309,#136477); #98559=LINE('',#545311,#136478); #98560=LINE('',#545312,#136479); #98561=LINE('',#545321,#136480); #98562=LINE('',#545322,#136481); #98563=LINE('',#545324,#136482); #98564=LINE('',#545325,#136483); #98565=LINE('',#545327,#136484); #98566=LINE('',#545328,#136485); #98567=LINE('',#545330,#136486); #98568=LINE('',#545331,#136487); #98569=LINE('',#545333,#136488); #98570=LINE('',#545334,#136489); #98571=LINE('',#545336,#136490); #98572=LINE('',#545337,#136491); #98573=LINE('',#545339,#136492); #98574=LINE('',#545340,#136493); #98575=LINE('',#545342,#136494); #98576=LINE('',#545343,#136495); #98577=LINE('',#545345,#136496); #98578=LINE('',#545346,#136497); #98579=LINE('',#545356,#136498); #98580=LINE('',#545358,#136499); #98581=LINE('',#545360,#136500); #98582=LINE('',#545361,#136501); #98583=LINE('',#545364,#136502); #98584=LINE('',#545366,#136503); #98585=LINE('',#545367,#136504); #98586=LINE('',#545370,#136505); #98587=LINE('',#545372,#136506); #98588=LINE('',#545373,#136507); #98589=LINE('',#545375,#136508); #98590=LINE('',#545376,#136509); #98591=LINE('',#545385,#136510); #98592=LINE('',#545387,#136511); #98593=LINE('',#545389,#136512); #98594=LINE('',#545390,#136513); #98595=LINE('',#545393,#136514); #98596=LINE('',#545395,#136515); #98597=LINE('',#545396,#136516); #98598=LINE('',#545399,#136517); #98599=LINE('',#545401,#136518); #98600=LINE('',#545402,#136519); #98601=LINE('',#545404,#136520); #98602=LINE('',#545405,#136521); #98603=LINE('',#545408,#136522); #98604=LINE('',#545410,#136523); #98605=LINE('',#545411,#136524); #98606=LINE('',#545414,#136525); #98607=LINE('',#545415,#136526); #98608=LINE('',#545420,#136527); #98609=LINE('',#545422,#136528); #98610=LINE('',#545424,#136529); #98611=LINE('',#545425,#136530); #98612=LINE('',#545428,#136531); #98613=LINE('',#545430,#136532); #98614=LINE('',#545431,#136533); #98615=LINE('',#545434,#136534); #98616=LINE('',#545436,#136535); #98617=LINE('',#545437,#136536); #98618=LINE('',#545439,#136537); #98619=LINE('',#545440,#136538); #98620=LINE('',#545443,#136539); #98621=LINE('',#545445,#136540); #98622=LINE('',#545446,#136541); #98623=LINE('',#545449,#136542); #98624=LINE('',#545450,#136543); #98625=LINE('',#545454,#136544); #98626=LINE('',#545455,#136545); #98627=LINE('',#545457,#136546); #98628=LINE('',#545460,#136547); #98629=LINE('',#545461,#136548); #98630=LINE('',#545463,#136549); #98631=LINE('',#545467,#136550); #98632=LINE('',#545469,#136551); #98633=LINE('',#545471,#136552); #98634=LINE('',#545472,#136553); #98635=LINE('',#545475,#136554); #98636=LINE('',#545477,#136555); #98637=LINE('',#545478,#136556); #98638=LINE('',#545482,#136557); #98639=LINE('',#545484,#136558); #98640=LINE('',#545486,#136559); #98641=LINE('',#545487,#136560); #98642=LINE('',#545491,#136561); #98643=LINE('',#545493,#136562); #98644=LINE('',#545495,#136563); #98645=LINE('',#545496,#136564); #98646=LINE('',#545499,#136565); #98647=LINE('',#545501,#136566); #98648=LINE('',#545502,#136567); #98649=LINE('',#545504,#136568); #98650=LINE('',#545505,#136569); #98651=LINE('',#545509,#136570); #98652=LINE('',#545511,#136571); #98653=LINE('',#545513,#136572); #98654=LINE('',#545514,#136573); #98655=LINE('',#545517,#136574); #98656=LINE('',#545519,#136575); #98657=LINE('',#545520,#136576); #98658=LINE('',#545523,#136577); #98659=LINE('',#545525,#136578); #98660=LINE('',#545526,#136579); #98661=LINE('',#545528,#136580); #98662=LINE('',#545529,#136581); #98663=LINE('',#545532,#136582); #98664=LINE('',#545534,#136583); #98665=LINE('',#545535,#136584); #98666=LINE('',#545538,#136585); #98667=LINE('',#545539,#136586); #98668=LINE('',#545544,#136587); #98669=LINE('',#545546,#136588); #98670=LINE('',#545548,#136589); #98671=LINE('',#545549,#136590); #98672=LINE('',#545552,#136591); #98673=LINE('',#545554,#136592); #98674=LINE('',#545555,#136593); #98675=LINE('',#545558,#136594); #98676=LINE('',#545560,#136595); #98677=LINE('',#545561,#136596); #98678=LINE('',#545563,#136597); #98679=LINE('',#545564,#136598); #98680=LINE('',#545567,#136599); #98681=LINE('',#545569,#136600); #98682=LINE('',#545570,#136601); #98683=LINE('',#545573,#136602); #98684=LINE('',#545574,#136603); #98685=LINE('',#545578,#136604); #98686=LINE('',#545579,#136605); #98687=LINE('',#545581,#136606); #98688=LINE('',#545584,#136607); #98689=LINE('',#545585,#136608); #98690=LINE('',#545587,#136609); #98691=LINE('',#545590,#136610); #98692=LINE('',#545592,#136611); #98693=LINE('',#545593,#136612); #98694=LINE('',#545597,#136613); #98695=LINE('',#545599,#136614); #98696=LINE('',#545601,#136615); #98697=LINE('',#545602,#136616); #98698=LINE('',#545605,#136617); #98699=LINE('',#545607,#136618); #98700=LINE('',#545608,#136619); #98701=LINE('',#545611,#136620); #98702=LINE('',#545613,#136621); #98703=LINE('',#545614,#136622); #98704=LINE('',#545617,#136623); #98705=LINE('',#545619,#136624); #98706=LINE('',#545620,#136625); #98707=LINE('',#545622,#136626); #98708=LINE('',#545623,#136627); #98709=LINE('',#545627,#136628); #98710=LINE('',#545629,#136629); #98711=LINE('',#545631,#136630); #98712=LINE('',#545632,#136631); #98713=LINE('',#545635,#136632); #98714=LINE('',#545637,#136633); #98715=LINE('',#545638,#136634); #98716=LINE('',#545641,#136635); #98717=LINE('',#545643,#136636); #98718=LINE('',#545644,#136637); #98719=LINE('',#545646,#136638); #98720=LINE('',#545647,#136639); #98721=LINE('',#545650,#136640); #98722=LINE('',#545652,#136641); #98723=LINE('',#545653,#136642); #98724=LINE('',#545656,#136643); #98725=LINE('',#545657,#136644); #98726=LINE('',#545662,#136645); #98727=LINE('',#545664,#136646); #98728=LINE('',#545666,#136647); #98729=LINE('',#545667,#136648); #98730=LINE('',#545670,#136649); #98731=LINE('',#545672,#136650); #98732=LINE('',#545673,#136651); #98733=LINE('',#545676,#136652); #98734=LINE('',#545678,#136653); #98735=LINE('',#545679,#136654); #98736=LINE('',#545681,#136655); #98737=LINE('',#545682,#136656); #98738=LINE('',#545685,#136657); #98739=LINE('',#545687,#136658); #98740=LINE('',#545688,#136659); #98741=LINE('',#545691,#136660); #98742=LINE('',#545692,#136661); #98743=LINE('',#545696,#136662); #98744=LINE('',#545697,#136663); #98745=LINE('',#545699,#136664); #98746=LINE('',#545702,#136665); #98747=LINE('',#545703,#136666); #98748=LINE('',#545705,#136667); #98749=LINE('',#545708,#136668); #98750=LINE('',#545710,#136669); #98751=LINE('',#545711,#136670); #98752=LINE('',#545714,#136671); #98753=LINE('',#545716,#136672); #98754=LINE('',#545717,#136673); #98755=LINE('',#545720,#136674); #98756=LINE('',#545722,#136675); #98757=LINE('',#545723,#136676); #98758=LINE('',#545727,#136677); #98759=LINE('',#545729,#136678); #98760=LINE('',#545731,#136679); #98761=LINE('',#545732,#136680); #98762=LINE('',#545735,#136681); #98763=LINE('',#545737,#136682); #98764=LINE('',#545738,#136683); #98765=LINE('',#545740,#136684); #98766=LINE('',#545741,#136685); #98767=LINE('',#545745,#136686); #98768=LINE('',#545747,#136687); #98769=LINE('',#545749,#136688); #98770=LINE('',#545750,#136689); #98771=LINE('',#545753,#136690); #98772=LINE('',#545755,#136691); #98773=LINE('',#545756,#136692); #98774=LINE('',#545759,#136693); #98775=LINE('',#545761,#136694); #98776=LINE('',#545762,#136695); #98777=LINE('',#545764,#136696); #98778=LINE('',#545765,#136697); #98779=LINE('',#545768,#136698); #98780=LINE('',#545770,#136699); #98781=LINE('',#545771,#136700); #98782=LINE('',#545774,#136701); #98783=LINE('',#545775,#136702); #98784=LINE('',#545780,#136703); #98785=LINE('',#545782,#136704); #98786=LINE('',#545784,#136705); #98787=LINE('',#545785,#136706); #98788=LINE('',#545788,#136707); #98789=LINE('',#545790,#136708); #98790=LINE('',#545791,#136709); #98791=LINE('',#545794,#136710); #98792=LINE('',#545796,#136711); #98793=LINE('',#545797,#136712); #98794=LINE('',#545799,#136713); #98795=LINE('',#545800,#136714); #98796=LINE('',#545803,#136715); #98797=LINE('',#545805,#136716); #98798=LINE('',#545806,#136717); #98799=LINE('',#545809,#136718); #98800=LINE('',#545810,#136719); #98801=LINE('',#545814,#136720); #98802=LINE('',#545815,#136721); #98803=LINE('',#545817,#136722); #98804=LINE('',#545820,#136723); #98805=LINE('',#545821,#136724); #98806=LINE('',#545823,#136725); #98807=LINE('',#545825,#136726); #98808=LINE('',#545826,#136727); #98809=LINE('',#545829,#136728); #98810=LINE('',#545831,#136729); #98811=LINE('',#545833,#136730); #98812=LINE('',#545835,#136731); #98813=LINE('',#545836,#136732); #98814=LINE('',#545839,#136733); #98815=LINE('',#545840,#136734); #98816=LINE('',#545843,#136735); #98817=LINE('',#545844,#136736); #98818=LINE('',#545847,#136737); #98819=LINE('',#545848,#136738); #98820=LINE('',#545851,#136739); #98821=LINE('',#545852,#136740); #98822=LINE('',#545854,#136741); #98823=LINE('',#545863,#136742); #98824=LINE('',#545871,#136743); #98825=LINE('',#545877,#136744); #98826=LINE('',#545885,#136745); #98827=LINE('',#545893,#136746); #98828=LINE('',#545901,#136747); #98829=VECTOR('',#360618,0.325); #98830=VECTOR('',#360625,0.325); #98831=VECTOR('',#360632,0.600000000000001); #98832=VECTOR('',#360639,0.175000000000001); #98833=VECTOR('',#360646,0.175000000000001); #98834=VECTOR('',#360653,0.175000000000001); #98835=VECTOR('',#360660,0.175000000000001); #98836=VECTOR('',#360667,0.175000000000001); #98837=VECTOR('',#360674,0.175000000000001); #98838=VECTOR('',#360681,0.175000000000001); #98839=VECTOR('',#360688,0.175000000000001); #98840=VECTOR('',#360695,0.175000000000001); #98841=VECTOR('',#360702,0.175000000000001); #98842=VECTOR('',#360709,0.175000000000001); #98843=VECTOR('',#360716,0.175000000000001); #98844=VECTOR('',#360723,0.175000000000001); #98845=VECTOR('',#360730,0.175000000000001); #98846=VECTOR('',#360737,0.175000000000001); #98847=VECTOR('',#360744,0.499999999999998); #98848=VECTOR('',#360751,0.175000000000001); #98849=VECTOR('',#360758,0.175000000000001); #98850=VECTOR('',#360765,0.175000000000001); #98851=VECTOR('',#360772,0.175000000000001); #98852=VECTOR('',#360779,0.175000000000001); #98853=VECTOR('',#360786,0.175000000000001); #98854=VECTOR('',#360793,0.175000000000001); #98855=VECTOR('',#360800,0.175000000000001); #98856=VECTOR('',#360807,0.175000000000001); #98857=VECTOR('',#360814,0.175000000000001); #98858=VECTOR('',#360821,0.175000000000001); #98859=VECTOR('',#360828,0.175000000000001); #98860=VECTOR('',#360835,0.175000000000001); #98861=VECTOR('',#360842,0.175000000000001); #98862=VECTOR('',#360849,0.175000000000001); #98863=VECTOR('',#360856,0.550000000000002); #98864=VECTOR('',#360863,0.175000000000001); #98865=VECTOR('',#360870,0.175000000000001); #98866=VECTOR('',#360877,0.175000000000001); #98867=VECTOR('',#360884,0.175000000000001); #98868=VECTOR('',#360889,10.); #98869=VECTOR('',#360890,10.); #98870=VECTOR('',#360891,10.); #98871=VECTOR('',#360892,10.); #98872=VECTOR('',#360899,10.); #98873=VECTOR('',#360902,10.); #98874=VECTOR('',#360903,10.); #98875=VECTOR('',#360904,10.); #98876=VECTOR('',#360915,0.175000000000001); #98877=VECTOR('',#360920,10.); #98878=VECTOR('',#360921,10.); #98879=VECTOR('',#360922,10.); #98880=VECTOR('',#360923,10.); #98881=VECTOR('',#360930,10.); #98882=VECTOR('',#360933,10.); #98883=VECTOR('',#360934,10.); #98884=VECTOR('',#360935,10.); #98885=VECTOR('',#360946,0.175000000000001); #98886=VECTOR('',#360953,0.175000000000001); #98887=VECTOR('',#360960,0.175000000000001); #98888=VECTOR('',#360967,0.150000000000001); #98889=VECTOR('',#360974,0.175000000000001); #98890=VECTOR('',#360981,0.150000000000001); #98891=VECTOR('',#360988,0.175000000000001); #98892=VECTOR('',#360995,0.150000000000001); #98893=VECTOR('',#361002,0.175000000000001); #98894=VECTOR('',#361009,0.150000000000001); #98895=VECTOR('',#361016,0.175000000000001); #98896=VECTOR('',#361021,10.); #98897=VECTOR('',#361022,10.); #98898=VECTOR('',#361023,10.); #98899=VECTOR('',#361024,10.); #98900=VECTOR('',#361031,10.); #98901=VECTOR('',#361034,10.); #98902=VECTOR('',#361035,10.); #98903=VECTOR('',#361036,10.); #98904=VECTOR('',#361047,0.175000000000001); #98905=VECTOR('',#361052,10.); #98906=VECTOR('',#361053,10.); #98907=VECTOR('',#361054,10.); #98908=VECTOR('',#361055,10.); #98909=VECTOR('',#361062,10.); #98910=VECTOR('',#361065,10.); #98911=VECTOR('',#361066,10.); #98912=VECTOR('',#361067,10.); #98913=VECTOR('',#361078,0.175000000000001); #98914=VECTOR('',#361083,10.); #98915=VECTOR('',#361084,10.); #98916=VECTOR('',#361085,10.); #98917=VECTOR('',#361086,10.); #98918=VECTOR('',#361093,10.); #98919=VECTOR('',#361096,10.); #98920=VECTOR('',#361097,10.); #98921=VECTOR('',#361098,10.); #98922=VECTOR('',#361109,0.175000000000001); #98923=VECTOR('',#361114,10.); #98924=VECTOR('',#361115,10.); #98925=VECTOR('',#361116,10.); #98926=VECTOR('',#361117,10.); #98927=VECTOR('',#361124,10.); #98928=VECTOR('',#361127,10.); #98929=VECTOR('',#361128,10.); #98930=VECTOR('',#361129,10.); #98931=VECTOR('',#361140,0.499999999999998); #98932=VECTOR('',#361147,0.175000000000001); #98933=VECTOR('',#361154,0.175000000000001); #98934=VECTOR('',#361161,0.175000000000001); #98935=VECTOR('',#361168,0.175000000000001); #98936=VECTOR('',#361175,0.175000000000001); #98937=VECTOR('',#361182,0.175000000000001); #98938=VECTOR('',#361189,0.175000000000001); #98939=VECTOR('',#361196,0.175000000000001); #98940=VECTOR('',#361203,0.175000000000001); #98941=VECTOR('',#361210,0.175000000000001); #98942=VECTOR('',#361217,0.175000000000001); #98943=VECTOR('',#361224,0.175000000000001); #98944=VECTOR('',#361231,0.175000000000001); #98945=VECTOR('',#361238,0.175000000000001); #98946=VECTOR('',#361245,0.175000000000001); #98947=VECTOR('',#361252,0.600000000000001); #98948=VECTOR('',#361259,0.175000000000001); #98949=VECTOR('',#361266,0.175); #98950=VECTOR('',#361273,0.175000000000001); #98951=VECTOR('',#361280,0.175000000000001); #98952=VECTOR('',#361287,0.175000000000001); #98953=VECTOR('',#361294,0.175000000000001); #98954=VECTOR('',#361301,0.175000000000001); #98955=VECTOR('',#361308,0.175000000000001); #98956=VECTOR('',#361315,0.175000000000001); #98957=VECTOR('',#361322,0.175000000000001); #98958=VECTOR('',#361329,0.175000000000001); #98959=VECTOR('',#361336,0.175000000000001); #98960=VECTOR('',#361343,0.175000000000001); #98961=VECTOR('',#361350,0.175000000000001); #98962=VECTOR('',#361357,0.175000000000001); #98963=VECTOR('',#361364,0.499999999999998); #98964=VECTOR('',#361371,0.175000000000001); #98965=VECTOR('',#361378,0.175000000000001); #98966=VECTOR('',#361385,0.175000000000001); #98967=VECTOR('',#361392,0.175000000000001); #98968=VECTOR('',#361399,0.175000000000001); #98969=VECTOR('',#361406,0.175000000000001); #98970=VECTOR('',#361413,0.175000000000001); #98971=VECTOR('',#361420,0.175000000000001); #98972=VECTOR('',#361427,0.175000000000001); #98973=VECTOR('',#361434,0.175000000000001); #98974=VECTOR('',#361441,0.175000000000001); #98975=VECTOR('',#361448,0.175000000000001); #98976=VECTOR('',#361455,0.175000000000001); #98977=VECTOR('',#361462,0.175000000000001); #98978=VECTOR('',#361469,0.175000000000001); #98979=VECTOR('',#361476,0.507999999999997); #98980=VECTOR('',#361483,0.175000000000001); #98981=VECTOR('',#361490,0.175000000000001); #98982=VECTOR('',#361497,0.175000000000001); #98983=VECTOR('',#361504,0.175000000000001); #98984=VECTOR('',#361511,0.175000000000001); #98985=VECTOR('',#361518,0.175000000000001); #98986=VECTOR('',#361525,0.175000000000001); #98987=VECTOR('',#361532,0.175000000000001); #98988=VECTOR('',#361539,0.175000000000001); #98989=VECTOR('',#361546,0.175000000000001); #98990=VECTOR('',#361553,0.175000000000001); #98991=VECTOR('',#361560,0.175000000000001); #98992=VECTOR('',#361567,0.175000000000001); #98993=VECTOR('',#361574,0.175000000000001); #98994=VECTOR('',#361581,0.175000000000001); #98995=VECTOR('',#361588,0.499999999999998); #98996=VECTOR('',#361595,0.175000000000001); #98997=VECTOR('',#361602,0.175000000000001); #98998=VECTOR('',#361609,0.175000000000001); #98999=VECTOR('',#361616,0.175000000000001); #99000=VECTOR('',#361623,0.175000000000001); #99001=VECTOR('',#361630,0.175000000000001); #99002=VECTOR('',#361637,0.175000000000001); #99003=VECTOR('',#361644,0.175000000000001); #99004=VECTOR('',#361651,0.175000000000001); #99005=VECTOR('',#361658,0.175000000000001); #99006=VECTOR('',#361665,0.175000000000001); #99007=VECTOR('',#361672,0.175000000000001); #99008=VECTOR('',#361679,0.175000000000001); #99009=VECTOR('',#361686,0.175000000000001); #99010=VECTOR('',#361693,0.175000000000001); #99011=VECTOR('',#361700,0.550000000000002); #99012=VECTOR('',#361707,0.175000000000001); #99013=VECTOR('',#361714,0.175); #99014=VECTOR('',#361721,0.175000000000001); #99015=VECTOR('',#361728,0.175000000000001); #99016=VECTOR('',#361735,0.175000000000001); #99017=VECTOR('',#361742,0.175); #99018=VECTOR('',#361749,0.175000000000001); #99019=VECTOR('',#361756,0.175000000000001); #99020=VECTOR('',#361763,0.175000000000001); #99021=VECTOR('',#361770,0.175); #99022=VECTOR('',#361777,0.175000000000001); #99023=VECTOR('',#361784,0.175000000000001); #99024=VECTOR('',#361791,0.175000000000001); #99025=VECTOR('',#361798,0.175); #99026=VECTOR('',#361805,0.175000000000001); #99027=VECTOR('',#361812,0.499999999999998); #99028=VECTOR('',#361819,0.175000000000001); #99029=VECTOR('',#361826,0.175000000000001); #99030=VECTOR('',#361833,0.175000000000001); #99031=VECTOR('',#361840,0.175000000000001); #99032=VECTOR('',#361847,0.175000000000001); #99033=VECTOR('',#361854,0.175); #99034=VECTOR('',#361861,0.175000000000001); #99035=VECTOR('',#361868,0.175000000000001); #99036=VECTOR('',#361875,0.175000000000001); #99037=VECTOR('',#361882,0.175000000000001); #99038=VECTOR('',#361889,0.175000000000001); #99039=VECTOR('',#361896,0.175000000000001); #99040=VECTOR('',#361903,0.175000000000001); #99041=VECTOR('',#361910,0.175); #99042=VECTOR('',#361917,0.175000000000001); #99043=VECTOR('',#361924,0.507999999999997); #99044=VECTOR('',#361931,0.175000000000001); #99045=VECTOR('',#361938,0.175000000000001); #99046=VECTOR('',#361945,0.175000000000001); #99047=VECTOR('',#361952,0.175000000000001); #99048=VECTOR('',#361959,0.175000000000001); #99049=VECTOR('',#361966,0.175000000000001); #99050=VECTOR('',#361973,0.175000000000001); #99051=VECTOR('',#361980,0.175000000000001); #99052=VECTOR('',#361987,0.175000000000001); #99053=VECTOR('',#361994,0.175); #99054=VECTOR('',#362001,0.175000000000001); #99055=VECTOR('',#362008,0.175000000000001); #99056=VECTOR('',#362015,0.175000000000001); #99057=VECTOR('',#362022,0.175); #99058=VECTOR('',#362029,0.175000000000001); #99059=VECTOR('',#362036,0.175000000000001); #99060=VECTOR('',#362043,0.175000000000001); #99061=VECTOR('',#362050,0.175000000000001); #99062=VECTOR('',#362057,0.175000000000001); #99063=VECTOR('',#362064,0.175000000000001); #99064=VECTOR('',#362071,0.175000000000001); #99065=VECTOR('',#362078,0.175000000000001); #99066=VECTOR('',#362085,0.175000000000001); #99067=VECTOR('',#362092,0.175000000000001); #99068=VECTOR('',#362099,0.150000000000001); #99069=VECTOR('',#362106,0.175000000000001); #99070=VECTOR('',#362113,0.150000000000001); #99071=VECTOR('',#362120,0.175000000000001); #99072=VECTOR('',#362127,0.150000000000001); #99073=VECTOR('',#362134,0.175000000000001); #99074=VECTOR('',#362141,0.150000000000001); #99075=VECTOR('',#362148,0.600000000000001); #99076=VECTOR('',#362155,0.175000000000001); #99077=VECTOR('',#362162,0.175000000000001); #99078=VECTOR('',#362169,0.175000000000001); #99079=VECTOR('',#362176,0.175000000000001); #99080=VECTOR('',#362183,0.175000000000001); #99081=VECTOR('',#362190,0.175000000000001); #99082=VECTOR('',#362197,0.175000000000001); #99083=VECTOR('',#362204,0.175000000000001); #99084=VECTOR('',#362211,0.175000000000001); #99085=VECTOR('',#362218,0.175000000000001); #99086=VECTOR('',#362225,0.175000000000001); #99087=VECTOR('',#362232,0.175000000000001); #99088=VECTOR('',#362239,0.175000000000001); #99089=VECTOR('',#362246,0.175000000000001); #99090=VECTOR('',#362253,0.175000000000001); #99091=VECTOR('',#362260,0.5); #99092=VECTOR('',#362267,0.175000000000001); #99093=VECTOR('',#362274,0.175000000000001); #99094=VECTOR('',#362281,0.175000000000001); #99095=VECTOR('',#362288,0.175000000000001); #99096=VECTOR('',#362295,0.175000000000001); #99097=VECTOR('',#362302,0.175000000000001); #99098=VECTOR('',#362309,0.175000000000001); #99099=VECTOR('',#362316,0.175000000000001); #99100=VECTOR('',#362323,0.175000000000001); #99101=VECTOR('',#362330,0.175000000000001); #99102=VECTOR('',#362337,0.175000000000001); #99103=VECTOR('',#362344,0.175000000000001); #99104=VECTOR('',#362351,0.175000000000001); #99105=VECTOR('',#362358,0.175000000000001); #99106=VECTOR('',#362365,0.175000000000001); #99107=VECTOR('',#362372,0.507999999999997); #99108=VECTOR('',#362379,0.175000000000001); #99109=VECTOR('',#362386,0.175000000000001); #99110=VECTOR('',#362393,0.175000000000001); #99111=VECTOR('',#362400,0.175000000000001); #99112=VECTOR('',#362407,0.175000000000001); #99113=VECTOR('',#362414,0.175000000000001); #99114=VECTOR('',#362421,0.175000000000001); #99115=VECTOR('',#362428,0.175000000000001); #99116=VECTOR('',#362435,0.175000000000001); #99117=VECTOR('',#362442,0.175000000000001); #99118=VECTOR('',#362449,0.175000000000001); #99119=VECTOR('',#362456,0.175000000000001); #99120=VECTOR('',#362463,0.175000000000001); #99121=VECTOR('',#362470,0.175000000000001); #99122=VECTOR('',#362477,0.175000000000001); #99123=VECTOR('',#362484,0.5); #99124=VECTOR('',#362491,0.175000000000001); #99125=VECTOR('',#362498,0.175000000000001); #99126=VECTOR('',#362505,0.175000000000001); #99127=VECTOR('',#362512,0.175000000000001); #99128=VECTOR('',#362519,0.175000000000001); #99129=VECTOR('',#362526,0.175000000000001); #99130=VECTOR('',#362533,0.175000000000001); #99131=VECTOR('',#362540,0.175000000000001); #99132=VECTOR('',#362547,0.175000000000001); #99133=VECTOR('',#362554,0.175000000000001); #99134=VECTOR('',#362561,0.175000000000001); #99135=VECTOR('',#362568,0.175000000000001); #99136=VECTOR('',#362575,0.175000000000001); #99137=VECTOR('',#362582,0.175000000000001); #99138=VECTOR('',#362589,0.175000000000001); #99139=VECTOR('',#362596,0.600000000000001); #99140=VECTOR('',#362603,0.175000000000001); #99141=VECTOR('',#362610,0.175000000000001); #99142=VECTOR('',#362617,0.175000000000001); #99143=VECTOR('',#362624,0.175000000000001); #99144=VECTOR('',#362631,0.175000000000001); #99145=VECTOR('',#362638,0.175000000000001); #99146=VECTOR('',#362645,0.175000000000001); #99147=VECTOR('',#362652,0.175000000000001); #99148=VECTOR('',#362659,0.175000000000001); #99149=VECTOR('',#362666,0.175000000000001); #99150=VECTOR('',#362673,0.175000000000001); #99151=VECTOR('',#362680,0.175000000000001); #99152=VECTOR('',#362687,0.175000000000001); #99153=VECTOR('',#362694,0.175000000000001); #99154=VECTOR('',#362701,0.175000000000001); #99155=VECTOR('',#362708,0.499999999999998); #99156=VECTOR('',#362715,0.175000000000001); #99157=VECTOR('',#362722,0.175000000000001); #99158=VECTOR('',#362729,0.175000000000001); #99159=VECTOR('',#362736,0.175000000000001); #99160=VECTOR('',#362743,0.175000000000001); #99161=VECTOR('',#362750,0.175000000000001); #99162=VECTOR('',#362757,0.175000000000001); #99163=VECTOR('',#362764,0.175000000000001); #99164=VECTOR('',#362771,0.175000000000001); #99165=VECTOR('',#362778,0.175000000000001); #99166=VECTOR('',#362785,0.175000000000001); #99167=VECTOR('',#362792,0.175000000000001); #99168=VECTOR('',#362799,0.175000000000001); #99169=VECTOR('',#362806,0.175000000000001); #99170=VECTOR('',#362813,0.175000000000001); #99171=VECTOR('',#362820,0.507999999999997); #99172=VECTOR('',#362827,0.175000000000001); #99173=VECTOR('',#362834,0.175000000000001); #99174=VECTOR('',#362841,0.175000000000001); #99175=VECTOR('',#362848,0.175000000000001); #99176=VECTOR('',#362855,0.175000000000001); #99177=VECTOR('',#362862,0.175000000000001); #99178=VECTOR('',#362869,0.175000000000001); #99179=VECTOR('',#362876,0.175000000000001); #99180=VECTOR('',#362883,0.175000000000001); #99181=VECTOR('',#362890,0.175000000000001); #99182=VECTOR('',#362897,0.175000000000001); #99183=VECTOR('',#362904,0.175000000000001); #99184=VECTOR('',#362911,0.175000000000001); #99185=VECTOR('',#362918,0.175000000000001); #99186=VECTOR('',#362925,0.175000000000001); #99187=VECTOR('',#362932,0.499999999999998); #99188=VECTOR('',#362939,0.175000000000001); #99189=VECTOR('',#362946,0.175000000000001); #99190=VECTOR('',#362953,0.175000000000001); #99191=VECTOR('',#362960,0.175000000000001); #99192=VECTOR('',#362967,0.175000000000001); #99193=VECTOR('',#362974,0.175000000000001); #99194=VECTOR('',#362981,0.175000000000001); #99195=VECTOR('',#362988,0.175000000000001); #99196=VECTOR('',#362995,0.175000000000001); #99197=VECTOR('',#363002,0.175000000000001); #99198=VECTOR('',#363009,0.175000000000001); #99199=VECTOR('',#363016,0.175000000000001); #99200=VECTOR('',#363023,0.175000000000001); #99201=VECTOR('',#363030,0.175000000000001); #99202=VECTOR('',#363037,0.175000000000001); #99203=VECTOR('',#363044,34.); #99204=VECTOR('',#363055,0.140000000000002); #99205=VECTOR('',#363062,0.175000000000001); #99206=VECTOR('',#363073,0.14); #99207=VECTOR('',#363080,0.175000000000001); #99208=VECTOR('',#363091,0.139999999999998); #99209=VECTOR('',#363098,0.175000000000001); #99210=VECTOR('',#363109,0.139999999999998); #99211=VECTOR('',#363116,0.175000000000001); #99212=VECTOR('',#363127,0.140000000000002); #99213=VECTOR('',#363134,0.175000000000001); #99214=VECTOR('',#363145,0.14); #99215=VECTOR('',#363152,0.175000000000001); #99216=VECTOR('',#363163,0.139999999999998); #99217=VECTOR('',#363170,0.175000000000001); #99218=VECTOR('',#363181,0.139999999999998); #99219=VECTOR('',#363188,0.175000000000001); #99220=VECTOR('',#363199,0.139999999999998); #99221=VECTOR('',#363206,0.175000000000001); #99222=VECTOR('',#363217,0.14); #99223=VECTOR('',#363224,0.175000000000001); #99224=VECTOR('',#363235,0.139999999999998); #99225=VECTOR('',#363242,0.175000000000001); #99226=VECTOR('',#363253,0.139999999999998); #99227=VECTOR('',#363260,0.175000000000001); #99228=VECTOR('',#363271,0.139999999999998); #99229=VECTOR('',#363278,0.175000000000001); #99230=VECTOR('',#363289,0.14); #99231=VECTOR('',#363296,0.175000000000001); #99232=VECTOR('',#363307,0.139999999999998); #99233=VECTOR('',#363314,0.175000000000001); #99234=VECTOR('',#363325,0.465); #99235=VECTOR('',#363332,0.499999999999998); #99236=VECTOR('',#363343,0.139999999999998); #99237=VECTOR('',#363350,0.175000000000001); #99238=VECTOR('',#363361,0.14); #99239=VECTOR('',#363368,0.175000000000001); #99240=VECTOR('',#363379,0.139999999999998); #99241=VECTOR('',#363386,0.175000000000001); #99242=VECTOR('',#363397,0.139999999999998); #99243=VECTOR('',#363404,0.175000000000001); #99244=VECTOR('',#363415,0.139999999999998); #99245=VECTOR('',#363422,0.175000000000001); #99246=VECTOR('',#363433,0.140000000000002); #99247=VECTOR('',#363440,0.175000000000001); #99248=VECTOR('',#363451,0.139999999999998); #99249=VECTOR('',#363458,0.175000000000001); #99250=VECTOR('',#363469,0.139999999999998); #99251=VECTOR('',#363476,0.175000000000001); #99252=VECTOR('',#363487,0.139999999999998); #99253=VECTOR('',#363494,0.175000000000001); #99254=VECTOR('',#363505,0.14); #99255=VECTOR('',#363512,0.175000000000001); #99256=VECTOR('',#363523,0.139999999999998); #99257=VECTOR('',#363530,0.175000000000001); #99258=VECTOR('',#363541,0.139999999999998); #99259=VECTOR('',#363548,0.175000000000001); #99260=VECTOR('',#363559,0.139999999999998); #99261=VECTOR('',#363566,0.175000000000001); #99262=VECTOR('',#363577,0.14); #99263=VECTOR('',#363584,0.175000000000001); #99264=VECTOR('',#363595,0.139999999999998); #99265=VECTOR('',#363602,0.175000000000001); #99266=VECTOR('',#363613,0.472999999999999); #99267=VECTOR('',#363620,0.507999999999997); #99268=VECTOR('',#363631,0.140000000000002); #99269=VECTOR('',#363638,0.175000000000001); #99270=VECTOR('',#363649,0.140000000000002); #99271=VECTOR('',#363656,0.175000000000001); #99272=VECTOR('',#363667,0.140000000000002); #99273=VECTOR('',#363674,0.175000000000001); #99274=VECTOR('',#363685,0.139999999999998); #99275=VECTOR('',#363692,0.175000000000001); #99276=VECTOR('',#363703,0.140000000000002); #99277=VECTOR('',#363710,0.175000000000001); #99278=VECTOR('',#363721,0.140000000000002); #99279=VECTOR('',#363728,0.175000000000001); #99280=VECTOR('',#363739,0.140000000000002); #99281=VECTOR('',#363746,0.175000000000001); #99282=VECTOR('',#363757,0.139999999999998); #99283=VECTOR('',#363764,0.175000000000001); #99284=VECTOR('',#363775,0.140000000000002); #99285=VECTOR('',#363782,0.175000000000001); #99286=VECTOR('',#363793,0.140000000000002); #99287=VECTOR('',#363800,0.175000000000001); #99288=VECTOR('',#363811,0.140000000000002); #99289=VECTOR('',#363818,0.175000000000001); #99290=VECTOR('',#363829,0.139999999999998); #99291=VECTOR('',#363836,0.175000000000001); #99292=VECTOR('',#363847,0.140000000000002); #99293=VECTOR('',#363854,0.175000000000001); #99294=VECTOR('',#363865,0.140000000000002); #99295=VECTOR('',#363872,0.175000000000001); #99296=VECTOR('',#363883,0.140000000000002); #99297=VECTOR('',#363890,0.175000000000001); #99298=VECTOR('',#363901,0.465); #99299=VECTOR('',#363908,0.499999999999998); #99300=VECTOR('',#363919,0.139999999999998); #99301=VECTOR('',#363926,0.175000000000001); #99302=VECTOR('',#363937,0.140000000000002); #99303=VECTOR('',#363944,0.175000000000001); #99304=VECTOR('',#363955,0.139999999999998); #99305=VECTOR('',#363962,0.175000000000001); #99306=VECTOR('',#363973,0.139999999999998); #99307=VECTOR('',#363980,0.175000000000001); #99308=VECTOR('',#363991,0.139999999999998); #99309=VECTOR('',#363998,0.175000000000001); #99310=VECTOR('',#364009,0.140000000000002); #99311=VECTOR('',#364016,0.175000000000001); #99312=VECTOR('',#364027,0.139999999999998); #99313=VECTOR('',#364034,0.175000000000001); #99314=VECTOR('',#364045,0.139999999999998); #99315=VECTOR('',#364052,0.175000000000001); #99316=VECTOR('',#364063,0.139999999999998); #99317=VECTOR('',#364070,0.175000000000001); #99318=VECTOR('',#364081,0.140000000000002); #99319=VECTOR('',#364088,0.175000000000001); #99320=VECTOR('',#364099,0.139999999999998); #99321=VECTOR('',#364106,0.175000000000001); #99322=VECTOR('',#364117,0.139999999999998); #99323=VECTOR('',#364124,0.175000000000001); #99324=VECTOR('',#364135,0.139999999999998); #99325=VECTOR('',#364142,0.175000000000001); #99326=VECTOR('',#364153,0.140000000000002); #99327=VECTOR('',#364160,0.175000000000001); #99328=VECTOR('',#364171,0.139999999999998); #99329=VECTOR('',#364178,0.175000000000001); #99330=VECTOR('',#364189,0.564999999999998); #99331=VECTOR('',#364196,0.600000000000001); #99332=VECTOR('',#364207,0.140000000000002); #99333=VECTOR('',#364214,0.175000000000001); #99334=VECTOR('',#364225,0.140000000000002); #99335=VECTOR('',#364232,0.175000000000001); #99336=VECTOR('',#364243,0.140000000000002); #99337=VECTOR('',#364250,0.175000000000001); #99338=VECTOR('',#364261,0.139999999999998); #99339=VECTOR('',#364268,0.175000000000001); #99340=VECTOR('',#364279,0.140000000000002); #99341=VECTOR('',#364286,0.175000000000001); #99342=VECTOR('',#364297,0.140000000000002); #99343=VECTOR('',#364304,0.175000000000001); #99344=VECTOR('',#364315,0.140000000000002); #99345=VECTOR('',#364322,0.175000000000001); #99346=VECTOR('',#364333,0.139999999999998); #99347=VECTOR('',#364340,0.175000000000001); #99348=VECTOR('',#364351,0.140000000000002); #99349=VECTOR('',#364358,0.175000000000001); #99350=VECTOR('',#364369,0.140000000000002); #99351=VECTOR('',#364376,0.175000000000001); #99352=VECTOR('',#364387,0.140000000000002); #99353=VECTOR('',#364394,0.175000000000001); #99354=VECTOR('',#364405,0.139999999999998); #99355=VECTOR('',#364412,0.175000000000001); #99356=VECTOR('',#364423,0.140000000000002); #99357=VECTOR('',#364430,0.175000000000001); #99358=VECTOR('',#364441,0.140000000000002); #99359=VECTOR('',#364448,0.175000000000001); #99360=VECTOR('',#364459,0.140000000000002); #99361=VECTOR('',#364466,0.175000000000001); #99362=VECTOR('',#364477,0.465); #99363=VECTOR('',#364484,0.5); #99364=VECTOR('',#364495,0.140000000000002); #99365=VECTOR('',#364502,0.175000000000001); #99366=VECTOR('',#364513,0.140000000000002); #99367=VECTOR('',#364520,0.175000000000001); #99368=VECTOR('',#364531,0.140000000000002); #99369=VECTOR('',#364538,0.175000000000001); #99370=VECTOR('',#364549,0.139999999999998); #99371=VECTOR('',#364556,0.175000000000001); #99372=VECTOR('',#364567,0.140000000000002); #99373=VECTOR('',#364574,0.175000000000001); #99374=VECTOR('',#364585,0.139999999999998); #99375=VECTOR('',#364592,0.175000000000001); #99376=VECTOR('',#364603,0.140000000000002); #99377=VECTOR('',#364610,0.175000000000001); #99378=VECTOR('',#364621,0.139999999999998); #99379=VECTOR('',#364628,0.175000000000001); #99380=VECTOR('',#364639,0.140000000000002); #99381=VECTOR('',#364646,0.175000000000001); #99382=VECTOR('',#364657,0.140000000000002); #99383=VECTOR('',#364664,0.175000000000001); #99384=VECTOR('',#364675,0.140000000000002); #99385=VECTOR('',#364682,0.175000000000001); #99386=VECTOR('',#364693,0.139999999999998); #99387=VECTOR('',#364700,0.175000000000001); #99388=VECTOR('',#364711,0.140000000000002); #99389=VECTOR('',#364718,0.175000000000001); #99390=VECTOR('',#364729,0.140000000000002); #99391=VECTOR('',#364736,0.175000000000001); #99392=VECTOR('',#364747,0.140000000000002); #99393=VECTOR('',#364754,0.175000000000001); #99394=VECTOR('',#364765,0.472999999999999); #99395=VECTOR('',#364772,0.507999999999997); #99396=VECTOR('',#364783,0.140000000000002); #99397=VECTOR('',#364790,0.175000000000001); #99398=VECTOR('',#364801,0.139999999999998); #99399=VECTOR('',#364808,0.175000000000001); #99400=VECTOR('',#364819,0.140000000000002); #99401=VECTOR('',#364826,0.175000000000001); #99402=VECTOR('',#364837,0.139999999999998); #99403=VECTOR('',#364844,0.175000000000001); #99404=VECTOR('',#364855,0.140000000000002); #99405=VECTOR('',#364862,0.175000000000001); #99406=VECTOR('',#364873,0.139999999999998); #99407=VECTOR('',#364880,0.175000000000001); #99408=VECTOR('',#364891,0.140000000000002); #99409=VECTOR('',#364898,0.175000000000001); #99410=VECTOR('',#364909,0.139999999999998); #99411=VECTOR('',#364916,0.175000000000001); #99412=VECTOR('',#364927,0.140000000000002); #99413=VECTOR('',#364934,0.175000000000001); #99414=VECTOR('',#364945,0.140000000000002); #99415=VECTOR('',#364952,0.175000000000001); #99416=VECTOR('',#364963,0.140000000000002); #99417=VECTOR('',#364970,0.175000000000001); #99418=VECTOR('',#364981,0.139999999999998); #99419=VECTOR('',#364988,0.175000000000001); #99420=VECTOR('',#364999,0.140000000000002); #99421=VECTOR('',#365006,0.175000000000001); #99422=VECTOR('',#365017,0.140000000000002); #99423=VECTOR('',#365024,0.175000000000001); #99424=VECTOR('',#365035,0.140000000000002); #99425=VECTOR('',#365042,0.175000000000001); #99426=VECTOR('',#365053,0.465); #99427=VECTOR('',#365060,0.5); #99428=VECTOR('',#365071,0.140000000000002); #99429=VECTOR('',#365078,0.175000000000001); #99430=VECTOR('',#365089,0.140000000000002); #99431=VECTOR('',#365096,0.175000000000001); #99432=VECTOR('',#365107,0.140000000000002); #99433=VECTOR('',#365114,0.175000000000001); #99434=VECTOR('',#365125,0.139999999999998); #99435=VECTOR('',#365132,0.175000000000001); #99436=VECTOR('',#365143,0.140000000000002); #99437=VECTOR('',#365150,0.175000000000001); #99438=VECTOR('',#365161,0.139999999999998); #99439=VECTOR('',#365168,0.175000000000001); #99440=VECTOR('',#365179,0.140000000000002); #99441=VECTOR('',#365186,0.175000000000001); #99442=VECTOR('',#365197,0.139999999999998); #99443=VECTOR('',#365204,0.175000000000001); #99444=VECTOR('',#365215,0.140000000000002); #99445=VECTOR('',#365222,0.175000000000001); #99446=VECTOR('',#365233,0.140000000000002); #99447=VECTOR('',#365240,0.175000000000001); #99448=VECTOR('',#365251,0.140000000000002); #99449=VECTOR('',#365258,0.175000000000001); #99450=VECTOR('',#365269,0.139999999999998); #99451=VECTOR('',#365276,0.175000000000001); #99452=VECTOR('',#365287,0.140000000000002); #99453=VECTOR('',#365294,0.175000000000001); #99454=VECTOR('',#365305,0.140000000000002); #99455=VECTOR('',#365312,0.175000000000001); #99456=VECTOR('',#365323,0.140000000000002); #99457=VECTOR('',#365330,0.175000000000001); #99458=VECTOR('',#365341,0.564999999999998); #99459=VECTOR('',#365348,0.600000000000001); #99460=VECTOR('',#365359,0.114999999999998); #99461=VECTOR('',#365366,0.150000000000001); #99462=VECTOR('',#365377,0.139999999999998); #99463=VECTOR('',#365384,0.175000000000001); #99464=VECTOR('',#365395,0.114999999999998); #99465=VECTOR('',#365402,0.150000000000001); #99466=VECTOR('',#365413,0.139999999999998); #99467=VECTOR('',#365420,0.175000000000001); #99468=VECTOR('',#365431,0.114999999999998); #99469=VECTOR('',#365438,0.150000000000001); #99470=VECTOR('',#365449,0.139999999999998); #99471=VECTOR('',#365456,0.175000000000001); #99472=VECTOR('',#365467,0.114999999999998); #99473=VECTOR('',#365474,0.150000000000001); #99474=VECTOR('',#365485,0.139999999999998); #99475=VECTOR('',#365492,0.175000000000001); #99476=VECTOR('',#365503,0.140000000000002); #99477=VECTOR('',#365510,0.175000000000001); #99478=VECTOR('',#365521,0.139999999999998); #99479=VECTOR('',#365528,0.175000000000001); #99480=VECTOR('',#365539,0.140000000000002); #99481=VECTOR('',#365546,0.175000000000001); #99482=VECTOR('',#365557,0.139999999999998); #99483=VECTOR('',#365564,0.175000000000001); #99484=VECTOR('',#365575,0.140000000000002); #99485=VECTOR('',#365582,0.175000000000001); #99486=VECTOR('',#365593,0.139999999999998); #99487=VECTOR('',#365600,0.175000000000001); #99488=VECTOR('',#365611,0.140000000000002); #99489=VECTOR('',#365618,0.175000000000001); #99490=VECTOR('',#365629,0.139999999999998); #99491=VECTOR('',#365636,0.175000000000001); #99492=VECTOR('',#365647,0.139999999999998); #99493=VECTOR('',#365654,0.175000000000001); #99494=VECTOR('',#365665,0.14); #99495=VECTOR('',#365672,0.175); #99496=VECTOR('',#365683,0.139999999999998); #99497=VECTOR('',#365690,0.175000000000001); #99498=VECTOR('',#365701,0.139999999999998); #99499=VECTOR('',#365708,0.175000000000001); #99500=VECTOR('',#365719,0.139999999999998); #99501=VECTOR('',#365726,0.175000000000001); #99502=VECTOR('',#365737,0.14); #99503=VECTOR('',#365744,0.175); #99504=VECTOR('',#365755,0.139999999999998); #99505=VECTOR('',#365762,0.175000000000001); #99506=VECTOR('',#365773,0.139999999999998); #99507=VECTOR('',#365780,0.175000000000001); #99508=VECTOR('',#365791,0.139999999999998); #99509=VECTOR('',#365798,0.175000000000001); #99510=VECTOR('',#365809,0.139999999999998); #99511=VECTOR('',#365816,0.175000000000001); #99512=VECTOR('',#365827,0.139999999999998); #99513=VECTOR('',#365834,0.175000000000001); #99514=VECTOR('',#365845,0.139999999999998); #99515=VECTOR('',#365852,0.175000000000001); #99516=VECTOR('',#365863,0.140000000000002); #99517=VECTOR('',#365870,0.175000000000001); #99518=VECTOR('',#365881,0.139999999999998); #99519=VECTOR('',#365888,0.175000000000001); #99520=VECTOR('',#365899,0.140000000000002); #99521=VECTOR('',#365906,0.175000000000001); #99522=VECTOR('',#365917,0.472999999999999); #99523=VECTOR('',#365924,0.507999999999997); #99524=VECTOR('',#365935,0.139999999999998); #99525=VECTOR('',#365942,0.175000000000001); #99526=VECTOR('',#365953,0.14); #99527=VECTOR('',#365960,0.175); #99528=VECTOR('',#365971,0.139999999999998); #99529=VECTOR('',#365978,0.175000000000001); #99530=VECTOR('',#365989,0.139999999999998); #99531=VECTOR('',#365996,0.175000000000001); #99532=VECTOR('',#366007,0.139999999999998); #99533=VECTOR('',#366014,0.175000000000001); #99534=VECTOR('',#366025,0.14); #99535=VECTOR('',#366032,0.175000000000001); #99536=VECTOR('',#366043,0.139999999999998); #99537=VECTOR('',#366050,0.175000000000001); #99538=VECTOR('',#366061,0.139999999999998); #99539=VECTOR('',#366068,0.175000000000001); #99540=VECTOR('',#366079,0.139999999999998); #99541=VECTOR('',#366086,0.175000000000001); #99542=VECTOR('',#366097,0.14); #99543=VECTOR('',#366104,0.175); #99544=VECTOR('',#366115,0.139999999999998); #99545=VECTOR('',#366122,0.175000000000001); #99546=VECTOR('',#366133,0.139999999999998); #99547=VECTOR('',#366140,0.175000000000001); #99548=VECTOR('',#366151,0.139999999999998); #99549=VECTOR('',#366158,0.175000000000001); #99550=VECTOR('',#366169,0.14); #99551=VECTOR('',#366176,0.175000000000001); #99552=VECTOR('',#366187,0.139999999999998); #99553=VECTOR('',#366194,0.175000000000001); #99554=VECTOR('',#366205,0.465); #99555=VECTOR('',#366212,0.499999999999998); #99556=VECTOR('',#366223,0.140000000000002); #99557=VECTOR('',#366230,0.175000000000001); #99558=VECTOR('',#366241,0.14); #99559=VECTOR('',#366248,0.175); #99560=VECTOR('',#366259,0.139999999999998); #99561=VECTOR('',#366266,0.175000000000001); #99562=VECTOR('',#366277,0.139999999999998); #99563=VECTOR('',#366284,0.175000000000001); #99564=VECTOR('',#366295,0.139999999999998); #99565=VECTOR('',#366302,0.175000000000001); #99566=VECTOR('',#366313,0.14); #99567=VECTOR('',#366320,0.175); #99568=VECTOR('',#366331,0.139999999999998); #99569=VECTOR('',#366338,0.175000000000001); #99570=VECTOR('',#366349,0.139999999999998); #99571=VECTOR('',#366356,0.175000000000001); #99572=VECTOR('',#366367,0.139999999999998); #99573=VECTOR('',#366374,0.175000000000001); #99574=VECTOR('',#366385,0.14); #99575=VECTOR('',#366392,0.175); #99576=VECTOR('',#366403,0.140000000000002); #99577=VECTOR('',#366410,0.175000000000001); #99578=VECTOR('',#366421,0.139999999999998); #99579=VECTOR('',#366428,0.175000000000001); #99580=VECTOR('',#366439,0.139999999999998); #99581=VECTOR('',#366446,0.175000000000001); #99582=VECTOR('',#366457,0.14); #99583=VECTOR('',#366464,0.175); #99584=VECTOR('',#366475,0.139999999999998); #99585=VECTOR('',#366482,0.175000000000001); #99586=VECTOR('',#366493,0.514999999999999); #99587=VECTOR('',#366500,0.550000000000002); #99588=VECTOR('',#366511,0.139999999999998); #99589=VECTOR('',#366518,0.175000000000001); #99590=VECTOR('',#366529,0.140000000000002); #99591=VECTOR('',#366536,0.175000000000001); #99592=VECTOR('',#366547,0.139999999999998); #99593=VECTOR('',#366554,0.175000000000001); #99594=VECTOR('',#366565,0.139999999999998); #99595=VECTOR('',#366572,0.175000000000001); #99596=VECTOR('',#366583,0.139999999999998); #99597=VECTOR('',#366590,0.175000000000001); #99598=VECTOR('',#366601,0.140000000000002); #99599=VECTOR('',#366608,0.175000000000001); #99600=VECTOR('',#366619,0.139999999999998); #99601=VECTOR('',#366626,0.175000000000001); #99602=VECTOR('',#366637,0.139999999999998); #99603=VECTOR('',#366644,0.175000000000001); #99604=VECTOR('',#366655,0.139999999999998); #99605=VECTOR('',#366662,0.175000000000001); #99606=VECTOR('',#366673,0.140000000000002); #99607=VECTOR('',#366680,0.175000000000001); #99608=VECTOR('',#366691,0.139999999999998); #99609=VECTOR('',#366698,0.175000000000001); #99610=VECTOR('',#366709,0.139999999999998); #99611=VECTOR('',#366716,0.175000000000001); #99612=VECTOR('',#366727,0.139999999999998); #99613=VECTOR('',#366734,0.175000000000001); #99614=VECTOR('',#366745,0.140000000000002); #99615=VECTOR('',#366752,0.175000000000001); #99616=VECTOR('',#366763,0.139999999999998); #99617=VECTOR('',#366770,0.175000000000001); #99618=VECTOR('',#366781,0.465); #99619=VECTOR('',#366788,0.499999999999998); #99620=VECTOR('',#366799,0.139999999999998); #99621=VECTOR('',#366806,0.175000000000001); #99622=VECTOR('',#366817,0.14); #99623=VECTOR('',#366824,0.175000000000001); #99624=VECTOR('',#366835,0.14); #99625=VECTOR('',#366842,0.175000000000001); #99626=VECTOR('',#366853,0.139999999999998); #99627=VECTOR('',#366860,0.175000000000001); #99628=VECTOR('',#366871,0.14); #99629=VECTOR('',#366878,0.175000000000001); #99630=VECTOR('',#366889,0.14); #99631=VECTOR('',#366896,0.175000000000001); #99632=VECTOR('',#366907,0.14); #99633=VECTOR('',#366914,0.175000000000001); #99634=VECTOR('',#366925,0.139999999999998); #99635=VECTOR('',#366932,0.175000000000001); #99636=VECTOR('',#366943,0.139999999999998); #99637=VECTOR('',#366950,0.175000000000001); #99638=VECTOR('',#366961,0.140000000000002); #99639=VECTOR('',#366968,0.175000000000001); #99640=VECTOR('',#366979,0.139999999999998); #99641=VECTOR('',#366986,0.175000000000001); #99642=VECTOR('',#366997,0.139999999999998); #99643=VECTOR('',#367004,0.175000000000001); #99644=VECTOR('',#367015,0.139999999999998); #99645=VECTOR('',#367022,0.175000000000001); #99646=VECTOR('',#367033,0.140000000000002); #99647=VECTOR('',#367040,0.175000000000001); #99648=VECTOR('',#367051,0.139999999999998); #99649=VECTOR('',#367058,0.175000000000001); #99650=VECTOR('',#367069,0.472999999999999); #99651=VECTOR('',#367076,0.507999999999997); #99652=VECTOR('',#367087,0.14); #99653=VECTOR('',#367094,0.175000000000001); #99654=VECTOR('',#367105,0.140000000000002); #99655=VECTOR('',#367112,0.175000000000001); #99656=VECTOR('',#367123,0.14); #99657=VECTOR('',#367130,0.175000000000001); #99658=VECTOR('',#367141,0.139999999999998); #99659=VECTOR('',#367148,0.175000000000001); #99660=VECTOR('',#367159,0.14); #99661=VECTOR('',#367166,0.175000000000001); #99662=VECTOR('',#367177,0.140000000000002); #99663=VECTOR('',#367184,0.175000000000001); #99664=VECTOR('',#367195,0.14); #99665=VECTOR('',#367202,0.175000000000001); #99666=VECTOR('',#367213,0.139999999999998); #99667=VECTOR('',#367220,0.175000000000001); #99668=VECTOR('',#367231,0.139999999999998); #99669=VECTOR('',#367238,0.175000000000001); #99670=VECTOR('',#367249,0.140000000000002); #99671=VECTOR('',#367256,0.175000000000001); #99672=VECTOR('',#367267,0.139999999999998); #99673=VECTOR('',#367274,0.175000000000001); #99674=VECTOR('',#367285,0.139999999999998); #99675=VECTOR('',#367292,0.175000000000001); #99676=VECTOR('',#367303,0.14); #99677=VECTOR('',#367310,0.175000000000001); #99678=VECTOR('',#367321,0.140000000000002); #99679=VECTOR('',#367328,0.175000000000001); #99680=VECTOR('',#367339,0.14); #99681=VECTOR('',#367346,0.175000000000001); #99682=VECTOR('',#367357,0.465); #99683=VECTOR('',#367364,0.499999999999998); #99684=VECTOR('',#367375,0.14); #99685=VECTOR('',#367382,0.175000000000001); #99686=VECTOR('',#367393,0.140000000000002); #99687=VECTOR('',#367400,0.175000000000001); #99688=VECTOR('',#367411,0.14); #99689=VECTOR('',#367418,0.175000000000001); #99690=VECTOR('',#367429,0.139999999999998); #99691=VECTOR('',#367436,0.175000000000001); #99692=VECTOR('',#367447,0.14); #99693=VECTOR('',#367454,0.175000000000001); #99694=VECTOR('',#367465,0.140000000000002); #99695=VECTOR('',#367472,0.175000000000001); #99696=VECTOR('',#367483,0.14); #99697=VECTOR('',#367490,0.175000000000001); #99698=VECTOR('',#367501,0.139999999999998); #99699=VECTOR('',#367508,0.175000000000001); #99700=VECTOR('',#367519,0.14); #99701=VECTOR('',#367526,0.175000000000001); #99702=VECTOR('',#367537,0.14); #99703=VECTOR('',#367544,0.175000000000001); #99704=VECTOR('',#367555,0.14); #99705=VECTOR('',#367562,0.175000000000001); #99706=VECTOR('',#367573,0.139999999999998); #99707=VECTOR('',#367580,0.175000000000001); #99708=VECTOR('',#367591,0.139999999999998); #99709=VECTOR('',#367598,0.175000000000001); #99710=VECTOR('',#367609,0.14); #99711=VECTOR('',#367616,0.175); #99712=VECTOR('',#367627,0.139999999999998); #99713=VECTOR('',#367634,0.175000000000001); #99714=VECTOR('',#367645,0.565000000000002); #99715=VECTOR('',#367652,0.600000000000001); #99716=VECTOR('',#367663,0.14); #99717=VECTOR('',#367670,0.175000000000001); #99718=VECTOR('',#367681,0.139999999999998); #99719=VECTOR('',#367688,0.175000000000001); #99720=VECTOR('',#367699,0.14); #99721=VECTOR('',#367706,0.175000000000001); #99722=VECTOR('',#367717,0.139999999999998); #99723=VECTOR('',#367724,0.175000000000001); #99724=VECTOR('',#367735,0.14); #99725=VECTOR('',#367742,0.175000000000001); #99726=VECTOR('',#367753,0.139999999999998); #99727=VECTOR('',#367760,0.175000000000001); #99728=VECTOR('',#367771,0.14); #99729=VECTOR('',#367778,0.175000000000001); #99730=VECTOR('',#367789,0.140000000000002); #99731=VECTOR('',#367796,0.175000000000001); #99732=VECTOR('',#367807,0.139999999999998); #99733=VECTOR('',#367814,0.175000000000001); #99734=VECTOR('',#367825,0.140000000000002); #99735=VECTOR('',#367832,0.175000000000001); #99736=VECTOR('',#367843,0.139999999999998); #99737=VECTOR('',#367850,0.175000000000001); #99738=VECTOR('',#367861,0.139999999999998); #99739=VECTOR('',#367868,0.175000000000001); #99740=VECTOR('',#367879,0.139999999999998); #99741=VECTOR('',#367886,0.175000000000001); #99742=VECTOR('',#367897,0.139999999999998); #99743=VECTOR('',#367904,0.175000000000001); #99744=VECTOR('',#367915,0.14); #99745=VECTOR('',#367922,0.175000000000001); #99746=VECTOR('',#367933,0.465); #99747=VECTOR('',#367940,0.499999999999998); #99748=VECTOR('',#367949,10.); #99749=VECTOR('',#367950,10.); #99750=VECTOR('',#367951,10.); #99751=VECTOR('',#367952,10.); #99752=VECTOR('',#367959,10.); #99753=VECTOR('',#367962,10.); #99754=VECTOR('',#367963,10.); #99755=VECTOR('',#367964,10.); #99756=VECTOR('',#367973,10.); #99757=VECTOR('',#367974,10.); #99758=VECTOR('',#367975,10.); #99759=VECTOR('',#367976,10.); #99760=VECTOR('',#367983,10.); #99761=VECTOR('',#367986,10.); #99762=VECTOR('',#367987,10.); #99763=VECTOR('',#367988,10.); #99764=VECTOR('',#368003,0.139999999999998); #99765=VECTOR('',#368010,0.175000000000001); #99766=VECTOR('',#368019,10.); #99767=VECTOR('',#368020,10.); #99768=VECTOR('',#368021,10.); #99769=VECTOR('',#368022,10.); #99770=VECTOR('',#368029,10.); #99771=VECTOR('',#368032,10.); #99772=VECTOR('',#368033,10.); #99773=VECTOR('',#368034,10.); #99774=VECTOR('',#368043,10.); #99775=VECTOR('',#368044,10.); #99776=VECTOR('',#368045,10.); #99777=VECTOR('',#368046,10.); #99778=VECTOR('',#368053,10.); #99779=VECTOR('',#368056,10.); #99780=VECTOR('',#368057,10.); #99781=VECTOR('',#368058,10.); #99782=VECTOR('',#368073,0.139999999999998); #99783=VECTOR('',#368080,0.175000000000001); #99784=VECTOR('',#368089,10.); #99785=VECTOR('',#368090,10.); #99786=VECTOR('',#368091,10.); #99787=VECTOR('',#368092,10.); #99788=VECTOR('',#368099,10.); #99789=VECTOR('',#368102,10.); #99790=VECTOR('',#368103,10.); #99791=VECTOR('',#368104,10.); #99792=VECTOR('',#368113,10.); #99793=VECTOR('',#368114,10.); #99794=VECTOR('',#368115,10.); #99795=VECTOR('',#368116,10.); #99796=VECTOR('',#368123,10.); #99797=VECTOR('',#368126,10.); #99798=VECTOR('',#368127,10.); #99799=VECTOR('',#368128,10.); #99800=VECTOR('',#368143,0.139999999999998); #99801=VECTOR('',#368150,0.175000000000001); #99802=VECTOR('',#368159,10.); #99803=VECTOR('',#368160,10.); #99804=VECTOR('',#368161,10.); #99805=VECTOR('',#368162,10.); #99806=VECTOR('',#368169,10.); #99807=VECTOR('',#368172,10.); #99808=VECTOR('',#368173,10.); #99809=VECTOR('',#368174,10.); #99810=VECTOR('',#368183,10.); #99811=VECTOR('',#368184,10.); #99812=VECTOR('',#368185,10.); #99813=VECTOR('',#368186,10.); #99814=VECTOR('',#368193,10.); #99815=VECTOR('',#368196,10.); #99816=VECTOR('',#368197,10.); #99817=VECTOR('',#368198,10.); #99818=VECTOR('',#368213,0.139999999999998); #99819=VECTOR('',#368220,0.175000000000001); #99820=VECTOR('',#368231,0.114999999999998); #99821=VECTOR('',#368238,0.150000000000001); #99822=VECTOR('',#368249,0.139999999999998); #99823=VECTOR('',#368256,0.175000000000001); #99824=VECTOR('',#368267,0.114999999999998); #99825=VECTOR('',#368274,0.150000000000001); #99826=VECTOR('',#368285,0.139999999999998); #99827=VECTOR('',#368292,0.175000000000001); #99828=VECTOR('',#368303,0.114999999999998); #99829=VECTOR('',#368310,0.150000000000001); #99830=VECTOR('',#368321,0.139999999999998); #99831=VECTOR('',#368328,0.175000000000001); #99832=VECTOR('',#368339,0.114999999999998); #99833=VECTOR('',#368346,0.150000000000001); #99834=VECTOR('',#368357,0.140000000000002); #99835=VECTOR('',#368364,0.175000000000001); #99836=VECTOR('',#368375,0.139999999999998); #99837=VECTOR('',#368382,0.175000000000001); #99838=VECTOR('',#368393,0.139999999999998); #99839=VECTOR('',#368400,0.175000000000001); #99840=VECTOR('',#368409,10.); #99841=VECTOR('',#368410,10.); #99842=VECTOR('',#368411,10.); #99843=VECTOR('',#368412,10.); #99844=VECTOR('',#368419,10.); #99845=VECTOR('',#368422,10.); #99846=VECTOR('',#368423,10.); #99847=VECTOR('',#368424,10.); #99848=VECTOR('',#368433,10.); #99849=VECTOR('',#368434,10.); #99850=VECTOR('',#368435,10.); #99851=VECTOR('',#368436,10.); #99852=VECTOR('',#368443,10.); #99853=VECTOR('',#368446,10.); #99854=VECTOR('',#368447,10.); #99855=VECTOR('',#368448,10.); #99856=VECTOR('',#368463,0.139999999999998); #99857=VECTOR('',#368470,0.175000000000001); #99858=VECTOR('',#368479,10.); #99859=VECTOR('',#368480,10.); #99860=VECTOR('',#368481,10.); #99861=VECTOR('',#368482,10.); #99862=VECTOR('',#368489,10.); #99863=VECTOR('',#368492,10.); #99864=VECTOR('',#368493,10.); #99865=VECTOR('',#368494,10.); #99866=VECTOR('',#368503,10.); #99867=VECTOR('',#368504,10.); #99868=VECTOR('',#368505,10.); #99869=VECTOR('',#368506,10.); #99870=VECTOR('',#368513,10.); #99871=VECTOR('',#368516,10.); #99872=VECTOR('',#368517,10.); #99873=VECTOR('',#368518,10.); #99874=VECTOR('',#368533,0.139999999999998); #99875=VECTOR('',#368540,0.175000000000001); #99876=VECTOR('',#368551,0.139999999999998); #99877=VECTOR('',#368558,0.175000000000001); #99878=VECTOR('',#368569,0.139999999999998); #99879=VECTOR('',#368576,0.175000000000001); #99880=VECTOR('',#368587,0.139999999999998); #99881=VECTOR('',#368594,0.175000000000001); #99882=VECTOR('',#368605,0.514999999999999); #99883=VECTOR('',#368612,0.550000000000002); #99884=VECTOR('',#368623,0.140000000000002); #99885=VECTOR('',#368630,0.175000000000001); #99886=VECTOR('',#368641,0.139999999999998); #99887=VECTOR('',#368648,0.175000000000001); #99888=VECTOR('',#368659,0.140000000000002); #99889=VECTOR('',#368666,0.175000000000001); #99890=VECTOR('',#368677,0.139999999999998); #99891=VECTOR('',#368684,0.175000000000001); #99892=VECTOR('',#368695,0.139999999999998); #99893=VECTOR('',#368702,0.175000000000001); #99894=VECTOR('',#368713,0.139999999999998); #99895=VECTOR('',#368720,0.175000000000001); #99896=VECTOR('',#368731,0.140000000000002); #99897=VECTOR('',#368738,0.175000000000001); #99898=VECTOR('',#368749,0.140000000000002); #99899=VECTOR('',#368756,0.175000000000001); #99900=VECTOR('',#368767,0.14); #99901=VECTOR('',#368774,0.175000000000001); #99902=VECTOR('',#368785,0.139999999999998); #99903=VECTOR('',#368792,0.175000000000001); #99904=VECTOR('',#368803,0.14); #99905=VECTOR('',#368810,0.175000000000001); #99906=VECTOR('',#368821,0.139999999999998); #99907=VECTOR('',#368828,0.175000000000001); #99908=VECTOR('',#368839,0.14); #99909=VECTOR('',#368846,0.175000000000001); #99910=VECTOR('',#368857,0.139999999999998); #99911=VECTOR('',#368864,0.175000000000001); #99912=VECTOR('',#368875,0.14); #99913=VECTOR('',#368882,0.175000000000001); #99914=VECTOR('',#368893,0.465); #99915=VECTOR('',#368900,0.499999999999998); #99916=VECTOR('',#368911,0.140000000000002); #99917=VECTOR('',#368918,0.175000000000001); #99918=VECTOR('',#368929,0.139999999999998); #99919=VECTOR('',#368936,0.175000000000001); #99920=VECTOR('',#368947,0.140000000000002); #99921=VECTOR('',#368954,0.175000000000001); #99922=VECTOR('',#368965,0.139999999999998); #99923=VECTOR('',#368972,0.175000000000001); #99924=VECTOR('',#368983,0.140000000000002); #99925=VECTOR('',#368990,0.175000000000001); #99926=VECTOR('',#369001,0.139999999999998); #99927=VECTOR('',#369008,0.175000000000001); #99928=VECTOR('',#369019,0.140000000000002); #99929=VECTOR('',#369026,0.175000000000001); #99930=VECTOR('',#369037,0.139999999999998); #99931=VECTOR('',#369044,0.175000000000001); #99932=VECTOR('',#369055,0.140000000000002); #99933=VECTOR('',#369062,0.175000000000001); #99934=VECTOR('',#369073,0.139999999999998); #99935=VECTOR('',#369080,0.175000000000001); #99936=VECTOR('',#369091,0.140000000000002); #99937=VECTOR('',#369098,0.175000000000001); #99938=VECTOR('',#369109,0.139999999999998); #99939=VECTOR('',#369116,0.175000000000001); #99940=VECTOR('',#369127,0.140000000000002); #99941=VECTOR('',#369134,0.175000000000001); #99942=VECTOR('',#369145,0.139999999999998); #99943=VECTOR('',#369152,0.175000000000001); #99944=VECTOR('',#369163,0.140000000000002); #99945=VECTOR('',#369170,0.175000000000001); #99946=VECTOR('',#369181,0.564999999999998); #99947=VECTOR('',#369188,0.600000000000001); #99948=VECTOR('',#369201,0.564999999999998); #99949=VECTOR('',#369206,10.); #99950=VECTOR('',#369207,10.); #99951=VECTOR('',#369208,10.); #99952=VECTOR('',#369209,10.); #99953=VECTOR('',#369216,10.); #99954=VECTOR('',#369229,10.); #99955=VECTOR('',#369230,10.); #99956=VECTOR('',#369231,10.); #99957=VECTOR('',#369232,10.); #99958=VECTOR('',#369235,10.); #99959=VECTOR('',#369236,10.); #99960=VECTOR('',#369237,10.); #99961=VECTOR('',#369240,10.); #99962=VECTOR('',#369241,10.); #99963=VECTOR('',#369242,10.); #99964=VECTOR('',#369245,10.); #99965=VECTOR('',#369246,10.); #99966=VECTOR('',#369253,10.); #99967=VECTOR('',#369254,10.); #99968=VECTOR('',#369255,10.); #99969=VECTOR('',#369256,10.); #99970=VECTOR('',#369259,10.); #99971=VECTOR('',#369260,10.); #99972=VECTOR('',#369261,10.); #99973=VECTOR('',#369264,10.); #99974=VECTOR('',#369265,10.); #99975=VECTOR('',#369266,10.); #99976=VECTOR('',#369269,10.); #99977=VECTOR('',#369270,10.); #99978=VECTOR('',#369277,10.); #99979=VECTOR('',#369278,10.); #99980=VECTOR('',#369279,10.); #99981=VECTOR('',#369280,10.); #99982=VECTOR('',#369283,10.); #99983=VECTOR('',#369284,10.); #99984=VECTOR('',#369285,10.); #99985=VECTOR('',#369288,10.); #99986=VECTOR('',#369289,10.); #99987=VECTOR('',#369290,10.); #99988=VECTOR('',#369293,10.); #99989=VECTOR('',#369294,10.); #99990=VECTOR('',#369301,10.); #99991=VECTOR('',#369302,10.); #99992=VECTOR('',#369303,10.); #99993=VECTOR('',#369304,10.); #99994=VECTOR('',#369307,10.); #99995=VECTOR('',#369308,10.); #99996=VECTOR('',#369309,10.); #99997=VECTOR('',#369312,10.); #99998=VECTOR('',#369313,10.); #99999=VECTOR('',#369314,10.); #100000=VECTOR('',#369317,10.); #100001=VECTOR('',#369318,10.); #100002=VECTOR('',#369325,10.); #100003=VECTOR('',#369326,10.); #100004=VECTOR('',#369327,10.); #100005=VECTOR('',#369328,10.); #100006=VECTOR('',#369331,10.); #100007=VECTOR('',#369332,10.); #100008=VECTOR('',#369333,10.); #100009=VECTOR('',#369336,10.); #100010=VECTOR('',#369337,10.); #100011=VECTOR('',#369338,10.); #100012=VECTOR('',#369341,10.); #100013=VECTOR('',#369342,10.); #100014=VECTOR('',#369349,10.); #100015=VECTOR('',#369350,10.); #100016=VECTOR('',#369351,10.); #100017=VECTOR('',#369352,10.); #100018=VECTOR('',#369355,10.); #100019=VECTOR('',#369356,10.); #100020=VECTOR('',#369357,10.); #100021=VECTOR('',#369360,10.); #100022=VECTOR('',#369361,10.); #100023=VECTOR('',#369362,10.); #100024=VECTOR('',#369365,10.); #100025=VECTOR('',#369366,10.); #100026=VECTOR('',#369373,10.); #100027=VECTOR('',#369374,10.); #100028=VECTOR('',#369375,10.); #100029=VECTOR('',#369376,10.); #100030=VECTOR('',#369379,10.); #100031=VECTOR('',#369380,10.); #100032=VECTOR('',#369381,10.); #100033=VECTOR('',#369384,10.); #100034=VECTOR('',#369385,10.); #100035=VECTOR('',#369386,10.); #100036=VECTOR('',#369389,10.); #100037=VECTOR('',#369390,10.); #100038=VECTOR('',#369397,10.); #100039=VECTOR('',#369398,10.); #100040=VECTOR('',#369399,10.); #100041=VECTOR('',#369400,10.); #100042=VECTOR('',#369403,10.); #100043=VECTOR('',#369404,10.); #100044=VECTOR('',#369405,10.); #100045=VECTOR('',#369408,10.); #100046=VECTOR('',#369409,10.); #100047=VECTOR('',#369410,10.); #100048=VECTOR('',#369413,10.); #100049=VECTOR('',#369414,10.); #100050=VECTOR('',#369421,10.); #100051=VECTOR('',#369422,10.); #100052=VECTOR('',#369423,10.); #100053=VECTOR('',#369424,10.); #100054=VECTOR('',#369427,10.); #100055=VECTOR('',#369428,10.); #100056=VECTOR('',#369429,10.); #100057=VECTOR('',#369432,10.); #100058=VECTOR('',#369433,10.); #100059=VECTOR('',#369434,10.); #100060=VECTOR('',#369437,10.); #100061=VECTOR('',#369438,10.); #100062=VECTOR('',#369445,10.); #100063=VECTOR('',#369446,10.); #100064=VECTOR('',#369447,10.); #100065=VECTOR('',#369448,10.); #100066=VECTOR('',#369451,10.); #100067=VECTOR('',#369452,10.); #100068=VECTOR('',#369453,10.); #100069=VECTOR('',#369456,10.); #100070=VECTOR('',#369457,10.); #100071=VECTOR('',#369458,10.); #100072=VECTOR('',#369461,10.); #100073=VECTOR('',#369462,10.); #100074=VECTOR('',#369469,10.); #100075=VECTOR('',#369470,10.); #100076=VECTOR('',#369471,10.); #100077=VECTOR('',#369472,10.); #100078=VECTOR('',#369475,10.); #100079=VECTOR('',#369476,10.); #100080=VECTOR('',#369477,10.); #100081=VECTOR('',#369480,10.); #100082=VECTOR('',#369481,10.); #100083=VECTOR('',#369482,10.); #100084=VECTOR('',#369485,10.); #100085=VECTOR('',#369486,10.); #100086=VECTOR('',#369495,0.139999999999998); #100087=VECTOR('',#369500,10.); #100088=VECTOR('',#369501,10.); #100089=VECTOR('',#369502,10.); #100090=VECTOR('',#369503,10.); #100091=VECTOR('',#369510,10.); #100092=VECTOR('',#369513,10.); #100093=VECTOR('',#369514,10.); #100094=VECTOR('',#369515,10.); #100095=VECTOR('',#369522,10.); #100096=VECTOR('',#369525,10.); #100097=VECTOR('',#369526,10.); #100098=VECTOR('',#369527,10.); #100099=VECTOR('',#369530,10.); #100100=VECTOR('',#369531,10.); #100101=VECTOR('',#369532,10.); #100102=VECTOR('',#369535,10.); #100103=VECTOR('',#369536,10.); #100104=VECTOR('',#369537,10.); #100105=VECTOR('',#369540,10.); #100106=VECTOR('',#369541,10.); #100107=VECTOR('',#369542,10.); #100108=VECTOR('',#369545,10.); #100109=VECTOR('',#369546,10.); #100110=VECTOR('',#369547,10.); #100111=VECTOR('',#369550,10.); #100112=VECTOR('',#369551,10.); #100113=VECTOR('',#369552,10.); #100114=VECTOR('',#369555,10.); #100115=VECTOR('',#369556,10.); #100116=VECTOR('',#369557,10.); #100117=VECTOR('',#369564,10.); #100118=VECTOR('',#369579,0.139999999999998); #100119=VECTOR('',#369584,10.); #100120=VECTOR('',#369585,10.); #100121=VECTOR('',#369586,10.); #100122=VECTOR('',#369587,10.); #100123=VECTOR('',#369594,10.); #100124=VECTOR('',#369597,10.); #100125=VECTOR('',#369598,10.); #100126=VECTOR('',#369599,10.); #100127=VECTOR('',#369602,10.); #100128=VECTOR('',#369603,10.); #100129=VECTOR('',#369604,10.); #100130=VECTOR('',#369607,10.); #100131=VECTOR('',#369608,10.); #100132=VECTOR('',#369609,10.); #100133=VECTOR('',#369612,10.); #100134=VECTOR('',#369613,10.); #100135=VECTOR('',#369614,10.); #100136=VECTOR('',#369617,10.); #100137=VECTOR('',#369618,10.); #100138=VECTOR('',#369619,10.); #100139=VECTOR('',#369622,10.); #100140=VECTOR('',#369623,10.); #100141=VECTOR('',#369624,10.); #100142=VECTOR('',#369627,10.); #100143=VECTOR('',#369628,10.); #100144=VECTOR('',#369629,10.); #100145=VECTOR('',#369642,10.); #100146=VECTOR('',#369643,10.); #100147=VECTOR('',#369644,10.); #100148=VECTOR('',#369645,10.); #100149=VECTOR('',#369648,10.); #100150=VECTOR('',#369649,10.); #100151=VECTOR('',#369650,10.); #100152=VECTOR('',#369653,10.); #100153=VECTOR('',#369654,10.); #100154=VECTOR('',#369655,10.); #100155=VECTOR('',#369658,10.); #100156=VECTOR('',#369659,10.); #100157=VECTOR('',#369660,10.); #100158=VECTOR('',#369663,10.); #100159=VECTOR('',#369664,10.); #100160=VECTOR('',#369665,10.); #100161=VECTOR('',#369668,10.); #100162=VECTOR('',#369669,10.); #100163=VECTOR('',#369670,10.); #100164=VECTOR('',#369673,10.); #100165=VECTOR('',#369674,10.); #100166=VECTOR('',#369675,10.); #100167=VECTOR('',#369678,10.); #100168=VECTOR('',#369679,10.); #100169=VECTOR('',#369680,10.); #100170=VECTOR('',#369687,10.); #100171=VECTOR('',#369690,10.); #100172=VECTOR('',#369691,10.); #100173=VECTOR('',#369692,10.); #100174=VECTOR('',#369699,10.); #100175=VECTOR('',#369702,10.); #100176=VECTOR('',#369703,10.); #100177=VECTOR('',#369704,10.); #100178=VECTOR('',#369707,10.); #100179=VECTOR('',#369708,10.); #100180=VECTOR('',#369709,10.); #100181=VECTOR('',#369712,10.); #100182=VECTOR('',#369713,10.); #100183=VECTOR('',#369714,10.); #100184=VECTOR('',#369717,10.); #100185=VECTOR('',#369718,10.); #100186=VECTOR('',#369719,10.); #100187=VECTOR('',#369722,10.); #100188=VECTOR('',#369723,10.); #100189=VECTOR('',#369724,10.); #100190=VECTOR('',#369727,10.); #100191=VECTOR('',#369728,10.); #100192=VECTOR('',#369729,10.); #100193=VECTOR('',#369732,10.); #100194=VECTOR('',#369733,10.); #100195=VECTOR('',#369734,10.); #100196=VECTOR('',#369741,10.); #100197=VECTOR('',#369744,10.); #100198=VECTOR('',#369745,10.); #100199=VECTOR('',#369752,10.); #100200=VECTOR('',#369753,10.); #100201=VECTOR('',#369754,10.); #100202=VECTOR('',#369755,10.); #100203=VECTOR('',#369758,10.); #100204=VECTOR('',#369759,10.); #100205=VECTOR('',#369760,10.); #100206=VECTOR('',#369763,10.); #100207=VECTOR('',#369764,10.); #100208=VECTOR('',#369765,10.); #100209=VECTOR('',#369768,10.); #100210=VECTOR('',#369769,10.); #100211=VECTOR('',#369770,10.); #100212=VECTOR('',#369773,10.); #100213=VECTOR('',#369774,10.); #100214=VECTOR('',#369775,10.); #100215=VECTOR('',#369782,10.); #100216=VECTOR('',#369785,10.); #100217=VECTOR('',#369786,10.); #100218=VECTOR('',#369787,10.); #100219=VECTOR('',#369790,10.); #100220=VECTOR('',#369791,10.); #100221=VECTOR('',#369792,10.); #100222=VECTOR('',#369795,10.); #100223=VECTOR('',#369796,10.); #100224=VECTOR('',#369797,10.); #100225=VECTOR('',#369800,10.); #100226=VECTOR('',#369801,10.); #100227=VECTOR('',#369802,10.); #100228=VECTOR('',#369805,10.); #100229=VECTOR('',#369806,10.); #100230=VECTOR('',#369807,10.); #100231=VECTOR('',#369810,10.); #100232=VECTOR('',#369811,10.); #100233=VECTOR('',#369812,10.); #100234=VECTOR('',#369815,10.); #100235=VECTOR('',#369816,10.); #100236=VECTOR('',#369817,10.); #100237=VECTOR('',#369824,10.); #100238=VECTOR('',#369827,10.); #100239=VECTOR('',#369828,10.); #100240=VECTOR('',#369829,10.); #100241=VECTOR('',#369832,10.); #100242=VECTOR('',#369833,10.); #100243=VECTOR('',#369834,10.); #100244=VECTOR('',#369841,10.); #100245=VECTOR('',#369844,10.); #100246=VECTOR('',#369845,10.); #100247=VECTOR('',#369846,10.); #100248=VECTOR('',#369849,10.); #100249=VECTOR('',#369850,10.); #100250=VECTOR('',#369851,10.); #100251=VECTOR('',#369854,10.); #100252=VECTOR('',#369855,10.); #100253=VECTOR('',#369856,10.); #100254=VECTOR('',#369859,10.); #100255=VECTOR('',#369860,10.); #100256=VECTOR('',#369861,10.); #100257=VECTOR('',#369864,10.); #100258=VECTOR('',#369865,10.); #100259=VECTOR('',#369866,10.); #100260=VECTOR('',#369869,10.); #100261=VECTOR('',#369870,10.); #100262=VECTOR('',#369871,10.); #100263=VECTOR('',#369874,10.); #100264=VECTOR('',#369875,10.); #100265=VECTOR('',#369876,10.); #100266=VECTOR('',#369883,10.); #100267=VECTOR('',#369886,10.); #100268=VECTOR('',#369887,10.); #100269=VECTOR('',#369888,10.); #100270=VECTOR('',#369891,10.); #100271=VECTOR('',#369892,10.); #100272=VECTOR('',#369893,10.); #100273=VECTOR('',#369896,10.); #100274=VECTOR('',#369897,10.); #100275=VECTOR('',#369898,10.); #100276=VECTOR('',#369901,10.); #100277=VECTOR('',#369902,10.); #100278=VECTOR('',#369903,10.); #100279=VECTOR('',#369906,10.); #100280=VECTOR('',#369907,10.); #100281=VECTOR('',#369908,10.); #100282=VECTOR('',#369915,10.); #100283=VECTOR('',#369918,10.); #100284=VECTOR('',#369919,10.); #100285=VECTOR('',#369920,10.); #100286=VECTOR('',#369927,10.); #100287=VECTOR('',#369930,10.); #100288=VECTOR('',#369931,10.); #100289=VECTOR('',#369932,10.); #100290=VECTOR('',#369935,10.); #100291=VECTOR('',#369936,10.); #100292=VECTOR('',#369937,10.); #100293=VECTOR('',#369940,10.); #100294=VECTOR('',#369941,10.); #100295=VECTOR('',#369948,10.); #100296=VECTOR('',#369949,10.); #100297=VECTOR('',#369950,10.); #100298=VECTOR('',#369951,10.); #100299=VECTOR('',#369954,10.); #100300=VECTOR('',#369955,10.); #100301=VECTOR('',#369956,10.); #100302=VECTOR('',#369959,10.); #100303=VECTOR('',#369960,10.); #100304=VECTOR('',#369961,10.); #100305=VECTOR('',#369964,10.); #100306=VECTOR('',#369965,10.); #100307=VECTOR('',#369966,10.); #100308=VECTOR('',#369969,10.); #100309=VECTOR('',#369970,10.); #100310=VECTOR('',#369971,10.); #100311=VECTOR('',#369974,10.); #100312=VECTOR('',#369975,10.); #100313=VECTOR('',#369976,10.); #100314=VECTOR('',#369983,10.); #100315=VECTOR('',#369986,10.); #100316=VECTOR('',#369987,10.); #100317=VECTOR('',#369988,10.); #100318=VECTOR('',#369995,10.); #100319=VECTOR('',#369998,10.); #100320=VECTOR('',#369999,10.); #100321=VECTOR('',#370000,10.); #100322=VECTOR('',#370003,10.); #100323=VECTOR('',#370004,10.); #100324=VECTOR('',#370005,10.); #100325=VECTOR('',#370008,10.); #100326=VECTOR('',#370009,10.); #100327=VECTOR('',#370010,10.); #100328=VECTOR('',#370013,10.); #100329=VECTOR('',#370014,10.); #100330=VECTOR('',#370015,10.); #100331=VECTOR('',#370018,10.); #100332=VECTOR('',#370019,10.); #100333=VECTOR('',#370020,10.); #100334=VECTOR('',#370027,10.); #100335=VECTOR('',#370030,10.); #100336=VECTOR('',#370031,10.); #100337=VECTOR('',#370032,10.); #100338=VECTOR('',#370035,10.); #100339=VECTOR('',#370036,10.); #100340=VECTOR('',#370037,10.); #100341=VECTOR('',#370040,10.); #100342=VECTOR('',#370041,10.); #100343=VECTOR('',#370042,10.); #100344=VECTOR('',#370045,10.); #100345=VECTOR('',#370046,10.); #100346=VECTOR('',#370047,10.); #100347=VECTOR('',#370050,10.); #100348=VECTOR('',#370051,10.); #100349=VECTOR('',#370052,10.); #100350=VECTOR('',#370055,10.); #100351=VECTOR('',#370056,10.); #100352=VECTOR('',#370057,10.); #100353=VECTOR('',#370060,10.); #100354=VECTOR('',#370061,10.); #100355=VECTOR('',#370062,10.); #100356=VECTOR('',#370069,10.); #100357=VECTOR('',#370072,10.); #100358=VECTOR('',#370073,10.); #100359=VECTOR('',#370074,10.); #100360=VECTOR('',#370077,10.); #100361=VECTOR('',#370078,10.); #100362=VECTOR('',#370079,10.); #100363=VECTOR('',#370086,10.); #100364=VECTOR('',#370089,10.); #100365=VECTOR('',#370090,10.); #100366=VECTOR('',#370091,10.); #100367=VECTOR('',#370094,10.); #100368=VECTOR('',#370095,10.); #100369=VECTOR('',#370096,10.); #100370=VECTOR('',#370099,10.); #100371=VECTOR('',#370100,10.); #100372=VECTOR('',#370101,10.); #100373=VECTOR('',#370104,10.); #100374=VECTOR('',#370105,10.); #100375=VECTOR('',#370106,10.); #100376=VECTOR('',#370109,10.); #100377=VECTOR('',#370110,10.); #100378=VECTOR('',#370111,10.); #100379=VECTOR('',#370114,10.); #100380=VECTOR('',#370115,10.); #100381=VECTOR('',#370116,10.); #100382=VECTOR('',#370119,10.); #100383=VECTOR('',#370120,10.); #100384=VECTOR('',#370121,10.); #100385=VECTOR('',#370128,10.); #100386=VECTOR('',#370131,10.); #100387=VECTOR('',#370132,10.); #100388=VECTOR('',#370133,10.); #100389=VECTOR('',#370136,10.); #100390=VECTOR('',#370137,10.); #100391=VECTOR('',#370146,0.139999999999998); #100392=VECTOR('',#370151,10.); #100393=VECTOR('',#370152,10.); #100394=VECTOR('',#370153,10.); #100395=VECTOR('',#370154,10.); #100396=VECTOR('',#370161,10.); #100397=VECTOR('',#370164,10.); #100398=VECTOR('',#370165,10.); #100399=VECTOR('',#370166,10.); #100400=VECTOR('',#370169,10.); #100401=VECTOR('',#370170,10.); #100402=VECTOR('',#370171,10.); #100403=VECTOR('',#370178,10.); #100404=VECTOR('',#370181,10.); #100405=VECTOR('',#370182,10.); #100406=VECTOR('',#370183,10.); #100407=VECTOR('',#370186,10.); #100408=VECTOR('',#370187,10.); #100409=VECTOR('',#370188,10.); #100410=VECTOR('',#370195,10.); #100411=VECTOR('',#370198,10.); #100412=VECTOR('',#370199,10.); #100413=VECTOR('',#370200,10.); #100414=VECTOR('',#370203,10.); #100415=VECTOR('',#370204,10.); #100416=VECTOR('',#370205,10.); #100417=VECTOR('',#370208,10.); #100418=VECTOR('',#370209,10.); #100419=VECTOR('',#370210,10.); #100420=VECTOR('',#370213,10.); #100421=VECTOR('',#370214,10.); #100422=VECTOR('',#370215,10.); #100423=VECTOR('',#370218,10.); #100424=VECTOR('',#370219,10.); #100425=VECTOR('',#370220,10.); #100426=VECTOR('',#370223,10.); #100427=VECTOR('',#370224,10.); #100428=VECTOR('',#370225,10.); #100429=VECTOR('',#370228,10.); #100430=VECTOR('',#370229,10.); #100431=VECTOR('',#370230,10.); #100432=VECTOR('',#370233,10.); #100433=VECTOR('',#370234,10.); #100434=VECTOR('',#370235,10.); #100435=VECTOR('',#370242,10.); #100436=VECTOR('',#370245,10.); #100437=VECTOR('',#370246,10.); #100438=VECTOR('',#370247,10.); #100439=VECTOR('',#370250,10.); #100440=VECTOR('',#370251,10.); #100441=VECTOR('',#370252,10.); #100442=VECTOR('',#370259,10.); #100443=VECTOR('',#370262,10.); #100444=VECTOR('',#370263,10.); #100445=VECTOR('',#370264,10.); #100446=VECTOR('',#370279,0.139999999999998); #100447=VECTOR('',#370284,10.); #100448=VECTOR('',#370285,10.); #100449=VECTOR('',#370286,10.); #100450=VECTOR('',#370287,10.); #100451=VECTOR('',#370294,10.); #100452=VECTOR('',#370297,10.); #100453=VECTOR('',#370298,10.); #100454=VECTOR('',#370299,10.); #100455=VECTOR('',#370302,10.); #100456=VECTOR('',#370303,10.); #100457=VECTOR('',#370304,10.); #100458=VECTOR('',#370311,10.); #100459=VECTOR('',#370314,10.); #100460=VECTOR('',#370315,10.); #100461=VECTOR('',#370316,10.); #100462=VECTOR('',#370319,10.); #100463=VECTOR('',#370320,10.); #100464=VECTOR('',#370321,10.); #100465=VECTOR('',#370324,10.); #100466=VECTOR('',#370325,10.); #100467=VECTOR('',#370326,10.); #100468=VECTOR('',#370329,10.); #100469=VECTOR('',#370330,10.); #100470=VECTOR('',#370331,10.); #100471=VECTOR('',#370334,10.); #100472=VECTOR('',#370335,10.); #100473=VECTOR('',#370336,10.); #100474=VECTOR('',#370339,10.); #100475=VECTOR('',#370340,10.); #100476=VECTOR('',#370341,10.); #100477=VECTOR('',#370344,10.); #100478=VECTOR('',#370345,10.); #100479=VECTOR('',#370346,10.); #100480=VECTOR('',#370349,10.); #100481=VECTOR('',#370350,10.); #100482=VECTOR('',#370351,10.); #100483=VECTOR('',#370358,10.); #100484=VECTOR('',#370361,10.); #100485=VECTOR('',#370362,10.); #100486=VECTOR('',#370363,10.); #100487=VECTOR('',#370378,0.139999999999998); #100488=VECTOR('',#370383,10.); #100489=VECTOR('',#370384,10.); #100490=VECTOR('',#370385,10.); #100491=VECTOR('',#370386,10.); #100492=VECTOR('',#370393,10.); #100493=VECTOR('',#370396,10.); #100494=VECTOR('',#370397,10.); #100495=VECTOR('',#370398,10.); #100496=VECTOR('',#370401,10.); #100497=VECTOR('',#370402,10.); #100498=VECTOR('',#370403,10.); #100499=VECTOR('',#370406,10.); #100500=VECTOR('',#370407,10.); #100501=VECTOR('',#370408,10.); #100502=VECTOR('',#370411,10.); #100503=VECTOR('',#370412,10.); #100504=VECTOR('',#370413,10.); #100505=VECTOR('',#370416,10.); #100506=VECTOR('',#370417,10.); #100507=VECTOR('',#370418,10.); #100508=VECTOR('',#370421,10.); #100509=VECTOR('',#370422,10.); #100510=VECTOR('',#370423,10.); #100511=VECTOR('',#370426,10.); #100512=VECTOR('',#370427,10.); #100513=VECTOR('',#370428,10.); #100514=VECTOR('',#370435,10.); #100515=VECTOR('',#370438,10.); #100516=VECTOR('',#370439,10.); #100517=VECTOR('',#370440,10.); #100518=VECTOR('',#370455,0.139999999999998); #100519=VECTOR('',#370460,10.); #100520=VECTOR('',#370461,10.); #100521=VECTOR('',#370462,10.); #100522=VECTOR('',#370463,10.); #100523=VECTOR('',#370470,10.); #100524=VECTOR('',#370473,10.); #100525=VECTOR('',#370474,10.); #100526=VECTOR('',#370475,10.); #100527=VECTOR('',#370482,10.); #100528=VECTOR('',#370485,10.); #100529=VECTOR('',#370486,10.); #100530=VECTOR('',#370487,10.); #100531=VECTOR('',#370490,10.); #100532=VECTOR('',#370491,10.); #100533=VECTOR('',#370492,10.); #100534=VECTOR('',#370495,10.); #100535=VECTOR('',#370496,10.); #100536=VECTOR('',#370497,10.); #100537=VECTOR('',#370500,10.); #100538=VECTOR('',#370501,10.); #100539=VECTOR('',#370502,10.); #100540=VECTOR('',#370505,10.); #100541=VECTOR('',#370506,10.); #100542=VECTOR('',#370507,10.); #100543=VECTOR('',#370510,10.); #100544=VECTOR('',#370511,10.); #100545=VECTOR('',#370512,10.); #100546=VECTOR('',#370515,10.); #100547=VECTOR('',#370516,10.); #100548=VECTOR('',#370517,10.); #100549=VECTOR('',#370520,10.); #100550=VECTOR('',#370521,10.); #100551=VECTOR('',#370522,10.); #100552=VECTOR('',#370525,10.); #100553=VECTOR('',#370526,10.); #100554=VECTOR('',#370527,10.); #100555=VECTOR('',#370542,0.140000000000002); #100556=VECTOR('',#370549,0.140000000000002); #100557=VECTOR('',#370554,10.); #100558=VECTOR('',#370555,10.); #100559=VECTOR('',#370556,10.); #100560=VECTOR('',#370557,10.); #100561=VECTOR('',#370564,10.); #100562=VECTOR('',#370571,10.); #100563=VECTOR('',#370574,10.); #100564=VECTOR('',#370575,10.); #100565=VECTOR('',#370576,10.); #100566=VECTOR('',#370583,10.); #100567=VECTOR('',#370590,10.); #100568=VECTOR('',#370593,10.); #100569=VECTOR('',#370594,10.); #100570=VECTOR('',#370595,10.); #100571=VECTOR('',#370610,0.139999999999998); #100572=VECTOR('',#370615,10.); #100573=VECTOR('',#370616,10.); #100574=VECTOR('',#370617,10.); #100575=VECTOR('',#370618,10.); #100576=VECTOR('',#370625,10.); #100577=VECTOR('',#370628,10.); #100578=VECTOR('',#370629,10.); #100579=VECTOR('',#370630,10.); #100580=VECTOR('',#370633,10.); #100581=VECTOR('',#370634,10.); #100582=VECTOR('',#370635,10.); #100583=VECTOR('',#370642,10.); #100584=VECTOR('',#370645,10.); #100585=VECTOR('',#370646,10.); #100586=VECTOR('',#370647,10.); #100587=VECTOR('',#370650,10.); #100588=VECTOR('',#370651,10.); #100589=VECTOR('',#370652,10.); #100590=VECTOR('',#370655,10.); #100591=VECTOR('',#370656,10.); #100592=VECTOR('',#370657,10.); #100593=VECTOR('',#370660,10.); #100594=VECTOR('',#370661,10.); #100595=VECTOR('',#370662,10.); #100596=VECTOR('',#370665,10.); #100597=VECTOR('',#370666,10.); #100598=VECTOR('',#370667,10.); #100599=VECTOR('',#370670,10.); #100600=VECTOR('',#370671,10.); #100601=VECTOR('',#370672,10.); #100602=VECTOR('',#370675,10.); #100603=VECTOR('',#370676,10.); #100604=VECTOR('',#370677,10.); #100605=VECTOR('',#370680,10.); #100606=VECTOR('',#370681,10.); #100607=VECTOR('',#370682,10.); #100608=VECTOR('',#370689,10.); #100609=VECTOR('',#370692,10.); #100610=VECTOR('',#370693,10.); #100611=VECTOR('',#370694,10.); #100612=VECTOR('',#370709,0.139999999999998); #100613=VECTOR('',#370714,10.); #100614=VECTOR('',#370715,10.); #100615=VECTOR('',#370716,10.); #100616=VECTOR('',#370717,10.); #100617=VECTOR('',#370724,10.); #100618=VECTOR('',#370727,10.); #100619=VECTOR('',#370728,10.); #100620=VECTOR('',#370729,10.); #100621=VECTOR('',#370732,10.); #100622=VECTOR('',#370733,10.); #100623=VECTOR('',#370734,10.); #100624=VECTOR('',#370741,10.); #100625=VECTOR('',#370744,10.); #100626=VECTOR('',#370745,10.); #100627=VECTOR('',#370746,10.); #100628=VECTOR('',#370749,10.); #100629=VECTOR('',#370750,10.); #100630=VECTOR('',#370751,10.); #100631=VECTOR('',#370758,10.); #100632=VECTOR('',#370761,10.); #100633=VECTOR('',#370762,10.); #100634=VECTOR('',#370763,10.); #100635=VECTOR('',#370766,10.); #100636=VECTOR('',#370767,10.); #100637=VECTOR('',#370768,10.); #100638=VECTOR('',#370771,10.); #100639=VECTOR('',#370772,10.); #100640=VECTOR('',#370773,10.); #100641=VECTOR('',#370776,10.); #100642=VECTOR('',#370777,10.); #100643=VECTOR('',#370778,10.); #100644=VECTOR('',#370781,10.); #100645=VECTOR('',#370782,10.); #100646=VECTOR('',#370783,10.); #100647=VECTOR('',#370786,10.); #100648=VECTOR('',#370787,10.); #100649=VECTOR('',#370788,10.); #100650=VECTOR('',#370791,10.); #100651=VECTOR('',#370792,10.); #100652=VECTOR('',#370793,10.); #100653=VECTOR('',#370796,10.); #100654=VECTOR('',#370797,10.); #100655=VECTOR('',#370798,10.); #100656=VECTOR('',#370805,10.); #100657=VECTOR('',#370808,10.); #100658=VECTOR('',#370809,10.); #100659=VECTOR('',#370810,10.); #100660=VECTOR('',#370813,10.); #100661=VECTOR('',#370814,10.); #100662=VECTOR('',#370815,10.); #100663=VECTOR('',#370822,10.); #100664=VECTOR('',#370825,10.); #100665=VECTOR('',#370826,10.); #100666=VECTOR('',#370827,10.); #100667=VECTOR('',#370840,10.); #100668=VECTOR('',#370841,10.); #100669=VECTOR('',#370842,10.); #100670=VECTOR('',#370843,10.); #100671=VECTOR('',#370846,10.); #100672=VECTOR('',#370847,10.); #100673=VECTOR('',#370848,10.); #100674=VECTOR('',#370851,10.); #100675=VECTOR('',#370852,10.); #100676=VECTOR('',#370853,10.); #100677=VECTOR('',#370856,10.); #100678=VECTOR('',#370857,10.); #100679=VECTOR('',#370858,10.); #100680=VECTOR('',#370865,10.); #100681=VECTOR('',#370868,10.); #100682=VECTOR('',#370869,10.); #100683=VECTOR('',#370870,10.); #100684=VECTOR('',#370877,10.); #100685=VECTOR('',#370880,10.); #100686=VECTOR('',#370881,10.); #100687=VECTOR('',#370882,10.); #100688=VECTOR('',#370885,10.); #100689=VECTOR('',#370886,10.); #100690=VECTOR('',#370887,10.); #100691=VECTOR('',#370890,10.); #100692=VECTOR('',#370891,10.); #100693=VECTOR('',#370892,10.); #100694=VECTOR('',#370899,10.); #100695=VECTOR('',#370902,10.); #100696=VECTOR('',#370903,10.); #100697=VECTOR('',#370904,10.); #100698=VECTOR('',#370907,10.); #100699=VECTOR('',#370908,10.); #100700=VECTOR('',#370909,10.); #100701=VECTOR('',#370912,10.); #100702=VECTOR('',#370913,10.); #100703=VECTOR('',#370914,10.); #100704=VECTOR('',#370917,10.); #100705=VECTOR('',#370918,10.); #100706=VECTOR('',#370919,10.); #100707=VECTOR('',#370922,10.); #100708=VECTOR('',#370923,10.); #100709=VECTOR('',#370924,10.); #100710=VECTOR('',#370927,10.); #100711=VECTOR('',#370928,10.); #100712=VECTOR('',#370929,10.); #100713=VECTOR('',#370932,10.); #100714=VECTOR('',#370933,10.); #100715=VECTOR('',#370934,10.); #100716=VECTOR('',#370941,10.); #100717=VECTOR('',#370944,10.); #100718=VECTOR('',#370945,10.); #100719=VECTOR('',#370946,10.); #100720=VECTOR('',#370953,10.); #100721=VECTOR('',#370956,10.); #100722=VECTOR('',#370957,10.); #100723=VECTOR('',#370958,10.); #100724=VECTOR('',#370961,10.); #100725=VECTOR('',#370962,10.); #100726=VECTOR('',#370963,10.); #100727=VECTOR('',#370966,10.); #100728=VECTOR('',#370967,10.); #100729=VECTOR('',#370968,10.); #100730=VECTOR('',#370971,10.); #100731=VECTOR('',#370972,10.); #100732=VECTOR('',#370973,10.); #100733=VECTOR('',#370976,10.); #100734=VECTOR('',#370977,10.); #100735=VECTOR('',#370986,0.139999999999998); #100736=VECTOR('',#370991,10.); #100737=VECTOR('',#370992,10.); #100738=VECTOR('',#370993,10.); #100739=VECTOR('',#370994,10.); #100740=VECTOR('',#371001,10.); #100741=VECTOR('',#371004,10.); #100742=VECTOR('',#371005,10.); #100743=VECTOR('',#371006,10.); #100744=VECTOR('',#371009,10.); #100745=VECTOR('',#371010,10.); #100746=VECTOR('',#371011,10.); #100747=VECTOR('',#371018,10.); #100748=VECTOR('',#371021,10.); #100749=VECTOR('',#371022,10.); #100750=VECTOR('',#371023,10.); #100751=VECTOR('',#371030,10.); #100752=VECTOR('',#371033,10.); #100753=VECTOR('',#371034,10.); #100754=VECTOR('',#371035,10.); #100755=VECTOR('',#371042,10.); #100756=VECTOR('',#371045,10.); #100757=VECTOR('',#371046,10.); #100758=VECTOR('',#371047,10.); #100759=VECTOR('',#371050,10.); #100760=VECTOR('',#371051,10.); #100761=VECTOR('',#371052,10.); #100762=VECTOR('',#371055,10.); #100763=VECTOR('',#371056,10.); #100764=VECTOR('',#371057,10.); #100765=VECTOR('',#371060,10.); #100766=VECTOR('',#371061,10.); #100767=VECTOR('',#371062,10.); #100768=VECTOR('',#371065,10.); #100769=VECTOR('',#371066,10.); #100770=VECTOR('',#371067,10.); #100771=VECTOR('',#371070,10.); #100772=VECTOR('',#371071,10.); #100773=VECTOR('',#371072,10.); #100774=VECTOR('',#371075,10.); #100775=VECTOR('',#371076,10.); #100776=VECTOR('',#371077,10.); #100777=VECTOR('',#371084,10.); #100778=VECTOR('',#371087,10.); #100779=VECTOR('',#371088,10.); #100780=VECTOR('',#371089,10.); #100781=VECTOR('',#371092,10.); #100782=VECTOR('',#371093,10.); #100783=VECTOR('',#371094,10.); #100784=VECTOR('',#371097,10.); #100785=VECTOR('',#371098,10.); #100786=VECTOR('',#371099,10.); #100787=VECTOR('',#371102,10.); #100788=VECTOR('',#371103,10.); #100789=VECTOR('',#371104,10.); #100790=VECTOR('',#371111,10.); #100791=VECTOR('',#371114,10.); #100792=VECTOR('',#371115,10.); #100793=VECTOR('',#371116,10.); #100794=VECTOR('',#371131,0.139999999999998); #100795=VECTOR('',#371136,10.); #100796=VECTOR('',#371137,10.); #100797=VECTOR('',#371138,10.); #100798=VECTOR('',#371139,10.); #100799=VECTOR('',#371146,10.); #100800=VECTOR('',#371149,10.); #100801=VECTOR('',#371150,10.); #100802=VECTOR('',#371151,10.); #100803=VECTOR('',#371154,10.); #100804=VECTOR('',#371155,10.); #100805=VECTOR('',#371156,10.); #100806=VECTOR('',#371159,10.); #100807=VECTOR('',#371160,10.); #100808=VECTOR('',#371161,10.); #100809=VECTOR('',#371164,10.); #100810=VECTOR('',#371165,10.); #100811=VECTOR('',#371166,10.); #100812=VECTOR('',#371169,10.); #100813=VECTOR('',#371170,10.); #100814=VECTOR('',#371171,10.); #100815=VECTOR('',#371174,10.); #100816=VECTOR('',#371175,10.); #100817=VECTOR('',#371176,10.); #100818=VECTOR('',#371179,10.); #100819=VECTOR('',#371180,10.); #100820=VECTOR('',#371181,10.); #100821=VECTOR('',#371188,10.); #100822=VECTOR('',#371201,10.); #100823=VECTOR('',#371202,10.); #100824=VECTOR('',#371203,10.); #100825=VECTOR('',#371204,10.); #100826=VECTOR('',#371207,10.); #100827=VECTOR('',#371208,10.); #100828=VECTOR('',#371209,10.); #100829=VECTOR('',#371212,10.); #100830=VECTOR('',#371213,10.); #100831=VECTOR('',#371214,10.); #100832=VECTOR('',#371217,10.); #100833=VECTOR('',#371218,10.); #100834=VECTOR('',#371219,10.); #100835=VECTOR('',#371222,10.); #100836=VECTOR('',#371223,10.); #100837=VECTOR('',#371224,10.); #100838=VECTOR('',#371231,10.); #100839=VECTOR('',#371234,10.); #100840=VECTOR('',#371235,10.); #100841=VECTOR('',#371236,10.); #100842=VECTOR('',#371239,10.); #100843=VECTOR('',#371240,10.); #100844=VECTOR('',#371241,10.); #100845=VECTOR('',#371244,10.); #100846=VECTOR('',#371245,10.); #100847=VECTOR('',#371246,10.); #100848=VECTOR('',#371253,10.); #100849=VECTOR('',#371256,10.); #100850=VECTOR('',#371257,10.); #100851=VECTOR('',#371258,10.); #100852=VECTOR('',#371265,10.); #100853=VECTOR('',#371268,10.); #100854=VECTOR('',#371269,10.); #100855=VECTOR('',#371270,10.); #100856=VECTOR('',#371277,10.); #100857=VECTOR('',#371280,10.); #100858=VECTOR('',#371281,10.); #100859=VECTOR('',#371282,10.); #100860=VECTOR('',#371285,10.); #100861=VECTOR('',#371286,10.); #100862=VECTOR('',#371287,10.); #100863=VECTOR('',#371294,10.); #100864=VECTOR('',#371297,10.); #100865=VECTOR('',#371298,10.); #100866=VECTOR('',#371299,10.); #100867=VECTOR('',#371302,10.); #100868=VECTOR('',#371303,10.); #100869=VECTOR('',#371304,10.); #100870=VECTOR('',#371307,10.); #100871=VECTOR('',#371308,10.); #100872=VECTOR('',#371309,10.); #100873=VECTOR('',#371312,10.); #100874=VECTOR('',#371313,10.); #100875=VECTOR('',#371314,10.); #100876=VECTOR('',#371317,10.); #100877=VECTOR('',#371318,10.); #100878=VECTOR('',#371319,10.); #100879=VECTOR('',#371322,10.); #100880=VECTOR('',#371323,10.); #100881=VECTOR('',#371324,10.); #100882=VECTOR('',#371327,10.); #100883=VECTOR('',#371328,10.); #100884=VECTOR('',#371329,10.); #100885=VECTOR('',#371336,10.); #100886=VECTOR('',#371339,10.); #100887=VECTOR('',#371340,10.); #100888=VECTOR('',#371341,10.); #100889=VECTOR('',#371344,10.); #100890=VECTOR('',#371345,10.); #100891=VECTOR('',#371346,10.); #100892=VECTOR('',#371353,10.); #100893=VECTOR('',#371356,10.); #100894=VECTOR('',#371357,10.); #100895=VECTOR('',#371358,10.); #100896=VECTOR('',#371361,10.); #100897=VECTOR('',#371362,10.); #100898=VECTOR('',#371363,10.); #100899=VECTOR('',#371366,10.); #100900=VECTOR('',#371367,10.); #100901=VECTOR('',#371368,10.); #100902=VECTOR('',#371371,10.); #100903=VECTOR('',#371372,10.); #100904=VECTOR('',#371373,10.); #100905=VECTOR('',#371380,10.); #100906=VECTOR('',#371383,10.); #100907=VECTOR('',#371384,10.); #100908=VECTOR('',#371385,10.); #100909=VECTOR('',#371392,10.); #100910=VECTOR('',#371395,10.); #100911=VECTOR('',#371396,10.); #100912=VECTOR('',#371397,10.); #100913=VECTOR('',#371400,10.); #100914=VECTOR('',#371401,10.); #100915=VECTOR('',#371402,10.); #100916=VECTOR('',#371405,10.); #100917=VECTOR('',#371406,10.); #100918=VECTOR('',#371413,10.); #100919=VECTOR('',#371414,10.); #100920=VECTOR('',#371415,10.); #100921=VECTOR('',#371416,10.); #100922=VECTOR('',#371419,10.); #100923=VECTOR('',#371420,10.); #100924=VECTOR('',#371421,10.); #100925=VECTOR('',#371424,10.); #100926=VECTOR('',#371425,10.); #100927=VECTOR('',#371426,10.); #100928=VECTOR('',#371429,10.); #100929=VECTOR('',#371430,10.); #100930=VECTOR('',#371431,10.); #100931=VECTOR('',#371434,10.); #100932=VECTOR('',#371435,10.); #100933=VECTOR('',#371436,10.); #100934=VECTOR('',#371439,10.); #100935=VECTOR('',#371440,10.); #100936=VECTOR('',#371441,10.); #100937=VECTOR('',#371448,10.); #100938=VECTOR('',#371451,10.); #100939=VECTOR('',#371452,10.); #100940=VECTOR('',#371453,10.); #100941=VECTOR('',#371460,10.); #100942=VECTOR('',#371463,10.); #100943=VECTOR('',#371464,10.); #100944=VECTOR('',#371465,10.); #100945=VECTOR('',#371468,10.); #100946=VECTOR('',#371469,10.); #100947=VECTOR('',#371470,10.); #100948=VECTOR('',#371473,10.); #100949=VECTOR('',#371474,10.); #100950=VECTOR('',#371475,10.); #100951=VECTOR('',#371478,10.); #100952=VECTOR('',#371479,10.); #100953=VECTOR('',#371480,10.); #100954=VECTOR('',#371487,10.); #100955=VECTOR('',#371490,10.); #100956=VECTOR('',#371491,10.); #100957=VECTOR('',#371492,10.); #100958=VECTOR('',#371495,10.); #100959=VECTOR('',#371496,10.); #100960=VECTOR('',#371497,10.); #100961=VECTOR('',#371504,10.); #100962=VECTOR('',#371507,10.); #100963=VECTOR('',#371508,10.); #100964=VECTOR('',#371509,10.); #100965=VECTOR('',#371512,10.); #100966=VECTOR('',#371513,10.); #100967=VECTOR('',#371514,10.); #100968=VECTOR('',#371517,10.); #100969=VECTOR('',#371518,10.); #100970=VECTOR('',#371519,10.); #100971=VECTOR('',#371522,10.); #100972=VECTOR('',#371523,10.); #100973=VECTOR('',#371524,10.); #100974=VECTOR('',#371527,10.); #100975=VECTOR('',#371528,10.); #100976=VECTOR('',#371529,10.); #100977=VECTOR('',#371532,10.); #100978=VECTOR('',#371533,10.); #100979=VECTOR('',#371534,10.); #100980=VECTOR('',#371537,10.); #100981=VECTOR('',#371538,10.); #100982=VECTOR('',#371539,10.); #100983=VECTOR('',#371546,10.); #100984=VECTOR('',#371549,10.); #100985=VECTOR('',#371550,10.); #100986=VECTOR('',#371551,10.); #100987=VECTOR('',#371554,10.); #100988=VECTOR('',#371555,10.); #100989=VECTOR('',#371556,10.); #100990=VECTOR('',#371563,10.); #100991=VECTOR('',#371566,10.); #100992=VECTOR('',#371567,10.); #100993=VECTOR('',#371568,10.); #100994=VECTOR('',#371575,10.); #100995=VECTOR('',#371578,10.); #100996=VECTOR('',#371579,10.); #100997=VECTOR('',#371580,10.); #100998=VECTOR('',#371587,10.); #100999=VECTOR('',#371590,10.); #101000=VECTOR('',#371591,10.); #101001=VECTOR('',#371592,10.); #101002=VECTOR('',#371595,10.); #101003=VECTOR('',#371596,10.); #101004=VECTOR('',#371597,10.); #101005=VECTOR('',#371600,10.); #101006=VECTOR('',#371601,10.); #101007=VECTOR('',#371602,10.); #101008=VECTOR('',#371609,10.); #101009=VECTOR('',#371612,10.); #101010=VECTOR('',#371613,10.); #101011=VECTOR('',#371614,10.); #101012=VECTOR('',#371617,10.); #101013=VECTOR('',#371618,10.); #101014=VECTOR('',#371625,10.); #101015=VECTOR('',#371626,10.); #101016=VECTOR('',#371627,10.); #101017=VECTOR('',#371628,10.); #101018=VECTOR('',#371631,10.); #101019=VECTOR('',#371632,10.); #101020=VECTOR('',#371633,10.); #101021=VECTOR('',#371636,10.); #101022=VECTOR('',#371637,10.); #101023=VECTOR('',#371638,10.); #101024=VECTOR('',#371641,10.); #101025=VECTOR('',#371642,10.); #101026=VECTOR('',#371643,10.); #101027=VECTOR('',#371650,10.); #101028=VECTOR('',#371653,10.); #101029=VECTOR('',#371654,10.); #101030=VECTOR('',#371655,10.); #101031=VECTOR('',#371658,10.); #101032=VECTOR('',#371659,10.); #101033=VECTOR('',#371660,10.); #101034=VECTOR('',#371667,10.); #101035=VECTOR('',#371670,10.); #101036=VECTOR('',#371671,10.); #101037=VECTOR('',#371672,10.); #101038=VECTOR('',#371675,10.); #101039=VECTOR('',#371676,10.); #101040=VECTOR('',#371677,10.); #101041=VECTOR('',#371680,10.); #101042=VECTOR('',#371681,10.); #101043=VECTOR('',#371682,10.); #101044=VECTOR('',#371685,10.); #101045=VECTOR('',#371686,10.); #101046=VECTOR('',#371687,10.); #101047=VECTOR('',#371690,10.); #101048=VECTOR('',#371691,10.); #101049=VECTOR('',#371692,10.); #101050=VECTOR('',#371695,10.); #101051=VECTOR('',#371696,10.); #101052=VECTOR('',#371697,10.); #101053=VECTOR('',#371700,10.); #101054=VECTOR('',#371701,10.); #101055=VECTOR('',#371702,10.); #101056=VECTOR('',#371709,10.); #101057=VECTOR('',#371712,10.); #101058=VECTOR('',#371713,10.); #101059=VECTOR('',#371714,10.); #101060=VECTOR('',#371717,10.); #101061=VECTOR('',#371718,10.); #101062=VECTOR('',#371719,10.); #101063=VECTOR('',#371722,10.); #101064=VECTOR('',#371723,10.); #101065=VECTOR('',#371724,10.); #101066=VECTOR('',#371727,10.); #101067=VECTOR('',#371728,10.); #101068=VECTOR('',#371737,0.139999999999998); #101069=VECTOR('',#371742,10.); #101070=VECTOR('',#371743,10.); #101071=VECTOR('',#371744,10.); #101072=VECTOR('',#371745,10.); #101073=VECTOR('',#371752,10.); #101074=VECTOR('',#371755,10.); #101075=VECTOR('',#371756,10.); #101076=VECTOR('',#371757,10.); #101077=VECTOR('',#371764,10.); #101078=VECTOR('',#371767,10.); #101079=VECTOR('',#371768,10.); #101080=VECTOR('',#371769,10.); #101081=VECTOR('',#371772,10.); #101082=VECTOR('',#371773,10.); #101083=VECTOR('',#371774,10.); #101084=VECTOR('',#371781,10.); #101085=VECTOR('',#371784,10.); #101086=VECTOR('',#371785,10.); #101087=VECTOR('',#371786,10.); #101088=VECTOR('',#371789,10.); #101089=VECTOR('',#371790,10.); #101090=VECTOR('',#371791,10.); #101091=VECTOR('',#371794,10.); #101092=VECTOR('',#371795,10.); #101093=VECTOR('',#371796,10.); #101094=VECTOR('',#371799,10.); #101095=VECTOR('',#371800,10.); #101096=VECTOR('',#371801,10.); #101097=VECTOR('',#371804,10.); #101098=VECTOR('',#371805,10.); #101099=VECTOR('',#371806,10.); #101100=VECTOR('',#371809,10.); #101101=VECTOR('',#371810,10.); #101102=VECTOR('',#371811,10.); #101103=VECTOR('',#371814,10.); #101104=VECTOR('',#371815,10.); #101105=VECTOR('',#371816,10.); #101106=VECTOR('',#371823,10.); #101107=VECTOR('',#371826,10.); #101108=VECTOR('',#371827,10.); #101109=VECTOR('',#371828,10.); #101110=VECTOR('',#371831,10.); #101111=VECTOR('',#371832,10.); #101112=VECTOR('',#371833,10.); #101113=VECTOR('',#371840,10.); #101114=VECTOR('',#371855,0.139999999999998); #101115=VECTOR('',#371860,10.); #101116=VECTOR('',#371861,10.); #101117=VECTOR('',#371862,10.); #101118=VECTOR('',#371863,10.); #101119=VECTOR('',#371870,10.); #101120=VECTOR('',#371873,10.); #101121=VECTOR('',#371874,10.); #101122=VECTOR('',#371875,10.); #101123=VECTOR('',#371878,10.); #101124=VECTOR('',#371879,10.); #101125=VECTOR('',#371880,10.); #101126=VECTOR('',#371887,10.); #101127=VECTOR('',#371890,10.); #101128=VECTOR('',#371891,10.); #101129=VECTOR('',#371892,10.); #101130=VECTOR('',#371895,10.); #101131=VECTOR('',#371896,10.); #101132=VECTOR('',#371897,10.); #101133=VECTOR('',#371900,10.); #101134=VECTOR('',#371901,10.); #101135=VECTOR('',#371902,10.); #101136=VECTOR('',#371905,10.); #101137=VECTOR('',#371906,10.); #101138=VECTOR('',#371907,10.); #101139=VECTOR('',#371910,10.); #101140=VECTOR('',#371911,10.); #101141=VECTOR('',#371912,10.); #101142=VECTOR('',#371915,10.); #101143=VECTOR('',#371916,10.); #101144=VECTOR('',#371917,10.); #101145=VECTOR('',#371920,10.); #101146=VECTOR('',#371921,10.); #101147=VECTOR('',#371922,10.); #101148=VECTOR('',#371925,10.); #101149=VECTOR('',#371926,10.); #101150=VECTOR('',#371927,10.); #101151=VECTOR('',#371934,10.); #101152=VECTOR('',#371937,10.); #101153=VECTOR('',#371938,10.); #101154=VECTOR('',#371939,10.); #101155=VECTOR('',#371954,0.139999999999998); #101156=VECTOR('',#371959,10.); #101157=VECTOR('',#371960,10.); #101158=VECTOR('',#371961,10.); #101159=VECTOR('',#371962,10.); #101160=VECTOR('',#371969,10.); #101161=VECTOR('',#371976,10.); #101162=VECTOR('',#371979,10.); #101163=VECTOR('',#371980,10.); #101164=VECTOR('',#371981,10.); #101165=VECTOR('',#371984,10.); #101166=VECTOR('',#371985,10.); #101167=VECTOR('',#371986,10.); #101168=VECTOR('',#371989,10.); #101169=VECTOR('',#371990,10.); #101170=VECTOR('',#371991,10.); #101171=VECTOR('',#371994,10.); #101172=VECTOR('',#371995,10.); #101173=VECTOR('',#371996,10.); #101174=VECTOR('',#371999,10.); #101175=VECTOR('',#372000,10.); #101176=VECTOR('',#372001,10.); #101177=VECTOR('',#372004,10.); #101178=VECTOR('',#372005,10.); #101179=VECTOR('',#372006,10.); #101180=VECTOR('',#372009,10.); #101181=VECTOR('',#372010,10.); #101182=VECTOR('',#372011,10.); #101183=VECTOR('',#372018,10.); #101184=VECTOR('',#372021,10.); #101185=VECTOR('',#372022,10.); #101186=VECTOR('',#372023,10.); #101187=VECTOR('',#372038,0.139999999999998); #101188=VECTOR('',#372043,10.); #101189=VECTOR('',#372044,10.); #101190=VECTOR('',#372045,10.); #101191=VECTOR('',#372046,10.); #101192=VECTOR('',#372049,10.); #101193=VECTOR('',#372050,10.); #101194=VECTOR('',#372051,10.); #101195=VECTOR('',#372054,10.); #101196=VECTOR('',#372055,10.); #101197=VECTOR('',#372056,10.); #101198=VECTOR('',#372059,10.); #101199=VECTOR('',#372060,10.); #101200=VECTOR('',#372061,10.); #101201=VECTOR('',#372064,10.); #101202=VECTOR('',#372065,10.); #101203=VECTOR('',#372066,10.); #101204=VECTOR('',#372073,10.); #101205=VECTOR('',#372076,10.); #101206=VECTOR('',#372077,10.); #101207=VECTOR('',#372078,10.); #101208=VECTOR('',#372081,10.); #101209=VECTOR('',#372082,10.); #101210=VECTOR('',#372083,10.); #101211=VECTOR('',#372090,10.); #101212=VECTOR('',#372093,10.); #101213=VECTOR('',#372094,10.); #101214=VECTOR('',#372095,10.); #101215=VECTOR('',#372102,10.); #101216=VECTOR('',#372109,10.); #101217=VECTOR('',#372112,10.); #101218=VECTOR('',#372113,10.); #101219=VECTOR('',#372114,10.); #101220=VECTOR('',#372117,10.); #101221=VECTOR('',#372118,10.); #101222=VECTOR('',#372119,10.); #101223=VECTOR('',#372126,10.); #101224=VECTOR('',#372129,10.); #101225=VECTOR('',#372130,10.); #101226=VECTOR('',#372131,10.); #101227=VECTOR('',#372134,10.); #101228=VECTOR('',#372135,10.); #101229=VECTOR('',#372136,10.); #101230=VECTOR('',#372139,10.); #101231=VECTOR('',#372140,10.); #101232=VECTOR('',#372149,0.139999999999998); #101233=VECTOR('',#372154,10.); #101234=VECTOR('',#372155,10.); #101235=VECTOR('',#372156,10.); #101236=VECTOR('',#372157,10.); #101237=VECTOR('',#372164,10.); #101238=VECTOR('',#372167,10.); #101239=VECTOR('',#372168,10.); #101240=VECTOR('',#372169,10.); #101241=VECTOR('',#372176,10.); #101242=VECTOR('',#372179,10.); #101243=VECTOR('',#372180,10.); #101244=VECTOR('',#372181,10.); #101245=VECTOR('',#372184,10.); #101246=VECTOR('',#372185,10.); #101247=VECTOR('',#372186,10.); #101248=VECTOR('',#372189,10.); #101249=VECTOR('',#372190,10.); #101250=VECTOR('',#372191,10.); #101251=VECTOR('',#372194,10.); #101252=VECTOR('',#372195,10.); #101253=VECTOR('',#372196,10.); #101254=VECTOR('',#372199,10.); #101255=VECTOR('',#372200,10.); #101256=VECTOR('',#372201,10.); #101257=VECTOR('',#372204,10.); #101258=VECTOR('',#372205,10.); #101259=VECTOR('',#372206,10.); #101260=VECTOR('',#372209,10.); #101261=VECTOR('',#372210,10.); #101262=VECTOR('',#372211,10.); #101263=VECTOR('',#372218,10.); #101264=VECTOR('',#372233,0.139999999999998); #101265=VECTOR('',#372238,10.); #101266=VECTOR('',#372239,10.); #101267=VECTOR('',#372240,10.); #101268=VECTOR('',#372241,10.); #101269=VECTOR('',#372244,10.); #101270=VECTOR('',#372245,10.); #101271=VECTOR('',#372246,10.); #101272=VECTOR('',#372249,10.); #101273=VECTOR('',#372250,10.); #101274=VECTOR('',#372251,10.); #101275=VECTOR('',#372254,10.); #101276=VECTOR('',#372255,10.); #101277=VECTOR('',#372256,10.); #101278=VECTOR('',#372259,10.); #101279=VECTOR('',#372260,10.); #101280=VECTOR('',#372261,10.); #101281=VECTOR('',#372264,10.); #101282=VECTOR('',#372265,10.); #101283=VECTOR('',#372266,10.); #101284=VECTOR('',#372273,10.); #101285=VECTOR('',#372276,10.); #101286=VECTOR('',#372277,10.); #101287=VECTOR('',#372278,10.); #101288=VECTOR('',#372281,10.); #101289=VECTOR('',#372282,10.); #101290=VECTOR('',#372283,10.); #101291=VECTOR('',#372290,10.); #101292=VECTOR('',#372297,10.); #101293=VECTOR('',#372300,10.); #101294=VECTOR('',#372301,10.); #101295=VECTOR('',#372302,10.); #101296=VECTOR('',#372309,10.); #101297=VECTOR('',#372312,10.); #101298=VECTOR('',#372313,10.); #101299=VECTOR('',#372314,10.); #101300=VECTOR('',#372317,10.); #101301=VECTOR('',#372318,10.); #101302=VECTOR('',#372319,10.); #101303=VECTOR('',#372326,10.); #101304=VECTOR('',#372329,10.); #101305=VECTOR('',#372330,10.); #101306=VECTOR('',#372331,10.); #101307=VECTOR('',#372334,10.); #101308=VECTOR('',#372335,10.); #101309=VECTOR('',#372344,0.139999999999998); #101310=VECTOR('',#372349,10.); #101311=VECTOR('',#372350,10.); #101312=VECTOR('',#372351,10.); #101313=VECTOR('',#372352,10.); #101314=VECTOR('',#372359,10.); #101315=VECTOR('',#372362,10.); #101316=VECTOR('',#372363,10.); #101317=VECTOR('',#372364,10.); #101318=VECTOR('',#372367,10.); #101319=VECTOR('',#372368,10.); #101320=VECTOR('',#372369,10.); #101321=VECTOR('',#372372,10.); #101322=VECTOR('',#372373,10.); #101323=VECTOR('',#372374,10.); #101324=VECTOR('',#372377,10.); #101325=VECTOR('',#372378,10.); #101326=VECTOR('',#372379,10.); #101327=VECTOR('',#372382,10.); #101328=VECTOR('',#372383,10.); #101329=VECTOR('',#372384,10.); #101330=VECTOR('',#372387,10.); #101331=VECTOR('',#372388,10.); #101332=VECTOR('',#372389,10.); #101333=VECTOR('',#372392,10.); #101334=VECTOR('',#372393,10.); #101335=VECTOR('',#372394,10.); #101336=VECTOR('',#372401,10.); #101337=VECTOR('',#372416,0.140000000000002); #101338=VECTOR('',#372421,10.); #101339=VECTOR('',#372422,10.); #101340=VECTOR('',#372423,10.); #101341=VECTOR('',#372424,10.); #101342=VECTOR('',#372431,10.); #101343=VECTOR('',#372438,10.); #101344=VECTOR('',#372441,10.); #101345=VECTOR('',#372442,10.); #101346=VECTOR('',#372443,10.); #101347=VECTOR('',#372446,10.); #101348=VECTOR('',#372447,10.); #101349=VECTOR('',#372448,10.); #101350=VECTOR('',#372451,10.); #101351=VECTOR('',#372452,10.); #101352=VECTOR('',#372453,10.); #101353=VECTOR('',#372456,10.); #101354=VECTOR('',#372457,10.); #101355=VECTOR('',#372458,10.); #101356=VECTOR('',#372461,10.); #101357=VECTOR('',#372462,10.); #101358=VECTOR('',#372463,10.); #101359=VECTOR('',#372466,10.); #101360=VECTOR('',#372467,10.); #101361=VECTOR('',#372468,10.); #101362=VECTOR('',#372471,10.); #101363=VECTOR('',#372472,10.); #101364=VECTOR('',#372473,10.); #101365=VECTOR('',#372488,0.139999999999998); #101366=VECTOR('',#372493,10.); #101367=VECTOR('',#372494,10.); #101368=VECTOR('',#372495,10.); #101369=VECTOR('',#372496,10.); #101370=VECTOR('',#372499,10.); #101371=VECTOR('',#372500,10.); #101372=VECTOR('',#372501,10.); #101373=VECTOR('',#372504,10.); #101374=VECTOR('',#372505,10.); #101375=VECTOR('',#372506,10.); #101376=VECTOR('',#372509,10.); #101377=VECTOR('',#372510,10.); #101378=VECTOR('',#372511,10.); #101379=VECTOR('',#372514,10.); #101380=VECTOR('',#372515,10.); #101381=VECTOR('',#372516,10.); #101382=VECTOR('',#372523,10.); #101383=VECTOR('',#372526,10.); #101384=VECTOR('',#372527,10.); #101385=VECTOR('',#372528,10.); #101386=VECTOR('',#372531,10.); #101387=VECTOR('',#372532,10.); #101388=VECTOR('',#372533,10.); #101389=VECTOR('',#372536,10.); #101390=VECTOR('',#372537,10.); #101391=VECTOR('',#372538,10.); #101392=VECTOR('',#372541,10.); #101393=VECTOR('',#372542,10.); #101394=VECTOR('',#372543,10.); #101395=VECTOR('',#372546,10.); #101396=VECTOR('',#372547,10.); #101397=VECTOR('',#372548,10.); #101398=VECTOR('',#372555,10.); #101399=VECTOR('',#372562,10.); #101400=VECTOR('',#372565,10.); #101401=VECTOR('',#372566,10.); #101402=VECTOR('',#372567,10.); #101403=VECTOR('',#372574,10.); #101404=VECTOR('',#372577,10.); #101405=VECTOR('',#372578,10.); #101406=VECTOR('',#372579,10.); #101407=VECTOR('',#372586,10.); #101408=VECTOR('',#372589,10.); #101409=VECTOR('',#372590,10.); #101410=VECTOR('',#372591,10.); #101411=VECTOR('',#372598,10.); #101412=VECTOR('',#372601,10.); #101413=VECTOR('',#372602,10.); #101414=VECTOR('',#372603,10.); #101415=VECTOR('',#372610,10.); #101416=VECTOR('',#372613,10.); #101417=VECTOR('',#372614,10.); #101418=VECTOR('',#372615,10.); #101419=VECTOR('',#372618,10.); #101420=VECTOR('',#372619,10.); #101421=VECTOR('',#372628,0.139999999999998); #101422=VECTOR('',#372633,10.); #101423=VECTOR('',#372634,10.); #101424=VECTOR('',#372635,10.); #101425=VECTOR('',#372636,10.); #101426=VECTOR('',#372639,10.); #101427=VECTOR('',#372640,10.); #101428=VECTOR('',#372641,10.); #101429=VECTOR('',#372644,10.); #101430=VECTOR('',#372645,10.); #101431=VECTOR('',#372646,10.); #101432=VECTOR('',#372649,10.); #101433=VECTOR('',#372650,10.); #101434=VECTOR('',#372651,10.); #101435=VECTOR('',#372654,10.); #101436=VECTOR('',#372655,10.); #101437=VECTOR('',#372656,10.); #101438=VECTOR('',#372659,10.); #101439=VECTOR('',#372660,10.); #101440=VECTOR('',#372661,10.); #101441=VECTOR('',#372664,10.); #101442=VECTOR('',#372665,10.); #101443=VECTOR('',#372666,10.); #101444=VECTOR('',#372669,10.); #101445=VECTOR('',#372670,10.); #101446=VECTOR('',#372671,10.); #101447=VECTOR('',#372678,10.); #101448=VECTOR('',#372685,10.); #101449=VECTOR('',#372688,10.); #101450=VECTOR('',#372689,10.); #101451=VECTOR('',#372690,10.); #101452=VECTOR('',#372697,10.); #101453=VECTOR('',#372700,10.); #101454=VECTOR('',#372701,10.); #101455=VECTOR('',#372702,10.); #101456=VECTOR('',#372709,10.); #101457=VECTOR('',#372712,10.); #101458=VECTOR('',#372713,10.); #101459=VECTOR('',#372714,10.); #101460=VECTOR('',#372721,10.); #101461=VECTOR('',#372724,10.); #101462=VECTOR('',#372725,10.); #101463=VECTOR('',#372734,0.140000000000002); #101464=VECTOR('',#372739,10.); #101465=VECTOR('',#372740,10.); #101466=VECTOR('',#372741,10.); #101467=VECTOR('',#372742,10.); #101468=VECTOR('',#372745,10.); #101469=VECTOR('',#372746,10.); #101470=VECTOR('',#372747,10.); #101471=VECTOR('',#372750,10.); #101472=VECTOR('',#372751,10.); #101473=VECTOR('',#372752,10.); #101474=VECTOR('',#372755,10.); #101475=VECTOR('',#372756,10.); #101476=VECTOR('',#372757,10.); #101477=VECTOR('',#372760,10.); #101478=VECTOR('',#372761,10.); #101479=VECTOR('',#372762,10.); #101480=VECTOR('',#372769,10.); #101481=VECTOR('',#372772,10.); #101482=VECTOR('',#372773,10.); #101483=VECTOR('',#372774,10.); #101484=VECTOR('',#372781,10.); #101485=VECTOR('',#372784,10.); #101486=VECTOR('',#372785,10.); #101487=VECTOR('',#372786,10.); #101488=VECTOR('',#372793,10.); #101489=VECTOR('',#372796,10.); #101490=VECTOR('',#372797,10.); #101491=VECTOR('',#372798,10.); #101492=VECTOR('',#372805,10.); #101493=VECTOR('',#372808,10.); #101494=VECTOR('',#372809,10.); #101495=VECTOR('',#372810,10.); #101496=VECTOR('',#372817,10.); #101497=VECTOR('',#372824,10.); #101498=VECTOR('',#372827,10.); #101499=VECTOR('',#372828,10.); #101500=VECTOR('',#372829,10.); #101501=VECTOR('',#372832,10.); #101502=VECTOR('',#372833,10.); #101503=VECTOR('',#372834,10.); #101504=VECTOR('',#372837,10.); #101505=VECTOR('',#372838,10.); #101506=VECTOR('',#372839,10.); #101507=VECTOR('',#372842,10.); #101508=VECTOR('',#372843,10.); #101509=VECTOR('',#372844,10.); #101510=VECTOR('',#372847,10.); #101511=VECTOR('',#372848,10.); #101512=VECTOR('',#372849,10.); #101513=VECTOR('',#372856,10.); #101514=VECTOR('',#372859,10.); #101515=VECTOR('',#372860,10.); #101516=VECTOR('',#372861,10.); #101517=VECTOR('',#372864,10.); #101518=VECTOR('',#372865,10.); #101519=VECTOR('',#372872,10.); #101520=VECTOR('',#372873,10.); #101521=VECTOR('',#372874,10.); #101522=VECTOR('',#372875,10.); #101523=VECTOR('',#372878,10.); #101524=VECTOR('',#372879,10.); #101525=VECTOR('',#372880,10.); #101526=VECTOR('',#372883,10.); #101527=VECTOR('',#372884,10.); #101528=VECTOR('',#372885,10.); #101529=VECTOR('',#372888,10.); #101530=VECTOR('',#372889,10.); #101531=VECTOR('',#372890,10.); #101532=VECTOR('',#372897,10.); #101533=VECTOR('',#372900,10.); #101534=VECTOR('',#372901,10.); #101535=VECTOR('',#372902,10.); #101536=VECTOR('',#372909,10.); #101537=VECTOR('',#372912,10.); #101538=VECTOR('',#372913,10.); #101539=VECTOR('',#372914,10.); #101540=VECTOR('',#372917,10.); #101541=VECTOR('',#372918,10.); #101542=VECTOR('',#372919,10.); #101543=VECTOR('',#372922,10.); #101544=VECTOR('',#372923,10.); #101545=VECTOR('',#372924,10.); #101546=VECTOR('',#372927,10.); #101547=VECTOR('',#372928,10.); #101548=VECTOR('',#372929,10.); #101549=VECTOR('',#372932,10.); #101550=VECTOR('',#372933,10.); #101551=VECTOR('',#372934,10.); #101552=VECTOR('',#372937,10.); #101553=VECTOR('',#372938,10.); #101554=VECTOR('',#372939,10.); #101555=VECTOR('',#372942,10.); #101556=VECTOR('',#372943,10.); #101557=VECTOR('',#372944,10.); #101558=VECTOR('',#372947,10.); #101559=VECTOR('',#372948,10.); #101560=VECTOR('',#372949,10.); #101561=VECTOR('',#372952,10.); #101562=VECTOR('',#372953,10.); #101563=VECTOR('',#372954,10.); #101564=VECTOR('',#372961,10.); #101565=VECTOR('',#372964,10.); #101566=VECTOR('',#372965,10.); #101567=VECTOR('',#372966,10.); #101568=VECTOR('',#372973,10.); #101569=VECTOR('',#372976,10.); #101570=VECTOR('',#372977,10.); #101571=VECTOR('',#372978,10.); #101572=VECTOR('',#372981,10.); #101573=VECTOR('',#372982,10.); #101574=VECTOR('',#372983,10.); #101575=VECTOR('',#372986,10.); #101576=VECTOR('',#372987,10.); #101577=VECTOR('',#372988,10.); #101578=VECTOR('',#372991,10.); #101579=VECTOR('',#372992,10.); #101580=VECTOR('',#372993,10.); #101581=VECTOR('',#372996,10.); #101582=VECTOR('',#372997,10.); #101583=VECTOR('',#373006,0.139999999999998); #101584=VECTOR('',#373013,0.139999999999998); #101585=VECTOR('',#373018,10.); #101586=VECTOR('',#373019,10.); #101587=VECTOR('',#373020,10.); #101588=VECTOR('',#373021,10.); #101589=VECTOR('',#373028,10.); #101590=VECTOR('',#373035,10.); #101591=VECTOR('',#373038,10.); #101592=VECTOR('',#373039,10.); #101593=VECTOR('',#373040,10.); #101594=VECTOR('',#373047,10.); #101595=VECTOR('',#373054,10.); #101596=VECTOR('',#373057,10.); #101597=VECTOR('',#373058,10.); #101598=VECTOR('',#373059,10.); #101599=VECTOR('',#373074,0.139999999999998); #101600=VECTOR('',#373079,10.); #101601=VECTOR('',#373080,10.); #101602=VECTOR('',#373081,10.); #101603=VECTOR('',#373082,10.); #101604=VECTOR('',#373085,10.); #101605=VECTOR('',#373086,10.); #101606=VECTOR('',#373087,10.); #101607=VECTOR('',#373090,10.); #101608=VECTOR('',#373091,10.); #101609=VECTOR('',#373092,10.); #101610=VECTOR('',#373095,10.); #101611=VECTOR('',#373096,10.); #101612=VECTOR('',#373105,0.139999999999998); #101613=VECTOR('',#373110,10.); #101614=VECTOR('',#373111,10.); #101615=VECTOR('',#373112,10.); #101616=VECTOR('',#373113,10.); #101617=VECTOR('',#373116,10.); #101618=VECTOR('',#373117,10.); #101619=VECTOR('',#373118,10.); #101620=VECTOR('',#373121,10.); #101621=VECTOR('',#373122,10.); #101622=VECTOR('',#373123,10.); #101623=VECTOR('',#373126,10.); #101624=VECTOR('',#373127,10.); #101625=VECTOR('',#373136,0.140000000000002); #101626=VECTOR('',#373141,10.); #101627=VECTOR('',#373142,10.); #101628=VECTOR('',#373143,10.); #101629=VECTOR('',#373144,10.); #101630=VECTOR('',#373147,10.); #101631=VECTOR('',#373148,10.); #101632=VECTOR('',#373149,10.); #101633=VECTOR('',#373152,10.); #101634=VECTOR('',#373153,10.); #101635=VECTOR('',#373154,10.); #101636=VECTOR('',#373157,10.); #101637=VECTOR('',#373158,10.); #101638=VECTOR('',#373159,10.); #101639=VECTOR('',#373162,10.); #101640=VECTOR('',#373163,10.); #101641=VECTOR('',#373164,10.); #101642=VECTOR('',#373167,10.); #101643=VECTOR('',#373168,10.); #101644=VECTOR('',#373169,10.); #101645=VECTOR('',#373172,10.); #101646=VECTOR('',#373173,10.); #101647=VECTOR('',#373174,10.); #101648=VECTOR('',#373177,10.); #101649=VECTOR('',#373178,10.); #101650=VECTOR('',#373179,10.); #101651=VECTOR('',#373182,10.); #101652=VECTOR('',#373183,10.); #101653=VECTOR('',#373184,10.); #101654=VECTOR('',#373187,10.); #101655=VECTOR('',#373188,10.); #101656=VECTOR('',#373189,10.); #101657=VECTOR('',#373196,10.); #101658=VECTOR('',#373199,10.); #101659=VECTOR('',#373200,10.); #101660=VECTOR('',#373201,10.); #101661=VECTOR('',#373208,10.); #101662=VECTOR('',#373211,10.); #101663=VECTOR('',#373212,10.); #101664=VECTOR('',#373213,10.); #101665=VECTOR('',#373216,10.); #101666=VECTOR('',#373217,10.); #101667=VECTOR('',#373218,10.); #101668=VECTOR('',#373221,10.); #101669=VECTOR('',#373222,10.); #101670=VECTOR('',#373223,10.); #101671=VECTOR('',#373230,10.); #101672=VECTOR('',#373233,10.); #101673=VECTOR('',#373234,10.); #101674=VECTOR('',#373235,10.); #101675=VECTOR('',#373242,10.); #101676=VECTOR('',#373245,10.); #101677=VECTOR('',#373246,10.); #101678=VECTOR('',#373247,10.); #101679=VECTOR('',#373250,10.); #101680=VECTOR('',#373251,10.); #101681=VECTOR('',#373252,10.); #101682=VECTOR('',#373255,10.); #101683=VECTOR('',#373256,10.); #101684=VECTOR('',#373257,10.); #101685=VECTOR('',#373264,10.); #101686=VECTOR('',#373271,10.); #101687=VECTOR('',#373274,10.); #101688=VECTOR('',#373275,10.); #101689=VECTOR('',#373276,10.); #101690=VECTOR('',#373283,10.); #101691=VECTOR('',#373286,10.); #101692=VECTOR('',#373287,10.); #101693=VECTOR('',#373288,10.); #101694=VECTOR('',#373295,10.); #101695=VECTOR('',#373298,10.); #101696=VECTOR('',#373299,10.); #101697=VECTOR('',#373300,10.); #101698=VECTOR('',#373303,10.); #101699=VECTOR('',#373304,10.); #101700=VECTOR('',#373305,10.); #101701=VECTOR('',#373308,10.); #101702=VECTOR('',#373309,10.); #101703=VECTOR('',#373310,10.); #101704=VECTOR('',#373317,10.); #101705=VECTOR('',#373320,10.); #101706=VECTOR('',#373321,10.); #101707=VECTOR('',#373322,10.); #101708=VECTOR('',#373329,10.); #101709=VECTOR('',#373332,10.); #101710=VECTOR('',#373333,10.); #101711=VECTOR('',#373334,10.); #101712=VECTOR('',#373337,10.); #101713=VECTOR('',#373338,10.); #101714=VECTOR('',#373339,10.); #101715=VECTOR('',#373342,10.); #101716=VECTOR('',#373343,10.); #101717=VECTOR('',#373344,10.); #101718=VECTOR('',#373347,10.); #101719=VECTOR('',#373348,10.); #101720=VECTOR('',#373349,10.); #101721=VECTOR('',#373352,10.); #101722=VECTOR('',#373353,10.); #101723=VECTOR('',#373354,10.); #101724=VECTOR('',#373357,10.); #101725=VECTOR('',#373358,10.); #101726=VECTOR('',#373359,10.); #101727=VECTOR('',#373362,10.); #101728=VECTOR('',#373363,10.); #101729=VECTOR('',#373364,10.); #101730=VECTOR('',#373367,10.); #101731=VECTOR('',#373368,10.); #101732=VECTOR('',#373369,10.); #101733=VECTOR('',#373372,10.); #101734=VECTOR('',#373373,10.); #101735=VECTOR('',#373382,0.140000000000002); #101736=VECTOR('',#373387,10.); #101737=VECTOR('',#373388,10.); #101738=VECTOR('',#373389,10.); #101739=VECTOR('',#373390,10.); #101740=VECTOR('',#373393,10.); #101741=VECTOR('',#373394,10.); #101742=VECTOR('',#373395,10.); #101743=VECTOR('',#373398,10.); #101744=VECTOR('',#373399,10.); #101745=VECTOR('',#373400,10.); #101746=VECTOR('',#373403,10.); #101747=VECTOR('',#373404,10.); #101748=VECTOR('',#373405,10.); #101749=VECTOR('',#373408,10.); #101750=VECTOR('',#373409,10.); #101751=VECTOR('',#373410,10.); #101752=VECTOR('',#373417,10.); #101753=VECTOR('',#373420,10.); #101754=VECTOR('',#373421,10.); #101755=VECTOR('',#373422,10.); #101756=VECTOR('',#373425,10.); #101757=VECTOR('',#373426,10.); #101758=VECTOR('',#373427,10.); #101759=VECTOR('',#373434,10.); #101760=VECTOR('',#373437,10.); #101761=VECTOR('',#373438,10.); #101762=VECTOR('',#373439,10.); #101763=VECTOR('',#373442,10.); #101764=VECTOR('',#373443,10.); #101765=VECTOR('',#373444,10.); #101766=VECTOR('',#373451,10.); #101767=VECTOR('',#373458,10.); #101768=VECTOR('',#373461,10.); #101769=VECTOR('',#373462,10.); #101770=VECTOR('',#373463,10.); #101771=VECTOR('',#373470,10.); #101772=VECTOR('',#373473,10.); #101773=VECTOR('',#373474,10.); #101774=VECTOR('',#373475,10.); #101775=VECTOR('',#373478,10.); #101776=VECTOR('',#373479,10.); #101777=VECTOR('',#373480,10.); #101778=VECTOR('',#373487,10.); #101779=VECTOR('',#373490,10.); #101780=VECTOR('',#373491,10.); #101781=VECTOR('',#373492,10.); #101782=VECTOR('',#373495,10.); #101783=VECTOR('',#373496,10.); #101784=VECTOR('',#373497,10.); #101785=VECTOR('',#373500,10.); #101786=VECTOR('',#373501,10.); #101787=VECTOR('',#373510,0.139999999999998); #101788=VECTOR('',#373515,10.); #101789=VECTOR('',#373516,10.); #101790=VECTOR('',#373517,10.); #101791=VECTOR('',#373518,10.); #101792=VECTOR('',#373521,10.); #101793=VECTOR('',#373522,10.); #101794=VECTOR('',#373523,10.); #101795=VECTOR('',#373526,10.); #101796=VECTOR('',#373527,10.); #101797=VECTOR('',#373528,10.); #101798=VECTOR('',#373531,10.); #101799=VECTOR('',#373532,10.); #101800=VECTOR('',#373541,0.139999999999998); #101801=VECTOR('',#373546,10.); #101802=VECTOR('',#373547,10.); #101803=VECTOR('',#373548,10.); #101804=VECTOR('',#373549,10.); #101805=VECTOR('',#373552,10.); #101806=VECTOR('',#373553,10.); #101807=VECTOR('',#373554,10.); #101808=VECTOR('',#373557,10.); #101809=VECTOR('',#373558,10.); #101810=VECTOR('',#373559,10.); #101811=VECTOR('',#373562,10.); #101812=VECTOR('',#373563,10.); #101813=VECTOR('',#373572,0.139999999999998); #101814=VECTOR('',#373577,10.); #101815=VECTOR('',#373578,10.); #101816=VECTOR('',#373579,10.); #101817=VECTOR('',#373580,10.); #101818=VECTOR('',#373587,10.); #101819=VECTOR('',#373590,10.); #101820=VECTOR('',#373591,10.); #101821=VECTOR('',#373592,10.); #101822=VECTOR('',#373599,10.); #101823=VECTOR('',#373602,10.); #101824=VECTOR('',#373603,10.); #101825=VECTOR('',#373604,10.); #101826=VECTOR('',#373607,10.); #101827=VECTOR('',#373608,10.); #101828=VECTOR('',#373609,10.); #101829=VECTOR('',#373612,10.); #101830=VECTOR('',#373613,10.); #101831=VECTOR('',#373614,10.); #101832=VECTOR('',#373617,10.); #101833=VECTOR('',#373618,10.); #101834=VECTOR('',#373619,10.); #101835=VECTOR('',#373622,10.); #101836=VECTOR('',#373623,10.); #101837=VECTOR('',#373624,10.); #101838=VECTOR('',#373627,10.); #101839=VECTOR('',#373628,10.); #101840=VECTOR('',#373629,10.); #101841=VECTOR('',#373632,10.); #101842=VECTOR('',#373633,10.); #101843=VECTOR('',#373634,10.); #101844=VECTOR('',#373637,10.); #101845=VECTOR('',#373638,10.); #101846=VECTOR('',#373639,10.); #101847=VECTOR('',#373642,10.); #101848=VECTOR('',#373643,10.); #101849=VECTOR('',#373644,10.); #101850=VECTOR('',#373659,0.465); #101851=VECTOR('',#373664,10.); #101852=VECTOR('',#373665,10.); #101853=VECTOR('',#373666,10.); #101854=VECTOR('',#373667,10.); #101855=VECTOR('',#373674,10.); #101856=VECTOR('',#373689,0.139999999999998); #101857=VECTOR('',#373696,0.465); #101858=VECTOR('',#373701,10.); #101859=VECTOR('',#373702,10.); #101860=VECTOR('',#373703,10.); #101861=VECTOR('',#373704,10.); #101862=VECTOR('',#373711,10.); #101863=VECTOR('',#373714,10.); #101864=VECTOR('',#373715,10.); #101865=VECTOR('',#373716,10.); #101866=VECTOR('',#373723,10.); #101867=VECTOR('',#373730,10.); #101868=VECTOR('',#373733,10.); #101869=VECTOR('',#373734,10.); #101870=VECTOR('',#373735,10.); #101871=VECTOR('',#373750,0.465); #101872=VECTOR('',#373755,10.); #101873=VECTOR('',#373756,10.); #101874=VECTOR('',#373757,10.); #101875=VECTOR('',#373758,10.); #101876=VECTOR('',#373765,10.); #101877=VECTOR('',#373780,0.564999999999998); #101878=VECTOR('',#373785,10.); #101879=VECTOR('',#373786,10.); #101880=VECTOR('',#373787,10.); #101881=VECTOR('',#373788,10.); #101882=VECTOR('',#373791,10.); #101883=VECTOR('',#373792,10.); #101884=VECTOR('',#373793,10.); #101885=VECTOR('',#373796,10.); #101886=VECTOR('',#373797,10.); #101887=VECTOR('',#373798,10.); #101888=VECTOR('',#373801,10.); #101889=VECTOR('',#373802,10.); #101890=VECTOR('',#373811,0.472999999999999); #101891=VECTOR('',#373816,10.); #101892=VECTOR('',#373817,10.); #101893=VECTOR('',#373818,10.); #101894=VECTOR('',#373819,10.); #101895=VECTOR('',#373822,10.); #101896=VECTOR('',#373823,10.); #101897=VECTOR('',#373824,10.); #101898=VECTOR('',#373827,10.); #101899=VECTOR('',#373828,10.); #101900=VECTOR('',#373829,10.); #101901=VECTOR('',#373832,10.); #101902=VECTOR('',#373833,10.); #101903=VECTOR('',#373834,10.); #101904=VECTOR('',#373837,10.); #101905=VECTOR('',#373838,10.); #101906=VECTOR('',#373839,10.); #101907=VECTOR('',#373842,10.); #101908=VECTOR('',#373843,10.); #101909=VECTOR('',#373844,10.); #101910=VECTOR('',#373847,10.); #101911=VECTOR('',#373848,10.); #101912=VECTOR('',#373849,10.); #101913=VECTOR('',#373852,10.); #101914=VECTOR('',#373853,10.); #101915=VECTOR('',#373862,0.465); #101916=VECTOR('',#373867,10.); #101917=VECTOR('',#373868,10.); #101918=VECTOR('',#373869,10.); #101919=VECTOR('',#373870,10.); #101920=VECTOR('',#373877,10.); #101921=VECTOR('',#373892,0.139999999999998); #101922=VECTOR('',#373897,10.); #101923=VECTOR('',#373898,10.); #101924=VECTOR('',#373899,10.); #101925=VECTOR('',#373900,10.); #101926=VECTOR('',#373903,10.); #101927=VECTOR('',#373904,10.); #101928=VECTOR('',#373905,10.); #101929=VECTOR('',#373908,10.); #101930=VECTOR('',#373909,10.); #101931=VECTOR('',#373910,10.); #101932=VECTOR('',#373913,10.); #101933=VECTOR('',#373914,10.); #101934=VECTOR('',#373915,10.); #101935=VECTOR('',#373918,10.); #101936=VECTOR('',#373919,10.); #101937=VECTOR('',#373920,10.); #101938=VECTOR('',#373923,10.); #101939=VECTOR('',#373924,10.); #101940=VECTOR('',#373925,10.); #101941=VECTOR('',#373928,10.); #101942=VECTOR('',#373929,10.); #101943=VECTOR('',#373930,10.); #101944=VECTOR('',#373933,10.); #101945=VECTOR('',#373934,10.); #101946=VECTOR('',#373935,10.); #101947=VECTOR('',#373938,10.); #101948=VECTOR('',#373939,10.); #101949=VECTOR('',#373940,10.); #101950=VECTOR('',#373943,10.); #101951=VECTOR('',#373944,10.); #101952=VECTOR('',#373945,10.); #101953=VECTOR('',#373948,10.); #101954=VECTOR('',#373949,10.); #101955=VECTOR('',#373950,10.); #101956=VECTOR('',#373953,10.); #101957=VECTOR('',#373954,10.); #101958=VECTOR('',#373955,10.); #101959=VECTOR('',#373962,10.); #101960=VECTOR('',#373965,10.); #101961=VECTOR('',#373966,10.); #101962=VECTOR('',#373967,10.); #101963=VECTOR('',#373974,10.); #101964=VECTOR('',#373977,10.); #101965=VECTOR('',#373978,10.); #101966=VECTOR('',#373979,10.); #101967=VECTOR('',#373982,10.); #101968=VECTOR('',#373983,10.); #101969=VECTOR('',#373984,10.); #101970=VECTOR('',#373987,10.); #101971=VECTOR('',#373988,10.); #101972=VECTOR('',#373989,10.); #101973=VECTOR('',#373996,10.); #101974=VECTOR('',#373999,10.); #101975=VECTOR('',#374000,10.); #101976=VECTOR('',#374001,10.); #101977=VECTOR('',#374008,10.); #101978=VECTOR('',#374011,10.); #101979=VECTOR('',#374012,10.); #101980=VECTOR('',#374013,10.); #101981=VECTOR('',#374020,10.); #101982=VECTOR('',#374027,10.); #101983=VECTOR('',#374030,10.); #101984=VECTOR('',#374031,10.); #101985=VECTOR('',#374032,10.); #101986=VECTOR('',#374035,10.); #101987=VECTOR('',#374036,10.); #101988=VECTOR('',#374037,10.); #101989=VECTOR('',#374040,10.); #101990=VECTOR('',#374041,10.); #101991=VECTOR('',#374042,10.); #101992=VECTOR('',#374049,10.); #101993=VECTOR('',#374052,10.); #101994=VECTOR('',#374053,10.); #101995=VECTOR('',#374054,10.); #101996=VECTOR('',#374061,10.); #101997=VECTOR('',#374064,10.); #101998=VECTOR('',#374065,10.); #101999=VECTOR('',#374066,10.); #102000=VECTOR('',#374069,10.); #102001=VECTOR('',#374070,10.); #102002=VECTOR('',#374071,10.); #102003=VECTOR('',#374074,10.); #102004=VECTOR('',#374075,10.); #102005=VECTOR('',#374076,10.); #102006=VECTOR('',#374083,10.); #102007=VECTOR('',#374086,10.); #102008=VECTOR('',#374087,10.); #102009=VECTOR('',#374088,10.); #102010=VECTOR('',#374095,10.); #102011=VECTOR('',#374098,10.); #102012=VECTOR('',#374099,10.); #102013=VECTOR('',#374100,10.); #102014=VECTOR('',#374103,10.); #102015=VECTOR('',#374104,10.); #102016=VECTOR('',#374105,10.); #102017=VECTOR('',#374108,10.); #102018=VECTOR('',#374109,10.); #102019=VECTOR('',#374110,10.); #102020=VECTOR('',#374113,10.); #102021=VECTOR('',#374114,10.); #102022=VECTOR('',#374115,10.); #102023=VECTOR('',#374118,10.); #102024=VECTOR('',#374119,10.); #102025=VECTOR('',#374120,10.); #102026=VECTOR('',#374123,10.); #102027=VECTOR('',#374124,10.); #102028=VECTOR('',#374125,10.); #102029=VECTOR('',#374128,10.); #102030=VECTOR('',#374129,10.); #102031=VECTOR('',#374138,0.139999999999998); #102032=VECTOR('',#374143,10.); #102033=VECTOR('',#374144,10.); #102034=VECTOR('',#374145,10.); #102035=VECTOR('',#374146,10.); #102036=VECTOR('',#374149,10.); #102037=VECTOR('',#374150,10.); #102038=VECTOR('',#374151,10.); #102039=VECTOR('',#374154,10.); #102040=VECTOR('',#374155,10.); #102041=VECTOR('',#374156,10.); #102042=VECTOR('',#374159,10.); #102043=VECTOR('',#374160,10.); #102044=VECTOR('',#374161,10.); #102045=VECTOR('',#374168,10.); #102046=VECTOR('',#374171,10.); #102047=VECTOR('',#374172,10.); #102048=VECTOR('',#374173,10.); #102049=VECTOR('',#374180,10.); #102050=VECTOR('',#374183,10.); #102051=VECTOR('',#374184,10.); #102052=VECTOR('',#374185,10.); #102053=VECTOR('',#374188,10.); #102054=VECTOR('',#374189,10.); #102055=VECTOR('',#374190,10.); #102056=VECTOR('',#374197,10.); #102057=VECTOR('',#374200,10.); #102058=VECTOR('',#374201,10.); #102059=VECTOR('',#374202,10.); #102060=VECTOR('',#374209,10.); #102061=VECTOR('',#374216,10.); #102062=VECTOR('',#374219,10.); #102063=VECTOR('',#374220,10.); #102064=VECTOR('',#374221,10.); #102065=VECTOR('',#374224,10.); #102066=VECTOR('',#374225,10.); #102067=VECTOR('',#374226,10.); #102068=VECTOR('',#374233,10.); #102069=VECTOR('',#374236,10.); #102070=VECTOR('',#374237,10.); #102071=VECTOR('',#374238,10.); #102072=VECTOR('',#374241,10.); #102073=VECTOR('',#374242,10.); #102074=VECTOR('',#374243,10.); #102075=VECTOR('',#374246,10.); #102076=VECTOR('',#374247,10.); #102077=VECTOR('',#374248,10.); #102078=VECTOR('',#374251,10.); #102079=VECTOR('',#374252,10.); #102080=VECTOR('',#374253,10.); #102081=VECTOR('',#374256,10.); #102082=VECTOR('',#374257,10.); #102083=VECTOR('',#374264,10.); #102084=VECTOR('',#374265,10.); #102085=VECTOR('',#374266,10.); #102086=VECTOR('',#374267,10.); #102087=VECTOR('',#374270,10.); #102088=VECTOR('',#374271,10.); #102089=VECTOR('',#374272,10.); #102090=VECTOR('',#374275,10.); #102091=VECTOR('',#374276,10.); #102092=VECTOR('',#374277,10.); #102093=VECTOR('',#374280,10.); #102094=VECTOR('',#374281,10.); #102095=VECTOR('',#374282,10.); #102096=VECTOR('',#374285,10.); #102097=VECTOR('',#374286,10.); #102098=VECTOR('',#374287,10.); #102099=VECTOR('',#374290,10.); #102100=VECTOR('',#374291,10.); #102101=VECTOR('',#374292,10.); #102102=VECTOR('',#374295,10.); #102103=VECTOR('',#374296,10.); #102104=VECTOR('',#374297,10.); #102105=VECTOR('',#374300,10.); #102106=VECTOR('',#374301,10.); #102107=VECTOR('',#374302,10.); #102108=VECTOR('',#374305,10.); #102109=VECTOR('',#374306,10.); #102110=VECTOR('',#374307,10.); #102111=VECTOR('',#374310,10.); #102112=VECTOR('',#374311,10.); #102113=VECTOR('',#374312,10.); #102114=VECTOR('',#374315,10.); #102115=VECTOR('',#374316,10.); #102116=VECTOR('',#374317,10.); #102117=VECTOR('',#374320,10.); #102118=VECTOR('',#374321,10.); #102119=VECTOR('',#374328,10.); #102120=VECTOR('',#374329,10.); #102121=VECTOR('',#374330,10.); #102122=VECTOR('',#374331,10.); #102123=VECTOR('',#374334,10.); #102124=VECTOR('',#374335,10.); #102125=VECTOR('',#374336,10.); #102126=VECTOR('',#374339,10.); #102127=VECTOR('',#374340,10.); #102128=VECTOR('',#374341,10.); #102129=VECTOR('',#374344,10.); #102130=VECTOR('',#374345,10.); #102131=VECTOR('',#374346,10.); #102132=VECTOR('',#374349,10.); #102133=VECTOR('',#374350,10.); #102134=VECTOR('',#374351,10.); #102135=VECTOR('',#374354,10.); #102136=VECTOR('',#374355,10.); #102137=VECTOR('',#374356,10.); #102138=VECTOR('',#374359,10.); #102139=VECTOR('',#374360,10.); #102140=VECTOR('',#374361,10.); #102141=VECTOR('',#374364,10.); #102142=VECTOR('',#374365,10.); #102143=VECTOR('',#374366,10.); #102144=VECTOR('',#374369,10.); #102145=VECTOR('',#374370,10.); #102146=VECTOR('',#374371,10.); #102147=VECTOR('',#374374,10.); #102148=VECTOR('',#374375,10.); #102149=VECTOR('',#374376,10.); #102150=VECTOR('',#374379,10.); #102151=VECTOR('',#374380,10.); #102152=VECTOR('',#374381,10.); #102153=VECTOR('',#374384,10.); #102154=VECTOR('',#374385,10.); #102155=VECTOR('',#374394,0.140000000000002); #102156=VECTOR('',#374399,10.); #102157=VECTOR('',#374400,10.); #102158=VECTOR('',#374401,10.); #102159=VECTOR('',#374402,10.); #102160=VECTOR('',#374405,10.); #102161=VECTOR('',#374406,10.); #102162=VECTOR('',#374407,10.); #102163=VECTOR('',#374410,10.); #102164=VECTOR('',#374411,10.); #102165=VECTOR('',#374412,10.); #102166=VECTOR('',#374415,10.); #102167=VECTOR('',#374416,10.); #102168=VECTOR('',#374417,10.); #102169=VECTOR('',#374424,10.); #102170=VECTOR('',#374427,10.); #102171=VECTOR('',#374428,10.); #102172=VECTOR('',#374429,10.); #102173=VECTOR('',#374436,10.); #102174=VECTOR('',#374439,10.); #102175=VECTOR('',#374440,10.); #102176=VECTOR('',#374441,10.); #102177=VECTOR('',#374448,10.); #102178=VECTOR('',#374451,10.); #102179=VECTOR('',#374452,10.); #102180=VECTOR('',#374453,10.); #102181=VECTOR('',#374460,10.); #102182=VECTOR('',#374467,10.); #102183=VECTOR('',#374470,10.); #102184=VECTOR('',#374471,10.); #102185=VECTOR('',#374472,10.); #102186=VECTOR('',#374475,10.); #102187=VECTOR('',#374476,10.); #102188=VECTOR('',#374477,10.); #102189=VECTOR('',#374480,10.); #102190=VECTOR('',#374481,10.); #102191=VECTOR('',#374482,10.); #102192=VECTOR('',#374485,10.); #102193=VECTOR('',#374486,10.); #102194=VECTOR('',#374487,10.); #102195=VECTOR('',#374490,10.); #102196=VECTOR('',#374491,10.); #102197=VECTOR('',#374500,0.139999999999998); #102198=VECTOR('',#374505,10.); #102199=VECTOR('',#374506,10.); #102200=VECTOR('',#374507,10.); #102201=VECTOR('',#374508,10.); #102202=VECTOR('',#374515,10.); #102203=VECTOR('',#374518,10.); #102204=VECTOR('',#374519,10.); #102205=VECTOR('',#374520,10.); #102206=VECTOR('',#374527,10.); #102207=VECTOR('',#374530,10.); #102208=VECTOR('',#374531,10.); #102209=VECTOR('',#374532,10.); #102210=VECTOR('',#374539,10.); #102211=VECTOR('',#374542,10.); #102212=VECTOR('',#374543,10.); #102213=VECTOR('',#374544,10.); #102214=VECTOR('',#374547,10.); #102215=VECTOR('',#374548,10.); #102216=VECTOR('',#374549,10.); #102217=VECTOR('',#374552,10.); #102218=VECTOR('',#374553,10.); #102219=VECTOR('',#374554,10.); #102220=VECTOR('',#374557,10.); #102221=VECTOR('',#374558,10.); #102222=VECTOR('',#374559,10.); #102223=VECTOR('',#374562,10.); #102224=VECTOR('',#374563,10.); #102225=VECTOR('',#374564,10.); #102226=VECTOR('',#374567,10.); #102227=VECTOR('',#374568,10.); #102228=VECTOR('',#374569,10.); #102229=VECTOR('',#374572,10.); #102230=VECTOR('',#374573,10.); #102231=VECTOR('',#374574,10.); #102232=VECTOR('',#374577,10.); #102233=VECTOR('',#374578,10.); #102234=VECTOR('',#374579,10.); #102235=VECTOR('',#374582,10.); #102236=VECTOR('',#374583,10.); #102237=VECTOR('',#374584,10.); #102238=VECTOR('',#374591,10.); #102239=VECTOR('',#374594,10.); #102240=VECTOR('',#374595,10.); #102241=VECTOR('',#374596,10.); #102242=VECTOR('',#374603,10.); #102243=VECTOR('',#374606,10.); #102244=VECTOR('',#374607,10.); #102245=VECTOR('',#374608,10.); #102246=VECTOR('',#374611,10.); #102247=VECTOR('',#374612,10.); #102248=VECTOR('',#374613,10.); #102249=VECTOR('',#374616,10.); #102250=VECTOR('',#374617,10.); #102251=VECTOR('',#374618,10.); #102252=VECTOR('',#374633,0.139999999999998); #102253=VECTOR('',#374638,10.); #102254=VECTOR('',#374639,10.); #102255=VECTOR('',#374640,10.); #102256=VECTOR('',#374641,10.); #102257=VECTOR('',#374648,10.); #102258=VECTOR('',#374655,10.); #102259=VECTOR('',#374658,10.); #102260=VECTOR('',#374659,10.); #102261=VECTOR('',#374660,10.); #102262=VECTOR('',#374663,10.); #102263=VECTOR('',#374664,10.); #102264=VECTOR('',#374665,10.); #102265=VECTOR('',#374668,10.); #102266=VECTOR('',#374669,10.); #102267=VECTOR('',#374670,10.); #102268=VECTOR('',#374673,10.); #102269=VECTOR('',#374674,10.); #102270=VECTOR('',#374675,10.); #102271=VECTOR('',#374678,10.); #102272=VECTOR('',#374679,10.); #102273=VECTOR('',#374680,10.); #102274=VECTOR('',#374683,10.); #102275=VECTOR('',#374684,10.); #102276=VECTOR('',#374685,10.); #102277=VECTOR('',#374692,10.); #102278=VECTOR('',#374695,10.); #102279=VECTOR('',#374696,10.); #102280=VECTOR('',#374697,10.); #102281=VECTOR('',#374710,10.); #102282=VECTOR('',#374711,10.); #102283=VECTOR('',#374712,10.); #102284=VECTOR('',#374713,10.); #102285=VECTOR('',#374716,10.); #102286=VECTOR('',#374717,10.); #102287=VECTOR('',#374718,10.); #102288=VECTOR('',#374721,10.); #102289=VECTOR('',#374722,10.); #102290=VECTOR('',#374723,10.); #102291=VECTOR('',#374726,10.); #102292=VECTOR('',#374727,10.); #102293=VECTOR('',#374728,10.); #102294=VECTOR('',#374735,10.); #102295=VECTOR('',#374738,10.); #102296=VECTOR('',#374739,10.); #102297=VECTOR('',#374740,10.); #102298=VECTOR('',#374747,10.); #102299=VECTOR('',#374750,10.); #102300=VECTOR('',#374751,10.); #102301=VECTOR('',#374752,10.); #102302=VECTOR('',#374755,10.); #102303=VECTOR('',#374756,10.); #102304=VECTOR('',#374757,10.); #102305=VECTOR('',#374760,10.); #102306=VECTOR('',#374761,10.); #102307=VECTOR('',#374762,10.); #102308=VECTOR('',#374769,10.); #102309=VECTOR('',#374772,10.); #102310=VECTOR('',#374773,10.); #102311=VECTOR('',#374774,10.); #102312=VECTOR('',#374781,10.); #102313=VECTOR('',#374784,10.); #102314=VECTOR('',#374785,10.); #102315=VECTOR('',#374786,10.); #102316=VECTOR('',#374789,10.); #102317=VECTOR('',#374790,10.); #102318=VECTOR('',#374791,10.); #102319=VECTOR('',#374794,10.); #102320=VECTOR('',#374795,10.); #102321=VECTOR('',#374796,10.); #102322=VECTOR('',#374799,10.); #102323=VECTOR('',#374800,10.); #102324=VECTOR('',#374801,10.); #102325=VECTOR('',#374804,10.); #102326=VECTOR('',#374805,10.); #102327=VECTOR('',#374806,10.); #102328=VECTOR('',#374809,10.); #102329=VECTOR('',#374810,10.); #102330=VECTOR('',#374811,10.); #102331=VECTOR('',#374814,10.); #102332=VECTOR('',#374815,10.); #102333=VECTOR('',#374816,10.); #102334=VECTOR('',#374819,10.); #102335=VECTOR('',#374820,10.); #102336=VECTOR('',#374821,10.); #102337=VECTOR('',#374824,10.); #102338=VECTOR('',#374825,10.); #102339=VECTOR('',#374826,10.); #102340=VECTOR('',#374833,10.); #102341=VECTOR('',#374836,10.); #102342=VECTOR('',#374837,10.); #102343=VECTOR('',#374838,10.); #102344=VECTOR('',#374845,10.); #102345=VECTOR('',#374848,10.); #102346=VECTOR('',#374849,10.); #102347=VECTOR('',#374850,10.); #102348=VECTOR('',#374853,10.); #102349=VECTOR('',#374854,10.); #102350=VECTOR('',#374855,10.); #102351=VECTOR('',#374858,10.); #102352=VECTOR('',#374859,10.); #102353=VECTOR('',#374860,10.); #102354=VECTOR('',#374867,10.); #102355=VECTOR('',#374870,10.); #102356=VECTOR('',#374871,10.); #102357=VECTOR('',#374872,10.); #102358=VECTOR('',#374879,10.); #102359=VECTOR('',#374882,10.); #102360=VECTOR('',#374883,10.); #102361=VECTOR('',#374884,10.); #102362=VECTOR('',#374887,10.); #102363=VECTOR('',#374888,10.); #102364=VECTOR('',#374889,10.); #102365=VECTOR('',#374892,10.); #102366=VECTOR('',#374893,10.); #102367=VECTOR('',#374894,10.); #102368=VECTOR('',#374897,10.); #102369=VECTOR('',#374898,10.); #102370=VECTOR('',#374899,10.); #102371=VECTOR('',#374902,10.); #102372=VECTOR('',#374903,10.); #102373=VECTOR('',#374912,0.139999999999998); #102374=VECTOR('',#374917,10.); #102375=VECTOR('',#374918,10.); #102376=VECTOR('',#374919,10.); #102377=VECTOR('',#374920,10.); #102378=VECTOR('',#374927,10.); #102379=VECTOR('',#374930,10.); #102380=VECTOR('',#374931,10.); #102381=VECTOR('',#374932,10.); #102382=VECTOR('',#374935,10.); #102383=VECTOR('',#374936,10.); #102384=VECTOR('',#374937,10.); #102385=VECTOR('',#374940,10.); #102386=VECTOR('',#374941,10.); #102387=VECTOR('',#374942,10.); #102388=VECTOR('',#374945,10.); #102389=VECTOR('',#374946,10.); #102390=VECTOR('',#374947,10.); #102391=VECTOR('',#374950,10.); #102392=VECTOR('',#374951,10.); #102393=VECTOR('',#374952,10.); #102394=VECTOR('',#374955,10.); #102395=VECTOR('',#374956,10.); #102396=VECTOR('',#374957,10.); #102397=VECTOR('',#374960,10.); #102398=VECTOR('',#374961,10.); #102399=VECTOR('',#374962,10.); #102400=VECTOR('',#374977,0.139999999999998); #102401=VECTOR('',#374984,0.139999999999998); #102402=VECTOR('',#374989,10.); #102403=VECTOR('',#374990,10.); #102404=VECTOR('',#374991,10.); #102405=VECTOR('',#374992,10.); #102406=VECTOR('',#374995,10.); #102407=VECTOR('',#374996,10.); #102408=VECTOR('',#374997,10.); #102409=VECTOR('',#375004,10.); #102410=VECTOR('',#375011,10.); #102411=VECTOR('',#375014,10.); #102412=VECTOR('',#375015,10.); #102413=VECTOR('',#375016,10.); #102414=VECTOR('',#375019,10.); #102415=VECTOR('',#375020,10.); #102416=VECTOR('',#375021,10.); #102417=VECTOR('',#375028,10.); #102418=VECTOR('',#375035,10.); #102419=VECTOR('',#375038,10.); #102420=VECTOR('',#375039,10.); #102421=VECTOR('',#375040,10.); #102422=VECTOR('',#375043,10.); #102423=VECTOR('',#375044,10.); #102424=VECTOR('',#375045,10.); #102425=VECTOR('',#375048,10.); #102426=VECTOR('',#375049,10.); #102427=VECTOR('',#375050,10.); #102428=VECTOR('',#375053,10.); #102429=VECTOR('',#375054,10.); #102430=VECTOR('',#375055,10.); #102431=VECTOR('',#375058,10.); #102432=VECTOR('',#375059,10.); #102433=VECTOR('',#375060,10.); #102434=VECTOR('',#375063,10.); #102435=VECTOR('',#375064,10.); #102436=VECTOR('',#375065,10.); #102437=VECTOR('',#375068,10.); #102438=VECTOR('',#375069,10.); #102439=VECTOR('',#375070,10.); #102440=VECTOR('',#375073,10.); #102441=VECTOR('',#375074,10.); #102442=VECTOR('',#375075,10.); #102443=VECTOR('',#375078,10.); #102444=VECTOR('',#375079,10.); #102445=VECTOR('',#375080,10.); #102446=VECTOR('',#375083,10.); #102447=VECTOR('',#375084,10.); #102448=VECTOR('',#375085,10.); #102449=VECTOR('',#375092,10.); #102450=VECTOR('',#375095,10.); #102451=VECTOR('',#375096,10.); #102452=VECTOR('',#375097,10.); #102453=VECTOR('',#375110,10.); #102454=VECTOR('',#375111,10.); #102455=VECTOR('',#375112,10.); #102456=VECTOR('',#375113,10.); #102457=VECTOR('',#375116,10.); #102458=VECTOR('',#375117,10.); #102459=VECTOR('',#375118,10.); #102460=VECTOR('',#375121,10.); #102461=VECTOR('',#375122,10.); #102462=VECTOR('',#375123,10.); #102463=VECTOR('',#375126,10.); #102464=VECTOR('',#375127,10.); #102465=VECTOR('',#375128,10.); #102466=VECTOR('',#375135,10.); #102467=VECTOR('',#375138,10.); #102468=VECTOR('',#375139,10.); #102469=VECTOR('',#375140,10.); #102470=VECTOR('',#375143,10.); #102471=VECTOR('',#375144,10.); #102472=VECTOR('',#375145,10.); #102473=VECTOR('',#375148,10.); #102474=VECTOR('',#375149,10.); #102475=VECTOR('',#375150,10.); #102476=VECTOR('',#375153,10.); #102477=VECTOR('',#375154,10.); #102478=VECTOR('',#375155,10.); #102479=VECTOR('',#375158,10.); #102480=VECTOR('',#375159,10.); #102481=VECTOR('',#375160,10.); #102482=VECTOR('',#375163,10.); #102483=VECTOR('',#375164,10.); #102484=VECTOR('',#375165,10.); #102485=VECTOR('',#375168,10.); #102486=VECTOR('',#375169,10.); #102487=VECTOR('',#375170,10.); #102488=VECTOR('',#375173,10.); #102489=VECTOR('',#375174,10.); #102490=VECTOR('',#375175,10.); #102491=VECTOR('',#375178,10.); #102492=VECTOR('',#375179,10.); #102493=VECTOR('',#375188,0.139999999999998); #102494=VECTOR('',#375195,0.139999999999998); #102495=VECTOR('',#375202,0.139999999999998); #102496=VECTOR('',#375209,0.139999999999998); #102497=VECTOR('',#375216,0.139999999999998); #102498=VECTOR('',#375223,0.139999999999998); #102499=VECTOR('',#375230,0.139999999999998); #102500=VECTOR('',#375237,0.139999999999998); #102501=VECTOR('',#375244,0.139999999999998); #102502=VECTOR('',#375251,0.139999999999998); #102503=VECTOR('',#375258,0.139999999999998); #102504=VECTOR('',#375265,0.565000000000002); #102505=VECTOR('',#375272,0.139999999999998); #102506=VECTOR('',#375277,10.); #102507=VECTOR('',#375278,10.); #102508=VECTOR('',#375279,10.); #102509=VECTOR('',#375280,10.); #102510=VECTOR('',#375283,10.); #102511=VECTOR('',#375284,10.); #102512=VECTOR('',#375285,10.); #102513=VECTOR('',#375288,10.); #102514=VECTOR('',#375289,10.); #102515=VECTOR('',#375290,10.); #102516=VECTOR('',#375293,10.); #102517=VECTOR('',#375294,10.); #102518=VECTOR('',#375295,10.); #102519=VECTOR('',#375298,10.); #102520=VECTOR('',#375299,10.); #102521=VECTOR('',#375300,10.); #102522=VECTOR('',#375303,10.); #102523=VECTOR('',#375304,10.); #102524=VECTOR('',#375305,10.); #102525=VECTOR('',#375308,10.); #102526=VECTOR('',#375309,10.); #102527=VECTOR('',#375310,10.); #102528=VECTOR('',#375313,10.); #102529=VECTOR('',#375314,10.); #102530=VECTOR('',#375315,10.); #102531=VECTOR('',#375318,10.); #102532=VECTOR('',#375319,10.); #102533=VECTOR('',#375320,10.); #102534=VECTOR('',#375323,10.); #102535=VECTOR('',#375324,10.); #102536=VECTOR('',#375325,10.); #102537=VECTOR('',#375328,10.); #102538=VECTOR('',#375329,10.); #102539=VECTOR('',#375330,10.); #102540=VECTOR('',#375333,10.); #102541=VECTOR('',#375334,10.); #102542=VECTOR('',#375335,10.); #102543=VECTOR('',#375338,10.); #102544=VECTOR('',#375339,10.); #102545=VECTOR('',#375340,10.); #102546=VECTOR('',#375343,10.); #102547=VECTOR('',#375344,10.); #102548=VECTOR('',#375345,10.); #102549=VECTOR('',#375348,10.); #102550=VECTOR('',#375349,10.); #102551=VECTOR('',#375350,10.); #102552=VECTOR('',#375353,10.); #102553=VECTOR('',#375354,10.); #102554=VECTOR('',#375357,10.); #102555=VECTOR('',#375358,10.); #102556=VECTOR('',#375359,10.); #102557=VECTOR('',#375360,10.); #102558=VECTOR('',#375363,10.); #102559=VECTOR('',#375364,10.); #102560=VECTOR('',#375365,10.); #102561=VECTOR('',#375368,10.); #102562=VECTOR('',#375369,10.); #102563=VECTOR('',#375370,10.); #102564=VECTOR('',#375373,10.); #102565=VECTOR('',#375374,10.); #102566=VECTOR('',#375375,10.); #102567=VECTOR('',#375378,10.); #102568=VECTOR('',#375379,10.); #102569=VECTOR('',#375380,10.); #102570=VECTOR('',#375383,10.); #102571=VECTOR('',#375384,10.); #102572=VECTOR('',#375385,10.); #102573=VECTOR('',#375388,10.); #102574=VECTOR('',#375389,10.); #102575=VECTOR('',#375390,10.); #102576=VECTOR('',#375393,10.); #102577=VECTOR('',#375394,10.); #102578=VECTOR('',#375395,10.); #102579=VECTOR('',#375398,10.); #102580=VECTOR('',#375399,10.); #102581=VECTOR('',#375400,10.); #102582=VECTOR('',#375403,10.); #102583=VECTOR('',#375404,10.); #102584=VECTOR('',#375405,10.); #102585=VECTOR('',#375408,10.); #102586=VECTOR('',#375409,10.); #102587=VECTOR('',#375410,10.); #102588=VECTOR('',#375413,10.); #102589=VECTOR('',#375414,10.); #102590=VECTOR('',#375415,10.); #102591=VECTOR('',#375418,10.); #102592=VECTOR('',#375419,10.); #102593=VECTOR('',#375420,10.); #102594=VECTOR('',#375423,10.); #102595=VECTOR('',#375424,10.); #102596=VECTOR('',#375425,10.); #102597=VECTOR('',#375428,10.); #102598=VECTOR('',#375429,10.); #102599=VECTOR('',#375430,10.); #102600=VECTOR('',#375433,10.); #102601=VECTOR('',#375434,10.); #102602=VECTOR('',#375435,10.); #102603=VECTOR('',#375438,10.); #102604=VECTOR('',#375439,10.); #102605=VECTOR('',#375440,10.); #102606=VECTOR('',#375443,10.); #102607=VECTOR('',#375444,10.); #102608=VECTOR('',#375445,10.); #102609=VECTOR('',#375448,10.); #102610=VECTOR('',#375449,10.); #102611=VECTOR('',#375450,10.); #102612=VECTOR('',#375453,10.); #102613=VECTOR('',#375454,10.); #102614=VECTOR('',#375455,10.); #102615=VECTOR('',#375458,10.); #102616=VECTOR('',#375459,10.); #102617=VECTOR('',#375460,10.); #102618=VECTOR('',#375463,10.); #102619=VECTOR('',#375464,10.); #102620=VECTOR('',#375465,10.); #102621=VECTOR('',#375468,10.); #102622=VECTOR('',#375469,10.); #102623=VECTOR('',#375470,10.); #102624=VECTOR('',#375473,10.); #102625=VECTOR('',#375474,10.); #102626=VECTOR('',#375475,10.); #102627=VECTOR('',#375478,10.); #102628=VECTOR('',#375479,10.); #102629=VECTOR('',#375480,10.); #102630=VECTOR('',#375483,10.); #102631=VECTOR('',#375484,10.); #102632=VECTOR('',#375485,10.); #102633=VECTOR('',#375488,10.); #102634=VECTOR('',#375489,10.); #102635=VECTOR('',#375490,10.); #102636=VECTOR('',#375493,10.); #102637=VECTOR('',#375494,10.); #102638=VECTOR('',#375495,10.); #102639=VECTOR('',#375498,10.); #102640=VECTOR('',#375499,10.); #102641=VECTOR('',#375500,10.); #102642=VECTOR('',#375503,10.); #102643=VECTOR('',#375504,10.); #102644=VECTOR('',#375505,10.); #102645=VECTOR('',#375508,10.); #102646=VECTOR('',#375509,10.); #102647=VECTOR('',#375510,10.); #102648=VECTOR('',#375513,10.); #102649=VECTOR('',#375514,10.); #102650=VECTOR('',#375515,10.); #102651=VECTOR('',#375518,10.); #102652=VECTOR('',#375519,10.); #102653=VECTOR('',#375520,10.); #102654=VECTOR('',#375523,10.); #102655=VECTOR('',#375524,10.); #102656=VECTOR('',#375525,10.); #102657=VECTOR('',#375528,10.); #102658=VECTOR('',#375529,10.); #102659=VECTOR('',#375530,10.); #102660=VECTOR('',#375533,10.); #102661=VECTOR('',#375534,10.); #102662=VECTOR('',#375535,10.); #102663=VECTOR('',#375538,10.); #102664=VECTOR('',#375539,10.); #102665=VECTOR('',#375540,10.); #102666=VECTOR('',#375543,10.); #102667=VECTOR('',#375544,10.); #102668=VECTOR('',#375545,10.); #102669=VECTOR('',#375548,10.); #102670=VECTOR('',#375549,10.); #102671=VECTOR('',#375550,10.); #102672=VECTOR('',#375553,10.); #102673=VECTOR('',#375554,10.); #102674=VECTOR('',#375555,10.); #102675=VECTOR('',#375558,10.); #102676=VECTOR('',#375559,10.); #102677=VECTOR('',#375560,10.); #102678=VECTOR('',#375563,10.); #102679=VECTOR('',#375564,10.); #102680=VECTOR('',#375565,10.); #102681=VECTOR('',#375568,10.); #102682=VECTOR('',#375569,10.); #102683=VECTOR('',#375570,10.); #102684=VECTOR('',#375573,10.); #102685=VECTOR('',#375574,10.); #102686=VECTOR('',#375575,10.); #102687=VECTOR('',#375578,10.); #102688=VECTOR('',#375579,10.); #102689=VECTOR('',#375580,10.); #102690=VECTOR('',#375583,10.); #102691=VECTOR('',#375584,10.); #102692=VECTOR('',#375585,10.); #102693=VECTOR('',#375588,10.); #102694=VECTOR('',#375589,10.); #102695=VECTOR('',#375590,10.); #102696=VECTOR('',#375593,10.); #102697=VECTOR('',#375594,10.); #102698=VECTOR('',#375595,10.); #102699=VECTOR('',#375598,10.); #102700=VECTOR('',#375599,10.); #102701=VECTOR('',#375600,10.); #102702=VECTOR('',#375603,10.); #102703=VECTOR('',#375604,10.); #102704=VECTOR('',#375605,10.); #102705=VECTOR('',#375608,10.); #102706=VECTOR('',#375609,10.); #102707=VECTOR('',#375610,10.); #102708=VECTOR('',#375613,10.); #102709=VECTOR('',#375614,10.); #102710=VECTOR('',#375615,10.); #102711=VECTOR('',#375618,10.); #102712=VECTOR('',#375619,10.); #102713=VECTOR('',#375620,10.); #102714=VECTOR('',#375623,10.); #102715=VECTOR('',#375624,10.); #102716=VECTOR('',#375625,10.); #102717=VECTOR('',#375628,10.); #102718=VECTOR('',#375629,10.); #102719=VECTOR('',#375630,10.); #102720=VECTOR('',#375633,10.); #102721=VECTOR('',#375634,10.); #102722=VECTOR('',#375635,10.); #102723=VECTOR('',#375638,10.); #102724=VECTOR('',#375639,10.); #102725=VECTOR('',#375640,10.); #102726=VECTOR('',#375643,10.); #102727=VECTOR('',#375644,10.); #102728=VECTOR('',#375645,10.); #102729=VECTOR('',#375648,10.); #102730=VECTOR('',#375649,10.); #102731=VECTOR('',#375650,10.); #102732=VECTOR('',#375653,10.); #102733=VECTOR('',#375654,10.); #102734=VECTOR('',#375655,10.); #102735=VECTOR('',#375658,10.); #102736=VECTOR('',#375659,10.); #102737=VECTOR('',#375660,10.); #102738=VECTOR('',#375663,10.); #102739=VECTOR('',#375664,10.); #102740=VECTOR('',#375665,10.); #102741=VECTOR('',#375668,10.); #102742=VECTOR('',#375669,10.); #102743=VECTOR('',#375670,10.); #102744=VECTOR('',#375673,10.); #102745=VECTOR('',#375674,10.); #102746=VECTOR('',#375675,10.); #102747=VECTOR('',#375678,10.); #102748=VECTOR('',#375679,10.); #102749=VECTOR('',#375680,10.); #102750=VECTOR('',#375683,10.); #102751=VECTOR('',#375684,10.); #102752=VECTOR('',#375685,10.); #102753=VECTOR('',#375688,10.); #102754=VECTOR('',#375689,10.); #102755=VECTOR('',#375690,10.); #102756=VECTOR('',#375693,10.); #102757=VECTOR('',#375694,10.); #102758=VECTOR('',#375695,10.); #102759=VECTOR('',#375698,10.); #102760=VECTOR('',#375699,10.); #102761=VECTOR('',#375700,10.); #102762=VECTOR('',#375703,10.); #102763=VECTOR('',#375704,10.); #102764=VECTOR('',#375705,10.); #102765=VECTOR('',#375708,10.); #102766=VECTOR('',#375709,10.); #102767=VECTOR('',#375710,10.); #102768=VECTOR('',#375713,10.); #102769=VECTOR('',#375714,10.); #102770=VECTOR('',#375715,10.); #102771=VECTOR('',#375718,10.); #102772=VECTOR('',#375719,10.); #102773=VECTOR('',#375720,10.); #102774=VECTOR('',#375723,10.); #102775=VECTOR('',#375724,10.); #102776=VECTOR('',#375725,10.); #102777=VECTOR('',#375728,10.); #102778=VECTOR('',#375729,10.); #102779=VECTOR('',#375730,10.); #102780=VECTOR('',#375733,10.); #102781=VECTOR('',#375734,10.); #102782=VECTOR('',#375735,10.); #102783=VECTOR('',#375738,10.); #102784=VECTOR('',#375739,10.); #102785=VECTOR('',#375740,10.); #102786=VECTOR('',#375743,10.); #102787=VECTOR('',#375744,10.); #102788=VECTOR('',#375745,10.); #102789=VECTOR('',#375748,10.); #102790=VECTOR('',#375749,10.); #102791=VECTOR('',#375750,10.); #102792=VECTOR('',#375753,10.); #102793=VECTOR('',#375754,10.); #102794=VECTOR('',#375755,10.); #102795=VECTOR('',#375758,10.); #102796=VECTOR('',#375759,10.); #102797=VECTOR('',#375760,10.); #102798=VECTOR('',#375763,10.); #102799=VECTOR('',#375764,10.); #102800=VECTOR('',#375773,0.465); #102801=VECTOR('',#375778,10.); #102802=VECTOR('',#375779,10.); #102803=VECTOR('',#375780,10.); #102804=VECTOR('',#375781,10.); #102805=VECTOR('',#375788,10.); #102806=VECTOR('',#375803,0.14); #102807=VECTOR('',#375810,0.14); #102808=VECTOR('',#375817,0.14); #102809=VECTOR('',#375824,0.14); #102810=VECTOR('',#375831,0.14); #102811=VECTOR('',#375838,0.14); #102812=VECTOR('',#375845,0.14); #102813=VECTOR('',#375852,0.14); #102814=VECTOR('',#375859,0.14); #102815=VECTOR('',#375866,0.514999999999999); #102816=VECTOR('',#375873,0.465); #102817=VECTOR('',#375878,10.); #102818=VECTOR('',#375879,10.); #102819=VECTOR('',#375880,10.); #102820=VECTOR('',#375881,10.); #102821=VECTOR('',#375884,10.); #102822=VECTOR('',#375885,10.); #102823=VECTOR('',#375886,10.); #102824=VECTOR('',#375889,10.); #102825=VECTOR('',#375890,10.); #102826=VECTOR('',#375891,10.); #102827=VECTOR('',#375894,10.); #102828=VECTOR('',#375895,10.); #102829=VECTOR('',#375896,10.); #102830=VECTOR('',#375903,10.); #102831=VECTOR('',#375906,10.); #102832=VECTOR('',#375907,10.); #102833=VECTOR('',#375908,10.); #102834=VECTOR('',#375915,10.); #102835=VECTOR('',#375918,10.); #102836=VECTOR('',#375919,10.); #102837=VECTOR('',#375920,10.); #102838=VECTOR('',#375923,10.); #102839=VECTOR('',#375924,10.); #102840=VECTOR('',#375925,10.); #102841=VECTOR('',#375928,10.); #102842=VECTOR('',#375929,10.); #102843=VECTOR('',#375930,10.); #102844=VECTOR('',#375933,10.); #102845=VECTOR('',#375934,10.); #102846=VECTOR('',#375935,10.); #102847=VECTOR('',#375938,10.); #102848=VECTOR('',#375939,10.); #102849=VECTOR('',#375940,10.); #102850=VECTOR('',#375943,10.); #102851=VECTOR('',#375944,10.); #102852=VECTOR('',#375945,10.); #102853=VECTOR('',#375948,10.); #102854=VECTOR('',#375949,10.); #102855=VECTOR('',#375950,10.); #102856=VECTOR('',#375953,10.); #102857=VECTOR('',#375954,10.); #102858=VECTOR('',#375955,10.); #102859=VECTOR('',#375958,10.); #102860=VECTOR('',#375959,10.); #102861=VECTOR('',#375960,10.); #102862=VECTOR('',#375963,10.); #102863=VECTOR('',#375964,10.); #102864=VECTOR('',#375965,10.); #102865=VECTOR('',#375968,10.); #102866=VECTOR('',#375969,10.); #102867=VECTOR('',#375970,10.); #102868=VECTOR('',#375973,10.); #102869=VECTOR('',#375974,10.); #102870=VECTOR('',#375975,10.); #102871=VECTOR('',#375978,10.); #102872=VECTOR('',#375979,10.); #102873=VECTOR('',#375980,10.); #102874=VECTOR('',#375983,10.); #102875=VECTOR('',#375984,10.); #102876=VECTOR('',#375985,10.); #102877=VECTOR('',#375988,10.); #102878=VECTOR('',#375989,10.); #102879=VECTOR('',#375990,10.); #102880=VECTOR('',#375993,10.); #102881=VECTOR('',#375994,10.); #102882=VECTOR('',#375995,10.); #102883=VECTOR('',#375998,10.); #102884=VECTOR('',#375999,10.); #102885=VECTOR('',#376000,10.); #102886=VECTOR('',#376003,10.); #102887=VECTOR('',#376004,10.); #102888=VECTOR('',#376005,10.); #102889=VECTOR('',#376008,10.); #102890=VECTOR('',#376009,10.); #102891=VECTOR('',#376010,10.); #102892=VECTOR('',#376013,10.); #102893=VECTOR('',#376014,10.); #102894=VECTOR('',#376015,10.); #102895=VECTOR('',#376018,10.); #102896=VECTOR('',#376019,10.); #102897=VECTOR('',#376020,10.); #102898=VECTOR('',#376023,10.); #102899=VECTOR('',#376024,10.); #102900=VECTOR('',#376025,10.); #102901=VECTOR('',#376028,10.); #102902=VECTOR('',#376029,10.); #102903=VECTOR('',#376030,10.); #102904=VECTOR('',#376033,10.); #102905=VECTOR('',#376034,10.); #102906=VECTOR('',#376035,10.); #102907=VECTOR('',#376038,10.); #102908=VECTOR('',#376039,10.); #102909=VECTOR('',#376040,10.); #102910=VECTOR('',#376043,10.); #102911=VECTOR('',#376044,10.); #102912=VECTOR('',#376045,10.); #102913=VECTOR('',#376048,10.); #102914=VECTOR('',#376049,10.); #102915=VECTOR('',#376050,10.); #102916=VECTOR('',#376053,10.); #102917=VECTOR('',#376054,10.); #102918=VECTOR('',#376055,10.); #102919=VECTOR('',#376058,10.); #102920=VECTOR('',#376059,10.); #102921=VECTOR('',#376060,10.); #102922=VECTOR('',#376063,10.); #102923=VECTOR('',#376064,10.); #102924=VECTOR('',#376065,10.); #102925=VECTOR('',#376068,10.); #102926=VECTOR('',#376069,10.); #102927=VECTOR('',#376070,10.); #102928=VECTOR('',#376073,10.); #102929=VECTOR('',#376074,10.); #102930=VECTOR('',#376075,10.); #102931=VECTOR('',#376078,10.); #102932=VECTOR('',#376079,10.); #102933=VECTOR('',#376080,10.); #102934=VECTOR('',#376083,10.); #102935=VECTOR('',#376084,10.); #102936=VECTOR('',#376085,10.); #102937=VECTOR('',#376088,10.); #102938=VECTOR('',#376089,10.); #102939=VECTOR('',#376090,10.); #102940=VECTOR('',#376093,10.); #102941=VECTOR('',#376094,10.); #102942=VECTOR('',#376095,10.); #102943=VECTOR('',#376098,10.); #102944=VECTOR('',#376099,10.); #102945=VECTOR('',#376100,10.); #102946=VECTOR('',#376103,10.); #102947=VECTOR('',#376104,10.); #102948=VECTOR('',#376105,10.); #102949=VECTOR('',#376108,10.); #102950=VECTOR('',#376109,10.); #102951=VECTOR('',#376110,10.); #102952=VECTOR('',#376113,10.); #102953=VECTOR('',#376114,10.); #102954=VECTOR('',#376115,10.); #102955=VECTOR('',#376118,10.); #102956=VECTOR('',#376119,10.); #102957=VECTOR('',#376120,10.); #102958=VECTOR('',#376123,10.); #102959=VECTOR('',#376124,10.); #102960=VECTOR('',#376125,10.); #102961=VECTOR('',#376128,10.); #102962=VECTOR('',#376129,10.); #102963=VECTOR('',#376130,10.); #102964=VECTOR('',#376133,10.); #102965=VECTOR('',#376134,10.); #102966=VECTOR('',#376135,10.); #102967=VECTOR('',#376138,10.); #102968=VECTOR('',#376139,10.); #102969=VECTOR('',#376140,10.); #102970=VECTOR('',#376143,10.); #102971=VECTOR('',#376144,10.); #102972=VECTOR('',#376145,10.); #102973=VECTOR('',#376148,10.); #102974=VECTOR('',#376149,10.); #102975=VECTOR('',#376150,10.); #102976=VECTOR('',#376153,10.); #102977=VECTOR('',#376154,10.); #102978=VECTOR('',#376155,10.); #102979=VECTOR('',#376158,10.); #102980=VECTOR('',#376159,10.); #102981=VECTOR('',#376160,10.); #102982=VECTOR('',#376163,10.); #102983=VECTOR('',#376164,10.); #102984=VECTOR('',#376165,10.); #102985=VECTOR('',#376168,10.); #102986=VECTOR('',#376169,10.); #102987=VECTOR('',#376170,10.); #102988=VECTOR('',#376173,10.); #102989=VECTOR('',#376174,10.); #102990=VECTOR('',#376175,10.); #102991=VECTOR('',#376178,10.); #102992=VECTOR('',#376179,10.); #102993=VECTOR('',#376180,10.); #102994=VECTOR('',#376183,10.); #102995=VECTOR('',#376184,10.); #102996=VECTOR('',#376185,10.); #102997=VECTOR('',#376188,10.); #102998=VECTOR('',#376189,10.); #102999=VECTOR('',#376190,10.); #103000=VECTOR('',#376193,10.); #103001=VECTOR('',#376194,10.); #103002=VECTOR('',#376195,10.); #103003=VECTOR('',#376198,10.); #103004=VECTOR('',#376199,10.); #103005=VECTOR('',#376200,10.); #103006=VECTOR('',#376203,10.); #103007=VECTOR('',#376204,10.); #103008=VECTOR('',#376205,10.); #103009=VECTOR('',#376208,10.); #103010=VECTOR('',#376209,10.); #103011=VECTOR('',#376210,10.); #103012=VECTOR('',#376213,10.); #103013=VECTOR('',#376214,10.); #103014=VECTOR('',#376215,10.); #103015=VECTOR('',#376218,10.); #103016=VECTOR('',#376219,10.); #103017=VECTOR('',#376220,10.); #103018=VECTOR('',#376223,10.); #103019=VECTOR('',#376224,10.); #103020=VECTOR('',#376225,10.); #103021=VECTOR('',#376228,10.); #103022=VECTOR('',#376229,10.); #103023=VECTOR('',#376230,10.); #103024=VECTOR('',#376233,10.); #103025=VECTOR('',#376234,10.); #103026=VECTOR('',#376235,10.); #103027=VECTOR('',#376238,10.); #103028=VECTOR('',#376239,10.); #103029=VECTOR('',#376240,10.); #103030=VECTOR('',#376243,10.); #103031=VECTOR('',#376244,10.); #103032=VECTOR('',#376245,10.); #103033=VECTOR('',#376248,10.); #103034=VECTOR('',#376249,10.); #103035=VECTOR('',#376250,10.); #103036=VECTOR('',#376253,10.); #103037=VECTOR('',#376254,10.); #103038=VECTOR('',#376255,10.); #103039=VECTOR('',#376258,10.); #103040=VECTOR('',#376259,10.); #103041=VECTOR('',#376260,10.); #103042=VECTOR('',#376263,10.); #103043=VECTOR('',#376264,10.); #103044=VECTOR('',#376265,10.); #103045=VECTOR('',#376268,10.); #103046=VECTOR('',#376269,10.); #103047=VECTOR('',#376270,10.); #103048=VECTOR('',#376273,10.); #103049=VECTOR('',#376274,10.); #103050=VECTOR('',#376275,10.); #103051=VECTOR('',#376278,10.); #103052=VECTOR('',#376279,10.); #103053=VECTOR('',#376280,10.); #103054=VECTOR('',#376283,10.); #103055=VECTOR('',#376284,10.); #103056=VECTOR('',#376285,10.); #103057=VECTOR('',#376288,10.); #103058=VECTOR('',#376289,10.); #103059=VECTOR('',#376290,10.); #103060=VECTOR('',#376293,10.); #103061=VECTOR('',#376294,10.); #103062=VECTOR('',#376295,10.); #103063=VECTOR('',#376298,10.); #103064=VECTOR('',#376299,10.); #103065=VECTOR('',#376300,10.); #103066=VECTOR('',#376303,10.); #103067=VECTOR('',#376304,10.); #103068=VECTOR('',#376305,10.); #103069=VECTOR('',#376308,10.); #103070=VECTOR('',#376309,10.); #103071=VECTOR('',#376310,10.); #103072=VECTOR('',#376313,10.); #103073=VECTOR('',#376314,10.); #103074=VECTOR('',#376315,10.); #103075=VECTOR('',#376318,10.); #103076=VECTOR('',#376319,10.); #103077=VECTOR('',#376320,10.); #103078=VECTOR('',#376323,10.); #103079=VECTOR('',#376324,10.); #103080=VECTOR('',#376325,10.); #103081=VECTOR('',#376328,10.); #103082=VECTOR('',#376329,10.); #103083=VECTOR('',#376330,10.); #103084=VECTOR('',#376333,10.); #103085=VECTOR('',#376334,10.); #103086=VECTOR('',#376335,10.); #103087=VECTOR('',#376338,10.); #103088=VECTOR('',#376339,10.); #103089=VECTOR('',#376340,10.); #103090=VECTOR('',#376343,10.); #103091=VECTOR('',#376344,10.); #103092=VECTOR('',#376345,10.); #103093=VECTOR('',#376348,10.); #103094=VECTOR('',#376349,10.); #103095=VECTOR('',#376350,10.); #103096=VECTOR('',#376353,10.); #103097=VECTOR('',#376354,10.); #103098=VECTOR('',#376355,10.); #103099=VECTOR('',#376358,10.); #103100=VECTOR('',#376359,10.); #103101=VECTOR('',#376360,10.); #103102=VECTOR('',#376363,10.); #103103=VECTOR('',#376364,10.); #103104=VECTOR('',#376365,10.); #103105=VECTOR('',#376368,10.); #103106=VECTOR('',#376369,10.); #103107=VECTOR('',#376370,10.); #103108=VECTOR('',#376373,10.); #103109=VECTOR('',#376374,10.); #103110=VECTOR('',#376375,10.); #103111=VECTOR('',#376378,10.); #103112=VECTOR('',#376379,10.); #103113=VECTOR('',#376380,10.); #103114=VECTOR('',#376383,10.); #103115=VECTOR('',#376384,10.); #103116=VECTOR('',#376385,10.); #103117=VECTOR('',#376388,10.); #103118=VECTOR('',#376389,10.); #103119=VECTOR('',#376390,10.); #103120=VECTOR('',#376393,10.); #103121=VECTOR('',#376394,10.); #103122=VECTOR('',#376395,10.); #103123=VECTOR('',#376398,10.); #103124=VECTOR('',#376399,10.); #103125=VECTOR('',#376400,10.); #103126=VECTOR('',#376403,10.); #103127=VECTOR('',#376404,10.); #103128=VECTOR('',#376405,10.); #103129=VECTOR('',#376408,10.); #103130=VECTOR('',#376409,10.); #103131=VECTOR('',#376410,10.); #103132=VECTOR('',#376413,10.); #103133=VECTOR('',#376414,10.); #103134=VECTOR('',#376415,10.); #103135=VECTOR('',#376418,10.); #103136=VECTOR('',#376419,10.); #103137=VECTOR('',#376420,10.); #103138=VECTOR('',#376423,10.); #103139=VECTOR('',#376424,10.); #103140=VECTOR('',#376425,10.); #103141=VECTOR('',#376428,10.); #103142=VECTOR('',#376429,10.); #103143=VECTOR('',#376430,10.); #103144=VECTOR('',#376433,10.); #103145=VECTOR('',#376434,10.); #103146=VECTOR('',#376435,10.); #103147=VECTOR('',#376438,10.); #103148=VECTOR('',#376439,10.); #103149=VECTOR('',#376440,10.); #103150=VECTOR('',#376443,10.); #103151=VECTOR('',#376444,10.); #103152=VECTOR('',#376445,10.); #103153=VECTOR('',#376448,10.); #103154=VECTOR('',#376449,10.); #103155=VECTOR('',#376450,10.); #103156=VECTOR('',#376453,10.); #103157=VECTOR('',#376454,10.); #103158=VECTOR('',#376455,10.); #103159=VECTOR('',#376458,10.); #103160=VECTOR('',#376459,10.); #103161=VECTOR('',#376460,10.); #103162=VECTOR('',#376463,10.); #103163=VECTOR('',#376464,10.); #103164=VECTOR('',#376465,10.); #103165=VECTOR('',#376468,10.); #103166=VECTOR('',#376469,10.); #103167=VECTOR('',#376470,10.); #103168=VECTOR('',#376473,10.); #103169=VECTOR('',#376474,10.); #103170=VECTOR('',#376475,10.); #103171=VECTOR('',#376478,10.); #103172=VECTOR('',#376479,10.); #103173=VECTOR('',#376480,10.); #103174=VECTOR('',#376483,10.); #103175=VECTOR('',#376484,10.); #103176=VECTOR('',#376485,10.); #103177=VECTOR('',#376488,10.); #103178=VECTOR('',#376489,10.); #103179=VECTOR('',#376490,10.); #103180=VECTOR('',#376493,10.); #103181=VECTOR('',#376494,10.); #103182=VECTOR('',#376495,10.); #103183=VECTOR('',#376498,10.); #103184=VECTOR('',#376499,10.); #103185=VECTOR('',#376500,10.); #103186=VECTOR('',#376503,10.); #103187=VECTOR('',#376504,10.); #103188=VECTOR('',#376505,10.); #103189=VECTOR('',#376512,10.); #103190=VECTOR('',#376515,10.); #103191=VECTOR('',#376516,10.); #103192=VECTOR('',#376517,10.); #103193=VECTOR('',#376524,10.); #103194=VECTOR('',#376527,10.); #103195=VECTOR('',#376528,10.); #103196=VECTOR('',#376529,10.); #103197=VECTOR('',#376532,10.); #103198=VECTOR('',#376533,10.); #103199=VECTOR('',#376534,10.); #103200=VECTOR('',#376537,10.); #103201=VECTOR('',#376538,10.); #103202=VECTOR('',#376539,10.); #103203=VECTOR('',#376542,10.); #103204=VECTOR('',#376543,10.); #103205=VECTOR('',#376544,10.); #103206=VECTOR('',#376547,10.); #103207=VECTOR('',#376548,10.); #103208=VECTOR('',#376557,0.472999999999999); #103209=VECTOR('',#376562,10.); #103210=VECTOR('',#376563,10.); #103211=VECTOR('',#376564,10.); #103212=VECTOR('',#376565,10.); #103213=VECTOR('',#376568,10.); #103214=VECTOR('',#376569,10.); #103215=VECTOR('',#376570,10.); #103216=VECTOR('',#376573,10.); #103217=VECTOR('',#376574,10.); #103218=VECTOR('',#376575,10.); #103219=VECTOR('',#376578,10.); #103220=VECTOR('',#376579,10.); #103221=VECTOR('',#376580,10.); #103222=VECTOR('',#376583,10.); #103223=VECTOR('',#376584,10.); #103224=VECTOR('',#376585,10.); #103225=VECTOR('',#376588,10.); #103226=VECTOR('',#376589,10.); #103227=VECTOR('',#376590,10.); #103228=VECTOR('',#376593,10.); #103229=VECTOR('',#376594,10.); #103230=VECTOR('',#376595,10.); #103231=VECTOR('',#376598,10.); #103232=VECTOR('',#376599,10.); #103233=VECTOR('',#376606,10.); #103234=VECTOR('',#376607,10.); #103235=VECTOR('',#376608,10.); #103236=VECTOR('',#376609,10.); #103237=VECTOR('',#376612,10.); #103238=VECTOR('',#376613,10.); #103239=VECTOR('',#376614,10.); #103240=VECTOR('',#376617,10.); #103241=VECTOR('',#376618,10.); #103242=VECTOR('',#376619,10.); #103243=VECTOR('',#376622,10.); #103244=VECTOR('',#376623,10.); #103245=VECTOR('',#376624,10.); #103246=VECTOR('',#376627,10.); #103247=VECTOR('',#376628,10.); #103248=VECTOR('',#376629,10.); #103249=VECTOR('',#376632,10.); #103250=VECTOR('',#376633,10.); #103251=VECTOR('',#376634,10.); #103252=VECTOR('',#376637,10.); #103253=VECTOR('',#376638,10.); #103254=VECTOR('',#376639,10.); #103255=VECTOR('',#376642,10.); #103256=VECTOR('',#376643,10.); #103257=VECTOR('',#376644,10.); #103258=VECTOR('',#376647,10.); #103259=VECTOR('',#376648,10.); #103260=VECTOR('',#376649,10.); #103261=VECTOR('',#376652,10.); #103262=VECTOR('',#376653,10.); #103263=VECTOR('',#376654,10.); #103264=VECTOR('',#376657,10.); #103265=VECTOR('',#376658,10.); #103266=VECTOR('',#376659,10.); #103267=VECTOR('',#376662,10.); #103268=VECTOR('',#376663,10.); #103269=VECTOR('',#376664,10.); #103270=VECTOR('',#376667,10.); #103271=VECTOR('',#376668,10.); #103272=VECTOR('',#376669,10.); #103273=VECTOR('',#376672,10.); #103274=VECTOR('',#376673,10.); #103275=VECTOR('',#376674,10.); #103276=VECTOR('',#376677,10.); #103277=VECTOR('',#376678,10.); #103278=VECTOR('',#376679,10.); #103279=VECTOR('',#376682,10.); #103280=VECTOR('',#376683,10.); #103281=VECTOR('',#376684,10.); #103282=VECTOR('',#376687,10.); #103283=VECTOR('',#376688,10.); #103284=VECTOR('',#376689,10.); #103285=VECTOR('',#376692,10.); #103286=VECTOR('',#376693,10.); #103287=VECTOR('',#376694,10.); #103288=VECTOR('',#376697,10.); #103289=VECTOR('',#376698,10.); #103290=VECTOR('',#376705,10.); #103291=VECTOR('',#376706,10.); #103292=VECTOR('',#376707,10.); #103293=VECTOR('',#376708,10.); #103294=VECTOR('',#376711,10.); #103295=VECTOR('',#376712,10.); #103296=VECTOR('',#376713,10.); #103297=VECTOR('',#376716,10.); #103298=VECTOR('',#376717,10.); #103299=VECTOR('',#376718,10.); #103300=VECTOR('',#376721,10.); #103301=VECTOR('',#376722,10.); #103302=VECTOR('',#376723,10.); #103303=VECTOR('',#376726,10.); #103304=VECTOR('',#376727,10.); #103305=VECTOR('',#376728,10.); #103306=VECTOR('',#376731,10.); #103307=VECTOR('',#376732,10.); #103308=VECTOR('',#376733,10.); #103309=VECTOR('',#376736,10.); #103310=VECTOR('',#376737,10.); #103311=VECTOR('',#376738,10.); #103312=VECTOR('',#376741,10.); #103313=VECTOR('',#376742,10.); #103314=VECTOR('',#376743,10.); #103315=VECTOR('',#376746,10.); #103316=VECTOR('',#376747,10.); #103317=VECTOR('',#376748,10.); #103318=VECTOR('',#376751,10.); #103319=VECTOR('',#376752,10.); #103320=VECTOR('',#376753,10.); #103321=VECTOR('',#376756,10.); #103322=VECTOR('',#376757,10.); #103323=VECTOR('',#376758,10.); #103324=VECTOR('',#376761,10.); #103325=VECTOR('',#376762,10.); #103326=VECTOR('',#376763,10.); #103327=VECTOR('',#376766,10.); #103328=VECTOR('',#376767,10.); #103329=VECTOR('',#376768,10.); #103330=VECTOR('',#376771,10.); #103331=VECTOR('',#376772,10.); #103332=VECTOR('',#376773,10.); #103333=VECTOR('',#376776,10.); #103334=VECTOR('',#376777,10.); #103335=VECTOR('',#376778,10.); #103336=VECTOR('',#376781,10.); #103337=VECTOR('',#376782,10.); #103338=VECTOR('',#376783,10.); #103339=VECTOR('',#376786,10.); #103340=VECTOR('',#376787,10.); #103341=VECTOR('',#376788,10.); #103342=VECTOR('',#376791,10.); #103343=VECTOR('',#376792,10.); #103344=VECTOR('',#376793,10.); #103345=VECTOR('',#376796,10.); #103346=VECTOR('',#376797,10.); #103347=VECTOR('',#376798,10.); #103348=VECTOR('',#376801,10.); #103349=VECTOR('',#376802,10.); #103350=VECTOR('',#376803,10.); #103351=VECTOR('',#376806,10.); #103352=VECTOR('',#376807,10.); #103353=VECTOR('',#376814,10.); #103354=VECTOR('',#376815,10.); #103355=VECTOR('',#376816,10.); #103356=VECTOR('',#376817,10.); #103357=VECTOR('',#376820,10.); #103358=VECTOR('',#376821,10.); #103359=VECTOR('',#376822,10.); #103360=VECTOR('',#376825,10.); #103361=VECTOR('',#376826,10.); #103362=VECTOR('',#376827,10.); #103363=VECTOR('',#376830,10.); #103364=VECTOR('',#376831,10.); #103365=VECTOR('',#376832,10.); #103366=VECTOR('',#376835,10.); #103367=VECTOR('',#376836,10.); #103368=VECTOR('',#376837,10.); #103369=VECTOR('',#376840,10.); #103370=VECTOR('',#376841,10.); #103371=VECTOR('',#376842,10.); #103372=VECTOR('',#376845,10.); #103373=VECTOR('',#376846,10.); #103374=VECTOR('',#376847,10.); #103375=VECTOR('',#376850,10.); #103376=VECTOR('',#376851,10.); #103377=VECTOR('',#376852,10.); #103378=VECTOR('',#376855,10.); #103379=VECTOR('',#376856,10.); #103380=VECTOR('',#376857,10.); #103381=VECTOR('',#376860,10.); #103382=VECTOR('',#376861,10.); #103383=VECTOR('',#376862,10.); #103384=VECTOR('',#376865,10.); #103385=VECTOR('',#376866,10.); #103386=VECTOR('',#376867,10.); #103387=VECTOR('',#376870,10.); #103388=VECTOR('',#376871,10.); #103389=VECTOR('',#376872,10.); #103390=VECTOR('',#376875,10.); #103391=VECTOR('',#376876,10.); #103392=VECTOR('',#376877,10.); #103393=VECTOR('',#376880,10.); #103394=VECTOR('',#376881,10.); #103395=VECTOR('',#376882,10.); #103396=VECTOR('',#376885,10.); #103397=VECTOR('',#376886,10.); #103398=VECTOR('',#376887,10.); #103399=VECTOR('',#376890,10.); #103400=VECTOR('',#376891,10.); #103401=VECTOR('',#376892,10.); #103402=VECTOR('',#376895,10.); #103403=VECTOR('',#376896,10.); #103404=VECTOR('',#376897,10.); #103405=VECTOR('',#376900,10.); #103406=VECTOR('',#376901,10.); #103407=VECTOR('',#376902,10.); #103408=VECTOR('',#376905,10.); #103409=VECTOR('',#376906,10.); #103410=VECTOR('',#376907,10.); #103411=VECTOR('',#376910,10.); #103412=VECTOR('',#376911,10.); #103413=VECTOR('',#376918,10.); #103414=VECTOR('',#376919,10.); #103415=VECTOR('',#376920,10.); #103416=VECTOR('',#376921,10.); #103417=VECTOR('',#376924,10.); #103418=VECTOR('',#376925,10.); #103419=VECTOR('',#376926,10.); #103420=VECTOR('',#376929,10.); #103421=VECTOR('',#376930,10.); #103422=VECTOR('',#376931,10.); #103423=VECTOR('',#376934,10.); #103424=VECTOR('',#376935,10.); #103425=VECTOR('',#376936,10.); #103426=VECTOR('',#376939,10.); #103427=VECTOR('',#376940,10.); #103428=VECTOR('',#376941,10.); #103429=VECTOR('',#376944,10.); #103430=VECTOR('',#376945,10.); #103431=VECTOR('',#376946,10.); #103432=VECTOR('',#376949,10.); #103433=VECTOR('',#376950,10.); #103434=VECTOR('',#376951,10.); #103435=VECTOR('',#376954,10.); #103436=VECTOR('',#376955,10.); #103437=VECTOR('',#376956,10.); #103438=VECTOR('',#376959,10.); #103439=VECTOR('',#376960,10.); #103440=VECTOR('',#376961,10.); #103441=VECTOR('',#376964,10.); #103442=VECTOR('',#376965,10.); #103443=VECTOR('',#376966,10.); #103444=VECTOR('',#376969,10.); #103445=VECTOR('',#376970,10.); #103446=VECTOR('',#376971,10.); #103447=VECTOR('',#376974,10.); #103448=VECTOR('',#376975,10.); #103449=VECTOR('',#376976,10.); #103450=VECTOR('',#376979,10.); #103451=VECTOR('',#376980,10.); #103452=VECTOR('',#376981,10.); #103453=VECTOR('',#376984,10.); #103454=VECTOR('',#376985,10.); #103455=VECTOR('',#376986,10.); #103456=VECTOR('',#376989,10.); #103457=VECTOR('',#376990,10.); #103458=VECTOR('',#376991,10.); #103459=VECTOR('',#376994,10.); #103460=VECTOR('',#376995,10.); #103461=VECTOR('',#376996,10.); #103462=VECTOR('',#376999,10.); #103463=VECTOR('',#377000,10.); #103464=VECTOR('',#377001,10.); #103465=VECTOR('',#377004,10.); #103466=VECTOR('',#377005,10.); #103467=VECTOR('',#377006,10.); #103468=VECTOR('',#377009,10.); #103469=VECTOR('',#377010,10.); #103470=VECTOR('',#377011,10.); #103471=VECTOR('',#377014,10.); #103472=VECTOR('',#377015,10.); #103473=VECTOR('',#377016,10.); #103474=VECTOR('',#377019,10.); #103475=VECTOR('',#377020,10.); #103476=VECTOR('',#377029,0.140000000000002); #103477=VECTOR('',#377036,0.140000000000002); #103478=VECTOR('',#377043,0.140000000000002); #103479=VECTOR('',#377048,10.); #103480=VECTOR('',#377049,10.); #103481=VECTOR('',#377050,10.); #103482=VECTOR('',#377051,10.); #103483=VECTOR('',#377054,10.); #103484=VECTOR('',#377055,10.); #103485=VECTOR('',#377056,10.); #103486=VECTOR('',#377059,10.); #103487=VECTOR('',#377060,10.); #103488=VECTOR('',#377061,10.); #103489=VECTOR('',#377064,10.); #103490=VECTOR('',#377065,10.); #103491=VECTOR('',#377066,10.); #103492=VECTOR('',#377069,10.); #103493=VECTOR('',#377070,10.); #103494=VECTOR('',#377071,10.); #103495=VECTOR('',#377074,10.); #103496=VECTOR('',#377075,10.); #103497=VECTOR('',#377076,10.); #103498=VECTOR('',#377079,10.); #103499=VECTOR('',#377080,10.); #103500=VECTOR('',#377081,10.); #103501=VECTOR('',#377084,10.); #103502=VECTOR('',#377085,10.); #103503=VECTOR('',#377086,10.); #103504=VECTOR('',#377089,10.); #103505=VECTOR('',#377090,10.); #103506=VECTOR('',#377091,10.); #103507=VECTOR('',#377094,10.); #103508=VECTOR('',#377095,10.); #103509=VECTOR('',#377096,10.); #103510=VECTOR('',#377099,10.); #103511=VECTOR('',#377100,10.); #103512=VECTOR('',#377101,10.); #103513=VECTOR('',#377104,10.); #103514=VECTOR('',#377105,10.); #103515=VECTOR('',#377106,10.); #103516=VECTOR('',#377109,10.); #103517=VECTOR('',#377110,10.); #103518=VECTOR('',#377111,10.); #103519=VECTOR('',#377114,10.); #103520=VECTOR('',#377115,10.); #103521=VECTOR('',#377116,10.); #103522=VECTOR('',#377119,10.); #103523=VECTOR('',#377120,10.); #103524=VECTOR('',#377121,10.); #103525=VECTOR('',#377124,10.); #103526=VECTOR('',#377125,10.); #103527=VECTOR('',#377126,10.); #103528=VECTOR('',#377129,10.); #103529=VECTOR('',#377130,10.); #103530=VECTOR('',#377131,10.); #103531=VECTOR('',#377134,10.); #103532=VECTOR('',#377135,10.); #103533=VECTOR('',#377136,10.); #103534=VECTOR('',#377139,10.); #103535=VECTOR('',#377140,10.); #103536=VECTOR('',#377141,10.); #103537=VECTOR('',#377144,10.); #103538=VECTOR('',#377145,10.); #103539=VECTOR('',#377146,10.); #103540=VECTOR('',#377149,10.); #103541=VECTOR('',#377150,10.); #103542=VECTOR('',#377151,10.); #103543=VECTOR('',#377154,10.); #103544=VECTOR('',#377155,10.); #103545=VECTOR('',#377156,10.); #103546=VECTOR('',#377159,10.); #103547=VECTOR('',#377160,10.); #103548=VECTOR('',#377161,10.); #103549=VECTOR('',#377164,10.); #103550=VECTOR('',#377165,10.); #103551=VECTOR('',#377166,10.); #103552=VECTOR('',#377169,10.); #103553=VECTOR('',#377170,10.); #103554=VECTOR('',#377171,10.); #103555=VECTOR('',#377174,10.); #103556=VECTOR('',#377175,10.); #103557=VECTOR('',#377176,10.); #103558=VECTOR('',#377179,10.); #103559=VECTOR('',#377180,10.); #103560=VECTOR('',#377181,10.); #103561=VECTOR('',#377184,10.); #103562=VECTOR('',#377185,10.); #103563=VECTOR('',#377186,10.); #103564=VECTOR('',#377189,10.); #103565=VECTOR('',#377190,10.); #103566=VECTOR('',#377191,10.); #103567=VECTOR('',#377194,10.); #103568=VECTOR('',#377195,10.); #103569=VECTOR('',#377196,10.); #103570=VECTOR('',#377199,10.); #103571=VECTOR('',#377200,10.); #103572=VECTOR('',#377201,10.); #103573=VECTOR('',#377204,10.); #103574=VECTOR('',#377205,10.); #103575=VECTOR('',#377206,10.); #103576=VECTOR('',#377209,10.); #103577=VECTOR('',#377210,10.); #103578=VECTOR('',#377211,10.); #103579=VECTOR('',#377214,10.); #103580=VECTOR('',#377215,10.); #103581=VECTOR('',#377216,10.); #103582=VECTOR('',#377219,10.); #103583=VECTOR('',#377220,10.); #103584=VECTOR('',#377221,10.); #103585=VECTOR('',#377224,10.); #103586=VECTOR('',#377225,10.); #103587=VECTOR('',#377226,10.); #103588=VECTOR('',#377229,10.); #103589=VECTOR('',#377230,10.); #103590=VECTOR('',#377231,10.); #103591=VECTOR('',#377234,10.); #103592=VECTOR('',#377235,10.); #103593=VECTOR('',#377236,10.); #103594=VECTOR('',#377239,10.); #103595=VECTOR('',#377240,10.); #103596=VECTOR('',#377241,10.); #103597=VECTOR('',#377244,10.); #103598=VECTOR('',#377245,10.); #103599=VECTOR('',#377246,10.); #103600=VECTOR('',#377249,10.); #103601=VECTOR('',#377250,10.); #103602=VECTOR('',#377251,10.); #103603=VECTOR('',#377254,10.); #103604=VECTOR('',#377255,10.); #103605=VECTOR('',#377256,10.); #103606=VECTOR('',#377259,10.); #103607=VECTOR('',#377260,10.); #103608=VECTOR('',#377261,10.); #103609=VECTOR('',#377264,10.); #103610=VECTOR('',#377265,10.); #103611=VECTOR('',#377266,10.); #103612=VECTOR('',#377269,10.); #103613=VECTOR('',#377270,10.); #103614=VECTOR('',#377271,10.); #103615=VECTOR('',#377274,10.); #103616=VECTOR('',#377275,10.); #103617=VECTOR('',#377276,10.); #103618=VECTOR('',#377279,10.); #103619=VECTOR('',#377280,10.); #103620=VECTOR('',#377281,10.); #103621=VECTOR('',#377284,10.); #103622=VECTOR('',#377285,10.); #103623=VECTOR('',#377286,10.); #103624=VECTOR('',#377289,10.); #103625=VECTOR('',#377290,10.); #103626=VECTOR('',#377291,10.); #103627=VECTOR('',#377294,10.); #103628=VECTOR('',#377295,10.); #103629=VECTOR('',#377296,10.); #103630=VECTOR('',#377299,10.); #103631=VECTOR('',#377300,10.); #103632=VECTOR('',#377301,10.); #103633=VECTOR('',#377304,10.); #103634=VECTOR('',#377305,10.); #103635=VECTOR('',#377306,10.); #103636=VECTOR('',#377309,10.); #103637=VECTOR('',#377310,10.); #103638=VECTOR('',#377311,10.); #103639=VECTOR('',#377314,10.); #103640=VECTOR('',#377315,10.); #103641=VECTOR('',#377316,10.); #103642=VECTOR('',#377319,10.); #103643=VECTOR('',#377320,10.); #103644=VECTOR('',#377321,10.); #103645=VECTOR('',#377324,10.); #103646=VECTOR('',#377325,10.); #103647=VECTOR('',#377326,10.); #103648=VECTOR('',#377329,10.); #103649=VECTOR('',#377330,10.); #103650=VECTOR('',#377331,10.); #103651=VECTOR('',#377334,10.); #103652=VECTOR('',#377335,10.); #103653=VECTOR('',#377336,10.); #103654=VECTOR('',#377339,10.); #103655=VECTOR('',#377340,10.); #103656=VECTOR('',#377341,10.); #103657=VECTOR('',#377344,10.); #103658=VECTOR('',#377345,10.); #103659=VECTOR('',#377346,10.); #103660=VECTOR('',#377349,10.); #103661=VECTOR('',#377350,10.); #103662=VECTOR('',#377351,10.); #103663=VECTOR('',#377354,10.); #103664=VECTOR('',#377355,10.); #103665=VECTOR('',#377356,10.); #103666=VECTOR('',#377359,10.); #103667=VECTOR('',#377360,10.); #103668=VECTOR('',#377361,10.); #103669=VECTOR('',#377364,10.); #103670=VECTOR('',#377365,10.); #103671=VECTOR('',#377366,10.); #103672=VECTOR('',#377369,10.); #103673=VECTOR('',#377370,10.); #103674=VECTOR('',#377371,10.); #103675=VECTOR('',#377374,10.); #103676=VECTOR('',#377375,10.); #103677=VECTOR('',#377376,10.); #103678=VECTOR('',#377379,10.); #103679=VECTOR('',#377380,10.); #103680=VECTOR('',#377381,10.); #103681=VECTOR('',#377384,10.); #103682=VECTOR('',#377385,10.); #103683=VECTOR('',#377386,10.); #103684=VECTOR('',#377389,10.); #103685=VECTOR('',#377390,10.); #103686=VECTOR('',#377391,10.); #103687=VECTOR('',#377394,10.); #103688=VECTOR('',#377395,10.); #103689=VECTOR('',#377396,10.); #103690=VECTOR('',#377399,10.); #103691=VECTOR('',#377400,10.); #103692=VECTOR('',#377401,10.); #103693=VECTOR('',#377404,10.); #103694=VECTOR('',#377405,10.); #103695=VECTOR('',#377406,10.); #103696=VECTOR('',#377409,10.); #103697=VECTOR('',#377410,10.); #103698=VECTOR('',#377411,10.); #103699=VECTOR('',#377414,10.); #103700=VECTOR('',#377415,10.); #103701=VECTOR('',#377416,10.); #103702=VECTOR('',#377419,10.); #103703=VECTOR('',#377420,10.); #103704=VECTOR('',#377421,10.); #103705=VECTOR('',#377424,10.); #103706=VECTOR('',#377425,10.); #103707=VECTOR('',#377426,10.); #103708=VECTOR('',#377429,10.); #103709=VECTOR('',#377430,10.); #103710=VECTOR('',#377431,10.); #103711=VECTOR('',#377434,10.); #103712=VECTOR('',#377435,10.); #103713=VECTOR('',#377436,10.); #103714=VECTOR('',#377439,10.); #103715=VECTOR('',#377440,10.); #103716=VECTOR('',#377441,10.); #103717=VECTOR('',#377444,10.); #103718=VECTOR('',#377445,10.); #103719=VECTOR('',#377446,10.); #103720=VECTOR('',#377449,10.); #103721=VECTOR('',#377450,10.); #103722=VECTOR('',#377451,10.); #103723=VECTOR('',#377454,10.); #103724=VECTOR('',#377455,10.); #103725=VECTOR('',#377456,10.); #103726=VECTOR('',#377459,10.); #103727=VECTOR('',#377460,10.); #103728=VECTOR('',#377461,10.); #103729=VECTOR('',#377464,10.); #103730=VECTOR('',#377465,10.); #103731=VECTOR('',#377466,10.); #103732=VECTOR('',#377469,10.); #103733=VECTOR('',#377470,10.); #103734=VECTOR('',#377471,10.); #103735=VECTOR('',#377474,10.); #103736=VECTOR('',#377475,10.); #103737=VECTOR('',#377476,10.); #103738=VECTOR('',#377479,10.); #103739=VECTOR('',#377480,10.); #103740=VECTOR('',#377481,10.); #103741=VECTOR('',#377484,10.); #103742=VECTOR('',#377485,10.); #103743=VECTOR('',#377486,10.); #103744=VECTOR('',#377489,10.); #103745=VECTOR('',#377490,10.); #103746=VECTOR('',#377491,10.); #103747=VECTOR('',#377494,10.); #103748=VECTOR('',#377495,10.); #103749=VECTOR('',#377504,0.139999999999998); #103750=VECTOR('',#377511,0.139999999999998); #103751=VECTOR('',#377518,0.139999999999998); #103752=VECTOR('',#377525,0.139999999999998); #103753=VECTOR('',#377532,0.465); #103754=VECTOR('',#377539,0.139999999999998); #103755=VECTOR('',#377546,0.139999999999998); #103756=VECTOR('',#377553,0.139999999999998); #103757=VECTOR('',#377560,0.139999999999998); #103758=VECTOR('',#377565,10.); #103759=VECTOR('',#377566,10.); #103760=VECTOR('',#377567,10.); #103761=VECTOR('',#377568,10.); #103762=VECTOR('',#377575,10.); #103763=VECTOR('',#377578,10.); #103764=VECTOR('',#377579,10.); #103765=VECTOR('',#377580,10.); #103766=VECTOR('',#377589,10.); #103767=VECTOR('',#377590,10.); #103768=VECTOR('',#377591,10.); #103769=VECTOR('',#377592,10.); #103770=VECTOR('',#377599,10.); #103771=VECTOR('',#377602,10.); #103772=VECTOR('',#377603,10.); #103773=VECTOR('',#377604,10.); #103774=VECTOR('',#377615,0.114999999999998); #103775=VECTOR('',#377622,0.114999999999998); #103776=VECTOR('',#377629,0.114999999999998); #103777=VECTOR('',#377636,0.114999999999998); #103778=VECTOR('',#377641,10.); #103779=VECTOR('',#377642,10.); #103780=VECTOR('',#377643,10.); #103781=VECTOR('',#377644,10.); #103782=VECTOR('',#377651,10.); #103783=VECTOR('',#377654,10.); #103784=VECTOR('',#377655,10.); #103785=VECTOR('',#377656,10.); #103786=VECTOR('',#377665,10.); #103787=VECTOR('',#377666,10.); #103788=VECTOR('',#377667,10.); #103789=VECTOR('',#377668,10.); #103790=VECTOR('',#377675,10.); #103791=VECTOR('',#377678,10.); #103792=VECTOR('',#377679,10.); #103793=VECTOR('',#377680,10.); #103794=VECTOR('',#377691,0.14); #103795=VECTOR('',#377698,0.14); #103796=VECTOR('',#377705,0.140000000000002); #103797=VECTOR('',#377712,0.140000000000002); #103798=VECTOR('',#377719,0.140000000000002); #103799=VECTOR('',#377726,0.140000000000002); #103800=VECTOR('',#377733,0.140000000000002); #103801=VECTOR('',#377740,0.140000000000002); #103802=VECTOR('',#377747,0.140000000000002); #103803=VECTOR('',#377754,0.140000000000002); #103804=VECTOR('',#377761,0.14); #103805=VECTOR('',#377768,0.14); #103806=VECTOR('',#377775,0.140000000000002); #103807=VECTOR('',#377782,0.140000000000002); #103808=VECTOR('',#377789,0.140000000000002); #103809=VECTOR('',#377796,0.140000000000002); #103810=VECTOR('',#377803,0.14); #103811=VECTOR('',#377810,0.14); #103812=VECTOR('',#377817,0.14); #103813=VECTOR('',#377824,0.14); #103814=VECTOR('',#377831,0.14); #103815=VECTOR('',#377838,0.14); #103816=VECTOR('',#377845,0.14); #103817=VECTOR('',#377852,0.14); #103818=VECTOR('',#377859,0.14); #103819=VECTOR('',#377866,0.14); #103820=VECTOR('',#377873,0.465); #103821=VECTOR('',#377880,0.139999999999998); #103822=VECTOR('',#377887,0.564999999999998); #103823=VECTOR('',#377894,0.140000000000002); #103824=VECTOR('',#377901,0.140000000000002); #103825=VECTOR('',#377908,0.140000000000002); #103826=VECTOR('',#377915,0.140000000000002); #103827=VECTOR('',#377922,0.140000000000002); #103828=VECTOR('',#377929,0.140000000000002); #103829=VECTOR('',#377936,0.140000000000002); #103830=VECTOR('',#377943,0.140000000000002); #103831=VECTOR('',#377950,0.14); #103832=VECTOR('',#377957,0.14); #103833=VECTOR('',#377964,0.140000000000002); #103834=VECTOR('',#377971,0.14); #103835=VECTOR('',#377978,0.14); #103836=VECTOR('',#377985,0.14); #103837=VECTOR('',#377992,0.14); #103838=VECTOR('',#377999,0.14); #103839=VECTOR('',#378004,10.); #103840=VECTOR('',#378005,10.); #103841=VECTOR('',#378006,10.); #103842=VECTOR('',#378007,10.); #103843=VECTOR('',#378010,10.); #103844=VECTOR('',#378011,10.); #103845=VECTOR('',#378012,10.); #103846=VECTOR('',#378015,10.); #103847=VECTOR('',#378016,10.); #103848=VECTOR('',#378017,10.); #103849=VECTOR('',#378020,10.); #103850=VECTOR('',#378021,10.); #103851=VECTOR('',#378022,10.); #103852=VECTOR('',#378025,10.); #103853=VECTOR('',#378026,10.); #103854=VECTOR('',#378027,10.); #103855=VECTOR('',#378030,10.); #103856=VECTOR('',#378031,10.); #103857=VECTOR('',#378032,10.); #103858=VECTOR('',#378035,10.); #103859=VECTOR('',#378036,10.); #103860=VECTOR('',#378037,10.); #103861=VECTOR('',#378040,10.); #103862=VECTOR('',#378041,10.); #103863=VECTOR('',#378044,10.); #103864=VECTOR('',#378045,10.); #103865=VECTOR('',#378046,10.); #103866=VECTOR('',#378047,10.); #103867=VECTOR('',#378050,10.); #103868=VECTOR('',#378051,10.); #103869=VECTOR('',#378052,10.); #103870=VECTOR('',#378055,10.); #103871=VECTOR('',#378056,10.); #103872=VECTOR('',#378057,10.); #103873=VECTOR('',#378060,10.); #103874=VECTOR('',#378061,10.); #103875=VECTOR('',#378062,10.); #103876=VECTOR('',#378065,10.); #103877=VECTOR('',#378066,10.); #103878=VECTOR('',#378067,10.); #103879=VECTOR('',#378070,10.); #103880=VECTOR('',#378071,10.); #103881=VECTOR('',#378072,10.); #103882=VECTOR('',#378075,10.); #103883=VECTOR('',#378076,10.); #103884=VECTOR('',#378077,10.); #103885=VECTOR('',#378080,10.); #103886=VECTOR('',#378081,10.); #103887=VECTOR('',#378082,10.); #103888=VECTOR('',#378085,10.); #103889=VECTOR('',#378086,10.); #103890=VECTOR('',#378087,10.); #103891=VECTOR('',#378090,10.); #103892=VECTOR('',#378091,10.); #103893=VECTOR('',#378092,10.); #103894=VECTOR('',#378095,10.); #103895=VECTOR('',#378096,10.); #103896=VECTOR('',#378097,10.); #103897=VECTOR('',#378100,10.); #103898=VECTOR('',#378101,10.); #103899=VECTOR('',#378102,10.); #103900=VECTOR('',#378105,10.); #103901=VECTOR('',#378106,10.); #103902=VECTOR('',#378107,10.); #103903=VECTOR('',#378110,10.); #103904=VECTOR('',#378111,10.); #103905=VECTOR('',#378112,10.); #103906=VECTOR('',#378115,10.); #103907=VECTOR('',#378116,10.); #103908=VECTOR('',#378117,10.); #103909=VECTOR('',#378120,10.); #103910=VECTOR('',#378121,10.); #103911=VECTOR('',#378122,10.); #103912=VECTOR('',#378125,10.); #103913=VECTOR('',#378126,10.); #103914=VECTOR('',#378127,10.); #103915=VECTOR('',#378130,10.); #103916=VECTOR('',#378131,10.); #103917=VECTOR('',#378132,10.); #103918=VECTOR('',#378135,10.); #103919=VECTOR('',#378136,10.); #103920=VECTOR('',#378137,10.); #103921=VECTOR('',#378140,10.); #103922=VECTOR('',#378141,10.); #103923=VECTOR('',#378142,10.); #103924=VECTOR('',#378145,10.); #103925=VECTOR('',#378146,10.); #103926=VECTOR('',#378147,10.); #103927=VECTOR('',#378150,10.); #103928=VECTOR('',#378151,10.); #103929=VECTOR('',#378152,10.); #103930=VECTOR('',#378155,10.); #103931=VECTOR('',#378156,10.); #103932=VECTOR('',#378157,10.); #103933=VECTOR('',#378160,10.); #103934=VECTOR('',#378161,10.); #103935=VECTOR('',#378162,10.); #103936=VECTOR('',#378165,10.); #103937=VECTOR('',#378166,10.); #103938=VECTOR('',#378167,10.); #103939=VECTOR('',#378170,10.); #103940=VECTOR('',#378171,10.); #103941=VECTOR('',#378172,10.); #103942=VECTOR('',#378175,10.); #103943=VECTOR('',#378176,10.); #103944=VECTOR('',#378177,10.); #103945=VECTOR('',#378180,10.); #103946=VECTOR('',#378181,10.); #103947=VECTOR('',#378182,10.); #103948=VECTOR('',#378185,10.); #103949=VECTOR('',#378186,10.); #103950=VECTOR('',#378187,10.); #103951=VECTOR('',#378190,10.); #103952=VECTOR('',#378191,10.); #103953=VECTOR('',#378192,10.); #103954=VECTOR('',#378195,10.); #103955=VECTOR('',#378196,10.); #103956=VECTOR('',#378197,10.); #103957=VECTOR('',#378200,10.); #103958=VECTOR('',#378201,10.); #103959=VECTOR('',#378202,10.); #103960=VECTOR('',#378205,10.); #103961=VECTOR('',#378206,10.); #103962=VECTOR('',#378207,10.); #103963=VECTOR('',#378210,10.); #103964=VECTOR('',#378211,10.); #103965=VECTOR('',#378212,10.); #103966=VECTOR('',#378215,10.); #103967=VECTOR('',#378216,10.); #103968=VECTOR('',#378217,10.); #103969=VECTOR('',#378220,10.); #103970=VECTOR('',#378221,10.); #103971=VECTOR('',#378224,10.); #103972=VECTOR('',#378225,10.); #103973=VECTOR('',#378226,10.); #103974=VECTOR('',#378227,10.); #103975=VECTOR('',#378230,10.); #103976=VECTOR('',#378231,10.); #103977=VECTOR('',#378232,10.); #103978=VECTOR('',#378235,10.); #103979=VECTOR('',#378236,10.); #103980=VECTOR('',#378237,10.); #103981=VECTOR('',#378240,10.); #103982=VECTOR('',#378241,10.); #103983=VECTOR('',#378242,10.); #103984=VECTOR('',#378245,10.); #103985=VECTOR('',#378246,10.); #103986=VECTOR('',#378247,10.); #103987=VECTOR('',#378250,10.); #103988=VECTOR('',#378251,10.); #103989=VECTOR('',#378252,10.); #103990=VECTOR('',#378255,10.); #103991=VECTOR('',#378256,10.); #103992=VECTOR('',#378257,10.); #103993=VECTOR('',#378260,10.); #103994=VECTOR('',#378261,10.); #103995=VECTOR('',#378262,10.); #103996=VECTOR('',#378265,10.); #103997=VECTOR('',#378266,10.); #103998=VECTOR('',#378267,10.); #103999=VECTOR('',#378270,10.); #104000=VECTOR('',#378271,10.); #104001=VECTOR('',#378272,10.); #104002=VECTOR('',#378275,10.); #104003=VECTOR('',#378276,10.); #104004=VECTOR('',#378277,10.); #104005=VECTOR('',#378280,10.); #104006=VECTOR('',#378281,10.); #104007=VECTOR('',#378282,10.); #104008=VECTOR('',#378285,10.); #104009=VECTOR('',#378286,10.); #104010=VECTOR('',#378287,10.); #104011=VECTOR('',#378290,10.); #104012=VECTOR('',#378291,10.); #104013=VECTOR('',#378292,10.); #104014=VECTOR('',#378295,10.); #104015=VECTOR('',#378296,10.); #104016=VECTOR('',#378297,10.); #104017=VECTOR('',#378300,10.); #104018=VECTOR('',#378301,10.); #104019=VECTOR('',#378302,10.); #104020=VECTOR('',#378305,10.); #104021=VECTOR('',#378306,10.); #104022=VECTOR('',#378307,10.); #104023=VECTOR('',#378310,10.); #104024=VECTOR('',#378311,10.); #104025=VECTOR('',#378312,10.); #104026=VECTOR('',#378315,10.); #104027=VECTOR('',#378316,10.); #104028=VECTOR('',#378317,10.); #104029=VECTOR('',#378320,10.); #104030=VECTOR('',#378321,10.); #104031=VECTOR('',#378322,10.); #104032=VECTOR('',#378325,10.); #104033=VECTOR('',#378326,10.); #104034=VECTOR('',#378327,10.); #104035=VECTOR('',#378330,10.); #104036=VECTOR('',#378331,10.); #104037=VECTOR('',#378332,10.); #104038=VECTOR('',#378335,10.); #104039=VECTOR('',#378336,10.); #104040=VECTOR('',#378337,10.); #104041=VECTOR('',#378340,10.); #104042=VECTOR('',#378341,10.); #104043=VECTOR('',#378342,10.); #104044=VECTOR('',#378345,10.); #104045=VECTOR('',#378346,10.); #104046=VECTOR('',#378347,10.); #104047=VECTOR('',#378350,10.); #104048=VECTOR('',#378351,10.); #104049=VECTOR('',#378352,10.); #104050=VECTOR('',#378355,10.); #104051=VECTOR('',#378356,10.); #104052=VECTOR('',#378357,10.); #104053=VECTOR('',#378360,10.); #104054=VECTOR('',#378361,10.); #104055=VECTOR('',#378362,10.); #104056=VECTOR('',#378365,10.); #104057=VECTOR('',#378366,10.); #104058=VECTOR('',#378367,10.); #104059=VECTOR('',#378370,10.); #104060=VECTOR('',#378371,10.); #104061=VECTOR('',#378372,10.); #104062=VECTOR('',#378375,10.); #104063=VECTOR('',#378376,10.); #104064=VECTOR('',#378377,10.); #104065=VECTOR('',#378380,10.); #104066=VECTOR('',#378381,10.); #104067=VECTOR('',#378382,10.); #104068=VECTOR('',#378385,10.); #104069=VECTOR('',#378386,10.); #104070=VECTOR('',#378387,10.); #104071=VECTOR('',#378390,10.); #104072=VECTOR('',#378391,10.); #104073=VECTOR('',#378392,10.); #104074=VECTOR('',#378395,10.); #104075=VECTOR('',#378396,10.); #104076=VECTOR('',#378397,10.); #104077=VECTOR('',#378400,10.); #104078=VECTOR('',#378401,10.); #104079=VECTOR('',#378404,10.); #104080=VECTOR('',#378405,10.); #104081=VECTOR('',#378406,10.); #104082=VECTOR('',#378407,10.); #104083=VECTOR('',#378410,10.); #104084=VECTOR('',#378411,10.); #104085=VECTOR('',#378412,10.); #104086=VECTOR('',#378415,10.); #104087=VECTOR('',#378416,10.); #104088=VECTOR('',#378417,10.); #104089=VECTOR('',#378420,10.); #104090=VECTOR('',#378421,10.); #104091=VECTOR('',#378422,10.); #104092=VECTOR('',#378425,10.); #104093=VECTOR('',#378426,10.); #104094=VECTOR('',#378427,10.); #104095=VECTOR('',#378430,10.); #104096=VECTOR('',#378431,10.); #104097=VECTOR('',#378432,10.); #104098=VECTOR('',#378435,10.); #104099=VECTOR('',#378436,10.); #104100=VECTOR('',#378437,10.); #104101=VECTOR('',#378440,10.); #104102=VECTOR('',#378441,10.); #104103=VECTOR('',#378442,10.); #104104=VECTOR('',#378445,10.); #104105=VECTOR('',#378446,10.); #104106=VECTOR('',#378447,10.); #104107=VECTOR('',#378450,10.); #104108=VECTOR('',#378451,10.); #104109=VECTOR('',#378452,10.); #104110=VECTOR('',#378455,10.); #104111=VECTOR('',#378456,10.); #104112=VECTOR('',#378457,10.); #104113=VECTOR('',#378460,10.); #104114=VECTOR('',#378461,10.); #104115=VECTOR('',#378462,10.); #104116=VECTOR('',#378465,10.); #104117=VECTOR('',#378466,10.); #104118=VECTOR('',#378467,10.); #104119=VECTOR('',#378470,10.); #104120=VECTOR('',#378471,10.); #104121=VECTOR('',#378472,10.); #104122=VECTOR('',#378475,10.); #104123=VECTOR('',#378476,10.); #104124=VECTOR('',#378477,10.); #104125=VECTOR('',#378480,10.); #104126=VECTOR('',#378481,10.); #104127=VECTOR('',#378482,10.); #104128=VECTOR('',#378485,10.); #104129=VECTOR('',#378486,10.); #104130=VECTOR('',#378487,10.); #104131=VECTOR('',#378490,10.); #104132=VECTOR('',#378491,10.); #104133=VECTOR('',#378492,10.); #104134=VECTOR('',#378495,10.); #104135=VECTOR('',#378496,10.); #104136=VECTOR('',#378497,10.); #104137=VECTOR('',#378500,10.); #104138=VECTOR('',#378501,10.); #104139=VECTOR('',#378502,10.); #104140=VECTOR('',#378505,10.); #104141=VECTOR('',#378506,10.); #104142=VECTOR('',#378507,10.); #104143=VECTOR('',#378510,10.); #104144=VECTOR('',#378511,10.); #104145=VECTOR('',#378512,10.); #104146=VECTOR('',#378515,10.); #104147=VECTOR('',#378516,10.); #104148=VECTOR('',#378517,10.); #104149=VECTOR('',#378520,10.); #104150=VECTOR('',#378521,10.); #104151=VECTOR('',#378522,10.); #104152=VECTOR('',#378525,10.); #104153=VECTOR('',#378526,10.); #104154=VECTOR('',#378527,10.); #104155=VECTOR('',#378530,10.); #104156=VECTOR('',#378531,10.); #104157=VECTOR('',#378532,10.); #104158=VECTOR('',#378535,10.); #104159=VECTOR('',#378536,10.); #104160=VECTOR('',#378537,10.); #104161=VECTOR('',#378540,10.); #104162=VECTOR('',#378541,10.); #104163=VECTOR('',#378542,10.); #104164=VECTOR('',#378545,10.); #104165=VECTOR('',#378546,10.); #104166=VECTOR('',#378547,10.); #104167=VECTOR('',#378550,10.); #104168=VECTOR('',#378551,10.); #104169=VECTOR('',#378552,10.); #104170=VECTOR('',#378555,10.); #104171=VECTOR('',#378556,10.); #104172=VECTOR('',#378557,10.); #104173=VECTOR('',#378560,10.); #104174=VECTOR('',#378561,10.); #104175=VECTOR('',#378562,10.); #104176=VECTOR('',#378565,10.); #104177=VECTOR('',#378566,10.); #104178=VECTOR('',#378567,10.); #104179=VECTOR('',#378570,10.); #104180=VECTOR('',#378571,10.); #104181=VECTOR('',#378572,10.); #104182=VECTOR('',#378575,10.); #104183=VECTOR('',#378576,10.); #104184=VECTOR('',#378577,10.); #104185=VECTOR('',#378580,10.); #104186=VECTOR('',#378581,10.); #104187=VECTOR('',#378582,10.); #104188=VECTOR('',#378585,10.); #104189=VECTOR('',#378586,10.); #104190=VECTOR('',#378587,10.); #104191=VECTOR('',#378590,10.); #104192=VECTOR('',#378591,10.); #104193=VECTOR('',#378592,10.); #104194=VECTOR('',#378595,10.); #104195=VECTOR('',#378596,10.); #104196=VECTOR('',#378597,10.); #104197=VECTOR('',#378600,10.); #104198=VECTOR('',#378601,10.); #104199=VECTOR('',#378602,10.); #104200=VECTOR('',#378605,10.); #104201=VECTOR('',#378606,10.); #104202=VECTOR('',#378607,10.); #104203=VECTOR('',#378610,10.); #104204=VECTOR('',#378611,10.); #104205=VECTOR('',#378612,10.); #104206=VECTOR('',#378615,10.); #104207=VECTOR('',#378616,10.); #104208=VECTOR('',#378617,10.); #104209=VECTOR('',#378620,10.); #104210=VECTOR('',#378621,10.); #104211=VECTOR('',#378622,10.); #104212=VECTOR('',#378625,10.); #104213=VECTOR('',#378626,10.); #104214=VECTOR('',#378627,10.); #104215=VECTOR('',#378630,10.); #104216=VECTOR('',#378631,10.); #104217=VECTOR('',#378632,10.); #104218=VECTOR('',#378635,10.); #104219=VECTOR('',#378636,10.); #104220=VECTOR('',#378637,10.); #104221=VECTOR('',#378640,10.); #104222=VECTOR('',#378641,10.); #104223=VECTOR('',#378642,10.); #104224=VECTOR('',#378645,10.); #104225=VECTOR('',#378646,10.); #104226=VECTOR('',#378647,10.); #104227=VECTOR('',#378650,10.); #104228=VECTOR('',#378651,10.); #104229=VECTOR('',#378652,10.); #104230=VECTOR('',#378655,10.); #104231=VECTOR('',#378656,10.); #104232=VECTOR('',#378657,10.); #104233=VECTOR('',#378660,10.); #104234=VECTOR('',#378661,10.); #104235=VECTOR('',#378662,10.); #104236=VECTOR('',#378665,10.); #104237=VECTOR('',#378666,10.); #104238=VECTOR('',#378667,10.); #104239=VECTOR('',#378670,10.); #104240=VECTOR('',#378671,10.); #104241=VECTOR('',#378672,10.); #104242=VECTOR('',#378675,10.); #104243=VECTOR('',#378676,10.); #104244=VECTOR('',#378677,10.); #104245=VECTOR('',#378680,10.); #104246=VECTOR('',#378681,10.); #104247=VECTOR('',#378682,10.); #104248=VECTOR('',#378685,10.); #104249=VECTOR('',#378686,10.); #104250=VECTOR('',#378687,10.); #104251=VECTOR('',#378690,10.); #104252=VECTOR('',#378691,10.); #104253=VECTOR('',#378692,10.); #104254=VECTOR('',#378695,10.); #104255=VECTOR('',#378696,10.); #104256=VECTOR('',#378697,10.); #104257=VECTOR('',#378700,10.); #104258=VECTOR('',#378701,10.); #104259=VECTOR('',#378702,10.); #104260=VECTOR('',#378705,10.); #104261=VECTOR('',#378706,10.); #104262=VECTOR('',#378707,10.); #104263=VECTOR('',#378710,10.); #104264=VECTOR('',#378711,10.); #104265=VECTOR('',#378712,10.); #104266=VECTOR('',#378715,10.); #104267=VECTOR('',#378716,10.); #104268=VECTOR('',#378717,10.); #104269=VECTOR('',#378720,10.); #104270=VECTOR('',#378721,10.); #104271=VECTOR('',#378722,10.); #104272=VECTOR('',#378725,10.); #104273=VECTOR('',#378726,10.); #104274=VECTOR('',#378727,10.); #104275=VECTOR('',#378730,10.); #104276=VECTOR('',#378731,10.); #104277=VECTOR('',#378732,10.); #104278=VECTOR('',#378735,10.); #104279=VECTOR('',#378736,10.); #104280=VECTOR('',#378737,10.); #104281=VECTOR('',#378740,10.); #104282=VECTOR('',#378741,10.); #104283=VECTOR('',#378742,10.); #104284=VECTOR('',#378745,10.); #104285=VECTOR('',#378746,10.); #104286=VECTOR('',#378747,10.); #104287=VECTOR('',#378750,10.); #104288=VECTOR('',#378751,10.); #104289=VECTOR('',#378752,10.); #104290=VECTOR('',#378755,10.); #104291=VECTOR('',#378756,10.); #104292=VECTOR('',#378757,10.); #104293=VECTOR('',#378760,10.); #104294=VECTOR('',#378761,10.); #104295=VECTOR('',#378762,10.); #104296=VECTOR('',#378765,10.); #104297=VECTOR('',#378766,10.); #104298=VECTOR('',#378767,10.); #104299=VECTOR('',#378770,10.); #104300=VECTOR('',#378771,10.); #104301=VECTOR('',#378772,10.); #104302=VECTOR('',#378775,10.); #104303=VECTOR('',#378776,10.); #104304=VECTOR('',#378777,10.); #104305=VECTOR('',#378780,10.); #104306=VECTOR('',#378781,10.); #104307=VECTOR('',#378782,10.); #104308=VECTOR('',#378785,10.); #104309=VECTOR('',#378786,10.); #104310=VECTOR('',#378787,10.); #104311=VECTOR('',#378790,10.); #104312=VECTOR('',#378791,10.); #104313=VECTOR('',#378792,10.); #104314=VECTOR('',#378795,10.); #104315=VECTOR('',#378796,10.); #104316=VECTOR('',#378797,10.); #104317=VECTOR('',#378800,10.); #104318=VECTOR('',#378801,10.); #104319=VECTOR('',#378802,10.); #104320=VECTOR('',#378805,10.); #104321=VECTOR('',#378806,10.); #104322=VECTOR('',#378807,10.); #104323=VECTOR('',#378810,10.); #104324=VECTOR('',#378811,10.); #104325=VECTOR('',#378812,10.); #104326=VECTOR('',#378815,10.); #104327=VECTOR('',#378816,10.); #104328=VECTOR('',#378817,10.); #104329=VECTOR('',#378820,10.); #104330=VECTOR('',#378821,10.); #104331=VECTOR('',#378822,10.); #104332=VECTOR('',#378825,10.); #104333=VECTOR('',#378826,10.); #104334=VECTOR('',#378827,10.); #104335=VECTOR('',#378830,10.); #104336=VECTOR('',#378831,10.); #104337=VECTOR('',#378832,10.); #104338=VECTOR('',#378835,10.); #104339=VECTOR('',#378836,10.); #104340=VECTOR('',#378837,10.); #104341=VECTOR('',#378840,10.); #104342=VECTOR('',#378841,10.); #104343=VECTOR('',#378842,10.); #104344=VECTOR('',#378845,10.); #104345=VECTOR('',#378846,10.); #104346=VECTOR('',#378847,10.); #104347=VECTOR('',#378850,10.); #104348=VECTOR('',#378851,10.); #104349=VECTOR('',#378852,10.); #104350=VECTOR('',#378855,10.); #104351=VECTOR('',#378856,10.); #104352=VECTOR('',#378857,10.); #104353=VECTOR('',#378860,10.); #104354=VECTOR('',#378861,10.); #104355=VECTOR('',#378862,10.); #104356=VECTOR('',#378865,10.); #104357=VECTOR('',#378866,10.); #104358=VECTOR('',#378867,10.); #104359=VECTOR('',#378870,10.); #104360=VECTOR('',#378871,10.); #104361=VECTOR('',#378872,10.); #104362=VECTOR('',#378875,10.); #104363=VECTOR('',#378876,10.); #104364=VECTOR('',#378877,10.); #104365=VECTOR('',#378880,10.); #104366=VECTOR('',#378881,10.); #104367=VECTOR('',#378882,10.); #104368=VECTOR('',#378885,10.); #104369=VECTOR('',#378886,10.); #104370=VECTOR('',#378887,10.); #104371=VECTOR('',#378890,10.); #104372=VECTOR('',#378891,10.); #104373=VECTOR('',#378892,10.); #104374=VECTOR('',#378895,10.); #104375=VECTOR('',#378896,10.); #104376=VECTOR('',#378897,10.); #104377=VECTOR('',#378900,10.); #104378=VECTOR('',#378901,10.); #104379=VECTOR('',#378902,10.); #104380=VECTOR('',#378905,10.); #104381=VECTOR('',#378906,10.); #104382=VECTOR('',#378907,10.); #104383=VECTOR('',#378910,10.); #104384=VECTOR('',#378911,10.); #104385=VECTOR('',#378912,10.); #104386=VECTOR('',#378915,10.); #104387=VECTOR('',#378916,10.); #104388=VECTOR('',#378917,10.); #104389=VECTOR('',#378920,10.); #104390=VECTOR('',#378921,10.); #104391=VECTOR('',#378922,10.); #104392=VECTOR('',#378925,10.); #104393=VECTOR('',#378926,10.); #104394=VECTOR('',#378927,10.); #104395=VECTOR('',#378930,10.); #104396=VECTOR('',#378931,10.); #104397=VECTOR('',#378932,10.); #104398=VECTOR('',#378935,10.); #104399=VECTOR('',#378936,10.); #104400=VECTOR('',#378937,10.); #104401=VECTOR('',#378940,10.); #104402=VECTOR('',#378941,10.); #104403=VECTOR('',#378942,10.); #104404=VECTOR('',#378945,10.); #104405=VECTOR('',#378946,10.); #104406=VECTOR('',#378947,10.); #104407=VECTOR('',#378950,10.); #104408=VECTOR('',#378951,10.); #104409=VECTOR('',#378952,10.); #104410=VECTOR('',#378955,10.); #104411=VECTOR('',#378956,10.); #104412=VECTOR('',#378957,10.); #104413=VECTOR('',#378960,10.); #104414=VECTOR('',#378961,10.); #104415=VECTOR('',#378962,10.); #104416=VECTOR('',#378965,10.); #104417=VECTOR('',#378966,10.); #104418=VECTOR('',#378967,10.); #104419=VECTOR('',#378970,10.); #104420=VECTOR('',#378971,10.); #104421=VECTOR('',#378972,10.); #104422=VECTOR('',#378975,10.); #104423=VECTOR('',#378976,10.); #104424=VECTOR('',#378977,10.); #104425=VECTOR('',#378980,10.); #104426=VECTOR('',#378981,10.); #104427=VECTOR('',#378982,10.); #104428=VECTOR('',#378985,10.); #104429=VECTOR('',#378986,10.); #104430=VECTOR('',#378987,10.); #104431=VECTOR('',#378990,10.); #104432=VECTOR('',#378991,10.); #104433=VECTOR('',#378992,10.); #104434=VECTOR('',#378995,10.); #104435=VECTOR('',#378996,10.); #104436=VECTOR('',#378997,10.); #104437=VECTOR('',#379000,10.); #104438=VECTOR('',#379001,10.); #104439=VECTOR('',#379002,10.); #104440=VECTOR('',#379005,10.); #104441=VECTOR('',#379006,10.); #104442=VECTOR('',#379007,10.); #104443=VECTOR('',#379010,10.); #104444=VECTOR('',#379011,10.); #104445=VECTOR('',#379012,10.); #104446=VECTOR('',#379015,10.); #104447=VECTOR('',#379016,10.); #104448=VECTOR('',#379017,10.); #104449=VECTOR('',#379020,10.); #104450=VECTOR('',#379021,10.); #104451=VECTOR('',#379022,10.); #104452=VECTOR('',#379025,10.); #104453=VECTOR('',#379026,10.); #104454=VECTOR('',#379027,10.); #104455=VECTOR('',#379030,10.); #104456=VECTOR('',#379031,10.); #104457=VECTOR('',#379032,10.); #104458=VECTOR('',#379035,10.); #104459=VECTOR('',#379036,10.); #104460=VECTOR('',#379037,10.); #104461=VECTOR('',#379040,10.); #104462=VECTOR('',#379041,10.); #104463=VECTOR('',#379042,10.); #104464=VECTOR('',#379045,10.); #104465=VECTOR('',#379046,10.); #104466=VECTOR('',#379047,10.); #104467=VECTOR('',#379050,10.); #104468=VECTOR('',#379051,10.); #104469=VECTOR('',#379052,10.); #104470=VECTOR('',#379055,10.); #104471=VECTOR('',#379056,10.); #104472=VECTOR('',#379057,10.); #104473=VECTOR('',#379060,10.); #104474=VECTOR('',#379061,10.); #104475=VECTOR('',#379062,10.); #104476=VECTOR('',#379065,10.); #104477=VECTOR('',#379066,10.); #104478=VECTOR('',#379067,10.); #104479=VECTOR('',#379070,10.); #104480=VECTOR('',#379071,10.); #104481=VECTOR('',#379072,10.); #104482=VECTOR('',#379075,10.); #104483=VECTOR('',#379076,10.); #104484=VECTOR('',#379077,10.); #104485=VECTOR('',#379080,10.); #104486=VECTOR('',#379081,10.); #104487=VECTOR('',#379082,10.); #104488=VECTOR('',#379085,10.); #104489=VECTOR('',#379086,10.); #104490=VECTOR('',#379087,10.); #104491=VECTOR('',#379090,10.); #104492=VECTOR('',#379091,10.); #104493=VECTOR('',#379092,10.); #104494=VECTOR('',#379095,10.); #104495=VECTOR('',#379096,10.); #104496=VECTOR('',#379097,10.); #104497=VECTOR('',#379100,10.); #104498=VECTOR('',#379101,10.); #104499=VECTOR('',#379102,10.); #104500=VECTOR('',#379105,10.); #104501=VECTOR('',#379106,10.); #104502=VECTOR('',#379107,10.); #104503=VECTOR('',#379110,10.); #104504=VECTOR('',#379111,10.); #104505=VECTOR('',#379112,10.); #104506=VECTOR('',#379115,10.); #104507=VECTOR('',#379116,10.); #104508=VECTOR('',#379117,10.); #104509=VECTOR('',#379120,10.); #104510=VECTOR('',#379121,10.); #104511=VECTOR('',#379122,10.); #104512=VECTOR('',#379125,10.); #104513=VECTOR('',#379126,10.); #104514=VECTOR('',#379127,10.); #104515=VECTOR('',#379130,10.); #104516=VECTOR('',#379131,10.); #104517=VECTOR('',#379132,10.); #104518=VECTOR('',#379135,10.); #104519=VECTOR('',#379136,10.); #104520=VECTOR('',#379137,10.); #104521=VECTOR('',#379140,10.); #104522=VECTOR('',#379141,10.); #104523=VECTOR('',#379142,10.); #104524=VECTOR('',#379145,10.); #104525=VECTOR('',#379146,10.); #104526=VECTOR('',#379147,10.); #104527=VECTOR('',#379150,10.); #104528=VECTOR('',#379151,10.); #104529=VECTOR('',#379152,10.); #104530=VECTOR('',#379155,10.); #104531=VECTOR('',#379156,10.); #104532=VECTOR('',#379157,10.); #104533=VECTOR('',#379160,10.); #104534=VECTOR('',#379161,10.); #104535=VECTOR('',#379162,10.); #104536=VECTOR('',#379165,10.); #104537=VECTOR('',#379166,10.); #104538=VECTOR('',#379167,10.); #104539=VECTOR('',#379170,10.); #104540=VECTOR('',#379171,10.); #104541=VECTOR('',#379172,10.); #104542=VECTOR('',#379175,10.); #104543=VECTOR('',#379176,10.); #104544=VECTOR('',#379177,10.); #104545=VECTOR('',#379180,10.); #104546=VECTOR('',#379181,10.); #104547=VECTOR('',#379182,10.); #104548=VECTOR('',#379185,10.); #104549=VECTOR('',#379186,10.); #104550=VECTOR('',#379187,10.); #104551=VECTOR('',#379190,10.); #104552=VECTOR('',#379191,10.); #104553=VECTOR('',#379192,10.); #104554=VECTOR('',#379195,10.); #104555=VECTOR('',#379196,10.); #104556=VECTOR('',#379197,10.); #104557=VECTOR('',#379200,10.); #104558=VECTOR('',#379201,10.); #104559=VECTOR('',#379202,10.); #104560=VECTOR('',#379205,10.); #104561=VECTOR('',#379206,10.); #104562=VECTOR('',#379207,10.); #104563=VECTOR('',#379210,10.); #104564=VECTOR('',#379211,10.); #104565=VECTOR('',#379212,10.); #104566=VECTOR('',#379215,10.); #104567=VECTOR('',#379216,10.); #104568=VECTOR('',#379217,10.); #104569=VECTOR('',#379220,10.); #104570=VECTOR('',#379221,10.); #104571=VECTOR('',#379222,10.); #104572=VECTOR('',#379225,10.); #104573=VECTOR('',#379226,10.); #104574=VECTOR('',#379227,10.); #104575=VECTOR('',#379230,10.); #104576=VECTOR('',#379231,10.); #104577=VECTOR('',#379232,10.); #104578=VECTOR('',#379235,10.); #104579=VECTOR('',#379236,10.); #104580=VECTOR('',#379237,10.); #104581=VECTOR('',#379240,10.); #104582=VECTOR('',#379241,10.); #104583=VECTOR('',#379242,10.); #104584=VECTOR('',#379245,10.); #104585=VECTOR('',#379246,10.); #104586=VECTOR('',#379247,10.); #104587=VECTOR('',#379250,10.); #104588=VECTOR('',#379251,10.); #104589=VECTOR('',#379252,10.); #104590=VECTOR('',#379255,10.); #104591=VECTOR('',#379256,10.); #104592=VECTOR('',#379257,10.); #104593=VECTOR('',#379260,10.); #104594=VECTOR('',#379261,10.); #104595=VECTOR('',#379262,10.); #104596=VECTOR('',#379265,10.); #104597=VECTOR('',#379266,10.); #104598=VECTOR('',#379267,10.); #104599=VECTOR('',#379270,10.); #104600=VECTOR('',#379271,10.); #104601=VECTOR('',#379272,10.); #104602=VECTOR('',#379275,10.); #104603=VECTOR('',#379276,10.); #104604=VECTOR('',#379277,10.); #104605=VECTOR('',#379280,10.); #104606=VECTOR('',#379281,10.); #104607=VECTOR('',#379282,10.); #104608=VECTOR('',#379285,10.); #104609=VECTOR('',#379286,10.); #104610=VECTOR('',#379287,10.); #104611=VECTOR('',#379290,10.); #104612=VECTOR('',#379291,10.); #104613=VECTOR('',#379292,10.); #104614=VECTOR('',#379295,10.); #104615=VECTOR('',#379296,10.); #104616=VECTOR('',#379297,10.); #104617=VECTOR('',#379300,10.); #104618=VECTOR('',#379301,10.); #104619=VECTOR('',#379302,10.); #104620=VECTOR('',#379305,10.); #104621=VECTOR('',#379306,10.); #104622=VECTOR('',#379307,10.); #104623=VECTOR('',#379310,10.); #104624=VECTOR('',#379311,10.); #104625=VECTOR('',#379312,10.); #104626=VECTOR('',#379315,10.); #104627=VECTOR('',#379316,10.); #104628=VECTOR('',#379317,10.); #104629=VECTOR('',#379320,10.); #104630=VECTOR('',#379321,10.); #104631=VECTOR('',#379322,10.); #104632=VECTOR('',#379325,10.); #104633=VECTOR('',#379326,10.); #104634=VECTOR('',#379327,10.); #104635=VECTOR('',#379330,10.); #104636=VECTOR('',#379331,10.); #104637=VECTOR('',#379332,10.); #104638=VECTOR('',#379335,10.); #104639=VECTOR('',#379336,10.); #104640=VECTOR('',#379337,10.); #104641=VECTOR('',#379340,10.); #104642=VECTOR('',#379341,10.); #104643=VECTOR('',#379342,10.); #104644=VECTOR('',#379345,10.); #104645=VECTOR('',#379346,10.); #104646=VECTOR('',#379347,10.); #104647=VECTOR('',#379350,10.); #104648=VECTOR('',#379351,10.); #104649=VECTOR('',#379352,10.); #104650=VECTOR('',#379355,10.); #104651=VECTOR('',#379356,10.); #104652=VECTOR('',#379357,10.); #104653=VECTOR('',#379360,10.); #104654=VECTOR('',#379361,10.); #104655=VECTOR('',#379362,10.); #104656=VECTOR('',#379365,10.); #104657=VECTOR('',#379366,10.); #104658=VECTOR('',#379367,10.); #104659=VECTOR('',#379370,10.); #104660=VECTOR('',#379371,10.); #104661=VECTOR('',#379372,10.); #104662=VECTOR('',#379375,10.); #104663=VECTOR('',#379376,10.); #104664=VECTOR('',#379377,10.); #104665=VECTOR('',#379380,10.); #104666=VECTOR('',#379381,10.); #104667=VECTOR('',#379382,10.); #104668=VECTOR('',#379385,10.); #104669=VECTOR('',#379386,10.); #104670=VECTOR('',#379387,10.); #104671=VECTOR('',#379390,10.); #104672=VECTOR('',#379391,10.); #104673=VECTOR('',#379392,10.); #104674=VECTOR('',#379395,10.); #104675=VECTOR('',#379396,10.); #104676=VECTOR('',#379397,10.); #104677=VECTOR('',#379400,10.); #104678=VECTOR('',#379401,10.); #104679=VECTOR('',#379402,10.); #104680=VECTOR('',#379405,10.); #104681=VECTOR('',#379406,10.); #104682=VECTOR('',#379407,10.); #104683=VECTOR('',#379410,10.); #104684=VECTOR('',#379411,10.); #104685=VECTOR('',#379412,10.); #104686=VECTOR('',#379415,10.); #104687=VECTOR('',#379416,10.); #104688=VECTOR('',#379417,10.); #104689=VECTOR('',#379420,10.); #104690=VECTOR('',#379421,10.); #104691=VECTOR('',#379422,10.); #104692=VECTOR('',#379425,10.); #104693=VECTOR('',#379426,10.); #104694=VECTOR('',#379427,10.); #104695=VECTOR('',#379430,10.); #104696=VECTOR('',#379431,10.); #104697=VECTOR('',#379432,10.); #104698=VECTOR('',#379435,10.); #104699=VECTOR('',#379436,10.); #104700=VECTOR('',#379437,10.); #104701=VECTOR('',#379440,10.); #104702=VECTOR('',#379441,10.); #104703=VECTOR('',#379442,10.); #104704=VECTOR('',#379445,10.); #104705=VECTOR('',#379446,10.); #104706=VECTOR('',#379447,10.); #104707=VECTOR('',#379450,10.); #104708=VECTOR('',#379451,10.); #104709=VECTOR('',#379452,10.); #104710=VECTOR('',#379455,10.); #104711=VECTOR('',#379456,10.); #104712=VECTOR('',#379457,10.); #104713=VECTOR('',#379460,10.); #104714=VECTOR('',#379461,10.); #104715=VECTOR('',#379462,10.); #104716=VECTOR('',#379465,10.); #104717=VECTOR('',#379466,10.); #104718=VECTOR('',#379467,10.); #104719=VECTOR('',#379470,10.); #104720=VECTOR('',#379471,10.); #104721=VECTOR('',#379472,10.); #104722=VECTOR('',#379475,10.); #104723=VECTOR('',#379476,10.); #104724=VECTOR('',#379477,10.); #104725=VECTOR('',#379480,10.); #104726=VECTOR('',#379481,10.); #104727=VECTOR('',#379482,10.); #104728=VECTOR('',#379485,10.); #104729=VECTOR('',#379486,10.); #104730=VECTOR('',#379487,10.); #104731=VECTOR('',#379490,10.); #104732=VECTOR('',#379491,10.); #104733=VECTOR('',#379492,10.); #104734=VECTOR('',#379495,10.); #104735=VECTOR('',#379496,10.); #104736=VECTOR('',#379497,10.); #104737=VECTOR('',#379500,10.); #104738=VECTOR('',#379501,10.); #104739=VECTOR('',#379502,10.); #104740=VECTOR('',#379505,10.); #104741=VECTOR('',#379506,10.); #104742=VECTOR('',#379507,10.); #104743=VECTOR('',#379510,10.); #104744=VECTOR('',#379511,10.); #104745=VECTOR('',#379512,10.); #104746=VECTOR('',#379515,10.); #104747=VECTOR('',#379516,10.); #104748=VECTOR('',#379517,10.); #104749=VECTOR('',#379520,10.); #104750=VECTOR('',#379521,10.); #104751=VECTOR('',#379522,10.); #104752=VECTOR('',#379525,10.); #104753=VECTOR('',#379526,10.); #104754=VECTOR('',#379527,10.); #104755=VECTOR('',#379530,10.); #104756=VECTOR('',#379531,10.); #104757=VECTOR('',#379532,10.); #104758=VECTOR('',#379535,10.); #104759=VECTOR('',#379536,10.); #104760=VECTOR('',#379537,10.); #104761=VECTOR('',#379540,10.); #104762=VECTOR('',#379541,10.); #104763=VECTOR('',#379542,10.); #104764=VECTOR('',#379545,10.); #104765=VECTOR('',#379546,10.); #104766=VECTOR('',#379547,10.); #104767=VECTOR('',#379550,10.); #104768=VECTOR('',#379551,10.); #104769=VECTOR('',#379552,10.); #104770=VECTOR('',#379555,10.); #104771=VECTOR('',#379556,10.); #104772=VECTOR('',#379557,10.); #104773=VECTOR('',#379560,10.); #104774=VECTOR('',#379561,10.); #104775=VECTOR('',#379562,10.); #104776=VECTOR('',#379565,10.); #104777=VECTOR('',#379566,10.); #104778=VECTOR('',#379567,10.); #104779=VECTOR('',#379570,10.); #104780=VECTOR('',#379571,10.); #104781=VECTOR('',#379572,10.); #104782=VECTOR('',#379575,10.); #104783=VECTOR('',#379576,10.); #104784=VECTOR('',#379577,10.); #104785=VECTOR('',#379580,10.); #104786=VECTOR('',#379581,10.); #104787=VECTOR('',#379582,10.); #104788=VECTOR('',#379585,10.); #104789=VECTOR('',#379586,10.); #104790=VECTOR('',#379587,10.); #104791=VECTOR('',#379590,10.); #104792=VECTOR('',#379591,10.); #104793=VECTOR('',#379592,10.); #104794=VECTOR('',#379595,10.); #104795=VECTOR('',#379596,10.); #104796=VECTOR('',#379597,10.); #104797=VECTOR('',#379600,10.); #104798=VECTOR('',#379601,10.); #104799=VECTOR('',#379602,10.); #104800=VECTOR('',#379605,10.); #104801=VECTOR('',#379606,10.); #104802=VECTOR('',#379607,10.); #104803=VECTOR('',#379610,10.); #104804=VECTOR('',#379611,10.); #104805=VECTOR('',#379612,10.); #104806=VECTOR('',#379615,10.); #104807=VECTOR('',#379616,10.); #104808=VECTOR('',#379617,10.); #104809=VECTOR('',#379620,10.); #104810=VECTOR('',#379621,10.); #104811=VECTOR('',#379622,10.); #104812=VECTOR('',#379625,10.); #104813=VECTOR('',#379626,10.); #104814=VECTOR('',#379627,10.); #104815=VECTOR('',#379630,10.); #104816=VECTOR('',#379631,10.); #104817=VECTOR('',#379632,10.); #104818=VECTOR('',#379635,10.); #104819=VECTOR('',#379636,10.); #104820=VECTOR('',#379637,10.); #104821=VECTOR('',#379640,10.); #104822=VECTOR('',#379641,10.); #104823=VECTOR('',#379642,10.); #104824=VECTOR('',#379645,10.); #104825=VECTOR('',#379646,10.); #104826=VECTOR('',#379647,10.); #104827=VECTOR('',#379650,10.); #104828=VECTOR('',#379651,10.); #104829=VECTOR('',#379652,10.); #104830=VECTOR('',#379655,10.); #104831=VECTOR('',#379656,10.); #104832=VECTOR('',#379657,10.); #104833=VECTOR('',#379660,10.); #104834=VECTOR('',#379661,10.); #104835=VECTOR('',#379662,10.); #104836=VECTOR('',#379665,10.); #104837=VECTOR('',#379666,10.); #104838=VECTOR('',#379667,10.); #104839=VECTOR('',#379670,10.); #104840=VECTOR('',#379671,10.); #104841=VECTOR('',#379672,10.); #104842=VECTOR('',#379675,10.); #104843=VECTOR('',#379676,10.); #104844=VECTOR('',#379677,10.); #104845=VECTOR('',#379680,10.); #104846=VECTOR('',#379681,10.); #104847=VECTOR('',#379682,10.); #104848=VECTOR('',#379685,10.); #104849=VECTOR('',#379686,10.); #104850=VECTOR('',#379687,10.); #104851=VECTOR('',#379690,10.); #104852=VECTOR('',#379691,10.); #104853=VECTOR('',#379692,10.); #104854=VECTOR('',#379695,10.); #104855=VECTOR('',#379696,10.); #104856=VECTOR('',#379697,10.); #104857=VECTOR('',#379700,10.); #104858=VECTOR('',#379701,10.); #104859=VECTOR('',#379702,10.); #104860=VECTOR('',#379705,10.); #104861=VECTOR('',#379706,10.); #104862=VECTOR('',#379707,10.); #104863=VECTOR('',#379710,10.); #104864=VECTOR('',#379711,10.); #104865=VECTOR('',#379712,10.); #104866=VECTOR('',#379715,10.); #104867=VECTOR('',#379716,10.); #104868=VECTOR('',#379717,10.); #104869=VECTOR('',#379720,10.); #104870=VECTOR('',#379721,10.); #104871=VECTOR('',#379722,10.); #104872=VECTOR('',#379725,10.); #104873=VECTOR('',#379726,10.); #104874=VECTOR('',#379727,10.); #104875=VECTOR('',#379730,10.); #104876=VECTOR('',#379731,10.); #104877=VECTOR('',#379732,10.); #104878=VECTOR('',#379735,10.); #104879=VECTOR('',#379736,10.); #104880=VECTOR('',#379737,10.); #104881=VECTOR('',#379740,10.); #104882=VECTOR('',#379741,10.); #104883=VECTOR('',#379742,10.); #104884=VECTOR('',#379745,10.); #104885=VECTOR('',#379746,10.); #104886=VECTOR('',#379747,10.); #104887=VECTOR('',#379750,10.); #104888=VECTOR('',#379751,10.); #104889=VECTOR('',#379752,10.); #104890=VECTOR('',#379755,10.); #104891=VECTOR('',#379756,10.); #104892=VECTOR('',#379757,10.); #104893=VECTOR('',#379760,10.); #104894=VECTOR('',#379761,10.); #104895=VECTOR('',#379762,10.); #104896=VECTOR('',#379765,10.); #104897=VECTOR('',#379766,10.); #104898=VECTOR('',#379767,10.); #104899=VECTOR('',#379770,10.); #104900=VECTOR('',#379771,10.); #104901=VECTOR('',#379772,10.); #104902=VECTOR('',#379775,10.); #104903=VECTOR('',#379776,10.); #104904=VECTOR('',#379777,10.); #104905=VECTOR('',#379780,10.); #104906=VECTOR('',#379781,10.); #104907=VECTOR('',#379782,10.); #104908=VECTOR('',#379785,10.); #104909=VECTOR('',#379786,10.); #104910=VECTOR('',#379787,10.); #104911=VECTOR('',#379790,10.); #104912=VECTOR('',#379791,10.); #104913=VECTOR('',#379792,10.); #104914=VECTOR('',#379795,10.); #104915=VECTOR('',#379796,10.); #104916=VECTOR('',#379797,10.); #104917=VECTOR('',#379800,10.); #104918=VECTOR('',#379801,10.); #104919=VECTOR('',#379802,10.); #104920=VECTOR('',#379805,10.); #104921=VECTOR('',#379806,10.); #104922=VECTOR('',#379807,10.); #104923=VECTOR('',#379810,10.); #104924=VECTOR('',#379811,10.); #104925=VECTOR('',#379812,10.); #104926=VECTOR('',#379815,10.); #104927=VECTOR('',#379816,10.); #104928=VECTOR('',#379817,10.); #104929=VECTOR('',#379820,10.); #104930=VECTOR('',#379821,10.); #104931=VECTOR('',#379822,10.); #104932=VECTOR('',#379825,10.); #104933=VECTOR('',#379826,10.); #104934=VECTOR('',#379827,10.); #104935=VECTOR('',#379830,10.); #104936=VECTOR('',#379831,10.); #104937=VECTOR('',#379832,10.); #104938=VECTOR('',#379835,10.); #104939=VECTOR('',#379836,10.); #104940=VECTOR('',#379837,10.); #104941=VECTOR('',#379840,10.); #104942=VECTOR('',#379841,10.); #104943=VECTOR('',#379842,10.); #104944=VECTOR('',#379845,10.); #104945=VECTOR('',#379846,10.); #104946=VECTOR('',#379847,10.); #104947=VECTOR('',#379850,10.); #104948=VECTOR('',#379851,10.); #104949=VECTOR('',#379852,10.); #104950=VECTOR('',#379855,10.); #104951=VECTOR('',#379856,10.); #104952=VECTOR('',#379857,10.); #104953=VECTOR('',#379860,10.); #104954=VECTOR('',#379861,10.); #104955=VECTOR('',#379862,10.); #104956=VECTOR('',#379865,10.); #104957=VECTOR('',#379866,10.); #104958=VECTOR('',#379867,10.); #104959=VECTOR('',#379870,10.); #104960=VECTOR('',#379871,10.); #104961=VECTOR('',#379872,10.); #104962=VECTOR('',#379875,10.); #104963=VECTOR('',#379876,10.); #104964=VECTOR('',#379877,10.); #104965=VECTOR('',#379880,10.); #104966=VECTOR('',#379881,10.); #104967=VECTOR('',#379882,10.); #104968=VECTOR('',#379885,10.); #104969=VECTOR('',#379886,10.); #104970=VECTOR('',#379887,10.); #104971=VECTOR('',#379890,10.); #104972=VECTOR('',#379891,10.); #104973=VECTOR('',#379892,10.); #104974=VECTOR('',#379895,10.); #104975=VECTOR('',#379896,10.); #104976=VECTOR('',#379897,10.); #104977=VECTOR('',#379900,10.); #104978=VECTOR('',#379901,10.); #104979=VECTOR('',#379902,10.); #104980=VECTOR('',#379905,10.); #104981=VECTOR('',#379906,10.); #104982=VECTOR('',#379907,10.); #104983=VECTOR('',#379910,10.); #104984=VECTOR('',#379911,10.); #104985=VECTOR('',#379912,10.); #104986=VECTOR('',#379915,10.); #104987=VECTOR('',#379916,10.); #104988=VECTOR('',#379917,10.); #104989=VECTOR('',#379920,10.); #104990=VECTOR('',#379921,10.); #104991=VECTOR('',#379922,10.); #104992=VECTOR('',#379925,10.); #104993=VECTOR('',#379926,10.); #104994=VECTOR('',#379927,10.); #104995=VECTOR('',#379930,10.); #104996=VECTOR('',#379931,10.); #104997=VECTOR('',#379932,10.); #104998=VECTOR('',#379935,10.); #104999=VECTOR('',#379936,10.); #105000=VECTOR('',#379937,10.); #105001=VECTOR('',#379940,10.); #105002=VECTOR('',#379941,10.); #105003=VECTOR('',#379942,10.); #105004=VECTOR('',#379945,10.); #105005=VECTOR('',#379946,10.); #105006=VECTOR('',#379947,10.); #105007=VECTOR('',#379950,10.); #105008=VECTOR('',#379951,10.); #105009=VECTOR('',#379952,10.); #105010=VECTOR('',#379955,10.); #105011=VECTOR('',#379956,10.); #105012=VECTOR('',#379957,10.); #105013=VECTOR('',#379960,10.); #105014=VECTOR('',#379961,10.); #105015=VECTOR('',#379962,10.); #105016=VECTOR('',#379965,10.); #105017=VECTOR('',#379966,10.); #105018=VECTOR('',#379967,10.); #105019=VECTOR('',#379970,10.); #105020=VECTOR('',#379971,10.); #105021=VECTOR('',#379972,10.); #105022=VECTOR('',#379975,10.); #105023=VECTOR('',#379976,10.); #105024=VECTOR('',#379977,10.); #105025=VECTOR('',#379980,10.); #105026=VECTOR('',#379981,10.); #105027=VECTOR('',#379982,10.); #105028=VECTOR('',#379985,10.); #105029=VECTOR('',#379986,10.); #105030=VECTOR('',#379987,10.); #105031=VECTOR('',#379990,10.); #105032=VECTOR('',#379991,10.); #105033=VECTOR('',#379992,10.); #105034=VECTOR('',#379995,10.); #105035=VECTOR('',#379996,10.); #105036=VECTOR('',#379997,10.); #105037=VECTOR('',#380000,10.); #105038=VECTOR('',#380001,10.); #105039=VECTOR('',#380002,10.); #105040=VECTOR('',#380005,10.); #105041=VECTOR('',#380006,10.); #105042=VECTOR('',#380007,10.); #105043=VECTOR('',#380010,10.); #105044=VECTOR('',#380011,10.); #105045=VECTOR('',#380012,10.); #105046=VECTOR('',#380015,10.); #105047=VECTOR('',#380016,10.); #105048=VECTOR('',#380017,10.); #105049=VECTOR('',#380020,10.); #105050=VECTOR('',#380021,10.); #105051=VECTOR('',#380022,10.); #105052=VECTOR('',#380025,10.); #105053=VECTOR('',#380026,10.); #105054=VECTOR('',#380027,10.); #105055=VECTOR('',#380030,10.); #105056=VECTOR('',#380031,10.); #105057=VECTOR('',#380032,10.); #105058=VECTOR('',#380035,10.); #105059=VECTOR('',#380036,10.); #105060=VECTOR('',#380037,10.); #105061=VECTOR('',#380040,10.); #105062=VECTOR('',#380041,10.); #105063=VECTOR('',#380042,10.); #105064=VECTOR('',#380045,10.); #105065=VECTOR('',#380046,10.); #105066=VECTOR('',#380047,10.); #105067=VECTOR('',#380050,10.); #105068=VECTOR('',#380051,10.); #105069=VECTOR('',#380052,10.); #105070=VECTOR('',#380055,10.); #105071=VECTOR('',#380056,10.); #105072=VECTOR('',#380057,10.); #105073=VECTOR('',#380060,10.); #105074=VECTOR('',#380061,10.); #105075=VECTOR('',#380062,10.); #105076=VECTOR('',#380065,10.); #105077=VECTOR('',#380066,10.); #105078=VECTOR('',#380067,10.); #105079=VECTOR('',#380070,10.); #105080=VECTOR('',#380071,10.); #105081=VECTOR('',#380072,10.); #105082=VECTOR('',#380075,10.); #105083=VECTOR('',#380076,10.); #105084=VECTOR('',#380077,10.); #105085=VECTOR('',#380080,10.); #105086=VECTOR('',#380081,10.); #105087=VECTOR('',#380082,10.); #105088=VECTOR('',#380085,10.); #105089=VECTOR('',#380086,10.); #105090=VECTOR('',#380087,10.); #105091=VECTOR('',#380090,10.); #105092=VECTOR('',#380091,10.); #105093=VECTOR('',#380092,10.); #105094=VECTOR('',#380095,10.); #105095=VECTOR('',#380096,10.); #105096=VECTOR('',#380097,10.); #105097=VECTOR('',#380100,10.); #105098=VECTOR('',#380101,10.); #105099=VECTOR('',#380102,10.); #105100=VECTOR('',#380105,10.); #105101=VECTOR('',#380106,10.); #105102=VECTOR('',#380107,10.); #105103=VECTOR('',#380110,10.); #105104=VECTOR('',#380111,10.); #105105=VECTOR('',#380112,10.); #105106=VECTOR('',#380115,10.); #105107=VECTOR('',#380116,10.); #105108=VECTOR('',#380117,10.); #105109=VECTOR('',#380120,10.); #105110=VECTOR('',#380121,10.); #105111=VECTOR('',#380122,10.); #105112=VECTOR('',#380125,10.); #105113=VECTOR('',#380126,10.); #105114=VECTOR('',#380127,10.); #105115=VECTOR('',#380130,10.); #105116=VECTOR('',#380131,10.); #105117=VECTOR('',#380132,10.); #105118=VECTOR('',#380135,10.); #105119=VECTOR('',#380136,10.); #105120=VECTOR('',#380137,10.); #105121=VECTOR('',#380140,10.); #105122=VECTOR('',#380141,10.); #105123=VECTOR('',#380142,10.); #105124=VECTOR('',#380145,10.); #105125=VECTOR('',#380146,10.); #105126=VECTOR('',#380147,10.); #105127=VECTOR('',#380150,10.); #105128=VECTOR('',#380151,10.); #105129=VECTOR('',#380152,10.); #105130=VECTOR('',#380155,10.); #105131=VECTOR('',#380156,10.); #105132=VECTOR('',#380157,10.); #105133=VECTOR('',#380160,10.); #105134=VECTOR('',#380161,10.); #105135=VECTOR('',#380162,10.); #105136=VECTOR('',#380165,10.); #105137=VECTOR('',#380166,10.); #105138=VECTOR('',#380167,10.); #105139=VECTOR('',#380170,10.); #105140=VECTOR('',#380171,10.); #105141=VECTOR('',#380172,10.); #105142=VECTOR('',#380175,10.); #105143=VECTOR('',#380176,10.); #105144=VECTOR('',#380177,10.); #105145=VECTOR('',#380180,10.); #105146=VECTOR('',#380181,10.); #105147=VECTOR('',#380182,10.); #105148=VECTOR('',#380185,10.); #105149=VECTOR('',#380186,10.); #105150=VECTOR('',#380187,10.); #105151=VECTOR('',#380190,10.); #105152=VECTOR('',#380191,10.); #105153=VECTOR('',#380192,10.); #105154=VECTOR('',#380195,10.); #105155=VECTOR('',#380196,10.); #105156=VECTOR('',#380197,10.); #105157=VECTOR('',#380200,10.); #105158=VECTOR('',#380201,10.); #105159=VECTOR('',#380202,10.); #105160=VECTOR('',#380205,10.); #105161=VECTOR('',#380206,10.); #105162=VECTOR('',#380207,10.); #105163=VECTOR('',#380210,10.); #105164=VECTOR('',#380211,10.); #105165=VECTOR('',#380212,10.); #105166=VECTOR('',#380215,10.); #105167=VECTOR('',#380216,10.); #105168=VECTOR('',#380217,10.); #105169=VECTOR('',#380220,10.); #105170=VECTOR('',#380221,10.); #105171=VECTOR('',#380222,10.); #105172=VECTOR('',#380225,10.); #105173=VECTOR('',#380226,10.); #105174=VECTOR('',#380227,10.); #105175=VECTOR('',#380230,10.); #105176=VECTOR('',#380231,10.); #105177=VECTOR('',#380232,10.); #105178=VECTOR('',#380235,10.); #105179=VECTOR('',#380236,10.); #105180=VECTOR('',#380237,10.); #105181=VECTOR('',#380240,10.); #105182=VECTOR('',#380241,10.); #105183=VECTOR('',#380242,10.); #105184=VECTOR('',#380245,10.); #105185=VECTOR('',#380246,10.); #105186=VECTOR('',#380247,10.); #105187=VECTOR('',#380250,10.); #105188=VECTOR('',#380251,10.); #105189=VECTOR('',#380252,10.); #105190=VECTOR('',#380255,10.); #105191=VECTOR('',#380256,10.); #105192=VECTOR('',#380257,10.); #105193=VECTOR('',#380260,10.); #105194=VECTOR('',#380261,10.); #105195=VECTOR('',#380262,10.); #105196=VECTOR('',#380265,10.); #105197=VECTOR('',#380266,10.); #105198=VECTOR('',#380267,10.); #105199=VECTOR('',#380270,10.); #105200=VECTOR('',#380271,10.); #105201=VECTOR('',#380272,10.); #105202=VECTOR('',#380275,10.); #105203=VECTOR('',#380276,10.); #105204=VECTOR('',#380277,10.); #105205=VECTOR('',#380280,10.); #105206=VECTOR('',#380281,10.); #105207=VECTOR('',#380282,10.); #105208=VECTOR('',#380285,10.); #105209=VECTOR('',#380286,10.); #105210=VECTOR('',#380287,10.); #105211=VECTOR('',#380290,10.); #105212=VECTOR('',#380291,10.); #105213=VECTOR('',#380292,10.); #105214=VECTOR('',#380295,10.); #105215=VECTOR('',#380296,10.); #105216=VECTOR('',#380297,10.); #105217=VECTOR('',#380300,10.); #105218=VECTOR('',#380301,10.); #105219=VECTOR('',#380302,10.); #105220=VECTOR('',#380305,10.); #105221=VECTOR('',#380306,10.); #105222=VECTOR('',#380307,10.); #105223=VECTOR('',#380310,10.); #105224=VECTOR('',#380311,10.); #105225=VECTOR('',#380312,10.); #105226=VECTOR('',#380315,10.); #105227=VECTOR('',#380316,10.); #105228=VECTOR('',#380317,10.); #105229=VECTOR('',#380320,10.); #105230=VECTOR('',#380321,10.); #105231=VECTOR('',#380322,10.); #105232=VECTOR('',#380325,10.); #105233=VECTOR('',#380326,10.); #105234=VECTOR('',#380327,10.); #105235=VECTOR('',#380330,10.); #105236=VECTOR('',#380331,10.); #105237=VECTOR('',#380332,10.); #105238=VECTOR('',#380335,10.); #105239=VECTOR('',#380336,10.); #105240=VECTOR('',#380337,10.); #105241=VECTOR('',#380340,10.); #105242=VECTOR('',#380341,10.); #105243=VECTOR('',#380342,10.); #105244=VECTOR('',#380345,10.); #105245=VECTOR('',#380346,10.); #105246=VECTOR('',#380347,10.); #105247=VECTOR('',#380350,10.); #105248=VECTOR('',#380351,10.); #105249=VECTOR('',#380352,10.); #105250=VECTOR('',#380355,10.); #105251=VECTOR('',#380356,10.); #105252=VECTOR('',#380357,10.); #105253=VECTOR('',#380360,10.); #105254=VECTOR('',#380361,10.); #105255=VECTOR('',#380362,10.); #105256=VECTOR('',#380365,10.); #105257=VECTOR('',#380366,10.); #105258=VECTOR('',#380367,10.); #105259=VECTOR('',#380370,10.); #105260=VECTOR('',#380371,10.); #105261=VECTOR('',#380372,10.); #105262=VECTOR('',#380375,10.); #105263=VECTOR('',#380376,10.); #105264=VECTOR('',#380377,10.); #105265=VECTOR('',#380380,10.); #105266=VECTOR('',#380381,10.); #105267=VECTOR('',#380382,10.); #105268=VECTOR('',#380385,10.); #105269=VECTOR('',#380386,10.); #105270=VECTOR('',#380387,10.); #105271=VECTOR('',#380390,10.); #105272=VECTOR('',#380391,10.); #105273=VECTOR('',#380392,10.); #105274=VECTOR('',#380395,10.); #105275=VECTOR('',#380396,10.); #105276=VECTOR('',#380397,10.); #105277=VECTOR('',#380400,10.); #105278=VECTOR('',#380401,10.); #105279=VECTOR('',#380402,10.); #105280=VECTOR('',#380405,10.); #105281=VECTOR('',#380406,10.); #105282=VECTOR('',#380407,10.); #105283=VECTOR('',#380410,10.); #105284=VECTOR('',#380411,10.); #105285=VECTOR('',#380412,10.); #105286=VECTOR('',#380415,10.); #105287=VECTOR('',#380416,10.); #105288=VECTOR('',#380417,10.); #105289=VECTOR('',#380420,10.); #105290=VECTOR('',#380421,10.); #105291=VECTOR('',#380422,10.); #105292=VECTOR('',#380425,10.); #105293=VECTOR('',#380426,10.); #105294=VECTOR('',#380427,10.); #105295=VECTOR('',#380430,10.); #105296=VECTOR('',#380431,10.); #105297=VECTOR('',#380432,10.); #105298=VECTOR('',#380435,10.); #105299=VECTOR('',#380436,10.); #105300=VECTOR('',#380437,10.); #105301=VECTOR('',#380440,10.); #105302=VECTOR('',#380441,10.); #105303=VECTOR('',#380442,10.); #105304=VECTOR('',#380445,10.); #105305=VECTOR('',#380446,10.); #105306=VECTOR('',#380447,10.); #105307=VECTOR('',#380450,10.); #105308=VECTOR('',#380451,10.); #105309=VECTOR('',#380452,10.); #105310=VECTOR('',#380455,10.); #105311=VECTOR('',#380456,10.); #105312=VECTOR('',#380457,10.); #105313=VECTOR('',#380460,10.); #105314=VECTOR('',#380461,10.); #105315=VECTOR('',#380462,10.); #105316=VECTOR('',#380465,10.); #105317=VECTOR('',#380466,10.); #105318=VECTOR('',#380467,10.); #105319=VECTOR('',#380470,10.); #105320=VECTOR('',#380471,10.); #105321=VECTOR('',#380472,10.); #105322=VECTOR('',#380475,10.); #105323=VECTOR('',#380476,10.); #105324=VECTOR('',#380477,10.); #105325=VECTOR('',#380480,10.); #105326=VECTOR('',#380481,10.); #105327=VECTOR('',#380482,10.); #105328=VECTOR('',#380485,10.); #105329=VECTOR('',#380486,10.); #105330=VECTOR('',#380487,10.); #105331=VECTOR('',#380490,10.); #105332=VECTOR('',#380491,10.); #105333=VECTOR('',#380492,10.); #105334=VECTOR('',#380495,10.); #105335=VECTOR('',#380496,10.); #105336=VECTOR('',#380497,10.); #105337=VECTOR('',#380500,10.); #105338=VECTOR('',#380501,10.); #105339=VECTOR('',#380502,10.); #105340=VECTOR('',#380505,10.); #105341=VECTOR('',#380506,10.); #105342=VECTOR('',#380507,10.); #105343=VECTOR('',#380510,10.); #105344=VECTOR('',#380511,10.); #105345=VECTOR('',#380512,10.); #105346=VECTOR('',#380515,10.); #105347=VECTOR('',#380516,10.); #105348=VECTOR('',#380517,10.); #105349=VECTOR('',#380520,10.); #105350=VECTOR('',#380521,10.); #105351=VECTOR('',#380522,10.); #105352=VECTOR('',#380525,10.); #105353=VECTOR('',#380526,10.); #105354=VECTOR('',#380527,10.); #105355=VECTOR('',#380530,10.); #105356=VECTOR('',#380531,10.); #105357=VECTOR('',#380532,10.); #105358=VECTOR('',#380535,10.); #105359=VECTOR('',#380536,10.); #105360=VECTOR('',#380537,10.); #105361=VECTOR('',#380540,10.); #105362=VECTOR('',#380541,10.); #105363=VECTOR('',#380542,10.); #105364=VECTOR('',#380545,10.); #105365=VECTOR('',#380546,10.); #105366=VECTOR('',#380547,10.); #105367=VECTOR('',#380550,10.); #105368=VECTOR('',#380551,10.); #105369=VECTOR('',#380552,10.); #105370=VECTOR('',#380555,10.); #105371=VECTOR('',#380556,10.); #105372=VECTOR('',#380557,10.); #105373=VECTOR('',#380560,10.); #105374=VECTOR('',#380561,10.); #105375=VECTOR('',#380562,10.); #105376=VECTOR('',#380565,10.); #105377=VECTOR('',#380566,10.); #105378=VECTOR('',#380567,10.); #105379=VECTOR('',#380570,10.); #105380=VECTOR('',#380571,10.); #105381=VECTOR('',#380572,10.); #105382=VECTOR('',#380575,10.); #105383=VECTOR('',#380576,10.); #105384=VECTOR('',#380577,10.); #105385=VECTOR('',#380580,10.); #105386=VECTOR('',#380581,10.); #105387=VECTOR('',#380582,10.); #105388=VECTOR('',#380585,10.); #105389=VECTOR('',#380586,10.); #105390=VECTOR('',#380587,10.); #105391=VECTOR('',#380590,10.); #105392=VECTOR('',#380591,10.); #105393=VECTOR('',#380592,10.); #105394=VECTOR('',#380595,10.); #105395=VECTOR('',#380596,10.); #105396=VECTOR('',#380597,10.); #105397=VECTOR('',#380600,10.); #105398=VECTOR('',#380601,10.); #105399=VECTOR('',#380602,10.); #105400=VECTOR('',#380605,10.); #105401=VECTOR('',#380606,10.); #105402=VECTOR('',#380607,10.); #105403=VECTOR('',#380610,10.); #105404=VECTOR('',#380611,10.); #105405=VECTOR('',#380612,10.); #105406=VECTOR('',#380615,10.); #105407=VECTOR('',#380616,10.); #105408=VECTOR('',#380617,10.); #105409=VECTOR('',#380620,10.); #105410=VECTOR('',#380621,10.); #105411=VECTOR('',#380622,10.); #105412=VECTOR('',#380625,10.); #105413=VECTOR('',#380626,10.); #105414=VECTOR('',#380627,10.); #105415=VECTOR('',#380630,10.); #105416=VECTOR('',#380631,10.); #105417=VECTOR('',#380632,10.); #105418=VECTOR('',#380635,10.); #105419=VECTOR('',#380636,10.); #105420=VECTOR('',#380637,10.); #105421=VECTOR('',#380640,10.); #105422=VECTOR('',#380641,10.); #105423=VECTOR('',#380642,10.); #105424=VECTOR('',#380645,10.); #105425=VECTOR('',#380646,10.); #105426=VECTOR('',#380647,10.); #105427=VECTOR('',#380650,10.); #105428=VECTOR('',#380651,10.); #105429=VECTOR('',#380652,10.); #105430=VECTOR('',#380655,10.); #105431=VECTOR('',#380656,10.); #105432=VECTOR('',#380657,10.); #105433=VECTOR('',#380660,10.); #105434=VECTOR('',#380661,10.); #105435=VECTOR('',#380662,10.); #105436=VECTOR('',#380665,10.); #105437=VECTOR('',#380666,10.); #105438=VECTOR('',#380667,10.); #105439=VECTOR('',#380670,10.); #105440=VECTOR('',#380671,10.); #105441=VECTOR('',#380672,10.); #105442=VECTOR('',#380675,10.); #105443=VECTOR('',#380676,10.); #105444=VECTOR('',#380677,10.); #105445=VECTOR('',#380680,10.); #105446=VECTOR('',#380681,10.); #105447=VECTOR('',#380682,10.); #105448=VECTOR('',#380685,10.); #105449=VECTOR('',#380686,10.); #105450=VECTOR('',#380687,10.); #105451=VECTOR('',#380690,10.); #105452=VECTOR('',#380691,10.); #105453=VECTOR('',#380692,10.); #105454=VECTOR('',#380695,10.); #105455=VECTOR('',#380696,10.); #105456=VECTOR('',#380697,10.); #105457=VECTOR('',#380700,10.); #105458=VECTOR('',#380701,10.); #105459=VECTOR('',#380702,10.); #105460=VECTOR('',#380705,10.); #105461=VECTOR('',#380706,10.); #105462=VECTOR('',#380707,10.); #105463=VECTOR('',#380710,10.); #105464=VECTOR('',#380711,10.); #105465=VECTOR('',#380712,10.); #105466=VECTOR('',#380715,10.); #105467=VECTOR('',#380716,10.); #105468=VECTOR('',#380717,10.); #105469=VECTOR('',#380720,10.); #105470=VECTOR('',#380721,10.); #105471=VECTOR('',#380722,10.); #105472=VECTOR('',#380725,10.); #105473=VECTOR('',#380726,10.); #105474=VECTOR('',#380727,10.); #105475=VECTOR('',#380730,10.); #105476=VECTOR('',#380731,10.); #105477=VECTOR('',#380732,10.); #105478=VECTOR('',#380735,10.); #105479=VECTOR('',#380736,10.); #105480=VECTOR('',#380737,10.); #105481=VECTOR('',#380740,10.); #105482=VECTOR('',#380741,10.); #105483=VECTOR('',#380742,10.); #105484=VECTOR('',#380745,10.); #105485=VECTOR('',#380746,10.); #105486=VECTOR('',#380747,10.); #105487=VECTOR('',#380750,10.); #105488=VECTOR('',#380751,10.); #105489=VECTOR('',#380752,10.); #105490=VECTOR('',#380755,10.); #105491=VECTOR('',#380756,10.); #105492=VECTOR('',#380757,10.); #105493=VECTOR('',#380760,10.); #105494=VECTOR('',#380761,10.); #105495=VECTOR('',#380762,10.); #105496=VECTOR('',#380765,10.); #105497=VECTOR('',#380766,10.); #105498=VECTOR('',#380767,10.); #105499=VECTOR('',#380770,10.); #105500=VECTOR('',#380771,10.); #105501=VECTOR('',#380772,10.); #105502=VECTOR('',#380775,10.); #105503=VECTOR('',#380776,10.); #105504=VECTOR('',#380777,10.); #105505=VECTOR('',#380780,10.); #105506=VECTOR('',#380781,10.); #105507=VECTOR('',#380782,10.); #105508=VECTOR('',#380785,10.); #105509=VECTOR('',#380786,10.); #105510=VECTOR('',#380787,10.); #105511=VECTOR('',#380790,10.); #105512=VECTOR('',#380791,10.); #105513=VECTOR('',#380792,10.); #105514=VECTOR('',#380795,10.); #105515=VECTOR('',#380796,10.); #105516=VECTOR('',#380797,10.); #105517=VECTOR('',#380800,10.); #105518=VECTOR('',#380801,10.); #105519=VECTOR('',#380802,10.); #105520=VECTOR('',#380805,10.); #105521=VECTOR('',#380806,10.); #105522=VECTOR('',#380807,10.); #105523=VECTOR('',#380810,10.); #105524=VECTOR('',#380811,10.); #105525=VECTOR('',#380812,10.); #105526=VECTOR('',#380815,10.); #105527=VECTOR('',#380816,10.); #105528=VECTOR('',#380817,10.); #105529=VECTOR('',#380820,10.); #105530=VECTOR('',#380821,10.); #105531=VECTOR('',#380822,10.); #105532=VECTOR('',#380825,10.); #105533=VECTOR('',#380826,10.); #105534=VECTOR('',#380827,10.); #105535=VECTOR('',#380830,10.); #105536=VECTOR('',#380831,10.); #105537=VECTOR('',#380832,10.); #105538=VECTOR('',#380835,10.); #105539=VECTOR('',#380836,10.); #105540=VECTOR('',#380837,10.); #105541=VECTOR('',#380840,10.); #105542=VECTOR('',#380841,10.); #105543=VECTOR('',#380842,10.); #105544=VECTOR('',#380845,10.); #105545=VECTOR('',#380846,10.); #105546=VECTOR('',#380847,10.); #105547=VECTOR('',#380850,10.); #105548=VECTOR('',#380851,10.); #105549=VECTOR('',#380852,10.); #105550=VECTOR('',#380855,10.); #105551=VECTOR('',#380856,10.); #105552=VECTOR('',#380857,10.); #105553=VECTOR('',#380860,10.); #105554=VECTOR('',#380861,10.); #105555=VECTOR('',#380862,10.); #105556=VECTOR('',#380865,10.); #105557=VECTOR('',#380866,10.); #105558=VECTOR('',#380867,10.); #105559=VECTOR('',#380870,10.); #105560=VECTOR('',#380871,10.); #105561=VECTOR('',#380872,10.); #105562=VECTOR('',#380875,10.); #105563=VECTOR('',#380876,10.); #105564=VECTOR('',#380877,10.); #105565=VECTOR('',#380880,10.); #105566=VECTOR('',#380881,10.); #105567=VECTOR('',#380882,10.); #105568=VECTOR('',#380885,10.); #105569=VECTOR('',#380886,10.); #105570=VECTOR('',#380887,10.); #105571=VECTOR('',#380890,10.); #105572=VECTOR('',#380891,10.); #105573=VECTOR('',#380892,10.); #105574=VECTOR('',#380895,10.); #105575=VECTOR('',#380896,10.); #105576=VECTOR('',#380897,10.); #105577=VECTOR('',#380900,10.); #105578=VECTOR('',#380901,10.); #105579=VECTOR('',#380902,10.); #105580=VECTOR('',#380905,10.); #105581=VECTOR('',#380906,10.); #105582=VECTOR('',#380907,10.); #105583=VECTOR('',#380910,10.); #105584=VECTOR('',#380911,10.); #105585=VECTOR('',#380912,10.); #105586=VECTOR('',#380915,10.); #105587=VECTOR('',#380916,10.); #105588=VECTOR('',#380917,10.); #105589=VECTOR('',#380920,10.); #105590=VECTOR('',#380921,10.); #105591=VECTOR('',#380922,10.); #105592=VECTOR('',#380925,10.); #105593=VECTOR('',#380926,10.); #105594=VECTOR('',#380927,10.); #105595=VECTOR('',#380930,10.); #105596=VECTOR('',#380931,10.); #105597=VECTOR('',#380932,10.); #105598=VECTOR('',#380935,10.); #105599=VECTOR('',#380936,10.); #105600=VECTOR('',#380937,10.); #105601=VECTOR('',#380940,10.); #105602=VECTOR('',#380941,10.); #105603=VECTOR('',#380942,10.); #105604=VECTOR('',#380945,10.); #105605=VECTOR('',#380946,10.); #105606=VECTOR('',#380947,10.); #105607=VECTOR('',#380950,10.); #105608=VECTOR('',#380951,10.); #105609=VECTOR('',#380952,10.); #105610=VECTOR('',#380955,10.); #105611=VECTOR('',#380956,10.); #105612=VECTOR('',#380957,10.); #105613=VECTOR('',#380960,10.); #105614=VECTOR('',#380961,10.); #105615=VECTOR('',#380962,10.); #105616=VECTOR('',#380965,10.); #105617=VECTOR('',#380966,10.); #105618=VECTOR('',#380967,10.); #105619=VECTOR('',#380970,10.); #105620=VECTOR('',#380971,10.); #105621=VECTOR('',#380972,10.); #105622=VECTOR('',#380975,10.); #105623=VECTOR('',#380976,10.); #105624=VECTOR('',#380977,10.); #105625=VECTOR('',#380980,10.); #105626=VECTOR('',#380981,10.); #105627=VECTOR('',#380982,10.); #105628=VECTOR('',#380985,10.); #105629=VECTOR('',#380986,10.); #105630=VECTOR('',#380987,10.); #105631=VECTOR('',#380990,10.); #105632=VECTOR('',#380991,10.); #105633=VECTOR('',#380992,10.); #105634=VECTOR('',#380995,10.); #105635=VECTOR('',#380996,10.); #105636=VECTOR('',#380997,10.); #105637=VECTOR('',#381000,10.); #105638=VECTOR('',#381001,10.); #105639=VECTOR('',#381002,10.); #105640=VECTOR('',#381005,10.); #105641=VECTOR('',#381006,10.); #105642=VECTOR('',#381007,10.); #105643=VECTOR('',#381010,10.); #105644=VECTOR('',#381011,10.); #105645=VECTOR('',#381012,10.); #105646=VECTOR('',#381015,10.); #105647=VECTOR('',#381016,10.); #105648=VECTOR('',#381017,10.); #105649=VECTOR('',#381020,10.); #105650=VECTOR('',#381021,10.); #105651=VECTOR('',#381022,10.); #105652=VECTOR('',#381025,10.); #105653=VECTOR('',#381026,10.); #105654=VECTOR('',#381027,10.); #105655=VECTOR('',#381030,10.); #105656=VECTOR('',#381031,10.); #105657=VECTOR('',#381032,10.); #105658=VECTOR('',#381035,10.); #105659=VECTOR('',#381036,10.); #105660=VECTOR('',#381037,10.); #105661=VECTOR('',#381040,10.); #105662=VECTOR('',#381041,10.); #105663=VECTOR('',#381042,10.); #105664=VECTOR('',#381045,10.); #105665=VECTOR('',#381046,10.); #105666=VECTOR('',#381047,10.); #105667=VECTOR('',#381050,10.); #105668=VECTOR('',#381051,10.); #105669=VECTOR('',#381052,10.); #105670=VECTOR('',#381055,10.); #105671=VECTOR('',#381056,10.); #105672=VECTOR('',#381057,10.); #105673=VECTOR('',#381060,10.); #105674=VECTOR('',#381061,10.); #105675=VECTOR('',#381062,10.); #105676=VECTOR('',#381065,10.); #105677=VECTOR('',#381066,10.); #105678=VECTOR('',#381067,10.); #105679=VECTOR('',#381070,10.); #105680=VECTOR('',#381071,10.); #105681=VECTOR('',#381072,10.); #105682=VECTOR('',#381075,10.); #105683=VECTOR('',#381076,10.); #105684=VECTOR('',#381077,10.); #105685=VECTOR('',#381080,10.); #105686=VECTOR('',#381081,10.); #105687=VECTOR('',#381082,10.); #105688=VECTOR('',#381085,10.); #105689=VECTOR('',#381086,10.); #105690=VECTOR('',#381087,10.); #105691=VECTOR('',#381090,10.); #105692=VECTOR('',#381091,10.); #105693=VECTOR('',#381092,10.); #105694=VECTOR('',#381095,10.); #105695=VECTOR('',#381096,10.); #105696=VECTOR('',#381097,10.); #105697=VECTOR('',#381100,10.); #105698=VECTOR('',#381101,10.); #105699=VECTOR('',#381102,10.); #105700=VECTOR('',#381105,10.); #105701=VECTOR('',#381106,10.); #105702=VECTOR('',#381107,10.); #105703=VECTOR('',#381110,10.); #105704=VECTOR('',#381111,10.); #105705=VECTOR('',#381112,10.); #105706=VECTOR('',#381115,10.); #105707=VECTOR('',#381116,10.); #105708=VECTOR('',#381117,10.); #105709=VECTOR('',#381120,10.); #105710=VECTOR('',#381121,10.); #105711=VECTOR('',#381122,10.); #105712=VECTOR('',#381125,10.); #105713=VECTOR('',#381126,10.); #105714=VECTOR('',#381127,10.); #105715=VECTOR('',#381130,10.); #105716=VECTOR('',#381131,10.); #105717=VECTOR('',#381132,10.); #105718=VECTOR('',#381135,10.); #105719=VECTOR('',#381136,10.); #105720=VECTOR('',#381137,10.); #105721=VECTOR('',#381140,10.); #105722=VECTOR('',#381141,10.); #105723=VECTOR('',#381142,10.); #105724=VECTOR('',#381145,10.); #105725=VECTOR('',#381146,10.); #105726=VECTOR('',#381149,10.); #105727=VECTOR('',#381150,10.); #105728=VECTOR('',#381151,10.); #105729=VECTOR('',#381152,10.); #105730=VECTOR('',#381155,10.); #105731=VECTOR('',#381156,10.); #105732=VECTOR('',#381157,10.); #105733=VECTOR('',#381160,10.); #105734=VECTOR('',#381161,10.); #105735=VECTOR('',#381162,10.); #105736=VECTOR('',#381165,10.); #105737=VECTOR('',#381166,10.); #105738=VECTOR('',#381167,10.); #105739=VECTOR('',#381170,10.); #105740=VECTOR('',#381171,10.); #105741=VECTOR('',#381172,10.); #105742=VECTOR('',#381175,10.); #105743=VECTOR('',#381176,10.); #105744=VECTOR('',#381177,10.); #105745=VECTOR('',#381180,10.); #105746=VECTOR('',#381181,10.); #105747=VECTOR('',#381182,10.); #105748=VECTOR('',#381185,10.); #105749=VECTOR('',#381186,10.); #105750=VECTOR('',#381187,10.); #105751=VECTOR('',#381190,10.); #105752=VECTOR('',#381191,10.); #105753=VECTOR('',#381192,10.); #105754=VECTOR('',#381195,10.); #105755=VECTOR('',#381196,10.); #105756=VECTOR('',#381197,10.); #105757=VECTOR('',#381200,10.); #105758=VECTOR('',#381201,10.); #105759=VECTOR('',#381202,10.); #105760=VECTOR('',#381205,10.); #105761=VECTOR('',#381206,10.); #105762=VECTOR('',#381207,10.); #105763=VECTOR('',#381210,10.); #105764=VECTOR('',#381211,10.); #105765=VECTOR('',#381212,10.); #105766=VECTOR('',#381215,10.); #105767=VECTOR('',#381216,10.); #105768=VECTOR('',#381217,10.); #105769=VECTOR('',#381220,10.); #105770=VECTOR('',#381221,10.); #105771=VECTOR('',#381222,10.); #105772=VECTOR('',#381225,10.); #105773=VECTOR('',#381226,10.); #105774=VECTOR('',#381227,10.); #105775=VECTOR('',#381230,10.); #105776=VECTOR('',#381231,10.); #105777=VECTOR('',#381232,10.); #105778=VECTOR('',#381235,10.); #105779=VECTOR('',#381236,10.); #105780=VECTOR('',#381237,10.); #105781=VECTOR('',#381240,10.); #105782=VECTOR('',#381241,10.); #105783=VECTOR('',#381242,10.); #105784=VECTOR('',#381245,10.); #105785=VECTOR('',#381246,10.); #105786=VECTOR('',#381247,10.); #105787=VECTOR('',#381250,10.); #105788=VECTOR('',#381251,10.); #105789=VECTOR('',#381252,10.); #105790=VECTOR('',#381255,10.); #105791=VECTOR('',#381256,10.); #105792=VECTOR('',#381257,10.); #105793=VECTOR('',#381260,10.); #105794=VECTOR('',#381261,10.); #105795=VECTOR('',#381262,10.); #105796=VECTOR('',#381265,10.); #105797=VECTOR('',#381266,10.); #105798=VECTOR('',#381267,10.); #105799=VECTOR('',#381270,10.); #105800=VECTOR('',#381271,10.); #105801=VECTOR('',#381272,10.); #105802=VECTOR('',#381275,10.); #105803=VECTOR('',#381276,10.); #105804=VECTOR('',#381277,10.); #105805=VECTOR('',#381280,10.); #105806=VECTOR('',#381281,10.); #105807=VECTOR('',#381282,10.); #105808=VECTOR('',#381285,10.); #105809=VECTOR('',#381286,10.); #105810=VECTOR('',#381287,10.); #105811=VECTOR('',#381290,10.); #105812=VECTOR('',#381291,10.); #105813=VECTOR('',#381292,10.); #105814=VECTOR('',#381295,10.); #105815=VECTOR('',#381296,10.); #105816=VECTOR('',#381297,10.); #105817=VECTOR('',#381300,10.); #105818=VECTOR('',#381301,10.); #105819=VECTOR('',#381302,10.); #105820=VECTOR('',#381305,10.); #105821=VECTOR('',#381306,10.); #105822=VECTOR('',#381307,10.); #105823=VECTOR('',#381310,10.); #105824=VECTOR('',#381311,10.); #105825=VECTOR('',#381312,10.); #105826=VECTOR('',#381315,10.); #105827=VECTOR('',#381316,10.); #105828=VECTOR('',#381317,10.); #105829=VECTOR('',#381320,10.); #105830=VECTOR('',#381321,10.); #105831=VECTOR('',#381322,10.); #105832=VECTOR('',#381325,10.); #105833=VECTOR('',#381326,10.); #105834=VECTOR('',#381327,10.); #105835=VECTOR('',#381330,10.); #105836=VECTOR('',#381331,10.); #105837=VECTOR('',#381332,10.); #105838=VECTOR('',#381335,10.); #105839=VECTOR('',#381336,10.); #105840=VECTOR('',#381337,10.); #105841=VECTOR('',#381340,10.); #105842=VECTOR('',#381341,10.); #105843=VECTOR('',#381342,10.); #105844=VECTOR('',#381345,10.); #105845=VECTOR('',#381346,10.); #105846=VECTOR('',#381347,10.); #105847=VECTOR('',#381350,10.); #105848=VECTOR('',#381351,10.); #105849=VECTOR('',#381352,10.); #105850=VECTOR('',#381355,10.); #105851=VECTOR('',#381356,10.); #105852=VECTOR('',#381357,10.); #105853=VECTOR('',#381360,10.); #105854=VECTOR('',#381361,10.); #105855=VECTOR('',#381362,10.); #105856=VECTOR('',#381365,10.); #105857=VECTOR('',#381366,10.); #105858=VECTOR('',#381367,10.); #105859=VECTOR('',#381370,10.); #105860=VECTOR('',#381371,10.); #105861=VECTOR('',#381372,10.); #105862=VECTOR('',#381375,10.); #105863=VECTOR('',#381376,10.); #105864=VECTOR('',#381377,10.); #105865=VECTOR('',#381380,10.); #105866=VECTOR('',#381381,10.); #105867=VECTOR('',#381382,10.); #105868=VECTOR('',#381385,10.); #105869=VECTOR('',#381386,10.); #105870=VECTOR('',#381387,10.); #105871=VECTOR('',#381390,10.); #105872=VECTOR('',#381391,10.); #105873=VECTOR('',#381392,10.); #105874=VECTOR('',#381395,10.); #105875=VECTOR('',#381396,10.); #105876=VECTOR('',#381397,10.); #105877=VECTOR('',#381400,10.); #105878=VECTOR('',#381401,10.); #105879=VECTOR('',#381402,10.); #105880=VECTOR('',#381405,10.); #105881=VECTOR('',#381406,10.); #105882=VECTOR('',#381407,10.); #105883=VECTOR('',#381410,10.); #105884=VECTOR('',#381411,10.); #105885=VECTOR('',#381412,10.); #105886=VECTOR('',#381415,10.); #105887=VECTOR('',#381416,10.); #105888=VECTOR('',#381417,10.); #105889=VECTOR('',#381420,10.); #105890=VECTOR('',#381421,10.); #105891=VECTOR('',#381422,10.); #105892=VECTOR('',#381425,10.); #105893=VECTOR('',#381426,10.); #105894=VECTOR('',#381427,10.); #105895=VECTOR('',#381430,10.); #105896=VECTOR('',#381431,10.); #105897=VECTOR('',#381432,10.); #105898=VECTOR('',#381435,10.); #105899=VECTOR('',#381436,10.); #105900=VECTOR('',#381437,10.); #105901=VECTOR('',#381440,10.); #105902=VECTOR('',#381441,10.); #105903=VECTOR('',#381442,10.); #105904=VECTOR('',#381445,10.); #105905=VECTOR('',#381446,10.); #105906=VECTOR('',#381447,10.); #105907=VECTOR('',#381450,10.); #105908=VECTOR('',#381451,10.); #105909=VECTOR('',#381452,10.); #105910=VECTOR('',#381455,10.); #105911=VECTOR('',#381456,10.); #105912=VECTOR('',#381457,10.); #105913=VECTOR('',#381460,10.); #105914=VECTOR('',#381461,10.); #105915=VECTOR('',#381462,10.); #105916=VECTOR('',#381465,10.); #105917=VECTOR('',#381466,10.); #105918=VECTOR('',#381467,10.); #105919=VECTOR('',#381470,10.); #105920=VECTOR('',#381471,10.); #105921=VECTOR('',#381472,10.); #105922=VECTOR('',#381475,10.); #105923=VECTOR('',#381476,10.); #105924=VECTOR('',#381477,10.); #105925=VECTOR('',#381480,10.); #105926=VECTOR('',#381481,10.); #105927=VECTOR('',#381482,10.); #105928=VECTOR('',#381485,10.); #105929=VECTOR('',#381486,10.); #105930=VECTOR('',#381487,10.); #105931=VECTOR('',#381490,10.); #105932=VECTOR('',#381491,10.); #105933=VECTOR('',#381492,10.); #105934=VECTOR('',#381495,10.); #105935=VECTOR('',#381496,10.); #105936=VECTOR('',#381497,10.); #105937=VECTOR('',#381500,10.); #105938=VECTOR('',#381501,10.); #105939=VECTOR('',#381502,10.); #105940=VECTOR('',#381505,10.); #105941=VECTOR('',#381506,10.); #105942=VECTOR('',#381507,10.); #105943=VECTOR('',#381510,10.); #105944=VECTOR('',#381511,10.); #105945=VECTOR('',#381512,10.); #105946=VECTOR('',#381515,10.); #105947=VECTOR('',#381516,10.); #105948=VECTOR('',#381517,10.); #105949=VECTOR('',#381520,10.); #105950=VECTOR('',#381521,10.); #105951=VECTOR('',#381522,10.); #105952=VECTOR('',#381525,10.); #105953=VECTOR('',#381526,10.); #105954=VECTOR('',#381527,10.); #105955=VECTOR('',#381530,10.); #105956=VECTOR('',#381531,10.); #105957=VECTOR('',#381532,10.); #105958=VECTOR('',#381535,10.); #105959=VECTOR('',#381536,10.); #105960=VECTOR('',#381537,10.); #105961=VECTOR('',#381540,10.); #105962=VECTOR('',#381541,10.); #105963=VECTOR('',#381542,10.); #105964=VECTOR('',#381545,10.); #105965=VECTOR('',#381546,10.); #105966=VECTOR('',#381547,10.); #105967=VECTOR('',#381550,10.); #105968=VECTOR('',#381551,10.); #105969=VECTOR('',#381552,10.); #105970=VECTOR('',#381555,10.); #105971=VECTOR('',#381556,10.); #105972=VECTOR('',#381557,10.); #105973=VECTOR('',#381560,10.); #105974=VECTOR('',#381561,10.); #105975=VECTOR('',#381562,10.); #105976=VECTOR('',#381565,10.); #105977=VECTOR('',#381566,10.); #105978=VECTOR('',#381567,10.); #105979=VECTOR('',#381570,10.); #105980=VECTOR('',#381571,10.); #105981=VECTOR('',#381572,10.); #105982=VECTOR('',#381575,10.); #105983=VECTOR('',#381576,10.); #105984=VECTOR('',#381577,10.); #105985=VECTOR('',#381580,10.); #105986=VECTOR('',#381581,10.); #105987=VECTOR('',#381582,10.); #105988=VECTOR('',#381585,10.); #105989=VECTOR('',#381586,10.); #105990=VECTOR('',#381587,10.); #105991=VECTOR('',#381590,10.); #105992=VECTOR('',#381591,10.); #105993=VECTOR('',#381592,10.); #105994=VECTOR('',#381595,10.); #105995=VECTOR('',#381596,10.); #105996=VECTOR('',#381597,10.); #105997=VECTOR('',#381600,10.); #105998=VECTOR('',#381601,10.); #105999=VECTOR('',#381602,10.); #106000=VECTOR('',#381605,10.); #106001=VECTOR('',#381606,10.); #106002=VECTOR('',#381607,10.); #106003=VECTOR('',#381610,10.); #106004=VECTOR('',#381611,10.); #106005=VECTOR('',#381612,10.); #106006=VECTOR('',#381615,10.); #106007=VECTOR('',#381616,10.); #106008=VECTOR('',#381617,10.); #106009=VECTOR('',#381620,10.); #106010=VECTOR('',#381621,10.); #106011=VECTOR('',#381622,10.); #106012=VECTOR('',#381625,10.); #106013=VECTOR('',#381626,10.); #106014=VECTOR('',#381627,10.); #106015=VECTOR('',#381630,10.); #106016=VECTOR('',#381631,10.); #106017=VECTOR('',#381632,10.); #106018=VECTOR('',#381635,10.); #106019=VECTOR('',#381636,10.); #106020=VECTOR('',#381637,10.); #106021=VECTOR('',#381640,10.); #106022=VECTOR('',#381641,10.); #106023=VECTOR('',#381642,10.); #106024=VECTOR('',#381645,10.); #106025=VECTOR('',#381646,10.); #106026=VECTOR('',#381647,10.); #106027=VECTOR('',#381650,10.); #106028=VECTOR('',#381651,10.); #106029=VECTOR('',#381652,10.); #106030=VECTOR('',#381655,10.); #106031=VECTOR('',#381656,10.); #106032=VECTOR('',#381657,10.); #106033=VECTOR('',#381660,10.); #106034=VECTOR('',#381661,10.); #106035=VECTOR('',#381662,10.); #106036=VECTOR('',#381665,10.); #106037=VECTOR('',#381666,10.); #106038=VECTOR('',#381667,10.); #106039=VECTOR('',#381670,10.); #106040=VECTOR('',#381671,10.); #106041=VECTOR('',#381672,10.); #106042=VECTOR('',#381675,10.); #106043=VECTOR('',#381676,10.); #106044=VECTOR('',#381677,10.); #106045=VECTOR('',#381680,10.); #106046=VECTOR('',#381681,10.); #106047=VECTOR('',#381682,10.); #106048=VECTOR('',#381685,10.); #106049=VECTOR('',#381686,10.); #106050=VECTOR('',#381687,10.); #106051=VECTOR('',#381690,10.); #106052=VECTOR('',#381691,10.); #106053=VECTOR('',#381692,10.); #106054=VECTOR('',#381695,10.); #106055=VECTOR('',#381696,10.); #106056=VECTOR('',#381697,10.); #106057=VECTOR('',#381700,10.); #106058=VECTOR('',#381701,10.); #106059=VECTOR('',#381702,10.); #106060=VECTOR('',#381705,10.); #106061=VECTOR('',#381706,10.); #106062=VECTOR('',#381707,10.); #106063=VECTOR('',#381710,10.); #106064=VECTOR('',#381711,10.); #106065=VECTOR('',#381712,10.); #106066=VECTOR('',#381715,10.); #106067=VECTOR('',#381716,10.); #106068=VECTOR('',#381717,10.); #106069=VECTOR('',#381720,10.); #106070=VECTOR('',#381721,10.); #106071=VECTOR('',#381722,10.); #106072=VECTOR('',#381725,10.); #106073=VECTOR('',#381726,10.); #106074=VECTOR('',#381727,10.); #106075=VECTOR('',#381730,10.); #106076=VECTOR('',#381731,10.); #106077=VECTOR('',#381732,10.); #106078=VECTOR('',#381735,10.); #106079=VECTOR('',#381736,10.); #106080=VECTOR('',#381737,10.); #106081=VECTOR('',#381740,10.); #106082=VECTOR('',#381741,10.); #106083=VECTOR('',#381744,10.); #106084=VECTOR('',#381745,10.); #106085=VECTOR('',#381746,10.); #106086=VECTOR('',#381747,10.); #106087=VECTOR('',#381750,10.); #106088=VECTOR('',#381751,10.); #106089=VECTOR('',#381752,10.); #106090=VECTOR('',#381755,10.); #106091=VECTOR('',#381756,10.); #106092=VECTOR('',#381757,10.); #106093=VECTOR('',#381760,10.); #106094=VECTOR('',#381761,10.); #106095=VECTOR('',#381762,10.); #106096=VECTOR('',#381765,10.); #106097=VECTOR('',#381766,10.); #106098=VECTOR('',#381767,10.); #106099=VECTOR('',#381770,10.); #106100=VECTOR('',#381771,10.); #106101=VECTOR('',#381772,10.); #106102=VECTOR('',#381775,10.); #106103=VECTOR('',#381776,10.); #106104=VECTOR('',#381777,10.); #106105=VECTOR('',#381780,10.); #106106=VECTOR('',#381781,10.); #106107=VECTOR('',#381784,10.); #106108=VECTOR('',#381785,10.); #106109=VECTOR('',#381786,10.); #106110=VECTOR('',#381787,10.); #106111=VECTOR('',#381790,10.); #106112=VECTOR('',#381791,10.); #106113=VECTOR('',#381792,10.); #106114=VECTOR('',#381795,10.); #106115=VECTOR('',#381796,10.); #106116=VECTOR('',#381797,10.); #106117=VECTOR('',#381800,10.); #106118=VECTOR('',#381801,10.); #106119=VECTOR('',#381802,10.); #106120=VECTOR('',#381805,10.); #106121=VECTOR('',#381806,10.); #106122=VECTOR('',#381807,10.); #106123=VECTOR('',#381810,10.); #106124=VECTOR('',#381811,10.); #106125=VECTOR('',#381812,10.); #106126=VECTOR('',#381815,10.); #106127=VECTOR('',#381816,10.); #106128=VECTOR('',#381817,10.); #106129=VECTOR('',#381820,10.); #106130=VECTOR('',#381821,10.); #106131=VECTOR('',#381822,10.); #106132=VECTOR('',#381825,10.); #106133=VECTOR('',#381826,10.); #106134=VECTOR('',#381827,10.); #106135=VECTOR('',#381830,10.); #106136=VECTOR('',#381831,10.); #106137=VECTOR('',#381832,10.); #106138=VECTOR('',#381835,10.); #106139=VECTOR('',#381836,10.); #106140=VECTOR('',#381837,10.); #106141=VECTOR('',#381840,10.); #106142=VECTOR('',#381841,10.); #106143=VECTOR('',#381842,10.); #106144=VECTOR('',#381845,10.); #106145=VECTOR('',#381846,10.); #106146=VECTOR('',#381847,10.); #106147=VECTOR('',#381850,10.); #106148=VECTOR('',#381851,10.); #106149=VECTOR('',#381852,10.); #106150=VECTOR('',#381855,10.); #106151=VECTOR('',#381856,10.); #106152=VECTOR('',#381857,10.); #106153=VECTOR('',#381860,10.); #106154=VECTOR('',#381861,10.); #106155=VECTOR('',#381862,10.); #106156=VECTOR('',#381865,10.); #106157=VECTOR('',#381866,10.); #106158=VECTOR('',#381867,10.); #106159=VECTOR('',#381870,10.); #106160=VECTOR('',#381871,10.); #106161=VECTOR('',#381872,10.); #106162=VECTOR('',#381875,10.); #106163=VECTOR('',#381876,10.); #106164=VECTOR('',#381877,10.); #106165=VECTOR('',#381880,10.); #106166=VECTOR('',#381881,10.); #106167=VECTOR('',#381882,10.); #106168=VECTOR('',#381885,10.); #106169=VECTOR('',#381886,10.); #106170=VECTOR('',#381887,10.); #106171=VECTOR('',#381890,10.); #106172=VECTOR('',#381891,10.); #106173=VECTOR('',#381892,10.); #106174=VECTOR('',#381895,10.); #106175=VECTOR('',#381896,10.); #106176=VECTOR('',#381897,10.); #106177=VECTOR('',#381900,10.); #106178=VECTOR('',#381901,10.); #106179=VECTOR('',#381904,10.); #106180=VECTOR('',#381905,10.); #106181=VECTOR('',#381906,10.); #106182=VECTOR('',#381907,10.); #106183=VECTOR('',#381910,10.); #106184=VECTOR('',#381911,10.); #106185=VECTOR('',#381912,10.); #106186=VECTOR('',#381915,10.); #106187=VECTOR('',#381916,10.); #106188=VECTOR('',#381917,10.); #106189=VECTOR('',#381920,10.); #106190=VECTOR('',#381921,10.); #106191=VECTOR('',#381922,10.); #106192=VECTOR('',#381925,10.); #106193=VECTOR('',#381926,10.); #106194=VECTOR('',#381927,10.); #106195=VECTOR('',#381930,10.); #106196=VECTOR('',#381931,10.); #106197=VECTOR('',#381932,10.); #106198=VECTOR('',#381935,10.); #106199=VECTOR('',#381936,10.); #106200=VECTOR('',#381937,10.); #106201=VECTOR('',#381940,10.); #106202=VECTOR('',#381941,10.); #106203=VECTOR('',#381942,10.); #106204=VECTOR('',#381945,10.); #106205=VECTOR('',#381946,10.); #106206=VECTOR('',#381947,10.); #106207=VECTOR('',#381950,10.); #106208=VECTOR('',#381951,10.); #106209=VECTOR('',#381952,10.); #106210=VECTOR('',#381955,10.); #106211=VECTOR('',#381956,10.); #106212=VECTOR('',#381957,10.); #106213=VECTOR('',#381960,10.); #106214=VECTOR('',#381961,10.); #106215=VECTOR('',#381962,10.); #106216=VECTOR('',#381965,10.); #106217=VECTOR('',#381966,10.); #106218=VECTOR('',#381967,10.); #106219=VECTOR('',#381970,10.); #106220=VECTOR('',#381971,10.); #106221=VECTOR('',#381972,10.); #106222=VECTOR('',#381975,10.); #106223=VECTOR('',#381976,10.); #106224=VECTOR('',#381977,10.); #106225=VECTOR('',#381980,10.); #106226=VECTOR('',#381981,10.); #106227=VECTOR('',#381982,10.); #106228=VECTOR('',#381985,10.); #106229=VECTOR('',#381986,10.); #106230=VECTOR('',#381987,10.); #106231=VECTOR('',#381990,10.); #106232=VECTOR('',#381991,10.); #106233=VECTOR('',#381992,10.); #106234=VECTOR('',#381995,10.); #106235=VECTOR('',#381996,10.); #106236=VECTOR('',#381997,10.); #106237=VECTOR('',#382000,10.); #106238=VECTOR('',#382001,10.); #106239=VECTOR('',#382002,10.); #106240=VECTOR('',#382005,10.); #106241=VECTOR('',#382006,10.); #106242=VECTOR('',#382007,10.); #106243=VECTOR('',#382010,10.); #106244=VECTOR('',#382011,10.); #106245=VECTOR('',#382012,10.); #106246=VECTOR('',#382015,10.); #106247=VECTOR('',#382016,10.); #106248=VECTOR('',#382017,10.); #106249=VECTOR('',#382020,10.); #106250=VECTOR('',#382021,10.); #106251=VECTOR('',#382022,10.); #106252=VECTOR('',#382025,10.); #106253=VECTOR('',#382026,10.); #106254=VECTOR('',#382027,10.); #106255=VECTOR('',#382030,10.); #106256=VECTOR('',#382031,10.); #106257=VECTOR('',#382032,10.); #106258=VECTOR('',#382035,10.); #106259=VECTOR('',#382036,10.); #106260=VECTOR('',#382037,10.); #106261=VECTOR('',#382040,10.); #106262=VECTOR('',#382041,10.); #106263=VECTOR('',#382042,10.); #106264=VECTOR('',#382045,10.); #106265=VECTOR('',#382046,10.); #106266=VECTOR('',#382047,10.); #106267=VECTOR('',#382050,10.); #106268=VECTOR('',#382051,10.); #106269=VECTOR('',#382052,10.); #106270=VECTOR('',#382055,10.); #106271=VECTOR('',#382056,10.); #106272=VECTOR('',#382057,10.); #106273=VECTOR('',#382060,10.); #106274=VECTOR('',#382061,10.); #106275=VECTOR('',#382062,10.); #106276=VECTOR('',#382065,10.); #106277=VECTOR('',#382066,10.); #106278=VECTOR('',#382067,10.); #106279=VECTOR('',#382070,10.); #106280=VECTOR('',#382071,10.); #106281=VECTOR('',#382072,10.); #106282=VECTOR('',#382075,10.); #106283=VECTOR('',#382076,10.); #106284=VECTOR('',#382077,10.); #106285=VECTOR('',#382080,10.); #106286=VECTOR('',#382081,10.); #106287=VECTOR('',#382082,10.); #106288=VECTOR('',#382085,10.); #106289=VECTOR('',#382086,10.); #106290=VECTOR('',#382087,10.); #106291=VECTOR('',#382090,10.); #106292=VECTOR('',#382091,10.); #106293=VECTOR('',#382092,10.); #106294=VECTOR('',#382095,10.); #106295=VECTOR('',#382096,10.); #106296=VECTOR('',#382097,10.); #106297=VECTOR('',#382100,10.); #106298=VECTOR('',#382101,10.); #106299=VECTOR('',#382102,10.); #106300=VECTOR('',#382105,10.); #106301=VECTOR('',#382106,10.); #106302=VECTOR('',#382107,10.); #106303=VECTOR('',#382110,10.); #106304=VECTOR('',#382111,10.); #106305=VECTOR('',#382112,10.); #106306=VECTOR('',#382115,10.); #106307=VECTOR('',#382116,10.); #106308=VECTOR('',#382117,10.); #106309=VECTOR('',#382120,10.); #106310=VECTOR('',#382121,10.); #106311=VECTOR('',#382122,10.); #106312=VECTOR('',#382125,10.); #106313=VECTOR('',#382126,10.); #106314=VECTOR('',#382127,10.); #106315=VECTOR('',#382130,10.); #106316=VECTOR('',#382131,10.); #106317=VECTOR('',#382132,10.); #106318=VECTOR('',#382135,10.); #106319=VECTOR('',#382136,10.); #106320=VECTOR('',#382137,10.); #106321=VECTOR('',#382140,10.); #106322=VECTOR('',#382141,10.); #106323=VECTOR('',#382142,10.); #106324=VECTOR('',#382145,10.); #106325=VECTOR('',#382146,10.); #106326=VECTOR('',#382147,10.); #106327=VECTOR('',#382150,10.); #106328=VECTOR('',#382151,10.); #106329=VECTOR('',#382152,10.); #106330=VECTOR('',#382155,10.); #106331=VECTOR('',#382156,10.); #106332=VECTOR('',#382157,10.); #106333=VECTOR('',#382160,10.); #106334=VECTOR('',#382161,10.); #106335=VECTOR('',#382162,10.); #106336=VECTOR('',#382165,10.); #106337=VECTOR('',#382166,10.); #106338=VECTOR('',#382167,10.); #106339=VECTOR('',#382170,10.); #106340=VECTOR('',#382171,10.); #106341=VECTOR('',#382172,10.); #106342=VECTOR('',#382175,10.); #106343=VECTOR('',#382176,10.); #106344=VECTOR('',#382177,10.); #106345=VECTOR('',#382180,10.); #106346=VECTOR('',#382181,10.); #106347=VECTOR('',#382182,10.); #106348=VECTOR('',#382185,10.); #106349=VECTOR('',#382186,10.); #106350=VECTOR('',#382187,10.); #106351=VECTOR('',#382190,10.); #106352=VECTOR('',#382191,10.); #106353=VECTOR('',#382192,10.); #106354=VECTOR('',#382195,10.); #106355=VECTOR('',#382196,10.); #106356=VECTOR('',#382197,10.); #106357=VECTOR('',#382200,10.); #106358=VECTOR('',#382201,10.); #106359=VECTOR('',#382202,10.); #106360=VECTOR('',#382205,10.); #106361=VECTOR('',#382206,10.); #106362=VECTOR('',#382207,10.); #106363=VECTOR('',#382210,10.); #106364=VECTOR('',#382211,10.); #106365=VECTOR('',#382212,10.); #106366=VECTOR('',#382215,10.); #106367=VECTOR('',#382216,10.); #106368=VECTOR('',#382217,10.); #106369=VECTOR('',#382220,10.); #106370=VECTOR('',#382221,10.); #106371=VECTOR('',#382222,10.); #106372=VECTOR('',#382225,10.); #106373=VECTOR('',#382226,10.); #106374=VECTOR('',#382227,10.); #106375=VECTOR('',#382230,10.); #106376=VECTOR('',#382231,10.); #106377=VECTOR('',#382232,10.); #106378=VECTOR('',#382235,10.); #106379=VECTOR('',#382236,10.); #106380=VECTOR('',#382237,10.); #106381=VECTOR('',#382240,10.); #106382=VECTOR('',#382241,10.); #106383=VECTOR('',#382242,10.); #106384=VECTOR('',#382245,10.); #106385=VECTOR('',#382246,10.); #106386=VECTOR('',#382247,10.); #106387=VECTOR('',#382250,10.); #106388=VECTOR('',#382251,10.); #106389=VECTOR('',#382252,10.); #106390=VECTOR('',#382255,10.); #106391=VECTOR('',#382256,10.); #106392=VECTOR('',#382257,10.); #106393=VECTOR('',#382260,10.); #106394=VECTOR('',#382261,10.); #106395=VECTOR('',#382262,10.); #106396=VECTOR('',#382265,10.); #106397=VECTOR('',#382266,10.); #106398=VECTOR('',#382267,10.); #106399=VECTOR('',#382270,10.); #106400=VECTOR('',#382271,10.); #106401=VECTOR('',#382272,10.); #106402=VECTOR('',#382275,10.); #106403=VECTOR('',#382276,10.); #106404=VECTOR('',#382277,10.); #106405=VECTOR('',#382280,10.); #106406=VECTOR('',#382281,10.); #106407=VECTOR('',#382282,10.); #106408=VECTOR('',#382285,10.); #106409=VECTOR('',#382286,10.); #106410=VECTOR('',#382287,10.); #106411=VECTOR('',#382290,10.); #106412=VECTOR('',#382291,10.); #106413=VECTOR('',#382292,10.); #106414=VECTOR('',#382295,10.); #106415=VECTOR('',#382296,10.); #106416=VECTOR('',#382297,10.); #106417=VECTOR('',#382300,10.); #106418=VECTOR('',#382301,10.); #106419=VECTOR('',#382302,10.); #106420=VECTOR('',#382305,10.); #106421=VECTOR('',#382306,10.); #106422=VECTOR('',#382307,10.); #106423=VECTOR('',#382310,10.); #106424=VECTOR('',#382311,10.); #106425=VECTOR('',#382312,10.); #106426=VECTOR('',#382315,10.); #106427=VECTOR('',#382316,10.); #106428=VECTOR('',#382317,10.); #106429=VECTOR('',#382320,10.); #106430=VECTOR('',#382321,10.); #106431=VECTOR('',#382322,10.); #106432=VECTOR('',#382325,10.); #106433=VECTOR('',#382326,10.); #106434=VECTOR('',#382327,10.); #106435=VECTOR('',#382330,10.); #106436=VECTOR('',#382331,10.); #106437=VECTOR('',#382332,10.); #106438=VECTOR('',#382335,10.); #106439=VECTOR('',#382336,10.); #106440=VECTOR('',#382337,10.); #106441=VECTOR('',#382340,10.); #106442=VECTOR('',#382341,10.); #106443=VECTOR('',#382342,10.); #106444=VECTOR('',#382345,10.); #106445=VECTOR('',#382346,10.); #106446=VECTOR('',#382347,10.); #106447=VECTOR('',#382350,10.); #106448=VECTOR('',#382351,10.); #106449=VECTOR('',#382352,10.); #106450=VECTOR('',#382355,10.); #106451=VECTOR('',#382356,10.); #106452=VECTOR('',#382357,10.); #106453=VECTOR('',#382360,10.); #106454=VECTOR('',#382361,10.); #106455=VECTOR('',#382362,10.); #106456=VECTOR('',#382365,10.); #106457=VECTOR('',#382366,10.); #106458=VECTOR('',#382367,10.); #106459=VECTOR('',#382370,10.); #106460=VECTOR('',#382371,10.); #106461=VECTOR('',#382372,10.); #106462=VECTOR('',#382375,10.); #106463=VECTOR('',#382376,10.); #106464=VECTOR('',#382377,10.); #106465=VECTOR('',#382380,10.); #106466=VECTOR('',#382381,10.); #106467=VECTOR('',#382382,10.); #106468=VECTOR('',#382385,10.); #106469=VECTOR('',#382386,10.); #106470=VECTOR('',#382387,10.); #106471=VECTOR('',#382390,10.); #106472=VECTOR('',#382391,10.); #106473=VECTOR('',#382392,10.); #106474=VECTOR('',#382395,10.); #106475=VECTOR('',#382396,10.); #106476=VECTOR('',#382397,10.); #106477=VECTOR('',#382400,10.); #106478=VECTOR('',#382401,10.); #106479=VECTOR('',#382402,10.); #106480=VECTOR('',#382405,10.); #106481=VECTOR('',#382406,10.); #106482=VECTOR('',#382407,10.); #106483=VECTOR('',#382410,10.); #106484=VECTOR('',#382411,10.); #106485=VECTOR('',#382412,10.); #106486=VECTOR('',#382415,10.); #106487=VECTOR('',#382416,10.); #106488=VECTOR('',#382417,10.); #106489=VECTOR('',#382420,10.); #106490=VECTOR('',#382421,10.); #106491=VECTOR('',#382422,10.); #106492=VECTOR('',#382425,10.); #106493=VECTOR('',#382426,10.); #106494=VECTOR('',#382427,10.); #106495=VECTOR('',#382430,10.); #106496=VECTOR('',#382431,10.); #106497=VECTOR('',#382432,10.); #106498=VECTOR('',#382435,10.); #106499=VECTOR('',#382436,10.); #106500=VECTOR('',#382437,10.); #106501=VECTOR('',#382440,10.); #106502=VECTOR('',#382441,10.); #106503=VECTOR('',#382442,10.); #106504=VECTOR('',#382445,10.); #106505=VECTOR('',#382446,10.); #106506=VECTOR('',#382447,10.); #106507=VECTOR('',#382450,10.); #106508=VECTOR('',#382451,10.); #106509=VECTOR('',#382452,10.); #106510=VECTOR('',#382455,10.); #106511=VECTOR('',#382456,10.); #106512=VECTOR('',#382457,10.); #106513=VECTOR('',#382460,10.); #106514=VECTOR('',#382461,10.); #106515=VECTOR('',#382462,10.); #106516=VECTOR('',#382465,10.); #106517=VECTOR('',#382466,10.); #106518=VECTOR('',#382467,10.); #106519=VECTOR('',#382470,10.); #106520=VECTOR('',#382471,10.); #106521=VECTOR('',#382472,10.); #106522=VECTOR('',#382475,10.); #106523=VECTOR('',#382476,10.); #106524=VECTOR('',#382477,10.); #106525=VECTOR('',#382480,10.); #106526=VECTOR('',#382481,10.); #106527=VECTOR('',#382482,10.); #106528=VECTOR('',#382485,10.); #106529=VECTOR('',#382486,10.); #106530=VECTOR('',#382487,10.); #106531=VECTOR('',#382490,10.); #106532=VECTOR('',#382491,10.); #106533=VECTOR('',#382492,10.); #106534=VECTOR('',#382495,10.); #106535=VECTOR('',#382496,10.); #106536=VECTOR('',#382497,10.); #106537=VECTOR('',#382500,10.); #106538=VECTOR('',#382501,10.); #106539=VECTOR('',#382508,10.); #106540=VECTOR('',#382509,10.); #106541=VECTOR('',#382510,10.); #106542=VECTOR('',#382511,10.); #106543=VECTOR('',#382514,10.); #106544=VECTOR('',#382515,10.); #106545=VECTOR('',#382516,10.); #106546=VECTOR('',#382519,10.); #106547=VECTOR('',#382520,10.); #106548=VECTOR('',#382521,10.); #106549=VECTOR('',#382524,10.); #106550=VECTOR('',#382525,10.); #106551=VECTOR('',#382526,10.); #106552=VECTOR('',#382529,10.); #106553=VECTOR('',#382530,10.); #106554=VECTOR('',#382531,10.); #106555=VECTOR('',#382534,10.); #106556=VECTOR('',#382535,10.); #106557=VECTOR('',#382536,10.); #106558=VECTOR('',#382539,10.); #106559=VECTOR('',#382540,10.); #106560=VECTOR('',#382541,10.); #106561=VECTOR('',#382544,10.); #106562=VECTOR('',#382545,10.); #106563=VECTOR('',#382546,10.); #106564=VECTOR('',#382549,10.); #106565=VECTOR('',#382550,10.); #106566=VECTOR('',#382551,10.); #106567=VECTOR('',#382554,10.); #106568=VECTOR('',#382555,10.); #106569=VECTOR('',#382556,10.); #106570=VECTOR('',#382559,10.); #106571=VECTOR('',#382560,10.); #106572=VECTOR('',#382561,10.); #106573=VECTOR('',#382564,10.); #106574=VECTOR('',#382565,10.); #106575=VECTOR('',#382566,10.); #106576=VECTOR('',#382569,10.); #106577=VECTOR('',#382570,10.); #106578=VECTOR('',#382571,10.); #106579=VECTOR('',#382574,10.); #106580=VECTOR('',#382575,10.); #106581=VECTOR('',#382576,10.); #106582=VECTOR('',#382579,10.); #106583=VECTOR('',#382580,10.); #106584=VECTOR('',#382581,10.); #106585=VECTOR('',#382584,10.); #106586=VECTOR('',#382585,10.); #106587=VECTOR('',#382586,10.); #106588=VECTOR('',#382589,10.); #106589=VECTOR('',#382590,10.); #106590=VECTOR('',#382591,10.); #106591=VECTOR('',#382594,10.); #106592=VECTOR('',#382595,10.); #106593=VECTOR('',#382596,10.); #106594=VECTOR('',#382599,10.); #106595=VECTOR('',#382600,10.); #106596=VECTOR('',#382601,10.); #106597=VECTOR('',#382604,10.); #106598=VECTOR('',#382605,10.); #106599=VECTOR('',#382612,10.); #106600=VECTOR('',#382613,10.); #106601=VECTOR('',#382614,10.); #106602=VECTOR('',#382615,10.); #106603=VECTOR('',#382618,10.); #106604=VECTOR('',#382619,10.); #106605=VECTOR('',#382620,10.); #106606=VECTOR('',#382623,10.); #106607=VECTOR('',#382624,10.); #106608=VECTOR('',#382625,10.); #106609=VECTOR('',#382628,10.); #106610=VECTOR('',#382629,10.); #106611=VECTOR('',#382630,10.); #106612=VECTOR('',#382633,10.); #106613=VECTOR('',#382634,10.); #106614=VECTOR('',#382635,10.); #106615=VECTOR('',#382638,10.); #106616=VECTOR('',#382639,10.); #106617=VECTOR('',#382640,10.); #106618=VECTOR('',#382643,10.); #106619=VECTOR('',#382644,10.); #106620=VECTOR('',#382645,10.); #106621=VECTOR('',#382648,10.); #106622=VECTOR('',#382649,10.); #106623=VECTOR('',#382650,10.); #106624=VECTOR('',#382653,10.); #106625=VECTOR('',#382654,10.); #106626=VECTOR('',#382655,10.); #106627=VECTOR('',#382658,10.); #106628=VECTOR('',#382659,10.); #106629=VECTOR('',#382660,10.); #106630=VECTOR('',#382663,10.); #106631=VECTOR('',#382664,10.); #106632=VECTOR('',#382665,10.); #106633=VECTOR('',#382668,10.); #106634=VECTOR('',#382669,10.); #106635=VECTOR('',#382670,10.); #106636=VECTOR('',#382673,10.); #106637=VECTOR('',#382674,10.); #106638=VECTOR('',#382675,10.); #106639=VECTOR('',#382678,10.); #106640=VECTOR('',#382679,10.); #106641=VECTOR('',#382680,10.); #106642=VECTOR('',#382683,10.); #106643=VECTOR('',#382684,10.); #106644=VECTOR('',#382685,10.); #106645=VECTOR('',#382688,10.); #106646=VECTOR('',#382689,10.); #106647=VECTOR('',#382690,10.); #106648=VECTOR('',#382693,10.); #106649=VECTOR('',#382694,10.); #106650=VECTOR('',#382695,10.); #106651=VECTOR('',#382698,10.); #106652=VECTOR('',#382699,10.); #106653=VECTOR('',#382700,10.); #106654=VECTOR('',#382703,10.); #106655=VECTOR('',#382704,10.); #106656=VECTOR('',#382705,10.); #106657=VECTOR('',#382708,10.); #106658=VECTOR('',#382709,10.); #106659=VECTOR('',#382710,10.); #106660=VECTOR('',#382713,10.); #106661=VECTOR('',#382714,10.); #106662=VECTOR('',#382715,10.); #106663=VECTOR('',#382718,10.); #106664=VECTOR('',#382719,10.); #106665=VECTOR('',#382720,10.); #106666=VECTOR('',#382723,10.); #106667=VECTOR('',#382724,10.); #106668=VECTOR('',#382725,10.); #106669=VECTOR('',#382728,10.); #106670=VECTOR('',#382729,10.); #106671=VECTOR('',#382730,10.); #106672=VECTOR('',#382733,10.); #106673=VECTOR('',#382734,10.); #106674=VECTOR('',#382735,10.); #106675=VECTOR('',#382738,10.); #106676=VECTOR('',#382739,10.); #106677=VECTOR('',#382740,10.); #106678=VECTOR('',#382743,10.); #106679=VECTOR('',#382744,10.); #106680=VECTOR('',#382745,10.); #106681=VECTOR('',#382748,10.); #106682=VECTOR('',#382749,10.); #106683=VECTOR('',#382750,10.); #106684=VECTOR('',#382753,10.); #106685=VECTOR('',#382754,10.); #106686=VECTOR('',#382755,10.); #106687=VECTOR('',#382758,10.); #106688=VECTOR('',#382759,10.); #106689=VECTOR('',#382760,10.); #106690=VECTOR('',#382763,10.); #106691=VECTOR('',#382764,10.); #106692=VECTOR('',#382765,10.); #106693=VECTOR('',#382768,10.); #106694=VECTOR('',#382769,10.); #106695=VECTOR('',#382770,10.); #106696=VECTOR('',#382773,10.); #106697=VECTOR('',#382774,10.); #106698=VECTOR('',#382775,10.); #106699=VECTOR('',#382778,10.); #106700=VECTOR('',#382779,10.); #106701=VECTOR('',#382780,10.); #106702=VECTOR('',#382783,10.); #106703=VECTOR('',#382784,10.); #106704=VECTOR('',#382785,10.); #106705=VECTOR('',#382788,10.); #106706=VECTOR('',#382789,10.); #106707=VECTOR('',#382790,10.); #106708=VECTOR('',#382793,10.); #106709=VECTOR('',#382794,10.); #106710=VECTOR('',#382795,10.); #106711=VECTOR('',#382798,10.); #106712=VECTOR('',#382799,10.); #106713=VECTOR('',#382800,10.); #106714=VECTOR('',#382803,10.); #106715=VECTOR('',#382804,10.); #106716=VECTOR('',#382805,10.); #106717=VECTOR('',#382808,10.); #106718=VECTOR('',#382809,10.); #106719=VECTOR('',#382816,10.); #106720=VECTOR('',#382817,10.); #106721=VECTOR('',#382818,10.); #106722=VECTOR('',#382819,10.); #106723=VECTOR('',#382826,10.); #106724=VECTOR('',#382829,10.); #106725=VECTOR('',#382830,10.); #106726=VECTOR('',#382831,10.); #106727=VECTOR('',#382842,0.140000000000002); #106728=VECTOR('',#382849,0.140000000000002); #106729=VECTOR('',#382856,0.472999999999999); #106730=VECTOR('',#382863,0.140000000000002); #106731=VECTOR('',#382870,0.140000000000002); #106732=VECTOR('',#382877,0.140000000000002); #106733=VECTOR('',#382884,0.140000000000002); #106734=VECTOR('',#382891,0.140000000000002); #106735=VECTOR('',#382898,0.140000000000002); #106736=VECTOR('',#382905,0.140000000000002); #106737=VECTOR('',#382912,0.472999999999999); #106738=VECTOR('',#382917,10.); #106739=VECTOR('',#382918,10.); #106740=VECTOR('',#382919,10.); #106741=VECTOR('',#382920,10.); #106742=VECTOR('',#382923,10.); #106743=VECTOR('',#382924,10.); #106744=VECTOR('',#382925,10.); #106745=VECTOR('',#382928,10.); #106746=VECTOR('',#382929,10.); #106747=VECTOR('',#382930,10.); #106748=VECTOR('',#382933,10.); #106749=VECTOR('',#382934,10.); #106750=VECTOR('',#382935,10.); #106751=VECTOR('',#382938,10.); #106752=VECTOR('',#382939,10.); #106753=VECTOR('',#382940,10.); #106754=VECTOR('',#382943,10.); #106755=VECTOR('',#382944,10.); #106756=VECTOR('',#382945,10.); #106757=VECTOR('',#382948,10.); #106758=VECTOR('',#382949,10.); #106759=VECTOR('',#382950,10.); #106760=VECTOR('',#382953,10.); #106761=VECTOR('',#382954,10.); #106762=VECTOR('',#382957,10.); #106763=VECTOR('',#382958,10.); #106764=VECTOR('',#382959,10.); #106765=VECTOR('',#382960,10.); #106766=VECTOR('',#382963,10.); #106767=VECTOR('',#382964,10.); #106768=VECTOR('',#382965,10.); #106769=VECTOR('',#382968,10.); #106770=VECTOR('',#382969,10.); #106771=VECTOR('',#382970,10.); #106772=VECTOR('',#382973,10.); #106773=VECTOR('',#382974,10.); #106774=VECTOR('',#382975,10.); #106775=VECTOR('',#382978,10.); #106776=VECTOR('',#382979,10.); #106777=VECTOR('',#382980,10.); #106778=VECTOR('',#382983,10.); #106779=VECTOR('',#382984,10.); #106780=VECTOR('',#382985,10.); #106781=VECTOR('',#382988,10.); #106782=VECTOR('',#382989,10.); #106783=VECTOR('',#382990,10.); #106784=VECTOR('',#382993,10.); #106785=VECTOR('',#382994,10.); #106786=VECTOR('',#382997,10.); #106787=VECTOR('',#382998,10.); #106788=VECTOR('',#382999,10.); #106789=VECTOR('',#383000,10.); #106790=VECTOR('',#383003,10.); #106791=VECTOR('',#383004,10.); #106792=VECTOR('',#383005,10.); #106793=VECTOR('',#383008,10.); #106794=VECTOR('',#383009,10.); #106795=VECTOR('',#383010,10.); #106796=VECTOR('',#383013,10.); #106797=VECTOR('',#383014,10.); #106798=VECTOR('',#383015,10.); #106799=VECTOR('',#383018,10.); #106800=VECTOR('',#383019,10.); #106801=VECTOR('',#383020,10.); #106802=VECTOR('',#383023,10.); #106803=VECTOR('',#383024,10.); #106804=VECTOR('',#383025,10.); #106805=VECTOR('',#383028,10.); #106806=VECTOR('',#383029,10.); #106807=VECTOR('',#383030,10.); #106808=VECTOR('',#383033,10.); #106809=VECTOR('',#383034,10.); #106810=VECTOR('',#383035,10.); #106811=VECTOR('',#383038,10.); #106812=VECTOR('',#383039,10.); #106813=VECTOR('',#383040,10.); #106814=VECTOR('',#383043,10.); #106815=VECTOR('',#383044,10.); #106816=VECTOR('',#383045,10.); #106817=VECTOR('',#383048,10.); #106818=VECTOR('',#383049,10.); #106819=VECTOR('',#383050,10.); #106820=VECTOR('',#383053,10.); #106821=VECTOR('',#383054,10.); #106822=VECTOR('',#383055,10.); #106823=VECTOR('',#383058,10.); #106824=VECTOR('',#383059,10.); #106825=VECTOR('',#383060,10.); #106826=VECTOR('',#383063,10.); #106827=VECTOR('',#383064,10.); #106828=VECTOR('',#383065,10.); #106829=VECTOR('',#383068,10.); #106830=VECTOR('',#383069,10.); #106831=VECTOR('',#383070,10.); #106832=VECTOR('',#383073,10.); #106833=VECTOR('',#383074,10.); #106834=VECTOR('',#383075,10.); #106835=VECTOR('',#383078,10.); #106836=VECTOR('',#383079,10.); #106837=VECTOR('',#383080,10.); #106838=VECTOR('',#383083,10.); #106839=VECTOR('',#383084,10.); #106840=VECTOR('',#383085,10.); #106841=VECTOR('',#383088,10.); #106842=VECTOR('',#383089,10.); #106843=VECTOR('',#383090,10.); #106844=VECTOR('',#383093,10.); #106845=VECTOR('',#383094,10.); #106846=VECTOR('',#383095,10.); #106847=VECTOR('',#383098,10.); #106848=VECTOR('',#383099,10.); #106849=VECTOR('',#383100,10.); #106850=VECTOR('',#383103,10.); #106851=VECTOR('',#383104,10.); #106852=VECTOR('',#383105,10.); #106853=VECTOR('',#383108,10.); #106854=VECTOR('',#383109,10.); #106855=VECTOR('',#383110,10.); #106856=VECTOR('',#383113,10.); #106857=VECTOR('',#383114,10.); #106858=VECTOR('',#383115,10.); #106859=VECTOR('',#383118,10.); #106860=VECTOR('',#383119,10.); #106861=VECTOR('',#383120,10.); #106862=VECTOR('',#383123,10.); #106863=VECTOR('',#383124,10.); #106864=VECTOR('',#383125,10.); #106865=VECTOR('',#383128,10.); #106866=VECTOR('',#383129,10.); #106867=VECTOR('',#383130,10.); #106868=VECTOR('',#383133,10.); #106869=VECTOR('',#383134,10.); #106870=VECTOR('',#383135,10.); #106871=VECTOR('',#383138,10.); #106872=VECTOR('',#383139,10.); #106873=VECTOR('',#383140,10.); #106874=VECTOR('',#383143,10.); #106875=VECTOR('',#383144,10.); #106876=VECTOR('',#383145,10.); #106877=VECTOR('',#383148,10.); #106878=VECTOR('',#383149,10.); #106879=VECTOR('',#383150,10.); #106880=VECTOR('',#383153,10.); #106881=VECTOR('',#383154,10.); #106882=VECTOR('',#383155,10.); #106883=VECTOR('',#383158,10.); #106884=VECTOR('',#383159,10.); #106885=VECTOR('',#383160,10.); #106886=VECTOR('',#383163,10.); #106887=VECTOR('',#383164,10.); #106888=VECTOR('',#383165,10.); #106889=VECTOR('',#383168,10.); #106890=VECTOR('',#383169,10.); #106891=VECTOR('',#383170,10.); #106892=VECTOR('',#383173,10.); #106893=VECTOR('',#383174,10.); #106894=VECTOR('',#383175,10.); #106895=VECTOR('',#383178,10.); #106896=VECTOR('',#383179,10.); #106897=VECTOR('',#383180,10.); #106898=VECTOR('',#383183,10.); #106899=VECTOR('',#383184,10.); #106900=VECTOR('',#383185,10.); #106901=VECTOR('',#383188,10.); #106902=VECTOR('',#383189,10.); #106903=VECTOR('',#383190,10.); #106904=VECTOR('',#383193,10.); #106905=VECTOR('',#383194,10.); #106906=VECTOR('',#383195,10.); #106907=VECTOR('',#383198,10.); #106908=VECTOR('',#383199,10.); #106909=VECTOR('',#383200,10.); #106910=VECTOR('',#383203,10.); #106911=VECTOR('',#383204,10.); #106912=VECTOR('',#383205,10.); #106913=VECTOR('',#383208,10.); #106914=VECTOR('',#383209,10.); #106915=VECTOR('',#383210,10.); #106916=VECTOR('',#383213,10.); #106917=VECTOR('',#383214,10.); #106918=VECTOR('',#383215,10.); #106919=VECTOR('',#383218,10.); #106920=VECTOR('',#383219,10.); #106921=VECTOR('',#383220,10.); #106922=VECTOR('',#383223,10.); #106923=VECTOR('',#383224,10.); #106924=VECTOR('',#383225,10.); #106925=VECTOR('',#383228,10.); #106926=VECTOR('',#383229,10.); #106927=VECTOR('',#383230,10.); #106928=VECTOR('',#383233,10.); #106929=VECTOR('',#383234,10.); #106930=VECTOR('',#383235,10.); #106931=VECTOR('',#383238,10.); #106932=VECTOR('',#383239,10.); #106933=VECTOR('',#383240,10.); #106934=VECTOR('',#383243,10.); #106935=VECTOR('',#383244,10.); #106936=VECTOR('',#383245,10.); #106937=VECTOR('',#383248,10.); #106938=VECTOR('',#383249,10.); #106939=VECTOR('',#383250,10.); #106940=VECTOR('',#383253,10.); #106941=VECTOR('',#383254,10.); #106942=VECTOR('',#383255,10.); #106943=VECTOR('',#383258,10.); #106944=VECTOR('',#383259,10.); #106945=VECTOR('',#383260,10.); #106946=VECTOR('',#383263,10.); #106947=VECTOR('',#383264,10.); #106948=VECTOR('',#383265,10.); #106949=VECTOR('',#383268,10.); #106950=VECTOR('',#383269,10.); #106951=VECTOR('',#383270,10.); #106952=VECTOR('',#383273,10.); #106953=VECTOR('',#383274,10.); #106954=VECTOR('',#383275,10.); #106955=VECTOR('',#383278,10.); #106956=VECTOR('',#383279,10.); #106957=VECTOR('',#383280,10.); #106958=VECTOR('',#383283,10.); #106959=VECTOR('',#383284,10.); #106960=VECTOR('',#383285,10.); #106961=VECTOR('',#383288,10.); #106962=VECTOR('',#383289,10.); #106963=VECTOR('',#383290,10.); #106964=VECTOR('',#383293,10.); #106965=VECTOR('',#383294,10.); #106966=VECTOR('',#383295,10.); #106967=VECTOR('',#383298,10.); #106968=VECTOR('',#383299,10.); #106969=VECTOR('',#383300,10.); #106970=VECTOR('',#383303,10.); #106971=VECTOR('',#383304,10.); #106972=VECTOR('',#383305,10.); #106973=VECTOR('',#383308,10.); #106974=VECTOR('',#383309,10.); #106975=VECTOR('',#383310,10.); #106976=VECTOR('',#383313,10.); #106977=VECTOR('',#383314,10.); #106978=VECTOR('',#383315,10.); #106979=VECTOR('',#383318,10.); #106980=VECTOR('',#383319,10.); #106981=VECTOR('',#383320,10.); #106982=VECTOR('',#383323,10.); #106983=VECTOR('',#383324,10.); #106984=VECTOR('',#383325,10.); #106985=VECTOR('',#383328,10.); #106986=VECTOR('',#383329,10.); #106987=VECTOR('',#383330,10.); #106988=VECTOR('',#383333,10.); #106989=VECTOR('',#383334,10.); #106990=VECTOR('',#383335,10.); #106991=VECTOR('',#383338,10.); #106992=VECTOR('',#383339,10.); #106993=VECTOR('',#383340,10.); #106994=VECTOR('',#383343,10.); #106995=VECTOR('',#383344,10.); #106996=VECTOR('',#383345,10.); #106997=VECTOR('',#383348,10.); #106998=VECTOR('',#383349,10.); #106999=VECTOR('',#383350,10.); #107000=VECTOR('',#383353,10.); #107001=VECTOR('',#383354,10.); #107002=VECTOR('',#383355,10.); #107003=VECTOR('',#383358,10.); #107004=VECTOR('',#383359,10.); #107005=VECTOR('',#383360,10.); #107006=VECTOR('',#383363,10.); #107007=VECTOR('',#383364,10.); #107008=VECTOR('',#383365,10.); #107009=VECTOR('',#383368,10.); #107010=VECTOR('',#383369,10.); #107011=VECTOR('',#383370,10.); #107012=VECTOR('',#383373,10.); #107013=VECTOR('',#383374,10.); #107014=VECTOR('',#383375,10.); #107015=VECTOR('',#383378,10.); #107016=VECTOR('',#383379,10.); #107017=VECTOR('',#383380,10.); #107018=VECTOR('',#383383,10.); #107019=VECTOR('',#383384,10.); #107020=VECTOR('',#383385,10.); #107021=VECTOR('',#383388,10.); #107022=VECTOR('',#383389,10.); #107023=VECTOR('',#383390,10.); #107024=VECTOR('',#383393,10.); #107025=VECTOR('',#383394,10.); #107026=VECTOR('',#383395,10.); #107027=VECTOR('',#383398,10.); #107028=VECTOR('',#383399,10.); #107029=VECTOR('',#383400,10.); #107030=VECTOR('',#383403,10.); #107031=VECTOR('',#383404,10.); #107032=VECTOR('',#383405,10.); #107033=VECTOR('',#383408,10.); #107034=VECTOR('',#383409,10.); #107035=VECTOR('',#383410,10.); #107036=VECTOR('',#383413,10.); #107037=VECTOR('',#383414,10.); #107038=VECTOR('',#383415,10.); #107039=VECTOR('',#383418,10.); #107040=VECTOR('',#383419,10.); #107041=VECTOR('',#383420,10.); #107042=VECTOR('',#383423,10.); #107043=VECTOR('',#383424,10.); #107044=VECTOR('',#383425,10.); #107045=VECTOR('',#383428,10.); #107046=VECTOR('',#383429,10.); #107047=VECTOR('',#383430,10.); #107048=VECTOR('',#383433,10.); #107049=VECTOR('',#383434,10.); #107050=VECTOR('',#383435,10.); #107051=VECTOR('',#383438,10.); #107052=VECTOR('',#383439,10.); #107053=VECTOR('',#383440,10.); #107054=VECTOR('',#383443,10.); #107055=VECTOR('',#383444,10.); #107056=VECTOR('',#383445,10.); #107057=VECTOR('',#383448,10.); #107058=VECTOR('',#383449,10.); #107059=VECTOR('',#383450,10.); #107060=VECTOR('',#383453,10.); #107061=VECTOR('',#383454,10.); #107062=VECTOR('',#383455,10.); #107063=VECTOR('',#383458,10.); #107064=VECTOR('',#383459,10.); #107065=VECTOR('',#383460,10.); #107066=VECTOR('',#383463,10.); #107067=VECTOR('',#383464,10.); #107068=VECTOR('',#383465,10.); #107069=VECTOR('',#383468,10.); #107070=VECTOR('',#383469,10.); #107071=VECTOR('',#383470,10.); #107072=VECTOR('',#383473,10.); #107073=VECTOR('',#383474,10.); #107074=VECTOR('',#383475,10.); #107075=VECTOR('',#383478,10.); #107076=VECTOR('',#383479,10.); #107077=VECTOR('',#383480,10.); #107078=VECTOR('',#383483,10.); #107079=VECTOR('',#383484,10.); #107080=VECTOR('',#383485,10.); #107081=VECTOR('',#383488,10.); #107082=VECTOR('',#383489,10.); #107083=VECTOR('',#383490,10.); #107084=VECTOR('',#383493,10.); #107085=VECTOR('',#383494,10.); #107086=VECTOR('',#383495,10.); #107087=VECTOR('',#383498,10.); #107088=VECTOR('',#383499,10.); #107089=VECTOR('',#383500,10.); #107090=VECTOR('',#383503,10.); #107091=VECTOR('',#383504,10.); #107092=VECTOR('',#383505,10.); #107093=VECTOR('',#383508,10.); #107094=VECTOR('',#383509,10.); #107095=VECTOR('',#383510,10.); #107096=VECTOR('',#383513,10.); #107097=VECTOR('',#383514,10.); #107098=VECTOR('',#383515,10.); #107099=VECTOR('',#383518,10.); #107100=VECTOR('',#383519,10.); #107101=VECTOR('',#383520,10.); #107102=VECTOR('',#383523,10.); #107103=VECTOR('',#383524,10.); #107104=VECTOR('',#383525,10.); #107105=VECTOR('',#383528,10.); #107106=VECTOR('',#383529,10.); #107107=VECTOR('',#383530,10.); #107108=VECTOR('',#383533,10.); #107109=VECTOR('',#383534,10.); #107110=VECTOR('',#383535,10.); #107111=VECTOR('',#383538,10.); #107112=VECTOR('',#383539,10.); #107113=VECTOR('',#383540,10.); #107114=VECTOR('',#383543,10.); #107115=VECTOR('',#383544,10.); #107116=VECTOR('',#383545,10.); #107117=VECTOR('',#383548,10.); #107118=VECTOR('',#383549,10.); #107119=VECTOR('',#383550,10.); #107120=VECTOR('',#383553,10.); #107121=VECTOR('',#383554,10.); #107122=VECTOR('',#383555,10.); #107123=VECTOR('',#383558,10.); #107124=VECTOR('',#383559,10.); #107125=VECTOR('',#383560,10.); #107126=VECTOR('',#383563,10.); #107127=VECTOR('',#383564,10.); #107128=VECTOR('',#383565,10.); #107129=VECTOR('',#383568,10.); #107130=VECTOR('',#383569,10.); #107131=VECTOR('',#383570,10.); #107132=VECTOR('',#383573,10.); #107133=VECTOR('',#383574,10.); #107134=VECTOR('',#383575,10.); #107135=VECTOR('',#383578,10.); #107136=VECTOR('',#383579,10.); #107137=VECTOR('',#383580,10.); #107138=VECTOR('',#383583,10.); #107139=VECTOR('',#383584,10.); #107140=VECTOR('',#383585,10.); #107141=VECTOR('',#383588,10.); #107142=VECTOR('',#383589,10.); #107143=VECTOR('',#383590,10.); #107144=VECTOR('',#383593,10.); #107145=VECTOR('',#383594,10.); #107146=VECTOR('',#383595,10.); #107147=VECTOR('',#383598,10.); #107148=VECTOR('',#383599,10.); #107149=VECTOR('',#383600,10.); #107150=VECTOR('',#383603,10.); #107151=VECTOR('',#383604,10.); #107152=VECTOR('',#383605,10.); #107153=VECTOR('',#383608,10.); #107154=VECTOR('',#383609,10.); #107155=VECTOR('',#383610,10.); #107156=VECTOR('',#383613,10.); #107157=VECTOR('',#383614,10.); #107158=VECTOR('',#383615,10.); #107159=VECTOR('',#383618,10.); #107160=VECTOR('',#383619,10.); #107161=VECTOR('',#383620,10.); #107162=VECTOR('',#383623,10.); #107163=VECTOR('',#383624,10.); #107164=VECTOR('',#383625,10.); #107165=VECTOR('',#383628,10.); #107166=VECTOR('',#383629,10.); #107167=VECTOR('',#383630,10.); #107168=VECTOR('',#383633,10.); #107169=VECTOR('',#383634,10.); #107170=VECTOR('',#383635,10.); #107171=VECTOR('',#383638,10.); #107172=VECTOR('',#383639,10.); #107173=VECTOR('',#383640,10.); #107174=VECTOR('',#383643,10.); #107175=VECTOR('',#383644,10.); #107176=VECTOR('',#383645,10.); #107177=VECTOR('',#383648,10.); #107178=VECTOR('',#383649,10.); #107179=VECTOR('',#383650,10.); #107180=VECTOR('',#383653,10.); #107181=VECTOR('',#383654,10.); #107182=VECTOR('',#383655,10.); #107183=VECTOR('',#383658,10.); #107184=VECTOR('',#383659,10.); #107185=VECTOR('',#383660,10.); #107186=VECTOR('',#383663,10.); #107187=VECTOR('',#383664,10.); #107188=VECTOR('',#383665,10.); #107189=VECTOR('',#383668,10.); #107190=VECTOR('',#383669,10.); #107191=VECTOR('',#383670,10.); #107192=VECTOR('',#383673,10.); #107193=VECTOR('',#383674,10.); #107194=VECTOR('',#383675,10.); #107195=VECTOR('',#383678,10.); #107196=VECTOR('',#383679,10.); #107197=VECTOR('',#383680,10.); #107198=VECTOR('',#383683,10.); #107199=VECTOR('',#383684,10.); #107200=VECTOR('',#383685,10.); #107201=VECTOR('',#383688,10.); #107202=VECTOR('',#383689,10.); #107203=VECTOR('',#383690,10.); #107204=VECTOR('',#383693,10.); #107205=VECTOR('',#383694,10.); #107206=VECTOR('',#383695,10.); #107207=VECTOR('',#383698,10.); #107208=VECTOR('',#383699,10.); #107209=VECTOR('',#383700,10.); #107210=VECTOR('',#383703,10.); #107211=VECTOR('',#383704,10.); #107212=VECTOR('',#383705,10.); #107213=VECTOR('',#383708,10.); #107214=VECTOR('',#383709,10.); #107215=VECTOR('',#383710,10.); #107216=VECTOR('',#383713,10.); #107217=VECTOR('',#383714,10.); #107218=VECTOR('',#383715,10.); #107219=VECTOR('',#383718,10.); #107220=VECTOR('',#383719,10.); #107221=VECTOR('',#383720,10.); #107222=VECTOR('',#383723,10.); #107223=VECTOR('',#383724,10.); #107224=VECTOR('',#383725,10.); #107225=VECTOR('',#383728,10.); #107226=VECTOR('',#383729,10.); #107227=VECTOR('',#383730,10.); #107228=VECTOR('',#383733,10.); #107229=VECTOR('',#383734,10.); #107230=VECTOR('',#383735,10.); #107231=VECTOR('',#383738,10.); #107232=VECTOR('',#383739,10.); #107233=VECTOR('',#383740,10.); #107234=VECTOR('',#383743,10.); #107235=VECTOR('',#383744,10.); #107236=VECTOR('',#383745,10.); #107237=VECTOR('',#383748,10.); #107238=VECTOR('',#383749,10.); #107239=VECTOR('',#383750,10.); #107240=VECTOR('',#383753,10.); #107241=VECTOR('',#383754,10.); #107242=VECTOR('',#383755,10.); #107243=VECTOR('',#383758,10.); #107244=VECTOR('',#383759,10.); #107245=VECTOR('',#383760,10.); #107246=VECTOR('',#383763,10.); #107247=VECTOR('',#383764,10.); #107248=VECTOR('',#383765,10.); #107249=VECTOR('',#383768,10.); #107250=VECTOR('',#383769,10.); #107251=VECTOR('',#383770,10.); #107252=VECTOR('',#383773,10.); #107253=VECTOR('',#383774,10.); #107254=VECTOR('',#383775,10.); #107255=VECTOR('',#383778,10.); #107256=VECTOR('',#383779,10.); #107257=VECTOR('',#383780,10.); #107258=VECTOR('',#383783,10.); #107259=VECTOR('',#383784,10.); #107260=VECTOR('',#383785,10.); #107261=VECTOR('',#383788,10.); #107262=VECTOR('',#383789,10.); #107263=VECTOR('',#383790,10.); #107264=VECTOR('',#383793,10.); #107265=VECTOR('',#383794,10.); #107266=VECTOR('',#383795,10.); #107267=VECTOR('',#383798,10.); #107268=VECTOR('',#383799,10.); #107269=VECTOR('',#383800,10.); #107270=VECTOR('',#383803,10.); #107271=VECTOR('',#383804,10.); #107272=VECTOR('',#383805,10.); #107273=VECTOR('',#383808,10.); #107274=VECTOR('',#383809,10.); #107275=VECTOR('',#383810,10.); #107276=VECTOR('',#383813,10.); #107277=VECTOR('',#383814,10.); #107278=VECTOR('',#383815,10.); #107279=VECTOR('',#383818,10.); #107280=VECTOR('',#383819,10.); #107281=VECTOR('',#383820,10.); #107282=VECTOR('',#383823,10.); #107283=VECTOR('',#383824,10.); #107284=VECTOR('',#383825,10.); #107285=VECTOR('',#383828,10.); #107286=VECTOR('',#383829,10.); #107287=VECTOR('',#383830,10.); #107288=VECTOR('',#383833,10.); #107289=VECTOR('',#383834,10.); #107290=VECTOR('',#383835,10.); #107291=VECTOR('',#383838,10.); #107292=VECTOR('',#383839,10.); #107293=VECTOR('',#383840,10.); #107294=VECTOR('',#383843,10.); #107295=VECTOR('',#383844,10.); #107296=VECTOR('',#383845,10.); #107297=VECTOR('',#383848,10.); #107298=VECTOR('',#383849,10.); #107299=VECTOR('',#383850,10.); #107300=VECTOR('',#383853,10.); #107301=VECTOR('',#383854,10.); #107302=VECTOR('',#383855,10.); #107303=VECTOR('',#383858,10.); #107304=VECTOR('',#383859,10.); #107305=VECTOR('',#383860,10.); #107306=VECTOR('',#383863,10.); #107307=VECTOR('',#383864,10.); #107308=VECTOR('',#383865,10.); #107309=VECTOR('',#383868,10.); #107310=VECTOR('',#383869,10.); #107311=VECTOR('',#383870,10.); #107312=VECTOR('',#383873,10.); #107313=VECTOR('',#383874,10.); #107314=VECTOR('',#383875,10.); #107315=VECTOR('',#383878,10.); #107316=VECTOR('',#383879,10.); #107317=VECTOR('',#383880,10.); #107318=VECTOR('',#383883,10.); #107319=VECTOR('',#383884,10.); #107320=VECTOR('',#383885,10.); #107321=VECTOR('',#383888,10.); #107322=VECTOR('',#383889,10.); #107323=VECTOR('',#383890,10.); #107324=VECTOR('',#383893,10.); #107325=VECTOR('',#383894,10.); #107326=VECTOR('',#383895,10.); #107327=VECTOR('',#383898,10.); #107328=VECTOR('',#383899,10.); #107329=VECTOR('',#383900,10.); #107330=VECTOR('',#383903,10.); #107331=VECTOR('',#383904,10.); #107332=VECTOR('',#383905,10.); #107333=VECTOR('',#383908,10.); #107334=VECTOR('',#383909,10.); #107335=VECTOR('',#383916,10.); #107336=VECTOR('',#383917,10.); #107337=VECTOR('',#383918,10.); #107338=VECTOR('',#383919,10.); #107339=VECTOR('',#383922,10.); #107340=VECTOR('',#383923,10.); #107341=VECTOR('',#383924,10.); #107342=VECTOR('',#383927,10.); #107343=VECTOR('',#383928,10.); #107344=VECTOR('',#383929,10.); #107345=VECTOR('',#383932,10.); #107346=VECTOR('',#383933,10.); #107347=VECTOR('',#383934,10.); #107348=VECTOR('',#383937,10.); #107349=VECTOR('',#383938,10.); #107350=VECTOR('',#383939,10.); #107351=VECTOR('',#383942,10.); #107352=VECTOR('',#383943,10.); #107353=VECTOR('',#383944,10.); #107354=VECTOR('',#383947,10.); #107355=VECTOR('',#383948,10.); #107356=VECTOR('',#383949,10.); #107357=VECTOR('',#383952,10.); #107358=VECTOR('',#383953,10.); #107359=VECTOR('',#383954,10.); #107360=VECTOR('',#383957,10.); #107361=VECTOR('',#383958,10.); #107362=VECTOR('',#383959,10.); #107363=VECTOR('',#383962,10.); #107364=VECTOR('',#383963,10.); #107365=VECTOR('',#383964,10.); #107366=VECTOR('',#383967,10.); #107367=VECTOR('',#383968,10.); #107368=VECTOR('',#383969,10.); #107369=VECTOR('',#383972,10.); #107370=VECTOR('',#383973,10.); #107371=VECTOR('',#383974,10.); #107372=VECTOR('',#383977,10.); #107373=VECTOR('',#383978,10.); #107374=VECTOR('',#383979,10.); #107375=VECTOR('',#383982,10.); #107376=VECTOR('',#383983,10.); #107377=VECTOR('',#383984,10.); #107378=VECTOR('',#383987,10.); #107379=VECTOR('',#383988,10.); #107380=VECTOR('',#383989,10.); #107381=VECTOR('',#383992,10.); #107382=VECTOR('',#383993,10.); #107383=VECTOR('',#383994,10.); #107384=VECTOR('',#383997,10.); #107385=VECTOR('',#383998,10.); #107386=VECTOR('',#383999,10.); #107387=VECTOR('',#384002,10.); #107388=VECTOR('',#384003,10.); #107389=VECTOR('',#384004,10.); #107390=VECTOR('',#384007,10.); #107391=VECTOR('',#384008,10.); #107392=VECTOR('',#384009,10.); #107393=VECTOR('',#384012,10.); #107394=VECTOR('',#384013,10.); #107395=VECTOR('',#384020,10.); #107396=VECTOR('',#384021,10.); #107397=VECTOR('',#384022,10.); #107398=VECTOR('',#384023,10.); #107399=VECTOR('',#384026,10.); #107400=VECTOR('',#384027,10.); #107401=VECTOR('',#384028,10.); #107402=VECTOR('',#384031,10.); #107403=VECTOR('',#384032,10.); #107404=VECTOR('',#384033,10.); #107405=VECTOR('',#384036,10.); #107406=VECTOR('',#384037,10.); #107407=VECTOR('',#384038,10.); #107408=VECTOR('',#384041,10.); #107409=VECTOR('',#384042,10.); #107410=VECTOR('',#384043,10.); #107411=VECTOR('',#384046,10.); #107412=VECTOR('',#384047,10.); #107413=VECTOR('',#384048,10.); #107414=VECTOR('',#384051,10.); #107415=VECTOR('',#384052,10.); #107416=VECTOR('',#384053,10.); #107417=VECTOR('',#384056,10.); #107418=VECTOR('',#384057,10.); #107419=VECTOR('',#384058,10.); #107420=VECTOR('',#384061,10.); #107421=VECTOR('',#384062,10.); #107422=VECTOR('',#384063,10.); #107423=VECTOR('',#384066,10.); #107424=VECTOR('',#384067,10.); #107425=VECTOR('',#384068,10.); #107426=VECTOR('',#384071,10.); #107427=VECTOR('',#384072,10.); #107428=VECTOR('',#384073,10.); #107429=VECTOR('',#384076,10.); #107430=VECTOR('',#384077,10.); #107431=VECTOR('',#384078,10.); #107432=VECTOR('',#384081,10.); #107433=VECTOR('',#384082,10.); #107434=VECTOR('',#384083,10.); #107435=VECTOR('',#384086,10.); #107436=VECTOR('',#384087,10.); #107437=VECTOR('',#384088,10.); #107438=VECTOR('',#384091,10.); #107439=VECTOR('',#384092,10.); #107440=VECTOR('',#384093,10.); #107441=VECTOR('',#384096,10.); #107442=VECTOR('',#384097,10.); #107443=VECTOR('',#384098,10.); #107444=VECTOR('',#384101,10.); #107445=VECTOR('',#384102,10.); #107446=VECTOR('',#384103,10.); #107447=VECTOR('',#384106,10.); #107448=VECTOR('',#384107,10.); #107449=VECTOR('',#384108,10.); #107450=VECTOR('',#384111,10.); #107451=VECTOR('',#384112,10.); #107452=VECTOR('',#384113,10.); #107453=VECTOR('',#384116,10.); #107454=VECTOR('',#384117,10.); #107455=VECTOR('',#384118,10.); #107456=VECTOR('',#384121,10.); #107457=VECTOR('',#384122,10.); #107458=VECTOR('',#384131,0.139999999999998); #107459=VECTOR('',#384138,0.139999999999998); #107460=VECTOR('',#384145,0.139999999999998); #107461=VECTOR('',#384152,0.139999999999998); #107462=VECTOR('',#384159,0.139999999999998); #107463=VECTOR('',#384166,0.139999999999998); #107464=VECTOR('',#384173,0.139999999999998); #107465=VECTOR('',#384180,0.139999999999998); #107466=VECTOR('',#384187,0.139999999999998); #107467=VECTOR('',#384194,0.139999999999998); #107468=VECTOR('',#384201,0.139999999999998); #107469=VECTOR('',#384208,0.139999999999998); #107470=VECTOR('',#384215,0.139999999999998); #107471=VECTOR('',#384222,0.139999999999998); #107472=VECTOR('',#384229,0.139999999999998); #107473=VECTOR('',#384236,0.139999999999998); #107474=VECTOR('',#384243,0.139999999999998); #107475=VECTOR('',#384250,0.139999999999998); #107476=VECTOR('',#384257,0.139999999999998); #107477=VECTOR('',#384264,0.139999999999998); #107478=VECTOR('',#384271,0.139999999999998); #107479=VECTOR('',#384278,0.139999999999998); #107480=VECTOR('',#384285,0.139999999999998); #107481=VECTOR('',#384292,0.139999999999998); #107482=VECTOR('',#384299,0.139999999999998); #107483=VECTOR('',#384306,0.139999999999998); #107484=VECTOR('',#384313,0.139999999999998); #107485=VECTOR('',#384320,0.139999999999998); #107486=VECTOR('',#384327,0.139999999999998); #107487=VECTOR('',#384334,0.139999999999998); #107488=VECTOR('',#384341,0.139999999999998); #107489=VECTOR('',#384348,0.139999999999998); #107490=VECTOR('',#384355,0.139999999999998); #107491=VECTOR('',#384362,0.139999999999998); #107492=VECTOR('',#384369,0.139999999999998); #107493=VECTOR('',#384376,0.139999999999998); #107494=VECTOR('',#384383,0.139999999999998); #107495=VECTOR('',#384390,0.139999999999998); #107496=VECTOR('',#384397,0.139999999999998); #107497=VECTOR('',#384404,0.139999999999998); #107498=VECTOR('',#384411,0.139999999999998); #107499=VECTOR('',#384418,0.139999999999998); #107500=VECTOR('',#384425,0.139999999999998); #107501=VECTOR('',#384432,0.139999999999998); #107502=VECTOR('',#384439,0.139999999999998); #107503=VECTOR('',#384446,0.139999999999998); #107504=VECTOR('',#384453,0.139999999999998); #107505=VECTOR('',#384460,0.139999999999998); #107506=VECTOR('',#384467,0.139999999999998); #107507=VECTOR('',#384472,10.); #107508=VECTOR('',#384473,10.); #107509=VECTOR('',#384474,10.); #107510=VECTOR('',#384475,10.); #107511=VECTOR('',#384478,10.); #107512=VECTOR('',#384479,10.); #107513=VECTOR('',#384480,10.); #107514=VECTOR('',#384483,10.); #107515=VECTOR('',#384484,10.); #107516=VECTOR('',#384485,10.); #107517=VECTOR('',#384488,10.); #107518=VECTOR('',#384489,10.); #107519=VECTOR('',#384490,10.); #107520=VECTOR('',#384493,10.); #107521=VECTOR('',#384494,10.); #107522=VECTOR('',#384495,10.); #107523=VECTOR('',#384498,10.); #107524=VECTOR('',#384499,10.); #107525=VECTOR('',#384500,10.); #107526=VECTOR('',#384503,10.); #107527=VECTOR('',#384504,10.); #107528=VECTOR('',#384505,10.); #107529=VECTOR('',#384508,10.); #107530=VECTOR('',#384509,10.); #107531=VECTOR('',#384510,10.); #107532=VECTOR('',#384513,10.); #107533=VECTOR('',#384514,10.); #107534=VECTOR('',#384515,10.); #107535=VECTOR('',#384518,10.); #107536=VECTOR('',#384519,10.); #107537=VECTOR('',#384520,10.); #107538=VECTOR('',#384523,10.); #107539=VECTOR('',#384524,10.); #107540=VECTOR('',#384525,10.); #107541=VECTOR('',#384528,10.); #107542=VECTOR('',#384529,10.); #107543=VECTOR('',#384530,10.); #107544=VECTOR('',#384533,10.); #107545=VECTOR('',#384534,10.); #107546=VECTOR('',#384535,10.); #107547=VECTOR('',#384538,10.); #107548=VECTOR('',#384539,10.); #107549=VECTOR('',#384540,10.); #107550=VECTOR('',#384543,10.); #107551=VECTOR('',#384544,10.); #107552=VECTOR('',#384545,10.); #107553=VECTOR('',#384548,10.); #107554=VECTOR('',#384549,10.); #107555=VECTOR('',#384550,10.); #107556=VECTOR('',#384553,10.); #107557=VECTOR('',#384554,10.); #107558=VECTOR('',#384555,10.); #107559=VECTOR('',#384558,10.); #107560=VECTOR('',#384559,10.); #107561=VECTOR('',#384560,10.); #107562=VECTOR('',#384563,10.); #107563=VECTOR('',#384564,10.); #107564=VECTOR('',#384565,10.); #107565=VECTOR('',#384568,10.); #107566=VECTOR('',#384569,10.); #107567=VECTOR('',#384570,10.); #107568=VECTOR('',#384573,10.); #107569=VECTOR('',#384574,10.); #107570=VECTOR('',#384575,10.); #107571=VECTOR('',#384578,10.); #107572=VECTOR('',#384579,10.); #107573=VECTOR('',#384580,10.); #107574=VECTOR('',#384583,10.); #107575=VECTOR('',#384584,10.); #107576=VECTOR('',#384585,10.); #107577=VECTOR('',#384588,10.); #107578=VECTOR('',#384589,10.); #107579=VECTOR('',#384590,10.); #107580=VECTOR('',#384593,10.); #107581=VECTOR('',#384594,10.); #107582=VECTOR('',#384595,10.); #107583=VECTOR('',#384598,10.); #107584=VECTOR('',#384599,10.); #107585=VECTOR('',#384600,10.); #107586=VECTOR('',#384603,10.); #107587=VECTOR('',#384604,10.); #107588=VECTOR('',#384605,10.); #107589=VECTOR('',#384608,10.); #107590=VECTOR('',#384609,10.); #107591=VECTOR('',#384610,10.); #107592=VECTOR('',#384613,10.); #107593=VECTOR('',#384614,10.); #107594=VECTOR('',#384615,10.); #107595=VECTOR('',#384618,10.); #107596=VECTOR('',#384619,10.); #107597=VECTOR('',#384620,10.); #107598=VECTOR('',#384623,10.); #107599=VECTOR('',#384624,10.); #107600=VECTOR('',#384625,10.); #107601=VECTOR('',#384628,10.); #107602=VECTOR('',#384629,10.); #107603=VECTOR('',#384630,10.); #107604=VECTOR('',#384633,10.); #107605=VECTOR('',#384634,10.); #107606=VECTOR('',#384635,10.); #107607=VECTOR('',#384638,10.); #107608=VECTOR('',#384639,10.); #107609=VECTOR('',#384640,10.); #107610=VECTOR('',#384643,10.); #107611=VECTOR('',#384644,10.); #107612=VECTOR('',#384645,10.); #107613=VECTOR('',#384648,10.); #107614=VECTOR('',#384649,10.); #107615=VECTOR('',#384650,10.); #107616=VECTOR('',#384653,10.); #107617=VECTOR('',#384654,10.); #107618=VECTOR('',#384655,10.); #107619=VECTOR('',#384658,10.); #107620=VECTOR('',#384659,10.); #107621=VECTOR('',#384660,10.); #107622=VECTOR('',#384663,10.); #107623=VECTOR('',#384664,10.); #107624=VECTOR('',#384665,10.); #107625=VECTOR('',#384668,10.); #107626=VECTOR('',#384669,10.); #107627=VECTOR('',#384670,10.); #107628=VECTOR('',#384673,10.); #107629=VECTOR('',#384674,10.); #107630=VECTOR('',#384675,10.); #107631=VECTOR('',#384678,10.); #107632=VECTOR('',#384679,10.); #107633=VECTOR('',#384680,10.); #107634=VECTOR('',#384683,10.); #107635=VECTOR('',#384684,10.); #107636=VECTOR('',#384685,10.); #107637=VECTOR('',#384688,10.); #107638=VECTOR('',#384689,10.); #107639=VECTOR('',#384690,10.); #107640=VECTOR('',#384693,10.); #107641=VECTOR('',#384694,10.); #107642=VECTOR('',#384695,10.); #107643=VECTOR('',#384698,10.); #107644=VECTOR('',#384699,10.); #107645=VECTOR('',#384700,10.); #107646=VECTOR('',#384703,10.); #107647=VECTOR('',#384704,10.); #107648=VECTOR('',#384705,10.); #107649=VECTOR('',#384708,10.); #107650=VECTOR('',#384709,10.); #107651=VECTOR('',#384710,10.); #107652=VECTOR('',#384713,10.); #107653=VECTOR('',#384714,10.); #107654=VECTOR('',#384715,10.); #107655=VECTOR('',#384718,10.); #107656=VECTOR('',#384719,10.); #107657=VECTOR('',#384720,10.); #107658=VECTOR('',#384723,10.); #107659=VECTOR('',#384724,10.); #107660=VECTOR('',#384725,10.); #107661=VECTOR('',#384728,10.); #107662=VECTOR('',#384729,10.); #107663=VECTOR('',#384730,10.); #107664=VECTOR('',#384733,10.); #107665=VECTOR('',#384734,10.); #107666=VECTOR('',#384735,10.); #107667=VECTOR('',#384738,10.); #107668=VECTOR('',#384739,10.); #107669=VECTOR('',#384740,10.); #107670=VECTOR('',#384743,10.); #107671=VECTOR('',#384744,10.); #107672=VECTOR('',#384745,10.); #107673=VECTOR('',#384748,10.); #107674=VECTOR('',#384749,10.); #107675=VECTOR('',#384750,10.); #107676=VECTOR('',#384753,10.); #107677=VECTOR('',#384754,10.); #107678=VECTOR('',#384755,10.); #107679=VECTOR('',#384758,10.); #107680=VECTOR('',#384759,10.); #107681=VECTOR('',#384760,10.); #107682=VECTOR('',#384763,10.); #107683=VECTOR('',#384764,10.); #107684=VECTOR('',#384765,10.); #107685=VECTOR('',#384768,10.); #107686=VECTOR('',#384769,10.); #107687=VECTOR('',#384770,10.); #107688=VECTOR('',#384773,10.); #107689=VECTOR('',#384774,10.); #107690=VECTOR('',#384775,10.); #107691=VECTOR('',#384778,10.); #107692=VECTOR('',#384779,10.); #107693=VECTOR('',#384780,10.); #107694=VECTOR('',#384783,10.); #107695=VECTOR('',#384784,10.); #107696=VECTOR('',#384785,10.); #107697=VECTOR('',#384788,10.); #107698=VECTOR('',#384789,10.); #107699=VECTOR('',#384790,10.); #107700=VECTOR('',#384793,10.); #107701=VECTOR('',#384794,10.); #107702=VECTOR('',#384795,10.); #107703=VECTOR('',#384798,10.); #107704=VECTOR('',#384799,10.); #107705=VECTOR('',#384800,10.); #107706=VECTOR('',#384803,10.); #107707=VECTOR('',#384804,10.); #107708=VECTOR('',#384805,10.); #107709=VECTOR('',#384808,10.); #107710=VECTOR('',#384809,10.); #107711=VECTOR('',#384810,10.); #107712=VECTOR('',#384813,10.); #107713=VECTOR('',#384814,10.); #107714=VECTOR('',#384815,10.); #107715=VECTOR('',#384818,10.); #107716=VECTOR('',#384819,10.); #107717=VECTOR('',#384820,10.); #107718=VECTOR('',#384823,10.); #107719=VECTOR('',#384824,10.); #107720=VECTOR('',#384825,10.); #107721=VECTOR('',#384828,10.); #107722=VECTOR('',#384829,10.); #107723=VECTOR('',#384830,10.); #107724=VECTOR('',#384833,10.); #107725=VECTOR('',#384834,10.); #107726=VECTOR('',#384835,10.); #107727=VECTOR('',#384838,10.); #107728=VECTOR('',#384839,10.); #107729=VECTOR('',#384840,10.); #107730=VECTOR('',#384843,10.); #107731=VECTOR('',#384844,10.); #107732=VECTOR('',#384845,10.); #107733=VECTOR('',#384848,10.); #107734=VECTOR('',#384849,10.); #107735=VECTOR('',#384850,10.); #107736=VECTOR('',#384853,10.); #107737=VECTOR('',#384854,10.); #107738=VECTOR('',#384855,10.); #107739=VECTOR('',#384858,10.); #107740=VECTOR('',#384859,10.); #107741=VECTOR('',#384860,10.); #107742=VECTOR('',#384863,10.); #107743=VECTOR('',#384864,10.); #107744=VECTOR('',#384865,10.); #107745=VECTOR('',#384868,10.); #107746=VECTOR('',#384869,10.); #107747=VECTOR('',#384870,10.); #107748=VECTOR('',#384873,10.); #107749=VECTOR('',#384874,10.); #107750=VECTOR('',#384875,10.); #107751=VECTOR('',#384878,10.); #107752=VECTOR('',#384879,10.); #107753=VECTOR('',#384880,10.); #107754=VECTOR('',#384883,10.); #107755=VECTOR('',#384884,10.); #107756=VECTOR('',#384885,10.); #107757=VECTOR('',#384888,10.); #107758=VECTOR('',#384889,10.); #107759=VECTOR('',#384890,10.); #107760=VECTOR('',#384893,10.); #107761=VECTOR('',#384894,10.); #107762=VECTOR('',#384895,10.); #107763=VECTOR('',#384898,10.); #107764=VECTOR('',#384899,10.); #107765=VECTOR('',#384900,10.); #107766=VECTOR('',#384903,10.); #107767=VECTOR('',#384904,10.); #107768=VECTOR('',#384905,10.); #107769=VECTOR('',#384908,10.); #107770=VECTOR('',#384909,10.); #107771=VECTOR('',#384910,10.); #107772=VECTOR('',#384913,10.); #107773=VECTOR('',#384914,10.); #107774=VECTOR('',#384915,10.); #107775=VECTOR('',#384918,10.); #107776=VECTOR('',#384919,10.); #107777=VECTOR('',#384920,10.); #107778=VECTOR('',#384923,10.); #107779=VECTOR('',#384924,10.); #107780=VECTOR('',#384925,10.); #107781=VECTOR('',#384928,10.); #107782=VECTOR('',#384929,10.); #107783=VECTOR('',#384930,10.); #107784=VECTOR('',#384933,10.); #107785=VECTOR('',#384934,10.); #107786=VECTOR('',#384935,10.); #107787=VECTOR('',#384938,10.); #107788=VECTOR('',#384939,10.); #107789=VECTOR('',#384940,10.); #107790=VECTOR('',#384943,10.); #107791=VECTOR('',#384944,10.); #107792=VECTOR('',#384945,10.); #107793=VECTOR('',#384948,10.); #107794=VECTOR('',#384949,10.); #107795=VECTOR('',#384950,10.); #107796=VECTOR('',#384953,10.); #107797=VECTOR('',#384954,10.); #107798=VECTOR('',#384955,10.); #107799=VECTOR('',#384958,10.); #107800=VECTOR('',#384959,10.); #107801=VECTOR('',#384960,10.); #107802=VECTOR('',#384963,10.); #107803=VECTOR('',#384964,10.); #107804=VECTOR('',#384965,10.); #107805=VECTOR('',#384968,10.); #107806=VECTOR('',#384969,10.); #107807=VECTOR('',#384970,10.); #107808=VECTOR('',#384973,10.); #107809=VECTOR('',#384974,10.); #107810=VECTOR('',#384975,10.); #107811=VECTOR('',#384978,10.); #107812=VECTOR('',#384979,10.); #107813=VECTOR('',#384980,10.); #107814=VECTOR('',#384983,10.); #107815=VECTOR('',#384984,10.); #107816=VECTOR('',#384985,10.); #107817=VECTOR('',#384988,10.); #107818=VECTOR('',#384989,10.); #107819=VECTOR('',#384990,10.); #107820=VECTOR('',#384993,10.); #107821=VECTOR('',#384994,10.); #107822=VECTOR('',#384995,10.); #107823=VECTOR('',#384998,10.); #107824=VECTOR('',#384999,10.); #107825=VECTOR('',#385000,10.); #107826=VECTOR('',#385003,10.); #107827=VECTOR('',#385004,10.); #107828=VECTOR('',#385005,10.); #107829=VECTOR('',#385008,10.); #107830=VECTOR('',#385009,10.); #107831=VECTOR('',#385010,10.); #107832=VECTOR('',#385013,10.); #107833=VECTOR('',#385014,10.); #107834=VECTOR('',#385015,10.); #107835=VECTOR('',#385018,10.); #107836=VECTOR('',#385019,10.); #107837=VECTOR('',#385020,10.); #107838=VECTOR('',#385023,10.); #107839=VECTOR('',#385024,10.); #107840=VECTOR('',#385025,10.); #107841=VECTOR('',#385028,10.); #107842=VECTOR('',#385029,10.); #107843=VECTOR('',#385030,10.); #107844=VECTOR('',#385033,10.); #107845=VECTOR('',#385034,10.); #107846=VECTOR('',#385035,10.); #107847=VECTOR('',#385038,10.); #107848=VECTOR('',#385039,10.); #107849=VECTOR('',#385040,10.); #107850=VECTOR('',#385043,10.); #107851=VECTOR('',#385044,10.); #107852=VECTOR('',#385045,10.); #107853=VECTOR('',#385048,10.); #107854=VECTOR('',#385049,10.); #107855=VECTOR('',#385050,10.); #107856=VECTOR('',#385053,10.); #107857=VECTOR('',#385054,10.); #107858=VECTOR('',#385055,10.); #107859=VECTOR('',#385058,10.); #107860=VECTOR('',#385059,10.); #107861=VECTOR('',#385060,10.); #107862=VECTOR('',#385063,10.); #107863=VECTOR('',#385064,10.); #107864=VECTOR('',#385065,10.); #107865=VECTOR('',#385068,10.); #107866=VECTOR('',#385069,10.); #107867=VECTOR('',#385070,10.); #107868=VECTOR('',#385073,10.); #107869=VECTOR('',#385074,10.); #107870=VECTOR('',#385075,10.); #107871=VECTOR('',#385078,10.); #107872=VECTOR('',#385079,10.); #107873=VECTOR('',#385080,10.); #107874=VECTOR('',#385083,10.); #107875=VECTOR('',#385084,10.); #107876=VECTOR('',#385085,10.); #107877=VECTOR('',#385088,10.); #107878=VECTOR('',#385089,10.); #107879=VECTOR('',#385090,10.); #107880=VECTOR('',#385093,10.); #107881=VECTOR('',#385094,10.); #107882=VECTOR('',#385095,10.); #107883=VECTOR('',#385098,10.); #107884=VECTOR('',#385099,10.); #107885=VECTOR('',#385100,10.); #107886=VECTOR('',#385103,10.); #107887=VECTOR('',#385104,10.); #107888=VECTOR('',#385105,10.); #107889=VECTOR('',#385108,10.); #107890=VECTOR('',#385109,10.); #107891=VECTOR('',#385110,10.); #107892=VECTOR('',#385113,10.); #107893=VECTOR('',#385114,10.); #107894=VECTOR('',#385115,10.); #107895=VECTOR('',#385118,10.); #107896=VECTOR('',#385119,10.); #107897=VECTOR('',#385120,10.); #107898=VECTOR('',#385123,10.); #107899=VECTOR('',#385124,10.); #107900=VECTOR('',#385125,10.); #107901=VECTOR('',#385128,10.); #107902=VECTOR('',#385129,10.); #107903=VECTOR('',#385130,10.); #107904=VECTOR('',#385133,10.); #107905=VECTOR('',#385134,10.); #107906=VECTOR('',#385135,10.); #107907=VECTOR('',#385138,10.); #107908=VECTOR('',#385139,10.); #107909=VECTOR('',#385140,10.); #107910=VECTOR('',#385143,10.); #107911=VECTOR('',#385144,10.); #107912=VECTOR('',#385145,10.); #107913=VECTOR('',#385148,10.); #107914=VECTOR('',#385149,10.); #107915=VECTOR('',#385150,10.); #107916=VECTOR('',#385153,10.); #107917=VECTOR('',#385154,10.); #107918=VECTOR('',#385155,10.); #107919=VECTOR('',#385158,10.); #107920=VECTOR('',#385159,10.); #107921=VECTOR('',#385160,10.); #107922=VECTOR('',#385163,10.); #107923=VECTOR('',#385164,10.); #107924=VECTOR('',#385165,10.); #107925=VECTOR('',#385168,10.); #107926=VECTOR('',#385169,10.); #107927=VECTOR('',#385170,10.); #107928=VECTOR('',#385173,10.); #107929=VECTOR('',#385174,10.); #107930=VECTOR('',#385175,10.); #107931=VECTOR('',#385178,10.); #107932=VECTOR('',#385179,10.); #107933=VECTOR('',#385180,10.); #107934=VECTOR('',#385183,10.); #107935=VECTOR('',#385184,10.); #107936=VECTOR('',#385185,10.); #107937=VECTOR('',#385188,10.); #107938=VECTOR('',#385189,10.); #107939=VECTOR('',#385190,10.); #107940=VECTOR('',#385193,10.); #107941=VECTOR('',#385194,10.); #107942=VECTOR('',#385195,10.); #107943=VECTOR('',#385198,10.); #107944=VECTOR('',#385199,10.); #107945=VECTOR('',#385200,10.); #107946=VECTOR('',#385203,10.); #107947=VECTOR('',#385204,10.); #107948=VECTOR('',#385205,10.); #107949=VECTOR('',#385208,10.); #107950=VECTOR('',#385209,10.); #107951=VECTOR('',#385210,10.); #107952=VECTOR('',#385213,10.); #107953=VECTOR('',#385214,10.); #107954=VECTOR('',#385215,10.); #107955=VECTOR('',#385218,10.); #107956=VECTOR('',#385219,10.); #107957=VECTOR('',#385220,10.); #107958=VECTOR('',#385223,10.); #107959=VECTOR('',#385224,10.); #107960=VECTOR('',#385225,10.); #107961=VECTOR('',#385228,10.); #107962=VECTOR('',#385229,10.); #107963=VECTOR('',#385230,10.); #107964=VECTOR('',#385233,10.); #107965=VECTOR('',#385234,10.); #107966=VECTOR('',#385235,10.); #107967=VECTOR('',#385238,10.); #107968=VECTOR('',#385239,10.); #107969=VECTOR('',#385240,10.); #107970=VECTOR('',#385243,10.); #107971=VECTOR('',#385244,10.); #107972=VECTOR('',#385245,10.); #107973=VECTOR('',#385248,10.); #107974=VECTOR('',#385249,10.); #107975=VECTOR('',#385250,10.); #107976=VECTOR('',#385253,10.); #107977=VECTOR('',#385254,10.); #107978=VECTOR('',#385255,10.); #107979=VECTOR('',#385258,10.); #107980=VECTOR('',#385259,10.); #107981=VECTOR('',#385260,10.); #107982=VECTOR('',#385263,10.); #107983=VECTOR('',#385264,10.); #107984=VECTOR('',#385265,10.); #107985=VECTOR('',#385268,10.); #107986=VECTOR('',#385269,10.); #107987=VECTOR('',#385270,10.); #107988=VECTOR('',#385273,10.); #107989=VECTOR('',#385274,10.); #107990=VECTOR('',#385275,10.); #107991=VECTOR('',#385278,10.); #107992=VECTOR('',#385279,10.); #107993=VECTOR('',#385280,10.); #107994=VECTOR('',#385283,10.); #107995=VECTOR('',#385284,10.); #107996=VECTOR('',#385285,10.); #107997=VECTOR('',#385288,10.); #107998=VECTOR('',#385289,10.); #107999=VECTOR('',#385290,10.); #108000=VECTOR('',#385293,10.); #108001=VECTOR('',#385294,10.); #108002=VECTOR('',#385295,10.); #108003=VECTOR('',#385298,10.); #108004=VECTOR('',#385299,10.); #108005=VECTOR('',#385300,10.); #108006=VECTOR('',#385303,10.); #108007=VECTOR('',#385304,10.); #108008=VECTOR('',#385305,10.); #108009=VECTOR('',#385308,10.); #108010=VECTOR('',#385309,10.); #108011=VECTOR('',#385310,10.); #108012=VECTOR('',#385313,10.); #108013=VECTOR('',#385314,10.); #108014=VECTOR('',#385315,10.); #108015=VECTOR('',#385318,10.); #108016=VECTOR('',#385319,10.); #108017=VECTOR('',#385320,10.); #108018=VECTOR('',#385323,10.); #108019=VECTOR('',#385324,10.); #108020=VECTOR('',#385325,10.); #108021=VECTOR('',#385328,10.); #108022=VECTOR('',#385329,10.); #108023=VECTOR('',#385330,10.); #108024=VECTOR('',#385333,10.); #108025=VECTOR('',#385334,10.); #108026=VECTOR('',#385335,10.); #108027=VECTOR('',#385338,10.); #108028=VECTOR('',#385339,10.); #108029=VECTOR('',#385340,10.); #108030=VECTOR('',#385343,10.); #108031=VECTOR('',#385344,10.); #108032=VECTOR('',#385345,10.); #108033=VECTOR('',#385348,10.); #108034=VECTOR('',#385349,10.); #108035=VECTOR('',#385350,10.); #108036=VECTOR('',#385353,10.); #108037=VECTOR('',#385354,10.); #108038=VECTOR('',#385355,10.); #108039=VECTOR('',#385358,10.); #108040=VECTOR('',#385359,10.); #108041=VECTOR('',#385360,10.); #108042=VECTOR('',#385363,10.); #108043=VECTOR('',#385364,10.); #108044=VECTOR('',#385365,10.); #108045=VECTOR('',#385368,10.); #108046=VECTOR('',#385369,10.); #108047=VECTOR('',#385370,10.); #108048=VECTOR('',#385373,10.); #108049=VECTOR('',#385374,10.); #108050=VECTOR('',#385375,10.); #108051=VECTOR('',#385378,10.); #108052=VECTOR('',#385379,10.); #108053=VECTOR('',#385380,10.); #108054=VECTOR('',#385383,10.); #108055=VECTOR('',#385384,10.); #108056=VECTOR('',#385385,10.); #108057=VECTOR('',#385388,10.); #108058=VECTOR('',#385389,10.); #108059=VECTOR('',#385390,10.); #108060=VECTOR('',#385393,10.); #108061=VECTOR('',#385394,10.); #108062=VECTOR('',#385395,10.); #108063=VECTOR('',#385398,10.); #108064=VECTOR('',#385399,10.); #108065=VECTOR('',#385400,10.); #108066=VECTOR('',#385403,10.); #108067=VECTOR('',#385404,10.); #108068=VECTOR('',#385405,10.); #108069=VECTOR('',#385408,10.); #108070=VECTOR('',#385409,10.); #108071=VECTOR('',#385410,10.); #108072=VECTOR('',#385413,10.); #108073=VECTOR('',#385414,10.); #108074=VECTOR('',#385415,10.); #108075=VECTOR('',#385418,10.); #108076=VECTOR('',#385419,10.); #108077=VECTOR('',#385420,10.); #108078=VECTOR('',#385423,10.); #108079=VECTOR('',#385424,10.); #108080=VECTOR('',#385425,10.); #108081=VECTOR('',#385428,10.); #108082=VECTOR('',#385429,10.); #108083=VECTOR('',#385430,10.); #108084=VECTOR('',#385433,10.); #108085=VECTOR('',#385434,10.); #108086=VECTOR('',#385435,10.); #108087=VECTOR('',#385438,10.); #108088=VECTOR('',#385439,10.); #108089=VECTOR('',#385440,10.); #108090=VECTOR('',#385443,10.); #108091=VECTOR('',#385444,10.); #108092=VECTOR('',#385445,10.); #108093=VECTOR('',#385448,10.); #108094=VECTOR('',#385449,10.); #108095=VECTOR('',#385450,10.); #108096=VECTOR('',#385453,10.); #108097=VECTOR('',#385454,10.); #108098=VECTOR('',#385455,10.); #108099=VECTOR('',#385458,10.); #108100=VECTOR('',#385459,10.); #108101=VECTOR('',#385460,10.); #108102=VECTOR('',#385463,10.); #108103=VECTOR('',#385464,10.); #108104=VECTOR('',#385465,10.); #108105=VECTOR('',#385468,10.); #108106=VECTOR('',#385469,10.); #108107=VECTOR('',#385470,10.); #108108=VECTOR('',#385473,10.); #108109=VECTOR('',#385474,10.); #108110=VECTOR('',#385475,10.); #108111=VECTOR('',#385478,10.); #108112=VECTOR('',#385479,10.); #108113=VECTOR('',#385480,10.); #108114=VECTOR('',#385483,10.); #108115=VECTOR('',#385484,10.); #108116=VECTOR('',#385485,10.); #108117=VECTOR('',#385488,10.); #108118=VECTOR('',#385489,10.); #108119=VECTOR('',#385490,10.); #108120=VECTOR('',#385493,10.); #108121=VECTOR('',#385494,10.); #108122=VECTOR('',#385495,10.); #108123=VECTOR('',#385498,10.); #108124=VECTOR('',#385499,10.); #108125=VECTOR('',#385500,10.); #108126=VECTOR('',#385503,10.); #108127=VECTOR('',#385504,10.); #108128=VECTOR('',#385505,10.); #108129=VECTOR('',#385508,10.); #108130=VECTOR('',#385509,10.); #108131=VECTOR('',#385510,10.); #108132=VECTOR('',#385513,10.); #108133=VECTOR('',#385514,10.); #108134=VECTOR('',#385515,10.); #108135=VECTOR('',#385518,10.); #108136=VECTOR('',#385519,10.); #108137=VECTOR('',#385520,10.); #108138=VECTOR('',#385523,10.); #108139=VECTOR('',#385524,10.); #108140=VECTOR('',#385525,10.); #108141=VECTOR('',#385528,10.); #108142=VECTOR('',#385529,10.); #108143=VECTOR('',#385530,10.); #108144=VECTOR('',#385533,10.); #108145=VECTOR('',#385534,10.); #108146=VECTOR('',#385535,10.); #108147=VECTOR('',#385538,10.); #108148=VECTOR('',#385539,10.); #108149=VECTOR('',#385540,10.); #108150=VECTOR('',#385543,10.); #108151=VECTOR('',#385544,10.); #108152=VECTOR('',#385545,10.); #108153=VECTOR('',#385548,10.); #108154=VECTOR('',#385549,10.); #108155=VECTOR('',#385550,10.); #108156=VECTOR('',#385553,10.); #108157=VECTOR('',#385554,10.); #108158=VECTOR('',#385555,10.); #108159=VECTOR('',#385558,10.); #108160=VECTOR('',#385559,10.); #108161=VECTOR('',#385560,10.); #108162=VECTOR('',#385563,10.); #108163=VECTOR('',#385564,10.); #108164=VECTOR('',#385565,10.); #108165=VECTOR('',#385568,10.); #108166=VECTOR('',#385569,10.); #108167=VECTOR('',#385570,10.); #108168=VECTOR('',#385573,10.); #108169=VECTOR('',#385574,10.); #108170=VECTOR('',#385575,10.); #108171=VECTOR('',#385578,10.); #108172=VECTOR('',#385579,10.); #108173=VECTOR('',#385580,10.); #108174=VECTOR('',#385583,10.); #108175=VECTOR('',#385584,10.); #108176=VECTOR('',#385585,10.); #108177=VECTOR('',#385588,10.); #108178=VECTOR('',#385589,10.); #108179=VECTOR('',#385590,10.); #108180=VECTOR('',#385593,10.); #108181=VECTOR('',#385594,10.); #108182=VECTOR('',#385595,10.); #108183=VECTOR('',#385598,10.); #108184=VECTOR('',#385599,10.); #108185=VECTOR('',#385600,10.); #108186=VECTOR('',#385603,10.); #108187=VECTOR('',#385604,10.); #108188=VECTOR('',#385605,10.); #108189=VECTOR('',#385608,10.); #108190=VECTOR('',#385609,10.); #108191=VECTOR('',#385610,10.); #108192=VECTOR('',#385613,10.); #108193=VECTOR('',#385614,10.); #108194=VECTOR('',#385615,10.); #108195=VECTOR('',#385618,10.); #108196=VECTOR('',#385619,10.); #108197=VECTOR('',#385620,10.); #108198=VECTOR('',#385623,10.); #108199=VECTOR('',#385624,10.); #108200=VECTOR('',#385625,10.); #108201=VECTOR('',#385628,10.); #108202=VECTOR('',#385629,10.); #108203=VECTOR('',#385630,10.); #108204=VECTOR('',#385633,10.); #108205=VECTOR('',#385634,10.); #108206=VECTOR('',#385635,10.); #108207=VECTOR('',#385638,10.); #108208=VECTOR('',#385639,10.); #108209=VECTOR('',#385640,10.); #108210=VECTOR('',#385643,10.); #108211=VECTOR('',#385644,10.); #108212=VECTOR('',#385645,10.); #108213=VECTOR('',#385648,10.); #108214=VECTOR('',#385649,10.); #108215=VECTOR('',#385650,10.); #108216=VECTOR('',#385653,10.); #108217=VECTOR('',#385654,10.); #108218=VECTOR('',#385655,10.); #108219=VECTOR('',#385658,10.); #108220=VECTOR('',#385659,10.); #108221=VECTOR('',#385660,10.); #108222=VECTOR('',#385663,10.); #108223=VECTOR('',#385664,10.); #108224=VECTOR('',#385665,10.); #108225=VECTOR('',#385668,10.); #108226=VECTOR('',#385669,10.); #108227=VECTOR('',#385670,10.); #108228=VECTOR('',#385673,10.); #108229=VECTOR('',#385674,10.); #108230=VECTOR('',#385675,10.); #108231=VECTOR('',#385678,10.); #108232=VECTOR('',#385679,10.); #108233=VECTOR('',#385680,10.); #108234=VECTOR('',#385683,10.); #108235=VECTOR('',#385684,10.); #108236=VECTOR('',#385685,10.); #108237=VECTOR('',#385688,10.); #108238=VECTOR('',#385689,10.); #108239=VECTOR('',#385690,10.); #108240=VECTOR('',#385693,10.); #108241=VECTOR('',#385694,10.); #108242=VECTOR('',#385695,10.); #108243=VECTOR('',#385698,10.); #108244=VECTOR('',#385699,10.); #108245=VECTOR('',#385700,10.); #108246=VECTOR('',#385703,10.); #108247=VECTOR('',#385704,10.); #108248=VECTOR('',#385705,10.); #108249=VECTOR('',#385708,10.); #108250=VECTOR('',#385709,10.); #108251=VECTOR('',#385710,10.); #108252=VECTOR('',#385713,10.); #108253=VECTOR('',#385714,10.); #108254=VECTOR('',#385715,10.); #108255=VECTOR('',#385718,10.); #108256=VECTOR('',#385719,10.); #108257=VECTOR('',#385720,10.); #108258=VECTOR('',#385723,10.); #108259=VECTOR('',#385724,10.); #108260=VECTOR('',#385725,10.); #108261=VECTOR('',#385728,10.); #108262=VECTOR('',#385729,10.); #108263=VECTOR('',#385730,10.); #108264=VECTOR('',#385733,10.); #108265=VECTOR('',#385734,10.); #108266=VECTOR('',#385735,10.); #108267=VECTOR('',#385738,10.); #108268=VECTOR('',#385739,10.); #108269=VECTOR('',#385740,10.); #108270=VECTOR('',#385743,10.); #108271=VECTOR('',#385744,10.); #108272=VECTOR('',#385745,10.); #108273=VECTOR('',#385748,10.); #108274=VECTOR('',#385749,10.); #108275=VECTOR('',#385750,10.); #108276=VECTOR('',#385753,10.); #108277=VECTOR('',#385754,10.); #108278=VECTOR('',#385755,10.); #108279=VECTOR('',#385758,10.); #108280=VECTOR('',#385759,10.); #108281=VECTOR('',#385760,10.); #108282=VECTOR('',#385763,10.); #108283=VECTOR('',#385764,10.); #108284=VECTOR('',#385765,10.); #108285=VECTOR('',#385768,10.); #108286=VECTOR('',#385769,10.); #108287=VECTOR('',#385770,10.); #108288=VECTOR('',#385773,10.); #108289=VECTOR('',#385774,10.); #108290=VECTOR('',#385775,10.); #108291=VECTOR('',#385778,10.); #108292=VECTOR('',#385779,10.); #108293=VECTOR('',#385780,10.); #108294=VECTOR('',#385783,10.); #108295=VECTOR('',#385784,10.); #108296=VECTOR('',#385785,10.); #108297=VECTOR('',#385788,10.); #108298=VECTOR('',#385789,10.); #108299=VECTOR('',#385790,10.); #108300=VECTOR('',#385793,10.); #108301=VECTOR('',#385794,10.); #108302=VECTOR('',#385795,10.); #108303=VECTOR('',#385798,10.); #108304=VECTOR('',#385799,10.); #108305=VECTOR('',#385800,10.); #108306=VECTOR('',#385803,10.); #108307=VECTOR('',#385804,10.); #108308=VECTOR('',#385805,10.); #108309=VECTOR('',#385808,10.); #108310=VECTOR('',#385809,10.); #108311=VECTOR('',#385810,10.); #108312=VECTOR('',#385813,10.); #108313=VECTOR('',#385814,10.); #108314=VECTOR('',#385815,10.); #108315=VECTOR('',#385818,10.); #108316=VECTOR('',#385819,10.); #108317=VECTOR('',#385820,10.); #108318=VECTOR('',#385823,10.); #108319=VECTOR('',#385824,10.); #108320=VECTOR('',#385825,10.); #108321=VECTOR('',#385828,10.); #108322=VECTOR('',#385829,10.); #108323=VECTOR('',#385830,10.); #108324=VECTOR('',#385833,10.); #108325=VECTOR('',#385834,10.); #108326=VECTOR('',#385835,10.); #108327=VECTOR('',#385838,10.); #108328=VECTOR('',#385839,10.); #108329=VECTOR('',#385840,10.); #108330=VECTOR('',#385843,10.); #108331=VECTOR('',#385844,10.); #108332=VECTOR('',#385845,10.); #108333=VECTOR('',#385848,10.); #108334=VECTOR('',#385849,10.); #108335=VECTOR('',#385850,10.); #108336=VECTOR('',#385853,10.); #108337=VECTOR('',#385854,10.); #108338=VECTOR('',#385855,10.); #108339=VECTOR('',#385858,10.); #108340=VECTOR('',#385859,10.); #108341=VECTOR('',#385860,10.); #108342=VECTOR('',#385863,10.); #108343=VECTOR('',#385864,10.); #108344=VECTOR('',#385865,10.); #108345=VECTOR('',#385868,10.); #108346=VECTOR('',#385869,10.); #108347=VECTOR('',#385870,10.); #108348=VECTOR('',#385873,10.); #108349=VECTOR('',#385874,10.); #108350=VECTOR('',#385875,10.); #108351=VECTOR('',#385878,10.); #108352=VECTOR('',#385879,10.); #108353=VECTOR('',#385880,10.); #108354=VECTOR('',#385883,10.); #108355=VECTOR('',#385884,10.); #108356=VECTOR('',#385885,10.); #108357=VECTOR('',#385888,10.); #108358=VECTOR('',#385889,10.); #108359=VECTOR('',#385890,10.); #108360=VECTOR('',#385893,10.); #108361=VECTOR('',#385894,10.); #108362=VECTOR('',#385895,10.); #108363=VECTOR('',#385898,10.); #108364=VECTOR('',#385899,10.); #108365=VECTOR('',#385900,10.); #108366=VECTOR('',#385903,10.); #108367=VECTOR('',#385904,10.); #108368=VECTOR('',#385905,10.); #108369=VECTOR('',#385908,10.); #108370=VECTOR('',#385909,10.); #108371=VECTOR('',#385910,10.); #108372=VECTOR('',#385913,10.); #108373=VECTOR('',#385914,10.); #108374=VECTOR('',#385915,10.); #108375=VECTOR('',#385918,10.); #108376=VECTOR('',#385919,10.); #108377=VECTOR('',#385920,10.); #108378=VECTOR('',#385923,10.); #108379=VECTOR('',#385924,10.); #108380=VECTOR('',#385925,10.); #108381=VECTOR('',#385928,10.); #108382=VECTOR('',#385929,10.); #108383=VECTOR('',#385930,10.); #108384=VECTOR('',#385933,10.); #108385=VECTOR('',#385934,10.); #108386=VECTOR('',#385935,10.); #108387=VECTOR('',#385938,10.); #108388=VECTOR('',#385939,10.); #108389=VECTOR('',#385940,10.); #108390=VECTOR('',#385943,10.); #108391=VECTOR('',#385944,10.); #108392=VECTOR('',#385945,10.); #108393=VECTOR('',#385948,10.); #108394=VECTOR('',#385949,10.); #108395=VECTOR('',#385956,10.); #108396=VECTOR('',#385957,10.); #108397=VECTOR('',#385958,10.); #108398=VECTOR('',#385959,10.); #108399=VECTOR('',#385962,10.); #108400=VECTOR('',#385963,10.); #108401=VECTOR('',#385964,10.); #108402=VECTOR('',#385967,10.); #108403=VECTOR('',#385968,10.); #108404=VECTOR('',#385969,10.); #108405=VECTOR('',#385972,10.); #108406=VECTOR('',#385973,10.); #108407=VECTOR('',#385974,10.); #108408=VECTOR('',#385977,10.); #108409=VECTOR('',#385978,10.); #108410=VECTOR('',#385979,10.); #108411=VECTOR('',#385982,10.); #108412=VECTOR('',#385983,10.); #108413=VECTOR('',#385984,10.); #108414=VECTOR('',#385987,10.); #108415=VECTOR('',#385988,10.); #108416=VECTOR('',#385989,10.); #108417=VECTOR('',#385992,10.); #108418=VECTOR('',#385993,10.); #108419=VECTOR('',#385994,10.); #108420=VECTOR('',#385997,10.); #108421=VECTOR('',#385998,10.); #108422=VECTOR('',#385999,10.); #108423=VECTOR('',#386002,10.); #108424=VECTOR('',#386003,10.); #108425=VECTOR('',#386004,10.); #108426=VECTOR('',#386007,10.); #108427=VECTOR('',#386008,10.); #108428=VECTOR('',#386009,10.); #108429=VECTOR('',#386012,10.); #108430=VECTOR('',#386013,10.); #108431=VECTOR('',#386014,10.); #108432=VECTOR('',#386017,10.); #108433=VECTOR('',#386018,10.); #108434=VECTOR('',#386019,10.); #108435=VECTOR('',#386022,10.); #108436=VECTOR('',#386023,10.); #108437=VECTOR('',#386024,10.); #108438=VECTOR('',#386027,10.); #108439=VECTOR('',#386028,10.); #108440=VECTOR('',#386029,10.); #108441=VECTOR('',#386032,10.); #108442=VECTOR('',#386033,10.); #108443=VECTOR('',#386034,10.); #108444=VECTOR('',#386037,10.); #108445=VECTOR('',#386038,10.); #108446=VECTOR('',#386039,10.); #108447=VECTOR('',#386042,10.); #108448=VECTOR('',#386043,10.); #108449=VECTOR('',#386044,10.); #108450=VECTOR('',#386047,10.); #108451=VECTOR('',#386048,10.); #108452=VECTOR('',#386049,10.); #108453=VECTOR('',#386052,10.); #108454=VECTOR('',#386053,10.); #108455=VECTOR('',#386060,10.); #108456=VECTOR('',#386061,10.); #108457=VECTOR('',#386062,10.); #108458=VECTOR('',#386063,10.); #108459=VECTOR('',#386066,10.); #108460=VECTOR('',#386067,10.); #108461=VECTOR('',#386068,10.); #108462=VECTOR('',#386071,10.); #108463=VECTOR('',#386072,10.); #108464=VECTOR('',#386073,10.); #108465=VECTOR('',#386076,10.); #108466=VECTOR('',#386077,10.); #108467=VECTOR('',#386078,10.); #108468=VECTOR('',#386081,10.); #108469=VECTOR('',#386082,10.); #108470=VECTOR('',#386083,10.); #108471=VECTOR('',#386086,10.); #108472=VECTOR('',#386087,10.); #108473=VECTOR('',#386088,10.); #108474=VECTOR('',#386091,10.); #108475=VECTOR('',#386092,10.); #108476=VECTOR('',#386093,10.); #108477=VECTOR('',#386096,10.); #108478=VECTOR('',#386097,10.); #108479=VECTOR('',#386098,10.); #108480=VECTOR('',#386101,10.); #108481=VECTOR('',#386102,10.); #108482=VECTOR('',#386103,10.); #108483=VECTOR('',#386106,10.); #108484=VECTOR('',#386107,10.); #108485=VECTOR('',#386108,10.); #108486=VECTOR('',#386111,10.); #108487=VECTOR('',#386112,10.); #108488=VECTOR('',#386113,10.); #108489=VECTOR('',#386116,10.); #108490=VECTOR('',#386117,10.); #108491=VECTOR('',#386118,10.); #108492=VECTOR('',#386121,10.); #108493=VECTOR('',#386122,10.); #108494=VECTOR('',#386123,10.); #108495=VECTOR('',#386126,10.); #108496=VECTOR('',#386127,10.); #108497=VECTOR('',#386128,10.); #108498=VECTOR('',#386131,10.); #108499=VECTOR('',#386132,10.); #108500=VECTOR('',#386133,10.); #108501=VECTOR('',#386136,10.); #108502=VECTOR('',#386137,10.); #108503=VECTOR('',#386138,10.); #108504=VECTOR('',#386141,10.); #108505=VECTOR('',#386142,10.); #108506=VECTOR('',#386143,10.); #108507=VECTOR('',#386146,10.); #108508=VECTOR('',#386147,10.); #108509=VECTOR('',#386148,10.); #108510=VECTOR('',#386151,10.); #108511=VECTOR('',#386152,10.); #108512=VECTOR('',#386153,10.); #108513=VECTOR('',#386156,10.); #108514=VECTOR('',#386157,10.); #108515=VECTOR('',#386158,10.); #108516=VECTOR('',#386161,10.); #108517=VECTOR('',#386162,10.); #108518=VECTOR('',#386163,10.); #108519=VECTOR('',#386166,10.); #108520=VECTOR('',#386167,10.); #108521=VECTOR('',#386168,10.); #108522=VECTOR('',#386171,10.); #108523=VECTOR('',#386172,10.); #108524=VECTOR('',#386173,10.); #108525=VECTOR('',#386176,10.); #108526=VECTOR('',#386177,10.); #108527=VECTOR('',#386178,10.); #108528=VECTOR('',#386181,10.); #108529=VECTOR('',#386182,10.); #108530=VECTOR('',#386183,10.); #108531=VECTOR('',#386186,10.); #108532=VECTOR('',#386187,10.); #108533=VECTOR('',#386194,10.); #108534=VECTOR('',#386195,10.); #108535=VECTOR('',#386196,10.); #108536=VECTOR('',#386197,10.); #108537=VECTOR('',#386200,10.); #108538=VECTOR('',#386201,10.); #108539=VECTOR('',#386202,10.); #108540=VECTOR('',#386205,10.); #108541=VECTOR('',#386206,10.); #108542=VECTOR('',#386207,10.); #108543=VECTOR('',#386210,10.); #108544=VECTOR('',#386211,10.); #108545=VECTOR('',#386212,10.); #108546=VECTOR('',#386215,10.); #108547=VECTOR('',#386216,10.); #108548=VECTOR('',#386217,10.); #108549=VECTOR('',#386220,10.); #108550=VECTOR('',#386221,10.); #108551=VECTOR('',#386222,10.); #108552=VECTOR('',#386225,10.); #108553=VECTOR('',#386226,10.); #108554=VECTOR('',#386227,10.); #108555=VECTOR('',#386230,10.); #108556=VECTOR('',#386231,10.); #108557=VECTOR('',#386232,10.); #108558=VECTOR('',#386235,10.); #108559=VECTOR('',#386236,10.); #108560=VECTOR('',#386237,10.); #108561=VECTOR('',#386240,10.); #108562=VECTOR('',#386241,10.); #108563=VECTOR('',#386242,10.); #108564=VECTOR('',#386245,10.); #108565=VECTOR('',#386246,10.); #108566=VECTOR('',#386247,10.); #108567=VECTOR('',#386250,10.); #108568=VECTOR('',#386251,10.); #108569=VECTOR('',#386252,10.); #108570=VECTOR('',#386255,10.); #108571=VECTOR('',#386256,10.); #108572=VECTOR('',#386257,10.); #108573=VECTOR('',#386260,10.); #108574=VECTOR('',#386261,10.); #108575=VECTOR('',#386262,10.); #108576=VECTOR('',#386265,10.); #108577=VECTOR('',#386266,10.); #108578=VECTOR('',#386267,10.); #108579=VECTOR('',#386270,10.); #108580=VECTOR('',#386271,10.); #108581=VECTOR('',#386272,10.); #108582=VECTOR('',#386275,10.); #108583=VECTOR('',#386276,10.); #108584=VECTOR('',#386277,10.); #108585=VECTOR('',#386280,10.); #108586=VECTOR('',#386281,10.); #108587=VECTOR('',#386282,10.); #108588=VECTOR('',#386285,10.); #108589=VECTOR('',#386286,10.); #108590=VECTOR('',#386287,10.); #108591=VECTOR('',#386290,10.); #108592=VECTOR('',#386291,10.); #108593=VECTOR('',#386292,10.); #108594=VECTOR('',#386295,10.); #108595=VECTOR('',#386296,10.); #108596=VECTOR('',#386303,10.); #108597=VECTOR('',#386304,10.); #108598=VECTOR('',#386305,10.); #108599=VECTOR('',#386306,10.); #108600=VECTOR('',#386309,10.); #108601=VECTOR('',#386310,10.); #108602=VECTOR('',#386311,10.); #108603=VECTOR('',#386314,10.); #108604=VECTOR('',#386315,10.); #108605=VECTOR('',#386316,10.); #108606=VECTOR('',#386319,10.); #108607=VECTOR('',#386320,10.); #108608=VECTOR('',#386321,10.); #108609=VECTOR('',#386324,10.); #108610=VECTOR('',#386325,10.); #108611=VECTOR('',#386326,10.); #108612=VECTOR('',#386329,10.); #108613=VECTOR('',#386330,10.); #108614=VECTOR('',#386331,10.); #108615=VECTOR('',#386334,10.); #108616=VECTOR('',#386335,10.); #108617=VECTOR('',#386336,10.); #108618=VECTOR('',#386339,10.); #108619=VECTOR('',#386340,10.); #108620=VECTOR('',#386341,10.); #108621=VECTOR('',#386344,10.); #108622=VECTOR('',#386345,10.); #108623=VECTOR('',#386346,10.); #108624=VECTOR('',#386349,10.); #108625=VECTOR('',#386350,10.); #108626=VECTOR('',#386351,10.); #108627=VECTOR('',#386354,10.); #108628=VECTOR('',#386355,10.); #108629=VECTOR('',#386356,10.); #108630=VECTOR('',#386359,10.); #108631=VECTOR('',#386360,10.); #108632=VECTOR('',#386361,10.); #108633=VECTOR('',#386364,10.); #108634=VECTOR('',#386365,10.); #108635=VECTOR('',#386366,10.); #108636=VECTOR('',#386369,10.); #108637=VECTOR('',#386370,10.); #108638=VECTOR('',#386371,10.); #108639=VECTOR('',#386374,10.); #108640=VECTOR('',#386375,10.); #108641=VECTOR('',#386376,10.); #108642=VECTOR('',#386379,10.); #108643=VECTOR('',#386380,10.); #108644=VECTOR('',#386381,10.); #108645=VECTOR('',#386384,10.); #108646=VECTOR('',#386385,10.); #108647=VECTOR('',#386386,10.); #108648=VECTOR('',#386389,10.); #108649=VECTOR('',#386390,10.); #108650=VECTOR('',#386391,10.); #108651=VECTOR('',#386394,10.); #108652=VECTOR('',#386395,10.); #108653=VECTOR('',#386396,10.); #108654=VECTOR('',#386399,10.); #108655=VECTOR('',#386400,10.); #108656=VECTOR('',#386401,10.); #108657=VECTOR('',#386404,10.); #108658=VECTOR('',#386405,10.); #108659=VECTOR('',#386406,10.); #108660=VECTOR('',#386409,10.); #108661=VECTOR('',#386410,10.); #108662=VECTOR('',#386411,10.); #108663=VECTOR('',#386414,10.); #108664=VECTOR('',#386415,10.); #108665=VECTOR('',#386416,10.); #108666=VECTOR('',#386419,10.); #108667=VECTOR('',#386420,10.); #108668=VECTOR('',#386421,10.); #108669=VECTOR('',#386424,10.); #108670=VECTOR('',#386425,10.); #108671=VECTOR('',#386426,10.); #108672=VECTOR('',#386429,10.); #108673=VECTOR('',#386430,10.); #108674=VECTOR('',#386431,10.); #108675=VECTOR('',#386434,10.); #108676=VECTOR('',#386435,10.); #108677=VECTOR('',#386436,10.); #108678=VECTOR('',#386439,10.); #108679=VECTOR('',#386440,10.); #108680=VECTOR('',#386441,10.); #108681=VECTOR('',#386444,10.); #108682=VECTOR('',#386445,10.); #108683=VECTOR('',#386446,10.); #108684=VECTOR('',#386449,10.); #108685=VECTOR('',#386450,10.); #108686=VECTOR('',#386451,10.); #108687=VECTOR('',#386454,10.); #108688=VECTOR('',#386455,10.); #108689=VECTOR('',#386456,10.); #108690=VECTOR('',#386459,10.); #108691=VECTOR('',#386460,10.); #108692=VECTOR('',#386461,10.); #108693=VECTOR('',#386464,10.); #108694=VECTOR('',#386465,10.); #108695=VECTOR('',#386472,10.); #108696=VECTOR('',#386473,10.); #108697=VECTOR('',#386474,10.); #108698=VECTOR('',#386475,10.); #108699=VECTOR('',#386478,10.); #108700=VECTOR('',#386479,10.); #108701=VECTOR('',#386480,10.); #108702=VECTOR('',#386483,10.); #108703=VECTOR('',#386484,10.); #108704=VECTOR('',#386485,10.); #108705=VECTOR('',#386488,10.); #108706=VECTOR('',#386489,10.); #108707=VECTOR('',#386490,10.); #108708=VECTOR('',#386493,10.); #108709=VECTOR('',#386494,10.); #108710=VECTOR('',#386495,10.); #108711=VECTOR('',#386498,10.); #108712=VECTOR('',#386499,10.); #108713=VECTOR('',#386500,10.); #108714=VECTOR('',#386503,10.); #108715=VECTOR('',#386504,10.); #108716=VECTOR('',#386505,10.); #108717=VECTOR('',#386508,10.); #108718=VECTOR('',#386509,10.); #108719=VECTOR('',#386510,10.); #108720=VECTOR('',#386513,10.); #108721=VECTOR('',#386514,10.); #108722=VECTOR('',#386515,10.); #108723=VECTOR('',#386518,10.); #108724=VECTOR('',#386519,10.); #108725=VECTOR('',#386520,10.); #108726=VECTOR('',#386523,10.); #108727=VECTOR('',#386524,10.); #108728=VECTOR('',#386525,10.); #108729=VECTOR('',#386528,10.); #108730=VECTOR('',#386529,10.); #108731=VECTOR('',#386530,10.); #108732=VECTOR('',#386533,10.); #108733=VECTOR('',#386534,10.); #108734=VECTOR('',#386535,10.); #108735=VECTOR('',#386538,10.); #108736=VECTOR('',#386539,10.); #108737=VECTOR('',#386540,10.); #108738=VECTOR('',#386543,10.); #108739=VECTOR('',#386544,10.); #108740=VECTOR('',#386545,10.); #108741=VECTOR('',#386548,10.); #108742=VECTOR('',#386549,10.); #108743=VECTOR('',#386550,10.); #108744=VECTOR('',#386553,10.); #108745=VECTOR('',#386554,10.); #108746=VECTOR('',#386555,10.); #108747=VECTOR('',#386558,10.); #108748=VECTOR('',#386559,10.); #108749=VECTOR('',#386560,10.); #108750=VECTOR('',#386563,10.); #108751=VECTOR('',#386564,10.); #108752=VECTOR('',#386565,10.); #108753=VECTOR('',#386568,10.); #108754=VECTOR('',#386569,10.); #108755=VECTOR('',#386576,10.); #108756=VECTOR('',#386577,10.); #108757=VECTOR('',#386578,10.); #108758=VECTOR('',#386579,10.); #108759=VECTOR('',#386582,10.); #108760=VECTOR('',#386583,10.); #108761=VECTOR('',#386584,10.); #108762=VECTOR('',#386587,10.); #108763=VECTOR('',#386588,10.); #108764=VECTOR('',#386589,10.); #108765=VECTOR('',#386592,10.); #108766=VECTOR('',#386593,10.); #108767=VECTOR('',#386594,10.); #108768=VECTOR('',#386597,10.); #108769=VECTOR('',#386598,10.); #108770=VECTOR('',#386599,10.); #108771=VECTOR('',#386602,10.); #108772=VECTOR('',#386603,10.); #108773=VECTOR('',#386604,10.); #108774=VECTOR('',#386607,10.); #108775=VECTOR('',#386608,10.); #108776=VECTOR('',#386609,10.); #108777=VECTOR('',#386612,10.); #108778=VECTOR('',#386613,10.); #108779=VECTOR('',#386614,10.); #108780=VECTOR('',#386617,10.); #108781=VECTOR('',#386618,10.); #108782=VECTOR('',#386619,10.); #108783=VECTOR('',#386622,10.); #108784=VECTOR('',#386623,10.); #108785=VECTOR('',#386624,10.); #108786=VECTOR('',#386627,10.); #108787=VECTOR('',#386628,10.); #108788=VECTOR('',#386629,10.); #108789=VECTOR('',#386632,10.); #108790=VECTOR('',#386633,10.); #108791=VECTOR('',#386634,10.); #108792=VECTOR('',#386637,10.); #108793=VECTOR('',#386638,10.); #108794=VECTOR('',#386639,10.); #108795=VECTOR('',#386642,10.); #108796=VECTOR('',#386643,10.); #108797=VECTOR('',#386644,10.); #108798=VECTOR('',#386647,10.); #108799=VECTOR('',#386648,10.); #108800=VECTOR('',#386649,10.); #108801=VECTOR('',#386652,10.); #108802=VECTOR('',#386653,10.); #108803=VECTOR('',#386654,10.); #108804=VECTOR('',#386657,10.); #108805=VECTOR('',#386658,10.); #108806=VECTOR('',#386659,10.); #108807=VECTOR('',#386662,10.); #108808=VECTOR('',#386663,10.); #108809=VECTOR('',#386664,10.); #108810=VECTOR('',#386667,10.); #108811=VECTOR('',#386668,10.); #108812=VECTOR('',#386669,10.); #108813=VECTOR('',#386672,10.); #108814=VECTOR('',#386673,10.); #108815=VECTOR('',#386674,10.); #108816=VECTOR('',#386677,10.); #108817=VECTOR('',#386678,10.); #108818=VECTOR('',#386679,10.); #108819=VECTOR('',#386682,10.); #108820=VECTOR('',#386683,10.); #108821=VECTOR('',#386684,10.); #108822=VECTOR('',#386687,10.); #108823=VECTOR('',#386688,10.); #108824=VECTOR('',#386697,0.139999999999998); #108825=VECTOR('',#386704,0.139999999999998); #108826=VECTOR('',#386711,0.139999999999998); #108827=VECTOR('',#386716,10.); #108828=VECTOR('',#386717,10.); #108829=VECTOR('',#386718,10.); #108830=VECTOR('',#386719,10.); #108831=VECTOR('',#386722,10.); #108832=VECTOR('',#386723,10.); #108833=VECTOR('',#386724,10.); #108834=VECTOR('',#386727,10.); #108835=VECTOR('',#386728,10.); #108836=VECTOR('',#386729,10.); #108837=VECTOR('',#386732,10.); #108838=VECTOR('',#386733,10.); #108839=VECTOR('',#386734,10.); #108840=VECTOR('',#386737,10.); #108841=VECTOR('',#386738,10.); #108842=VECTOR('',#386739,10.); #108843=VECTOR('',#386742,10.); #108844=VECTOR('',#386743,10.); #108845=VECTOR('',#386744,10.); #108846=VECTOR('',#386747,10.); #108847=VECTOR('',#386748,10.); #108848=VECTOR('',#386749,10.); #108849=VECTOR('',#386752,10.); #108850=VECTOR('',#386753,10.); #108851=VECTOR('',#386754,10.); #108852=VECTOR('',#386757,10.); #108853=VECTOR('',#386758,10.); #108854=VECTOR('',#386759,10.); #108855=VECTOR('',#386762,10.); #108856=VECTOR('',#386763,10.); #108857=VECTOR('',#386764,10.); #108858=VECTOR('',#386767,10.); #108859=VECTOR('',#386768,10.); #108860=VECTOR('',#386769,10.); #108861=VECTOR('',#386772,10.); #108862=VECTOR('',#386773,10.); #108863=VECTOR('',#386774,10.); #108864=VECTOR('',#386777,10.); #108865=VECTOR('',#386778,10.); #108866=VECTOR('',#386779,10.); #108867=VECTOR('',#386782,10.); #108868=VECTOR('',#386783,10.); #108869=VECTOR('',#386784,10.); #108870=VECTOR('',#386787,10.); #108871=VECTOR('',#386788,10.); #108872=VECTOR('',#386789,10.); #108873=VECTOR('',#386792,10.); #108874=VECTOR('',#386793,10.); #108875=VECTOR('',#386794,10.); #108876=VECTOR('',#386797,10.); #108877=VECTOR('',#386798,10.); #108878=VECTOR('',#386799,10.); #108879=VECTOR('',#386802,10.); #108880=VECTOR('',#386803,10.); #108881=VECTOR('',#386804,10.); #108882=VECTOR('',#386807,10.); #108883=VECTOR('',#386808,10.); #108884=VECTOR('',#386809,10.); #108885=VECTOR('',#386812,10.); #108886=VECTOR('',#386813,10.); #108887=VECTOR('',#386814,10.); #108888=VECTOR('',#386817,10.); #108889=VECTOR('',#386818,10.); #108890=VECTOR('',#386819,10.); #108891=VECTOR('',#386822,10.); #108892=VECTOR('',#386823,10.); #108893=VECTOR('',#386824,10.); #108894=VECTOR('',#386827,10.); #108895=VECTOR('',#386828,10.); #108896=VECTOR('',#386829,10.); #108897=VECTOR('',#386832,10.); #108898=VECTOR('',#386833,10.); #108899=VECTOR('',#386834,10.); #108900=VECTOR('',#386837,10.); #108901=VECTOR('',#386838,10.); #108902=VECTOR('',#386839,10.); #108903=VECTOR('',#386842,10.); #108904=VECTOR('',#386843,10.); #108905=VECTOR('',#386844,10.); #108906=VECTOR('',#386847,10.); #108907=VECTOR('',#386848,10.); #108908=VECTOR('',#386849,10.); #108909=VECTOR('',#386852,10.); #108910=VECTOR('',#386853,10.); #108911=VECTOR('',#386854,10.); #108912=VECTOR('',#386857,10.); #108913=VECTOR('',#386858,10.); #108914=VECTOR('',#386859,10.); #108915=VECTOR('',#386862,10.); #108916=VECTOR('',#386863,10.); #108917=VECTOR('',#386864,10.); #108918=VECTOR('',#386867,10.); #108919=VECTOR('',#386868,10.); #108920=VECTOR('',#386869,10.); #108921=VECTOR('',#386872,10.); #108922=VECTOR('',#386873,10.); #108923=VECTOR('',#386874,10.); #108924=VECTOR('',#386877,10.); #108925=VECTOR('',#386878,10.); #108926=VECTOR('',#386879,10.); #108927=VECTOR('',#386882,10.); #108928=VECTOR('',#386883,10.); #108929=VECTOR('',#386884,10.); #108930=VECTOR('',#386887,10.); #108931=VECTOR('',#386888,10.); #108932=VECTOR('',#386889,10.); #108933=VECTOR('',#386892,10.); #108934=VECTOR('',#386893,10.); #108935=VECTOR('',#386894,10.); #108936=VECTOR('',#386897,10.); #108937=VECTOR('',#386898,10.); #108938=VECTOR('',#386899,10.); #108939=VECTOR('',#386902,10.); #108940=VECTOR('',#386903,10.); #108941=VECTOR('',#386904,10.); #108942=VECTOR('',#386907,10.); #108943=VECTOR('',#386908,10.); #108944=VECTOR('',#386909,10.); #108945=VECTOR('',#386912,10.); #108946=VECTOR('',#386913,10.); #108947=VECTOR('',#386914,10.); #108948=VECTOR('',#386917,10.); #108949=VECTOR('',#386918,10.); #108950=VECTOR('',#386919,10.); #108951=VECTOR('',#386922,10.); #108952=VECTOR('',#386923,10.); #108953=VECTOR('',#386924,10.); #108954=VECTOR('',#386927,10.); #108955=VECTOR('',#386928,10.); #108956=VECTOR('',#386929,10.); #108957=VECTOR('',#386932,10.); #108958=VECTOR('',#386933,10.); #108959=VECTOR('',#386934,10.); #108960=VECTOR('',#386937,10.); #108961=VECTOR('',#386938,10.); #108962=VECTOR('',#386939,10.); #108963=VECTOR('',#386942,10.); #108964=VECTOR('',#386943,10.); #108965=VECTOR('',#386944,10.); #108966=VECTOR('',#386947,10.); #108967=VECTOR('',#386948,10.); #108968=VECTOR('',#386949,10.); #108969=VECTOR('',#386952,10.); #108970=VECTOR('',#386953,10.); #108971=VECTOR('',#386954,10.); #108972=VECTOR('',#386957,10.); #108973=VECTOR('',#386958,10.); #108974=VECTOR('',#386959,10.); #108975=VECTOR('',#386962,10.); #108976=VECTOR('',#386963,10.); #108977=VECTOR('',#386964,10.); #108978=VECTOR('',#386967,10.); #108979=VECTOR('',#386968,10.); #108980=VECTOR('',#386969,10.); #108981=VECTOR('',#386972,10.); #108982=VECTOR('',#386973,10.); #108983=VECTOR('',#386974,10.); #108984=VECTOR('',#386977,10.); #108985=VECTOR('',#386978,10.); #108986=VECTOR('',#386979,10.); #108987=VECTOR('',#386982,10.); #108988=VECTOR('',#386983,10.); #108989=VECTOR('',#386984,10.); #108990=VECTOR('',#386987,10.); #108991=VECTOR('',#386988,10.); #108992=VECTOR('',#386989,10.); #108993=VECTOR('',#386992,10.); #108994=VECTOR('',#386993,10.); #108995=VECTOR('',#386994,10.); #108996=VECTOR('',#386997,10.); #108997=VECTOR('',#386998,10.); #108998=VECTOR('',#386999,10.); #108999=VECTOR('',#387002,10.); #109000=VECTOR('',#387003,10.); #109001=VECTOR('',#387004,10.); #109002=VECTOR('',#387007,10.); #109003=VECTOR('',#387008,10.); #109004=VECTOR('',#387009,10.); #109005=VECTOR('',#387012,10.); #109006=VECTOR('',#387013,10.); #109007=VECTOR('',#387014,10.); #109008=VECTOR('',#387017,10.); #109009=VECTOR('',#387018,10.); #109010=VECTOR('',#387019,10.); #109011=VECTOR('',#387022,10.); #109012=VECTOR('',#387023,10.); #109013=VECTOR('',#387024,10.); #109014=VECTOR('',#387027,10.); #109015=VECTOR('',#387028,10.); #109016=VECTOR('',#387029,10.); #109017=VECTOR('',#387032,10.); #109018=VECTOR('',#387033,10.); #109019=VECTOR('',#387034,10.); #109020=VECTOR('',#387037,10.); #109021=VECTOR('',#387038,10.); #109022=VECTOR('',#387039,10.); #109023=VECTOR('',#387042,10.); #109024=VECTOR('',#387043,10.); #109025=VECTOR('',#387044,10.); #109026=VECTOR('',#387047,10.); #109027=VECTOR('',#387048,10.); #109028=VECTOR('',#387049,10.); #109029=VECTOR('',#387052,10.); #109030=VECTOR('',#387053,10.); #109031=VECTOR('',#387054,10.); #109032=VECTOR('',#387057,10.); #109033=VECTOR('',#387058,10.); #109034=VECTOR('',#387059,10.); #109035=VECTOR('',#387062,10.); #109036=VECTOR('',#387063,10.); #109037=VECTOR('',#387064,10.); #109038=VECTOR('',#387067,10.); #109039=VECTOR('',#387068,10.); #109040=VECTOR('',#387069,10.); #109041=VECTOR('',#387072,10.); #109042=VECTOR('',#387073,10.); #109043=VECTOR('',#387074,10.); #109044=VECTOR('',#387077,10.); #109045=VECTOR('',#387078,10.); #109046=VECTOR('',#387079,10.); #109047=VECTOR('',#387082,10.); #109048=VECTOR('',#387083,10.); #109049=VECTOR('',#387084,10.); #109050=VECTOR('',#387087,10.); #109051=VECTOR('',#387088,10.); #109052=VECTOR('',#387089,10.); #109053=VECTOR('',#387092,10.); #109054=VECTOR('',#387093,10.); #109055=VECTOR('',#387094,10.); #109056=VECTOR('',#387097,10.); #109057=VECTOR('',#387098,10.); #109058=VECTOR('',#387099,10.); #109059=VECTOR('',#387102,10.); #109060=VECTOR('',#387103,10.); #109061=VECTOR('',#387104,10.); #109062=VECTOR('',#387107,10.); #109063=VECTOR('',#387108,10.); #109064=VECTOR('',#387109,10.); #109065=VECTOR('',#387112,10.); #109066=VECTOR('',#387113,10.); #109067=VECTOR('',#387114,10.); #109068=VECTOR('',#387117,10.); #109069=VECTOR('',#387118,10.); #109070=VECTOR('',#387119,10.); #109071=VECTOR('',#387122,10.); #109072=VECTOR('',#387123,10.); #109073=VECTOR('',#387124,10.); #109074=VECTOR('',#387127,10.); #109075=VECTOR('',#387128,10.); #109076=VECTOR('',#387129,10.); #109077=VECTOR('',#387132,10.); #109078=VECTOR('',#387133,10.); #109079=VECTOR('',#387134,10.); #109080=VECTOR('',#387137,10.); #109081=VECTOR('',#387138,10.); #109082=VECTOR('',#387139,10.); #109083=VECTOR('',#387142,10.); #109084=VECTOR('',#387143,10.); #109085=VECTOR('',#387144,10.); #109086=VECTOR('',#387147,10.); #109087=VECTOR('',#387148,10.); #109088=VECTOR('',#387155,10.); #109089=VECTOR('',#387156,10.); #109090=VECTOR('',#387157,10.); #109091=VECTOR('',#387158,10.); #109092=VECTOR('',#387161,10.); #109093=VECTOR('',#387162,10.); #109094=VECTOR('',#387163,10.); #109095=VECTOR('',#387166,10.); #109096=VECTOR('',#387167,10.); #109097=VECTOR('',#387168,10.); #109098=VECTOR('',#387171,10.); #109099=VECTOR('',#387172,10.); #109100=VECTOR('',#387173,10.); #109101=VECTOR('',#387176,10.); #109102=VECTOR('',#387177,10.); #109103=VECTOR('',#387178,10.); #109104=VECTOR('',#387181,10.); #109105=VECTOR('',#387182,10.); #109106=VECTOR('',#387183,10.); #109107=VECTOR('',#387186,10.); #109108=VECTOR('',#387187,10.); #109109=VECTOR('',#387188,10.); #109110=VECTOR('',#387191,10.); #109111=VECTOR('',#387192,10.); #109112=VECTOR('',#387193,10.); #109113=VECTOR('',#387196,10.); #109114=VECTOR('',#387197,10.); #109115=VECTOR('',#387198,10.); #109116=VECTOR('',#387201,10.); #109117=VECTOR('',#387202,10.); #109118=VECTOR('',#387203,10.); #109119=VECTOR('',#387206,10.); #109120=VECTOR('',#387207,10.); #109121=VECTOR('',#387208,10.); #109122=VECTOR('',#387211,10.); #109123=VECTOR('',#387212,10.); #109124=VECTOR('',#387213,10.); #109125=VECTOR('',#387216,10.); #109126=VECTOR('',#387217,10.); #109127=VECTOR('',#387218,10.); #109128=VECTOR('',#387221,10.); #109129=VECTOR('',#387222,10.); #109130=VECTOR('',#387223,10.); #109131=VECTOR('',#387226,10.); #109132=VECTOR('',#387227,10.); #109133=VECTOR('',#387228,10.); #109134=VECTOR('',#387231,10.); #109135=VECTOR('',#387232,10.); #109136=VECTOR('',#387233,10.); #109137=VECTOR('',#387236,10.); #109138=VECTOR('',#387237,10.); #109139=VECTOR('',#387238,10.); #109140=VECTOR('',#387241,10.); #109141=VECTOR('',#387242,10.); #109142=VECTOR('',#387243,10.); #109143=VECTOR('',#387246,10.); #109144=VECTOR('',#387247,10.); #109145=VECTOR('',#387248,10.); #109146=VECTOR('',#387251,10.); #109147=VECTOR('',#387252,10.); #109148=VECTOR('',#387253,10.); #109149=VECTOR('',#387256,10.); #109150=VECTOR('',#387257,10.); #109151=VECTOR('',#387264,10.); #109152=VECTOR('',#387265,10.); #109153=VECTOR('',#387266,10.); #109154=VECTOR('',#387267,10.); #109155=VECTOR('',#387270,10.); #109156=VECTOR('',#387271,10.); #109157=VECTOR('',#387272,10.); #109158=VECTOR('',#387275,10.); #109159=VECTOR('',#387276,10.); #109160=VECTOR('',#387277,10.); #109161=VECTOR('',#387280,10.); #109162=VECTOR('',#387281,10.); #109163=VECTOR('',#387282,10.); #109164=VECTOR('',#387285,10.); #109165=VECTOR('',#387286,10.); #109166=VECTOR('',#387287,10.); #109167=VECTOR('',#387290,10.); #109168=VECTOR('',#387291,10.); #109169=VECTOR('',#387292,10.); #109170=VECTOR('',#387295,10.); #109171=VECTOR('',#387296,10.); #109172=VECTOR('',#387297,10.); #109173=VECTOR('',#387300,10.); #109174=VECTOR('',#387301,10.); #109175=VECTOR('',#387302,10.); #109176=VECTOR('',#387305,10.); #109177=VECTOR('',#387306,10.); #109178=VECTOR('',#387307,10.); #109179=VECTOR('',#387310,10.); #109180=VECTOR('',#387311,10.); #109181=VECTOR('',#387312,10.); #109182=VECTOR('',#387315,10.); #109183=VECTOR('',#387316,10.); #109184=VECTOR('',#387317,10.); #109185=VECTOR('',#387320,10.); #109186=VECTOR('',#387321,10.); #109187=VECTOR('',#387322,10.); #109188=VECTOR('',#387325,10.); #109189=VECTOR('',#387326,10.); #109190=VECTOR('',#387327,10.); #109191=VECTOR('',#387330,10.); #109192=VECTOR('',#387331,10.); #109193=VECTOR('',#387332,10.); #109194=VECTOR('',#387335,10.); #109195=VECTOR('',#387336,10.); #109196=VECTOR('',#387337,10.); #109197=VECTOR('',#387340,10.); #109198=VECTOR('',#387341,10.); #109199=VECTOR('',#387342,10.); #109200=VECTOR('',#387345,10.); #109201=VECTOR('',#387346,10.); #109202=VECTOR('',#387347,10.); #109203=VECTOR('',#387350,10.); #109204=VECTOR('',#387351,10.); #109205=VECTOR('',#387352,10.); #109206=VECTOR('',#387355,10.); #109207=VECTOR('',#387356,10.); #109208=VECTOR('',#387363,10.); #109209=VECTOR('',#387364,10.); #109210=VECTOR('',#387365,10.); #109211=VECTOR('',#387366,10.); #109212=VECTOR('',#387369,10.); #109213=VECTOR('',#387370,10.); #109214=VECTOR('',#387371,10.); #109215=VECTOR('',#387374,10.); #109216=VECTOR('',#387375,10.); #109217=VECTOR('',#387376,10.); #109218=VECTOR('',#387379,10.); #109219=VECTOR('',#387380,10.); #109220=VECTOR('',#387381,10.); #109221=VECTOR('',#387384,10.); #109222=VECTOR('',#387385,10.); #109223=VECTOR('',#387386,10.); #109224=VECTOR('',#387389,10.); #109225=VECTOR('',#387390,10.); #109226=VECTOR('',#387391,10.); #109227=VECTOR('',#387394,10.); #109228=VECTOR('',#387395,10.); #109229=VECTOR('',#387396,10.); #109230=VECTOR('',#387399,10.); #109231=VECTOR('',#387400,10.); #109232=VECTOR('',#387401,10.); #109233=VECTOR('',#387404,10.); #109234=VECTOR('',#387405,10.); #109235=VECTOR('',#387406,10.); #109236=VECTOR('',#387409,10.); #109237=VECTOR('',#387410,10.); #109238=VECTOR('',#387411,10.); #109239=VECTOR('',#387414,10.); #109240=VECTOR('',#387415,10.); #109241=VECTOR('',#387416,10.); #109242=VECTOR('',#387419,10.); #109243=VECTOR('',#387420,10.); #109244=VECTOR('',#387421,10.); #109245=VECTOR('',#387424,10.); #109246=VECTOR('',#387425,10.); #109247=VECTOR('',#387426,10.); #109248=VECTOR('',#387429,10.); #109249=VECTOR('',#387430,10.); #109250=VECTOR('',#387431,10.); #109251=VECTOR('',#387434,10.); #109252=VECTOR('',#387435,10.); #109253=VECTOR('',#387436,10.); #109254=VECTOR('',#387439,10.); #109255=VECTOR('',#387440,10.); #109256=VECTOR('',#387441,10.); #109257=VECTOR('',#387444,10.); #109258=VECTOR('',#387445,10.); #109259=VECTOR('',#387446,10.); #109260=VECTOR('',#387449,10.); #109261=VECTOR('',#387450,10.); #109262=VECTOR('',#387451,10.); #109263=VECTOR('',#387454,10.); #109264=VECTOR('',#387455,10.); #109265=VECTOR('',#387456,10.); #109266=VECTOR('',#387459,10.); #109267=VECTOR('',#387460,10.); #109268=VECTOR('',#387461,10.); #109269=VECTOR('',#387464,10.); #109270=VECTOR('',#387465,10.); #109271=VECTOR('',#387466,10.); #109272=VECTOR('',#387469,10.); #109273=VECTOR('',#387470,10.); #109274=VECTOR('',#387471,10.); #109275=VECTOR('',#387474,10.); #109276=VECTOR('',#387475,10.); #109277=VECTOR('',#387476,10.); #109278=VECTOR('',#387479,10.); #109279=VECTOR('',#387480,10.); #109280=VECTOR('',#387481,10.); #109281=VECTOR('',#387484,10.); #109282=VECTOR('',#387485,10.); #109283=VECTOR('',#387486,10.); #109284=VECTOR('',#387489,10.); #109285=VECTOR('',#387490,10.); #109286=VECTOR('',#387491,10.); #109287=VECTOR('',#387494,10.); #109288=VECTOR('',#387495,10.); #109289=VECTOR('',#387496,10.); #109290=VECTOR('',#387499,10.); #109291=VECTOR('',#387500,10.); #109292=VECTOR('',#387501,10.); #109293=VECTOR('',#387504,10.); #109294=VECTOR('',#387505,10.); #109295=VECTOR('',#387512,10.); #109296=VECTOR('',#387513,10.); #109297=VECTOR('',#387514,10.); #109298=VECTOR('',#387515,10.); #109299=VECTOR('',#387518,10.); #109300=VECTOR('',#387519,10.); #109301=VECTOR('',#387520,10.); #109302=VECTOR('',#387523,10.); #109303=VECTOR('',#387524,10.); #109304=VECTOR('',#387525,10.); #109305=VECTOR('',#387528,10.); #109306=VECTOR('',#387529,10.); #109307=VECTOR('',#387530,10.); #109308=VECTOR('',#387533,10.); #109309=VECTOR('',#387534,10.); #109310=VECTOR('',#387535,10.); #109311=VECTOR('',#387538,10.); #109312=VECTOR('',#387539,10.); #109313=VECTOR('',#387540,10.); #109314=VECTOR('',#387543,10.); #109315=VECTOR('',#387544,10.); #109316=VECTOR('',#387545,10.); #109317=VECTOR('',#387548,10.); #109318=VECTOR('',#387549,10.); #109319=VECTOR('',#387550,10.); #109320=VECTOR('',#387553,10.); #109321=VECTOR('',#387554,10.); #109322=VECTOR('',#387555,10.); #109323=VECTOR('',#387558,10.); #109324=VECTOR('',#387559,10.); #109325=VECTOR('',#387560,10.); #109326=VECTOR('',#387563,10.); #109327=VECTOR('',#387564,10.); #109328=VECTOR('',#387565,10.); #109329=VECTOR('',#387568,10.); #109330=VECTOR('',#387569,10.); #109331=VECTOR('',#387570,10.); #109332=VECTOR('',#387573,10.); #109333=VECTOR('',#387574,10.); #109334=VECTOR('',#387575,10.); #109335=VECTOR('',#387578,10.); #109336=VECTOR('',#387579,10.); #109337=VECTOR('',#387580,10.); #109338=VECTOR('',#387583,10.); #109339=VECTOR('',#387584,10.); #109340=VECTOR('',#387585,10.); #109341=VECTOR('',#387588,10.); #109342=VECTOR('',#387589,10.); #109343=VECTOR('',#387590,10.); #109344=VECTOR('',#387593,10.); #109345=VECTOR('',#387594,10.); #109346=VECTOR('',#387595,10.); #109347=VECTOR('',#387598,10.); #109348=VECTOR('',#387599,10.); #109349=VECTOR('',#387600,10.); #109350=VECTOR('',#387603,10.); #109351=VECTOR('',#387604,10.); #109352=VECTOR('',#387605,10.); #109353=VECTOR('',#387608,10.); #109354=VECTOR('',#387609,10.); #109355=VECTOR('',#387610,10.); #109356=VECTOR('',#387613,10.); #109357=VECTOR('',#387614,10.); #109358=VECTOR('',#387621,10.); #109359=VECTOR('',#387622,10.); #109360=VECTOR('',#387623,10.); #109361=VECTOR('',#387624,10.); #109362=VECTOR('',#387627,10.); #109363=VECTOR('',#387628,10.); #109364=VECTOR('',#387629,10.); #109365=VECTOR('',#387632,10.); #109366=VECTOR('',#387633,10.); #109367=VECTOR('',#387634,10.); #109368=VECTOR('',#387637,10.); #109369=VECTOR('',#387638,10.); #109370=VECTOR('',#387639,10.); #109371=VECTOR('',#387642,10.); #109372=VECTOR('',#387643,10.); #109373=VECTOR('',#387644,10.); #109374=VECTOR('',#387647,10.); #109375=VECTOR('',#387648,10.); #109376=VECTOR('',#387649,10.); #109377=VECTOR('',#387652,10.); #109378=VECTOR('',#387653,10.); #109379=VECTOR('',#387654,10.); #109380=VECTOR('',#387657,10.); #109381=VECTOR('',#387658,10.); #109382=VECTOR('',#387659,10.); #109383=VECTOR('',#387662,10.); #109384=VECTOR('',#387663,10.); #109385=VECTOR('',#387664,10.); #109386=VECTOR('',#387667,10.); #109387=VECTOR('',#387668,10.); #109388=VECTOR('',#387669,10.); #109389=VECTOR('',#387672,10.); #109390=VECTOR('',#387673,10.); #109391=VECTOR('',#387674,10.); #109392=VECTOR('',#387677,10.); #109393=VECTOR('',#387678,10.); #109394=VECTOR('',#387679,10.); #109395=VECTOR('',#387682,10.); #109396=VECTOR('',#387683,10.); #109397=VECTOR('',#387684,10.); #109398=VECTOR('',#387687,10.); #109399=VECTOR('',#387688,10.); #109400=VECTOR('',#387689,10.); #109401=VECTOR('',#387692,10.); #109402=VECTOR('',#387693,10.); #109403=VECTOR('',#387694,10.); #109404=VECTOR('',#387697,10.); #109405=VECTOR('',#387698,10.); #109406=VECTOR('',#387699,10.); #109407=VECTOR('',#387702,10.); #109408=VECTOR('',#387703,10.); #109409=VECTOR('',#387704,10.); #109410=VECTOR('',#387707,10.); #109411=VECTOR('',#387708,10.); #109412=VECTOR('',#387709,10.); #109413=VECTOR('',#387712,10.); #109414=VECTOR('',#387713,10.); #109415=VECTOR('',#387720,10.); #109416=VECTOR('',#387721,10.); #109417=VECTOR('',#387722,10.); #109418=VECTOR('',#387723,10.); #109419=VECTOR('',#387726,10.); #109420=VECTOR('',#387727,10.); #109421=VECTOR('',#387728,10.); #109422=VECTOR('',#387731,10.); #109423=VECTOR('',#387732,10.); #109424=VECTOR('',#387733,10.); #109425=VECTOR('',#387736,10.); #109426=VECTOR('',#387737,10.); #109427=VECTOR('',#387738,10.); #109428=VECTOR('',#387741,10.); #109429=VECTOR('',#387742,10.); #109430=VECTOR('',#387743,10.); #109431=VECTOR('',#387746,10.); #109432=VECTOR('',#387747,10.); #109433=VECTOR('',#387748,10.); #109434=VECTOR('',#387751,10.); #109435=VECTOR('',#387752,10.); #109436=VECTOR('',#387753,10.); #109437=VECTOR('',#387756,10.); #109438=VECTOR('',#387757,10.); #109439=VECTOR('',#387758,10.); #109440=VECTOR('',#387761,10.); #109441=VECTOR('',#387762,10.); #109442=VECTOR('',#387763,10.); #109443=VECTOR('',#387766,10.); #109444=VECTOR('',#387767,10.); #109445=VECTOR('',#387768,10.); #109446=VECTOR('',#387771,10.); #109447=VECTOR('',#387772,10.); #109448=VECTOR('',#387773,10.); #109449=VECTOR('',#387776,10.); #109450=VECTOR('',#387777,10.); #109451=VECTOR('',#387778,10.); #109452=VECTOR('',#387781,10.); #109453=VECTOR('',#387782,10.); #109454=VECTOR('',#387783,10.); #109455=VECTOR('',#387786,10.); #109456=VECTOR('',#387787,10.); #109457=VECTOR('',#387788,10.); #109458=VECTOR('',#387791,10.); #109459=VECTOR('',#387792,10.); #109460=VECTOR('',#387793,10.); #109461=VECTOR('',#387796,10.); #109462=VECTOR('',#387797,10.); #109463=VECTOR('',#387798,10.); #109464=VECTOR('',#387801,10.); #109465=VECTOR('',#387802,10.); #109466=VECTOR('',#387803,10.); #109467=VECTOR('',#387806,10.); #109468=VECTOR('',#387807,10.); #109469=VECTOR('',#387808,10.); #109470=VECTOR('',#387811,10.); #109471=VECTOR('',#387812,10.); #109472=VECTOR('',#387819,10.); #109473=VECTOR('',#387820,10.); #109474=VECTOR('',#387821,10.); #109475=VECTOR('',#387822,10.); #109476=VECTOR('',#387825,10.); #109477=VECTOR('',#387826,10.); #109478=VECTOR('',#387827,10.); #109479=VECTOR('',#387830,10.); #109480=VECTOR('',#387831,10.); #109481=VECTOR('',#387832,10.); #109482=VECTOR('',#387835,10.); #109483=VECTOR('',#387836,10.); #109484=VECTOR('',#387837,10.); #109485=VECTOR('',#387840,10.); #109486=VECTOR('',#387841,10.); #109487=VECTOR('',#387842,10.); #109488=VECTOR('',#387845,10.); #109489=VECTOR('',#387846,10.); #109490=VECTOR('',#387847,10.); #109491=VECTOR('',#387850,10.); #109492=VECTOR('',#387851,10.); #109493=VECTOR('',#387852,10.); #109494=VECTOR('',#387855,10.); #109495=VECTOR('',#387856,10.); #109496=VECTOR('',#387857,10.); #109497=VECTOR('',#387860,10.); #109498=VECTOR('',#387861,10.); #109499=VECTOR('',#387862,10.); #109500=VECTOR('',#387865,10.); #109501=VECTOR('',#387866,10.); #109502=VECTOR('',#387867,10.); #109503=VECTOR('',#387870,10.); #109504=VECTOR('',#387871,10.); #109505=VECTOR('',#387872,10.); #109506=VECTOR('',#387875,10.); #109507=VECTOR('',#387876,10.); #109508=VECTOR('',#387877,10.); #109509=VECTOR('',#387880,10.); #109510=VECTOR('',#387881,10.); #109511=VECTOR('',#387882,10.); #109512=VECTOR('',#387885,10.); #109513=VECTOR('',#387886,10.); #109514=VECTOR('',#387887,10.); #109515=VECTOR('',#387890,10.); #109516=VECTOR('',#387891,10.); #109517=VECTOR('',#387892,10.); #109518=VECTOR('',#387895,10.); #109519=VECTOR('',#387896,10.); #109520=VECTOR('',#387897,10.); #109521=VECTOR('',#387900,10.); #109522=VECTOR('',#387901,10.); #109523=VECTOR('',#387902,10.); #109524=VECTOR('',#387905,10.); #109525=VECTOR('',#387906,10.); #109526=VECTOR('',#387907,10.); #109527=VECTOR('',#387910,10.); #109528=VECTOR('',#387911,10.); #109529=VECTOR('',#387912,10.); #109530=VECTOR('',#387915,10.); #109531=VECTOR('',#387916,10.); #109532=VECTOR('',#387917,10.); #109533=VECTOR('',#387920,10.); #109534=VECTOR('',#387921,10.); #109535=VECTOR('',#387928,10.); #109536=VECTOR('',#387929,10.); #109537=VECTOR('',#387930,10.); #109538=VECTOR('',#387931,10.); #109539=VECTOR('',#387934,10.); #109540=VECTOR('',#387935,10.); #109541=VECTOR('',#387936,10.); #109542=VECTOR('',#387939,10.); #109543=VECTOR('',#387940,10.); #109544=VECTOR('',#387941,10.); #109545=VECTOR('',#387944,10.); #109546=VECTOR('',#387945,10.); #109547=VECTOR('',#387946,10.); #109548=VECTOR('',#387949,10.); #109549=VECTOR('',#387950,10.); #109550=VECTOR('',#387951,10.); #109551=VECTOR('',#387954,10.); #109552=VECTOR('',#387955,10.); #109553=VECTOR('',#387956,10.); #109554=VECTOR('',#387959,10.); #109555=VECTOR('',#387960,10.); #109556=VECTOR('',#387961,10.); #109557=VECTOR('',#387964,10.); #109558=VECTOR('',#387965,10.); #109559=VECTOR('',#387966,10.); #109560=VECTOR('',#387969,10.); #109561=VECTOR('',#387970,10.); #109562=VECTOR('',#387971,10.); #109563=VECTOR('',#387974,10.); #109564=VECTOR('',#387975,10.); #109565=VECTOR('',#387976,10.); #109566=VECTOR('',#387979,10.); #109567=VECTOR('',#387980,10.); #109568=VECTOR('',#387981,10.); #109569=VECTOR('',#387984,10.); #109570=VECTOR('',#387985,10.); #109571=VECTOR('',#387986,10.); #109572=VECTOR('',#387989,10.); #109573=VECTOR('',#387990,10.); #109574=VECTOR('',#387991,10.); #109575=VECTOR('',#387994,10.); #109576=VECTOR('',#387995,10.); #109577=VECTOR('',#387996,10.); #109578=VECTOR('',#387999,10.); #109579=VECTOR('',#388000,10.); #109580=VECTOR('',#388001,10.); #109581=VECTOR('',#388004,10.); #109582=VECTOR('',#388005,10.); #109583=VECTOR('',#388006,10.); #109584=VECTOR('',#388009,10.); #109585=VECTOR('',#388010,10.); #109586=VECTOR('',#388011,10.); #109587=VECTOR('',#388014,10.); #109588=VECTOR('',#388015,10.); #109589=VECTOR('',#388016,10.); #109590=VECTOR('',#388019,10.); #109591=VECTOR('',#388020,10.); #109592=VECTOR('',#388021,10.); #109593=VECTOR('',#388024,10.); #109594=VECTOR('',#388025,10.); #109595=VECTOR('',#388032,10.); #109596=VECTOR('',#388033,10.); #109597=VECTOR('',#388034,10.); #109598=VECTOR('',#388035,10.); #109599=VECTOR('',#388038,10.); #109600=VECTOR('',#388039,10.); #109601=VECTOR('',#388040,10.); #109602=VECTOR('',#388043,10.); #109603=VECTOR('',#388044,10.); #109604=VECTOR('',#388045,10.); #109605=VECTOR('',#388048,10.); #109606=VECTOR('',#388049,10.); #109607=VECTOR('',#388050,10.); #109608=VECTOR('',#388053,10.); #109609=VECTOR('',#388054,10.); #109610=VECTOR('',#388055,10.); #109611=VECTOR('',#388058,10.); #109612=VECTOR('',#388059,10.); #109613=VECTOR('',#388060,10.); #109614=VECTOR('',#388063,10.); #109615=VECTOR('',#388064,10.); #109616=VECTOR('',#388065,10.); #109617=VECTOR('',#388068,10.); #109618=VECTOR('',#388069,10.); #109619=VECTOR('',#388070,10.); #109620=VECTOR('',#388073,10.); #109621=VECTOR('',#388074,10.); #109622=VECTOR('',#388075,10.); #109623=VECTOR('',#388078,10.); #109624=VECTOR('',#388079,10.); #109625=VECTOR('',#388080,10.); #109626=VECTOR('',#388083,10.); #109627=VECTOR('',#388084,10.); #109628=VECTOR('',#388085,10.); #109629=VECTOR('',#388088,10.); #109630=VECTOR('',#388089,10.); #109631=VECTOR('',#388090,10.); #109632=VECTOR('',#388093,10.); #109633=VECTOR('',#388094,10.); #109634=VECTOR('',#388095,10.); #109635=VECTOR('',#388098,10.); #109636=VECTOR('',#388099,10.); #109637=VECTOR('',#388100,10.); #109638=VECTOR('',#388103,10.); #109639=VECTOR('',#388104,10.); #109640=VECTOR('',#388105,10.); #109641=VECTOR('',#388108,10.); #109642=VECTOR('',#388109,10.); #109643=VECTOR('',#388110,10.); #109644=VECTOR('',#388113,10.); #109645=VECTOR('',#388114,10.); #109646=VECTOR('',#388115,10.); #109647=VECTOR('',#388118,10.); #109648=VECTOR('',#388119,10.); #109649=VECTOR('',#388120,10.); #109650=VECTOR('',#388123,10.); #109651=VECTOR('',#388124,10.); #109652=VECTOR('',#388125,10.); #109653=VECTOR('',#388128,10.); #109654=VECTOR('',#388129,10.); #109655=VECTOR('',#388130,10.); #109656=VECTOR('',#388133,10.); #109657=VECTOR('',#388134,10.); #109658=VECTOR('',#388135,10.); #109659=VECTOR('',#388138,10.); #109660=VECTOR('',#388139,10.); #109661=VECTOR('',#388140,10.); #109662=VECTOR('',#388143,10.); #109663=VECTOR('',#388144,10.); #109664=VECTOR('',#388145,10.); #109665=VECTOR('',#388148,10.); #109666=VECTOR('',#388149,10.); #109667=VECTOR('',#388150,10.); #109668=VECTOR('',#388153,10.); #109669=VECTOR('',#388154,10.); #109670=VECTOR('',#388155,10.); #109671=VECTOR('',#388158,10.); #109672=VECTOR('',#388159,10.); #109673=VECTOR('',#388160,10.); #109674=VECTOR('',#388163,10.); #109675=VECTOR('',#388164,10.); #109676=VECTOR('',#388165,10.); #109677=VECTOR('',#388168,10.); #109678=VECTOR('',#388169,10.); #109679=VECTOR('',#388170,10.); #109680=VECTOR('',#388173,10.); #109681=VECTOR('',#388174,10.); #109682=VECTOR('',#388175,10.); #109683=VECTOR('',#388178,10.); #109684=VECTOR('',#388179,10.); #109685=VECTOR('',#388180,10.); #109686=VECTOR('',#388183,10.); #109687=VECTOR('',#388184,10.); #109688=VECTOR('',#388185,10.); #109689=VECTOR('',#388188,10.); #109690=VECTOR('',#388189,10.); #109691=VECTOR('',#388190,10.); #109692=VECTOR('',#388193,10.); #109693=VECTOR('',#388194,10.); #109694=VECTOR('',#388195,10.); #109695=VECTOR('',#388198,10.); #109696=VECTOR('',#388199,10.); #109697=VECTOR('',#388200,10.); #109698=VECTOR('',#388203,10.); #109699=VECTOR('',#388204,10.); #109700=VECTOR('',#388205,10.); #109701=VECTOR('',#388208,10.); #109702=VECTOR('',#388209,10.); #109703=VECTOR('',#388210,10.); #109704=VECTOR('',#388213,10.); #109705=VECTOR('',#388214,10.); #109706=VECTOR('',#388221,10.); #109707=VECTOR('',#388222,10.); #109708=VECTOR('',#388223,10.); #109709=VECTOR('',#388224,10.); #109710=VECTOR('',#388227,10.); #109711=VECTOR('',#388228,10.); #109712=VECTOR('',#388229,10.); #109713=VECTOR('',#388232,10.); #109714=VECTOR('',#388233,10.); #109715=VECTOR('',#388234,10.); #109716=VECTOR('',#388237,10.); #109717=VECTOR('',#388238,10.); #109718=VECTOR('',#388239,10.); #109719=VECTOR('',#388242,10.); #109720=VECTOR('',#388243,10.); #109721=VECTOR('',#388244,10.); #109722=VECTOR('',#388247,10.); #109723=VECTOR('',#388248,10.); #109724=VECTOR('',#388249,10.); #109725=VECTOR('',#388252,10.); #109726=VECTOR('',#388253,10.); #109727=VECTOR('',#388254,10.); #109728=VECTOR('',#388257,10.); #109729=VECTOR('',#388258,10.); #109730=VECTOR('',#388259,10.); #109731=VECTOR('',#388262,10.); #109732=VECTOR('',#388263,10.); #109733=VECTOR('',#388264,10.); #109734=VECTOR('',#388267,10.); #109735=VECTOR('',#388268,10.); #109736=VECTOR('',#388269,10.); #109737=VECTOR('',#388272,10.); #109738=VECTOR('',#388273,10.); #109739=VECTOR('',#388274,10.); #109740=VECTOR('',#388277,10.); #109741=VECTOR('',#388278,10.); #109742=VECTOR('',#388279,10.); #109743=VECTOR('',#388282,10.); #109744=VECTOR('',#388283,10.); #109745=VECTOR('',#388284,10.); #109746=VECTOR('',#388287,10.); #109747=VECTOR('',#388288,10.); #109748=VECTOR('',#388289,10.); #109749=VECTOR('',#388292,10.); #109750=VECTOR('',#388293,10.); #109751=VECTOR('',#388294,10.); #109752=VECTOR('',#388297,10.); #109753=VECTOR('',#388298,10.); #109754=VECTOR('',#388299,10.); #109755=VECTOR('',#388302,10.); #109756=VECTOR('',#388303,10.); #109757=VECTOR('',#388304,10.); #109758=VECTOR('',#388307,10.); #109759=VECTOR('',#388308,10.); #109760=VECTOR('',#388309,10.); #109761=VECTOR('',#388312,10.); #109762=VECTOR('',#388313,10.); #109763=VECTOR('',#388314,10.); #109764=VECTOR('',#388317,10.); #109765=VECTOR('',#388318,10.); #109766=VECTOR('',#388325,10.); #109767=VECTOR('',#388326,10.); #109768=VECTOR('',#388327,10.); #109769=VECTOR('',#388328,10.); #109770=VECTOR('',#388331,10.); #109771=VECTOR('',#388332,10.); #109772=VECTOR('',#388333,10.); #109773=VECTOR('',#388336,10.); #109774=VECTOR('',#388337,10.); #109775=VECTOR('',#388338,10.); #109776=VECTOR('',#388341,10.); #109777=VECTOR('',#388342,10.); #109778=VECTOR('',#388343,10.); #109779=VECTOR('',#388346,10.); #109780=VECTOR('',#388347,10.); #109781=VECTOR('',#388348,10.); #109782=VECTOR('',#388351,10.); #109783=VECTOR('',#388352,10.); #109784=VECTOR('',#388353,10.); #109785=VECTOR('',#388356,10.); #109786=VECTOR('',#388357,10.); #109787=VECTOR('',#388358,10.); #109788=VECTOR('',#388361,10.); #109789=VECTOR('',#388362,10.); #109790=VECTOR('',#388363,10.); #109791=VECTOR('',#388366,10.); #109792=VECTOR('',#388367,10.); #109793=VECTOR('',#388368,10.); #109794=VECTOR('',#388371,10.); #109795=VECTOR('',#388372,10.); #109796=VECTOR('',#388373,10.); #109797=VECTOR('',#388376,10.); #109798=VECTOR('',#388377,10.); #109799=VECTOR('',#388378,10.); #109800=VECTOR('',#388381,10.); #109801=VECTOR('',#388382,10.); #109802=VECTOR('',#388383,10.); #109803=VECTOR('',#388386,10.); #109804=VECTOR('',#388387,10.); #109805=VECTOR('',#388388,10.); #109806=VECTOR('',#388391,10.); #109807=VECTOR('',#388392,10.); #109808=VECTOR('',#388393,10.); #109809=VECTOR('',#388396,10.); #109810=VECTOR('',#388397,10.); #109811=VECTOR('',#388398,10.); #109812=VECTOR('',#388401,10.); #109813=VECTOR('',#388402,10.); #109814=VECTOR('',#388403,10.); #109815=VECTOR('',#388406,10.); #109816=VECTOR('',#388407,10.); #109817=VECTOR('',#388408,10.); #109818=VECTOR('',#388411,10.); #109819=VECTOR('',#388412,10.); #109820=VECTOR('',#388413,10.); #109821=VECTOR('',#388416,10.); #109822=VECTOR('',#388417,10.); #109823=VECTOR('',#388418,10.); #109824=VECTOR('',#388421,10.); #109825=VECTOR('',#388422,10.); #109826=VECTOR('',#388423,10.); #109827=VECTOR('',#388426,10.); #109828=VECTOR('',#388427,10.); #109829=VECTOR('',#388428,10.); #109830=VECTOR('',#388431,10.); #109831=VECTOR('',#388432,10.); #109832=VECTOR('',#388433,10.); #109833=VECTOR('',#388436,10.); #109834=VECTOR('',#388437,10.); #109835=VECTOR('',#388438,10.); #109836=VECTOR('',#388441,10.); #109837=VECTOR('',#388442,10.); #109838=VECTOR('',#388443,10.); #109839=VECTOR('',#388446,10.); #109840=VECTOR('',#388447,10.); #109841=VECTOR('',#388448,10.); #109842=VECTOR('',#388451,10.); #109843=VECTOR('',#388452,10.); #109844=VECTOR('',#388453,10.); #109845=VECTOR('',#388456,10.); #109846=VECTOR('',#388457,10.); #109847=VECTOR('',#388458,10.); #109848=VECTOR('',#388461,10.); #109849=VECTOR('',#388462,10.); #109850=VECTOR('',#388463,10.); #109851=VECTOR('',#388466,10.); #109852=VECTOR('',#388467,10.); #109853=VECTOR('',#388468,10.); #109854=VECTOR('',#388471,10.); #109855=VECTOR('',#388472,10.); #109856=VECTOR('',#388473,10.); #109857=VECTOR('',#388476,10.); #109858=VECTOR('',#388477,10.); #109859=VECTOR('',#388478,10.); #109860=VECTOR('',#388481,10.); #109861=VECTOR('',#388482,10.); #109862=VECTOR('',#388483,10.); #109863=VECTOR('',#388486,10.); #109864=VECTOR('',#388487,10.); #109865=VECTOR('',#388488,10.); #109866=VECTOR('',#388491,10.); #109867=VECTOR('',#388492,10.); #109868=VECTOR('',#388493,10.); #109869=VECTOR('',#388496,10.); #109870=VECTOR('',#388497,10.); #109871=VECTOR('',#388498,10.); #109872=VECTOR('',#388501,10.); #109873=VECTOR('',#388502,10.); #109874=VECTOR('',#388503,10.); #109875=VECTOR('',#388506,10.); #109876=VECTOR('',#388507,10.); #109877=VECTOR('',#388508,10.); #109878=VECTOR('',#388511,10.); #109879=VECTOR('',#388512,10.); #109880=VECTOR('',#388513,10.); #109881=VECTOR('',#388516,10.); #109882=VECTOR('',#388517,10.); #109883=VECTOR('',#388518,10.); #109884=VECTOR('',#388521,10.); #109885=VECTOR('',#388522,10.); #109886=VECTOR('',#388523,10.); #109887=VECTOR('',#388526,10.); #109888=VECTOR('',#388527,10.); #109889=VECTOR('',#388528,10.); #109890=VECTOR('',#388531,10.); #109891=VECTOR('',#388532,10.); #109892=VECTOR('',#388533,10.); #109893=VECTOR('',#388536,10.); #109894=VECTOR('',#388537,10.); #109895=VECTOR('',#388538,10.); #109896=VECTOR('',#388541,10.); #109897=VECTOR('',#388542,10.); #109898=VECTOR('',#388543,10.); #109899=VECTOR('',#388546,10.); #109900=VECTOR('',#388547,10.); #109901=VECTOR('',#388548,10.); #109902=VECTOR('',#388551,10.); #109903=VECTOR('',#388552,10.); #109904=VECTOR('',#388553,10.); #109905=VECTOR('',#388556,10.); #109906=VECTOR('',#388557,10.); #109907=VECTOR('',#388558,10.); #109908=VECTOR('',#388561,10.); #109909=VECTOR('',#388562,10.); #109910=VECTOR('',#388569,10.); #109911=VECTOR('',#388570,10.); #109912=VECTOR('',#388571,10.); #109913=VECTOR('',#388572,10.); #109914=VECTOR('',#388575,10.); #109915=VECTOR('',#388576,10.); #109916=VECTOR('',#388577,10.); #109917=VECTOR('',#388580,10.); #109918=VECTOR('',#388581,10.); #109919=VECTOR('',#388582,10.); #109920=VECTOR('',#388585,10.); #109921=VECTOR('',#388586,10.); #109922=VECTOR('',#388587,10.); #109923=VECTOR('',#388590,10.); #109924=VECTOR('',#388591,10.); #109925=VECTOR('',#388592,10.); #109926=VECTOR('',#388595,10.); #109927=VECTOR('',#388596,10.); #109928=VECTOR('',#388597,10.); #109929=VECTOR('',#388600,10.); #109930=VECTOR('',#388601,10.); #109931=VECTOR('',#388602,10.); #109932=VECTOR('',#388605,10.); #109933=VECTOR('',#388606,10.); #109934=VECTOR('',#388607,10.); #109935=VECTOR('',#388610,10.); #109936=VECTOR('',#388611,10.); #109937=VECTOR('',#388612,10.); #109938=VECTOR('',#388615,10.); #109939=VECTOR('',#388616,10.); #109940=VECTOR('',#388617,10.); #109941=VECTOR('',#388620,10.); #109942=VECTOR('',#388621,10.); #109943=VECTOR('',#388622,10.); #109944=VECTOR('',#388625,10.); #109945=VECTOR('',#388626,10.); #109946=VECTOR('',#388627,10.); #109947=VECTOR('',#388630,10.); #109948=VECTOR('',#388631,10.); #109949=VECTOR('',#388632,10.); #109950=VECTOR('',#388635,10.); #109951=VECTOR('',#388636,10.); #109952=VECTOR('',#388637,10.); #109953=VECTOR('',#388640,10.); #109954=VECTOR('',#388641,10.); #109955=VECTOR('',#388642,10.); #109956=VECTOR('',#388645,10.); #109957=VECTOR('',#388646,10.); #109958=VECTOR('',#388647,10.); #109959=VECTOR('',#388650,10.); #109960=VECTOR('',#388651,10.); #109961=VECTOR('',#388652,10.); #109962=VECTOR('',#388655,10.); #109963=VECTOR('',#388656,10.); #109964=VECTOR('',#388657,10.); #109965=VECTOR('',#388660,10.); #109966=VECTOR('',#388661,10.); #109967=VECTOR('',#388662,10.); #109968=VECTOR('',#388665,10.); #109969=VECTOR('',#388666,10.); #109970=VECTOR('',#388673,10.); #109971=VECTOR('',#388674,10.); #109972=VECTOR('',#388675,10.); #109973=VECTOR('',#388676,10.); #109974=VECTOR('',#388679,10.); #109975=VECTOR('',#388680,10.); #109976=VECTOR('',#388681,10.); #109977=VECTOR('',#388684,10.); #109978=VECTOR('',#388685,10.); #109979=VECTOR('',#388686,10.); #109980=VECTOR('',#388689,10.); #109981=VECTOR('',#388690,10.); #109982=VECTOR('',#388691,10.); #109983=VECTOR('',#388694,10.); #109984=VECTOR('',#388695,10.); #109985=VECTOR('',#388696,10.); #109986=VECTOR('',#388699,10.); #109987=VECTOR('',#388700,10.); #109988=VECTOR('',#388701,10.); #109989=VECTOR('',#388704,10.); #109990=VECTOR('',#388705,10.); #109991=VECTOR('',#388706,10.); #109992=VECTOR('',#388709,10.); #109993=VECTOR('',#388710,10.); #109994=VECTOR('',#388711,10.); #109995=VECTOR('',#388714,10.); #109996=VECTOR('',#388715,10.); #109997=VECTOR('',#388716,10.); #109998=VECTOR('',#388719,10.); #109999=VECTOR('',#388720,10.); #110000=VECTOR('',#388721,10.); #110001=VECTOR('',#388724,10.); #110002=VECTOR('',#388725,10.); #110003=VECTOR('',#388726,10.); #110004=VECTOR('',#388729,10.); #110005=VECTOR('',#388730,10.); #110006=VECTOR('',#388731,10.); #110007=VECTOR('',#388734,10.); #110008=VECTOR('',#388735,10.); #110009=VECTOR('',#388736,10.); #110010=VECTOR('',#388739,10.); #110011=VECTOR('',#388740,10.); #110012=VECTOR('',#388741,10.); #110013=VECTOR('',#388744,10.); #110014=VECTOR('',#388745,10.); #110015=VECTOR('',#388746,10.); #110016=VECTOR('',#388749,10.); #110017=VECTOR('',#388750,10.); #110018=VECTOR('',#388751,10.); #110019=VECTOR('',#388754,10.); #110020=VECTOR('',#388755,10.); #110021=VECTOR('',#388756,10.); #110022=VECTOR('',#388759,10.); #110023=VECTOR('',#388760,10.); #110024=VECTOR('',#388761,10.); #110025=VECTOR('',#388764,10.); #110026=VECTOR('',#388765,10.); #110027=VECTOR('',#388766,10.); #110028=VECTOR('',#388769,10.); #110029=VECTOR('',#388770,10.); #110030=VECTOR('',#388771,10.); #110031=VECTOR('',#388774,10.); #110032=VECTOR('',#388775,10.); #110033=VECTOR('',#388776,10.); #110034=VECTOR('',#388779,10.); #110035=VECTOR('',#388780,10.); #110036=VECTOR('',#388781,10.); #110037=VECTOR('',#388784,10.); #110038=VECTOR('',#388785,10.); #110039=VECTOR('',#388786,10.); #110040=VECTOR('',#388789,10.); #110041=VECTOR('',#388790,10.); #110042=VECTOR('',#388791,10.); #110043=VECTOR('',#388794,10.); #110044=VECTOR('',#388795,10.); #110045=VECTOR('',#388796,10.); #110046=VECTOR('',#388799,10.); #110047=VECTOR('',#388800,10.); #110048=VECTOR('',#388801,10.); #110049=VECTOR('',#388804,10.); #110050=VECTOR('',#388805,10.); #110051=VECTOR('',#388806,10.); #110052=VECTOR('',#388809,10.); #110053=VECTOR('',#388810,10.); #110054=VECTOR('',#388811,10.); #110055=VECTOR('',#388814,10.); #110056=VECTOR('',#388815,10.); #110057=VECTOR('',#388816,10.); #110058=VECTOR('',#388819,10.); #110059=VECTOR('',#388820,10.); #110060=VECTOR('',#388821,10.); #110061=VECTOR('',#388824,10.); #110062=VECTOR('',#388825,10.); #110063=VECTOR('',#388832,10.); #110064=VECTOR('',#388833,10.); #110065=VECTOR('',#388834,10.); #110066=VECTOR('',#388835,10.); #110067=VECTOR('',#388838,10.); #110068=VECTOR('',#388839,10.); #110069=VECTOR('',#388840,10.); #110070=VECTOR('',#388843,10.); #110071=VECTOR('',#388844,10.); #110072=VECTOR('',#388845,10.); #110073=VECTOR('',#388848,10.); #110074=VECTOR('',#388849,10.); #110075=VECTOR('',#388850,10.); #110076=VECTOR('',#388853,10.); #110077=VECTOR('',#388854,10.); #110078=VECTOR('',#388855,10.); #110079=VECTOR('',#388858,10.); #110080=VECTOR('',#388859,10.); #110081=VECTOR('',#388860,10.); #110082=VECTOR('',#388863,10.); #110083=VECTOR('',#388864,10.); #110084=VECTOR('',#388865,10.); #110085=VECTOR('',#388868,10.); #110086=VECTOR('',#388869,10.); #110087=VECTOR('',#388870,10.); #110088=VECTOR('',#388873,10.); #110089=VECTOR('',#388874,10.); #110090=VECTOR('',#388875,10.); #110091=VECTOR('',#388878,10.); #110092=VECTOR('',#388879,10.); #110093=VECTOR('',#388880,10.); #110094=VECTOR('',#388883,10.); #110095=VECTOR('',#388884,10.); #110096=VECTOR('',#388885,10.); #110097=VECTOR('',#388888,10.); #110098=VECTOR('',#388889,10.); #110099=VECTOR('',#388890,10.); #110100=VECTOR('',#388893,10.); #110101=VECTOR('',#388894,10.); #110102=VECTOR('',#388895,10.); #110103=VECTOR('',#388898,10.); #110104=VECTOR('',#388899,10.); #110105=VECTOR('',#388900,10.); #110106=VECTOR('',#388903,10.); #110107=VECTOR('',#388904,10.); #110108=VECTOR('',#388905,10.); #110109=VECTOR('',#388908,10.); #110110=VECTOR('',#388909,10.); #110111=VECTOR('',#388910,10.); #110112=VECTOR('',#388913,10.); #110113=VECTOR('',#388914,10.); #110114=VECTOR('',#388915,10.); #110115=VECTOR('',#388918,10.); #110116=VECTOR('',#388919,10.); #110117=VECTOR('',#388920,10.); #110118=VECTOR('',#388923,10.); #110119=VECTOR('',#388924,10.); #110120=VECTOR('',#388925,10.); #110121=VECTOR('',#388928,10.); #110122=VECTOR('',#388929,10.); #110123=VECTOR('',#388936,10.); #110124=VECTOR('',#388937,10.); #110125=VECTOR('',#388938,10.); #110126=VECTOR('',#388939,10.); #110127=VECTOR('',#388942,10.); #110128=VECTOR('',#388943,10.); #110129=VECTOR('',#388944,10.); #110130=VECTOR('',#388947,10.); #110131=VECTOR('',#388948,10.); #110132=VECTOR('',#388949,10.); #110133=VECTOR('',#388952,10.); #110134=VECTOR('',#388953,10.); #110135=VECTOR('',#388954,10.); #110136=VECTOR('',#388957,10.); #110137=VECTOR('',#388958,10.); #110138=VECTOR('',#388959,10.); #110139=VECTOR('',#388962,10.); #110140=VECTOR('',#388963,10.); #110141=VECTOR('',#388964,10.); #110142=VECTOR('',#388967,10.); #110143=VECTOR('',#388968,10.); #110144=VECTOR('',#388969,10.); #110145=VECTOR('',#388972,10.); #110146=VECTOR('',#388973,10.); #110147=VECTOR('',#388974,10.); #110148=VECTOR('',#388977,10.); #110149=VECTOR('',#388978,10.); #110150=VECTOR('',#388979,10.); #110151=VECTOR('',#388982,10.); #110152=VECTOR('',#388983,10.); #110153=VECTOR('',#388984,10.); #110154=VECTOR('',#388987,10.); #110155=VECTOR('',#388988,10.); #110156=VECTOR('',#388989,10.); #110157=VECTOR('',#388992,10.); #110158=VECTOR('',#388993,10.); #110159=VECTOR('',#388994,10.); #110160=VECTOR('',#388997,10.); #110161=VECTOR('',#388998,10.); #110162=VECTOR('',#388999,10.); #110163=VECTOR('',#389002,10.); #110164=VECTOR('',#389003,10.); #110165=VECTOR('',#389004,10.); #110166=VECTOR('',#389007,10.); #110167=VECTOR('',#389008,10.); #110168=VECTOR('',#389009,10.); #110169=VECTOR('',#389012,10.); #110170=VECTOR('',#389013,10.); #110171=VECTOR('',#389014,10.); #110172=VECTOR('',#389017,10.); #110173=VECTOR('',#389018,10.); #110174=VECTOR('',#389019,10.); #110175=VECTOR('',#389022,10.); #110176=VECTOR('',#389023,10.); #110177=VECTOR('',#389024,10.); #110178=VECTOR('',#389027,10.); #110179=VECTOR('',#389028,10.); #110180=VECTOR('',#389029,10.); #110181=VECTOR('',#389032,10.); #110182=VECTOR('',#389033,10.); #110183=VECTOR('',#389034,10.); #110184=VECTOR('',#389037,10.); #110185=VECTOR('',#389038,10.); #110186=VECTOR('',#389039,10.); #110187=VECTOR('',#389042,10.); #110188=VECTOR('',#389043,10.); #110189=VECTOR('',#389044,10.); #110190=VECTOR('',#389047,10.); #110191=VECTOR('',#389048,10.); #110192=VECTOR('',#389049,10.); #110193=VECTOR('',#389052,10.); #110194=VECTOR('',#389053,10.); #110195=VECTOR('',#389054,10.); #110196=VECTOR('',#389057,10.); #110197=VECTOR('',#389058,10.); #110198=VECTOR('',#389059,10.); #110199=VECTOR('',#389062,10.); #110200=VECTOR('',#389063,10.); #110201=VECTOR('',#389064,10.); #110202=VECTOR('',#389067,10.); #110203=VECTOR('',#389068,10.); #110204=VECTOR('',#389069,10.); #110205=VECTOR('',#389072,10.); #110206=VECTOR('',#389073,10.); #110207=VECTOR('',#389074,10.); #110208=VECTOR('',#389077,10.); #110209=VECTOR('',#389078,10.); #110210=VECTOR('',#389079,10.); #110211=VECTOR('',#389082,10.); #110212=VECTOR('',#389083,10.); #110213=VECTOR('',#389084,10.); #110214=VECTOR('',#389087,10.); #110215=VECTOR('',#389088,10.); #110216=VECTOR('',#389095,10.); #110217=VECTOR('',#389096,10.); #110218=VECTOR('',#389097,10.); #110219=VECTOR('',#389098,10.); #110220=VECTOR('',#389101,10.); #110221=VECTOR('',#389102,10.); #110222=VECTOR('',#389103,10.); #110223=VECTOR('',#389106,10.); #110224=VECTOR('',#389107,10.); #110225=VECTOR('',#389108,10.); #110226=VECTOR('',#389111,10.); #110227=VECTOR('',#389112,10.); #110228=VECTOR('',#389113,10.); #110229=VECTOR('',#389116,10.); #110230=VECTOR('',#389117,10.); #110231=VECTOR('',#389118,10.); #110232=VECTOR('',#389121,10.); #110233=VECTOR('',#389122,10.); #110234=VECTOR('',#389123,10.); #110235=VECTOR('',#389126,10.); #110236=VECTOR('',#389127,10.); #110237=VECTOR('',#389128,10.); #110238=VECTOR('',#389131,10.); #110239=VECTOR('',#389132,10.); #110240=VECTOR('',#389133,10.); #110241=VECTOR('',#389136,10.); #110242=VECTOR('',#389137,10.); #110243=VECTOR('',#389138,10.); #110244=VECTOR('',#389141,10.); #110245=VECTOR('',#389142,10.); #110246=VECTOR('',#389143,10.); #110247=VECTOR('',#389146,10.); #110248=VECTOR('',#389147,10.); #110249=VECTOR('',#389148,10.); #110250=VECTOR('',#389151,10.); #110251=VECTOR('',#389152,10.); #110252=VECTOR('',#389153,10.); #110253=VECTOR('',#389156,10.); #110254=VECTOR('',#389157,10.); #110255=VECTOR('',#389158,10.); #110256=VECTOR('',#389161,10.); #110257=VECTOR('',#389162,10.); #110258=VECTOR('',#389163,10.); #110259=VECTOR('',#389166,10.); #110260=VECTOR('',#389167,10.); #110261=VECTOR('',#389168,10.); #110262=VECTOR('',#389171,10.); #110263=VECTOR('',#389172,10.); #110264=VECTOR('',#389173,10.); #110265=VECTOR('',#389176,10.); #110266=VECTOR('',#389177,10.); #110267=VECTOR('',#389178,10.); #110268=VECTOR('',#389181,10.); #110269=VECTOR('',#389182,10.); #110270=VECTOR('',#389183,10.); #110271=VECTOR('',#389186,10.); #110272=VECTOR('',#389187,10.); #110273=VECTOR('',#389188,10.); #110274=VECTOR('',#389191,10.); #110275=VECTOR('',#389192,10.); #110276=VECTOR('',#389193,10.); #110277=VECTOR('',#389196,10.); #110278=VECTOR('',#389197,10.); #110279=VECTOR('',#389204,10.); #110280=VECTOR('',#389205,10.); #110281=VECTOR('',#389206,10.); #110282=VECTOR('',#389207,10.); #110283=VECTOR('',#389210,10.); #110284=VECTOR('',#389211,10.); #110285=VECTOR('',#389212,10.); #110286=VECTOR('',#389215,10.); #110287=VECTOR('',#389216,10.); #110288=VECTOR('',#389217,10.); #110289=VECTOR('',#389220,10.); #110290=VECTOR('',#389221,10.); #110291=VECTOR('',#389222,10.); #110292=VECTOR('',#389225,10.); #110293=VECTOR('',#389226,10.); #110294=VECTOR('',#389227,10.); #110295=VECTOR('',#389230,10.); #110296=VECTOR('',#389231,10.); #110297=VECTOR('',#389232,10.); #110298=VECTOR('',#389235,10.); #110299=VECTOR('',#389236,10.); #110300=VECTOR('',#389237,10.); #110301=VECTOR('',#389240,10.); #110302=VECTOR('',#389241,10.); #110303=VECTOR('',#389242,10.); #110304=VECTOR('',#389245,10.); #110305=VECTOR('',#389246,10.); #110306=VECTOR('',#389247,10.); #110307=VECTOR('',#389250,10.); #110308=VECTOR('',#389251,10.); #110309=VECTOR('',#389252,10.); #110310=VECTOR('',#389255,10.); #110311=VECTOR('',#389256,10.); #110312=VECTOR('',#389257,10.); #110313=VECTOR('',#389260,10.); #110314=VECTOR('',#389261,10.); #110315=VECTOR('',#389262,10.); #110316=VECTOR('',#389265,10.); #110317=VECTOR('',#389266,10.); #110318=VECTOR('',#389267,10.); #110319=VECTOR('',#389270,10.); #110320=VECTOR('',#389271,10.); #110321=VECTOR('',#389272,10.); #110322=VECTOR('',#389275,10.); #110323=VECTOR('',#389276,10.); #110324=VECTOR('',#389277,10.); #110325=VECTOR('',#389280,10.); #110326=VECTOR('',#389281,10.); #110327=VECTOR('',#389282,10.); #110328=VECTOR('',#389285,10.); #110329=VECTOR('',#389286,10.); #110330=VECTOR('',#389287,10.); #110331=VECTOR('',#389290,10.); #110332=VECTOR('',#389291,10.); #110333=VECTOR('',#389292,10.); #110334=VECTOR('',#389295,10.); #110335=VECTOR('',#389296,10.); #110336=VECTOR('',#389297,10.); #110337=VECTOR('',#389300,10.); #110338=VECTOR('',#389301,10.); #110339=VECTOR('',#389302,10.); #110340=VECTOR('',#389305,10.); #110341=VECTOR('',#389306,10.); #110342=VECTOR('',#389307,10.); #110343=VECTOR('',#389310,10.); #110344=VECTOR('',#389311,10.); #110345=VECTOR('',#389312,10.); #110346=VECTOR('',#389315,10.); #110347=VECTOR('',#389316,10.); #110348=VECTOR('',#389317,10.); #110349=VECTOR('',#389320,10.); #110350=VECTOR('',#389321,10.); #110351=VECTOR('',#389322,10.); #110352=VECTOR('',#389325,10.); #110353=VECTOR('',#389326,10.); #110354=VECTOR('',#389327,10.); #110355=VECTOR('',#389330,10.); #110356=VECTOR('',#389331,10.); #110357=VECTOR('',#389332,10.); #110358=VECTOR('',#389335,10.); #110359=VECTOR('',#389336,10.); #110360=VECTOR('',#389337,10.); #110361=VECTOR('',#389340,10.); #110362=VECTOR('',#389341,10.); #110363=VECTOR('',#389342,10.); #110364=VECTOR('',#389345,10.); #110365=VECTOR('',#389346,10.); #110366=VECTOR('',#389347,10.); #110367=VECTOR('',#389350,10.); #110368=VECTOR('',#389351,10.); #110369=VECTOR('',#389352,10.); #110370=VECTOR('',#389355,10.); #110371=VECTOR('',#389356,10.); #110372=VECTOR('',#389357,10.); #110373=VECTOR('',#389360,10.); #110374=VECTOR('',#389361,10.); #110375=VECTOR('',#389362,10.); #110376=VECTOR('',#389365,10.); #110377=VECTOR('',#389366,10.); #110378=VECTOR('',#389367,10.); #110379=VECTOR('',#389370,10.); #110380=VECTOR('',#389371,10.); #110381=VECTOR('',#389372,10.); #110382=VECTOR('',#389375,10.); #110383=VECTOR('',#389376,10.); #110384=VECTOR('',#389377,10.); #110385=VECTOR('',#389380,10.); #110386=VECTOR('',#389381,10.); #110387=VECTOR('',#389382,10.); #110388=VECTOR('',#389385,10.); #110389=VECTOR('',#389386,10.); #110390=VECTOR('',#389387,10.); #110391=VECTOR('',#389390,10.); #110392=VECTOR('',#389391,10.); #110393=VECTOR('',#389392,10.); #110394=VECTOR('',#389395,10.); #110395=VECTOR('',#389396,10.); #110396=VECTOR('',#389397,10.); #110397=VECTOR('',#389400,10.); #110398=VECTOR('',#389401,10.); #110399=VECTOR('',#389408,10.); #110400=VECTOR('',#389409,10.); #110401=VECTOR('',#389410,10.); #110402=VECTOR('',#389411,10.); #110403=VECTOR('',#389414,10.); #110404=VECTOR('',#389415,10.); #110405=VECTOR('',#389416,10.); #110406=VECTOR('',#389419,10.); #110407=VECTOR('',#389420,10.); #110408=VECTOR('',#389421,10.); #110409=VECTOR('',#389424,10.); #110410=VECTOR('',#389425,10.); #110411=VECTOR('',#389426,10.); #110412=VECTOR('',#389429,10.); #110413=VECTOR('',#389430,10.); #110414=VECTOR('',#389431,10.); #110415=VECTOR('',#389434,10.); #110416=VECTOR('',#389435,10.); #110417=VECTOR('',#389436,10.); #110418=VECTOR('',#389439,10.); #110419=VECTOR('',#389440,10.); #110420=VECTOR('',#389441,10.); #110421=VECTOR('',#389444,10.); #110422=VECTOR('',#389445,10.); #110423=VECTOR('',#389446,10.); #110424=VECTOR('',#389449,10.); #110425=VECTOR('',#389450,10.); #110426=VECTOR('',#389451,10.); #110427=VECTOR('',#389454,10.); #110428=VECTOR('',#389455,10.); #110429=VECTOR('',#389456,10.); #110430=VECTOR('',#389459,10.); #110431=VECTOR('',#389460,10.); #110432=VECTOR('',#389461,10.); #110433=VECTOR('',#389464,10.); #110434=VECTOR('',#389465,10.); #110435=VECTOR('',#389466,10.); #110436=VECTOR('',#389469,10.); #110437=VECTOR('',#389470,10.); #110438=VECTOR('',#389471,10.); #110439=VECTOR('',#389474,10.); #110440=VECTOR('',#389475,10.); #110441=VECTOR('',#389476,10.); #110442=VECTOR('',#389479,10.); #110443=VECTOR('',#389480,10.); #110444=VECTOR('',#389481,10.); #110445=VECTOR('',#389484,10.); #110446=VECTOR('',#389485,10.); #110447=VECTOR('',#389486,10.); #110448=VECTOR('',#389489,10.); #110449=VECTOR('',#389490,10.); #110450=VECTOR('',#389491,10.); #110451=VECTOR('',#389494,10.); #110452=VECTOR('',#389495,10.); #110453=VECTOR('',#389496,10.); #110454=VECTOR('',#389499,10.); #110455=VECTOR('',#389500,10.); #110456=VECTOR('',#389501,10.); #110457=VECTOR('',#389504,10.); #110458=VECTOR('',#389505,10.); #110459=VECTOR('',#389512,10.); #110460=VECTOR('',#389513,10.); #110461=VECTOR('',#389514,10.); #110462=VECTOR('',#389515,10.); #110463=VECTOR('',#389518,10.); #110464=VECTOR('',#389519,10.); #110465=VECTOR('',#389520,10.); #110466=VECTOR('',#389523,10.); #110467=VECTOR('',#389524,10.); #110468=VECTOR('',#389525,10.); #110469=VECTOR('',#389528,10.); #110470=VECTOR('',#389529,10.); #110471=VECTOR('',#389530,10.); #110472=VECTOR('',#389533,10.); #110473=VECTOR('',#389534,10.); #110474=VECTOR('',#389535,10.); #110475=VECTOR('',#389538,10.); #110476=VECTOR('',#389539,10.); #110477=VECTOR('',#389540,10.); #110478=VECTOR('',#389543,10.); #110479=VECTOR('',#389544,10.); #110480=VECTOR('',#389545,10.); #110481=VECTOR('',#389548,10.); #110482=VECTOR('',#389549,10.); #110483=VECTOR('',#389550,10.); #110484=VECTOR('',#389553,10.); #110485=VECTOR('',#389554,10.); #110486=VECTOR('',#389555,10.); #110487=VECTOR('',#389558,10.); #110488=VECTOR('',#389559,10.); #110489=VECTOR('',#389560,10.); #110490=VECTOR('',#389563,10.); #110491=VECTOR('',#389564,10.); #110492=VECTOR('',#389565,10.); #110493=VECTOR('',#389568,10.); #110494=VECTOR('',#389569,10.); #110495=VECTOR('',#389570,10.); #110496=VECTOR('',#389573,10.); #110497=VECTOR('',#389574,10.); #110498=VECTOR('',#389575,10.); #110499=VECTOR('',#389578,10.); #110500=VECTOR('',#389579,10.); #110501=VECTOR('',#389580,10.); #110502=VECTOR('',#389583,10.); #110503=VECTOR('',#389584,10.); #110504=VECTOR('',#389585,10.); #110505=VECTOR('',#389588,10.); #110506=VECTOR('',#389589,10.); #110507=VECTOR('',#389590,10.); #110508=VECTOR('',#389593,10.); #110509=VECTOR('',#389594,10.); #110510=VECTOR('',#389595,10.); #110511=VECTOR('',#389598,10.); #110512=VECTOR('',#389599,10.); #110513=VECTOR('',#389600,10.); #110514=VECTOR('',#389603,10.); #110515=VECTOR('',#389604,10.); #110516=VECTOR('',#389605,10.); #110517=VECTOR('',#389608,10.); #110518=VECTOR('',#389609,10.); #110519=VECTOR('',#389610,10.); #110520=VECTOR('',#389613,10.); #110521=VECTOR('',#389614,10.); #110522=VECTOR('',#389615,10.); #110523=VECTOR('',#389618,10.); #110524=VECTOR('',#389619,10.); #110525=VECTOR('',#389620,10.); #110526=VECTOR('',#389623,10.); #110527=VECTOR('',#389624,10.); #110528=VECTOR('',#389625,10.); #110529=VECTOR('',#389628,10.); #110530=VECTOR('',#389629,10.); #110531=VECTOR('',#389630,10.); #110532=VECTOR('',#389633,10.); #110533=VECTOR('',#389634,10.); #110534=VECTOR('',#389635,10.); #110535=VECTOR('',#389638,10.); #110536=VECTOR('',#389639,10.); #110537=VECTOR('',#389640,10.); #110538=VECTOR('',#389643,10.); #110539=VECTOR('',#389644,10.); #110540=VECTOR('',#389645,10.); #110541=VECTOR('',#389648,10.); #110542=VECTOR('',#389649,10.); #110543=VECTOR('',#389650,10.); #110544=VECTOR('',#389653,10.); #110545=VECTOR('',#389654,10.); #110546=VECTOR('',#389655,10.); #110547=VECTOR('',#389658,10.); #110548=VECTOR('',#389659,10.); #110549=VECTOR('',#389660,10.); #110550=VECTOR('',#389663,10.); #110551=VECTOR('',#389664,10.); #110552=VECTOR('',#389665,10.); #110553=VECTOR('',#389668,10.); #110554=VECTOR('',#389669,10.); #110555=VECTOR('',#389670,10.); #110556=VECTOR('',#389673,10.); #110557=VECTOR('',#389674,10.); #110558=VECTOR('',#389675,10.); #110559=VECTOR('',#389678,10.); #110560=VECTOR('',#389679,10.); #110561=VECTOR('',#389680,10.); #110562=VECTOR('',#389683,10.); #110563=VECTOR('',#389684,10.); #110564=VECTOR('',#389685,10.); #110565=VECTOR('',#389688,10.); #110566=VECTOR('',#389689,10.); #110567=VECTOR('',#389690,10.); #110568=VECTOR('',#389693,10.); #110569=VECTOR('',#389694,10.); #110570=VECTOR('',#389695,10.); #110571=VECTOR('',#389698,10.); #110572=VECTOR('',#389699,10.); #110573=VECTOR('',#389700,10.); #110574=VECTOR('',#389703,10.); #110575=VECTOR('',#389704,10.); #110576=VECTOR('',#389705,10.); #110577=VECTOR('',#389708,10.); #110578=VECTOR('',#389709,10.); #110579=VECTOR('',#389716,10.); #110580=VECTOR('',#389717,10.); #110581=VECTOR('',#389718,10.); #110582=VECTOR('',#389719,10.); #110583=VECTOR('',#389722,10.); #110584=VECTOR('',#389723,10.); #110585=VECTOR('',#389724,10.); #110586=VECTOR('',#389727,10.); #110587=VECTOR('',#389728,10.); #110588=VECTOR('',#389729,10.); #110589=VECTOR('',#389732,10.); #110590=VECTOR('',#389733,10.); #110591=VECTOR('',#389734,10.); #110592=VECTOR('',#389737,10.); #110593=VECTOR('',#389738,10.); #110594=VECTOR('',#389739,10.); #110595=VECTOR('',#389742,10.); #110596=VECTOR('',#389743,10.); #110597=VECTOR('',#389744,10.); #110598=VECTOR('',#389747,10.); #110599=VECTOR('',#389748,10.); #110600=VECTOR('',#389749,10.); #110601=VECTOR('',#389752,10.); #110602=VECTOR('',#389753,10.); #110603=VECTOR('',#389754,10.); #110604=VECTOR('',#389757,10.); #110605=VECTOR('',#389758,10.); #110606=VECTOR('',#389759,10.); #110607=VECTOR('',#389762,10.); #110608=VECTOR('',#389763,10.); #110609=VECTOR('',#389764,10.); #110610=VECTOR('',#389767,10.); #110611=VECTOR('',#389768,10.); #110612=VECTOR('',#389769,10.); #110613=VECTOR('',#389772,10.); #110614=VECTOR('',#389773,10.); #110615=VECTOR('',#389774,10.); #110616=VECTOR('',#389777,10.); #110617=VECTOR('',#389778,10.); #110618=VECTOR('',#389779,10.); #110619=VECTOR('',#389782,10.); #110620=VECTOR('',#389783,10.); #110621=VECTOR('',#389784,10.); #110622=VECTOR('',#389787,10.); #110623=VECTOR('',#389788,10.); #110624=VECTOR('',#389789,10.); #110625=VECTOR('',#389792,10.); #110626=VECTOR('',#389793,10.); #110627=VECTOR('',#389794,10.); #110628=VECTOR('',#389797,10.); #110629=VECTOR('',#389798,10.); #110630=VECTOR('',#389799,10.); #110631=VECTOR('',#389802,10.); #110632=VECTOR('',#389803,10.); #110633=VECTOR('',#389804,10.); #110634=VECTOR('',#389807,10.); #110635=VECTOR('',#389808,10.); #110636=VECTOR('',#389809,10.); #110637=VECTOR('',#389812,10.); #110638=VECTOR('',#389813,10.); #110639=VECTOR('',#389820,10.); #110640=VECTOR('',#389821,10.); #110641=VECTOR('',#389822,10.); #110642=VECTOR('',#389823,10.); #110643=VECTOR('',#389826,10.); #110644=VECTOR('',#389827,10.); #110645=VECTOR('',#389828,10.); #110646=VECTOR('',#389831,10.); #110647=VECTOR('',#389832,10.); #110648=VECTOR('',#389833,10.); #110649=VECTOR('',#389836,10.); #110650=VECTOR('',#389837,10.); #110651=VECTOR('',#389838,10.); #110652=VECTOR('',#389841,10.); #110653=VECTOR('',#389842,10.); #110654=VECTOR('',#389843,10.); #110655=VECTOR('',#389846,10.); #110656=VECTOR('',#389847,10.); #110657=VECTOR('',#389848,10.); #110658=VECTOR('',#389851,10.); #110659=VECTOR('',#389852,10.); #110660=VECTOR('',#389853,10.); #110661=VECTOR('',#389856,10.); #110662=VECTOR('',#389857,10.); #110663=VECTOR('',#389858,10.); #110664=VECTOR('',#389861,10.); #110665=VECTOR('',#389862,10.); #110666=VECTOR('',#389863,10.); #110667=VECTOR('',#389866,10.); #110668=VECTOR('',#389867,10.); #110669=VECTOR('',#389868,10.); #110670=VECTOR('',#389871,10.); #110671=VECTOR('',#389872,10.); #110672=VECTOR('',#389873,10.); #110673=VECTOR('',#389876,10.); #110674=VECTOR('',#389877,10.); #110675=VECTOR('',#389878,10.); #110676=VECTOR('',#389881,10.); #110677=VECTOR('',#389882,10.); #110678=VECTOR('',#389883,10.); #110679=VECTOR('',#389886,10.); #110680=VECTOR('',#389887,10.); #110681=VECTOR('',#389888,10.); #110682=VECTOR('',#389891,10.); #110683=VECTOR('',#389892,10.); #110684=VECTOR('',#389893,10.); #110685=VECTOR('',#389896,10.); #110686=VECTOR('',#389897,10.); #110687=VECTOR('',#389898,10.); #110688=VECTOR('',#389901,10.); #110689=VECTOR('',#389902,10.); #110690=VECTOR('',#389903,10.); #110691=VECTOR('',#389906,10.); #110692=VECTOR('',#389907,10.); #110693=VECTOR('',#389908,10.); #110694=VECTOR('',#389911,10.); #110695=VECTOR('',#389912,10.); #110696=VECTOR('',#389913,10.); #110697=VECTOR('',#389916,10.); #110698=VECTOR('',#389917,10.); #110699=VECTOR('',#389926,0.514999999999999); #110700=VECTOR('',#389931,10.); #110701=VECTOR('',#389932,10.); #110702=VECTOR('',#389933,10.); #110703=VECTOR('',#389934,10.); #110704=VECTOR('',#389941,10.); #110705=VECTOR('',#389944,10.); #110706=VECTOR('',#389945,10.); #110707=VECTOR('',#389946,10.); #110708=VECTOR('',#389955,10.); #110709=VECTOR('',#389956,10.); #110710=VECTOR('',#389957,10.); #110711=VECTOR('',#389958,10.); #110712=VECTOR('',#389961,10.); #110713=VECTOR('',#389962,10.); #110714=VECTOR('',#389963,10.); #110715=VECTOR('',#389966,10.); #110716=VECTOR('',#389967,10.); #110717=VECTOR('',#389968,10.); #110718=VECTOR('',#389971,10.); #110719=VECTOR('',#389972,10.); #110720=VECTOR('',#389973,10.); #110721=VECTOR('',#389976,10.); #110722=VECTOR('',#389977,10.); #110723=VECTOR('',#389978,10.); #110724=VECTOR('',#389981,10.); #110725=VECTOR('',#389982,10.); #110726=VECTOR('',#389983,10.); #110727=VECTOR('',#389986,10.); #110728=VECTOR('',#389987,10.); #110729=VECTOR('',#389988,10.); #110730=VECTOR('',#389991,10.); #110731=VECTOR('',#389992,10.); #110732=VECTOR('',#389993,10.); #110733=VECTOR('',#389996,10.); #110734=VECTOR('',#389997,10.); #110735=VECTOR('',#389998,10.); #110736=VECTOR('',#390001,10.); #110737=VECTOR('',#390002,10.); #110738=VECTOR('',#390003,10.); #110739=VECTOR('',#390006,10.); #110740=VECTOR('',#390007,10.); #110741=VECTOR('',#390008,10.); #110742=VECTOR('',#390011,10.); #110743=VECTOR('',#390012,10.); #110744=VECTOR('',#390013,10.); #110745=VECTOR('',#390016,10.); #110746=VECTOR('',#390017,10.); #110747=VECTOR('',#390018,10.); #110748=VECTOR('',#390021,10.); #110749=VECTOR('',#390022,10.); #110750=VECTOR('',#390023,10.); #110751=VECTOR('',#390026,10.); #110752=VECTOR('',#390027,10.); #110753=VECTOR('',#390028,10.); #110754=VECTOR('',#390031,10.); #110755=VECTOR('',#390032,10.); #110756=VECTOR('',#390033,10.); #110757=VECTOR('',#390036,10.); #110758=VECTOR('',#390037,10.); #110759=VECTOR('',#390038,10.); #110760=VECTOR('',#390041,10.); #110761=VECTOR('',#390042,10.); #110762=VECTOR('',#390043,10.); #110763=VECTOR('',#390046,10.); #110764=VECTOR('',#390047,10.); #110765=VECTOR('',#390048,10.); #110766=VECTOR('',#390051,10.); #110767=VECTOR('',#390052,10.); #110768=VECTOR('',#390053,10.); #110769=VECTOR('',#390056,10.); #110770=VECTOR('',#390057,10.); #110771=VECTOR('',#390058,10.); #110772=VECTOR('',#390061,10.); #110773=VECTOR('',#390062,10.); #110774=VECTOR('',#390063,10.); #110775=VECTOR('',#390066,10.); #110776=VECTOR('',#390067,10.); #110777=VECTOR('',#390068,10.); #110778=VECTOR('',#390071,10.); #110779=VECTOR('',#390072,10.); #110780=VECTOR('',#390073,10.); #110781=VECTOR('',#390076,10.); #110782=VECTOR('',#390077,10.); #110783=VECTOR('',#390078,10.); #110784=VECTOR('',#390081,10.); #110785=VECTOR('',#390082,10.); #110786=VECTOR('',#390083,10.); #110787=VECTOR('',#390086,10.); #110788=VECTOR('',#390087,10.); #110789=VECTOR('',#390088,10.); #110790=VECTOR('',#390091,10.); #110791=VECTOR('',#390092,10.); #110792=VECTOR('',#390093,10.); #110793=VECTOR('',#390096,10.); #110794=VECTOR('',#390097,10.); #110795=VECTOR('',#390098,10.); #110796=VECTOR('',#390101,10.); #110797=VECTOR('',#390102,10.); #110798=VECTOR('',#390103,10.); #110799=VECTOR('',#390106,10.); #110800=VECTOR('',#390107,10.); #110801=VECTOR('',#390108,10.); #110802=VECTOR('',#390111,10.); #110803=VECTOR('',#390112,10.); #110804=VECTOR('',#390113,10.); #110805=VECTOR('',#390116,10.); #110806=VECTOR('',#390117,10.); #110807=VECTOR('',#390118,10.); #110808=VECTOR('',#390121,10.); #110809=VECTOR('',#390122,10.); #110810=VECTOR('',#390123,10.); #110811=VECTOR('',#390126,10.); #110812=VECTOR('',#390127,10.); #110813=VECTOR('',#390128,10.); #110814=VECTOR('',#390131,10.); #110815=VECTOR('',#390132,10.); #110816=VECTOR('',#390133,10.); #110817=VECTOR('',#390136,10.); #110818=VECTOR('',#390137,10.); #110819=VECTOR('',#390138,10.); #110820=VECTOR('',#390141,10.); #110821=VECTOR('',#390142,10.); #110822=VECTOR('',#390143,10.); #110823=VECTOR('',#390146,10.); #110824=VECTOR('',#390147,10.); #110825=VECTOR('',#390148,10.); #110826=VECTOR('',#390151,10.); #110827=VECTOR('',#390152,10.); #110828=VECTOR('',#390153,10.); #110829=VECTOR('',#390156,10.); #110830=VECTOR('',#390157,10.); #110831=VECTOR('',#390158,10.); #110832=VECTOR('',#390161,10.); #110833=VECTOR('',#390162,10.); #110834=VECTOR('',#390163,10.); #110835=VECTOR('',#390166,10.); #110836=VECTOR('',#390167,10.); #110837=VECTOR('',#390168,10.); #110838=VECTOR('',#390171,10.); #110839=VECTOR('',#390172,10.); #110840=VECTOR('',#390173,10.); #110841=VECTOR('',#390176,10.); #110842=VECTOR('',#390177,10.); #110843=VECTOR('',#390178,10.); #110844=VECTOR('',#390181,10.); #110845=VECTOR('',#390182,10.); #110846=VECTOR('',#390183,10.); #110847=VECTOR('',#390186,10.); #110848=VECTOR('',#390187,10.); #110849=VECTOR('',#390188,10.); #110850=VECTOR('',#390191,10.); #110851=VECTOR('',#390192,10.); #110852=VECTOR('',#390193,10.); #110853=VECTOR('',#390196,10.); #110854=VECTOR('',#390197,10.); #110855=VECTOR('',#390198,10.); #110856=VECTOR('',#390201,10.); #110857=VECTOR('',#390202,10.); #110858=VECTOR('',#390203,10.); #110859=VECTOR('',#390206,10.); #110860=VECTOR('',#390207,10.); #110861=VECTOR('',#390208,10.); #110862=VECTOR('',#390211,10.); #110863=VECTOR('',#390212,10.); #110864=VECTOR('',#390213,10.); #110865=VECTOR('',#390216,10.); #110866=VECTOR('',#390217,10.); #110867=VECTOR('',#390218,10.); #110868=VECTOR('',#390221,10.); #110869=VECTOR('',#390222,10.); #110870=VECTOR('',#390223,10.); #110871=VECTOR('',#390226,10.); #110872=VECTOR('',#390227,10.); #110873=VECTOR('',#390228,10.); #110874=VECTOR('',#390231,10.); #110875=VECTOR('',#390232,10.); #110876=VECTOR('',#390233,10.); #110877=VECTOR('',#390236,10.); #110878=VECTOR('',#390237,10.); #110879=VECTOR('',#390238,10.); #110880=VECTOR('',#390241,10.); #110881=VECTOR('',#390242,10.); #110882=VECTOR('',#390243,10.); #110883=VECTOR('',#390246,10.); #110884=VECTOR('',#390247,10.); #110885=VECTOR('',#390248,10.); #110886=VECTOR('',#390251,10.); #110887=VECTOR('',#390252,10.); #110888=VECTOR('',#390253,10.); #110889=VECTOR('',#390256,10.); #110890=VECTOR('',#390257,10.); #110891=VECTOR('',#390258,10.); #110892=VECTOR('',#390261,10.); #110893=VECTOR('',#390262,10.); #110894=VECTOR('',#390263,10.); #110895=VECTOR('',#390266,10.); #110896=VECTOR('',#390267,10.); #110897=VECTOR('',#390268,10.); #110898=VECTOR('',#390271,10.); #110899=VECTOR('',#390272,10.); #110900=VECTOR('',#390273,10.); #110901=VECTOR('',#390276,10.); #110902=VECTOR('',#390277,10.); #110903=VECTOR('',#390278,10.); #110904=VECTOR('',#390281,10.); #110905=VECTOR('',#390282,10.); #110906=VECTOR('',#390283,10.); #110907=VECTOR('',#390286,10.); #110908=VECTOR('',#390287,10.); #110909=VECTOR('',#390288,10.); #110910=VECTOR('',#390291,10.); #110911=VECTOR('',#390292,10.); #110912=VECTOR('',#390293,10.); #110913=VECTOR('',#390296,10.); #110914=VECTOR('',#390297,10.); #110915=VECTOR('',#390298,10.); #110916=VECTOR('',#390301,10.); #110917=VECTOR('',#390302,10.); #110918=VECTOR('',#390303,10.); #110919=VECTOR('',#390306,10.); #110920=VECTOR('',#390307,10.); #110921=VECTOR('',#390308,10.); #110922=VECTOR('',#390311,10.); #110923=VECTOR('',#390312,10.); #110924=VECTOR('',#390313,10.); #110925=VECTOR('',#390316,10.); #110926=VECTOR('',#390317,10.); #110927=VECTOR('',#390318,10.); #110928=VECTOR('',#390321,10.); #110929=VECTOR('',#390322,10.); #110930=VECTOR('',#390323,10.); #110931=VECTOR('',#390326,10.); #110932=VECTOR('',#390327,10.); #110933=VECTOR('',#390328,10.); #110934=VECTOR('',#390331,10.); #110935=VECTOR('',#390332,10.); #110936=VECTOR('',#390333,10.); #110937=VECTOR('',#390336,10.); #110938=VECTOR('',#390337,10.); #110939=VECTOR('',#390338,10.); #110940=VECTOR('',#390341,10.); #110941=VECTOR('',#390342,10.); #110942=VECTOR('',#390343,10.); #110943=VECTOR('',#390346,10.); #110944=VECTOR('',#390347,10.); #110945=VECTOR('',#390348,10.); #110946=VECTOR('',#390351,10.); #110947=VECTOR('',#390352,10.); #110948=VECTOR('',#390353,10.); #110949=VECTOR('',#390356,10.); #110950=VECTOR('',#390357,10.); #110951=VECTOR('',#390358,10.); #110952=VECTOR('',#390361,10.); #110953=VECTOR('',#390362,10.); #110954=VECTOR('',#390363,10.); #110955=VECTOR('',#390366,10.); #110956=VECTOR('',#390367,10.); #110957=VECTOR('',#390368,10.); #110958=VECTOR('',#390371,10.); #110959=VECTOR('',#390372,10.); #110960=VECTOR('',#390373,10.); #110961=VECTOR('',#390376,10.); #110962=VECTOR('',#390377,10.); #110963=VECTOR('',#390378,10.); #110964=VECTOR('',#390381,10.); #110965=VECTOR('',#390382,10.); #110966=VECTOR('',#390383,10.); #110967=VECTOR('',#390386,10.); #110968=VECTOR('',#390387,10.); #110969=VECTOR('',#390388,10.); #110970=VECTOR('',#390391,10.); #110971=VECTOR('',#390392,10.); #110972=VECTOR('',#390393,10.); #110973=VECTOR('',#390396,10.); #110974=VECTOR('',#390397,10.); #110975=VECTOR('',#390398,10.); #110976=VECTOR('',#390401,10.); #110977=VECTOR('',#390402,10.); #110978=VECTOR('',#390403,10.); #110979=VECTOR('',#390406,10.); #110980=VECTOR('',#390407,10.); #110981=VECTOR('',#390408,10.); #110982=VECTOR('',#390411,10.); #110983=VECTOR('',#390412,10.); #110984=VECTOR('',#390413,10.); #110985=VECTOR('',#390416,10.); #110986=VECTOR('',#390417,10.); #110987=VECTOR('',#390424,10.); #110988=VECTOR('',#390425,10.); #110989=VECTOR('',#390426,10.); #110990=VECTOR('',#390427,10.); #110991=VECTOR('',#390430,10.); #110992=VECTOR('',#390431,10.); #110993=VECTOR('',#390432,10.); #110994=VECTOR('',#390435,10.); #110995=VECTOR('',#390436,10.); #110996=VECTOR('',#390437,10.); #110997=VECTOR('',#390440,10.); #110998=VECTOR('',#390441,10.); #110999=VECTOR('',#390442,10.); #111000=VECTOR('',#390445,10.); #111001=VECTOR('',#390446,10.); #111002=VECTOR('',#390447,10.); #111003=VECTOR('',#390450,10.); #111004=VECTOR('',#390451,10.); #111005=VECTOR('',#390452,10.); #111006=VECTOR('',#390455,10.); #111007=VECTOR('',#390456,10.); #111008=VECTOR('',#390457,10.); #111009=VECTOR('',#390460,10.); #111010=VECTOR('',#390461,10.); #111011=VECTOR('',#390462,10.); #111012=VECTOR('',#390465,10.); #111013=VECTOR('',#390466,10.); #111014=VECTOR('',#390467,10.); #111015=VECTOR('',#390470,10.); #111016=VECTOR('',#390471,10.); #111017=VECTOR('',#390472,10.); #111018=VECTOR('',#390475,10.); #111019=VECTOR('',#390476,10.); #111020=VECTOR('',#390477,10.); #111021=VECTOR('',#390480,10.); #111022=VECTOR('',#390481,10.); #111023=VECTOR('',#390482,10.); #111024=VECTOR('',#390485,10.); #111025=VECTOR('',#390486,10.); #111026=VECTOR('',#390487,10.); #111027=VECTOR('',#390490,10.); #111028=VECTOR('',#390491,10.); #111029=VECTOR('',#390492,10.); #111030=VECTOR('',#390495,10.); #111031=VECTOR('',#390496,10.); #111032=VECTOR('',#390497,10.); #111033=VECTOR('',#390500,10.); #111034=VECTOR('',#390501,10.); #111035=VECTOR('',#390502,10.); #111036=VECTOR('',#390505,10.); #111037=VECTOR('',#390506,10.); #111038=VECTOR('',#390507,10.); #111039=VECTOR('',#390510,10.); #111040=VECTOR('',#390511,10.); #111041=VECTOR('',#390512,10.); #111042=VECTOR('',#390515,10.); #111043=VECTOR('',#390516,10.); #111044=VECTOR('',#390517,10.); #111045=VECTOR('',#390520,10.); #111046=VECTOR('',#390521,10.); #111047=VECTOR('',#390522,10.); #111048=VECTOR('',#390525,10.); #111049=VECTOR('',#390526,10.); #111050=VECTOR('',#390527,10.); #111051=VECTOR('',#390530,10.); #111052=VECTOR('',#390531,10.); #111053=VECTOR('',#390532,10.); #111054=VECTOR('',#390535,10.); #111055=VECTOR('',#390536,10.); #111056=VECTOR('',#390537,10.); #111057=VECTOR('',#390540,10.); #111058=VECTOR('',#390541,10.); #111059=VECTOR('',#390542,10.); #111060=VECTOR('',#390545,10.); #111061=VECTOR('',#390546,10.); #111062=VECTOR('',#390547,10.); #111063=VECTOR('',#390550,10.); #111064=VECTOR('',#390551,10.); #111065=VECTOR('',#390552,10.); #111066=VECTOR('',#390555,10.); #111067=VECTOR('',#390556,10.); #111068=VECTOR('',#390557,10.); #111069=VECTOR('',#390560,10.); #111070=VECTOR('',#390561,10.); #111071=VECTOR('',#390562,10.); #111072=VECTOR('',#390565,10.); #111073=VECTOR('',#390566,10.); #111074=VECTOR('',#390567,10.); #111075=VECTOR('',#390570,10.); #111076=VECTOR('',#390571,10.); #111077=VECTOR('',#390580,0.140000000000002); #111078=VECTOR('',#390587,0.140000000000002); #111079=VECTOR('',#390594,0.140000000000002); #111080=VECTOR('',#390601,0.140000000000002); #111081=VECTOR('',#390608,0.140000000000002); #111082=VECTOR('',#390615,0.140000000000002); #111083=VECTOR('',#390622,0.140000000000002); #111084=VECTOR('',#390629,0.140000000000002); #111085=VECTOR('',#390636,0.140000000000002); #111086=VECTOR('',#390643,0.140000000000002); #111087=VECTOR('',#390650,0.140000000000002); #111088=VECTOR('',#390657,0.140000000000002); #111089=VECTOR('',#390664,0.140000000000002); #111090=VECTOR('',#390671,0.140000000000002); #111091=VECTOR('',#390678,0.140000000000002); #111092=VECTOR('',#390683,10.); #111093=VECTOR('',#390684,10.); #111094=VECTOR('',#390685,10.); #111095=VECTOR('',#390686,10.); #111096=VECTOR('',#390689,10.); #111097=VECTOR('',#390690,10.); #111098=VECTOR('',#390691,10.); #111099=VECTOR('',#390694,10.); #111100=VECTOR('',#390695,10.); #111101=VECTOR('',#390696,10.); #111102=VECTOR('',#390699,10.); #111103=VECTOR('',#390700,10.); #111104=VECTOR('',#390701,10.); #111105=VECTOR('',#390704,10.); #111106=VECTOR('',#390705,10.); #111107=VECTOR('',#390706,10.); #111108=VECTOR('',#390709,10.); #111109=VECTOR('',#390710,10.); #111110=VECTOR('',#390711,10.); #111111=VECTOR('',#390714,10.); #111112=VECTOR('',#390715,10.); #111113=VECTOR('',#390716,10.); #111114=VECTOR('',#390719,10.); #111115=VECTOR('',#390720,10.); #111116=VECTOR('',#390721,10.); #111117=VECTOR('',#390724,10.); #111118=VECTOR('',#390725,10.); #111119=VECTOR('',#390726,10.); #111120=VECTOR('',#390729,10.); #111121=VECTOR('',#390730,10.); #111122=VECTOR('',#390731,10.); #111123=VECTOR('',#390734,10.); #111124=VECTOR('',#390735,10.); #111125=VECTOR('',#390736,10.); #111126=VECTOR('',#390739,10.); #111127=VECTOR('',#390740,10.); #111128=VECTOR('',#390741,10.); #111129=VECTOR('',#390744,10.); #111130=VECTOR('',#390745,10.); #111131=VECTOR('',#390746,10.); #111132=VECTOR('',#390749,10.); #111133=VECTOR('',#390750,10.); #111134=VECTOR('',#390751,10.); #111135=VECTOR('',#390754,10.); #111136=VECTOR('',#390755,10.); #111137=VECTOR('',#390756,10.); #111138=VECTOR('',#390759,10.); #111139=VECTOR('',#390760,10.); #111140=VECTOR('',#390761,10.); #111141=VECTOR('',#390764,10.); #111142=VECTOR('',#390765,10.); #111143=VECTOR('',#390766,10.); #111144=VECTOR('',#390769,10.); #111145=VECTOR('',#390770,10.); #111146=VECTOR('',#390771,10.); #111147=VECTOR('',#390774,10.); #111148=VECTOR('',#390775,10.); #111149=VECTOR('',#390776,10.); #111150=VECTOR('',#390779,10.); #111151=VECTOR('',#390780,10.); #111152=VECTOR('',#390781,10.); #111153=VECTOR('',#390784,10.); #111154=VECTOR('',#390785,10.); #111155=VECTOR('',#390786,10.); #111156=VECTOR('',#390789,10.); #111157=VECTOR('',#390790,10.); #111158=VECTOR('',#390791,10.); #111159=VECTOR('',#390794,10.); #111160=VECTOR('',#390795,10.); #111161=VECTOR('',#390796,10.); #111162=VECTOR('',#390799,10.); #111163=VECTOR('',#390800,10.); #111164=VECTOR('',#390801,10.); #111165=VECTOR('',#390804,10.); #111166=VECTOR('',#390805,10.); #111167=VECTOR('',#390806,10.); #111168=VECTOR('',#390809,10.); #111169=VECTOR('',#390810,10.); #111170=VECTOR('',#390811,10.); #111171=VECTOR('',#390814,10.); #111172=VECTOR('',#390815,10.); #111173=VECTOR('',#390816,10.); #111174=VECTOR('',#390819,10.); #111175=VECTOR('',#390820,10.); #111176=VECTOR('',#390821,10.); #111177=VECTOR('',#390824,10.); #111178=VECTOR('',#390825,10.); #111179=VECTOR('',#390826,10.); #111180=VECTOR('',#390829,10.); #111181=VECTOR('',#390830,10.); #111182=VECTOR('',#390831,10.); #111183=VECTOR('',#390834,10.); #111184=VECTOR('',#390835,10.); #111185=VECTOR('',#390836,10.); #111186=VECTOR('',#390839,10.); #111187=VECTOR('',#390840,10.); #111188=VECTOR('',#390841,10.); #111189=VECTOR('',#390844,10.); #111190=VECTOR('',#390845,10.); #111191=VECTOR('',#390846,10.); #111192=VECTOR('',#390849,10.); #111193=VECTOR('',#390850,10.); #111194=VECTOR('',#390851,10.); #111195=VECTOR('',#390854,10.); #111196=VECTOR('',#390855,10.); #111197=VECTOR('',#390856,10.); #111198=VECTOR('',#390859,10.); #111199=VECTOR('',#390860,10.); #111200=VECTOR('',#390861,10.); #111201=VECTOR('',#390864,10.); #111202=VECTOR('',#390865,10.); #111203=VECTOR('',#390866,10.); #111204=VECTOR('',#390869,10.); #111205=VECTOR('',#390870,10.); #111206=VECTOR('',#390871,10.); #111207=VECTOR('',#390874,10.); #111208=VECTOR('',#390875,10.); #111209=VECTOR('',#390876,10.); #111210=VECTOR('',#390879,10.); #111211=VECTOR('',#390880,10.); #111212=VECTOR('',#390881,10.); #111213=VECTOR('',#390884,10.); #111214=VECTOR('',#390885,10.); #111215=VECTOR('',#390894,0.140000000000002); #111216=VECTOR('',#390899,10.); #111217=VECTOR('',#390900,10.); #111218=VECTOR('',#390901,10.); #111219=VECTOR('',#390902,10.); #111220=VECTOR('',#390905,10.); #111221=VECTOR('',#390906,10.); #111222=VECTOR('',#390907,10.); #111223=VECTOR('',#390910,10.); #111224=VECTOR('',#390911,10.); #111225=VECTOR('',#390912,10.); #111226=VECTOR('',#390915,10.); #111227=VECTOR('',#390916,10.); #111228=VECTOR('',#390917,10.); #111229=VECTOR('',#390920,10.); #111230=VECTOR('',#390921,10.); #111231=VECTOR('',#390922,10.); #111232=VECTOR('',#390929,10.); #111233=VECTOR('',#390932,10.); #111234=VECTOR('',#390933,10.); #111235=VECTOR('',#390934,10.); #111236=VECTOR('',#390937,10.); #111237=VECTOR('',#390938,10.); #111238=VECTOR('',#390939,10.); #111239=VECTOR('',#390946,10.); #111240=VECTOR('',#390949,10.); #111241=VECTOR('',#390950,10.); #111242=VECTOR('',#390951,10.); #111243=VECTOR('',#390954,10.); #111244=VECTOR('',#390955,10.); #111245=VECTOR('',#390956,10.); #111246=VECTOR('',#390959,10.); #111247=VECTOR('',#390960,10.); #111248=VECTOR('',#390961,10.); #111249=VECTOR('',#390964,10.); #111250=VECTOR('',#390965,10.); #111251=VECTOR('',#390966,10.); #111252=VECTOR('',#390969,10.); #111253=VECTOR('',#390970,10.); #111254=VECTOR('',#390971,10.); #111255=VECTOR('',#390974,10.); #111256=VECTOR('',#390975,10.); #111257=VECTOR('',#390976,10.); #111258=VECTOR('',#390979,10.); #111259=VECTOR('',#390980,10.); #111260=VECTOR('',#390981,10.); #111261=VECTOR('',#390984,10.); #111262=VECTOR('',#390985,10.); #111263=VECTOR('',#390986,10.); #111264=VECTOR('',#390989,10.); #111265=VECTOR('',#390990,10.); #111266=VECTOR('',#390991,10.); #111267=VECTOR('',#390994,10.); #111268=VECTOR('',#390995,10.); #111269=VECTOR('',#390996,10.); #111270=VECTOR('',#390999,10.); #111271=VECTOR('',#391000,10.); #111272=VECTOR('',#391001,10.); #111273=VECTOR('',#391004,10.); #111274=VECTOR('',#391005,10.); #111275=VECTOR('',#391006,10.); #111276=VECTOR('',#391009,10.); #111277=VECTOR('',#391010,10.); #111278=VECTOR('',#391011,10.); #111279=VECTOR('',#391014,10.); #111280=VECTOR('',#391015,10.); #111281=VECTOR('',#391016,10.); #111282=VECTOR('',#391019,10.); #111283=VECTOR('',#391020,10.); #111284=VECTOR('',#391021,10.); #111285=VECTOR('',#391024,10.); #111286=VECTOR('',#391025,10.); #111287=VECTOR('',#391026,10.); #111288=VECTOR('',#391033,10.); #111289=VECTOR('',#391036,10.); #111290=VECTOR('',#391037,10.); #111291=VECTOR('',#391038,10.); #111292=VECTOR('',#391045,10.); #111293=VECTOR('',#391048,10.); #111294=VECTOR('',#391049,10.); #111295=VECTOR('',#391050,10.); #111296=VECTOR('',#391057,10.); #111297=VECTOR('',#391060,10.); #111298=VECTOR('',#391061,10.); #111299=VECTOR('',#391062,10.); #111300=VECTOR('',#391065,10.); #111301=VECTOR('',#391066,10.); #111302=VECTOR('',#391067,10.); #111303=VECTOR('',#391070,10.); #111304=VECTOR('',#391071,10.); #111305=VECTOR('',#391072,10.); #111306=VECTOR('',#391079,10.); #111307=VECTOR('',#391082,10.); #111308=VECTOR('',#391083,10.); #111309=VECTOR('',#391084,10.); #111310=VECTOR('',#391091,10.); #111311=VECTOR('',#391094,10.); #111312=VECTOR('',#391095,10.); #111313=VECTOR('',#391096,10.); #111314=VECTOR('',#391099,10.); #111315=VECTOR('',#391100,10.); #111316=VECTOR('',#391101,10.); #111317=VECTOR('',#391108,10.); #111318=VECTOR('',#391111,10.); #111319=VECTOR('',#391112,10.); #111320=VECTOR('',#391113,10.); #111321=VECTOR('',#391116,10.); #111322=VECTOR('',#391117,10.); #111323=VECTOR('',#391118,10.); #111324=VECTOR('',#391121,10.); #111325=VECTOR('',#391122,10.); #111326=VECTOR('',#391131,0.139999999999998); #111327=VECTOR('',#391138,0.139999999999998); #111328=VECTOR('',#391145,0.139999999999998); #111329=VECTOR('',#391152,0.139999999999998); #111330=VECTOR('',#391159,0.139999999999998); #111331=VECTOR('',#391166,0.139999999999998); #111332=VECTOR('',#391173,0.139999999999998); #111333=VECTOR('',#391180,0.139999999999998); #111334=VECTOR('',#391187,0.139999999999998); #111335=VECTOR('',#391194,0.139999999999998); #111336=VECTOR('',#391201,0.139999999999998); #111337=VECTOR('',#391208,0.139999999999998); #111338=VECTOR('',#391215,0.139999999999998); #111339=VECTOR('',#391222,0.139999999999998); #111340=VECTOR('',#391229,0.139999999999998); #111341=VECTOR('',#391234,10.); #111342=VECTOR('',#391235,10.); #111343=VECTOR('',#391236,10.); #111344=VECTOR('',#391237,10.); #111345=VECTOR('',#391240,10.); #111346=VECTOR('',#391241,10.); #111347=VECTOR('',#391242,10.); #111348=VECTOR('',#391245,10.); #111349=VECTOR('',#391246,10.); #111350=VECTOR('',#391247,10.); #111351=VECTOR('',#391250,10.); #111352=VECTOR('',#391251,10.); #111353=VECTOR('',#391252,10.); #111354=VECTOR('',#391255,10.); #111355=VECTOR('',#391256,10.); #111356=VECTOR('',#391257,10.); #111357=VECTOR('',#391260,10.); #111358=VECTOR('',#391261,10.); #111359=VECTOR('',#391262,10.); #111360=VECTOR('',#391265,10.); #111361=VECTOR('',#391266,10.); #111362=VECTOR('',#391267,10.); #111363=VECTOR('',#391270,10.); #111364=VECTOR('',#391271,10.); #111365=VECTOR('',#391272,10.); #111366=VECTOR('',#391275,10.); #111367=VECTOR('',#391276,10.); #111368=VECTOR('',#391277,10.); #111369=VECTOR('',#391280,10.); #111370=VECTOR('',#391281,10.); #111371=VECTOR('',#391282,10.); #111372=VECTOR('',#391285,10.); #111373=VECTOR('',#391286,10.); #111374=VECTOR('',#391287,10.); #111375=VECTOR('',#391290,10.); #111376=VECTOR('',#391291,10.); #111377=VECTOR('',#391292,10.); #111378=VECTOR('',#391295,10.); #111379=VECTOR('',#391296,10.); #111380=VECTOR('',#391297,10.); #111381=VECTOR('',#391300,10.); #111382=VECTOR('',#391301,10.); #111383=VECTOR('',#391302,10.); #111384=VECTOR('',#391305,10.); #111385=VECTOR('',#391306,10.); #111386=VECTOR('',#391307,10.); #111387=VECTOR('',#391310,10.); #111388=VECTOR('',#391311,10.); #111389=VECTOR('',#391312,10.); #111390=VECTOR('',#391315,10.); #111391=VECTOR('',#391316,10.); #111392=VECTOR('',#391317,10.); #111393=VECTOR('',#391320,10.); #111394=VECTOR('',#391321,10.); #111395=VECTOR('',#391322,10.); #111396=VECTOR('',#391325,10.); #111397=VECTOR('',#391326,10.); #111398=VECTOR('',#391327,10.); #111399=VECTOR('',#391330,10.); #111400=VECTOR('',#391331,10.); #111401=VECTOR('',#391332,10.); #111402=VECTOR('',#391335,10.); #111403=VECTOR('',#391336,10.); #111404=VECTOR('',#391337,10.); #111405=VECTOR('',#391340,10.); #111406=VECTOR('',#391341,10.); #111407=VECTOR('',#391342,10.); #111408=VECTOR('',#391345,10.); #111409=VECTOR('',#391346,10.); #111410=VECTOR('',#391347,10.); #111411=VECTOR('',#391350,10.); #111412=VECTOR('',#391351,10.); #111413=VECTOR('',#391352,10.); #111414=VECTOR('',#391355,10.); #111415=VECTOR('',#391356,10.); #111416=VECTOR('',#391357,10.); #111417=VECTOR('',#391360,10.); #111418=VECTOR('',#391361,10.); #111419=VECTOR('',#391362,10.); #111420=VECTOR('',#391365,10.); #111421=VECTOR('',#391366,10.); #111422=VECTOR('',#391367,10.); #111423=VECTOR('',#391370,10.); #111424=VECTOR('',#391371,10.); #111425=VECTOR('',#391372,10.); #111426=VECTOR('',#391375,10.); #111427=VECTOR('',#391376,10.); #111428=VECTOR('',#391377,10.); #111429=VECTOR('',#391380,10.); #111430=VECTOR('',#391381,10.); #111431=VECTOR('',#391382,10.); #111432=VECTOR('',#391385,10.); #111433=VECTOR('',#391386,10.); #111434=VECTOR('',#391387,10.); #111435=VECTOR('',#391390,10.); #111436=VECTOR('',#391391,10.); #111437=VECTOR('',#391392,10.); #111438=VECTOR('',#391395,10.); #111439=VECTOR('',#391396,10.); #111440=VECTOR('',#391397,10.); #111441=VECTOR('',#391400,10.); #111442=VECTOR('',#391401,10.); #111443=VECTOR('',#391402,10.); #111444=VECTOR('',#391405,10.); #111445=VECTOR('',#391406,10.); #111446=VECTOR('',#391407,10.); #111447=VECTOR('',#391410,10.); #111448=VECTOR('',#391411,10.); #111449=VECTOR('',#391412,10.); #111450=VECTOR('',#391415,10.); #111451=VECTOR('',#391416,10.); #111452=VECTOR('',#391417,10.); #111453=VECTOR('',#391420,10.); #111454=VECTOR('',#391421,10.); #111455=VECTOR('',#391422,10.); #111456=VECTOR('',#391425,10.); #111457=VECTOR('',#391426,10.); #111458=VECTOR('',#391427,10.); #111459=VECTOR('',#391430,10.); #111460=VECTOR('',#391431,10.); #111461=VECTOR('',#391432,10.); #111462=VECTOR('',#391435,10.); #111463=VECTOR('',#391436,10.); #111464=VECTOR('',#391437,10.); #111465=VECTOR('',#391440,10.); #111466=VECTOR('',#391441,10.); #111467=VECTOR('',#391442,10.); #111468=VECTOR('',#391445,10.); #111469=VECTOR('',#391446,10.); #111470=VECTOR('',#391447,10.); #111471=VECTOR('',#391450,10.); #111472=VECTOR('',#391451,10.); #111473=VECTOR('',#391452,10.); #111474=VECTOR('',#391455,10.); #111475=VECTOR('',#391456,10.); #111476=VECTOR('',#391457,10.); #111477=VECTOR('',#391460,10.); #111478=VECTOR('',#391461,10.); #111479=VECTOR('',#391462,10.); #111480=VECTOR('',#391465,10.); #111481=VECTOR('',#391466,10.); #111482=VECTOR('',#391467,10.); #111483=VECTOR('',#391470,10.); #111484=VECTOR('',#391471,10.); #111485=VECTOR('',#391472,10.); #111486=VECTOR('',#391475,10.); #111487=VECTOR('',#391476,10.); #111488=VECTOR('',#391485,0.139999999999998); #111489=VECTOR('',#391490,10.); #111490=VECTOR('',#391491,10.); #111491=VECTOR('',#391492,10.); #111492=VECTOR('',#391493,10.); #111493=VECTOR('',#391496,10.); #111494=VECTOR('',#391497,10.); #111495=VECTOR('',#391498,10.); #111496=VECTOR('',#391501,10.); #111497=VECTOR('',#391502,10.); #111498=VECTOR('',#391503,10.); #111499=VECTOR('',#391506,10.); #111500=VECTOR('',#391507,10.); #111501=VECTOR('',#391508,10.); #111502=VECTOR('',#391511,10.); #111503=VECTOR('',#391512,10.); #111504=VECTOR('',#391513,10.); #111505=VECTOR('',#391516,10.); #111506=VECTOR('',#391517,10.); #111507=VECTOR('',#391518,10.); #111508=VECTOR('',#391525,10.); #111509=VECTOR('',#391528,10.); #111510=VECTOR('',#391529,10.); #111511=VECTOR('',#391530,10.); #111512=VECTOR('',#391533,10.); #111513=VECTOR('',#391534,10.); #111514=VECTOR('',#391535,10.); #111515=VECTOR('',#391542,10.); #111516=VECTOR('',#391545,10.); #111517=VECTOR('',#391546,10.); #111518=VECTOR('',#391547,10.); #111519=VECTOR('',#391554,10.); #111520=VECTOR('',#391557,10.); #111521=VECTOR('',#391558,10.); #111522=VECTOR('',#391559,10.); #111523=VECTOR('',#391562,10.); #111524=VECTOR('',#391563,10.); #111525=VECTOR('',#391564,10.); #111526=VECTOR('',#391567,10.); #111527=VECTOR('',#391568,10.); #111528=VECTOR('',#391569,10.); #111529=VECTOR('',#391576,10.); #111530=VECTOR('',#391579,10.); #111531=VECTOR('',#391580,10.); #111532=VECTOR('',#391581,10.); #111533=VECTOR('',#391588,10.); #111534=VECTOR('',#391591,10.); #111535=VECTOR('',#391592,10.); #111536=VECTOR('',#391593,10.); #111537=VECTOR('',#391600,10.); #111538=VECTOR('',#391603,10.); #111539=VECTOR('',#391604,10.); #111540=VECTOR('',#391605,10.); #111541=VECTOR('',#391608,10.); #111542=VECTOR('',#391609,10.); #111543=VECTOR('',#391610,10.); #111544=VECTOR('',#391613,10.); #111545=VECTOR('',#391614,10.); #111546=VECTOR('',#391615,10.); #111547=VECTOR('',#391618,10.); #111548=VECTOR('',#391619,10.); #111549=VECTOR('',#391620,10.); #111550=VECTOR('',#391623,10.); #111551=VECTOR('',#391624,10.); #111552=VECTOR('',#391625,10.); #111553=VECTOR('',#391628,10.); #111554=VECTOR('',#391629,10.); #111555=VECTOR('',#391630,10.); #111556=VECTOR('',#391633,10.); #111557=VECTOR('',#391634,10.); #111558=VECTOR('',#391635,10.); #111559=VECTOR('',#391638,10.); #111560=VECTOR('',#391639,10.); #111561=VECTOR('',#391640,10.); #111562=VECTOR('',#391643,10.); #111563=VECTOR('',#391644,10.); #111564=VECTOR('',#391645,10.); #111565=VECTOR('',#391648,10.); #111566=VECTOR('',#391649,10.); #111567=VECTOR('',#391650,10.); #111568=VECTOR('',#391653,10.); #111569=VECTOR('',#391654,10.); #111570=VECTOR('',#391655,10.); #111571=VECTOR('',#391658,10.); #111572=VECTOR('',#391659,10.); #111573=VECTOR('',#391660,10.); #111574=VECTOR('',#391663,10.); #111575=VECTOR('',#391664,10.); #111576=VECTOR('',#391665,10.); #111577=VECTOR('',#391668,10.); #111578=VECTOR('',#391669,10.); #111579=VECTOR('',#391670,10.); #111580=VECTOR('',#391673,10.); #111581=VECTOR('',#391674,10.); #111582=VECTOR('',#391675,10.); #111583=VECTOR('',#391678,10.); #111584=VECTOR('',#391679,10.); #111585=VECTOR('',#391680,10.); #111586=VECTOR('',#391687,10.); #111587=VECTOR('',#391690,10.); #111588=VECTOR('',#391691,10.); #111589=VECTOR('',#391692,10.); #111590=VECTOR('',#391695,10.); #111591=VECTOR('',#391696,10.); #111592=VECTOR('',#391697,10.); #111593=VECTOR('',#391704,10.); #111594=VECTOR('',#391707,10.); #111595=VECTOR('',#391708,10.); #111596=VECTOR('',#391709,10.); #111597=VECTOR('',#391712,10.); #111598=VECTOR('',#391713,10.); #111599=VECTOR('',#391722,0.139999999999998); #111600=VECTOR('',#391729,0.14); #111601=VECTOR('',#391736,0.14); #111602=VECTOR('',#391743,0.14); #111603=VECTOR('',#391750,0.14); #111604=VECTOR('',#391757,0.14); #111605=VECTOR('',#391764,0.14); #111606=VECTOR('',#391771,0.14); #111607=VECTOR('',#391778,0.14); #111608=VECTOR('',#391785,0.139999999999998); #111609=VECTOR('',#391792,0.139999999999998); #111610=VECTOR('',#391799,0.14); #111611=VECTOR('',#391806,0.14); #111612=VECTOR('',#391813,0.14); #111613=VECTOR('',#391820,0.14); #111614=VECTOR('',#391827,0.139999999999998); #111615=VECTOR('',#391834,0.139999999999998); #111616=VECTOR('',#391841,0.139999999999998); #111617=VECTOR('',#391848,0.139999999999998); #111618=VECTOR('',#391855,0.14); #111619=VECTOR('',#391862,0.14); #111620=VECTOR('',#391869,0.14); #111621=VECTOR('',#391876,0.139999999999998); #111622=VECTOR('',#391883,0.139999999999998); #111623=VECTOR('',#391890,0.139999999999998); #111624=VECTOR('',#391897,0.139999999999998); #111625=VECTOR('',#391904,0.139999999999998); #111626=VECTOR('',#391911,0.139999999999998); #111627=VECTOR('',#391918,0.139999999999998); #111628=VECTOR('',#391925,0.139999999999998); #111629=VECTOR('',#391932,0.139999999999998); #111630=VECTOR('',#391937,10.); #111631=VECTOR('',#391938,10.); #111632=VECTOR('',#391939,10.); #111633=VECTOR('',#391940,10.); #111634=VECTOR('',#391947,10.); #111635=VECTOR('',#391950,10.); #111636=VECTOR('',#391951,10.); #111637=VECTOR('',#391952,10.); #111638=VECTOR('',#391955,10.); #111639=VECTOR('',#391956,10.); #111640=VECTOR('',#391957,10.); #111641=VECTOR('',#391960,10.); #111642=VECTOR('',#391961,10.); #111643=VECTOR('',#391962,10.); #111644=VECTOR('',#391969,10.); #111645=VECTOR('',#391972,10.); #111646=VECTOR('',#391973,10.); #111647=VECTOR('',#391974,10.); #111648=VECTOR('',#391981,10.); #111649=VECTOR('',#391984,10.); #111650=VECTOR('',#391985,10.); #111651=VECTOR('',#391986,10.); #111652=VECTOR('',#391989,10.); #111653=VECTOR('',#391990,10.); #111654=VECTOR('',#391991,10.); #111655=VECTOR('',#391994,10.); #111656=VECTOR('',#391995,10.); #111657=VECTOR('',#391996,10.); #111658=VECTOR('',#391999,10.); #111659=VECTOR('',#392000,10.); #111660=VECTOR('',#392001,10.); #111661=VECTOR('',#392004,10.); #111662=VECTOR('',#392005,10.); #111663=VECTOR('',#392006,10.); #111664=VECTOR('',#392009,10.); #111665=VECTOR('',#392010,10.); #111666=VECTOR('',#392011,10.); #111667=VECTOR('',#392014,10.); #111668=VECTOR('',#392015,10.); #111669=VECTOR('',#392016,10.); #111670=VECTOR('',#392019,10.); #111671=VECTOR('',#392020,10.); #111672=VECTOR('',#392021,10.); #111673=VECTOR('',#392024,10.); #111674=VECTOR('',#392025,10.); #111675=VECTOR('',#392026,10.); #111676=VECTOR('',#392029,10.); #111677=VECTOR('',#392030,10.); #111678=VECTOR('',#392031,10.); #111679=VECTOR('',#392034,10.); #111680=VECTOR('',#392035,10.); #111681=VECTOR('',#392036,10.); #111682=VECTOR('',#392039,10.); #111683=VECTOR('',#392040,10.); #111684=VECTOR('',#392041,10.); #111685=VECTOR('',#392044,10.); #111686=VECTOR('',#392045,10.); #111687=VECTOR('',#392046,10.); #111688=VECTOR('',#392049,10.); #111689=VECTOR('',#392050,10.); #111690=VECTOR('',#392051,10.); #111691=VECTOR('',#392054,10.); #111692=VECTOR('',#392055,10.); #111693=VECTOR('',#392056,10.); #111694=VECTOR('',#392059,10.); #111695=VECTOR('',#392060,10.); #111696=VECTOR('',#392061,10.); #111697=VECTOR('',#392064,10.); #111698=VECTOR('',#392065,10.); #111699=VECTOR('',#392066,10.); #111700=VECTOR('',#392069,10.); #111701=VECTOR('',#392070,10.); #111702=VECTOR('',#392071,10.); #111703=VECTOR('',#392074,10.); #111704=VECTOR('',#392075,10.); #111705=VECTOR('',#392076,10.); #111706=VECTOR('',#392079,10.); #111707=VECTOR('',#392080,10.); #111708=VECTOR('',#392081,10.); #111709=VECTOR('',#392084,10.); #111710=VECTOR('',#392085,10.); #111711=VECTOR('',#392086,10.); #111712=VECTOR('',#392089,10.); #111713=VECTOR('',#392090,10.); #111714=VECTOR('',#392091,10.); #111715=VECTOR('',#392094,10.); #111716=VECTOR('',#392095,10.); #111717=VECTOR('',#392096,10.); #111718=VECTOR('',#392099,10.); #111719=VECTOR('',#392100,10.); #111720=VECTOR('',#392101,10.); #111721=VECTOR('',#392104,10.); #111722=VECTOR('',#392105,10.); #111723=VECTOR('',#392106,10.); #111724=VECTOR('',#392109,10.); #111725=VECTOR('',#392110,10.); #111726=VECTOR('',#392111,10.); #111727=VECTOR('',#392114,10.); #111728=VECTOR('',#392115,10.); #111729=VECTOR('',#392116,10.); #111730=VECTOR('',#392119,10.); #111731=VECTOR('',#392120,10.); #111732=VECTOR('',#392121,10.); #111733=VECTOR('',#392124,10.); #111734=VECTOR('',#392125,10.); #111735=VECTOR('',#392126,10.); #111736=VECTOR('',#392129,10.); #111737=VECTOR('',#392130,10.); #111738=VECTOR('',#392131,10.); #111739=VECTOR('',#392134,10.); #111740=VECTOR('',#392135,10.); #111741=VECTOR('',#392136,10.); #111742=VECTOR('',#392139,10.); #111743=VECTOR('',#392140,10.); #111744=VECTOR('',#392141,10.); #111745=VECTOR('',#392144,10.); #111746=VECTOR('',#392145,10.); #111747=VECTOR('',#392146,10.); #111748=VECTOR('',#392149,10.); #111749=VECTOR('',#392150,10.); #111750=VECTOR('',#392151,10.); #111751=VECTOR('',#392154,10.); #111752=VECTOR('',#392155,10.); #111753=VECTOR('',#392156,10.); #111754=VECTOR('',#392159,10.); #111755=VECTOR('',#392160,10.); #111756=VECTOR('',#392161,10.); #111757=VECTOR('',#392164,10.); #111758=VECTOR('',#392165,10.); #111759=VECTOR('',#392166,10.); #111760=VECTOR('',#392169,10.); #111761=VECTOR('',#392170,10.); #111762=VECTOR('',#392171,10.); #111763=VECTOR('',#392174,10.); #111764=VECTOR('',#392175,10.); #111765=VECTOR('',#392176,10.); #111766=VECTOR('',#392179,10.); #111767=VECTOR('',#392180,10.); #111768=VECTOR('',#392181,10.); #111769=VECTOR('',#392184,10.); #111770=VECTOR('',#392185,10.); #111771=VECTOR('',#392186,10.); #111772=VECTOR('',#392189,10.); #111773=VECTOR('',#392190,10.); #111774=VECTOR('',#392191,10.); #111775=VECTOR('',#392194,10.); #111776=VECTOR('',#392195,10.); #111777=VECTOR('',#392196,10.); #111778=VECTOR('',#392199,10.); #111779=VECTOR('',#392200,10.); #111780=VECTOR('',#392201,10.); #111781=VECTOR('',#392204,10.); #111782=VECTOR('',#392205,10.); #111783=VECTOR('',#392206,10.); #111784=VECTOR('',#392209,10.); #111785=VECTOR('',#392210,10.); #111786=VECTOR('',#392211,10.); #111787=VECTOR('',#392214,10.); #111788=VECTOR('',#392215,10.); #111789=VECTOR('',#392216,10.); #111790=VECTOR('',#392219,10.); #111791=VECTOR('',#392220,10.); #111792=VECTOR('',#392221,10.); #111793=VECTOR('',#392224,10.); #111794=VECTOR('',#392225,10.); #111795=VECTOR('',#392226,10.); #111796=VECTOR('',#392229,10.); #111797=VECTOR('',#392230,10.); #111798=VECTOR('',#392231,10.); #111799=VECTOR('',#392234,10.); #111800=VECTOR('',#392235,10.); #111801=VECTOR('',#392236,10.); #111802=VECTOR('',#392239,10.); #111803=VECTOR('',#392240,10.); #111804=VECTOR('',#392241,10.); #111805=VECTOR('',#392244,10.); #111806=VECTOR('',#392245,10.); #111807=VECTOR('',#392246,10.); #111808=VECTOR('',#392249,10.); #111809=VECTOR('',#392250,10.); #111810=VECTOR('',#392251,10.); #111811=VECTOR('',#392254,10.); #111812=VECTOR('',#392255,10.); #111813=VECTOR('',#392256,10.); #111814=VECTOR('',#392259,10.); #111815=VECTOR('',#392260,10.); #111816=VECTOR('',#392261,10.); #111817=VECTOR('',#392264,10.); #111818=VECTOR('',#392265,10.); #111819=VECTOR('',#392266,10.); #111820=VECTOR('',#392269,10.); #111821=VECTOR('',#392270,10.); #111822=VECTOR('',#392271,10.); #111823=VECTOR('',#392274,10.); #111824=VECTOR('',#392275,10.); #111825=VECTOR('',#392276,10.); #111826=VECTOR('',#392279,10.); #111827=VECTOR('',#392280,10.); #111828=VECTOR('',#392281,10.); #111829=VECTOR('',#392284,10.); #111830=VECTOR('',#392285,10.); #111831=VECTOR('',#392286,10.); #111832=VECTOR('',#392289,10.); #111833=VECTOR('',#392290,10.); #111834=VECTOR('',#392291,10.); #111835=VECTOR('',#392294,10.); #111836=VECTOR('',#392295,10.); #111837=VECTOR('',#392296,10.); #111838=VECTOR('',#392299,10.); #111839=VECTOR('',#392300,10.); #111840=VECTOR('',#392301,10.); #111841=VECTOR('',#392304,10.); #111842=VECTOR('',#392305,10.); #111843=VECTOR('',#392306,10.); #111844=VECTOR('',#392309,10.); #111845=VECTOR('',#392310,10.); #111846=VECTOR('',#392311,10.); #111847=VECTOR('',#392314,10.); #111848=VECTOR('',#392315,10.); #111849=VECTOR('',#392316,10.); #111850=VECTOR('',#392319,10.); #111851=VECTOR('',#392320,10.); #111852=VECTOR('',#392321,10.); #111853=VECTOR('',#392324,10.); #111854=VECTOR('',#392325,10.); #111855=VECTOR('',#392326,10.); #111856=VECTOR('',#392329,10.); #111857=VECTOR('',#392330,10.); #111858=VECTOR('',#392331,10.); #111859=VECTOR('',#392334,10.); #111860=VECTOR('',#392335,10.); #111861=VECTOR('',#392336,10.); #111862=VECTOR('',#392339,10.); #111863=VECTOR('',#392340,10.); #111864=VECTOR('',#392341,10.); #111865=VECTOR('',#392348,10.); #111866=VECTOR('',#392351,10.); #111867=VECTOR('',#392352,10.); #111868=VECTOR('',#392353,10.); #111869=VECTOR('',#392360,10.); #111870=VECTOR('',#392363,10.); #111871=VECTOR('',#392364,10.); #111872=VECTOR('',#392365,10.); #111873=VECTOR('',#392380,0.139999999999998); #111874=VECTOR('',#392385,10.); #111875=VECTOR('',#392386,10.); #111876=VECTOR('',#392387,10.); #111877=VECTOR('',#392388,10.); #111878=VECTOR('',#392391,10.); #111879=VECTOR('',#392392,10.); #111880=VECTOR('',#392393,10.); #111881=VECTOR('',#392396,10.); #111882=VECTOR('',#392397,10.); #111883=VECTOR('',#392398,10.); #111884=VECTOR('',#392401,10.); #111885=VECTOR('',#392402,10.); #111886=VECTOR('',#392403,10.); #111887=VECTOR('',#392406,10.); #111888=VECTOR('',#392407,10.); #111889=VECTOR('',#392408,10.); #111890=VECTOR('',#392411,10.); #111891=VECTOR('',#392412,10.); #111892=VECTOR('',#392413,10.); #111893=VECTOR('',#392420,10.); #111894=VECTOR('',#392423,10.); #111895=VECTOR('',#392424,10.); #111896=VECTOR('',#392425,10.); #111897=VECTOR('',#392428,10.); #111898=VECTOR('',#392429,10.); #111899=VECTOR('',#392430,10.); #111900=VECTOR('',#392437,10.); #111901=VECTOR('',#392440,10.); #111902=VECTOR('',#392441,10.); #111903=VECTOR('',#392442,10.); #111904=VECTOR('',#392449,10.); #111905=VECTOR('',#392456,10.); #111906=VECTOR('',#392459,10.); #111907=VECTOR('',#392460,10.); #111908=VECTOR('',#392461,10.); #111909=VECTOR('',#392464,10.); #111910=VECTOR('',#392465,10.); #111911=VECTOR('',#392466,10.); #111912=VECTOR('',#392473,10.); #111913=VECTOR('',#392476,10.); #111914=VECTOR('',#392477,10.); #111915=VECTOR('',#392478,10.); #111916=VECTOR('',#392481,10.); #111917=VECTOR('',#392482,10.); #111918=VECTOR('',#392483,10.); #111919=VECTOR('',#392490,10.); #111920=VECTOR('',#392493,10.); #111921=VECTOR('',#392494,10.); #111922=VECTOR('',#392495,10.); #111923=VECTOR('',#392498,10.); #111924=VECTOR('',#392499,10.); #111925=VECTOR('',#392508,0.140000000000002); #111926=VECTOR('',#392515,0.140000000000002); #111927=VECTOR('',#392522,0.140000000000002); #111928=VECTOR('',#392529,0.140000000000002); #111929=VECTOR('',#392536,0.140000000000002); #111930=VECTOR('',#392543,0.140000000000002); #111931=VECTOR('',#392550,0.140000000000002); #111932=VECTOR('',#392557,0.140000000000002); #111933=VECTOR('',#392564,0.140000000000002); #111934=VECTOR('',#392571,0.140000000000002); #111935=VECTOR('',#392578,0.140000000000002); #111936=VECTOR('',#392585,0.140000000000002); #111937=VECTOR('',#392592,0.140000000000002); #111938=VECTOR('',#392599,0.140000000000002); #111939=VECTOR('',#392606,0.140000000000002); #111940=VECTOR('',#392613,0.140000000000002); #111941=VECTOR('',#392620,0.140000000000002); #111942=VECTOR('',#392627,0.140000000000002); #111943=VECTOR('',#392634,0.140000000000002); #111944=VECTOR('',#392641,0.140000000000002); #111945=VECTOR('',#392648,0.140000000000002); #111946=VECTOR('',#392655,0.140000000000002); #111947=VECTOR('',#392662,0.140000000000002); #111948=VECTOR('',#392669,0.140000000000002); #111949=VECTOR('',#392676,0.140000000000002); #111950=VECTOR('',#392683,0.140000000000002); #111951=VECTOR('',#392690,0.140000000000002); #111952=VECTOR('',#392697,0.140000000000002); #111953=VECTOR('',#392704,0.140000000000002); #111954=VECTOR('',#392711,0.140000000000002); #111955=VECTOR('',#392718,0.140000000000002); #111956=VECTOR('',#392723,10.); #111957=VECTOR('',#392724,10.); #111958=VECTOR('',#392725,10.); #111959=VECTOR('',#392726,10.); #111960=VECTOR('',#392733,10.); #111961=VECTOR('',#392736,10.); #111962=VECTOR('',#392737,10.); #111963=VECTOR('',#392738,10.); #111964=VECTOR('',#392745,10.); #111965=VECTOR('',#392748,10.); #111966=VECTOR('',#392749,10.); #111967=VECTOR('',#392750,10.); #111968=VECTOR('',#392757,10.); #111969=VECTOR('',#392760,10.); #111970=VECTOR('',#392761,10.); #111971=VECTOR('',#392762,10.); #111972=VECTOR('',#392765,10.); #111973=VECTOR('',#392766,10.); #111974=VECTOR('',#392767,10.); #111975=VECTOR('',#392770,10.); #111976=VECTOR('',#392771,10.); #111977=VECTOR('',#392772,10.); #111978=VECTOR('',#392775,10.); #111979=VECTOR('',#392776,10.); #111980=VECTOR('',#392777,10.); #111981=VECTOR('',#392780,10.); #111982=VECTOR('',#392781,10.); #111983=VECTOR('',#392782,10.); #111984=VECTOR('',#392785,10.); #111985=VECTOR('',#392786,10.); #111986=VECTOR('',#392787,10.); #111987=VECTOR('',#392790,10.); #111988=VECTOR('',#392791,10.); #111989=VECTOR('',#392792,10.); #111990=VECTOR('',#392795,10.); #111991=VECTOR('',#392796,10.); #111992=VECTOR('',#392797,10.); #111993=VECTOR('',#392800,10.); #111994=VECTOR('',#392801,10.); #111995=VECTOR('',#392802,10.); #111996=VECTOR('',#392805,10.); #111997=VECTOR('',#392806,10.); #111998=VECTOR('',#392807,10.); #111999=VECTOR('',#392810,10.); #112000=VECTOR('',#392811,10.); #112001=VECTOR('',#392812,10.); #112002=VECTOR('',#392815,10.); #112003=VECTOR('',#392816,10.); #112004=VECTOR('',#392817,10.); #112005=VECTOR('',#392820,10.); #112006=VECTOR('',#392821,10.); #112007=VECTOR('',#392822,10.); #112008=VECTOR('',#392825,10.); #112009=VECTOR('',#392826,10.); #112010=VECTOR('',#392827,10.); #112011=VECTOR('',#392830,10.); #112012=VECTOR('',#392831,10.); #112013=VECTOR('',#392832,10.); #112014=VECTOR('',#392835,10.); #112015=VECTOR('',#392836,10.); #112016=VECTOR('',#392837,10.); #112017=VECTOR('',#392840,10.); #112018=VECTOR('',#392841,10.); #112019=VECTOR('',#392842,10.); #112020=VECTOR('',#392845,10.); #112021=VECTOR('',#392846,10.); #112022=VECTOR('',#392847,10.); #112023=VECTOR('',#392850,10.); #112024=VECTOR('',#392851,10.); #112025=VECTOR('',#392852,10.); #112026=VECTOR('',#392855,10.); #112027=VECTOR('',#392856,10.); #112028=VECTOR('',#392857,10.); #112029=VECTOR('',#392860,10.); #112030=VECTOR('',#392861,10.); #112031=VECTOR('',#392862,10.); #112032=VECTOR('',#392865,10.); #112033=VECTOR('',#392866,10.); #112034=VECTOR('',#392867,10.); #112035=VECTOR('',#392870,10.); #112036=VECTOR('',#392871,10.); #112037=VECTOR('',#392872,10.); #112038=VECTOR('',#392875,10.); #112039=VECTOR('',#392876,10.); #112040=VECTOR('',#392877,10.); #112041=VECTOR('',#392880,10.); #112042=VECTOR('',#392881,10.); #112043=VECTOR('',#392882,10.); #112044=VECTOR('',#392885,10.); #112045=VECTOR('',#392886,10.); #112046=VECTOR('',#392887,10.); #112047=VECTOR('',#392890,10.); #112048=VECTOR('',#392891,10.); #112049=VECTOR('',#392892,10.); #112050=VECTOR('',#392895,10.); #112051=VECTOR('',#392896,10.); #112052=VECTOR('',#392897,10.); #112053=VECTOR('',#392900,10.); #112054=VECTOR('',#392901,10.); #112055=VECTOR('',#392902,10.); #112056=VECTOR('',#392905,10.); #112057=VECTOR('',#392906,10.); #112058=VECTOR('',#392907,10.); #112059=VECTOR('',#392910,10.); #112060=VECTOR('',#392911,10.); #112061=VECTOR('',#392912,10.); #112062=VECTOR('',#392915,10.); #112063=VECTOR('',#392916,10.); #112064=VECTOR('',#392917,10.); #112065=VECTOR('',#392920,10.); #112066=VECTOR('',#392921,10.); #112067=VECTOR('',#392922,10.); #112068=VECTOR('',#392925,10.); #112069=VECTOR('',#392926,10.); #112070=VECTOR('',#392927,10.); #112071=VECTOR('',#392930,10.); #112072=VECTOR('',#392931,10.); #112073=VECTOR('',#392932,10.); #112074=VECTOR('',#392935,10.); #112075=VECTOR('',#392936,10.); #112076=VECTOR('',#392937,10.); #112077=VECTOR('',#392940,10.); #112078=VECTOR('',#392941,10.); #112079=VECTOR('',#392942,10.); #112080=VECTOR('',#392945,10.); #112081=VECTOR('',#392946,10.); #112082=VECTOR('',#392947,10.); #112083=VECTOR('',#392950,10.); #112084=VECTOR('',#392951,10.); #112085=VECTOR('',#392952,10.); #112086=VECTOR('',#392955,10.); #112087=VECTOR('',#392956,10.); #112088=VECTOR('',#392957,10.); #112089=VECTOR('',#392960,10.); #112090=VECTOR('',#392961,10.); #112091=VECTOR('',#392962,10.); #112092=VECTOR('',#392965,10.); #112093=VECTOR('',#392966,10.); #112094=VECTOR('',#392967,10.); #112095=VECTOR('',#392970,10.); #112096=VECTOR('',#392971,10.); #112097=VECTOR('',#392972,10.); #112098=VECTOR('',#392975,10.); #112099=VECTOR('',#392976,10.); #112100=VECTOR('',#392977,10.); #112101=VECTOR('',#392980,10.); #112102=VECTOR('',#392981,10.); #112103=VECTOR('',#392982,10.); #112104=VECTOR('',#392985,10.); #112105=VECTOR('',#392986,10.); #112106=VECTOR('',#392987,10.); #112107=VECTOR('',#392990,10.); #112108=VECTOR('',#392991,10.); #112109=VECTOR('',#392992,10.); #112110=VECTOR('',#392995,10.); #112111=VECTOR('',#392996,10.); #112112=VECTOR('',#392997,10.); #112113=VECTOR('',#393000,10.); #112114=VECTOR('',#393001,10.); #112115=VECTOR('',#393002,10.); #112116=VECTOR('',#393005,10.); #112117=VECTOR('',#393006,10.); #112118=VECTOR('',#393007,10.); #112119=VECTOR('',#393010,10.); #112120=VECTOR('',#393011,10.); #112121=VECTOR('',#393012,10.); #112122=VECTOR('',#393015,10.); #112123=VECTOR('',#393016,10.); #112124=VECTOR('',#393017,10.); #112125=VECTOR('',#393020,10.); #112126=VECTOR('',#393021,10.); #112127=VECTOR('',#393022,10.); #112128=VECTOR('',#393025,10.); #112129=VECTOR('',#393026,10.); #112130=VECTOR('',#393027,10.); #112131=VECTOR('',#393030,10.); #112132=VECTOR('',#393031,10.); #112133=VECTOR('',#393032,10.); #112134=VECTOR('',#393035,10.); #112135=VECTOR('',#393036,10.); #112136=VECTOR('',#393037,10.); #112137=VECTOR('',#393040,10.); #112138=VECTOR('',#393041,10.); #112139=VECTOR('',#393042,10.); #112140=VECTOR('',#393045,10.); #112141=VECTOR('',#393046,10.); #112142=VECTOR('',#393047,10.); #112143=VECTOR('',#393050,10.); #112144=VECTOR('',#393051,10.); #112145=VECTOR('',#393052,10.); #112146=VECTOR('',#393055,10.); #112147=VECTOR('',#393056,10.); #112148=VECTOR('',#393057,10.); #112149=VECTOR('',#393060,10.); #112150=VECTOR('',#393061,10.); #112151=VECTOR('',#393062,10.); #112152=VECTOR('',#393065,10.); #112153=VECTOR('',#393066,10.); #112154=VECTOR('',#393067,10.); #112155=VECTOR('',#393070,10.); #112156=VECTOR('',#393071,10.); #112157=VECTOR('',#393072,10.); #112158=VECTOR('',#393075,10.); #112159=VECTOR('',#393076,10.); #112160=VECTOR('',#393077,10.); #112161=VECTOR('',#393080,10.); #112162=VECTOR('',#393081,10.); #112163=VECTOR('',#393082,10.); #112164=VECTOR('',#393085,10.); #112165=VECTOR('',#393086,10.); #112166=VECTOR('',#393087,10.); #112167=VECTOR('',#393090,10.); #112168=VECTOR('',#393091,10.); #112169=VECTOR('',#393092,10.); #112170=VECTOR('',#393099,10.); #112171=VECTOR('',#393102,10.); #112172=VECTOR('',#393103,10.); #112173=VECTOR('',#393104,10.); #112174=VECTOR('',#393111,10.); #112175=VECTOR('',#393114,10.); #112176=VECTOR('',#393115,10.); #112177=VECTOR('',#393116,10.); #112178=VECTOR('',#393119,10.); #112179=VECTOR('',#393120,10.); #112180=VECTOR('',#393121,10.); #112181=VECTOR('',#393124,10.); #112182=VECTOR('',#393125,10.); #112183=VECTOR('',#393126,10.); #112184=VECTOR('',#393141,0.140000000000002); #112185=VECTOR('',#393146,10.); #112186=VECTOR('',#393147,10.); #112187=VECTOR('',#393148,10.); #112188=VECTOR('',#393149,10.); #112189=VECTOR('',#393152,10.); #112190=VECTOR('',#393153,10.); #112191=VECTOR('',#393154,10.); #112192=VECTOR('',#393157,10.); #112193=VECTOR('',#393158,10.); #112194=VECTOR('',#393159,10.); #112195=VECTOR('',#393162,10.); #112196=VECTOR('',#393163,10.); #112197=VECTOR('',#393164,10.); #112198=VECTOR('',#393167,10.); #112199=VECTOR('',#393168,10.); #112200=VECTOR('',#393169,10.); #112201=VECTOR('',#393176,10.); #112202=VECTOR('',#393179,10.); #112203=VECTOR('',#393180,10.); #112204=VECTOR('',#393181,10.); #112205=VECTOR('',#393184,10.); #112206=VECTOR('',#393185,10.); #112207=VECTOR('',#393186,10.); #112208=VECTOR('',#393193,10.); #112209=VECTOR('',#393196,10.); #112210=VECTOR('',#393197,10.); #112211=VECTOR('',#393198,10.); #112212=VECTOR('',#393201,10.); #112213=VECTOR('',#393202,10.); #112214=VECTOR('',#393203,10.); #112215=VECTOR('',#393210,10.); #112216=VECTOR('',#393217,10.); #112217=VECTOR('',#393220,10.); #112218=VECTOR('',#393221,10.); #112219=VECTOR('',#393222,10.); #112220=VECTOR('',#393229,10.); #112221=VECTOR('',#393232,10.); #112222=VECTOR('',#393233,10.); #112223=VECTOR('',#393234,10.); #112224=VECTOR('',#393237,10.); #112225=VECTOR('',#393238,10.); #112226=VECTOR('',#393239,10.); #112227=VECTOR('',#393246,10.); #112228=VECTOR('',#393249,10.); #112229=VECTOR('',#393250,10.); #112230=VECTOR('',#393251,10.); #112231=VECTOR('',#393254,10.); #112232=VECTOR('',#393255,10.); #112233=VECTOR('',#393256,10.); #112234=VECTOR('',#393259,10.); #112235=VECTOR('',#393260,10.); #112236=VECTOR('',#393269,0.139999999999998); #112237=VECTOR('',#393276,0.139999999999998); #112238=VECTOR('',#393283,0.139999999999998); #112239=VECTOR('',#393290,0.139999999999998); #112240=VECTOR('',#393297,0.114999999999998); #112241=VECTOR('',#393304,0.114999999999998); #112242=VECTOR('',#393311,0.114999999999998); #112243=VECTOR('',#393318,0.114999999999998); #112244=VECTOR('',#393325,0.139999999999998); #112245=VECTOR('',#393332,0.139999999999998); #112246=VECTOR('',#393339,0.139999999999998); #112247=VECTOR('',#393346,0.139999999999998); #112248=VECTOR('',#393353,0.139999999999998); #112249=VECTOR('',#393360,0.139999999999998); #112250=VECTOR('',#393367,0.139999999999998); #112251=VECTOR('',#393374,0.139999999999998); #112252=VECTOR('',#393379,10.); #112253=VECTOR('',#393380,10.); #112254=VECTOR('',#393381,10.); #112255=VECTOR('',#393382,10.); #112256=VECTOR('',#393385,10.); #112257=VECTOR('',#393386,10.); #112258=VECTOR('',#393387,10.); #112259=VECTOR('',#393390,10.); #112260=VECTOR('',#393391,10.); #112261=VECTOR('',#393392,10.); #112262=VECTOR('',#393395,10.); #112263=VECTOR('',#393396,10.); #112264=VECTOR('',#393397,10.); #112265=VECTOR('',#393400,10.); #112266=VECTOR('',#393401,10.); #112267=VECTOR('',#393402,10.); #112268=VECTOR('',#393405,10.); #112269=VECTOR('',#393406,10.); #112270=VECTOR('',#393407,10.); #112271=VECTOR('',#393410,10.); #112272=VECTOR('',#393411,10.); #112273=VECTOR('',#393412,10.); #112274=VECTOR('',#393415,10.); #112275=VECTOR('',#393416,10.); #112276=VECTOR('',#393417,10.); #112277=VECTOR('',#393420,10.); #112278=VECTOR('',#393421,10.); #112279=VECTOR('',#393422,10.); #112280=VECTOR('',#393425,10.); #112281=VECTOR('',#393426,10.); #112282=VECTOR('',#393427,10.); #112283=VECTOR('',#393430,10.); #112284=VECTOR('',#393431,10.); #112285=VECTOR('',#393432,10.); #112286=VECTOR('',#393435,10.); #112287=VECTOR('',#393436,10.); #112288=VECTOR('',#393437,10.); #112289=VECTOR('',#393440,10.); #112290=VECTOR('',#393441,10.); #112291=VECTOR('',#393442,10.); #112292=VECTOR('',#393445,10.); #112293=VECTOR('',#393446,10.); #112294=VECTOR('',#393447,10.); #112295=VECTOR('',#393450,10.); #112296=VECTOR('',#393451,10.); #112297=VECTOR('',#393452,10.); #112298=VECTOR('',#393455,10.); #112299=VECTOR('',#393456,10.); #112300=VECTOR('',#393457,10.); #112301=VECTOR('',#393460,10.); #112302=VECTOR('',#393461,10.); #112303=VECTOR('',#393462,10.); #112304=VECTOR('',#393465,10.); #112305=VECTOR('',#393466,10.); #112306=VECTOR('',#393467,10.); #112307=VECTOR('',#393470,10.); #112308=VECTOR('',#393471,10.); #112309=VECTOR('',#393472,10.); #112310=VECTOR('',#393475,10.); #112311=VECTOR('',#393476,10.); #112312=VECTOR('',#393477,10.); #112313=VECTOR('',#393480,10.); #112314=VECTOR('',#393481,10.); #112315=VECTOR('',#393482,10.); #112316=VECTOR('',#393485,10.); #112317=VECTOR('',#393486,10.); #112318=VECTOR('',#393487,10.); #112319=VECTOR('',#393490,10.); #112320=VECTOR('',#393491,10.); #112321=VECTOR('',#393492,10.); #112322=VECTOR('',#393495,10.); #112323=VECTOR('',#393496,10.); #112324=VECTOR('',#393499,10.); #112325=VECTOR('',#393500,10.); #112326=VECTOR('',#393501,10.); #112327=VECTOR('',#393502,10.); #112328=VECTOR('',#393505,10.); #112329=VECTOR('',#393506,10.); #112330=VECTOR('',#393507,10.); #112331=VECTOR('',#393510,10.); #112332=VECTOR('',#393511,10.); #112333=VECTOR('',#393512,10.); #112334=VECTOR('',#393515,10.); #112335=VECTOR('',#393516,10.); #112336=VECTOR('',#393517,10.); #112337=VECTOR('',#393520,10.); #112338=VECTOR('',#393521,10.); #112339=VECTOR('',#393522,10.); #112340=VECTOR('',#393525,10.); #112341=VECTOR('',#393526,10.); #112342=VECTOR('',#393527,10.); #112343=VECTOR('',#393530,10.); #112344=VECTOR('',#393531,10.); #112345=VECTOR('',#393532,10.); #112346=VECTOR('',#393535,10.); #112347=VECTOR('',#393536,10.); #112348=VECTOR('',#393537,10.); #112349=VECTOR('',#393540,10.); #112350=VECTOR('',#393541,10.); #112351=VECTOR('',#393542,10.); #112352=VECTOR('',#393545,10.); #112353=VECTOR('',#393546,10.); #112354=VECTOR('',#393547,10.); #112355=VECTOR('',#393550,10.); #112356=VECTOR('',#393551,10.); #112357=VECTOR('',#393552,10.); #112358=VECTOR('',#393555,10.); #112359=VECTOR('',#393556,10.); #112360=VECTOR('',#393557,10.); #112361=VECTOR('',#393560,10.); #112362=VECTOR('',#393561,10.); #112363=VECTOR('',#393562,10.); #112364=VECTOR('',#393565,10.); #112365=VECTOR('',#393566,10.); #112366=VECTOR('',#393567,10.); #112367=VECTOR('',#393570,10.); #112368=VECTOR('',#393571,10.); #112369=VECTOR('',#393572,10.); #112370=VECTOR('',#393575,10.); #112371=VECTOR('',#393576,10.); #112372=VECTOR('',#393577,10.); #112373=VECTOR('',#393580,10.); #112374=VECTOR('',#393581,10.); #112375=VECTOR('',#393582,10.); #112376=VECTOR('',#393585,10.); #112377=VECTOR('',#393586,10.); #112378=VECTOR('',#393587,10.); #112379=VECTOR('',#393590,10.); #112380=VECTOR('',#393591,10.); #112381=VECTOR('',#393592,10.); #112382=VECTOR('',#393595,10.); #112383=VECTOR('',#393596,10.); #112384=VECTOR('',#393597,10.); #112385=VECTOR('',#393600,10.); #112386=VECTOR('',#393601,10.); #112387=VECTOR('',#393602,10.); #112388=VECTOR('',#393605,10.); #112389=VECTOR('',#393606,10.); #112390=VECTOR('',#393607,10.); #112391=VECTOR('',#393610,10.); #112392=VECTOR('',#393611,10.); #112393=VECTOR('',#393612,10.); #112394=VECTOR('',#393615,10.); #112395=VECTOR('',#393616,10.); #112396=VECTOR('',#393617,10.); #112397=VECTOR('',#393620,10.); #112398=VECTOR('',#393621,10.); #112399=VECTOR('',#393622,10.); #112400=VECTOR('',#393625,10.); #112401=VECTOR('',#393626,10.); #112402=VECTOR('',#393627,10.); #112403=VECTOR('',#393630,10.); #112404=VECTOR('',#393631,10.); #112405=VECTOR('',#393632,10.); #112406=VECTOR('',#393635,10.); #112407=VECTOR('',#393636,10.); #112408=VECTOR('',#393637,10.); #112409=VECTOR('',#393640,10.); #112410=VECTOR('',#393641,10.); #112411=VECTOR('',#393642,10.); #112412=VECTOR('',#393645,10.); #112413=VECTOR('',#393646,10.); #112414=VECTOR('',#393647,10.); #112415=VECTOR('',#393650,10.); #112416=VECTOR('',#393651,10.); #112417=VECTOR('',#393652,10.); #112418=VECTOR('',#393655,10.); #112419=VECTOR('',#393656,10.); #112420=VECTOR('',#393657,10.); #112421=VECTOR('',#393660,10.); #112422=VECTOR('',#393661,10.); #112423=VECTOR('',#393662,10.); #112424=VECTOR('',#393665,10.); #112425=VECTOR('',#393666,10.); #112426=VECTOR('',#393667,10.); #112427=VECTOR('',#393670,10.); #112428=VECTOR('',#393671,10.); #112429=VECTOR('',#393672,10.); #112430=VECTOR('',#393675,10.); #112431=VECTOR('',#393676,10.); #112432=VECTOR('',#393677,10.); #112433=VECTOR('',#393680,10.); #112434=VECTOR('',#393681,10.); #112435=VECTOR('',#393682,10.); #112436=VECTOR('',#393685,10.); #112437=VECTOR('',#393686,10.); #112438=VECTOR('',#393687,10.); #112439=VECTOR('',#393690,10.); #112440=VECTOR('',#393691,10.); #112441=VECTOR('',#393692,10.); #112442=VECTOR('',#393695,10.); #112443=VECTOR('',#393696,10.); #112444=VECTOR('',#393697,10.); #112445=VECTOR('',#393700,10.); #112446=VECTOR('',#393701,10.); #112447=VECTOR('',#393702,10.); #112448=VECTOR('',#393705,10.); #112449=VECTOR('',#393706,10.); #112450=VECTOR('',#393707,10.); #112451=VECTOR('',#393710,10.); #112452=VECTOR('',#393711,10.); #112453=VECTOR('',#393712,10.); #112454=VECTOR('',#393715,10.); #112455=VECTOR('',#393716,10.); #112456=VECTOR('',#393717,10.); #112457=VECTOR('',#393720,10.); #112458=VECTOR('',#393721,10.); #112459=VECTOR('',#393722,10.); #112460=VECTOR('',#393725,10.); #112461=VECTOR('',#393726,10.); #112462=VECTOR('',#393727,10.); #112463=VECTOR('',#393730,10.); #112464=VECTOR('',#393731,10.); #112465=VECTOR('',#393732,10.); #112466=VECTOR('',#393735,10.); #112467=VECTOR('',#393736,10.); #112468=VECTOR('',#393737,10.); #112469=VECTOR('',#393740,10.); #112470=VECTOR('',#393741,10.); #112471=VECTOR('',#393742,10.); #112472=VECTOR('',#393745,10.); #112473=VECTOR('',#393746,10.); #112474=VECTOR('',#393747,10.); #112475=VECTOR('',#393750,10.); #112476=VECTOR('',#393751,10.); #112477=VECTOR('',#393752,10.); #112478=VECTOR('',#393755,10.); #112479=VECTOR('',#393756,10.); #112480=VECTOR('',#393757,10.); #112481=VECTOR('',#393760,10.); #112482=VECTOR('',#393761,10.); #112483=VECTOR('',#393762,10.); #112484=VECTOR('',#393765,10.); #112485=VECTOR('',#393766,10.); #112486=VECTOR('',#393767,10.); #112487=VECTOR('',#393770,10.); #112488=VECTOR('',#393771,10.); #112489=VECTOR('',#393772,10.); #112490=VECTOR('',#393775,10.); #112491=VECTOR('',#393776,10.); #112492=VECTOR('',#393777,10.); #112493=VECTOR('',#393780,10.); #112494=VECTOR('',#393781,10.); #112495=VECTOR('',#393782,10.); #112496=VECTOR('',#393785,10.); #112497=VECTOR('',#393786,10.); #112498=VECTOR('',#393787,10.); #112499=VECTOR('',#393790,10.); #112500=VECTOR('',#393791,10.); #112501=VECTOR('',#393792,10.); #112502=VECTOR('',#393795,10.); #112503=VECTOR('',#393796,10.); #112504=VECTOR('',#393797,10.); #112505=VECTOR('',#393800,10.); #112506=VECTOR('',#393801,10.); #112507=VECTOR('',#393802,10.); #112508=VECTOR('',#393805,10.); #112509=VECTOR('',#393806,10.); #112510=VECTOR('',#393807,10.); #112511=VECTOR('',#393810,10.); #112512=VECTOR('',#393811,10.); #112513=VECTOR('',#393812,10.); #112514=VECTOR('',#393815,10.); #112515=VECTOR('',#393816,10.); #112516=VECTOR('',#393817,10.); #112517=VECTOR('',#393820,10.); #112518=VECTOR('',#393821,10.); #112519=VECTOR('',#393822,10.); #112520=VECTOR('',#393825,10.); #112521=VECTOR('',#393826,10.); #112522=VECTOR('',#393827,10.); #112523=VECTOR('',#393830,10.); #112524=VECTOR('',#393831,10.); #112525=VECTOR('',#393832,10.); #112526=VECTOR('',#393835,10.); #112527=VECTOR('',#393836,10.); #112528=VECTOR('',#393837,10.); #112529=VECTOR('',#393840,10.); #112530=VECTOR('',#393841,10.); #112531=VECTOR('',#393842,10.); #112532=VECTOR('',#393845,10.); #112533=VECTOR('',#393846,10.); #112534=VECTOR('',#393847,10.); #112535=VECTOR('',#393850,10.); #112536=VECTOR('',#393851,10.); #112537=VECTOR('',#393852,10.); #112538=VECTOR('',#393855,10.); #112539=VECTOR('',#393856,10.); #112540=VECTOR('',#393857,10.); #112541=VECTOR('',#393860,10.); #112542=VECTOR('',#393861,10.); #112543=VECTOR('',#393862,10.); #112544=VECTOR('',#393865,10.); #112545=VECTOR('',#393866,10.); #112546=VECTOR('',#393867,10.); #112547=VECTOR('',#393870,10.); #112548=VECTOR('',#393871,10.); #112549=VECTOR('',#393872,10.); #112550=VECTOR('',#393875,10.); #112551=VECTOR('',#393876,10.); #112552=VECTOR('',#393877,10.); #112553=VECTOR('',#393880,10.); #112554=VECTOR('',#393881,10.); #112555=VECTOR('',#393882,10.); #112556=VECTOR('',#393885,10.); #112557=VECTOR('',#393886,10.); #112558=VECTOR('',#393887,10.); #112559=VECTOR('',#393890,10.); #112560=VECTOR('',#393891,10.); #112561=VECTOR('',#393892,10.); #112562=VECTOR('',#393895,10.); #112563=VECTOR('',#393896,10.); #112564=VECTOR('',#393897,10.); #112565=VECTOR('',#393900,10.); #112566=VECTOR('',#393901,10.); #112567=VECTOR('',#393902,10.); #112568=VECTOR('',#393905,10.); #112569=VECTOR('',#393906,10.); #112570=VECTOR('',#393907,10.); #112571=VECTOR('',#393910,10.); #112572=VECTOR('',#393911,10.); #112573=VECTOR('',#393912,10.); #112574=VECTOR('',#393915,10.); #112575=VECTOR('',#393916,10.); #112576=VECTOR('',#393917,10.); #112577=VECTOR('',#393920,10.); #112578=VECTOR('',#393921,10.); #112579=VECTOR('',#393922,10.); #112580=VECTOR('',#393925,10.); #112581=VECTOR('',#393926,10.); #112582=VECTOR('',#393927,10.); #112583=VECTOR('',#393930,10.); #112584=VECTOR('',#393931,10.); #112585=VECTOR('',#393932,10.); #112586=VECTOR('',#393935,10.); #112587=VECTOR('',#393936,10.); #112588=VECTOR('',#393937,10.); #112589=VECTOR('',#393940,10.); #112590=VECTOR('',#393941,10.); #112591=VECTOR('',#393942,10.); #112592=VECTOR('',#393945,10.); #112593=VECTOR('',#393946,10.); #112594=VECTOR('',#393947,10.); #112595=VECTOR('',#393950,10.); #112596=VECTOR('',#393951,10.); #112597=VECTOR('',#393952,10.); #112598=VECTOR('',#393955,10.); #112599=VECTOR('',#393956,10.); #112600=VECTOR('',#393957,10.); #112601=VECTOR('',#393960,10.); #112602=VECTOR('',#393961,10.); #112603=VECTOR('',#393962,10.); #112604=VECTOR('',#393965,10.); #112605=VECTOR('',#393966,10.); #112606=VECTOR('',#393967,10.); #112607=VECTOR('',#393970,10.); #112608=VECTOR('',#393971,10.); #112609=VECTOR('',#393972,10.); #112610=VECTOR('',#393975,10.); #112611=VECTOR('',#393976,10.); #112612=VECTOR('',#393977,10.); #112613=VECTOR('',#393980,10.); #112614=VECTOR('',#393981,10.); #112615=VECTOR('',#393982,10.); #112616=VECTOR('',#393985,10.); #112617=VECTOR('',#393986,10.); #112618=VECTOR('',#393987,10.); #112619=VECTOR('',#393990,10.); #112620=VECTOR('',#393991,10.); #112621=VECTOR('',#393992,10.); #112622=VECTOR('',#393995,10.); #112623=VECTOR('',#393996,10.); #112624=VECTOR('',#393997,10.); #112625=VECTOR('',#394000,10.); #112626=VECTOR('',#394001,10.); #112627=VECTOR('',#394002,10.); #112628=VECTOR('',#394005,10.); #112629=VECTOR('',#394006,10.); #112630=VECTOR('',#394007,10.); #112631=VECTOR('',#394010,10.); #112632=VECTOR('',#394011,10.); #112633=VECTOR('',#394012,10.); #112634=VECTOR('',#394015,10.); #112635=VECTOR('',#394016,10.); #112636=VECTOR('',#394017,10.); #112637=VECTOR('',#394020,10.); #112638=VECTOR('',#394021,10.); #112639=VECTOR('',#394022,10.); #112640=VECTOR('',#394025,10.); #112641=VECTOR('',#394026,10.); #112642=VECTOR('',#394027,10.); #112643=VECTOR('',#394030,10.); #112644=VECTOR('',#394031,10.); #112645=VECTOR('',#394032,10.); #112646=VECTOR('',#394035,10.); #112647=VECTOR('',#394036,10.); #112648=VECTOR('',#394037,10.); #112649=VECTOR('',#394040,10.); #112650=VECTOR('',#394041,10.); #112651=VECTOR('',#394042,10.); #112652=VECTOR('',#394045,10.); #112653=VECTOR('',#394046,10.); #112654=VECTOR('',#394047,10.); #112655=VECTOR('',#394050,10.); #112656=VECTOR('',#394051,10.); #112657=VECTOR('',#394052,10.); #112658=VECTOR('',#394055,10.); #112659=VECTOR('',#394056,10.); #112660=VECTOR('',#394057,10.); #112661=VECTOR('',#394060,10.); #112662=VECTOR('',#394061,10.); #112663=VECTOR('',#394062,10.); #112664=VECTOR('',#394065,10.); #112665=VECTOR('',#394066,10.); #112666=VECTOR('',#394067,10.); #112667=VECTOR('',#394070,10.); #112668=VECTOR('',#394071,10.); #112669=VECTOR('',#394072,10.); #112670=VECTOR('',#394075,10.); #112671=VECTOR('',#394076,10.); #112672=VECTOR('',#394077,10.); #112673=VECTOR('',#394080,10.); #112674=VECTOR('',#394081,10.); #112675=VECTOR('',#394082,10.); #112676=VECTOR('',#394085,10.); #112677=VECTOR('',#394086,10.); #112678=VECTOR('',#394087,10.); #112679=VECTOR('',#394090,10.); #112680=VECTOR('',#394091,10.); #112681=VECTOR('',#394092,10.); #112682=VECTOR('',#394095,10.); #112683=VECTOR('',#394096,10.); #112684=VECTOR('',#394097,10.); #112685=VECTOR('',#394100,10.); #112686=VECTOR('',#394101,10.); #112687=VECTOR('',#394102,10.); #112688=VECTOR('',#394105,10.); #112689=VECTOR('',#394106,10.); #112690=VECTOR('',#394107,10.); #112691=VECTOR('',#394110,10.); #112692=VECTOR('',#394111,10.); #112693=VECTOR('',#394112,10.); #112694=VECTOR('',#394115,10.); #112695=VECTOR('',#394116,10.); #112696=VECTOR('',#394117,10.); #112697=VECTOR('',#394120,10.); #112698=VECTOR('',#394121,10.); #112699=VECTOR('',#394122,10.); #112700=VECTOR('',#394125,10.); #112701=VECTOR('',#394126,10.); #112702=VECTOR('',#394127,10.); #112703=VECTOR('',#394130,10.); #112704=VECTOR('',#394131,10.); #112705=VECTOR('',#394132,10.); #112706=VECTOR('',#394135,10.); #112707=VECTOR('',#394136,10.); #112708=VECTOR('',#394137,10.); #112709=VECTOR('',#394140,10.); #112710=VECTOR('',#394141,10.); #112711=VECTOR('',#394142,10.); #112712=VECTOR('',#394145,10.); #112713=VECTOR('',#394146,10.); #112714=VECTOR('',#394147,10.); #112715=VECTOR('',#394150,10.); #112716=VECTOR('',#394151,10.); #112717=VECTOR('',#394152,10.); #112718=VECTOR('',#394155,10.); #112719=VECTOR('',#394156,10.); #112720=VECTOR('',#394157,10.); #112721=VECTOR('',#394160,10.); #112722=VECTOR('',#394161,10.); #112723=VECTOR('',#394162,10.); #112724=VECTOR('',#394165,10.); #112725=VECTOR('',#394166,10.); #112726=VECTOR('',#394167,10.); #112727=VECTOR('',#394170,10.); #112728=VECTOR('',#394171,10.); #112729=VECTOR('',#394172,10.); #112730=VECTOR('',#394175,10.); #112731=VECTOR('',#394176,10.); #112732=VECTOR('',#394177,10.); #112733=VECTOR('',#394180,10.); #112734=VECTOR('',#394181,10.); #112735=VECTOR('',#394182,10.); #112736=VECTOR('',#394185,10.); #112737=VECTOR('',#394186,10.); #112738=VECTOR('',#394187,10.); #112739=VECTOR('',#394190,10.); #112740=VECTOR('',#394191,10.); #112741=VECTOR('',#394192,10.); #112742=VECTOR('',#394195,10.); #112743=VECTOR('',#394196,10.); #112744=VECTOR('',#394197,10.); #112745=VECTOR('',#394200,10.); #112746=VECTOR('',#394201,10.); #112747=VECTOR('',#394202,10.); #112748=VECTOR('',#394205,10.); #112749=VECTOR('',#394206,10.); #112750=VECTOR('',#394207,10.); #112751=VECTOR('',#394210,10.); #112752=VECTOR('',#394211,10.); #112753=VECTOR('',#394212,10.); #112754=VECTOR('',#394215,10.); #112755=VECTOR('',#394216,10.); #112756=VECTOR('',#394217,10.); #112757=VECTOR('',#394220,10.); #112758=VECTOR('',#394221,10.); #112759=VECTOR('',#394222,10.); #112760=VECTOR('',#394225,10.); #112761=VECTOR('',#394226,10.); #112762=VECTOR('',#394227,10.); #112763=VECTOR('',#394230,10.); #112764=VECTOR('',#394231,10.); #112765=VECTOR('',#394232,10.); #112766=VECTOR('',#394235,10.); #112767=VECTOR('',#394236,10.); #112768=VECTOR('',#394237,10.); #112769=VECTOR('',#394240,10.); #112770=VECTOR('',#394241,10.); #112771=VECTOR('',#394242,10.); #112772=VECTOR('',#394245,10.); #112773=VECTOR('',#394246,10.); #112774=VECTOR('',#394247,10.); #112775=VECTOR('',#394250,10.); #112776=VECTOR('',#394251,10.); #112777=VECTOR('',#394252,10.); #112778=VECTOR('',#394255,10.); #112779=VECTOR('',#394256,10.); #112780=VECTOR('',#394257,10.); #112781=VECTOR('',#394260,10.); #112782=VECTOR('',#394261,10.); #112783=VECTOR('',#394262,10.); #112784=VECTOR('',#394265,10.); #112785=VECTOR('',#394266,10.); #112786=VECTOR('',#394267,10.); #112787=VECTOR('',#394270,10.); #112788=VECTOR('',#394271,10.); #112789=VECTOR('',#394272,10.); #112790=VECTOR('',#394275,10.); #112791=VECTOR('',#394276,10.); #112792=VECTOR('',#394277,10.); #112793=VECTOR('',#394280,10.); #112794=VECTOR('',#394281,10.); #112795=VECTOR('',#394282,10.); #112796=VECTOR('',#394285,10.); #112797=VECTOR('',#394286,10.); #112798=VECTOR('',#394287,10.); #112799=VECTOR('',#394290,10.); #112800=VECTOR('',#394291,10.); #112801=VECTOR('',#394292,10.); #112802=VECTOR('',#394295,10.); #112803=VECTOR('',#394296,10.); #112804=VECTOR('',#394297,10.); #112805=VECTOR('',#394300,10.); #112806=VECTOR('',#394301,10.); #112807=VECTOR('',#394302,10.); #112808=VECTOR('',#394305,10.); #112809=VECTOR('',#394306,10.); #112810=VECTOR('',#394307,10.); #112811=VECTOR('',#394310,10.); #112812=VECTOR('',#394311,10.); #112813=VECTOR('',#394312,10.); #112814=VECTOR('',#394315,10.); #112815=VECTOR('',#394316,10.); #112816=VECTOR('',#394317,10.); #112817=VECTOR('',#394320,10.); #112818=VECTOR('',#394321,10.); #112819=VECTOR('',#394322,10.); #112820=VECTOR('',#394325,10.); #112821=VECTOR('',#394326,10.); #112822=VECTOR('',#394327,10.); #112823=VECTOR('',#394330,10.); #112824=VECTOR('',#394331,10.); #112825=VECTOR('',#394332,10.); #112826=VECTOR('',#394335,10.); #112827=VECTOR('',#394336,10.); #112828=VECTOR('',#394337,10.); #112829=VECTOR('',#394340,10.); #112830=VECTOR('',#394341,10.); #112831=VECTOR('',#394342,10.); #112832=VECTOR('',#394345,10.); #112833=VECTOR('',#394346,10.); #112834=VECTOR('',#394347,10.); #112835=VECTOR('',#394350,10.); #112836=VECTOR('',#394351,10.); #112837=VECTOR('',#394352,10.); #112838=VECTOR('',#394355,10.); #112839=VECTOR('',#394356,10.); #112840=VECTOR('',#394357,10.); #112841=VECTOR('',#394360,10.); #112842=VECTOR('',#394361,10.); #112843=VECTOR('',#394362,10.); #112844=VECTOR('',#394365,10.); #112845=VECTOR('',#394366,10.); #112846=VECTOR('',#394367,10.); #112847=VECTOR('',#394370,10.); #112848=VECTOR('',#394371,10.); #112849=VECTOR('',#394372,10.); #112850=VECTOR('',#394375,10.); #112851=VECTOR('',#394376,10.); #112852=VECTOR('',#394377,10.); #112853=VECTOR('',#394380,10.); #112854=VECTOR('',#394381,10.); #112855=VECTOR('',#394382,10.); #112856=VECTOR('',#394385,10.); #112857=VECTOR('',#394386,10.); #112858=VECTOR('',#394387,10.); #112859=VECTOR('',#394390,10.); #112860=VECTOR('',#394391,10.); #112861=VECTOR('',#394392,10.); #112862=VECTOR('',#394395,10.); #112863=VECTOR('',#394396,10.); #112864=VECTOR('',#394397,10.); #112865=VECTOR('',#394400,10.); #112866=VECTOR('',#394401,10.); #112867=VECTOR('',#394402,10.); #112868=VECTOR('',#394405,10.); #112869=VECTOR('',#394406,10.); #112870=VECTOR('',#394407,10.); #112871=VECTOR('',#394410,10.); #112872=VECTOR('',#394411,10.); #112873=VECTOR('',#394412,10.); #112874=VECTOR('',#394415,10.); #112875=VECTOR('',#394416,10.); #112876=VECTOR('',#394417,10.); #112877=VECTOR('',#394420,10.); #112878=VECTOR('',#394421,10.); #112879=VECTOR('',#394422,10.); #112880=VECTOR('',#394425,10.); #112881=VECTOR('',#394426,10.); #112882=VECTOR('',#394427,10.); #112883=VECTOR('',#394430,10.); #112884=VECTOR('',#394431,10.); #112885=VECTOR('',#394432,10.); #112886=VECTOR('',#394435,10.); #112887=VECTOR('',#394436,10.); #112888=VECTOR('',#394437,10.); #112889=VECTOR('',#394440,10.); #112890=VECTOR('',#394441,10.); #112891=VECTOR('',#394442,10.); #112892=VECTOR('',#394445,10.); #112893=VECTOR('',#394446,10.); #112894=VECTOR('',#394447,10.); #112895=VECTOR('',#394450,10.); #112896=VECTOR('',#394451,10.); #112897=VECTOR('',#394452,10.); #112898=VECTOR('',#394455,10.); #112899=VECTOR('',#394456,10.); #112900=VECTOR('',#394457,10.); #112901=VECTOR('',#394464,10.); #112902=VECTOR('',#394467,10.); #112903=VECTOR('',#394468,10.); #112904=VECTOR('',#394469,10.); #112905=VECTOR('',#394476,10.); #112906=VECTOR('',#394479,10.); #112907=VECTOR('',#394480,10.); #112908=VECTOR('',#394481,10.); #112909=VECTOR('',#394484,10.); #112910=VECTOR('',#394485,10.); #112911=VECTOR('',#394486,10.); #112912=VECTOR('',#394489,10.); #112913=VECTOR('',#394490,10.); #112914=VECTOR('',#394491,10.); #112915=VECTOR('',#394494,10.); #112916=VECTOR('',#394495,10.); #112917=VECTOR('',#394496,10.); #112918=VECTOR('',#394499,10.); #112919=VECTOR('',#394500,10.); #112920=VECTOR('',#394501,10.); #112921=VECTOR('',#394504,10.); #112922=VECTOR('',#394505,10.); #112923=VECTOR('',#394506,10.); #112924=VECTOR('',#394509,10.); #112925=VECTOR('',#394510,10.); #112926=VECTOR('',#394511,10.); #112927=VECTOR('',#394514,10.); #112928=VECTOR('',#394515,10.); #112929=VECTOR('',#394516,10.); #112930=VECTOR('',#394523,10.); #112931=VECTOR('',#394526,10.); #112932=VECTOR('',#394527,10.); #112933=VECTOR('',#394528,10.); #112934=VECTOR('',#394531,10.); #112935=VECTOR('',#394532,10.); #112936=VECTOR('',#394533,10.); #112937=VECTOR('',#394540,10.); #112938=VECTOR('',#394543,10.); #112939=VECTOR('',#394544,10.); #112940=VECTOR('',#394545,10.); #112941=VECTOR('',#394548,10.); #112942=VECTOR('',#394549,10.); #112943=VECTOR('',#394550,10.); #112944=VECTOR('',#394553,10.); #112945=VECTOR('',#394554,10.); #112946=VECTOR('',#394555,10.); #112947=VECTOR('',#394558,10.); #112948=VECTOR('',#394559,10.); #112949=VECTOR('',#394560,10.); #112950=VECTOR('',#394563,10.); #112951=VECTOR('',#394564,10.); #112952=VECTOR('',#394565,10.); #112953=VECTOR('',#394568,10.); #112954=VECTOR('',#394569,10.); #112955=VECTOR('',#394570,10.); #112956=VECTOR('',#394573,10.); #112957=VECTOR('',#394574,10.); #112958=VECTOR('',#394575,10.); #112959=VECTOR('',#394578,10.); #112960=VECTOR('',#394579,10.); #112961=VECTOR('',#394580,10.); #112962=VECTOR('',#394583,10.); #112963=VECTOR('',#394584,10.); #112964=VECTOR('',#394585,10.); #112965=VECTOR('',#394588,10.); #112966=VECTOR('',#394589,10.); #112967=VECTOR('',#394590,10.); #112968=VECTOR('',#394593,10.); #112969=VECTOR('',#394594,10.); #112970=VECTOR('',#394595,10.); #112971=VECTOR('',#394598,10.); #112972=VECTOR('',#394599,10.); #112973=VECTOR('',#394600,10.); #112974=VECTOR('',#394603,10.); #112975=VECTOR('',#394604,10.); #112976=VECTOR('',#394605,10.); #112977=VECTOR('',#394608,10.); #112978=VECTOR('',#394609,10.); #112979=VECTOR('',#394610,10.); #112980=VECTOR('',#394613,10.); #112981=VECTOR('',#394614,10.); #112982=VECTOR('',#394615,10.); #112983=VECTOR('',#394618,10.); #112984=VECTOR('',#394619,10.); #112985=VECTOR('',#394620,10.); #112986=VECTOR('',#394623,10.); #112987=VECTOR('',#394624,10.); #112988=VECTOR('',#394625,10.); #112989=VECTOR('',#394628,10.); #112990=VECTOR('',#394629,10.); #112991=VECTOR('',#394630,10.); #112992=VECTOR('',#394633,10.); #112993=VECTOR('',#394634,10.); #112994=VECTOR('',#394635,10.); #112995=VECTOR('',#394638,10.); #112996=VECTOR('',#394639,10.); #112997=VECTOR('',#394640,10.); #112998=VECTOR('',#394643,10.); #112999=VECTOR('',#394644,10.); #113000=VECTOR('',#394645,10.); #113001=VECTOR('',#394648,10.); #113002=VECTOR('',#394649,10.); #113003=VECTOR('',#394650,10.); #113004=VECTOR('',#394653,10.); #113005=VECTOR('',#394654,10.); #113006=VECTOR('',#394655,10.); #113007=VECTOR('',#394658,10.); #113008=VECTOR('',#394659,10.); #113009=VECTOR('',#394660,10.); #113010=VECTOR('',#394663,10.); #113011=VECTOR('',#394664,10.); #113012=VECTOR('',#394665,10.); #113013=VECTOR('',#394668,10.); #113014=VECTOR('',#394669,10.); #113015=VECTOR('',#394670,10.); #113016=VECTOR('',#394673,10.); #113017=VECTOR('',#394674,10.); #113018=VECTOR('',#394675,10.); #113019=VECTOR('',#394678,10.); #113020=VECTOR('',#394679,10.); #113021=VECTOR('',#394680,10.); #113022=VECTOR('',#394683,10.); #113023=VECTOR('',#394684,10.); #113024=VECTOR('',#394685,10.); #113025=VECTOR('',#394688,10.); #113026=VECTOR('',#394689,10.); #113027=VECTOR('',#394690,10.); #113028=VECTOR('',#394693,10.); #113029=VECTOR('',#394694,10.); #113030=VECTOR('',#394695,10.); #113031=VECTOR('',#394698,10.); #113032=VECTOR('',#394699,10.); #113033=VECTOR('',#394700,10.); #113034=VECTOR('',#394703,10.); #113035=VECTOR('',#394704,10.); #113036=VECTOR('',#394705,10.); #113037=VECTOR('',#394708,10.); #113038=VECTOR('',#394709,10.); #113039=VECTOR('',#394710,10.); #113040=VECTOR('',#394713,10.); #113041=VECTOR('',#394714,10.); #113042=VECTOR('',#394715,10.); #113043=VECTOR('',#394718,10.); #113044=VECTOR('',#394719,10.); #113045=VECTOR('',#394720,10.); #113046=VECTOR('',#394723,10.); #113047=VECTOR('',#394724,10.); #113048=VECTOR('',#394725,10.); #113049=VECTOR('',#394728,10.); #113050=VECTOR('',#394729,10.); #113051=VECTOR('',#394730,10.); #113052=VECTOR('',#394733,10.); #113053=VECTOR('',#394734,10.); #113054=VECTOR('',#394735,10.); #113055=VECTOR('',#394738,10.); #113056=VECTOR('',#394739,10.); #113057=VECTOR('',#394740,10.); #113058=VECTOR('',#394743,10.); #113059=VECTOR('',#394744,10.); #113060=VECTOR('',#394745,10.); #113061=VECTOR('',#394748,10.); #113062=VECTOR('',#394749,10.); #113063=VECTOR('',#394750,10.); #113064=VECTOR('',#394753,10.); #113065=VECTOR('',#394754,10.); #113066=VECTOR('',#394755,10.); #113067=VECTOR('',#394758,10.); #113068=VECTOR('',#394759,10.); #113069=VECTOR('',#394760,10.); #113070=VECTOR('',#394763,10.); #113071=VECTOR('',#394764,10.); #113072=VECTOR('',#394765,10.); #113073=VECTOR('',#394768,10.); #113074=VECTOR('',#394769,10.); #113075=VECTOR('',#394770,10.); #113076=VECTOR('',#394773,10.); #113077=VECTOR('',#394774,10.); #113078=VECTOR('',#394775,10.); #113079=VECTOR('',#394778,10.); #113080=VECTOR('',#394779,10.); #113081=VECTOR('',#394780,10.); #113082=VECTOR('',#394783,10.); #113083=VECTOR('',#394784,10.); #113084=VECTOR('',#394785,10.); #113085=VECTOR('',#394788,10.); #113086=VECTOR('',#394789,10.); #113087=VECTOR('',#394790,10.); #113088=VECTOR('',#394793,10.); #113089=VECTOR('',#394794,10.); #113090=VECTOR('',#394795,10.); #113091=VECTOR('',#394798,10.); #113092=VECTOR('',#394799,10.); #113093=VECTOR('',#394800,10.); #113094=VECTOR('',#394803,10.); #113095=VECTOR('',#394804,10.); #113096=VECTOR('',#394805,10.); #113097=VECTOR('',#394808,10.); #113098=VECTOR('',#394809,10.); #113099=VECTOR('',#394810,10.); #113100=VECTOR('',#394813,10.); #113101=VECTOR('',#394814,10.); #113102=VECTOR('',#394815,10.); #113103=VECTOR('',#394818,10.); #113104=VECTOR('',#394819,10.); #113105=VECTOR('',#394820,10.); #113106=VECTOR('',#394823,10.); #113107=VECTOR('',#394824,10.); #113108=VECTOR('',#394825,10.); #113109=VECTOR('',#394828,10.); #113110=VECTOR('',#394829,10.); #113111=VECTOR('',#394830,10.); #113112=VECTOR('',#394833,10.); #113113=VECTOR('',#394834,10.); #113114=VECTOR('',#394835,10.); #113115=VECTOR('',#394838,10.); #113116=VECTOR('',#394839,10.); #113117=VECTOR('',#394840,10.); #113118=VECTOR('',#394843,10.); #113119=VECTOR('',#394844,10.); #113120=VECTOR('',#394845,10.); #113121=VECTOR('',#394848,10.); #113122=VECTOR('',#394849,10.); #113123=VECTOR('',#394850,10.); #113124=VECTOR('',#394853,10.); #113125=VECTOR('',#394854,10.); #113126=VECTOR('',#394855,10.); #113127=VECTOR('',#394858,10.); #113128=VECTOR('',#394859,10.); #113129=VECTOR('',#394860,10.); #113130=VECTOR('',#394863,10.); #113131=VECTOR('',#394864,10.); #113132=VECTOR('',#394865,10.); #113133=VECTOR('',#394868,10.); #113134=VECTOR('',#394869,10.); #113135=VECTOR('',#394870,10.); #113136=VECTOR('',#394873,10.); #113137=VECTOR('',#394874,10.); #113138=VECTOR('',#394875,10.); #113139=VECTOR('',#394878,10.); #113140=VECTOR('',#394879,10.); #113141=VECTOR('',#394880,10.); #113142=VECTOR('',#394883,10.); #113143=VECTOR('',#394884,10.); #113144=VECTOR('',#394885,10.); #113145=VECTOR('',#394888,10.); #113146=VECTOR('',#394889,10.); #113147=VECTOR('',#394890,10.); #113148=VECTOR('',#394893,10.); #113149=VECTOR('',#394894,10.); #113150=VECTOR('',#394895,10.); #113151=VECTOR('',#394898,10.); #113152=VECTOR('',#394899,10.); #113153=VECTOR('',#394900,10.); #113154=VECTOR('',#394903,10.); #113155=VECTOR('',#394904,10.); #113156=VECTOR('',#394905,10.); #113157=VECTOR('',#394908,10.); #113158=VECTOR('',#394909,10.); #113159=VECTOR('',#394910,10.); #113160=VECTOR('',#394913,10.); #113161=VECTOR('',#394914,10.); #113162=VECTOR('',#394915,10.); #113163=VECTOR('',#394918,10.); #113164=VECTOR('',#394919,10.); #113165=VECTOR('',#394920,10.); #113166=VECTOR('',#394923,10.); #113167=VECTOR('',#394924,10.); #113168=VECTOR('',#394925,10.); #113169=VECTOR('',#394928,10.); #113170=VECTOR('',#394929,10.); #113171=VECTOR('',#394930,10.); #113172=VECTOR('',#394933,10.); #113173=VECTOR('',#394934,10.); #113174=VECTOR('',#394935,10.); #113175=VECTOR('',#394938,10.); #113176=VECTOR('',#394939,10.); #113177=VECTOR('',#394940,10.); #113178=VECTOR('',#394943,10.); #113179=VECTOR('',#394944,10.); #113180=VECTOR('',#394945,10.); #113181=VECTOR('',#394948,10.); #113182=VECTOR('',#394949,10.); #113183=VECTOR('',#394950,10.); #113184=VECTOR('',#394953,10.); #113185=VECTOR('',#394954,10.); #113186=VECTOR('',#394955,10.); #113187=VECTOR('',#394958,10.); #113188=VECTOR('',#394959,10.); #113189=VECTOR('',#394966,10.); #113190=VECTOR('',#394967,10.); #113191=VECTOR('',#394968,10.); #113192=VECTOR('',#394969,10.); #113193=VECTOR('',#394972,10.); #113194=VECTOR('',#394973,10.); #113195=VECTOR('',#394974,10.); #113196=VECTOR('',#394977,10.); #113197=VECTOR('',#394978,10.); #113198=VECTOR('',#394979,10.); #113199=VECTOR('',#394982,10.); #113200=VECTOR('',#394983,10.); #113201=VECTOR('',#394984,10.); #113202=VECTOR('',#394987,10.); #113203=VECTOR('',#394988,10.); #113204=VECTOR('',#394989,10.); #113205=VECTOR('',#394992,10.); #113206=VECTOR('',#394993,10.); #113207=VECTOR('',#394994,10.); #113208=VECTOR('',#394997,10.); #113209=VECTOR('',#394998,10.); #113210=VECTOR('',#394999,10.); #113211=VECTOR('',#395002,10.); #113212=VECTOR('',#395003,10.); #113213=VECTOR('',#395004,10.); #113214=VECTOR('',#395007,10.); #113215=VECTOR('',#395008,10.); #113216=VECTOR('',#395009,10.); #113217=VECTOR('',#395012,10.); #113218=VECTOR('',#395013,10.); #113219=VECTOR('',#395014,10.); #113220=VECTOR('',#395017,10.); #113221=VECTOR('',#395018,10.); #113222=VECTOR('',#395019,10.); #113223=VECTOR('',#395022,10.); #113224=VECTOR('',#395023,10.); #113225=VECTOR('',#395024,10.); #113226=VECTOR('',#395027,10.); #113227=VECTOR('',#395028,10.); #113228=VECTOR('',#395029,10.); #113229=VECTOR('',#395032,10.); #113230=VECTOR('',#395033,10.); #113231=VECTOR('',#395034,10.); #113232=VECTOR('',#395037,10.); #113233=VECTOR('',#395038,10.); #113234=VECTOR('',#395039,10.); #113235=VECTOR('',#395042,10.); #113236=VECTOR('',#395043,10.); #113237=VECTOR('',#395044,10.); #113238=VECTOR('',#395047,10.); #113239=VECTOR('',#395048,10.); #113240=VECTOR('',#395049,10.); #113241=VECTOR('',#395052,10.); #113242=VECTOR('',#395053,10.); #113243=VECTOR('',#395054,10.); #113244=VECTOR('',#395057,10.); #113245=VECTOR('',#395058,10.); #113246=VECTOR('',#395059,10.); #113247=VECTOR('',#395062,10.); #113248=VECTOR('',#395063,10.); #113249=VECTOR('',#395070,10.); #113250=VECTOR('',#395071,10.); #113251=VECTOR('',#395072,10.); #113252=VECTOR('',#395073,10.); #113253=VECTOR('',#395076,10.); #113254=VECTOR('',#395077,10.); #113255=VECTOR('',#395078,10.); #113256=VECTOR('',#395081,10.); #113257=VECTOR('',#395082,10.); #113258=VECTOR('',#395083,10.); #113259=VECTOR('',#395086,10.); #113260=VECTOR('',#395087,10.); #113261=VECTOR('',#395088,10.); #113262=VECTOR('',#395091,10.); #113263=VECTOR('',#395092,10.); #113264=VECTOR('',#395093,10.); #113265=VECTOR('',#395096,10.); #113266=VECTOR('',#395097,10.); #113267=VECTOR('',#395098,10.); #113268=VECTOR('',#395101,10.); #113269=VECTOR('',#395102,10.); #113270=VECTOR('',#395103,10.); #113271=VECTOR('',#395106,10.); #113272=VECTOR('',#395107,10.); #113273=VECTOR('',#395108,10.); #113274=VECTOR('',#395111,10.); #113275=VECTOR('',#395112,10.); #113276=VECTOR('',#395113,10.); #113277=VECTOR('',#395116,10.); #113278=VECTOR('',#395117,10.); #113279=VECTOR('',#395118,10.); #113280=VECTOR('',#395121,10.); #113281=VECTOR('',#395122,10.); #113282=VECTOR('',#395123,10.); #113283=VECTOR('',#395126,10.); #113284=VECTOR('',#395127,10.); #113285=VECTOR('',#395128,10.); #113286=VECTOR('',#395131,10.); #113287=VECTOR('',#395132,10.); #113288=VECTOR('',#395133,10.); #113289=VECTOR('',#395136,10.); #113290=VECTOR('',#395137,10.); #113291=VECTOR('',#395138,10.); #113292=VECTOR('',#395141,10.); #113293=VECTOR('',#395142,10.); #113294=VECTOR('',#395143,10.); #113295=VECTOR('',#395146,10.); #113296=VECTOR('',#395147,10.); #113297=VECTOR('',#395148,10.); #113298=VECTOR('',#395151,10.); #113299=VECTOR('',#395152,10.); #113300=VECTOR('',#395153,10.); #113301=VECTOR('',#395156,10.); #113302=VECTOR('',#395157,10.); #113303=VECTOR('',#395158,10.); #113304=VECTOR('',#395161,10.); #113305=VECTOR('',#395162,10.); #113306=VECTOR('',#395163,10.); #113307=VECTOR('',#395166,10.); #113308=VECTOR('',#395167,10.); #113309=VECTOR('',#395174,10.); #113310=VECTOR('',#395175,10.); #113311=VECTOR('',#395176,10.); #113312=VECTOR('',#395177,10.); #113313=VECTOR('',#395180,10.); #113314=VECTOR('',#395181,10.); #113315=VECTOR('',#395182,10.); #113316=VECTOR('',#395185,10.); #113317=VECTOR('',#395186,10.); #113318=VECTOR('',#395187,10.); #113319=VECTOR('',#395190,10.); #113320=VECTOR('',#395191,10.); #113321=VECTOR('',#395192,10.); #113322=VECTOR('',#395195,10.); #113323=VECTOR('',#395196,10.); #113324=VECTOR('',#395197,10.); #113325=VECTOR('',#395200,10.); #113326=VECTOR('',#395201,10.); #113327=VECTOR('',#395202,10.); #113328=VECTOR('',#395205,10.); #113329=VECTOR('',#395206,10.); #113330=VECTOR('',#395207,10.); #113331=VECTOR('',#395210,10.); #113332=VECTOR('',#395211,10.); #113333=VECTOR('',#395212,10.); #113334=VECTOR('',#395215,10.); #113335=VECTOR('',#395216,10.); #113336=VECTOR('',#395217,10.); #113337=VECTOR('',#395220,10.); #113338=VECTOR('',#395221,10.); #113339=VECTOR('',#395222,10.); #113340=VECTOR('',#395225,10.); #113341=VECTOR('',#395226,10.); #113342=VECTOR('',#395227,10.); #113343=VECTOR('',#395230,10.); #113344=VECTOR('',#395231,10.); #113345=VECTOR('',#395232,10.); #113346=VECTOR('',#395235,10.); #113347=VECTOR('',#395236,10.); #113348=VECTOR('',#395237,10.); #113349=VECTOR('',#395240,10.); #113350=VECTOR('',#395241,10.); #113351=VECTOR('',#395242,10.); #113352=VECTOR('',#395245,10.); #113353=VECTOR('',#395246,10.); #113354=VECTOR('',#395247,10.); #113355=VECTOR('',#395250,10.); #113356=VECTOR('',#395251,10.); #113357=VECTOR('',#395252,10.); #113358=VECTOR('',#395255,10.); #113359=VECTOR('',#395256,10.); #113360=VECTOR('',#395257,10.); #113361=VECTOR('',#395260,10.); #113362=VECTOR('',#395261,10.); #113363=VECTOR('',#395262,10.); #113364=VECTOR('',#395265,10.); #113365=VECTOR('',#395266,10.); #113366=VECTOR('',#395267,10.); #113367=VECTOR('',#395270,10.); #113368=VECTOR('',#395271,10.); #113369=VECTOR('',#395278,10.); #113370=VECTOR('',#395279,10.); #113371=VECTOR('',#395280,10.); #113372=VECTOR('',#395281,10.); #113373=VECTOR('',#395284,10.); #113374=VECTOR('',#395285,10.); #113375=VECTOR('',#395286,10.); #113376=VECTOR('',#395289,10.); #113377=VECTOR('',#395290,10.); #113378=VECTOR('',#395291,10.); #113379=VECTOR('',#395294,10.); #113380=VECTOR('',#395295,10.); #113381=VECTOR('',#395296,10.); #113382=VECTOR('',#395299,10.); #113383=VECTOR('',#395300,10.); #113384=VECTOR('',#395301,10.); #113385=VECTOR('',#395304,10.); #113386=VECTOR('',#395305,10.); #113387=VECTOR('',#395306,10.); #113388=VECTOR('',#395309,10.); #113389=VECTOR('',#395310,10.); #113390=VECTOR('',#395311,10.); #113391=VECTOR('',#395314,10.); #113392=VECTOR('',#395315,10.); #113393=VECTOR('',#395316,10.); #113394=VECTOR('',#395319,10.); #113395=VECTOR('',#395320,10.); #113396=VECTOR('',#395321,10.); #113397=VECTOR('',#395324,10.); #113398=VECTOR('',#395325,10.); #113399=VECTOR('',#395326,10.); #113400=VECTOR('',#395329,10.); #113401=VECTOR('',#395330,10.); #113402=VECTOR('',#395331,10.); #113403=VECTOR('',#395334,10.); #113404=VECTOR('',#395335,10.); #113405=VECTOR('',#395336,10.); #113406=VECTOR('',#395339,10.); #113407=VECTOR('',#395340,10.); #113408=VECTOR('',#395341,10.); #113409=VECTOR('',#395344,10.); #113410=VECTOR('',#395345,10.); #113411=VECTOR('',#395346,10.); #113412=VECTOR('',#395349,10.); #113413=VECTOR('',#395350,10.); #113414=VECTOR('',#395351,10.); #113415=VECTOR('',#395354,10.); #113416=VECTOR('',#395355,10.); #113417=VECTOR('',#395356,10.); #113418=VECTOR('',#395359,10.); #113419=VECTOR('',#395360,10.); #113420=VECTOR('',#395361,10.); #113421=VECTOR('',#395364,10.); #113422=VECTOR('',#395365,10.); #113423=VECTOR('',#395366,10.); #113424=VECTOR('',#395369,10.); #113425=VECTOR('',#395370,10.); #113426=VECTOR('',#395371,10.); #113427=VECTOR('',#395374,10.); #113428=VECTOR('',#395375,10.); #113429=VECTOR('',#395376,10.); #113430=VECTOR('',#395379,10.); #113431=VECTOR('',#395380,10.); #113432=VECTOR('',#395381,10.); #113433=VECTOR('',#395384,10.); #113434=VECTOR('',#395385,10.); #113435=VECTOR('',#395386,10.); #113436=VECTOR('',#395389,10.); #113437=VECTOR('',#395390,10.); #113438=VECTOR('',#395397,10.); #113439=VECTOR('',#395398,10.); #113440=VECTOR('',#395399,10.); #113441=VECTOR('',#395400,10.); #113442=VECTOR('',#395403,10.); #113443=VECTOR('',#395404,10.); #113444=VECTOR('',#395405,10.); #113445=VECTOR('',#395408,10.); #113446=VECTOR('',#395409,10.); #113447=VECTOR('',#395410,10.); #113448=VECTOR('',#395413,10.); #113449=VECTOR('',#395414,10.); #113450=VECTOR('',#395415,10.); #113451=VECTOR('',#395418,10.); #113452=VECTOR('',#395419,10.); #113453=VECTOR('',#395420,10.); #113454=VECTOR('',#395423,10.); #113455=VECTOR('',#395424,10.); #113456=VECTOR('',#395425,10.); #113457=VECTOR('',#395428,10.); #113458=VECTOR('',#395429,10.); #113459=VECTOR('',#395430,10.); #113460=VECTOR('',#395433,10.); #113461=VECTOR('',#395434,10.); #113462=VECTOR('',#395435,10.); #113463=VECTOR('',#395438,10.); #113464=VECTOR('',#395439,10.); #113465=VECTOR('',#395440,10.); #113466=VECTOR('',#395443,10.); #113467=VECTOR('',#395444,10.); #113468=VECTOR('',#395445,10.); #113469=VECTOR('',#395448,10.); #113470=VECTOR('',#395449,10.); #113471=VECTOR('',#395450,10.); #113472=VECTOR('',#395453,10.); #113473=VECTOR('',#395454,10.); #113474=VECTOR('',#395455,10.); #113475=VECTOR('',#395458,10.); #113476=VECTOR('',#395459,10.); #113477=VECTOR('',#395460,10.); #113478=VECTOR('',#395463,10.); #113479=VECTOR('',#395464,10.); #113480=VECTOR('',#395465,10.); #113481=VECTOR('',#395468,10.); #113482=VECTOR('',#395469,10.); #113483=VECTOR('',#395470,10.); #113484=VECTOR('',#395473,10.); #113485=VECTOR('',#395474,10.); #113486=VECTOR('',#395475,10.); #113487=VECTOR('',#395478,10.); #113488=VECTOR('',#395479,10.); #113489=VECTOR('',#395480,10.); #113490=VECTOR('',#395483,10.); #113491=VECTOR('',#395484,10.); #113492=VECTOR('',#395485,10.); #113493=VECTOR('',#395488,10.); #113494=VECTOR('',#395489,10.); #113495=VECTOR('',#395490,10.); #113496=VECTOR('',#395493,10.); #113497=VECTOR('',#395494,10.); #113498=VECTOR('',#395501,10.); #113499=VECTOR('',#395502,10.); #113500=VECTOR('',#395503,10.); #113501=VECTOR('',#395504,10.); #113502=VECTOR('',#395507,10.); #113503=VECTOR('',#395508,10.); #113504=VECTOR('',#395509,10.); #113505=VECTOR('',#395512,10.); #113506=VECTOR('',#395513,10.); #113507=VECTOR('',#395514,10.); #113508=VECTOR('',#395517,10.); #113509=VECTOR('',#395518,10.); #113510=VECTOR('',#395519,10.); #113511=VECTOR('',#395522,10.); #113512=VECTOR('',#395523,10.); #113513=VECTOR('',#395524,10.); #113514=VECTOR('',#395527,10.); #113515=VECTOR('',#395528,10.); #113516=VECTOR('',#395529,10.); #113517=VECTOR('',#395532,10.); #113518=VECTOR('',#395533,10.); #113519=VECTOR('',#395534,10.); #113520=VECTOR('',#395537,10.); #113521=VECTOR('',#395538,10.); #113522=VECTOR('',#395539,10.); #113523=VECTOR('',#395542,10.); #113524=VECTOR('',#395543,10.); #113525=VECTOR('',#395544,10.); #113526=VECTOR('',#395547,10.); #113527=VECTOR('',#395548,10.); #113528=VECTOR('',#395549,10.); #113529=VECTOR('',#395552,10.); #113530=VECTOR('',#395553,10.); #113531=VECTOR('',#395554,10.); #113532=VECTOR('',#395557,10.); #113533=VECTOR('',#395558,10.); #113534=VECTOR('',#395559,10.); #113535=VECTOR('',#395562,10.); #113536=VECTOR('',#395563,10.); #113537=VECTOR('',#395564,10.); #113538=VECTOR('',#395567,10.); #113539=VECTOR('',#395568,10.); #113540=VECTOR('',#395569,10.); #113541=VECTOR('',#395572,10.); #113542=VECTOR('',#395573,10.); #113543=VECTOR('',#395574,10.); #113544=VECTOR('',#395577,10.); #113545=VECTOR('',#395578,10.); #113546=VECTOR('',#395579,10.); #113547=VECTOR('',#395582,10.); #113548=VECTOR('',#395583,10.); #113549=VECTOR('',#395584,10.); #113550=VECTOR('',#395587,10.); #113551=VECTOR('',#395588,10.); #113552=VECTOR('',#395589,10.); #113553=VECTOR('',#395592,10.); #113554=VECTOR('',#395593,10.); #113555=VECTOR('',#395594,10.); #113556=VECTOR('',#395597,10.); #113557=VECTOR('',#395598,10.); #113558=VECTOR('',#395599,10.); #113559=VECTOR('',#395602,10.); #113560=VECTOR('',#395603,10.); #113561=VECTOR('',#395612,0.139999999999998); #113562=VECTOR('',#395617,10.); #113563=VECTOR('',#395618,10.); #113564=VECTOR('',#395619,10.); #113565=VECTOR('',#395620,10.); #113566=VECTOR('',#395627,10.); #113567=VECTOR('',#395630,10.); #113568=VECTOR('',#395631,10.); #113569=VECTOR('',#395632,10.); #113570=VECTOR('',#395639,10.); #113571=VECTOR('',#395642,10.); #113572=VECTOR('',#395643,10.); #113573=VECTOR('',#395644,10.); #113574=VECTOR('',#395647,10.); #113575=VECTOR('',#395648,10.); #113576=VECTOR('',#395649,10.); #113577=VECTOR('',#395652,10.); #113578=VECTOR('',#395653,10.); #113579=VECTOR('',#395654,10.); #113580=VECTOR('',#395657,10.); #113581=VECTOR('',#395658,10.); #113582=VECTOR('',#395659,10.); #113583=VECTOR('',#395666,10.); #113584=VECTOR('',#395669,10.); #113585=VECTOR('',#395670,10.); #113586=VECTOR('',#395671,10.); #113587=VECTOR('',#395674,10.); #113588=VECTOR('',#395675,10.); #113589=VECTOR('',#395676,10.); #113590=VECTOR('',#395679,10.); #113591=VECTOR('',#395680,10.); #113592=VECTOR('',#395681,10.); #113593=VECTOR('',#395684,10.); #113594=VECTOR('',#395685,10.); #113595=VECTOR('',#395686,10.); #113596=VECTOR('',#395689,10.); #113597=VECTOR('',#395690,10.); #113598=VECTOR('',#395691,10.); #113599=VECTOR('',#395694,10.); #113600=VECTOR('',#395695,10.); #113601=VECTOR('',#395696,10.); #113602=VECTOR('',#395699,10.); #113603=VECTOR('',#395700,10.); #113604=VECTOR('',#395701,10.); #113605=VECTOR('',#395708,10.); #113606=VECTOR('',#395711,10.); #113607=VECTOR('',#395712,10.); #113608=VECTOR('',#395713,10.); #113609=VECTOR('',#395720,10.); #113610=VECTOR('',#395723,10.); #113611=VECTOR('',#395724,10.); #113612=VECTOR('',#395725,10.); #113613=VECTOR('',#395732,10.); #113614=VECTOR('',#395735,10.); #113615=VECTOR('',#395736,10.); #113616=VECTOR('',#395737,10.); #113617=VECTOR('',#395740,10.); #113618=VECTOR('',#395741,10.); #113619=VECTOR('',#395742,10.); #113620=VECTOR('',#395757,0.139999999999998); #113621=VECTOR('',#395764,0.140000000000002); #113622=VECTOR('',#395771,0.140000000000002); #113623=VECTOR('',#395778,0.465); #113624=VECTOR('',#395785,0.140000000000002); #113625=VECTOR('',#395792,0.140000000000002); #113626=VECTOR('',#395799,0.140000000000002); #113627=VECTOR('',#395806,0.140000000000002); #113628=VECTOR('',#395813,0.140000000000002); #113629=VECTOR('',#395820,0.140000000000002); #113630=VECTOR('',#395827,0.140000000000002); #113631=VECTOR('',#395834,0.140000000000002); #113632=VECTOR('',#395839,10.); #113633=VECTOR('',#395840,10.); #113634=VECTOR('',#395841,10.); #113635=VECTOR('',#395842,10.); #113636=VECTOR('',#395845,10.); #113637=VECTOR('',#395846,10.); #113638=VECTOR('',#395847,10.); #113639=VECTOR('',#395850,10.); #113640=VECTOR('',#395851,10.); #113641=VECTOR('',#395852,10.); #113642=VECTOR('',#395855,10.); #113643=VECTOR('',#395856,10.); #113644=VECTOR('',#395857,10.); #113645=VECTOR('',#395860,10.); #113646=VECTOR('',#395861,10.); #113647=VECTOR('',#395862,10.); #113648=VECTOR('',#395865,10.); #113649=VECTOR('',#395866,10.); #113650=VECTOR('',#395867,10.); #113651=VECTOR('',#395870,10.); #113652=VECTOR('',#395871,10.); #113653=VECTOR('',#395872,10.); #113654=VECTOR('',#395875,10.); #113655=VECTOR('',#395876,10.); #113656=VECTOR('',#395877,10.); #113657=VECTOR('',#395880,10.); #113658=VECTOR('',#395881,10.); #113659=VECTOR('',#395882,10.); #113660=VECTOR('',#395885,10.); #113661=VECTOR('',#395886,10.); #113662=VECTOR('',#395887,10.); #113663=VECTOR('',#395890,10.); #113664=VECTOR('',#395891,10.); #113665=VECTOR('',#395892,10.); #113666=VECTOR('',#395895,10.); #113667=VECTOR('',#395896,10.); #113668=VECTOR('',#395897,10.); #113669=VECTOR('',#395900,10.); #113670=VECTOR('',#395901,10.); #113671=VECTOR('',#395902,10.); #113672=VECTOR('',#395905,10.); #113673=VECTOR('',#395906,10.); #113674=VECTOR('',#395907,10.); #113675=VECTOR('',#395910,10.); #113676=VECTOR('',#395911,10.); #113677=VECTOR('',#395912,10.); #113678=VECTOR('',#395915,10.); #113679=VECTOR('',#395916,10.); #113680=VECTOR('',#395917,10.); #113681=VECTOR('',#395920,10.); #113682=VECTOR('',#395921,10.); #113683=VECTOR('',#395922,10.); #113684=VECTOR('',#395925,10.); #113685=VECTOR('',#395926,10.); #113686=VECTOR('',#395927,10.); #113687=VECTOR('',#395930,10.); #113688=VECTOR('',#395931,10.); #113689=VECTOR('',#395932,10.); #113690=VECTOR('',#395935,10.); #113691=VECTOR('',#395936,10.); #113692=VECTOR('',#395937,10.); #113693=VECTOR('',#395940,10.); #113694=VECTOR('',#395941,10.); #113695=VECTOR('',#395942,10.); #113696=VECTOR('',#395945,10.); #113697=VECTOR('',#395946,10.); #113698=VECTOR('',#395947,10.); #113699=VECTOR('',#395950,10.); #113700=VECTOR('',#395951,10.); #113701=VECTOR('',#395952,10.); #113702=VECTOR('',#395955,10.); #113703=VECTOR('',#395956,10.); #113704=VECTOR('',#395957,10.); #113705=VECTOR('',#395964,10.); #113706=VECTOR('',#395967,10.); #113707=VECTOR('',#395968,10.); #113708=VECTOR('',#395969,10.); #113709=VECTOR('',#395972,10.); #113710=VECTOR('',#395973,10.); #113711=VECTOR('',#395974,10.); #113712=VECTOR('',#395981,10.); #113713=VECTOR('',#395984,10.); #113714=VECTOR('',#395985,10.); #113715=VECTOR('',#395986,10.); #113716=VECTOR('',#395989,10.); #113717=VECTOR('',#395990,10.); #113718=VECTOR('',#395991,10.); #113719=VECTOR('',#395994,10.); #113720=VECTOR('',#395995,10.); #113721=VECTOR('',#395996,10.); #113722=VECTOR('',#395999,10.); #113723=VECTOR('',#396000,10.); #113724=VECTOR('',#396001,10.); #113725=VECTOR('',#396004,10.); #113726=VECTOR('',#396005,10.); #113727=VECTOR('',#396006,10.); #113728=VECTOR('',#396009,10.); #113729=VECTOR('',#396010,10.); #113730=VECTOR('',#396011,10.); #113731=VECTOR('',#396014,10.); #113732=VECTOR('',#396015,10.); #113733=VECTOR('',#396016,10.); #113734=VECTOR('',#396019,10.); #113735=VECTOR('',#396020,10.); #113736=VECTOR('',#396021,10.); #113737=VECTOR('',#396028,10.); #113738=VECTOR('',#396031,10.); #113739=VECTOR('',#396032,10.); #113740=VECTOR('',#396033,10.); #113741=VECTOR('',#396040,10.); #113742=VECTOR('',#396043,10.); #113743=VECTOR('',#396044,10.); #113744=VECTOR('',#396045,10.); #113745=VECTOR('',#396048,10.); #113746=VECTOR('',#396049,10.); #113747=VECTOR('',#396050,10.); #113748=VECTOR('',#396053,10.); #113749=VECTOR('',#396054,10.); #113750=VECTOR('',#396055,10.); #113751=VECTOR('',#396058,10.); #113752=VECTOR('',#396059,10.); #113753=VECTOR('',#396060,10.); #113754=VECTOR('',#396063,10.); #113755=VECTOR('',#396064,10.); #113756=VECTOR('',#396065,10.); #113757=VECTOR('',#396068,10.); #113758=VECTOR('',#396069,10.); #113759=VECTOR('',#396070,10.); #113760=VECTOR('',#396073,10.); #113761=VECTOR('',#396074,10.); #113762=VECTOR('',#396075,10.); #113763=VECTOR('',#396078,10.); #113764=VECTOR('',#396079,10.); #113765=VECTOR('',#396080,10.); #113766=VECTOR('',#396083,10.); #113767=VECTOR('',#396084,10.); #113768=VECTOR('',#396085,10.); #113769=VECTOR('',#396088,10.); #113770=VECTOR('',#396089,10.); #113771=VECTOR('',#396090,10.); #113772=VECTOR('',#396093,10.); #113773=VECTOR('',#396094,10.); #113774=VECTOR('',#396095,10.); #113775=VECTOR('',#396098,10.); #113776=VECTOR('',#396099,10.); #113777=VECTOR('',#396100,10.); #113778=VECTOR('',#396103,10.); #113779=VECTOR('',#396104,10.); #113780=VECTOR('',#396105,10.); #113781=VECTOR('',#396108,10.); #113782=VECTOR('',#396109,10.); #113783=VECTOR('',#396110,10.); #113784=VECTOR('',#396113,10.); #113785=VECTOR('',#396114,10.); #113786=VECTOR('',#396115,10.); #113787=VECTOR('',#396122,10.); #113788=VECTOR('',#396125,10.); #113789=VECTOR('',#396126,10.); #113790=VECTOR('',#396127,10.); #113791=VECTOR('',#396134,10.); #113792=VECTOR('',#396137,10.); #113793=VECTOR('',#396138,10.); #113794=VECTOR('',#396139,10.); #113795=VECTOR('',#396142,10.); #113796=VECTOR('',#396143,10.); #113797=VECTOR('',#396144,10.); #113798=VECTOR('',#396147,10.); #113799=VECTOR('',#396148,10.); #113800=VECTOR('',#396149,10.); #113801=VECTOR('',#396152,10.); #113802=VECTOR('',#396153,10.); #113803=VECTOR('',#396154,10.); #113804=VECTOR('',#396157,10.); #113805=VECTOR('',#396158,10.); #113806=VECTOR('',#396159,10.); #113807=VECTOR('',#396162,10.); #113808=VECTOR('',#396163,10.); #113809=VECTOR('',#396164,10.); #113810=VECTOR('',#396167,10.); #113811=VECTOR('',#396168,10.); #113812=VECTOR('',#396169,10.); #113813=VECTOR('',#396172,10.); #113814=VECTOR('',#396173,10.); #113815=VECTOR('',#396174,10.); #113816=VECTOR('',#396177,10.); #113817=VECTOR('',#396178,10.); #113818=VECTOR('',#396179,10.); #113819=VECTOR('',#396182,10.); #113820=VECTOR('',#396183,10.); #113821=VECTOR('',#396184,10.); #113822=VECTOR('',#396187,10.); #113823=VECTOR('',#396188,10.); #113824=VECTOR('',#396189,10.); #113825=VECTOR('',#396192,10.); #113826=VECTOR('',#396193,10.); #113827=VECTOR('',#396194,10.); #113828=VECTOR('',#396197,10.); #113829=VECTOR('',#396198,10.); #113830=VECTOR('',#396199,10.); #113831=VECTOR('',#396202,10.); #113832=VECTOR('',#396203,10.); #113833=VECTOR('',#396204,10.); #113834=VECTOR('',#396207,10.); #113835=VECTOR('',#396208,10.); #113836=VECTOR('',#396209,10.); #113837=VECTOR('',#396212,10.); #113838=VECTOR('',#396213,10.); #113839=VECTOR('',#396214,10.); #113840=VECTOR('',#396217,10.); #113841=VECTOR('',#396218,10.); #113842=VECTOR('',#396219,10.); #113843=VECTOR('',#396222,10.); #113844=VECTOR('',#396223,10.); #113845=VECTOR('',#396224,10.); #113846=VECTOR('',#396227,10.); #113847=VECTOR('',#396228,10.); #113848=VECTOR('',#396229,10.); #113849=VECTOR('',#396232,10.); #113850=VECTOR('',#396233,10.); #113851=VECTOR('',#396234,10.); #113852=VECTOR('',#396237,10.); #113853=VECTOR('',#396238,10.); #113854=VECTOR('',#396239,10.); #113855=VECTOR('',#396242,10.); #113856=VECTOR('',#396243,10.); #113857=VECTOR('',#396244,10.); #113858=VECTOR('',#396247,10.); #113859=VECTOR('',#396248,10.); #113860=VECTOR('',#396249,10.); #113861=VECTOR('',#396252,10.); #113862=VECTOR('',#396253,10.); #113863=VECTOR('',#396254,10.); #113864=VECTOR('',#396257,10.); #113865=VECTOR('',#396258,10.); #113866=VECTOR('',#396259,10.); #113867=VECTOR('',#396262,10.); #113868=VECTOR('',#396263,10.); #113869=VECTOR('',#396264,10.); #113870=VECTOR('',#396267,10.); #113871=VECTOR('',#396268,10.); #113872=VECTOR('',#396269,10.); #113873=VECTOR('',#396272,10.); #113874=VECTOR('',#396273,10.); #113875=VECTOR('',#396274,10.); #113876=VECTOR('',#396277,10.); #113877=VECTOR('',#396278,10.); #113878=VECTOR('',#396279,10.); #113879=VECTOR('',#396282,10.); #113880=VECTOR('',#396283,10.); #113881=VECTOR('',#396284,10.); #113882=VECTOR('',#396287,10.); #113883=VECTOR('',#396288,10.); #113884=VECTOR('',#396289,10.); #113885=VECTOR('',#396292,10.); #113886=VECTOR('',#396293,10.); #113887=VECTOR('',#396294,10.); #113888=VECTOR('',#396297,10.); #113889=VECTOR('',#396298,10.); #113890=VECTOR('',#396299,10.); #113891=VECTOR('',#396302,10.); #113892=VECTOR('',#396303,10.); #113893=VECTOR('',#396304,10.); #113894=VECTOR('',#396307,10.); #113895=VECTOR('',#396308,10.); #113896=VECTOR('',#396309,10.); #113897=VECTOR('',#396312,10.); #113898=VECTOR('',#396313,10.); #113899=VECTOR('',#396314,10.); #113900=VECTOR('',#396317,10.); #113901=VECTOR('',#396318,10.); #113902=VECTOR('',#396319,10.); #113903=VECTOR('',#396322,10.); #113904=VECTOR('',#396323,10.); #113905=VECTOR('',#396324,10.); #113906=VECTOR('',#396327,10.); #113907=VECTOR('',#396328,10.); #113908=VECTOR('',#396329,10.); #113909=VECTOR('',#396332,10.); #113910=VECTOR('',#396333,10.); #113911=VECTOR('',#396334,10.); #113912=VECTOR('',#396337,10.); #113913=VECTOR('',#396338,10.); #113914=VECTOR('',#396339,10.); #113915=VECTOR('',#396342,10.); #113916=VECTOR('',#396343,10.); #113917=VECTOR('',#396344,10.); #113918=VECTOR('',#396347,10.); #113919=VECTOR('',#396348,10.); #113920=VECTOR('',#396349,10.); #113921=VECTOR('',#396352,10.); #113922=VECTOR('',#396353,10.); #113923=VECTOR('',#396354,10.); #113924=VECTOR('',#396357,10.); #113925=VECTOR('',#396358,10.); #113926=VECTOR('',#396359,10.); #113927=VECTOR('',#396362,10.); #113928=VECTOR('',#396363,10.); #113929=VECTOR('',#396364,10.); #113930=VECTOR('',#396367,10.); #113931=VECTOR('',#396368,10.); #113932=VECTOR('',#396369,10.); #113933=VECTOR('',#396372,10.); #113934=VECTOR('',#396373,10.); #113935=VECTOR('',#396374,10.); #113936=VECTOR('',#396377,10.); #113937=VECTOR('',#396378,10.); #113938=VECTOR('',#396379,10.); #113939=VECTOR('',#396382,10.); #113940=VECTOR('',#396383,10.); #113941=VECTOR('',#396384,10.); #113942=VECTOR('',#396387,10.); #113943=VECTOR('',#396388,10.); #113944=VECTOR('',#396389,10.); #113945=VECTOR('',#396392,10.); #113946=VECTOR('',#396393,10.); #113947=VECTOR('',#396394,10.); #113948=VECTOR('',#396397,10.); #113949=VECTOR('',#396398,10.); #113950=VECTOR('',#396399,10.); #113951=VECTOR('',#396402,10.); #113952=VECTOR('',#396403,10.); #113953=VECTOR('',#396404,10.); #113954=VECTOR('',#396407,10.); #113955=VECTOR('',#396408,10.); #113956=VECTOR('',#396409,10.); #113957=VECTOR('',#396412,10.); #113958=VECTOR('',#396413,10.); #113959=VECTOR('',#396414,10.); #113960=VECTOR('',#396417,10.); #113961=VECTOR('',#396418,10.); #113962=VECTOR('',#396419,10.); #113963=VECTOR('',#396422,10.); #113964=VECTOR('',#396423,10.); #113965=VECTOR('',#396424,10.); #113966=VECTOR('',#396427,10.); #113967=VECTOR('',#396428,10.); #113968=VECTOR('',#396429,10.); #113969=VECTOR('',#396432,10.); #113970=VECTOR('',#396433,10.); #113971=VECTOR('',#396434,10.); #113972=VECTOR('',#396437,10.); #113973=VECTOR('',#396438,10.); #113974=VECTOR('',#396439,10.); #113975=VECTOR('',#396442,10.); #113976=VECTOR('',#396443,10.); #113977=VECTOR('',#396444,10.); #113978=VECTOR('',#396447,10.); #113979=VECTOR('',#396448,10.); #113980=VECTOR('',#396449,10.); #113981=VECTOR('',#396452,10.); #113982=VECTOR('',#396453,10.); #113983=VECTOR('',#396454,10.); #113984=VECTOR('',#396457,10.); #113985=VECTOR('',#396458,10.); #113986=VECTOR('',#396459,10.); #113987=VECTOR('',#396462,10.); #113988=VECTOR('',#396463,10.); #113989=VECTOR('',#396464,10.); #113990=VECTOR('',#396467,10.); #113991=VECTOR('',#396468,10.); #113992=VECTOR('',#396477,0.564999999999998); #113993=VECTOR('',#396482,10.); #113994=VECTOR('',#396483,10.); #113995=VECTOR('',#396484,10.); #113996=VECTOR('',#396485,10.); #113997=VECTOR('',#396492,10.); #113998=VECTOR('',#396507,0.565000000000002); #113999=VECTOR('',#396512,10.); #114000=VECTOR('',#396513,10.); #114001=VECTOR('',#396514,10.); #114002=VECTOR('',#396515,10.); #114003=VECTOR('',#396522,10.); #114004=VECTOR('',#396537,0.465); #114005=VECTOR('',#396542,10.); #114006=VECTOR('',#396543,10.); #114007=VECTOR('',#396544,10.); #114008=VECTOR('',#396545,10.); #114009=VECTOR('',#396552,10.); #114010=VECTOR('',#396567,0.472999999999999); #114011=VECTOR('',#396572,10.); #114012=VECTOR('',#396573,10.); #114013=VECTOR('',#396574,10.); #114014=VECTOR('',#396575,10.); #114015=VECTOR('',#396578,10.); #114016=VECTOR('',#396579,10.); #114017=VECTOR('',#396580,10.); #114018=VECTOR('',#396583,10.); #114019=VECTOR('',#396584,10.); #114020=VECTOR('',#396585,10.); #114021=VECTOR('',#396588,10.); #114022=VECTOR('',#396589,10.); #114023=VECTOR('',#396590,10.); #114024=VECTOR('',#396593,10.); #114025=VECTOR('',#396594,10.); #114026=VECTOR('',#396595,10.); #114027=VECTOR('',#396598,10.); #114028=VECTOR('',#396599,10.); #114029=VECTOR('',#396600,10.); #114030=VECTOR('',#396603,10.); #114031=VECTOR('',#396604,10.); #114032=VECTOR('',#396605,10.); #114033=VECTOR('',#396608,10.); #114034=VECTOR('',#396609,10.); #114035=VECTOR('',#396618,0.465); #114036=VECTOR('',#396623,10.); #114037=VECTOR('',#396624,10.); #114038=VECTOR('',#396625,10.); #114039=VECTOR('',#396626,10.); #114040=VECTOR('',#396633,10.); #114041=VECTOR('',#396648,0.514999999999999); #114042=VECTOR('',#396653,10.); #114043=VECTOR('',#396654,10.); #114044=VECTOR('',#396655,10.); #114045=VECTOR('',#396656,10.); #114046=VECTOR('',#396659,10.); #114047=VECTOR('',#396660,10.); #114048=VECTOR('',#396661,10.); #114049=VECTOR('',#396664,10.); #114050=VECTOR('',#396665,10.); #114051=VECTOR('',#396666,10.); #114052=VECTOR('',#396669,10.); #114053=VECTOR('',#396670,10.); #114054=VECTOR('',#396679,0.564999999999998); #114055=VECTOR('',#396684,10.); #114056=VECTOR('',#396685,10.); #114057=VECTOR('',#396686,10.); #114058=VECTOR('',#396687,10.); #114059=VECTOR('',#396694,10.); #114060=VECTOR('',#396707,10.); #114061=VECTOR('',#396708,10.); #114062=VECTOR('',#396709,10.); #114063=VECTOR('',#396710,10.); #114064=VECTOR('',#396717,10.); #114065=VECTOR('',#396720,10.); #114066=VECTOR('',#396721,10.); #114067=VECTOR('',#396722,10.); #114068=VECTOR('',#396731,10.); #114069=VECTOR('',#396732,10.); #114070=VECTOR('',#396733,10.); #114071=VECTOR('',#396734,10.); #114072=VECTOR('',#396737,10.); #114073=VECTOR('',#396738,10.); #114074=VECTOR('',#396739,10.); #114075=VECTOR('',#396742,10.); #114076=VECTOR('',#396743,10.); #114077=VECTOR('',#396744,10.); #114078=VECTOR('',#396747,10.); #114079=VECTOR('',#396748,10.); #114080=VECTOR('',#396749,10.); #114081=VECTOR('',#396752,10.); #114082=VECTOR('',#396753,10.); #114083=VECTOR('',#396754,10.); #114084=VECTOR('',#396757,10.); #114085=VECTOR('',#396758,10.); #114086=VECTOR('',#396759,10.); #114087=VECTOR('',#396762,10.); #114088=VECTOR('',#396763,10.); #114089=VECTOR('',#396764,10.); #114090=VECTOR('',#396767,10.); #114091=VECTOR('',#396768,10.); #114092=VECTOR('',#396769,10.); #114093=VECTOR('',#396772,10.); #114094=VECTOR('',#396773,10.); #114095=VECTOR('',#396774,10.); #114096=VECTOR('',#396777,10.); #114097=VECTOR('',#396778,10.); #114098=VECTOR('',#396779,10.); #114099=VECTOR('',#396782,10.); #114100=VECTOR('',#396783,10.); #114101=VECTOR('',#396784,10.); #114102=VECTOR('',#396787,10.); #114103=VECTOR('',#396788,10.); #114104=VECTOR('',#396789,10.); #114105=VECTOR('',#396792,10.); #114106=VECTOR('',#396793,10.); #114107=VECTOR('',#396794,10.); #114108=VECTOR('',#396797,10.); #114109=VECTOR('',#396798,10.); #114110=VECTOR('',#396799,10.); #114111=VECTOR('',#396802,10.); #114112=VECTOR('',#396803,10.); #114113=VECTOR('',#396804,10.); #114114=VECTOR('',#396807,10.); #114115=VECTOR('',#396808,10.); #114116=VECTOR('',#396809,10.); #114117=VECTOR('',#396812,10.); #114118=VECTOR('',#396813,10.); #114119=VECTOR('',#396814,10.); #114120=VECTOR('',#396817,10.); #114121=VECTOR('',#396818,10.); #114122=VECTOR('',#396819,10.); #114123=VECTOR('',#396822,10.); #114124=VECTOR('',#396823,10.); #114125=VECTOR('',#396824,10.); #114126=VECTOR('',#396827,10.); #114127=VECTOR('',#396828,10.); #114128=VECTOR('',#396829,10.); #114129=VECTOR('',#396832,10.); #114130=VECTOR('',#396833,10.); #114131=VECTOR('',#396834,10.); #114132=VECTOR('',#396837,10.); #114133=VECTOR('',#396838,10.); #114134=VECTOR('',#396839,10.); #114135=VECTOR('',#396842,10.); #114136=VECTOR('',#396843,10.); #114137=VECTOR('',#396844,10.); #114138=VECTOR('',#396847,10.); #114139=VECTOR('',#396848,10.); #114140=VECTOR('',#396849,10.); #114141=VECTOR('',#396852,10.); #114142=VECTOR('',#396853,10.); #114143=VECTOR('',#396854,10.); #114144=VECTOR('',#396857,10.); #114145=VECTOR('',#396858,10.); #114146=VECTOR('',#396859,10.); #114147=VECTOR('',#396862,10.); #114148=VECTOR('',#396863,10.); #114149=VECTOR('',#396864,10.); #114150=VECTOR('',#396867,10.); #114151=VECTOR('',#396868,10.); #114152=VECTOR('',#396869,10.); #114153=VECTOR('',#396872,10.); #114154=VECTOR('',#396873,10.); #114155=VECTOR('',#396874,10.); #114156=VECTOR('',#396877,10.); #114157=VECTOR('',#396878,10.); #114158=VECTOR('',#396879,10.); #114159=VECTOR('',#396882,10.); #114160=VECTOR('',#396883,10.); #114161=VECTOR('',#396884,10.); #114162=VECTOR('',#396887,10.); #114163=VECTOR('',#396888,10.); #114164=VECTOR('',#396889,10.); #114165=VECTOR('',#396892,10.); #114166=VECTOR('',#396893,10.); #114167=VECTOR('',#396894,10.); #114168=VECTOR('',#396897,10.); #114169=VECTOR('',#396898,10.); #114170=VECTOR('',#396899,10.); #114171=VECTOR('',#396902,10.); #114172=VECTOR('',#396903,10.); #114173=VECTOR('',#396904,10.); #114174=VECTOR('',#396907,10.); #114175=VECTOR('',#396908,10.); #114176=VECTOR('',#396909,10.); #114177=VECTOR('',#396912,10.); #114178=VECTOR('',#396913,10.); #114179=VECTOR('',#396914,10.); #114180=VECTOR('',#396917,10.); #114181=VECTOR('',#396918,10.); #114182=VECTOR('',#396919,10.); #114183=VECTOR('',#396922,10.); #114184=VECTOR('',#396923,10.); #114185=VECTOR('',#396924,10.); #114186=VECTOR('',#396927,10.); #114187=VECTOR('',#396928,10.); #114188=VECTOR('',#396929,10.); #114189=VECTOR('',#396932,10.); #114190=VECTOR('',#396933,10.); #114191=VECTOR('',#396934,10.); #114192=VECTOR('',#396937,10.); #114193=VECTOR('',#396938,10.); #114194=VECTOR('',#396939,10.); #114195=VECTOR('',#396942,10.); #114196=VECTOR('',#396943,10.); #114197=VECTOR('',#396944,10.); #114198=VECTOR('',#396947,10.); #114199=VECTOR('',#396948,10.); #114200=VECTOR('',#396949,10.); #114201=VECTOR('',#396952,10.); #114202=VECTOR('',#396953,10.); #114203=VECTOR('',#396954,10.); #114204=VECTOR('',#396957,10.); #114205=VECTOR('',#396958,10.); #114206=VECTOR('',#396959,10.); #114207=VECTOR('',#396962,10.); #114208=VECTOR('',#396963,10.); #114209=VECTOR('',#396964,10.); #114210=VECTOR('',#396967,10.); #114211=VECTOR('',#396968,10.); #114212=VECTOR('',#396969,10.); #114213=VECTOR('',#396972,10.); #114214=VECTOR('',#396973,10.); #114215=VECTOR('',#396974,10.); #114216=VECTOR('',#396977,10.); #114217=VECTOR('',#396978,10.); #114218=VECTOR('',#396979,10.); #114219=VECTOR('',#396982,10.); #114220=VECTOR('',#396983,10.); #114221=VECTOR('',#396984,10.); #114222=VECTOR('',#396987,10.); #114223=VECTOR('',#396988,10.); #114224=VECTOR('',#396989,10.); #114225=VECTOR('',#396992,10.); #114226=VECTOR('',#396993,10.); #114227=VECTOR('',#396994,10.); #114228=VECTOR('',#396997,10.); #114229=VECTOR('',#396998,10.); #114230=VECTOR('',#396999,10.); #114231=VECTOR('',#397002,10.); #114232=VECTOR('',#397003,10.); #114233=VECTOR('',#397004,10.); #114234=VECTOR('',#397007,10.); #114235=VECTOR('',#397008,10.); #114236=VECTOR('',#397017,0.465); #114237=VECTOR('',#397022,10.); #114238=VECTOR('',#397023,10.); #114239=VECTOR('',#397024,10.); #114240=VECTOR('',#397025,10.); #114241=VECTOR('',#397032,10.); #114242=VECTOR('',#397045,10.); #114243=VECTOR('',#397046,10.); #114244=VECTOR('',#397047,10.); #114245=VECTOR('',#397048,10.); #114246=VECTOR('',#397055,10.); #114247=VECTOR('',#397058,10.); #114248=VECTOR('',#397059,10.); #114249=VECTOR('',#397060,10.); #114250=VECTOR('',#397069,10.); #114251=VECTOR('',#397070,10.); #114252=VECTOR('',#397071,10.); #114253=VECTOR('',#397072,10.); #114254=VECTOR('',#397075,10.); #114255=VECTOR('',#397076,10.); #114256=VECTOR('',#397077,10.); #114257=VECTOR('',#397080,10.); #114258=VECTOR('',#397081,10.); #114259=VECTOR('',#397082,10.); #114260=VECTOR('',#397085,10.); #114261=VECTOR('',#397086,10.); #114262=VECTOR('',#397087,10.); #114263=VECTOR('',#397090,10.); #114264=VECTOR('',#397091,10.); #114265=VECTOR('',#397092,10.); #114266=VECTOR('',#397095,10.); #114267=VECTOR('',#397096,10.); #114268=VECTOR('',#397097,10.); #114269=VECTOR('',#397100,10.); #114270=VECTOR('',#397101,10.); #114271=VECTOR('',#397102,10.); #114272=VECTOR('',#397105,10.); #114273=VECTOR('',#397106,10.); #114274=VECTOR('',#397107,10.); #114275=VECTOR('',#397110,10.); #114276=VECTOR('',#397111,10.); #114277=VECTOR('',#397112,10.); #114278=VECTOR('',#397115,10.); #114279=VECTOR('',#397116,10.); #114280=VECTOR('',#397117,10.); #114281=VECTOR('',#397120,10.); #114282=VECTOR('',#397121,10.); #114283=VECTOR('',#397122,10.); #114284=VECTOR('',#397125,10.); #114285=VECTOR('',#397126,10.); #114286=VECTOR('',#397127,10.); #114287=VECTOR('',#397130,10.); #114288=VECTOR('',#397131,10.); #114289=VECTOR('',#397132,10.); #114290=VECTOR('',#397135,10.); #114291=VECTOR('',#397136,10.); #114292=VECTOR('',#397137,10.); #114293=VECTOR('',#397140,10.); #114294=VECTOR('',#397141,10.); #114295=VECTOR('',#397142,10.); #114296=VECTOR('',#397145,10.); #114297=VECTOR('',#397146,10.); #114298=VECTOR('',#397147,10.); #114299=VECTOR('',#397150,10.); #114300=VECTOR('',#397151,10.); #114301=VECTOR('',#397152,10.); #114302=VECTOR('',#397155,10.); #114303=VECTOR('',#397156,10.); #114304=VECTOR('',#397157,10.); #114305=VECTOR('',#397160,10.); #114306=VECTOR('',#397161,10.); #114307=VECTOR('',#397162,10.); #114308=VECTOR('',#397165,10.); #114309=VECTOR('',#397166,10.); #114310=VECTOR('',#397167,10.); #114311=VECTOR('',#397170,10.); #114312=VECTOR('',#397171,10.); #114313=VECTOR('',#397172,10.); #114314=VECTOR('',#397175,10.); #114315=VECTOR('',#397176,10.); #114316=VECTOR('',#397177,10.); #114317=VECTOR('',#397180,10.); #114318=VECTOR('',#397181,10.); #114319=VECTOR('',#397182,10.); #114320=VECTOR('',#397185,10.); #114321=VECTOR('',#397186,10.); #114322=VECTOR('',#397187,10.); #114323=VECTOR('',#397190,10.); #114324=VECTOR('',#397191,10.); #114325=VECTOR('',#397192,10.); #114326=VECTOR('',#397195,10.); #114327=VECTOR('',#397196,10.); #114328=VECTOR('',#397197,10.); #114329=VECTOR('',#397200,10.); #114330=VECTOR('',#397201,10.); #114331=VECTOR('',#397202,10.); #114332=VECTOR('',#397205,10.); #114333=VECTOR('',#397206,10.); #114334=VECTOR('',#397207,10.); #114335=VECTOR('',#397210,10.); #114336=VECTOR('',#397211,10.); #114337=VECTOR('',#397212,10.); #114338=VECTOR('',#397215,10.); #114339=VECTOR('',#397216,10.); #114340=VECTOR('',#397217,10.); #114341=VECTOR('',#397220,10.); #114342=VECTOR('',#397221,10.); #114343=VECTOR('',#397222,10.); #114344=VECTOR('',#397225,10.); #114345=VECTOR('',#397226,10.); #114346=VECTOR('',#397227,10.); #114347=VECTOR('',#397230,10.); #114348=VECTOR('',#397231,10.); #114349=VECTOR('',#397232,10.); #114350=VECTOR('',#397235,10.); #114351=VECTOR('',#397236,10.); #114352=VECTOR('',#397237,10.); #114353=VECTOR('',#397240,10.); #114354=VECTOR('',#397241,10.); #114355=VECTOR('',#397242,10.); #114356=VECTOR('',#397245,10.); #114357=VECTOR('',#397246,10.); #114358=VECTOR('',#397247,10.); #114359=VECTOR('',#397250,10.); #114360=VECTOR('',#397251,10.); #114361=VECTOR('',#397252,10.); #114362=VECTOR('',#397255,10.); #114363=VECTOR('',#397256,10.); #114364=VECTOR('',#397257,10.); #114365=VECTOR('',#397260,10.); #114366=VECTOR('',#397261,10.); #114367=VECTOR('',#397262,10.); #114368=VECTOR('',#397265,10.); #114369=VECTOR('',#397266,10.); #114370=VECTOR('',#397267,10.); #114371=VECTOR('',#397270,10.); #114372=VECTOR('',#397271,10.); #114373=VECTOR('',#397272,10.); #114374=VECTOR('',#397275,10.); #114375=VECTOR('',#397276,10.); #114376=VECTOR('',#397277,10.); #114377=VECTOR('',#397280,10.); #114378=VECTOR('',#397281,10.); #114379=VECTOR('',#397282,10.); #114380=VECTOR('',#397285,10.); #114381=VECTOR('',#397286,10.); #114382=VECTOR('',#397287,10.); #114383=VECTOR('',#397290,10.); #114384=VECTOR('',#397291,10.); #114385=VECTOR('',#397292,10.); #114386=VECTOR('',#397295,10.); #114387=VECTOR('',#397296,10.); #114388=VECTOR('',#397297,10.); #114389=VECTOR('',#397300,10.); #114390=VECTOR('',#397301,10.); #114391=VECTOR('',#397302,10.); #114392=VECTOR('',#397305,10.); #114393=VECTOR('',#397306,10.); #114394=VECTOR('',#397307,10.); #114395=VECTOR('',#397310,10.); #114396=VECTOR('',#397311,10.); #114397=VECTOR('',#397312,10.); #114398=VECTOR('',#397315,10.); #114399=VECTOR('',#397316,10.); #114400=VECTOR('',#397317,10.); #114401=VECTOR('',#397320,10.); #114402=VECTOR('',#397321,10.); #114403=VECTOR('',#397322,10.); #114404=VECTOR('',#397325,10.); #114405=VECTOR('',#397326,10.); #114406=VECTOR('',#397327,10.); #114407=VECTOR('',#397330,10.); #114408=VECTOR('',#397331,10.); #114409=VECTOR('',#397332,10.); #114410=VECTOR('',#397335,10.); #114411=VECTOR('',#397336,10.); #114412=VECTOR('',#397337,10.); #114413=VECTOR('',#397340,10.); #114414=VECTOR('',#397341,10.); #114415=VECTOR('',#397342,10.); #114416=VECTOR('',#397345,10.); #114417=VECTOR('',#397346,10.); #114418=VECTOR('',#397353,10.); #114419=VECTOR('',#397354,10.); #114420=VECTOR('',#397355,10.); #114421=VECTOR('',#397356,10.); #114422=VECTOR('',#397363,10.); #114423=VECTOR('',#397366,10.); #114424=VECTOR('',#397367,10.); #114425=VECTOR('',#397368,10.); #114426=VECTOR('',#397377,10.); #114427=VECTOR('',#397378,10.); #114428=VECTOR('',#397379,10.); #114429=VECTOR('',#397380,10.); #114430=VECTOR('',#397383,10.); #114431=VECTOR('',#397384,10.); #114432=VECTOR('',#397385,10.); #114433=VECTOR('',#397388,10.); #114434=VECTOR('',#397389,10.); #114435=VECTOR('',#397390,10.); #114436=VECTOR('',#397393,10.); #114437=VECTOR('',#397394,10.); #114438=VECTOR('',#397395,10.); #114439=VECTOR('',#397398,10.); #114440=VECTOR('',#397399,10.); #114441=VECTOR('',#397400,10.); #114442=VECTOR('',#397403,10.); #114443=VECTOR('',#397404,10.); #114444=VECTOR('',#397405,10.); #114445=VECTOR('',#397408,10.); #114446=VECTOR('',#397409,10.); #114447=VECTOR('',#397410,10.); #114448=VECTOR('',#397413,10.); #114449=VECTOR('',#397414,10.); #114450=VECTOR('',#397415,10.); #114451=VECTOR('',#397418,10.); #114452=VECTOR('',#397419,10.); #114453=VECTOR('',#397420,10.); #114454=VECTOR('',#397423,10.); #114455=VECTOR('',#397424,10.); #114456=VECTOR('',#397425,10.); #114457=VECTOR('',#397428,10.); #114458=VECTOR('',#397429,10.); #114459=VECTOR('',#397430,10.); #114460=VECTOR('',#397433,10.); #114461=VECTOR('',#397434,10.); #114462=VECTOR('',#397435,10.); #114463=VECTOR('',#397438,10.); #114464=VECTOR('',#397439,10.); #114465=VECTOR('',#397440,10.); #114466=VECTOR('',#397443,10.); #114467=VECTOR('',#397444,10.); #114468=VECTOR('',#397445,10.); #114469=VECTOR('',#397448,10.); #114470=VECTOR('',#397449,10.); #114471=VECTOR('',#397450,10.); #114472=VECTOR('',#397453,10.); #114473=VECTOR('',#397454,10.); #114474=VECTOR('',#397455,10.); #114475=VECTOR('',#397458,10.); #114476=VECTOR('',#397459,10.); #114477=VECTOR('',#397460,10.); #114478=VECTOR('',#397463,10.); #114479=VECTOR('',#397464,10.); #114480=VECTOR('',#397465,10.); #114481=VECTOR('',#397468,10.); #114482=VECTOR('',#397469,10.); #114483=VECTOR('',#397470,10.); #114484=VECTOR('',#397473,10.); #114485=VECTOR('',#397474,10.); #114486=VECTOR('',#397475,10.); #114487=VECTOR('',#397478,10.); #114488=VECTOR('',#397479,10.); #114489=VECTOR('',#397480,10.); #114490=VECTOR('',#397483,10.); #114491=VECTOR('',#397484,10.); #114492=VECTOR('',#397485,10.); #114493=VECTOR('',#397488,10.); #114494=VECTOR('',#397489,10.); #114495=VECTOR('',#397490,10.); #114496=VECTOR('',#397493,10.); #114497=VECTOR('',#397494,10.); #114498=VECTOR('',#397495,10.); #114499=VECTOR('',#397498,10.); #114500=VECTOR('',#397499,10.); #114501=VECTOR('',#397500,10.); #114502=VECTOR('',#397503,10.); #114503=VECTOR('',#397504,10.); #114504=VECTOR('',#397505,10.); #114505=VECTOR('',#397508,10.); #114506=VECTOR('',#397509,10.); #114507=VECTOR('',#397510,10.); #114508=VECTOR('',#397513,10.); #114509=VECTOR('',#397514,10.); #114510=VECTOR('',#397515,10.); #114511=VECTOR('',#397518,10.); #114512=VECTOR('',#397519,10.); #114513=VECTOR('',#397520,10.); #114514=VECTOR('',#397523,10.); #114515=VECTOR('',#397524,10.); #114516=VECTOR('',#397525,10.); #114517=VECTOR('',#397528,10.); #114518=VECTOR('',#397529,10.); #114519=VECTOR('',#397530,10.); #114520=VECTOR('',#397533,10.); #114521=VECTOR('',#397534,10.); #114522=VECTOR('',#397535,10.); #114523=VECTOR('',#397538,10.); #114524=VECTOR('',#397539,10.); #114525=VECTOR('',#397540,10.); #114526=VECTOR('',#397543,10.); #114527=VECTOR('',#397544,10.); #114528=VECTOR('',#397545,10.); #114529=VECTOR('',#397548,10.); #114530=VECTOR('',#397549,10.); #114531=VECTOR('',#397550,10.); #114532=VECTOR('',#397553,10.); #114533=VECTOR('',#397554,10.); #114534=VECTOR('',#397555,10.); #114535=VECTOR('',#397558,10.); #114536=VECTOR('',#397559,10.); #114537=VECTOR('',#397560,10.); #114538=VECTOR('',#397563,10.); #114539=VECTOR('',#397564,10.); #114540=VECTOR('',#397565,10.); #114541=VECTOR('',#397568,10.); #114542=VECTOR('',#397569,10.); #114543=VECTOR('',#397570,10.); #114544=VECTOR('',#397573,10.); #114545=VECTOR('',#397574,10.); #114546=VECTOR('',#397575,10.); #114547=VECTOR('',#397578,10.); #114548=VECTOR('',#397579,10.); #114549=VECTOR('',#397580,10.); #114550=VECTOR('',#397583,10.); #114551=VECTOR('',#397584,10.); #114552=VECTOR('',#397585,10.); #114553=VECTOR('',#397588,10.); #114554=VECTOR('',#397589,10.); #114555=VECTOR('',#397590,10.); #114556=VECTOR('',#397593,10.); #114557=VECTOR('',#397594,10.); #114558=VECTOR('',#397595,10.); #114559=VECTOR('',#397598,10.); #114560=VECTOR('',#397599,10.); #114561=VECTOR('',#397600,10.); #114562=VECTOR('',#397603,10.); #114563=VECTOR('',#397604,10.); #114564=VECTOR('',#397605,10.); #114565=VECTOR('',#397608,10.); #114566=VECTOR('',#397609,10.); #114567=VECTOR('',#397610,10.); #114568=VECTOR('',#397613,10.); #114569=VECTOR('',#397614,10.); #114570=VECTOR('',#397615,10.); #114571=VECTOR('',#397618,10.); #114572=VECTOR('',#397619,10.); #114573=VECTOR('',#397620,10.); #114574=VECTOR('',#397623,10.); #114575=VECTOR('',#397624,10.); #114576=VECTOR('',#397625,10.); #114577=VECTOR('',#397628,10.); #114578=VECTOR('',#397629,10.); #114579=VECTOR('',#397630,10.); #114580=VECTOR('',#397633,10.); #114581=VECTOR('',#397634,10.); #114582=VECTOR('',#397635,10.); #114583=VECTOR('',#397638,10.); #114584=VECTOR('',#397639,10.); #114585=VECTOR('',#397640,10.); #114586=VECTOR('',#397643,10.); #114587=VECTOR('',#397644,10.); #114588=VECTOR('',#397645,10.); #114589=VECTOR('',#397648,10.); #114590=VECTOR('',#397649,10.); #114591=VECTOR('',#397650,10.); #114592=VECTOR('',#397653,10.); #114593=VECTOR('',#397654,10.); #114594=VECTOR('',#397655,10.); #114595=VECTOR('',#397658,10.); #114596=VECTOR('',#397659,10.); #114597=VECTOR('',#397660,10.); #114598=VECTOR('',#397663,10.); #114599=VECTOR('',#397664,10.); #114600=VECTOR('',#397665,10.); #114601=VECTOR('',#397668,10.); #114602=VECTOR('',#397669,10.); #114603=VECTOR('',#397670,10.); #114604=VECTOR('',#397673,10.); #114605=VECTOR('',#397674,10.); #114606=VECTOR('',#397675,10.); #114607=VECTOR('',#397678,10.); #114608=VECTOR('',#397679,10.); #114609=VECTOR('',#397680,10.); #114610=VECTOR('',#397683,10.); #114611=VECTOR('',#397684,10.); #114612=VECTOR('',#397685,10.); #114613=VECTOR('',#397688,10.); #114614=VECTOR('',#397689,10.); #114615=VECTOR('',#397690,10.); #114616=VECTOR('',#397693,10.); #114617=VECTOR('',#397694,10.); #114618=VECTOR('',#397695,10.); #114619=VECTOR('',#397698,10.); #114620=VECTOR('',#397699,10.); #114621=VECTOR('',#397700,10.); #114622=VECTOR('',#397703,10.); #114623=VECTOR('',#397704,10.); #114624=VECTOR('',#397705,10.); #114625=VECTOR('',#397708,10.); #114626=VECTOR('',#397709,10.); #114627=VECTOR('',#397710,10.); #114628=VECTOR('',#397713,10.); #114629=VECTOR('',#397714,10.); #114630=VECTOR('',#397715,10.); #114631=VECTOR('',#397718,10.); #114632=VECTOR('',#397719,10.); #114633=VECTOR('',#397720,10.); #114634=VECTOR('',#397723,10.); #114635=VECTOR('',#397724,10.); #114636=VECTOR('',#397725,10.); #114637=VECTOR('',#397728,10.); #114638=VECTOR('',#397729,10.); #114639=VECTOR('',#397730,10.); #114640=VECTOR('',#397733,10.); #114641=VECTOR('',#397734,10.); #114642=VECTOR('',#397741,10.); #114643=VECTOR('',#397742,10.); #114644=VECTOR('',#397743,10.); #114645=VECTOR('',#397744,10.); #114646=VECTOR('',#397751,10.); #114647=VECTOR('',#397754,10.); #114648=VECTOR('',#397755,10.); #114649=VECTOR('',#397756,10.); #114650=VECTOR('',#397765,10.); #114651=VECTOR('',#397766,10.); #114652=VECTOR('',#397767,10.); #114653=VECTOR('',#397768,10.); #114654=VECTOR('',#397771,10.); #114655=VECTOR('',#397772,10.); #114656=VECTOR('',#397773,10.); #114657=VECTOR('',#397776,10.); #114658=VECTOR('',#397777,10.); #114659=VECTOR('',#397778,10.); #114660=VECTOR('',#397781,10.); #114661=VECTOR('',#397782,10.); #114662=VECTOR('',#397783,10.); #114663=VECTOR('',#397786,10.); #114664=VECTOR('',#397787,10.); #114665=VECTOR('',#397788,10.); #114666=VECTOR('',#397791,10.); #114667=VECTOR('',#397792,10.); #114668=VECTOR('',#397793,10.); #114669=VECTOR('',#397796,10.); #114670=VECTOR('',#397797,10.); #114671=VECTOR('',#397798,10.); #114672=VECTOR('',#397801,10.); #114673=VECTOR('',#397802,10.); #114674=VECTOR('',#397803,10.); #114675=VECTOR('',#397806,10.); #114676=VECTOR('',#397807,10.); #114677=VECTOR('',#397808,10.); #114678=VECTOR('',#397811,10.); #114679=VECTOR('',#397812,10.); #114680=VECTOR('',#397813,10.); #114681=VECTOR('',#397816,10.); #114682=VECTOR('',#397817,10.); #114683=VECTOR('',#397818,10.); #114684=VECTOR('',#397821,10.); #114685=VECTOR('',#397822,10.); #114686=VECTOR('',#397823,10.); #114687=VECTOR('',#397826,10.); #114688=VECTOR('',#397827,10.); #114689=VECTOR('',#397828,10.); #114690=VECTOR('',#397831,10.); #114691=VECTOR('',#397832,10.); #114692=VECTOR('',#397833,10.); #114693=VECTOR('',#397836,10.); #114694=VECTOR('',#397837,10.); #114695=VECTOR('',#397838,10.); #114696=VECTOR('',#397841,10.); #114697=VECTOR('',#397842,10.); #114698=VECTOR('',#397843,10.); #114699=VECTOR('',#397846,10.); #114700=VECTOR('',#397847,10.); #114701=VECTOR('',#397848,10.); #114702=VECTOR('',#397851,10.); #114703=VECTOR('',#397852,10.); #114704=VECTOR('',#397853,10.); #114705=VECTOR('',#397856,10.); #114706=VECTOR('',#397857,10.); #114707=VECTOR('',#397858,10.); #114708=VECTOR('',#397861,10.); #114709=VECTOR('',#397862,10.); #114710=VECTOR('',#397863,10.); #114711=VECTOR('',#397866,10.); #114712=VECTOR('',#397867,10.); #114713=VECTOR('',#397868,10.); #114714=VECTOR('',#397871,10.); #114715=VECTOR('',#397872,10.); #114716=VECTOR('',#397873,10.); #114717=VECTOR('',#397876,10.); #114718=VECTOR('',#397877,10.); #114719=VECTOR('',#397878,10.); #114720=VECTOR('',#397881,10.); #114721=VECTOR('',#397882,10.); #114722=VECTOR('',#397883,10.); #114723=VECTOR('',#397886,10.); #114724=VECTOR('',#397887,10.); #114725=VECTOR('',#397888,10.); #114726=VECTOR('',#397891,10.); #114727=VECTOR('',#397892,10.); #114728=VECTOR('',#397893,10.); #114729=VECTOR('',#397896,10.); #114730=VECTOR('',#397897,10.); #114731=VECTOR('',#397898,10.); #114732=VECTOR('',#397901,10.); #114733=VECTOR('',#397902,10.); #114734=VECTOR('',#397903,10.); #114735=VECTOR('',#397906,10.); #114736=VECTOR('',#397907,10.); #114737=VECTOR('',#397908,10.); #114738=VECTOR('',#397911,10.); #114739=VECTOR('',#397912,10.); #114740=VECTOR('',#397913,10.); #114741=VECTOR('',#397916,10.); #114742=VECTOR('',#397917,10.); #114743=VECTOR('',#397918,10.); #114744=VECTOR('',#397921,10.); #114745=VECTOR('',#397922,10.); #114746=VECTOR('',#397923,10.); #114747=VECTOR('',#397926,10.); #114748=VECTOR('',#397927,10.); #114749=VECTOR('',#397928,10.); #114750=VECTOR('',#397931,10.); #114751=VECTOR('',#397932,10.); #114752=VECTOR('',#397933,10.); #114753=VECTOR('',#397936,10.); #114754=VECTOR('',#397937,10.); #114755=VECTOR('',#397938,10.); #114756=VECTOR('',#397941,10.); #114757=VECTOR('',#397942,10.); #114758=VECTOR('',#397943,10.); #114759=VECTOR('',#397946,10.); #114760=VECTOR('',#397947,10.); #114761=VECTOR('',#397948,10.); #114762=VECTOR('',#397951,10.); #114763=VECTOR('',#397952,10.); #114764=VECTOR('',#397953,10.); #114765=VECTOR('',#397956,10.); #114766=VECTOR('',#397957,10.); #114767=VECTOR('',#397958,10.); #114768=VECTOR('',#397961,10.); #114769=VECTOR('',#397962,10.); #114770=VECTOR('',#397963,10.); #114771=VECTOR('',#397966,10.); #114772=VECTOR('',#397967,10.); #114773=VECTOR('',#397968,10.); #114774=VECTOR('',#397971,10.); #114775=VECTOR('',#397972,10.); #114776=VECTOR('',#397973,10.); #114777=VECTOR('',#397976,10.); #114778=VECTOR('',#397977,10.); #114779=VECTOR('',#397978,10.); #114780=VECTOR('',#397981,10.); #114781=VECTOR('',#397982,10.); #114782=VECTOR('',#397983,10.); #114783=VECTOR('',#397986,10.); #114784=VECTOR('',#397987,10.); #114785=VECTOR('',#397988,10.); #114786=VECTOR('',#397991,10.); #114787=VECTOR('',#397992,10.); #114788=VECTOR('',#397993,10.); #114789=VECTOR('',#397996,10.); #114790=VECTOR('',#397997,10.); #114791=VECTOR('',#397998,10.); #114792=VECTOR('',#398001,10.); #114793=VECTOR('',#398002,10.); #114794=VECTOR('',#398003,10.); #114795=VECTOR('',#398006,10.); #114796=VECTOR('',#398007,10.); #114797=VECTOR('',#398008,10.); #114798=VECTOR('',#398011,10.); #114799=VECTOR('',#398012,10.); #114800=VECTOR('',#398013,10.); #114801=VECTOR('',#398016,10.); #114802=VECTOR('',#398017,10.); #114803=VECTOR('',#398018,10.); #114804=VECTOR('',#398021,10.); #114805=VECTOR('',#398022,10.); #114806=VECTOR('',#398023,10.); #114807=VECTOR('',#398026,10.); #114808=VECTOR('',#398027,10.); #114809=VECTOR('',#398028,10.); #114810=VECTOR('',#398031,10.); #114811=VECTOR('',#398032,10.); #114812=VECTOR('',#398033,10.); #114813=VECTOR('',#398036,10.); #114814=VECTOR('',#398037,10.); #114815=VECTOR('',#398038,10.); #114816=VECTOR('',#398041,10.); #114817=VECTOR('',#398042,10.); #114818=VECTOR('',#398051,0.514999999999999); #114819=VECTOR('',#398056,10.); #114820=VECTOR('',#398057,10.); #114821=VECTOR('',#398058,10.); #114822=VECTOR('',#398059,10.); #114823=VECTOR('',#398066,10.); #114824=VECTOR('',#398079,10.); #114825=VECTOR('',#398080,10.); #114826=VECTOR('',#398081,10.); #114827=VECTOR('',#398082,10.); #114828=VECTOR('',#398089,10.); #114829=VECTOR('',#398092,10.); #114830=VECTOR('',#398093,10.); #114831=VECTOR('',#398094,10.); #114832=VECTOR('',#398103,10.); #114833=VECTOR('',#398104,10.); #114834=VECTOR('',#398105,10.); #114835=VECTOR('',#398106,10.); #114836=VECTOR('',#398109,10.); #114837=VECTOR('',#398110,10.); #114838=VECTOR('',#398111,10.); #114839=VECTOR('',#398114,10.); #114840=VECTOR('',#398115,10.); #114841=VECTOR('',#398116,10.); #114842=VECTOR('',#398119,10.); #114843=VECTOR('',#398120,10.); #114844=VECTOR('',#398121,10.); #114845=VECTOR('',#398124,10.); #114846=VECTOR('',#398125,10.); #114847=VECTOR('',#398126,10.); #114848=VECTOR('',#398129,10.); #114849=VECTOR('',#398130,10.); #114850=VECTOR('',#398131,10.); #114851=VECTOR('',#398134,10.); #114852=VECTOR('',#398135,10.); #114853=VECTOR('',#398136,10.); #114854=VECTOR('',#398139,10.); #114855=VECTOR('',#398140,10.); #114856=VECTOR('',#398141,10.); #114857=VECTOR('',#398144,10.); #114858=VECTOR('',#398145,10.); #114859=VECTOR('',#398146,10.); #114860=VECTOR('',#398149,10.); #114861=VECTOR('',#398150,10.); #114862=VECTOR('',#398151,10.); #114863=VECTOR('',#398154,10.); #114864=VECTOR('',#398155,10.); #114865=VECTOR('',#398156,10.); #114866=VECTOR('',#398159,10.); #114867=VECTOR('',#398160,10.); #114868=VECTOR('',#398161,10.); #114869=VECTOR('',#398164,10.); #114870=VECTOR('',#398165,10.); #114871=VECTOR('',#398166,10.); #114872=VECTOR('',#398169,10.); #114873=VECTOR('',#398170,10.); #114874=VECTOR('',#398171,10.); #114875=VECTOR('',#398174,10.); #114876=VECTOR('',#398175,10.); #114877=VECTOR('',#398176,10.); #114878=VECTOR('',#398179,10.); #114879=VECTOR('',#398180,10.); #114880=VECTOR('',#398181,10.); #114881=VECTOR('',#398184,10.); #114882=VECTOR('',#398185,10.); #114883=VECTOR('',#398186,10.); #114884=VECTOR('',#398189,10.); #114885=VECTOR('',#398190,10.); #114886=VECTOR('',#398191,10.); #114887=VECTOR('',#398194,10.); #114888=VECTOR('',#398195,10.); #114889=VECTOR('',#398196,10.); #114890=VECTOR('',#398199,10.); #114891=VECTOR('',#398200,10.); #114892=VECTOR('',#398201,10.); #114893=VECTOR('',#398204,10.); #114894=VECTOR('',#398205,10.); #114895=VECTOR('',#398206,10.); #114896=VECTOR('',#398209,10.); #114897=VECTOR('',#398210,10.); #114898=VECTOR('',#398211,10.); #114899=VECTOR('',#398214,10.); #114900=VECTOR('',#398215,10.); #114901=VECTOR('',#398216,10.); #114902=VECTOR('',#398219,10.); #114903=VECTOR('',#398220,10.); #114904=VECTOR('',#398221,10.); #114905=VECTOR('',#398224,10.); #114906=VECTOR('',#398225,10.); #114907=VECTOR('',#398226,10.); #114908=VECTOR('',#398229,10.); #114909=VECTOR('',#398230,10.); #114910=VECTOR('',#398231,10.); #114911=VECTOR('',#398234,10.); #114912=VECTOR('',#398235,10.); #114913=VECTOR('',#398236,10.); #114914=VECTOR('',#398239,10.); #114915=VECTOR('',#398240,10.); #114916=VECTOR('',#398241,10.); #114917=VECTOR('',#398244,10.); #114918=VECTOR('',#398245,10.); #114919=VECTOR('',#398246,10.); #114920=VECTOR('',#398249,10.); #114921=VECTOR('',#398250,10.); #114922=VECTOR('',#398251,10.); #114923=VECTOR('',#398254,10.); #114924=VECTOR('',#398255,10.); #114925=VECTOR('',#398256,10.); #114926=VECTOR('',#398259,10.); #114927=VECTOR('',#398260,10.); #114928=VECTOR('',#398261,10.); #114929=VECTOR('',#398264,10.); #114930=VECTOR('',#398265,10.); #114931=VECTOR('',#398266,10.); #114932=VECTOR('',#398269,10.); #114933=VECTOR('',#398270,10.); #114934=VECTOR('',#398271,10.); #114935=VECTOR('',#398274,10.); #114936=VECTOR('',#398275,10.); #114937=VECTOR('',#398276,10.); #114938=VECTOR('',#398279,10.); #114939=VECTOR('',#398280,10.); #114940=VECTOR('',#398281,10.); #114941=VECTOR('',#398284,10.); #114942=VECTOR('',#398285,10.); #114943=VECTOR('',#398286,10.); #114944=VECTOR('',#398289,10.); #114945=VECTOR('',#398290,10.); #114946=VECTOR('',#398291,10.); #114947=VECTOR('',#398294,10.); #114948=VECTOR('',#398295,10.); #114949=VECTOR('',#398296,10.); #114950=VECTOR('',#398299,10.); #114951=VECTOR('',#398300,10.); #114952=VECTOR('',#398301,10.); #114953=VECTOR('',#398304,10.); #114954=VECTOR('',#398305,10.); #114955=VECTOR('',#398306,10.); #114956=VECTOR('',#398309,10.); #114957=VECTOR('',#398310,10.); #114958=VECTOR('',#398311,10.); #114959=VECTOR('',#398314,10.); #114960=VECTOR('',#398315,10.); #114961=VECTOR('',#398316,10.); #114962=VECTOR('',#398319,10.); #114963=VECTOR('',#398320,10.); #114964=VECTOR('',#398321,10.); #114965=VECTOR('',#398324,10.); #114966=VECTOR('',#398325,10.); #114967=VECTOR('',#398326,10.); #114968=VECTOR('',#398329,10.); #114969=VECTOR('',#398330,10.); #114970=VECTOR('',#398331,10.); #114971=VECTOR('',#398334,10.); #114972=VECTOR('',#398335,10.); #114973=VECTOR('',#398336,10.); #114974=VECTOR('',#398339,10.); #114975=VECTOR('',#398340,10.); #114976=VECTOR('',#398341,10.); #114977=VECTOR('',#398344,10.); #114978=VECTOR('',#398345,10.); #114979=VECTOR('',#398346,10.); #114980=VECTOR('',#398349,10.); #114981=VECTOR('',#398350,10.); #114982=VECTOR('',#398351,10.); #114983=VECTOR('',#398354,10.); #114984=VECTOR('',#398355,10.); #114985=VECTOR('',#398356,10.); #114986=VECTOR('',#398359,10.); #114987=VECTOR('',#398360,10.); #114988=VECTOR('',#398361,10.); #114989=VECTOR('',#398364,10.); #114990=VECTOR('',#398365,10.); #114991=VECTOR('',#398366,10.); #114992=VECTOR('',#398369,10.); #114993=VECTOR('',#398370,10.); #114994=VECTOR('',#398371,10.); #114995=VECTOR('',#398374,10.); #114996=VECTOR('',#398375,10.); #114997=VECTOR('',#398376,10.); #114998=VECTOR('',#398379,10.); #114999=VECTOR('',#398380,10.); #115000=VECTOR('',#398389,0.472999999999999); #115001=VECTOR('',#398394,10.); #115002=VECTOR('',#398395,10.); #115003=VECTOR('',#398396,10.); #115004=VECTOR('',#398397,10.); #115005=VECTOR('',#398400,10.); #115006=VECTOR('',#398401,10.); #115007=VECTOR('',#398402,10.); #115008=VECTOR('',#398405,10.); #115009=VECTOR('',#398406,10.); #115010=VECTOR('',#398407,10.); #115011=VECTOR('',#398410,10.); #115012=VECTOR('',#398411,10.); #115013=VECTOR('',#398412,10.); #115014=VECTOR('',#398415,10.); #115015=VECTOR('',#398416,10.); #115016=VECTOR('',#398417,10.); #115017=VECTOR('',#398420,10.); #115018=VECTOR('',#398421,10.); #115019=VECTOR('',#398422,10.); #115020=VECTOR('',#398425,10.); #115021=VECTOR('',#398426,10.); #115022=VECTOR('',#398427,10.); #115023=VECTOR('',#398430,10.); #115024=VECTOR('',#398431,10.); #115025=VECTOR('',#398440,0.465); #115026=VECTOR('',#398445,10.); #115027=VECTOR('',#398446,10.); #115028=VECTOR('',#398447,10.); #115029=VECTOR('',#398448,10.); #115030=VECTOR('',#398455,10.); #115031=VECTOR('',#398468,10.); #115032=VECTOR('',#398469,10.); #115033=VECTOR('',#398470,10.); #115034=VECTOR('',#398471,10.); #115035=VECTOR('',#398478,10.); #115036=VECTOR('',#398481,10.); #115037=VECTOR('',#398482,10.); #115038=VECTOR('',#398483,10.); #115039=VECTOR('',#398492,10.); #115040=VECTOR('',#398493,10.); #115041=VECTOR('',#398494,10.); #115042=VECTOR('',#398495,10.); #115043=VECTOR('',#398498,10.); #115044=VECTOR('',#398499,10.); #115045=VECTOR('',#398500,10.); #115046=VECTOR('',#398503,10.); #115047=VECTOR('',#398504,10.); #115048=VECTOR('',#398505,10.); #115049=VECTOR('',#398508,10.); #115050=VECTOR('',#398509,10.); #115051=VECTOR('',#398510,10.); #115052=VECTOR('',#398513,10.); #115053=VECTOR('',#398514,10.); #115054=VECTOR('',#398515,10.); #115055=VECTOR('',#398518,10.); #115056=VECTOR('',#398519,10.); #115057=VECTOR('',#398520,10.); #115058=VECTOR('',#398523,10.); #115059=VECTOR('',#398524,10.); #115060=VECTOR('',#398525,10.); #115061=VECTOR('',#398528,10.); #115062=VECTOR('',#398529,10.); #115063=VECTOR('',#398530,10.); #115064=VECTOR('',#398533,10.); #115065=VECTOR('',#398534,10.); #115066=VECTOR('',#398535,10.); #115067=VECTOR('',#398538,10.); #115068=VECTOR('',#398539,10.); #115069=VECTOR('',#398540,10.); #115070=VECTOR('',#398543,10.); #115071=VECTOR('',#398544,10.); #115072=VECTOR('',#398545,10.); #115073=VECTOR('',#398548,10.); #115074=VECTOR('',#398549,10.); #115075=VECTOR('',#398550,10.); #115076=VECTOR('',#398553,10.); #115077=VECTOR('',#398554,10.); #115078=VECTOR('',#398555,10.); #115079=VECTOR('',#398558,10.); #115080=VECTOR('',#398559,10.); #115081=VECTOR('',#398560,10.); #115082=VECTOR('',#398563,10.); #115083=VECTOR('',#398564,10.); #115084=VECTOR('',#398565,10.); #115085=VECTOR('',#398568,10.); #115086=VECTOR('',#398569,10.); #115087=VECTOR('',#398570,10.); #115088=VECTOR('',#398573,10.); #115089=VECTOR('',#398574,10.); #115090=VECTOR('',#398575,10.); #115091=VECTOR('',#398578,10.); #115092=VECTOR('',#398579,10.); #115093=VECTOR('',#398580,10.); #115094=VECTOR('',#398583,10.); #115095=VECTOR('',#398584,10.); #115096=VECTOR('',#398585,10.); #115097=VECTOR('',#398588,10.); #115098=VECTOR('',#398589,10.); #115099=VECTOR('',#398590,10.); #115100=VECTOR('',#398593,10.); #115101=VECTOR('',#398594,10.); #115102=VECTOR('',#398595,10.); #115103=VECTOR('',#398598,10.); #115104=VECTOR('',#398599,10.); #115105=VECTOR('',#398600,10.); #115106=VECTOR('',#398603,10.); #115107=VECTOR('',#398604,10.); #115108=VECTOR('',#398605,10.); #115109=VECTOR('',#398608,10.); #115110=VECTOR('',#398609,10.); #115111=VECTOR('',#398610,10.); #115112=VECTOR('',#398613,10.); #115113=VECTOR('',#398614,10.); #115114=VECTOR('',#398615,10.); #115115=VECTOR('',#398618,10.); #115116=VECTOR('',#398619,10.); #115117=VECTOR('',#398620,10.); #115118=VECTOR('',#398623,10.); #115119=VECTOR('',#398624,10.); #115120=VECTOR('',#398625,10.); #115121=VECTOR('',#398628,10.); #115122=VECTOR('',#398629,10.); #115123=VECTOR('',#398630,10.); #115124=VECTOR('',#398633,10.); #115125=VECTOR('',#398634,10.); #115126=VECTOR('',#398635,10.); #115127=VECTOR('',#398638,10.); #115128=VECTOR('',#398639,10.); #115129=VECTOR('',#398640,10.); #115130=VECTOR('',#398643,10.); #115131=VECTOR('',#398644,10.); #115132=VECTOR('',#398645,10.); #115133=VECTOR('',#398648,10.); #115134=VECTOR('',#398649,10.); #115135=VECTOR('',#398650,10.); #115136=VECTOR('',#398653,10.); #115137=VECTOR('',#398654,10.); #115138=VECTOR('',#398655,10.); #115139=VECTOR('',#398658,10.); #115140=VECTOR('',#398659,10.); #115141=VECTOR('',#398660,10.); #115142=VECTOR('',#398663,10.); #115143=VECTOR('',#398664,10.); #115144=VECTOR('',#398665,10.); #115145=VECTOR('',#398668,10.); #115146=VECTOR('',#398669,10.); #115147=VECTOR('',#398670,10.); #115148=VECTOR('',#398673,10.); #115149=VECTOR('',#398674,10.); #115150=VECTOR('',#398675,10.); #115151=VECTOR('',#398678,10.); #115152=VECTOR('',#398679,10.); #115153=VECTOR('',#398680,10.); #115154=VECTOR('',#398683,10.); #115155=VECTOR('',#398684,10.); #115156=VECTOR('',#398685,10.); #115157=VECTOR('',#398688,10.); #115158=VECTOR('',#398689,10.); #115159=VECTOR('',#398690,10.); #115160=VECTOR('',#398693,10.); #115161=VECTOR('',#398694,10.); #115162=VECTOR('',#398695,10.); #115163=VECTOR('',#398698,10.); #115164=VECTOR('',#398699,10.); #115165=VECTOR('',#398700,10.); #115166=VECTOR('',#398703,10.); #115167=VECTOR('',#398704,10.); #115168=VECTOR('',#398705,10.); #115169=VECTOR('',#398708,10.); #115170=VECTOR('',#398709,10.); #115171=VECTOR('',#398710,10.); #115172=VECTOR('',#398713,10.); #115173=VECTOR('',#398714,10.); #115174=VECTOR('',#398715,10.); #115175=VECTOR('',#398718,10.); #115176=VECTOR('',#398719,10.); #115177=VECTOR('',#398720,10.); #115178=VECTOR('',#398723,10.); #115179=VECTOR('',#398724,10.); #115180=VECTOR('',#398725,10.); #115181=VECTOR('',#398728,10.); #115182=VECTOR('',#398729,10.); #115183=VECTOR('',#398730,10.); #115184=VECTOR('',#398733,10.); #115185=VECTOR('',#398734,10.); #115186=VECTOR('',#398735,10.); #115187=VECTOR('',#398738,10.); #115188=VECTOR('',#398739,10.); #115189=VECTOR('',#398740,10.); #115190=VECTOR('',#398743,10.); #115191=VECTOR('',#398744,10.); #115192=VECTOR('',#398745,10.); #115193=VECTOR('',#398748,10.); #115194=VECTOR('',#398749,10.); #115195=VECTOR('',#398750,10.); #115196=VECTOR('',#398753,10.); #115197=VECTOR('',#398754,10.); #115198=VECTOR('',#398755,10.); #115199=VECTOR('',#398758,10.); #115200=VECTOR('',#398759,10.); #115201=VECTOR('',#398760,10.); #115202=VECTOR('',#398763,10.); #115203=VECTOR('',#398764,10.); #115204=VECTOR('',#398765,10.); #115205=VECTOR('',#398768,10.); #115206=VECTOR('',#398769,10.); #115207=VECTOR('',#398770,10.); #115208=VECTOR('',#398773,10.); #115209=VECTOR('',#398774,10.); #115210=VECTOR('',#398775,10.); #115211=VECTOR('',#398778,10.); #115212=VECTOR('',#398779,10.); #115213=VECTOR('',#398780,10.); #115214=VECTOR('',#398783,10.); #115215=VECTOR('',#398784,10.); #115216=VECTOR('',#398785,10.); #115217=VECTOR('',#398788,10.); #115218=VECTOR('',#398789,10.); #115219=VECTOR('',#398790,10.); #115220=VECTOR('',#398793,10.); #115221=VECTOR('',#398794,10.); #115222=VECTOR('',#398795,10.); #115223=VECTOR('',#398798,10.); #115224=VECTOR('',#398799,10.); #115225=VECTOR('',#398800,10.); #115226=VECTOR('',#398803,10.); #115227=VECTOR('',#398804,10.); #115228=VECTOR('',#398805,10.); #115229=VECTOR('',#398808,10.); #115230=VECTOR('',#398809,10.); #115231=VECTOR('',#398810,10.); #115232=VECTOR('',#398813,10.); #115233=VECTOR('',#398814,10.); #115234=VECTOR('',#398815,10.); #115235=VECTOR('',#398818,10.); #115236=VECTOR('',#398819,10.); #115237=VECTOR('',#398820,10.); #115238=VECTOR('',#398823,10.); #115239=VECTOR('',#398824,10.); #115240=VECTOR('',#398825,10.); #115241=VECTOR('',#398828,10.); #115242=VECTOR('',#398829,10.); #115243=VECTOR('',#398830,10.); #115244=VECTOR('',#398833,10.); #115245=VECTOR('',#398834,10.); #115246=VECTOR('',#398835,10.); #115247=VECTOR('',#398838,10.); #115248=VECTOR('',#398839,10.); #115249=VECTOR('',#398840,10.); #115250=VECTOR('',#398843,10.); #115251=VECTOR('',#398844,10.); #115252=VECTOR('',#398845,10.); #115253=VECTOR('',#398848,10.); #115254=VECTOR('',#398849,10.); #115255=VECTOR('',#398858,0.472999999999999); #115256=VECTOR('',#398863,10.); #115257=VECTOR('',#398864,10.); #115258=VECTOR('',#398865,10.); #115259=VECTOR('',#398866,10.); #115260=VECTOR('',#398869,10.); #115261=VECTOR('',#398870,10.); #115262=VECTOR('',#398871,10.); #115263=VECTOR('',#398874,10.); #115264=VECTOR('',#398875,10.); #115265=VECTOR('',#398876,10.); #115266=VECTOR('',#398879,10.); #115267=VECTOR('',#398880,10.); #115268=VECTOR('',#398881,10.); #115269=VECTOR('',#398884,10.); #115270=VECTOR('',#398885,10.); #115271=VECTOR('',#398886,10.); #115272=VECTOR('',#398889,10.); #115273=VECTOR('',#398890,10.); #115274=VECTOR('',#398891,10.); #115275=VECTOR('',#398894,10.); #115276=VECTOR('',#398895,10.); #115277=VECTOR('',#398896,10.); #115278=VECTOR('',#398899,10.); #115279=VECTOR('',#398900,10.); #115280=VECTOR('',#398909,0.465); #115281=VECTOR('',#398914,10.); #115282=VECTOR('',#398915,10.); #115283=VECTOR('',#398916,10.); #115284=VECTOR('',#398917,10.); #115285=VECTOR('',#398924,10.); #115286=VECTOR('',#398939,0.465); #115287=VECTOR('',#398944,10.); #115288=VECTOR('',#398945,10.); #115289=VECTOR('',#398946,10.); #115290=VECTOR('',#398947,10.); #115291=VECTOR('',#398954,10.); #115292=VECTOR('',#398969,0.465); #115293=VECTOR('',#398974,10.); #115294=VECTOR('',#398975,10.); #115295=VECTOR('',#398976,10.); #115296=VECTOR('',#398977,10.); #115297=VECTOR('',#398984,10.); #115298=VECTOR('',#398999,0.564999999999998); #115299=VECTOR('',#399004,10.); #115300=VECTOR('',#399005,10.); #115301=VECTOR('',#399006,10.); #115302=VECTOR('',#399007,10.); #115303=VECTOR('',#399010,10.); #115304=VECTOR('',#399011,10.); #115305=VECTOR('',#399012,10.); #115306=VECTOR('',#399015,10.); #115307=VECTOR('',#399016,10.); #115308=VECTOR('',#399017,10.); #115309=VECTOR('',#399020,10.); #115310=VECTOR('',#399021,10.); #115311=VECTOR('',#399030,0.472999999999999); #115312=VECTOR('',#399035,10.); #115313=VECTOR('',#399036,10.); #115314=VECTOR('',#399037,10.); #115315=VECTOR('',#399038,10.); #115316=VECTOR('',#399041,10.); #115317=VECTOR('',#399042,10.); #115318=VECTOR('',#399043,10.); #115319=VECTOR('',#399046,10.); #115320=VECTOR('',#399047,10.); #115321=VECTOR('',#399048,10.); #115322=VECTOR('',#399051,10.); #115323=VECTOR('',#399052,10.); #115324=VECTOR('',#399053,10.); #115325=VECTOR('',#399056,10.); #115326=VECTOR('',#399057,10.); #115327=VECTOR('',#399058,10.); #115328=VECTOR('',#399061,10.); #115329=VECTOR('',#399062,10.); #115330=VECTOR('',#399063,10.); #115331=VECTOR('',#399066,10.); #115332=VECTOR('',#399067,10.); #115333=VECTOR('',#399068,10.); #115334=VECTOR('',#399071,10.); #115335=VECTOR('',#399072,10.); #115336=VECTOR('',#399081,0.465); #115337=VECTOR('',#399086,10.); #115338=VECTOR('',#399087,10.); #115339=VECTOR('',#399088,10.); #115340=VECTOR('',#399089,10.); #115341=VECTOR('',#399096,10.); #115342=VECTOR('',#399111,0.465); #115343=VECTOR('',#399116,10.); #115344=VECTOR('',#399117,10.); #115345=VECTOR('',#399118,10.); #115346=VECTOR('',#399119,10.); #115347=VECTOR('',#399126,10.); #115348=VECTOR('',#399139,10.); #115349=VECTOR('',#399140,10.); #115350=VECTOR('',#399141,10.); #115351=VECTOR('',#399142,10.); #115352=VECTOR('',#399145,10.); #115353=VECTOR('',#399146,10.); #115354=VECTOR('',#399147,10.); #115355=VECTOR('',#399150,10.); #115356=VECTOR('',#399151,10.); #115357=VECTOR('',#399152,10.); #115358=VECTOR('',#399155,10.); #115359=VECTOR('',#399156,10.); #115360=VECTOR('',#399163,10.); #115361=VECTOR('',#399164,10.); #115362=VECTOR('',#399165,10.); #115363=VECTOR('',#399166,10.); #115364=VECTOR('',#399169,10.); #115365=VECTOR('',#399170,10.); #115366=VECTOR('',#399171,10.); #115367=VECTOR('',#399174,10.); #115368=VECTOR('',#399175,10.); #115369=VECTOR('',#399176,10.); #115370=VECTOR('',#399179,10.); #115371=VECTOR('',#399180,10.); #115372=VECTOR('',#399187,10.); #115373=VECTOR('',#399188,10.); #115374=VECTOR('',#399189,10.); #115375=VECTOR('',#399190,10.); #115376=VECTOR('',#399193,10.); #115377=VECTOR('',#399194,10.); #115378=VECTOR('',#399195,10.); #115379=VECTOR('',#399198,10.); #115380=VECTOR('',#399199,10.); #115381=VECTOR('',#399200,10.); #115382=VECTOR('',#399203,10.); #115383=VECTOR('',#399204,10.); #115384=VECTOR('',#399211,10.); #115385=VECTOR('',#399212,10.); #115386=VECTOR('',#399213,10.); #115387=VECTOR('',#399214,10.); #115388=VECTOR('',#399217,10.); #115389=VECTOR('',#399218,10.); #115390=VECTOR('',#399219,10.); #115391=VECTOR('',#399222,10.); #115392=VECTOR('',#399223,10.); #115393=VECTOR('',#399224,10.); #115394=VECTOR('',#399227,10.); #115395=VECTOR('',#399228,10.); #115396=VECTOR('',#399235,10.); #115397=VECTOR('',#399236,10.); #115398=VECTOR('',#399237,10.); #115399=VECTOR('',#399238,10.); #115400=VECTOR('',#399241,10.); #115401=VECTOR('',#399242,10.); #115402=VECTOR('',#399243,10.); #115403=VECTOR('',#399246,10.); #115404=VECTOR('',#399247,10.); #115405=VECTOR('',#399248,10.); #115406=VECTOR('',#399251,10.); #115407=VECTOR('',#399252,10.); #115408=VECTOR('',#399259,10.); #115409=VECTOR('',#399260,10.); #115410=VECTOR('',#399261,10.); #115411=VECTOR('',#399262,10.); #115412=VECTOR('',#399265,10.); #115413=VECTOR('',#399266,10.); #115414=VECTOR('',#399267,10.); #115415=VECTOR('',#399270,10.); #115416=VECTOR('',#399271,10.); #115417=VECTOR('',#399272,10.); #115418=VECTOR('',#399275,10.); #115419=VECTOR('',#399276,10.); #115420=VECTOR('',#399283,10.); #115421=VECTOR('',#399284,10.); #115422=VECTOR('',#399285,10.); #115423=VECTOR('',#399286,10.); #115424=VECTOR('',#399289,10.); #115425=VECTOR('',#399290,10.); #115426=VECTOR('',#399291,10.); #115427=VECTOR('',#399294,10.); #115428=VECTOR('',#399295,10.); #115429=VECTOR('',#399296,10.); #115430=VECTOR('',#399299,10.); #115431=VECTOR('',#399300,10.); #115432=VECTOR('',#399307,10.); #115433=VECTOR('',#399308,10.); #115434=VECTOR('',#399309,10.); #115435=VECTOR('',#399310,10.); #115436=VECTOR('',#399313,10.); #115437=VECTOR('',#399314,10.); #115438=VECTOR('',#399315,10.); #115439=VECTOR('',#399318,10.); #115440=VECTOR('',#399319,10.); #115441=VECTOR('',#399320,10.); #115442=VECTOR('',#399323,10.); #115443=VECTOR('',#399324,10.); #115444=VECTOR('',#399331,10.); #115445=VECTOR('',#399332,10.); #115446=VECTOR('',#399333,10.); #115447=VECTOR('',#399334,10.); #115448=VECTOR('',#399337,10.); #115449=VECTOR('',#399338,10.); #115450=VECTOR('',#399339,10.); #115451=VECTOR('',#399342,10.); #115452=VECTOR('',#399343,10.); #115453=VECTOR('',#399344,10.); #115454=VECTOR('',#399347,10.); #115455=VECTOR('',#399348,10.); #115456=VECTOR('',#399355,10.); #115457=VECTOR('',#399356,10.); #115458=VECTOR('',#399357,10.); #115459=VECTOR('',#399358,10.); #115460=VECTOR('',#399361,10.); #115461=VECTOR('',#399362,10.); #115462=VECTOR('',#399363,10.); #115463=VECTOR('',#399366,10.); #115464=VECTOR('',#399367,10.); #115465=VECTOR('',#399368,10.); #115466=VECTOR('',#399371,10.); #115467=VECTOR('',#399372,10.); #115468=VECTOR('',#399379,10.); #115469=VECTOR('',#399380,10.); #115470=VECTOR('',#399381,10.); #115471=VECTOR('',#399382,10.); #115472=VECTOR('',#399385,10.); #115473=VECTOR('',#399386,10.); #115474=VECTOR('',#399387,10.); #115475=VECTOR('',#399390,10.); #115476=VECTOR('',#399391,10.); #115477=VECTOR('',#399392,10.); #115478=VECTOR('',#399395,10.); #115479=VECTOR('',#399396,10.); #115480=VECTOR('',#399403,10.); #115481=VECTOR('',#399404,10.); #115482=VECTOR('',#399405,10.); #115483=VECTOR('',#399406,10.); #115484=VECTOR('',#399409,10.); #115485=VECTOR('',#399410,10.); #115486=VECTOR('',#399411,10.); #115487=VECTOR('',#399414,10.); #115488=VECTOR('',#399415,10.); #115489=VECTOR('',#399416,10.); #115490=VECTOR('',#399419,10.); #115491=VECTOR('',#399420,10.); #115492=VECTOR('',#399427,10.); #115493=VECTOR('',#399428,10.); #115494=VECTOR('',#399429,10.); #115495=VECTOR('',#399430,10.); #115496=VECTOR('',#399433,10.); #115497=VECTOR('',#399434,10.); #115498=VECTOR('',#399435,10.); #115499=VECTOR('',#399438,10.); #115500=VECTOR('',#399439,10.); #115501=VECTOR('',#399440,10.); #115502=VECTOR('',#399443,10.); #115503=VECTOR('',#399444,10.); #115504=VECTOR('',#399451,10.); #115505=VECTOR('',#399452,10.); #115506=VECTOR('',#399453,10.); #115507=VECTOR('',#399454,10.); #115508=VECTOR('',#399457,10.); #115509=VECTOR('',#399458,10.); #115510=VECTOR('',#399459,10.); #115511=VECTOR('',#399462,10.); #115512=VECTOR('',#399463,10.); #115513=VECTOR('',#399464,10.); #115514=VECTOR('',#399467,10.); #115515=VECTOR('',#399468,10.); #115516=VECTOR('',#399477,0.14); #115517=VECTOR('',#399484,0.14); #115518=VECTOR('',#399491,0.14); #115519=VECTOR('',#399498,0.14); #115520=VECTOR('',#399505,0.14); #115521=VECTOR('',#399512,0.14); #115522=VECTOR('',#399517,10.); #115523=VECTOR('',#399518,10.); #115524=VECTOR('',#399519,10.); #115525=VECTOR('',#399520,10.); #115526=VECTOR('',#399523,10.); #115527=VECTOR('',#399524,10.); #115528=VECTOR('',#399525,10.); #115529=VECTOR('',#399528,10.); #115530=VECTOR('',#399529,10.); #115531=VECTOR('',#399530,10.); #115532=VECTOR('',#399533,10.); #115533=VECTOR('',#399534,10.); #115534=VECTOR('',#399541,10.); #115535=VECTOR('',#399542,10.); #115536=VECTOR('',#399543,10.); #115537=VECTOR('',#399544,10.); #115538=VECTOR('',#399547,10.); #115539=VECTOR('',#399548,10.); #115540=VECTOR('',#399549,10.); #115541=VECTOR('',#399552,10.); #115542=VECTOR('',#399553,10.); #115543=VECTOR('',#399554,10.); #115544=VECTOR('',#399557,10.); #115545=VECTOR('',#399558,10.); #115546=VECTOR('',#399565,10.); #115547=VECTOR('',#399566,10.); #115548=VECTOR('',#399567,10.); #115549=VECTOR('',#399568,10.); #115550=VECTOR('',#399571,10.); #115551=VECTOR('',#399572,10.); #115552=VECTOR('',#399573,10.); #115553=VECTOR('',#399576,10.); #115554=VECTOR('',#399577,10.); #115555=VECTOR('',#399578,10.); #115556=VECTOR('',#399581,10.); #115557=VECTOR('',#399582,10.); #115558=VECTOR('',#399589,10.); #115559=VECTOR('',#399590,10.); #115560=VECTOR('',#399591,10.); #115561=VECTOR('',#399592,10.); #115562=VECTOR('',#399595,10.); #115563=VECTOR('',#399596,10.); #115564=VECTOR('',#399597,10.); #115565=VECTOR('',#399600,10.); #115566=VECTOR('',#399601,10.); #115567=VECTOR('',#399602,10.); #115568=VECTOR('',#399605,10.); #115569=VECTOR('',#399606,10.); #115570=VECTOR('',#399613,10.); #115571=VECTOR('',#399614,10.); #115572=VECTOR('',#399615,10.); #115573=VECTOR('',#399616,10.); #115574=VECTOR('',#399619,10.); #115575=VECTOR('',#399620,10.); #115576=VECTOR('',#399621,10.); #115577=VECTOR('',#399624,10.); #115578=VECTOR('',#399625,10.); #115579=VECTOR('',#399626,10.); #115580=VECTOR('',#399629,10.); #115581=VECTOR('',#399630,10.); #115582=VECTOR('',#399637,10.); #115583=VECTOR('',#399638,10.); #115584=VECTOR('',#399639,10.); #115585=VECTOR('',#399640,10.); #115586=VECTOR('',#399643,10.); #115587=VECTOR('',#399644,10.); #115588=VECTOR('',#399645,10.); #115589=VECTOR('',#399648,10.); #115590=VECTOR('',#399649,10.); #115591=VECTOR('',#399650,10.); #115592=VECTOR('',#399653,10.); #115593=VECTOR('',#399654,10.); #115594=VECTOR('',#399661,10.); #115595=VECTOR('',#399662,10.); #115596=VECTOR('',#399663,10.); #115597=VECTOR('',#399664,10.); #115598=VECTOR('',#399667,10.); #115599=VECTOR('',#399668,10.); #115600=VECTOR('',#399669,10.); #115601=VECTOR('',#399672,10.); #115602=VECTOR('',#399673,10.); #115603=VECTOR('',#399674,10.); #115604=VECTOR('',#399677,10.); #115605=VECTOR('',#399678,10.); #115606=VECTOR('',#399685,10.); #115607=VECTOR('',#399686,10.); #115608=VECTOR('',#399687,10.); #115609=VECTOR('',#399688,10.); #115610=VECTOR('',#399691,10.); #115611=VECTOR('',#399692,10.); #115612=VECTOR('',#399693,10.); #115613=VECTOR('',#399696,10.); #115614=VECTOR('',#399697,10.); #115615=VECTOR('',#399698,10.); #115616=VECTOR('',#399701,10.); #115617=VECTOR('',#399702,10.); #115618=VECTOR('',#399709,10.); #115619=VECTOR('',#399710,10.); #115620=VECTOR('',#399711,10.); #115621=VECTOR('',#399712,10.); #115622=VECTOR('',#399715,10.); #115623=VECTOR('',#399716,10.); #115624=VECTOR('',#399717,10.); #115625=VECTOR('',#399720,10.); #115626=VECTOR('',#399721,10.); #115627=VECTOR('',#399722,10.); #115628=VECTOR('',#399725,10.); #115629=VECTOR('',#399726,10.); #115630=VECTOR('',#399733,10.); #115631=VECTOR('',#399734,10.); #115632=VECTOR('',#399735,10.); #115633=VECTOR('',#399736,10.); #115634=VECTOR('',#399739,10.); #115635=VECTOR('',#399740,10.); #115636=VECTOR('',#399741,10.); #115637=VECTOR('',#399744,10.); #115638=VECTOR('',#399745,10.); #115639=VECTOR('',#399746,10.); #115640=VECTOR('',#399749,10.); #115641=VECTOR('',#399750,10.); #115642=VECTOR('',#399757,10.); #115643=VECTOR('',#399758,10.); #115644=VECTOR('',#399759,10.); #115645=VECTOR('',#399760,10.); #115646=VECTOR('',#399763,10.); #115647=VECTOR('',#399764,10.); #115648=VECTOR('',#399765,10.); #115649=VECTOR('',#399768,10.); #115650=VECTOR('',#399769,10.); #115651=VECTOR('',#399770,10.); #115652=VECTOR('',#399773,10.); #115653=VECTOR('',#399774,10.); #115654=VECTOR('',#399781,10.); #115655=VECTOR('',#399782,10.); #115656=VECTOR('',#399783,10.); #115657=VECTOR('',#399784,10.); #115658=VECTOR('',#399787,10.); #115659=VECTOR('',#399788,10.); #115660=VECTOR('',#399789,10.); #115661=VECTOR('',#399792,10.); #115662=VECTOR('',#399793,10.); #115663=VECTOR('',#399794,10.); #115664=VECTOR('',#399797,10.); #115665=VECTOR('',#399798,10.); #115666=VECTOR('',#399805,10.); #115667=VECTOR('',#399806,10.); #115668=VECTOR('',#399807,10.); #115669=VECTOR('',#399808,10.); #115670=VECTOR('',#399811,10.); #115671=VECTOR('',#399812,10.); #115672=VECTOR('',#399813,10.); #115673=VECTOR('',#399816,10.); #115674=VECTOR('',#399817,10.); #115675=VECTOR('',#399818,10.); #115676=VECTOR('',#399821,10.); #115677=VECTOR('',#399822,10.); #115678=VECTOR('',#399829,10.); #115679=VECTOR('',#399830,10.); #115680=VECTOR('',#399831,10.); #115681=VECTOR('',#399832,10.); #115682=VECTOR('',#399835,10.); #115683=VECTOR('',#399836,10.); #115684=VECTOR('',#399837,10.); #115685=VECTOR('',#399840,10.); #115686=VECTOR('',#399841,10.); #115687=VECTOR('',#399842,10.); #115688=VECTOR('',#399845,10.); #115689=VECTOR('',#399846,10.); #115690=VECTOR('',#399853,10.); #115691=VECTOR('',#399854,10.); #115692=VECTOR('',#399855,10.); #115693=VECTOR('',#399856,10.); #115694=VECTOR('',#399859,10.); #115695=VECTOR('',#399860,10.); #115696=VECTOR('',#399861,10.); #115697=VECTOR('',#399864,10.); #115698=VECTOR('',#399865,10.); #115699=VECTOR('',#399866,10.); #115700=VECTOR('',#399869,10.); #115701=VECTOR('',#399870,10.); #115702=VECTOR('',#399877,10.); #115703=VECTOR('',#399878,10.); #115704=VECTOR('',#399879,10.); #115705=VECTOR('',#399880,10.); #115706=VECTOR('',#399883,10.); #115707=VECTOR('',#399884,10.); #115708=VECTOR('',#399885,10.); #115709=VECTOR('',#399888,10.); #115710=VECTOR('',#399889,10.); #115711=VECTOR('',#399890,10.); #115712=VECTOR('',#399893,10.); #115713=VECTOR('',#399894,10.); #115714=VECTOR('',#399901,10.); #115715=VECTOR('',#399902,10.); #115716=VECTOR('',#399903,10.); #115717=VECTOR('',#399904,10.); #115718=VECTOR('',#399907,10.); #115719=VECTOR('',#399908,10.); #115720=VECTOR('',#399909,10.); #115721=VECTOR('',#399912,10.); #115722=VECTOR('',#399913,10.); #115723=VECTOR('',#399914,10.); #115724=VECTOR('',#399917,10.); #115725=VECTOR('',#399918,10.); #115726=VECTOR('',#399925,10.); #115727=VECTOR('',#399926,10.); #115728=VECTOR('',#399927,10.); #115729=VECTOR('',#399928,10.); #115730=VECTOR('',#399931,10.); #115731=VECTOR('',#399932,10.); #115732=VECTOR('',#399933,10.); #115733=VECTOR('',#399936,10.); #115734=VECTOR('',#399937,10.); #115735=VECTOR('',#399938,10.); #115736=VECTOR('',#399941,10.); #115737=VECTOR('',#399942,10.); #115738=VECTOR('',#399949,10.); #115739=VECTOR('',#399950,10.); #115740=VECTOR('',#399951,10.); #115741=VECTOR('',#399952,10.); #115742=VECTOR('',#399955,10.); #115743=VECTOR('',#399956,10.); #115744=VECTOR('',#399957,10.); #115745=VECTOR('',#399960,10.); #115746=VECTOR('',#399961,10.); #115747=VECTOR('',#399962,10.); #115748=VECTOR('',#399965,10.); #115749=VECTOR('',#399966,10.); #115750=VECTOR('',#399973,10.); #115751=VECTOR('',#399974,10.); #115752=VECTOR('',#399975,10.); #115753=VECTOR('',#399976,10.); #115754=VECTOR('',#399979,10.); #115755=VECTOR('',#399980,10.); #115756=VECTOR('',#399981,10.); #115757=VECTOR('',#399984,10.); #115758=VECTOR('',#399985,10.); #115759=VECTOR('',#399986,10.); #115760=VECTOR('',#399989,10.); #115761=VECTOR('',#399990,10.); #115762=VECTOR('',#399997,10.); #115763=VECTOR('',#399998,10.); #115764=VECTOR('',#399999,10.); #115765=VECTOR('',#400000,10.); #115766=VECTOR('',#400003,10.); #115767=VECTOR('',#400004,10.); #115768=VECTOR('',#400005,10.); #115769=VECTOR('',#400008,10.); #115770=VECTOR('',#400009,10.); #115771=VECTOR('',#400010,10.); #115772=VECTOR('',#400013,10.); #115773=VECTOR('',#400014,10.); #115774=VECTOR('',#400021,10.); #115775=VECTOR('',#400022,10.); #115776=VECTOR('',#400023,10.); #115777=VECTOR('',#400024,10.); #115778=VECTOR('',#400027,10.); #115779=VECTOR('',#400028,10.); #115780=VECTOR('',#400029,10.); #115781=VECTOR('',#400032,10.); #115782=VECTOR('',#400033,10.); #115783=VECTOR('',#400034,10.); #115784=VECTOR('',#400037,10.); #115785=VECTOR('',#400038,10.); #115786=VECTOR('',#400045,10.); #115787=VECTOR('',#400046,10.); #115788=VECTOR('',#400047,10.); #115789=VECTOR('',#400048,10.); #115790=VECTOR('',#400051,10.); #115791=VECTOR('',#400052,10.); #115792=VECTOR('',#400053,10.); #115793=VECTOR('',#400056,10.); #115794=VECTOR('',#400057,10.); #115795=VECTOR('',#400058,10.); #115796=VECTOR('',#400061,10.); #115797=VECTOR('',#400062,10.); #115798=VECTOR('',#400069,10.); #115799=VECTOR('',#400070,10.); #115800=VECTOR('',#400071,10.); #115801=VECTOR('',#400072,10.); #115802=VECTOR('',#400075,10.); #115803=VECTOR('',#400076,10.); #115804=VECTOR('',#400077,10.); #115805=VECTOR('',#400080,10.); #115806=VECTOR('',#400081,10.); #115807=VECTOR('',#400082,10.); #115808=VECTOR('',#400085,10.); #115809=VECTOR('',#400086,10.); #115810=VECTOR('',#400095,0.14); #115811=VECTOR('',#400102,0.14); #115812=VECTOR('',#400109,0.14); #115813=VECTOR('',#400116,0.14); #115814=VECTOR('',#400123,0.14); #115815=VECTOR('',#400130,0.14); #115816=VECTOR('',#400135,10.); #115817=VECTOR('',#400136,10.); #115818=VECTOR('',#400137,10.); #115819=VECTOR('',#400138,10.); #115820=VECTOR('',#400141,10.); #115821=VECTOR('',#400142,10.); #115822=VECTOR('',#400143,10.); #115823=VECTOR('',#400146,10.); #115824=VECTOR('',#400147,10.); #115825=VECTOR('',#400148,10.); #115826=VECTOR('',#400151,10.); #115827=VECTOR('',#400152,10.); #115828=VECTOR('',#400159,10.); #115829=VECTOR('',#400160,10.); #115830=VECTOR('',#400161,10.); #115831=VECTOR('',#400162,10.); #115832=VECTOR('',#400165,10.); #115833=VECTOR('',#400166,10.); #115834=VECTOR('',#400167,10.); #115835=VECTOR('',#400170,10.); #115836=VECTOR('',#400171,10.); #115837=VECTOR('',#400172,10.); #115838=VECTOR('',#400175,10.); #115839=VECTOR('',#400176,10.); #115840=VECTOR('',#400183,10.); #115841=VECTOR('',#400184,10.); #115842=VECTOR('',#400185,10.); #115843=VECTOR('',#400186,10.); #115844=VECTOR('',#400189,10.); #115845=VECTOR('',#400190,10.); #115846=VECTOR('',#400191,10.); #115847=VECTOR('',#400194,10.); #115848=VECTOR('',#400195,10.); #115849=VECTOR('',#400196,10.); #115850=VECTOR('',#400199,10.); #115851=VECTOR('',#400200,10.); #115852=VECTOR('',#400209,0.140000000000002); #115853=VECTOR('',#400216,0.140000000000002); #115854=VECTOR('',#400223,0.140000000000002); #115855=VECTOR('',#400230,0.140000000000002); #115856=VECTOR('',#400237,0.140000000000002); #115857=VECTOR('',#400244,0.140000000000002); #115858=VECTOR('',#400249,10.); #115859=VECTOR('',#400250,10.); #115860=VECTOR('',#400251,10.); #115861=VECTOR('',#400252,10.); #115862=VECTOR('',#400255,10.); #115863=VECTOR('',#400256,10.); #115864=VECTOR('',#400257,10.); #115865=VECTOR('',#400260,10.); #115866=VECTOR('',#400261,10.); #115867=VECTOR('',#400262,10.); #115868=VECTOR('',#400265,10.); #115869=VECTOR('',#400266,10.); #115870=VECTOR('',#400273,10.); #115871=VECTOR('',#400274,10.); #115872=VECTOR('',#400275,10.); #115873=VECTOR('',#400276,10.); #115874=VECTOR('',#400279,10.); #115875=VECTOR('',#400280,10.); #115876=VECTOR('',#400281,10.); #115877=VECTOR('',#400284,10.); #115878=VECTOR('',#400285,10.); #115879=VECTOR('',#400286,10.); #115880=VECTOR('',#400289,10.); #115881=VECTOR('',#400290,10.); #115882=VECTOR('',#400297,10.); #115883=VECTOR('',#400298,10.); #115884=VECTOR('',#400299,10.); #115885=VECTOR('',#400300,10.); #115886=VECTOR('',#400303,10.); #115887=VECTOR('',#400304,10.); #115888=VECTOR('',#400305,10.); #115889=VECTOR('',#400308,10.); #115890=VECTOR('',#400309,10.); #115891=VECTOR('',#400310,10.); #115892=VECTOR('',#400313,10.); #115893=VECTOR('',#400314,10.); #115894=VECTOR('',#400321,10.); #115895=VECTOR('',#400322,10.); #115896=VECTOR('',#400323,10.); #115897=VECTOR('',#400324,10.); #115898=VECTOR('',#400327,10.); #115899=VECTOR('',#400328,10.); #115900=VECTOR('',#400329,10.); #115901=VECTOR('',#400332,10.); #115902=VECTOR('',#400333,10.); #115903=VECTOR('',#400334,10.); #115904=VECTOR('',#400337,10.); #115905=VECTOR('',#400338,10.); #115906=VECTOR('',#400345,10.); #115907=VECTOR('',#400346,10.); #115908=VECTOR('',#400347,10.); #115909=VECTOR('',#400348,10.); #115910=VECTOR('',#400351,10.); #115911=VECTOR('',#400352,10.); #115912=VECTOR('',#400353,10.); #115913=VECTOR('',#400356,10.); #115914=VECTOR('',#400357,10.); #115915=VECTOR('',#400358,10.); #115916=VECTOR('',#400361,10.); #115917=VECTOR('',#400362,10.); #115918=VECTOR('',#400369,10.); #115919=VECTOR('',#400370,10.); #115920=VECTOR('',#400371,10.); #115921=VECTOR('',#400372,10.); #115922=VECTOR('',#400375,10.); #115923=VECTOR('',#400376,10.); #115924=VECTOR('',#400377,10.); #115925=VECTOR('',#400380,10.); #115926=VECTOR('',#400381,10.); #115927=VECTOR('',#400382,10.); #115928=VECTOR('',#400385,10.); #115929=VECTOR('',#400386,10.); #115930=VECTOR('',#400393,10.); #115931=VECTOR('',#400394,10.); #115932=VECTOR('',#400395,10.); #115933=VECTOR('',#400396,10.); #115934=VECTOR('',#400399,10.); #115935=VECTOR('',#400400,10.); #115936=VECTOR('',#400401,10.); #115937=VECTOR('',#400404,10.); #115938=VECTOR('',#400405,10.); #115939=VECTOR('',#400406,10.); #115940=VECTOR('',#400409,10.); #115941=VECTOR('',#400410,10.); #115942=VECTOR('',#400417,10.); #115943=VECTOR('',#400418,10.); #115944=VECTOR('',#400419,10.); #115945=VECTOR('',#400420,10.); #115946=VECTOR('',#400423,10.); #115947=VECTOR('',#400424,10.); #115948=VECTOR('',#400425,10.); #115949=VECTOR('',#400428,10.); #115950=VECTOR('',#400429,10.); #115951=VECTOR('',#400430,10.); #115952=VECTOR('',#400433,10.); #115953=VECTOR('',#400434,10.); #115954=VECTOR('',#400441,10.); #115955=VECTOR('',#400442,10.); #115956=VECTOR('',#400443,10.); #115957=VECTOR('',#400444,10.); #115958=VECTOR('',#400447,10.); #115959=VECTOR('',#400448,10.); #115960=VECTOR('',#400449,10.); #115961=VECTOR('',#400452,10.); #115962=VECTOR('',#400453,10.); #115963=VECTOR('',#400454,10.); #115964=VECTOR('',#400457,10.); #115965=VECTOR('',#400458,10.); #115966=VECTOR('',#400465,10.); #115967=VECTOR('',#400466,10.); #115968=VECTOR('',#400467,10.); #115969=VECTOR('',#400468,10.); #115970=VECTOR('',#400471,10.); #115971=VECTOR('',#400472,10.); #115972=VECTOR('',#400473,10.); #115973=VECTOR('',#400476,10.); #115974=VECTOR('',#400477,10.); #115975=VECTOR('',#400478,10.); #115976=VECTOR('',#400481,10.); #115977=VECTOR('',#400482,10.); #115978=VECTOR('',#400489,10.); #115979=VECTOR('',#400490,10.); #115980=VECTOR('',#400491,10.); #115981=VECTOR('',#400492,10.); #115982=VECTOR('',#400495,10.); #115983=VECTOR('',#400496,10.); #115984=VECTOR('',#400497,10.); #115985=VECTOR('',#400500,10.); #115986=VECTOR('',#400501,10.); #115987=VECTOR('',#400502,10.); #115988=VECTOR('',#400505,10.); #115989=VECTOR('',#400506,10.); #115990=VECTOR('',#400513,10.); #115991=VECTOR('',#400514,10.); #115992=VECTOR('',#400515,10.); #115993=VECTOR('',#400516,10.); #115994=VECTOR('',#400519,10.); #115995=VECTOR('',#400520,10.); #115996=VECTOR('',#400521,10.); #115997=VECTOR('',#400524,10.); #115998=VECTOR('',#400525,10.); #115999=VECTOR('',#400526,10.); #116000=VECTOR('',#400529,10.); #116001=VECTOR('',#400530,10.); #116002=VECTOR('',#400537,10.); #116003=VECTOR('',#400538,10.); #116004=VECTOR('',#400539,10.); #116005=VECTOR('',#400540,10.); #116006=VECTOR('',#400543,10.); #116007=VECTOR('',#400544,10.); #116008=VECTOR('',#400545,10.); #116009=VECTOR('',#400548,10.); #116010=VECTOR('',#400549,10.); #116011=VECTOR('',#400550,10.); #116012=VECTOR('',#400553,10.); #116013=VECTOR('',#400554,10.); #116014=VECTOR('',#400561,10.); #116015=VECTOR('',#400562,10.); #116016=VECTOR('',#400563,10.); #116017=VECTOR('',#400564,10.); #116018=VECTOR('',#400567,10.); #116019=VECTOR('',#400568,10.); #116020=VECTOR('',#400569,10.); #116021=VECTOR('',#400572,10.); #116022=VECTOR('',#400573,10.); #116023=VECTOR('',#400574,10.); #116024=VECTOR('',#400577,10.); #116025=VECTOR('',#400578,10.); #116026=VECTOR('',#400585,10.); #116027=VECTOR('',#400586,10.); #116028=VECTOR('',#400587,10.); #116029=VECTOR('',#400588,10.); #116030=VECTOR('',#400591,10.); #116031=VECTOR('',#400592,10.); #116032=VECTOR('',#400593,10.); #116033=VECTOR('',#400596,10.); #116034=VECTOR('',#400597,10.); #116035=VECTOR('',#400598,10.); #116036=VECTOR('',#400601,10.); #116037=VECTOR('',#400602,10.); #116038=VECTOR('',#400611,0.139999999999998); #116039=VECTOR('',#400618,0.139999999999998); #116040=VECTOR('',#400625,0.139999999999998); #116041=VECTOR('',#400632,0.139999999999998); #116042=VECTOR('',#400639,0.139999999999998); #116043=VECTOR('',#400646,0.139999999999998); #116044=VECTOR('',#400653,0.139999999999998); #116045=VECTOR('',#400660,0.139999999999998); #116046=VECTOR('',#400667,0.139999999999998); #116047=VECTOR('',#400674,0.139999999999998); #116048=VECTOR('',#400681,0.139999999999998); #116049=VECTOR('',#400688,0.139999999999998); #116050=VECTOR('',#400695,0.139999999999998); #116051=VECTOR('',#400702,0.139999999999998); #116052=VECTOR('',#400709,0.139999999999998); #116053=VECTOR('',#400716,0.139999999999998); #116054=VECTOR('',#400723,0.139999999999998); #116055=VECTOR('',#400730,0.139999999999998); #116056=VECTOR('',#400737,0.139999999999998); #116057=VECTOR('',#400744,0.139999999999998); #116058=VECTOR('',#400751,0.139999999999998); #116059=VECTOR('',#400758,0.139999999999998); #116060=VECTOR('',#400765,0.139999999999998); #116061=VECTOR('',#400772,0.139999999999998); #116062=VECTOR('',#400779,0.139999999999998); #116063=VECTOR('',#400786,0.139999999999998); #116064=VECTOR('',#400793,0.139999999999998); #116065=VECTOR('',#400800,0.139999999999998); #116066=VECTOR('',#400807,0.139999999999998); #116067=VECTOR('',#400814,0.139999999999998); #116068=VECTOR('',#400821,0.139999999999998); #116069=VECTOR('',#400828,0.139999999999998); #116070=VECTOR('',#400835,0.139999999999998); #116071=VECTOR('',#400842,0.139999999999998); #116072=VECTOR('',#400849,0.139999999999998); #116073=VECTOR('',#400856,0.139999999999998); #116074=VECTOR('',#400863,0.139999999999998); #116075=VECTOR('',#400870,0.139999999999998); #116076=VECTOR('',#400877,0.139999999999998); #116077=VECTOR('',#400884,0.139999999999998); #116078=VECTOR('',#400891,0.139999999999998); #116079=VECTOR('',#400898,0.139999999999998); #116080=VECTOR('',#400905,0.139999999999998); #116081=VECTOR('',#400912,0.139999999999998); #116082=VECTOR('',#400919,0.139999999999998); #116083=VECTOR('',#400926,0.139999999999998); #116084=VECTOR('',#400933,0.139999999999998); #116085=VECTOR('',#400940,0.139999999999998); #116086=VECTOR('',#400947,0.139999999999998); #116087=VECTOR('',#400952,10.); #116088=VECTOR('',#400953,10.); #116089=VECTOR('',#400954,10.); #116090=VECTOR('',#400955,10.); #116091=VECTOR('',#400958,10.); #116092=VECTOR('',#400959,10.); #116093=VECTOR('',#400960,10.); #116094=VECTOR('',#400963,10.); #116095=VECTOR('',#400964,10.); #116096=VECTOR('',#400965,10.); #116097=VECTOR('',#400968,10.); #116098=VECTOR('',#400969,10.); #116099=VECTOR('',#400976,10.); #116100=VECTOR('',#400977,10.); #116101=VECTOR('',#400978,10.); #116102=VECTOR('',#400979,10.); #116103=VECTOR('',#400982,10.); #116104=VECTOR('',#400983,10.); #116105=VECTOR('',#400984,10.); #116106=VECTOR('',#400987,10.); #116107=VECTOR('',#400988,10.); #116108=VECTOR('',#400989,10.); #116109=VECTOR('',#400992,10.); #116110=VECTOR('',#400993,10.); #116111=VECTOR('',#401000,10.); #116112=VECTOR('',#401001,10.); #116113=VECTOR('',#401002,10.); #116114=VECTOR('',#401003,10.); #116115=VECTOR('',#401006,10.); #116116=VECTOR('',#401007,10.); #116117=VECTOR('',#401008,10.); #116118=VECTOR('',#401011,10.); #116119=VECTOR('',#401012,10.); #116120=VECTOR('',#401013,10.); #116121=VECTOR('',#401016,10.); #116122=VECTOR('',#401017,10.); #116123=VECTOR('',#401024,10.); #116124=VECTOR('',#401025,10.); #116125=VECTOR('',#401026,10.); #116126=VECTOR('',#401027,10.); #116127=VECTOR('',#401030,10.); #116128=VECTOR('',#401031,10.); #116129=VECTOR('',#401032,10.); #116130=VECTOR('',#401035,10.); #116131=VECTOR('',#401036,10.); #116132=VECTOR('',#401037,10.); #116133=VECTOR('',#401040,10.); #116134=VECTOR('',#401041,10.); #116135=VECTOR('',#401048,10.); #116136=VECTOR('',#401049,10.); #116137=VECTOR('',#401050,10.); #116138=VECTOR('',#401051,10.); #116139=VECTOR('',#401054,10.); #116140=VECTOR('',#401055,10.); #116141=VECTOR('',#401056,10.); #116142=VECTOR('',#401059,10.); #116143=VECTOR('',#401060,10.); #116144=VECTOR('',#401061,10.); #116145=VECTOR('',#401064,10.); #116146=VECTOR('',#401065,10.); #116147=VECTOR('',#401072,10.); #116148=VECTOR('',#401073,10.); #116149=VECTOR('',#401074,10.); #116150=VECTOR('',#401075,10.); #116151=VECTOR('',#401078,10.); #116152=VECTOR('',#401079,10.); #116153=VECTOR('',#401080,10.); #116154=VECTOR('',#401083,10.); #116155=VECTOR('',#401084,10.); #116156=VECTOR('',#401085,10.); #116157=VECTOR('',#401088,10.); #116158=VECTOR('',#401089,10.); #116159=VECTOR('',#401096,10.); #116160=VECTOR('',#401097,10.); #116161=VECTOR('',#401098,10.); #116162=VECTOR('',#401099,10.); #116163=VECTOR('',#401102,10.); #116164=VECTOR('',#401103,10.); #116165=VECTOR('',#401104,10.); #116166=VECTOR('',#401107,10.); #116167=VECTOR('',#401108,10.); #116168=VECTOR('',#401109,10.); #116169=VECTOR('',#401112,10.); #116170=VECTOR('',#401113,10.); #116171=VECTOR('',#401120,10.); #116172=VECTOR('',#401121,10.); #116173=VECTOR('',#401122,10.); #116174=VECTOR('',#401123,10.); #116175=VECTOR('',#401126,10.); #116176=VECTOR('',#401127,10.); #116177=VECTOR('',#401128,10.); #116178=VECTOR('',#401131,10.); #116179=VECTOR('',#401132,10.); #116180=VECTOR('',#401133,10.); #116181=VECTOR('',#401136,10.); #116182=VECTOR('',#401137,10.); #116183=VECTOR('',#401144,10.); #116184=VECTOR('',#401145,10.); #116185=VECTOR('',#401146,10.); #116186=VECTOR('',#401147,10.); #116187=VECTOR('',#401150,10.); #116188=VECTOR('',#401151,10.); #116189=VECTOR('',#401152,10.); #116190=VECTOR('',#401155,10.); #116191=VECTOR('',#401156,10.); #116192=VECTOR('',#401157,10.); #116193=VECTOR('',#401160,10.); #116194=VECTOR('',#401161,10.); #116195=VECTOR('',#401168,10.); #116196=VECTOR('',#401169,10.); #116197=VECTOR('',#401170,10.); #116198=VECTOR('',#401171,10.); #116199=VECTOR('',#401174,10.); #116200=VECTOR('',#401175,10.); #116201=VECTOR('',#401176,10.); #116202=VECTOR('',#401179,10.); #116203=VECTOR('',#401180,10.); #116204=VECTOR('',#401181,10.); #116205=VECTOR('',#401184,10.); #116206=VECTOR('',#401185,10.); #116207=VECTOR('',#401192,10.); #116208=VECTOR('',#401193,10.); #116209=VECTOR('',#401194,10.); #116210=VECTOR('',#401195,10.); #116211=VECTOR('',#401198,10.); #116212=VECTOR('',#401199,10.); #116213=VECTOR('',#401200,10.); #116214=VECTOR('',#401203,10.); #116215=VECTOR('',#401204,10.); #116216=VECTOR('',#401205,10.); #116217=VECTOR('',#401208,10.); #116218=VECTOR('',#401209,10.); #116219=VECTOR('',#401216,10.); #116220=VECTOR('',#401217,10.); #116221=VECTOR('',#401218,10.); #116222=VECTOR('',#401219,10.); #116223=VECTOR('',#401222,10.); #116224=VECTOR('',#401223,10.); #116225=VECTOR('',#401224,10.); #116226=VECTOR('',#401227,10.); #116227=VECTOR('',#401228,10.); #116228=VECTOR('',#401229,10.); #116229=VECTOR('',#401232,10.); #116230=VECTOR('',#401233,10.); #116231=VECTOR('',#401240,10.); #116232=VECTOR('',#401241,10.); #116233=VECTOR('',#401242,10.); #116234=VECTOR('',#401243,10.); #116235=VECTOR('',#401246,10.); #116236=VECTOR('',#401247,10.); #116237=VECTOR('',#401248,10.); #116238=VECTOR('',#401251,10.); #116239=VECTOR('',#401252,10.); #116240=VECTOR('',#401253,10.); #116241=VECTOR('',#401256,10.); #116242=VECTOR('',#401257,10.); #116243=VECTOR('',#401264,10.); #116244=VECTOR('',#401265,10.); #116245=VECTOR('',#401266,10.); #116246=VECTOR('',#401267,10.); #116247=VECTOR('',#401270,10.); #116248=VECTOR('',#401271,10.); #116249=VECTOR('',#401272,10.); #116250=VECTOR('',#401275,10.); #116251=VECTOR('',#401276,10.); #116252=VECTOR('',#401277,10.); #116253=VECTOR('',#401280,10.); #116254=VECTOR('',#401281,10.); #116255=VECTOR('',#401288,10.); #116256=VECTOR('',#401289,10.); #116257=VECTOR('',#401290,10.); #116258=VECTOR('',#401291,10.); #116259=VECTOR('',#401294,10.); #116260=VECTOR('',#401295,10.); #116261=VECTOR('',#401296,10.); #116262=VECTOR('',#401299,10.); #116263=VECTOR('',#401300,10.); #116264=VECTOR('',#401301,10.); #116265=VECTOR('',#401304,10.); #116266=VECTOR('',#401305,10.); #116267=VECTOR('',#401314,0.140000000000002); #116268=VECTOR('',#401319,10.); #116269=VECTOR('',#401320,10.); #116270=VECTOR('',#401321,10.); #116271=VECTOR('',#401322,10.); #116272=VECTOR('',#401325,10.); #116273=VECTOR('',#401326,10.); #116274=VECTOR('',#401327,10.); #116275=VECTOR('',#401330,10.); #116276=VECTOR('',#401331,10.); #116277=VECTOR('',#401332,10.); #116278=VECTOR('',#401335,10.); #116279=VECTOR('',#401336,10.); #116280=VECTOR('',#401343,10.); #116281=VECTOR('',#401344,10.); #116282=VECTOR('',#401345,10.); #116283=VECTOR('',#401346,10.); #116284=VECTOR('',#401349,10.); #116285=VECTOR('',#401350,10.); #116286=VECTOR('',#401351,10.); #116287=VECTOR('',#401354,10.); #116288=VECTOR('',#401355,10.); #116289=VECTOR('',#401356,10.); #116290=VECTOR('',#401359,10.); #116291=VECTOR('',#401360,10.); #116292=VECTOR('',#401367,10.); #116293=VECTOR('',#401368,10.); #116294=VECTOR('',#401369,10.); #116295=VECTOR('',#401370,10.); #116296=VECTOR('',#401373,10.); #116297=VECTOR('',#401374,10.); #116298=VECTOR('',#401375,10.); #116299=VECTOR('',#401378,10.); #116300=VECTOR('',#401379,10.); #116301=VECTOR('',#401380,10.); #116302=VECTOR('',#401383,10.); #116303=VECTOR('',#401384,10.); #116304=VECTOR('',#401393,0.139999999999998); #116305=VECTOR('',#401398,10.); #116306=VECTOR('',#401399,10.); #116307=VECTOR('',#401400,10.); #116308=VECTOR('',#401401,10.); #116309=VECTOR('',#401404,10.); #116310=VECTOR('',#401405,10.); #116311=VECTOR('',#401406,10.); #116312=VECTOR('',#401409,10.); #116313=VECTOR('',#401410,10.); #116314=VECTOR('',#401411,10.); #116315=VECTOR('',#401414,10.); #116316=VECTOR('',#401415,10.); #116317=VECTOR('',#401422,10.); #116318=VECTOR('',#401423,10.); #116319=VECTOR('',#401424,10.); #116320=VECTOR('',#401425,10.); #116321=VECTOR('',#401428,10.); #116322=VECTOR('',#401429,10.); #116323=VECTOR('',#401430,10.); #116324=VECTOR('',#401433,10.); #116325=VECTOR('',#401434,10.); #116326=VECTOR('',#401435,10.); #116327=VECTOR('',#401438,10.); #116328=VECTOR('',#401439,10.); #116329=VECTOR('',#401446,10.); #116330=VECTOR('',#401447,10.); #116331=VECTOR('',#401448,10.); #116332=VECTOR('',#401449,10.); #116333=VECTOR('',#401452,10.); #116334=VECTOR('',#401453,10.); #116335=VECTOR('',#401454,10.); #116336=VECTOR('',#401457,10.); #116337=VECTOR('',#401458,10.); #116338=VECTOR('',#401459,10.); #116339=VECTOR('',#401462,10.); #116340=VECTOR('',#401463,10.); #116341=VECTOR('',#401470,10.); #116342=VECTOR('',#401471,10.); #116343=VECTOR('',#401472,10.); #116344=VECTOR('',#401473,10.); #116345=VECTOR('',#401476,10.); #116346=VECTOR('',#401477,10.); #116347=VECTOR('',#401478,10.); #116348=VECTOR('',#401481,10.); #116349=VECTOR('',#401482,10.); #116350=VECTOR('',#401483,10.); #116351=VECTOR('',#401486,10.); #116352=VECTOR('',#401487,10.); #116353=VECTOR('',#401494,10.); #116354=VECTOR('',#401495,10.); #116355=VECTOR('',#401496,10.); #116356=VECTOR('',#401497,10.); #116357=VECTOR('',#401500,10.); #116358=VECTOR('',#401501,10.); #116359=VECTOR('',#401502,10.); #116360=VECTOR('',#401505,10.); #116361=VECTOR('',#401506,10.); #116362=VECTOR('',#401507,10.); #116363=VECTOR('',#401510,10.); #116364=VECTOR('',#401511,10.); #116365=VECTOR('',#401518,10.); #116366=VECTOR('',#401519,10.); #116367=VECTOR('',#401520,10.); #116368=VECTOR('',#401521,10.); #116369=VECTOR('',#401524,10.); #116370=VECTOR('',#401525,10.); #116371=VECTOR('',#401526,10.); #116372=VECTOR('',#401529,10.); #116373=VECTOR('',#401530,10.); #116374=VECTOR('',#401531,10.); #116375=VECTOR('',#401534,10.); #116376=VECTOR('',#401535,10.); #116377=VECTOR('',#401542,10.); #116378=VECTOR('',#401543,10.); #116379=VECTOR('',#401544,10.); #116380=VECTOR('',#401545,10.); #116381=VECTOR('',#401548,10.); #116382=VECTOR('',#401549,10.); #116383=VECTOR('',#401550,10.); #116384=VECTOR('',#401553,10.); #116385=VECTOR('',#401554,10.); #116386=VECTOR('',#401555,10.); #116387=VECTOR('',#401558,10.); #116388=VECTOR('',#401559,10.); #116389=VECTOR('',#401566,10.); #116390=VECTOR('',#401567,10.); #116391=VECTOR('',#401568,10.); #116392=VECTOR('',#401569,10.); #116393=VECTOR('',#401572,10.); #116394=VECTOR('',#401573,10.); #116395=VECTOR('',#401574,10.); #116396=VECTOR('',#401577,10.); #116397=VECTOR('',#401578,10.); #116398=VECTOR('',#401579,10.); #116399=VECTOR('',#401582,10.); #116400=VECTOR('',#401583,10.); #116401=VECTOR('',#401590,10.); #116402=VECTOR('',#401591,10.); #116403=VECTOR('',#401592,10.); #116404=VECTOR('',#401593,10.); #116405=VECTOR('',#401596,10.); #116406=VECTOR('',#401597,10.); #116407=VECTOR('',#401598,10.); #116408=VECTOR('',#401601,10.); #116409=VECTOR('',#401602,10.); #116410=VECTOR('',#401603,10.); #116411=VECTOR('',#401606,10.); #116412=VECTOR('',#401607,10.); #116413=VECTOR('',#401614,10.); #116414=VECTOR('',#401615,10.); #116415=VECTOR('',#401616,10.); #116416=VECTOR('',#401617,10.); #116417=VECTOR('',#401620,10.); #116418=VECTOR('',#401621,10.); #116419=VECTOR('',#401622,10.); #116420=VECTOR('',#401625,10.); #116421=VECTOR('',#401626,10.); #116422=VECTOR('',#401627,10.); #116423=VECTOR('',#401630,10.); #116424=VECTOR('',#401631,10.); #116425=VECTOR('',#401638,10.); #116426=VECTOR('',#401639,10.); #116427=VECTOR('',#401640,10.); #116428=VECTOR('',#401641,10.); #116429=VECTOR('',#401644,10.); #116430=VECTOR('',#401645,10.); #116431=VECTOR('',#401646,10.); #116432=VECTOR('',#401649,10.); #116433=VECTOR('',#401650,10.); #116434=VECTOR('',#401651,10.); #116435=VECTOR('',#401654,10.); #116436=VECTOR('',#401655,10.); #116437=VECTOR('',#401662,10.); #116438=VECTOR('',#401663,10.); #116439=VECTOR('',#401664,10.); #116440=VECTOR('',#401665,10.); #116441=VECTOR('',#401668,10.); #116442=VECTOR('',#401669,10.); #116443=VECTOR('',#401670,10.); #116444=VECTOR('',#401673,10.); #116445=VECTOR('',#401674,10.); #116446=VECTOR('',#401675,10.); #116447=VECTOR('',#401678,10.); #116448=VECTOR('',#401679,10.); #116449=VECTOR('',#401688,0.14); #116450=VECTOR('',#401693,10.); #116451=VECTOR('',#401696,10.); #116452=VECTOR('',#401701,10.); #116453=VECTOR('',#401704,10.); #116454=VECTOR('',#401709,10.); #116455=VECTOR('',#401712,10.); #116456=VECTOR('',#401717,10.); #116457=VECTOR('',#401720,10.); #116458=VECTOR('',#401725,10.); #116459=VECTOR('',#401728,10.); #116460=VECTOR('',#401733,10.); #116461=VECTOR('',#401736,10.); #116462=VECTOR('',#401741,10.); #116463=VECTOR('',#401744,10.); #116464=VECTOR('',#401749,10.); #116465=VECTOR('',#401752,10.); #116466=VECTOR('',#401757,10.); #116467=VECTOR('',#401758,10.); #116468=VECTOR('',#401761,10.); #116469=VECTOR('',#401762,10.); #116470=VECTOR('',#401763,10.); #116471=VECTOR('',#401766,10.); #116472=VECTOR('',#401767,10.); #116473=VECTOR('',#401770,10.); #116474=VECTOR('',#401771,10.); #116475=VECTOR('',#401774,10.); #116476=VECTOR('',#401775,10.); #116477=VECTOR('',#401776,10.); #116478=VECTOR('',#401779,10.); #116479=VECTOR('',#401780,10.); #116480=VECTOR('',#401783,10.); #116481=VECTOR('',#401784,10.); #116482=VECTOR('',#401787,10.); #116483=VECTOR('',#401788,10.); #116484=VECTOR('',#401791,10.); #116485=VECTOR('',#401792,10.); #116486=VECTOR('',#401795,10.); #116487=VECTOR('',#401798,10.); #116488=VECTOR('',#401803,10.); #116489=VECTOR('',#401804,10.); #116490=VECTOR('',#401805,10.); #116491=VECTOR('',#401806,10.); #116492=VECTOR('',#401809,10.); #116493=VECTOR('',#401810,10.); #116494=VECTOR('',#401811,10.); #116495=VECTOR('',#401814,10.); #116496=VECTOR('',#401815,10.); #116497=VECTOR('',#401816,10.); #116498=VECTOR('',#401819,10.); #116499=VECTOR('',#401820,10.); #116500=VECTOR('',#401829,0.139999999999998); #116501=VECTOR('',#401834,10.); #116502=VECTOR('',#401835,10.); #116503=VECTOR('',#401836,10.); #116504=VECTOR('',#401837,10.); #116505=VECTOR('',#401840,10.); #116506=VECTOR('',#401841,10.); #116507=VECTOR('',#401842,10.); #116508=VECTOR('',#401845,10.); #116509=VECTOR('',#401846,10.); #116510=VECTOR('',#401847,10.); #116511=VECTOR('',#401850,10.); #116512=VECTOR('',#401851,10.); #116513=VECTOR('',#401858,10.); #116514=VECTOR('',#401859,10.); #116515=VECTOR('',#401860,10.); #116516=VECTOR('',#401861,10.); #116517=VECTOR('',#401864,10.); #116518=VECTOR('',#401865,10.); #116519=VECTOR('',#401866,10.); #116520=VECTOR('',#401869,10.); #116521=VECTOR('',#401870,10.); #116522=VECTOR('',#401871,10.); #116523=VECTOR('',#401874,10.); #116524=VECTOR('',#401875,10.); #116525=VECTOR('',#401882,10.); #116526=VECTOR('',#401883,10.); #116527=VECTOR('',#401884,10.); #116528=VECTOR('',#401885,10.); #116529=VECTOR('',#401888,10.); #116530=VECTOR('',#401889,10.); #116531=VECTOR('',#401890,10.); #116532=VECTOR('',#401893,10.); #116533=VECTOR('',#401894,10.); #116534=VECTOR('',#401895,10.); #116535=VECTOR('',#401898,10.); #116536=VECTOR('',#401899,10.); #116537=VECTOR('',#401906,10.); #116538=VECTOR('',#401907,10.); #116539=VECTOR('',#401908,10.); #116540=VECTOR('',#401909,10.); #116541=VECTOR('',#401912,10.); #116542=VECTOR('',#401913,10.); #116543=VECTOR('',#401914,10.); #116544=VECTOR('',#401917,10.); #116545=VECTOR('',#401918,10.); #116546=VECTOR('',#401919,10.); #116547=VECTOR('',#401922,10.); #116548=VECTOR('',#401923,10.); #116549=VECTOR('',#401932,0.139999999999998); #116550=VECTOR('',#401937,10.); #116551=VECTOR('',#401938,10.); #116552=VECTOR('',#401939,10.); #116553=VECTOR('',#401940,10.); #116554=VECTOR('',#401943,10.); #116555=VECTOR('',#401944,10.); #116556=VECTOR('',#401945,10.); #116557=VECTOR('',#401948,10.); #116558=VECTOR('',#401949,10.); #116559=VECTOR('',#401950,10.); #116560=VECTOR('',#401953,10.); #116561=VECTOR('',#401954,10.); #116562=VECTOR('',#401963,0.139999999999998); #116563=VECTOR('',#401968,10.); #116564=VECTOR('',#401969,10.); #116565=VECTOR('',#401970,10.); #116566=VECTOR('',#401971,10.); #116567=VECTOR('',#401974,10.); #116568=VECTOR('',#401975,10.); #116569=VECTOR('',#401976,10.); #116570=VECTOR('',#401979,10.); #116571=VECTOR('',#401980,10.); #116572=VECTOR('',#401981,10.); #116573=VECTOR('',#401984,10.); #116574=VECTOR('',#401985,10.); #116575=VECTOR('',#401992,10.); #116576=VECTOR('',#401993,10.); #116577=VECTOR('',#401994,10.); #116578=VECTOR('',#401995,10.); #116579=VECTOR('',#401998,10.); #116580=VECTOR('',#401999,10.); #116581=VECTOR('',#402000,10.); #116582=VECTOR('',#402003,10.); #116583=VECTOR('',#402004,10.); #116584=VECTOR('',#402005,10.); #116585=VECTOR('',#402008,10.); #116586=VECTOR('',#402009,10.); #116587=VECTOR('',#402016,10.); #116588=VECTOR('',#402017,10.); #116589=VECTOR('',#402018,10.); #116590=VECTOR('',#402019,10.); #116591=VECTOR('',#402022,10.); #116592=VECTOR('',#402023,10.); #116593=VECTOR('',#402024,10.); #116594=VECTOR('',#402027,10.); #116595=VECTOR('',#402028,10.); #116596=VECTOR('',#402029,10.); #116597=VECTOR('',#402032,10.); #116598=VECTOR('',#402033,10.); #116599=VECTOR('',#402040,10.); #116600=VECTOR('',#402041,10.); #116601=VECTOR('',#402042,10.); #116602=VECTOR('',#402043,10.); #116603=VECTOR('',#402046,10.); #116604=VECTOR('',#402047,10.); #116605=VECTOR('',#402048,10.); #116606=VECTOR('',#402051,10.); #116607=VECTOR('',#402052,10.); #116608=VECTOR('',#402053,10.); #116609=VECTOR('',#402056,10.); #116610=VECTOR('',#402057,10.); #116611=VECTOR('',#402064,10.); #116612=VECTOR('',#402067,10.); #116613=VECTOR('',#402072,10.); #116614=VECTOR('',#402075,10.); #116615=VECTOR('',#402080,10.); #116616=VECTOR('',#402083,10.); #116617=VECTOR('',#402090,0.140000000000002); #116618=VECTOR('',#402095,10.); #116619=VECTOR('',#402098,10.); #116620=VECTOR('',#402105,0.140000000000002); #116621=VECTOR('',#402110,10.); #116622=VECTOR('',#402111,10.); #116623=VECTOR('',#402112,10.); #116624=VECTOR('',#402115,10.); #116625=VECTOR('',#402116,10.); #116626=VECTOR('',#402119,10.); #116627=VECTOR('',#402120,10.); #116628=VECTOR('',#402121,10.); #116629=VECTOR('',#402124,10.); #116630=VECTOR('',#402125,10.); #116631=VECTOR('',#402126,10.); #116632=VECTOR('',#402129,10.); #116633=VECTOR('',#402130,10.); #116634=VECTOR('',#402133,10.); #116635=VECTOR('',#402134,10.); #116636=VECTOR('',#402135,10.); #116637=VECTOR('',#402138,10.); #116638=VECTOR('',#402139,10.); #116639=VECTOR('',#402142,10.); #116640=VECTOR('',#402145,10.); #116641=VECTOR('',#402150,10.); #116642=VECTOR('',#402151,10.); #116643=VECTOR('',#402152,10.); #116644=VECTOR('',#402153,10.); #116645=VECTOR('',#402156,10.); #116646=VECTOR('',#402157,10.); #116647=VECTOR('',#402158,10.); #116648=VECTOR('',#402161,10.); #116649=VECTOR('',#402162,10.); #116650=VECTOR('',#402163,10.); #116651=VECTOR('',#402166,10.); #116652=VECTOR('',#402167,10.); #116653=VECTOR('',#402174,10.); #116654=VECTOR('',#402175,10.); #116655=VECTOR('',#402176,10.); #116656=VECTOR('',#402177,10.); #116657=VECTOR('',#402180,10.); #116658=VECTOR('',#402181,10.); #116659=VECTOR('',#402182,10.); #116660=VECTOR('',#402185,10.); #116661=VECTOR('',#402186,10.); #116662=VECTOR('',#402187,10.); #116663=VECTOR('',#402190,10.); #116664=VECTOR('',#402191,10.); #116665=VECTOR('',#402198,10.); #116666=VECTOR('',#402199,10.); #116667=VECTOR('',#402200,10.); #116668=VECTOR('',#402201,10.); #116669=VECTOR('',#402204,10.); #116670=VECTOR('',#402205,10.); #116671=VECTOR('',#402206,10.); #116672=VECTOR('',#402209,10.); #116673=VECTOR('',#402210,10.); #116674=VECTOR('',#402211,10.); #116675=VECTOR('',#402214,10.); #116676=VECTOR('',#402215,10.); #116677=VECTOR('',#402222,10.); #116678=VECTOR('',#402223,10.); #116679=VECTOR('',#402224,10.); #116680=VECTOR('',#402225,10.); #116681=VECTOR('',#402228,10.); #116682=VECTOR('',#402229,10.); #116683=VECTOR('',#402230,10.); #116684=VECTOR('',#402233,10.); #116685=VECTOR('',#402234,10.); #116686=VECTOR('',#402235,10.); #116687=VECTOR('',#402238,10.); #116688=VECTOR('',#402239,10.); #116689=VECTOR('',#402246,10.); #116690=VECTOR('',#402247,10.); #116691=VECTOR('',#402248,10.); #116692=VECTOR('',#402249,10.); #116693=VECTOR('',#402252,10.); #116694=VECTOR('',#402253,10.); #116695=VECTOR('',#402254,10.); #116696=VECTOR('',#402257,10.); #116697=VECTOR('',#402258,10.); #116698=VECTOR('',#402259,10.); #116699=VECTOR('',#402262,10.); #116700=VECTOR('',#402263,10.); #116701=VECTOR('',#402270,10.); #116702=VECTOR('',#402271,10.); #116703=VECTOR('',#402272,10.); #116704=VECTOR('',#402273,10.); #116705=VECTOR('',#402276,10.); #116706=VECTOR('',#402277,10.); #116707=VECTOR('',#402278,10.); #116708=VECTOR('',#402281,10.); #116709=VECTOR('',#402282,10.); #116710=VECTOR('',#402283,10.); #116711=VECTOR('',#402286,10.); #116712=VECTOR('',#402287,10.); #116713=VECTOR('',#402294,10.); #116714=VECTOR('',#402295,10.); #116715=VECTOR('',#402296,10.); #116716=VECTOR('',#402297,10.); #116717=VECTOR('',#402300,10.); #116718=VECTOR('',#402301,10.); #116719=VECTOR('',#402302,10.); #116720=VECTOR('',#402305,10.); #116721=VECTOR('',#402306,10.); #116722=VECTOR('',#402307,10.); #116723=VECTOR('',#402310,10.); #116724=VECTOR('',#402311,10.); #116725=VECTOR('',#402318,10.); #116726=VECTOR('',#402319,10.); #116727=VECTOR('',#402320,10.); #116728=VECTOR('',#402321,10.); #116729=VECTOR('',#402324,10.); #116730=VECTOR('',#402325,10.); #116731=VECTOR('',#402326,10.); #116732=VECTOR('',#402329,10.); #116733=VECTOR('',#402330,10.); #116734=VECTOR('',#402331,10.); #116735=VECTOR('',#402334,10.); #116736=VECTOR('',#402335,10.); #116737=VECTOR('',#402342,10.); #116738=VECTOR('',#402343,10.); #116739=VECTOR('',#402344,10.); #116740=VECTOR('',#402345,10.); #116741=VECTOR('',#402348,10.); #116742=VECTOR('',#402349,10.); #116743=VECTOR('',#402350,10.); #116744=VECTOR('',#402353,10.); #116745=VECTOR('',#402354,10.); #116746=VECTOR('',#402355,10.); #116747=VECTOR('',#402358,10.); #116748=VECTOR('',#402359,10.); #116749=VECTOR('',#402366,10.); #116750=VECTOR('',#402367,10.); #116751=VECTOR('',#402368,10.); #116752=VECTOR('',#402369,10.); #116753=VECTOR('',#402372,10.); #116754=VECTOR('',#402373,10.); #116755=VECTOR('',#402374,10.); #116756=VECTOR('',#402377,10.); #116757=VECTOR('',#402378,10.); #116758=VECTOR('',#402379,10.); #116759=VECTOR('',#402382,10.); #116760=VECTOR('',#402383,10.); #116761=VECTOR('',#402390,10.); #116762=VECTOR('',#402391,10.); #116763=VECTOR('',#402392,10.); #116764=VECTOR('',#402393,10.); #116765=VECTOR('',#402396,10.); #116766=VECTOR('',#402397,10.); #116767=VECTOR('',#402398,10.); #116768=VECTOR('',#402401,10.); #116769=VECTOR('',#402402,10.); #116770=VECTOR('',#402403,10.); #116771=VECTOR('',#402406,10.); #116772=VECTOR('',#402407,10.); #116773=VECTOR('',#402414,10.); #116774=VECTOR('',#402415,10.); #116775=VECTOR('',#402416,10.); #116776=VECTOR('',#402417,10.); #116777=VECTOR('',#402420,10.); #116778=VECTOR('',#402421,10.); #116779=VECTOR('',#402422,10.); #116780=VECTOR('',#402425,10.); #116781=VECTOR('',#402426,10.); #116782=VECTOR('',#402427,10.); #116783=VECTOR('',#402430,10.); #116784=VECTOR('',#402431,10.); #116785=VECTOR('',#402438,10.); #116786=VECTOR('',#402439,10.); #116787=VECTOR('',#402440,10.); #116788=VECTOR('',#402441,10.); #116789=VECTOR('',#402444,10.); #116790=VECTOR('',#402445,10.); #116791=VECTOR('',#402446,10.); #116792=VECTOR('',#402449,10.); #116793=VECTOR('',#402450,10.); #116794=VECTOR('',#402451,10.); #116795=VECTOR('',#402454,10.); #116796=VECTOR('',#402455,10.); #116797=VECTOR('',#402462,10.); #116798=VECTOR('',#402463,10.); #116799=VECTOR('',#402464,10.); #116800=VECTOR('',#402465,10.); #116801=VECTOR('',#402468,10.); #116802=VECTOR('',#402469,10.); #116803=VECTOR('',#402470,10.); #116804=VECTOR('',#402473,10.); #116805=VECTOR('',#402474,10.); #116806=VECTOR('',#402475,10.); #116807=VECTOR('',#402478,10.); #116808=VECTOR('',#402479,10.); #116809=VECTOR('',#402486,10.); #116810=VECTOR('',#402487,10.); #116811=VECTOR('',#402488,10.); #116812=VECTOR('',#402489,10.); #116813=VECTOR('',#402492,10.); #116814=VECTOR('',#402493,10.); #116815=VECTOR('',#402494,10.); #116816=VECTOR('',#402497,10.); #116817=VECTOR('',#402498,10.); #116818=VECTOR('',#402499,10.); #116819=VECTOR('',#402502,10.); #116820=VECTOR('',#402503,10.); #116821=VECTOR('',#402510,10.); #116822=VECTOR('',#402511,10.); #116823=VECTOR('',#402512,10.); #116824=VECTOR('',#402513,10.); #116825=VECTOR('',#402516,10.); #116826=VECTOR('',#402517,10.); #116827=VECTOR('',#402518,10.); #116828=VECTOR('',#402521,10.); #116829=VECTOR('',#402522,10.); #116830=VECTOR('',#402523,10.); #116831=VECTOR('',#402526,10.); #116832=VECTOR('',#402527,10.); #116833=VECTOR('',#402534,10.); #116834=VECTOR('',#402535,10.); #116835=VECTOR('',#402536,10.); #116836=VECTOR('',#402537,10.); #116837=VECTOR('',#402540,10.); #116838=VECTOR('',#402541,10.); #116839=VECTOR('',#402542,10.); #116840=VECTOR('',#402545,10.); #116841=VECTOR('',#402546,10.); #116842=VECTOR('',#402547,10.); #116843=VECTOR('',#402550,10.); #116844=VECTOR('',#402551,10.); #116845=VECTOR('',#402558,10.); #116846=VECTOR('',#402559,10.); #116847=VECTOR('',#402560,10.); #116848=VECTOR('',#402561,10.); #116849=VECTOR('',#402564,10.); #116850=VECTOR('',#402565,10.); #116851=VECTOR('',#402566,10.); #116852=VECTOR('',#402569,10.); #116853=VECTOR('',#402570,10.); #116854=VECTOR('',#402571,10.); #116855=VECTOR('',#402574,10.); #116856=VECTOR('',#402575,10.); #116857=VECTOR('',#402582,10.); #116858=VECTOR('',#402583,10.); #116859=VECTOR('',#402584,10.); #116860=VECTOR('',#402585,10.); #116861=VECTOR('',#402588,10.); #116862=VECTOR('',#402589,10.); #116863=VECTOR('',#402590,10.); #116864=VECTOR('',#402593,10.); #116865=VECTOR('',#402594,10.); #116866=VECTOR('',#402595,10.); #116867=VECTOR('',#402598,10.); #116868=VECTOR('',#402599,10.); #116869=VECTOR('',#402606,10.); #116870=VECTOR('',#402607,10.); #116871=VECTOR('',#402608,10.); #116872=VECTOR('',#402609,10.); #116873=VECTOR('',#402612,10.); #116874=VECTOR('',#402613,10.); #116875=VECTOR('',#402614,10.); #116876=VECTOR('',#402617,10.); #116877=VECTOR('',#402618,10.); #116878=VECTOR('',#402619,10.); #116879=VECTOR('',#402622,10.); #116880=VECTOR('',#402623,10.); #116881=VECTOR('',#402630,10.); #116882=VECTOR('',#402631,10.); #116883=VECTOR('',#402632,10.); #116884=VECTOR('',#402633,10.); #116885=VECTOR('',#402636,10.); #116886=VECTOR('',#402637,10.); #116887=VECTOR('',#402638,10.); #116888=VECTOR('',#402641,10.); #116889=VECTOR('',#402642,10.); #116890=VECTOR('',#402643,10.); #116891=VECTOR('',#402646,10.); #116892=VECTOR('',#402647,10.); #116893=VECTOR('',#402654,10.); #116894=VECTOR('',#402655,10.); #116895=VECTOR('',#402656,10.); #116896=VECTOR('',#402657,10.); #116897=VECTOR('',#402660,10.); #116898=VECTOR('',#402661,10.); #116899=VECTOR('',#402662,10.); #116900=VECTOR('',#402665,10.); #116901=VECTOR('',#402666,10.); #116902=VECTOR('',#402667,10.); #116903=VECTOR('',#402670,10.); #116904=VECTOR('',#402671,10.); #116905=VECTOR('',#402678,10.); #116906=VECTOR('',#402679,10.); #116907=VECTOR('',#402680,10.); #116908=VECTOR('',#402681,10.); #116909=VECTOR('',#402684,10.); #116910=VECTOR('',#402685,10.); #116911=VECTOR('',#402686,10.); #116912=VECTOR('',#402689,10.); #116913=VECTOR('',#402690,10.); #116914=VECTOR('',#402691,10.); #116915=VECTOR('',#402694,10.); #116916=VECTOR('',#402695,10.); #116917=VECTOR('',#402702,10.); #116918=VECTOR('',#402703,10.); #116919=VECTOR('',#402704,10.); #116920=VECTOR('',#402705,10.); #116921=VECTOR('',#402708,10.); #116922=VECTOR('',#402709,10.); #116923=VECTOR('',#402710,10.); #116924=VECTOR('',#402713,10.); #116925=VECTOR('',#402714,10.); #116926=VECTOR('',#402715,10.); #116927=VECTOR('',#402718,10.); #116928=VECTOR('',#402719,10.); #116929=VECTOR('',#402726,10.); #116930=VECTOR('',#402727,10.); #116931=VECTOR('',#402728,10.); #116932=VECTOR('',#402729,10.); #116933=VECTOR('',#402732,10.); #116934=VECTOR('',#402733,10.); #116935=VECTOR('',#402734,10.); #116936=VECTOR('',#402737,10.); #116937=VECTOR('',#402738,10.); #116938=VECTOR('',#402739,10.); #116939=VECTOR('',#402742,10.); #116940=VECTOR('',#402743,10.); #116941=VECTOR('',#402752,0.140000000000002); #116942=VECTOR('',#402759,0.140000000000002); #116943=VECTOR('',#402766,0.140000000000002); #116944=VECTOR('',#402773,0.140000000000002); #116945=VECTOR('',#402780,0.140000000000002); #116946=VECTOR('',#402787,0.140000000000002); #116947=VECTOR('',#402792,10.); #116948=VECTOR('',#402793,10.); #116949=VECTOR('',#402794,10.); #116950=VECTOR('',#402795,10.); #116951=VECTOR('',#402798,10.); #116952=VECTOR('',#402799,10.); #116953=VECTOR('',#402800,10.); #116954=VECTOR('',#402803,10.); #116955=VECTOR('',#402804,10.); #116956=VECTOR('',#402805,10.); #116957=VECTOR('',#402808,10.); #116958=VECTOR('',#402809,10.); #116959=VECTOR('',#402816,10.); #116960=VECTOR('',#402817,10.); #116961=VECTOR('',#402818,10.); #116962=VECTOR('',#402819,10.); #116963=VECTOR('',#402822,10.); #116964=VECTOR('',#402823,10.); #116965=VECTOR('',#402824,10.); #116966=VECTOR('',#402827,10.); #116967=VECTOR('',#402828,10.); #116968=VECTOR('',#402829,10.); #116969=VECTOR('',#402832,10.); #116970=VECTOR('',#402833,10.); #116971=VECTOR('',#402840,10.); #116972=VECTOR('',#402841,10.); #116973=VECTOR('',#402842,10.); #116974=VECTOR('',#402843,10.); #116975=VECTOR('',#402846,10.); #116976=VECTOR('',#402847,10.); #116977=VECTOR('',#402848,10.); #116978=VECTOR('',#402851,10.); #116979=VECTOR('',#402852,10.); #116980=VECTOR('',#402853,10.); #116981=VECTOR('',#402856,10.); #116982=VECTOR('',#402857,10.); #116983=VECTOR('',#402864,10.); #116984=VECTOR('',#402865,10.); #116985=VECTOR('',#402866,10.); #116986=VECTOR('',#402867,10.); #116987=VECTOR('',#402870,10.); #116988=VECTOR('',#402871,10.); #116989=VECTOR('',#402872,10.); #116990=VECTOR('',#402875,10.); #116991=VECTOR('',#402876,10.); #116992=VECTOR('',#402877,10.); #116993=VECTOR('',#402880,10.); #116994=VECTOR('',#402881,10.); #116995=VECTOR('',#402888,10.); #116996=VECTOR('',#402889,10.); #116997=VECTOR('',#402890,10.); #116998=VECTOR('',#402891,10.); #116999=VECTOR('',#402894,10.); #117000=VECTOR('',#402895,10.); #117001=VECTOR('',#402896,10.); #117002=VECTOR('',#402899,10.); #117003=VECTOR('',#402900,10.); #117004=VECTOR('',#402901,10.); #117005=VECTOR('',#402904,10.); #117006=VECTOR('',#402905,10.); #117007=VECTOR('',#402912,10.); #117008=VECTOR('',#402913,10.); #117009=VECTOR('',#402914,10.); #117010=VECTOR('',#402915,10.); #117011=VECTOR('',#402918,10.); #117012=VECTOR('',#402919,10.); #117013=VECTOR('',#402920,10.); #117014=VECTOR('',#402923,10.); #117015=VECTOR('',#402924,10.); #117016=VECTOR('',#402925,10.); #117017=VECTOR('',#402928,10.); #117018=VECTOR('',#402929,10.); #117019=VECTOR('',#402936,10.); #117020=VECTOR('',#402937,10.); #117021=VECTOR('',#402938,10.); #117022=VECTOR('',#402939,10.); #117023=VECTOR('',#402942,10.); #117024=VECTOR('',#402943,10.); #117025=VECTOR('',#402944,10.); #117026=VECTOR('',#402947,10.); #117027=VECTOR('',#402948,10.); #117028=VECTOR('',#402949,10.); #117029=VECTOR('',#402952,10.); #117030=VECTOR('',#402953,10.); #117031=VECTOR('',#402960,10.); #117032=VECTOR('',#402961,10.); #117033=VECTOR('',#402962,10.); #117034=VECTOR('',#402963,10.); #117035=VECTOR('',#402966,10.); #117036=VECTOR('',#402967,10.); #117037=VECTOR('',#402968,10.); #117038=VECTOR('',#402971,10.); #117039=VECTOR('',#402972,10.); #117040=VECTOR('',#402973,10.); #117041=VECTOR('',#402976,10.); #117042=VECTOR('',#402977,10.); #117043=VECTOR('',#402984,10.); #117044=VECTOR('',#402985,10.); #117045=VECTOR('',#402986,10.); #117046=VECTOR('',#402987,10.); #117047=VECTOR('',#402990,10.); #117048=VECTOR('',#402991,10.); #117049=VECTOR('',#402992,10.); #117050=VECTOR('',#402995,10.); #117051=VECTOR('',#402996,10.); #117052=VECTOR('',#402997,10.); #117053=VECTOR('',#403000,10.); #117054=VECTOR('',#403001,10.); #117055=VECTOR('',#403012,0.564999999999998); #117056=VECTOR('',#403017,10.); #117057=VECTOR('',#403018,10.); #117058=VECTOR('',#403019,10.); #117059=VECTOR('',#403020,10.); #117060=VECTOR('',#403027,10.); #117061=VECTOR('',#403040,10.); #117062=VECTOR('',#403041,10.); #117063=VECTOR('',#403042,10.); #117064=VECTOR('',#403043,10.); #117065=VECTOR('',#403046,10.); #117066=VECTOR('',#403047,10.); #117067=VECTOR('',#403048,10.); #117068=VECTOR('',#403051,10.); #117069=VECTOR('',#403052,10.); #117070=VECTOR('',#403053,10.); #117071=VECTOR('',#403056,10.); #117072=VECTOR('',#403057,10.); #117073=VECTOR('',#403064,10.); #117074=VECTOR('',#403065,10.); #117075=VECTOR('',#403066,10.); #117076=VECTOR('',#403067,10.); #117077=VECTOR('',#403070,10.); #117078=VECTOR('',#403071,10.); #117079=VECTOR('',#403072,10.); #117080=VECTOR('',#403075,10.); #117081=VECTOR('',#403076,10.); #117082=VECTOR('',#403077,10.); #117083=VECTOR('',#403080,10.); #117084=VECTOR('',#403081,10.); #117085=VECTOR('',#403090,0.114999999999998); #117086=VECTOR('',#403097,0.114999999999998); #117087=VECTOR('',#403102,10.); #117088=VECTOR('',#403103,10.); #117089=VECTOR('',#403104,10.); #117090=VECTOR('',#403105,10.); #117091=VECTOR('',#403108,10.); #117092=VECTOR('',#403109,10.); #117093=VECTOR('',#403110,10.); #117094=VECTOR('',#403113,10.); #117095=VECTOR('',#403114,10.); #117096=VECTOR('',#403115,10.); #117097=VECTOR('',#403118,10.); #117098=VECTOR('',#403119,10.); #117099=VECTOR('',#403126,10.); #117100=VECTOR('',#403127,10.); #117101=VECTOR('',#403128,10.); #117102=VECTOR('',#403129,10.); #117103=VECTOR('',#403132,10.); #117104=VECTOR('',#403133,10.); #117105=VECTOR('',#403134,10.); #117106=VECTOR('',#403137,10.); #117107=VECTOR('',#403138,10.); #117108=VECTOR('',#403139,10.); #117109=VECTOR('',#403142,10.); #117110=VECTOR('',#403143,10.); #117111=VECTOR('',#403152,0.114999999999998); #117112=VECTOR('',#403159,0.114999999999998); #117113=VECTOR('',#403164,10.); #117114=VECTOR('',#403165,10.); #117115=VECTOR('',#403166,10.); #117116=VECTOR('',#403167,10.); #117117=VECTOR('',#403170,10.); #117118=VECTOR('',#403171,10.); #117119=VECTOR('',#403172,10.); #117120=VECTOR('',#403175,10.); #117121=VECTOR('',#403176,10.); #117122=VECTOR('',#403177,10.); #117123=VECTOR('',#403180,10.); #117124=VECTOR('',#403181,10.); #117125=VECTOR('',#403188,10.); #117126=VECTOR('',#403189,10.); #117127=VECTOR('',#403190,10.); #117128=VECTOR('',#403191,10.); #117129=VECTOR('',#403194,10.); #117130=VECTOR('',#403195,10.); #117131=VECTOR('',#403196,10.); #117132=VECTOR('',#403199,10.); #117133=VECTOR('',#403200,10.); #117134=VECTOR('',#403201,10.); #117135=VECTOR('',#403204,10.); #117136=VECTOR('',#403205,10.); #117137=VECTOR('',#403214,0.114999999999998); #117138=VECTOR('',#403221,0.114999999999998); #117139=VECTOR('',#403226,10.); #117140=VECTOR('',#403227,10.); #117141=VECTOR('',#403228,10.); #117142=VECTOR('',#403229,10.); #117143=VECTOR('',#403232,10.); #117144=VECTOR('',#403233,10.); #117145=VECTOR('',#403234,10.); #117146=VECTOR('',#403237,10.); #117147=VECTOR('',#403238,10.); #117148=VECTOR('',#403239,10.); #117149=VECTOR('',#403242,10.); #117150=VECTOR('',#403243,10.); #117151=VECTOR('',#403250,10.); #117152=VECTOR('',#403251,10.); #117153=VECTOR('',#403252,10.); #117154=VECTOR('',#403253,10.); #117155=VECTOR('',#403256,10.); #117156=VECTOR('',#403257,10.); #117157=VECTOR('',#403258,10.); #117158=VECTOR('',#403261,10.); #117159=VECTOR('',#403262,10.); #117160=VECTOR('',#403263,10.); #117161=VECTOR('',#403266,10.); #117162=VECTOR('',#403267,10.); #117163=VECTOR('',#403276,0.114999999999998); #117164=VECTOR('',#403283,0.114999999999998); #117165=VECTOR('',#403288,10.); #117166=VECTOR('',#403289,10.); #117167=VECTOR('',#403290,10.); #117168=VECTOR('',#403291,10.); #117169=VECTOR('',#403294,10.); #117170=VECTOR('',#403295,10.); #117171=VECTOR('',#403296,10.); #117172=VECTOR('',#403299,10.); #117173=VECTOR('',#403300,10.); #117174=VECTOR('',#403301,10.); #117175=VECTOR('',#403304,10.); #117176=VECTOR('',#403305,10.); #117177=VECTOR('',#403312,10.); #117178=VECTOR('',#403313,10.); #117179=VECTOR('',#403314,10.); #117180=VECTOR('',#403315,10.); #117181=VECTOR('',#403318,10.); #117182=VECTOR('',#403319,10.); #117183=VECTOR('',#403320,10.); #117184=VECTOR('',#403323,10.); #117185=VECTOR('',#403324,10.); #117186=VECTOR('',#403325,10.); #117187=VECTOR('',#403328,10.); #117188=VECTOR('',#403329,10.); #117189=VECTOR('',#403336,10.); #117190=VECTOR('',#403337,10.); #117191=VECTOR('',#403338,10.); #117192=VECTOR('',#403339,10.); #117193=VECTOR('',#403342,10.); #117194=VECTOR('',#403343,10.); #117195=VECTOR('',#403344,10.); #117196=VECTOR('',#403347,10.); #117197=VECTOR('',#403348,10.); #117198=VECTOR('',#403349,10.); #117199=VECTOR('',#403352,10.); #117200=VECTOR('',#403353,10.); #117201=VECTOR('',#403354,10.); #117202=VECTOR('',#403357,10.); #117203=VECTOR('',#403358,10.); #117204=VECTOR('',#403359,10.); #117205=VECTOR('',#403362,10.); #117206=VECTOR('',#403363,10.); #117207=VECTOR('',#403364,10.); #117208=VECTOR('',#403371,10.); #117209=VECTOR('',#403374,10.); #117210=VECTOR('',#403375,10.); #117211=VECTOR('',#403376,10.); #117212=VECTOR('',#403379,10.); #117213=VECTOR('',#403380,10.); #117214=VECTOR('',#403381,10.); #117215=VECTOR('',#403384,10.); #117216=VECTOR('',#403385,10.); #117217=VECTOR('',#403386,10.); #117218=VECTOR('',#403389,10.); #117219=VECTOR('',#403390,10.); #117220=VECTOR('',#403391,10.); #117221=VECTOR('',#403394,10.); #117222=VECTOR('',#403395,10.); #117223=VECTOR('',#403396,10.); #117224=VECTOR('',#403403,10.); #117225=VECTOR('',#403406,10.); #117226=VECTOR('',#403407,10.); #117227=VECTOR('',#403408,10.); #117228=VECTOR('',#403411,10.); #117229=VECTOR('',#403412,10.); #117230=VECTOR('',#403413,10.); #117231=VECTOR('',#403420,10.); #117232=VECTOR('',#403423,10.); #117233=VECTOR('',#403424,10.); #117234=VECTOR('',#403425,10.); #117235=VECTOR('',#403428,10.); #117236=VECTOR('',#403429,10.); #117237=VECTOR('',#403430,10.); #117238=VECTOR('',#403433,10.); #117239=VECTOR('',#403434,10.); #117240=VECTOR('',#403435,10.); #117241=VECTOR('',#403438,10.); #117242=VECTOR('',#403439,10.); #117243=VECTOR('',#403440,10.); #117244=VECTOR('',#403443,10.); #117245=VECTOR('',#403444,10.); #117246=VECTOR('',#403445,10.); #117247=VECTOR('',#403448,10.); #117248=VECTOR('',#403449,10.); #117249=VECTOR('',#403450,10.); #117250=VECTOR('',#403453,10.); #117251=VECTOR('',#403454,10.); #117252=VECTOR('',#403455,10.); #117253=VECTOR('',#403462,10.); #117254=VECTOR('',#403465,10.); #117255=VECTOR('',#403466,10.); #117256=VECTOR('',#403467,10.); #117257=VECTOR('',#403474,10.); #117258=VECTOR('',#403477,10.); #117259=VECTOR('',#403478,10.); #117260=VECTOR('',#403479,10.); #117261=VECTOR('',#403482,10.); #117262=VECTOR('',#403483,10.); #117263=VECTOR('',#403484,10.); #117264=VECTOR('',#403487,10.); #117265=VECTOR('',#403488,10.); #117266=VECTOR('',#403489,10.); #117267=VECTOR('',#403492,10.); #117268=VECTOR('',#403493,10.); #117269=VECTOR('',#403494,10.); #117270=VECTOR('',#403497,10.); #117271=VECTOR('',#403498,10.); #117272=VECTOR('',#403499,10.); #117273=VECTOR('',#403502,10.); #117274=VECTOR('',#403503,10.); #117275=VECTOR('',#403504,10.); #117276=VECTOR('',#403507,10.); #117277=VECTOR('',#403508,10.); #117278=VECTOR('',#403509,10.); #117279=VECTOR('',#403512,10.); #117280=VECTOR('',#403513,10.); #117281=VECTOR('',#403514,10.); #117282=VECTOR('',#403521,10.); #117283=VECTOR('',#403524,10.); #117284=VECTOR('',#403525,10.); #117285=VECTOR('',#403526,10.); #117286=VECTOR('',#403533,10.); #117287=VECTOR('',#403536,10.); #117288=VECTOR('',#403537,10.); #117289=VECTOR('',#403538,10.); #117290=VECTOR('',#403541,10.); #117291=VECTOR('',#403542,10.); #117292=VECTOR('',#403543,10.); #117293=VECTOR('',#403546,10.); #117294=VECTOR('',#403547,10.); #117295=VECTOR('',#403548,10.); #117296=VECTOR('',#403555,10.); #117297=VECTOR('',#403558,10.); #117298=VECTOR('',#403559,10.); #117299=VECTOR('',#403560,10.); #117300=VECTOR('',#403567,10.); #117301=VECTOR('',#403570,10.); #117302=VECTOR('',#403571,10.); #117303=VECTOR('',#403572,10.); #117304=VECTOR('',#403575,10.); #117305=VECTOR('',#403576,10.); #117306=VECTOR('',#403577,10.); #117307=VECTOR('',#403580,10.); #117308=VECTOR('',#403581,10.); #117309=VECTOR('',#403582,10.); #117310=VECTOR('',#403585,10.); #117311=VECTOR('',#403586,10.); #117312=VECTOR('',#403587,10.); #117313=VECTOR('',#403590,10.); #117314=VECTOR('',#403591,10.); #117315=VECTOR('',#403592,10.); #117316=VECTOR('',#403599,10.); #117317=VECTOR('',#403602,10.); #117318=VECTOR('',#403603,10.); #117319=VECTOR('',#403604,10.); #117320=VECTOR('',#403607,10.); #117321=VECTOR('',#403608,10.); #117322=VECTOR('',#403609,10.); #117323=VECTOR('',#403612,10.); #117324=VECTOR('',#403613,10.); #117325=VECTOR('',#403614,10.); #117326=VECTOR('',#403617,10.); #117327=VECTOR('',#403618,10.); #117328=VECTOR('',#403619,10.); #117329=VECTOR('',#403622,10.); #117330=VECTOR('',#403623,10.); #117331=VECTOR('',#403624,10.); #117332=VECTOR('',#403627,10.); #117333=VECTOR('',#403628,10.); #117334=VECTOR('',#403629,10.); #117335=VECTOR('',#403636,10.); #117336=VECTOR('',#403639,10.); #117337=VECTOR('',#403640,10.); #117338=VECTOR('',#403641,10.); #117339=VECTOR('',#403644,10.); #117340=VECTOR('',#403645,10.); #117341=VECTOR('',#403654,0.139999999999998); #117342=VECTOR('',#403661,0.139999999999998); #117343=VECTOR('',#403666,10.); #117344=VECTOR('',#403667,10.); #117345=VECTOR('',#403668,10.); #117346=VECTOR('',#403669,10.); #117347=VECTOR('',#403676,10.); #117348=VECTOR('',#403683,10.); #117349=VECTOR('',#403686,10.); #117350=VECTOR('',#403687,10.); #117351=VECTOR('',#403688,10.); #117352=VECTOR('',#403695,10.); #117353=VECTOR('',#403702,10.); #117354=VECTOR('',#403705,10.); #117355=VECTOR('',#403706,10.); #117356=VECTOR('',#403707,10.); #117357=VECTOR('',#403722,0.139999999999998); #117358=VECTOR('',#403727,10.); #117359=VECTOR('',#403728,10.); #117360=VECTOR('',#403729,10.); #117361=VECTOR('',#403730,10.); #117362=VECTOR('',#403737,10.); #117363=VECTOR('',#403740,10.); #117364=VECTOR('',#403741,10.); #117365=VECTOR('',#403742,10.); #117366=VECTOR('',#403745,10.); #117367=VECTOR('',#403746,10.); #117368=VECTOR('',#403747,10.); #117369=VECTOR('',#403750,10.); #117370=VECTOR('',#403751,10.); #117371=VECTOR('',#403752,10.); #117372=VECTOR('',#403755,10.); #117373=VECTOR('',#403756,10.); #117374=VECTOR('',#403757,10.); #117375=VECTOR('',#403760,10.); #117376=VECTOR('',#403761,10.); #117377=VECTOR('',#403762,10.); #117378=VECTOR('',#403765,10.); #117379=VECTOR('',#403766,10.); #117380=VECTOR('',#403767,10.); #117381=VECTOR('',#403770,10.); #117382=VECTOR('',#403771,10.); #117383=VECTOR('',#403772,10.); #117384=VECTOR('',#403787,0.139999999999998); #117385=VECTOR('',#403792,10.); #117386=VECTOR('',#403793,10.); #117387=VECTOR('',#403794,10.); #117388=VECTOR('',#403795,10.); #117389=VECTOR('',#403798,10.); #117390=VECTOR('',#403799,10.); #117391=VECTOR('',#403800,10.); #117392=VECTOR('',#403803,10.); #117393=VECTOR('',#403804,10.); #117394=VECTOR('',#403805,10.); #117395=VECTOR('',#403808,10.); #117396=VECTOR('',#403809,10.); #117397=VECTOR('',#403810,10.); #117398=VECTOR('',#403813,10.); #117399=VECTOR('',#403814,10.); #117400=VECTOR('',#403815,10.); #117401=VECTOR('',#403822,10.); #117402=VECTOR('',#403825,10.); #117403=VECTOR('',#403826,10.); #117404=VECTOR('',#403827,10.); #117405=VECTOR('',#403834,10.); #117406=VECTOR('',#403841,10.); #117407=VECTOR('',#403848,10.); #117408=VECTOR('',#403851,10.); #117409=VECTOR('',#403852,10.); #117410=VECTOR('',#403853,10.); #117411=VECTOR('',#403856,10.); #117412=VECTOR('',#403857,10.); #117413=VECTOR('',#403858,10.); #117414=VECTOR('',#403861,10.); #117415=VECTOR('',#403862,10.); #117416=VECTOR('',#403871,0.139999999999998); #117417=VECTOR('',#403878,0.140000000000002); #117418=VECTOR('',#403883,10.); #117419=VECTOR('',#403884,10.); #117420=VECTOR('',#403885,10.); #117421=VECTOR('',#403886,10.); #117422=VECTOR('',#403893,10.); #117423=VECTOR('',#403900,10.); #117424=VECTOR('',#403903,10.); #117425=VECTOR('',#403904,10.); #117426=VECTOR('',#403905,10.); #117427=VECTOR('',#403908,10.); #117428=VECTOR('',#403909,10.); #117429=VECTOR('',#403910,10.); #117430=VECTOR('',#403913,10.); #117431=VECTOR('',#403914,10.); #117432=VECTOR('',#403915,10.); #117433=VECTOR('',#403918,10.); #117434=VECTOR('',#403919,10.); #117435=VECTOR('',#403920,10.); #117436=VECTOR('',#403923,10.); #117437=VECTOR('',#403924,10.); #117438=VECTOR('',#403925,10.); #117439=VECTOR('',#403932,10.); #117440=VECTOR('',#403935,10.); #117441=VECTOR('',#403936,10.); #117442=VECTOR('',#403937,10.); #117443=VECTOR('',#403940,10.); #117444=VECTOR('',#403941,10.); #117445=VECTOR('',#403942,10.); #117446=VECTOR('',#403949,10.); #117447=VECTOR('',#403952,10.); #117448=VECTOR('',#403953,10.); #117449=VECTOR('',#403954,10.); #117450=VECTOR('',#403961,10.); #117451=VECTOR('',#403964,10.); #117452=VECTOR('',#403965,10.); #117453=VECTOR('',#403966,10.); #117454=VECTOR('',#403969,10.); #117455=VECTOR('',#403970,10.); #117456=VECTOR('',#403971,10.); #117457=VECTOR('',#403974,10.); #117458=VECTOR('',#403975,10.); #117459=VECTOR('',#403976,10.); #117460=VECTOR('',#403983,10.); #117461=VECTOR('',#403986,10.); #117462=VECTOR('',#403987,10.); #117463=VECTOR('',#403988,10.); #117464=VECTOR('',#403995,10.); #117465=VECTOR('',#403998,10.); #117466=VECTOR('',#403999,10.); #117467=VECTOR('',#404000,10.); #117468=VECTOR('',#404007,10.); #117469=VECTOR('',#404014,10.); #117470=VECTOR('',#404017,10.); #117471=VECTOR('',#404018,10.); #117472=VECTOR('',#404019,10.); #117473=VECTOR('',#404022,10.); #117474=VECTOR('',#404023,10.); #117475=VECTOR('',#404024,10.); #117476=VECTOR('',#404027,10.); #117477=VECTOR('',#404028,10.); #117478=VECTOR('',#404029,10.); #117479=VECTOR('',#404036,10.); #117480=VECTOR('',#404039,10.); #117481=VECTOR('',#404040,10.); #117482=VECTOR('',#404041,10.); #117483=VECTOR('',#404048,10.); #117484=VECTOR('',#404051,10.); #117485=VECTOR('',#404052,10.); #117486=VECTOR('',#404053,10.); #117487=VECTOR('',#404056,10.); #117488=VECTOR('',#404057,10.); #117489=VECTOR('',#404058,10.); #117490=VECTOR('',#404061,10.); #117491=VECTOR('',#404062,10.); #117492=VECTOR('',#404063,10.); #117493=VECTOR('',#404070,10.); #117494=VECTOR('',#404073,10.); #117495=VECTOR('',#404074,10.); #117496=VECTOR('',#404075,10.); #117497=VECTOR('',#404078,10.); #117498=VECTOR('',#404079,10.); #117499=VECTOR('',#404080,10.); #117500=VECTOR('',#404087,10.); #117501=VECTOR('',#404090,10.); #117502=VECTOR('',#404091,10.); #117503=VECTOR('',#404092,10.); #117504=VECTOR('',#404099,10.); #117505=VECTOR('',#404102,10.); #117506=VECTOR('',#404103,10.); #117507=VECTOR('',#404104,10.); #117508=VECTOR('',#404111,10.); #117509=VECTOR('',#404114,10.); #117510=VECTOR('',#404115,10.); #117511=VECTOR('',#404116,10.); #117512=VECTOR('',#404123,10.); #117513=VECTOR('',#404126,10.); #117514=VECTOR('',#404127,10.); #117515=VECTOR('',#404128,10.); #117516=VECTOR('',#404143,0.140000000000002); #117517=VECTOR('',#404150,0.139999999999998); #117518=VECTOR('',#404155,10.); #117519=VECTOR('',#404156,10.); #117520=VECTOR('',#404157,10.); #117521=VECTOR('',#404158,10.); #117522=VECTOR('',#404165,10.); #117523=VECTOR('',#404172,10.); #117524=VECTOR('',#404175,10.); #117525=VECTOR('',#404176,10.); #117526=VECTOR('',#404177,10.); #117527=VECTOR('',#404180,10.); #117528=VECTOR('',#404181,10.); #117529=VECTOR('',#404182,10.); #117530=VECTOR('',#404189,10.); #117531=VECTOR('',#404196,10.); #117532=VECTOR('',#404199,10.); #117533=VECTOR('',#404200,10.); #117534=VECTOR('',#404201,10.); #117535=VECTOR('',#404208,10.); #117536=VECTOR('',#404211,10.); #117537=VECTOR('',#404212,10.); #117538=VECTOR('',#404213,10.); #117539=VECTOR('',#404228,0.139999999999998); #117540=VECTOR('',#404233,10.); #117541=VECTOR('',#404234,10.); #117542=VECTOR('',#404235,10.); #117543=VECTOR('',#404236,10.); #117544=VECTOR('',#404239,10.); #117545=VECTOR('',#404240,10.); #117546=VECTOR('',#404241,10.); #117547=VECTOR('',#404244,10.); #117548=VECTOR('',#404245,10.); #117549=VECTOR('',#404246,10.); #117550=VECTOR('',#404249,10.); #117551=VECTOR('',#404250,10.); #117552=VECTOR('',#404251,10.); #117553=VECTOR('',#404254,10.); #117554=VECTOR('',#404255,10.); #117555=VECTOR('',#404256,10.); #117556=VECTOR('',#404263,10.); #117557=VECTOR('',#404266,10.); #117558=VECTOR('',#404267,10.); #117559=VECTOR('',#404268,10.); #117560=VECTOR('',#404275,10.); #117561=VECTOR('',#404282,10.); #117562=VECTOR('',#404289,10.); #117563=VECTOR('',#404292,10.); #117564=VECTOR('',#404293,10.); #117565=VECTOR('',#404294,10.); #117566=VECTOR('',#404301,10.); #117567=VECTOR('',#404304,10.); #117568=VECTOR('',#404305,10.); #117569=VECTOR('',#404306,10.); #117570=VECTOR('',#404309,10.); #117571=VECTOR('',#404310,10.); #117572=VECTOR('',#404311,10.); #117573=VECTOR('',#404314,10.); #117574=VECTOR('',#404315,10.); #117575=VECTOR('',#404316,10.); #117576=VECTOR('',#404319,10.); #117577=VECTOR('',#404320,10.); #117578=VECTOR('',#404321,10.); #117579=VECTOR('',#404324,10.); #117580=VECTOR('',#404325,10.); #117581=VECTOR('',#404326,10.); #117582=VECTOR('',#404329,10.); #117583=VECTOR('',#404330,10.); #117584=VECTOR('',#404331,10.); #117585=VECTOR('',#404334,10.); #117586=VECTOR('',#404335,10.); #117587=VECTOR('',#404336,10.); #117588=VECTOR('',#404339,10.); #117589=VECTOR('',#404340,10.); #117590=VECTOR('',#404341,10.); #117591=VECTOR('',#404348,10.); #117592=VECTOR('',#404351,10.); #117593=VECTOR('',#404352,10.); #117594=VECTOR('',#404353,10.); #117595=VECTOR('',#404356,10.); #117596=VECTOR('',#404357,10.); #117597=VECTOR('',#404358,10.); #117598=VECTOR('',#404361,10.); #117599=VECTOR('',#404362,10.); #117600=VECTOR('',#404371,0.139999999999998); #117601=VECTOR('',#404376,10.); #117602=VECTOR('',#404377,10.); #117603=VECTOR('',#404378,10.); #117604=VECTOR('',#404379,10.); #117605=VECTOR('',#404386,10.); #117606=VECTOR('',#404393,10.); #117607=VECTOR('',#404396,10.); #117608=VECTOR('',#404397,10.); #117609=VECTOR('',#404398,10.); #117610=VECTOR('',#404405,10.); #117611=VECTOR('',#404408,10.); #117612=VECTOR('',#404409,10.); #117613=VECTOR('',#404410,10.); #117614=VECTOR('',#404413,10.); #117615=VECTOR('',#404414,10.); #117616=VECTOR('',#404415,10.); #117617=VECTOR('',#404418,10.); #117618=VECTOR('',#404419,10.); #117619=VECTOR('',#404420,10.); #117620=VECTOR('',#404423,10.); #117621=VECTOR('',#404424,10.); #117622=VECTOR('',#404425,10.); #117623=VECTOR('',#404428,10.); #117624=VECTOR('',#404429,10.); #117625=VECTOR('',#404430,10.); #117626=VECTOR('',#404433,10.); #117627=VECTOR('',#404434,10.); #117628=VECTOR('',#404435,10.); #117629=VECTOR('',#404438,10.); #117630=VECTOR('',#404439,10.); #117631=VECTOR('',#404440,10.); #117632=VECTOR('',#404443,10.); #117633=VECTOR('',#404444,10.); #117634=VECTOR('',#404445,10.); #117635=VECTOR('',#404452,10.); #117636=VECTOR('',#404455,10.); #117637=VECTOR('',#404456,10.); #117638=VECTOR('',#404457,10.); #117639=VECTOR('',#404460,10.); #117640=VECTOR('',#404461,10.); #117641=VECTOR('',#404462,10.); #117642=VECTOR('',#404465,10.); #117643=VECTOR('',#404466,10.); #117644=VECTOR('',#404467,10.); #117645=VECTOR('',#404470,10.); #117646=VECTOR('',#404471,10.); #117647=VECTOR('',#404472,10.); #117648=VECTOR('',#404475,10.); #117649=VECTOR('',#404476,10.); #117650=VECTOR('',#404477,10.); #117651=VECTOR('',#404480,10.); #117652=VECTOR('',#404481,10.); #117653=VECTOR('',#404482,10.); #117654=VECTOR('',#404485,10.); #117655=VECTOR('',#404486,10.); #117656=VECTOR('',#404487,10.); #117657=VECTOR('',#404490,10.); #117658=VECTOR('',#404491,10.); #117659=VECTOR('',#404492,10.); #117660=VECTOR('',#404499,10.); #117661=VECTOR('',#404502,10.); #117662=VECTOR('',#404503,10.); #117663=VECTOR('',#404504,10.); #117664=VECTOR('',#404511,10.); #117665=VECTOR('',#404526,0.139999999999998); #117666=VECTOR('',#404533,0.139999999999998); #117667=VECTOR('',#404538,10.); #117668=VECTOR('',#404539,10.); #117669=VECTOR('',#404540,10.); #117670=VECTOR('',#404541,10.); #117671=VECTOR('',#404544,10.); #117672=VECTOR('',#404545,10.); #117673=VECTOR('',#404546,10.); #117674=VECTOR('',#404553,10.); #117675=VECTOR('',#404556,10.); #117676=VECTOR('',#404557,10.); #117677=VECTOR('',#404558,10.); #117678=VECTOR('',#404561,10.); #117679=VECTOR('',#404562,10.); #117680=VECTOR('',#404563,10.); #117681=VECTOR('',#404570,10.); #117682=VECTOR('',#404577,10.); #117683=VECTOR('',#404580,10.); #117684=VECTOR('',#404581,10.); #117685=VECTOR('',#404582,10.); #117686=VECTOR('',#404589,10.); #117687=VECTOR('',#404592,10.); #117688=VECTOR('',#404593,10.); #117689=VECTOR('',#404594,10.); #117690=VECTOR('',#404597,10.); #117691=VECTOR('',#404598,10.); #117692=VECTOR('',#404599,10.); #117693=VECTOR('',#404602,10.); #117694=VECTOR('',#404603,10.); #117695=VECTOR('',#404604,10.); #117696=VECTOR('',#404611,10.); #117697=VECTOR('',#404614,10.); #117698=VECTOR('',#404615,10.); #117699=VECTOR('',#404616,10.); #117700=VECTOR('',#404619,10.); #117701=VECTOR('',#404620,10.); #117702=VECTOR('',#404621,10.); #117703=VECTOR('',#404624,10.); #117704=VECTOR('',#404625,10.); #117705=VECTOR('',#404626,10.); #117706=VECTOR('',#404629,10.); #117707=VECTOR('',#404630,10.); #117708=VECTOR('',#404631,10.); #117709=VECTOR('',#404634,10.); #117710=VECTOR('',#404635,10.); #117711=VECTOR('',#404636,10.); #117712=VECTOR('',#404643,10.); #117713=VECTOR('',#404646,10.); #117714=VECTOR('',#404647,10.); #117715=VECTOR('',#404648,10.); #117716=VECTOR('',#404651,10.); #117717=VECTOR('',#404652,10.); #117718=VECTOR('',#404653,10.); #117719=VECTOR('',#404660,10.); #117720=VECTOR('',#404663,10.); #117721=VECTOR('',#404664,10.); #117722=VECTOR('',#404665,10.); #117723=VECTOR('',#404672,10.); #117724=VECTOR('',#404675,10.); #117725=VECTOR('',#404676,10.); #117726=VECTOR('',#404677,10.); #117727=VECTOR('',#404680,10.); #117728=VECTOR('',#404681,10.); #117729=VECTOR('',#404682,10.); #117730=VECTOR('',#404685,10.); #117731=VECTOR('',#404686,10.); #117732=VECTOR('',#404687,10.); #117733=VECTOR('',#404694,10.); #117734=VECTOR('',#404697,10.); #117735=VECTOR('',#404698,10.); #117736=VECTOR('',#404699,10.); #117737=VECTOR('',#404706,10.); #117738=VECTOR('',#404709,10.); #117739=VECTOR('',#404710,10.); #117740=VECTOR('',#404711,10.); #117741=VECTOR('',#404718,10.); #117742=VECTOR('',#404721,10.); #117743=VECTOR('',#404722,10.); #117744=VECTOR('',#404723,10.); #117745=VECTOR('',#404726,10.); #117746=VECTOR('',#404727,10.); #117747=VECTOR('',#404728,10.); #117748=VECTOR('',#404735,10.); #117749=VECTOR('',#404742,10.); #117750=VECTOR('',#404745,10.); #117751=VECTOR('',#404746,10.); #117752=VECTOR('',#404747,10.); #117753=VECTOR('',#404754,10.); #117754=VECTOR('',#404757,10.); #117755=VECTOR('',#404758,10.); #117756=VECTOR('',#404759,10.); #117757=VECTOR('',#404762,10.); #117758=VECTOR('',#404763,10.); #117759=VECTOR('',#404764,10.); #117760=VECTOR('',#404767,10.); #117761=VECTOR('',#404768,10.); #117762=VECTOR('',#404769,10.); #117763=VECTOR('',#404772,10.); #117764=VECTOR('',#404773,10.); #117765=VECTOR('',#404774,10.); #117766=VECTOR('',#404781,10.); #117767=VECTOR('',#404784,10.); #117768=VECTOR('',#404785,10.); #117769=VECTOR('',#404786,10.); #117770=VECTOR('',#404793,10.); #117771=VECTOR('',#404796,10.); #117772=VECTOR('',#404797,10.); #117773=VECTOR('',#404798,10.); #117774=VECTOR('',#404801,10.); #117775=VECTOR('',#404802,10.); #117776=VECTOR('',#404803,10.); #117777=VECTOR('',#404806,10.); #117778=VECTOR('',#404807,10.); #117779=VECTOR('',#404808,10.); #117780=VECTOR('',#404815,10.); #117781=VECTOR('',#404818,10.); #117782=VECTOR('',#404819,10.); #117783=VECTOR('',#404820,10.); #117784=VECTOR('',#404823,10.); #117785=VECTOR('',#404824,10.); #117786=VECTOR('',#404825,10.); #117787=VECTOR('',#404832,10.); #117788=VECTOR('',#404835,10.); #117789=VECTOR('',#404836,10.); #117790=VECTOR('',#404837,10.); #117791=VECTOR('',#404844,10.); #117792=VECTOR('',#404847,10.); #117793=VECTOR('',#404848,10.); #117794=VECTOR('',#404849,10.); #117795=VECTOR('',#404856,10.); #117796=VECTOR('',#404859,10.); #117797=VECTOR('',#404860,10.); #117798=VECTOR('',#404861,10.); #117799=VECTOR('',#404868,10.); #117800=VECTOR('',#404871,10.); #117801=VECTOR('',#404872,10.); #117802=VECTOR('',#404873,10.); #117803=VECTOR('',#404876,10.); #117804=VECTOR('',#404877,10.); #117805=VECTOR('',#404878,10.); #117806=VECTOR('',#404893,0.139999999999998); #117807=VECTOR('',#404900,0.139999999999998); #117808=VECTOR('',#404905,10.); #117809=VECTOR('',#404906,10.); #117810=VECTOR('',#404907,10.); #117811=VECTOR('',#404908,10.); #117812=VECTOR('',#404915,10.); #117813=VECTOR('',#404922,10.); #117814=VECTOR('',#404925,10.); #117815=VECTOR('',#404926,10.); #117816=VECTOR('',#404927,10.); #117817=VECTOR('',#404934,10.); #117818=VECTOR('',#404941,10.); #117819=VECTOR('',#404944,10.); #117820=VECTOR('',#404945,10.); #117821=VECTOR('',#404946,10.); #117822=VECTOR('',#404961,0.139999999999998); #117823=VECTOR('',#404968,0.139999999999998); #117824=VECTOR('',#404973,10.); #117825=VECTOR('',#404974,10.); #117826=VECTOR('',#404975,10.); #117827=VECTOR('',#404976,10.); #117828=VECTOR('',#404983,10.); #117829=VECTOR('',#404990,10.); #117830=VECTOR('',#404993,10.); #117831=VECTOR('',#404994,10.); #117832=VECTOR('',#404995,10.); #117833=VECTOR('',#405002,10.); #117834=VECTOR('',#405009,10.); #117835=VECTOR('',#405012,10.); #117836=VECTOR('',#405013,10.); #117837=VECTOR('',#405014,10.); #117838=VECTOR('',#405029,0.139999999999998); #117839=VECTOR('',#405036,0.139999999999998); #117840=VECTOR('',#405041,10.); #117841=VECTOR('',#405042,10.); #117842=VECTOR('',#405043,10.); #117843=VECTOR('',#405044,10.); #117844=VECTOR('',#405051,10.); #117845=VECTOR('',#405058,10.); #117846=VECTOR('',#405061,10.); #117847=VECTOR('',#405062,10.); #117848=VECTOR('',#405063,10.); #117849=VECTOR('',#405070,10.); #117850=VECTOR('',#405077,10.); #117851=VECTOR('',#405080,10.); #117852=VECTOR('',#405081,10.); #117853=VECTOR('',#405082,10.); #117854=VECTOR('',#405097,0.140000000000002); #117855=VECTOR('',#405104,0.139999999999998); #117856=VECTOR('',#405109,10.); #117857=VECTOR('',#405110,10.); #117858=VECTOR('',#405111,10.); #117859=VECTOR('',#405112,10.); #117860=VECTOR('',#405119,10.); #117861=VECTOR('',#405122,10.); #117862=VECTOR('',#405123,10.); #117863=VECTOR('',#405124,10.); #117864=VECTOR('',#405127,10.); #117865=VECTOR('',#405128,10.); #117866=VECTOR('',#405129,10.); #117867=VECTOR('',#405132,10.); #117868=VECTOR('',#405133,10.); #117869=VECTOR('',#405134,10.); #117870=VECTOR('',#405141,10.); #117871=VECTOR('',#405148,10.); #117872=VECTOR('',#405151,10.); #117873=VECTOR('',#405152,10.); #117874=VECTOR('',#405153,10.); #117875=VECTOR('',#405160,10.); #117876=VECTOR('',#405163,10.); #117877=VECTOR('',#405164,10.); #117878=VECTOR('',#405165,10.); #117879=VECTOR('',#405172,10.); #117880=VECTOR('',#405175,10.); #117881=VECTOR('',#405176,10.); #117882=VECTOR('',#405177,10.); #117883=VECTOR('',#405192,0.139999999999998); #117884=VECTOR('',#405197,10.); #117885=VECTOR('',#405198,10.); #117886=VECTOR('',#405199,10.); #117887=VECTOR('',#405200,10.); #117888=VECTOR('',#405203,10.); #117889=VECTOR('',#405204,10.); #117890=VECTOR('',#405205,10.); #117891=VECTOR('',#405208,10.); #117892=VECTOR('',#405209,10.); #117893=VECTOR('',#405210,10.); #117894=VECTOR('',#405213,10.); #117895=VECTOR('',#405214,10.); #117896=VECTOR('',#405223,0.139999999999998); #117897=VECTOR('',#405228,10.); #117898=VECTOR('',#405229,10.); #117899=VECTOR('',#405230,10.); #117900=VECTOR('',#405231,10.); #117901=VECTOR('',#405234,10.); #117902=VECTOR('',#405235,10.); #117903=VECTOR('',#405236,10.); #117904=VECTOR('',#405239,10.); #117905=VECTOR('',#405240,10.); #117906=VECTOR('',#405241,10.); #117907=VECTOR('',#405244,10.); #117908=VECTOR('',#405245,10.); #117909=VECTOR('',#405254,0.140000000000002); #117910=VECTOR('',#405259,10.); #117911=VECTOR('',#405260,10.); #117912=VECTOR('',#405261,10.); #117913=VECTOR('',#405262,10.); #117914=VECTOR('',#405265,10.); #117915=VECTOR('',#405266,10.); #117916=VECTOR('',#405267,10.); #117917=VECTOR('',#405270,10.); #117918=VECTOR('',#405271,10.); #117919=VECTOR('',#405272,10.); #117920=VECTOR('',#405275,10.); #117921=VECTOR('',#405276,10.); #117922=VECTOR('',#405285,0.139999999999998); #117923=VECTOR('',#405290,10.); #117924=VECTOR('',#405291,10.); #117925=VECTOR('',#405292,10.); #117926=VECTOR('',#405293,10.); #117927=VECTOR('',#405300,10.); #117928=VECTOR('',#405303,10.); #117929=VECTOR('',#405304,10.); #117930=VECTOR('',#405305,10.); #117931=VECTOR('',#405308,10.); #117932=VECTOR('',#405309,10.); #117933=VECTOR('',#405310,10.); #117934=VECTOR('',#405313,10.); #117935=VECTOR('',#405314,10.); #117936=VECTOR('',#405315,10.); #117937=VECTOR('',#405318,10.); #117938=VECTOR('',#405319,10.); #117939=VECTOR('',#405320,10.); #117940=VECTOR('',#405323,10.); #117941=VECTOR('',#405324,10.); #117942=VECTOR('',#405325,10.); #117943=VECTOR('',#405328,10.); #117944=VECTOR('',#405329,10.); #117945=VECTOR('',#405330,10.); #117946=VECTOR('',#405333,10.); #117947=VECTOR('',#405334,10.); #117948=VECTOR('',#405335,10.); #117949=VECTOR('',#405350,0.139999999999998); #117950=VECTOR('',#405357,0.139999999999998); #117951=VECTOR('',#405362,10.); #117952=VECTOR('',#405363,10.); #117953=VECTOR('',#405364,10.); #117954=VECTOR('',#405365,10.); #117955=VECTOR('',#405372,10.); #117956=VECTOR('',#405375,10.); #117957=VECTOR('',#405376,10.); #117958=VECTOR('',#405377,10.); #117959=VECTOR('',#405384,10.); #117960=VECTOR('',#405391,10.); #117961=VECTOR('',#405394,10.); #117962=VECTOR('',#405395,10.); #117963=VECTOR('',#405396,10.); #117964=VECTOR('',#405411,0.139999999999998); #117965=VECTOR('',#405416,10.); #117966=VECTOR('',#405417,10.); #117967=VECTOR('',#405418,10.); #117968=VECTOR('',#405419,10.); #117969=VECTOR('',#405426,10.); #117970=VECTOR('',#405429,10.); #117971=VECTOR('',#405430,10.); #117972=VECTOR('',#405431,10.); #117973=VECTOR('',#405434,10.); #117974=VECTOR('',#405435,10.); #117975=VECTOR('',#405436,10.); #117976=VECTOR('',#405439,10.); #117977=VECTOR('',#405440,10.); #117978=VECTOR('',#405441,10.); #117979=VECTOR('',#405444,10.); #117980=VECTOR('',#405445,10.); #117981=VECTOR('',#405446,10.); #117982=VECTOR('',#405449,10.); #117983=VECTOR('',#405450,10.); #117984=VECTOR('',#405451,10.); #117985=VECTOR('',#405454,10.); #117986=VECTOR('',#405455,10.); #117987=VECTOR('',#405456,10.); #117988=VECTOR('',#405459,10.); #117989=VECTOR('',#405460,10.); #117990=VECTOR('',#405461,10.); #117991=VECTOR('',#405476,0.140000000000002); #117992=VECTOR('',#405483,0.140000000000002); #117993=VECTOR('',#405488,10.); #117994=VECTOR('',#405489,10.); #117995=VECTOR('',#405490,10.); #117996=VECTOR('',#405491,10.); #117997=VECTOR('',#405498,10.); #117998=VECTOR('',#405501,10.); #117999=VECTOR('',#405502,10.); #118000=VECTOR('',#405503,10.); #118001=VECTOR('',#405510,10.); #118002=VECTOR('',#405517,10.); #118003=VECTOR('',#405520,10.); #118004=VECTOR('',#405521,10.); #118005=VECTOR('',#405522,10.); #118006=VECTOR('',#405537,0.139999999999998); #118007=VECTOR('',#405542,10.); #118008=VECTOR('',#405543,10.); #118009=VECTOR('',#405544,10.); #118010=VECTOR('',#405545,10.); #118011=VECTOR('',#405552,10.); #118012=VECTOR('',#405555,10.); #118013=VECTOR('',#405556,10.); #118014=VECTOR('',#405557,10.); #118015=VECTOR('',#405560,10.); #118016=VECTOR('',#405561,10.); #118017=VECTOR('',#405562,10.); #118018=VECTOR('',#405565,10.); #118019=VECTOR('',#405566,10.); #118020=VECTOR('',#405567,10.); #118021=VECTOR('',#405570,10.); #118022=VECTOR('',#405571,10.); #118023=VECTOR('',#405572,10.); #118024=VECTOR('',#405575,10.); #118025=VECTOR('',#405576,10.); #118026=VECTOR('',#405577,10.); #118027=VECTOR('',#405580,10.); #118028=VECTOR('',#405581,10.); #118029=VECTOR('',#405582,10.); #118030=VECTOR('',#405585,10.); #118031=VECTOR('',#405586,10.); #118032=VECTOR('',#405587,10.); #118033=VECTOR('',#405602,0.139999999999998); #118034=VECTOR('',#405607,10.); #118035=VECTOR('',#405608,10.); #118036=VECTOR('',#405609,10.); #118037=VECTOR('',#405610,10.); #118038=VECTOR('',#405617,10.); #118039=VECTOR('',#405620,10.); #118040=VECTOR('',#405621,10.); #118041=VECTOR('',#405622,10.); #118042=VECTOR('',#405625,10.); #118043=VECTOR('',#405626,10.); #118044=VECTOR('',#405627,10.); #118045=VECTOR('',#405630,10.); #118046=VECTOR('',#405631,10.); #118047=VECTOR('',#405632,10.); #118048=VECTOR('',#405635,10.); #118049=VECTOR('',#405636,10.); #118050=VECTOR('',#405637,10.); #118051=VECTOR('',#405640,10.); #118052=VECTOR('',#405641,10.); #118053=VECTOR('',#405642,10.); #118054=VECTOR('',#405645,10.); #118055=VECTOR('',#405646,10.); #118056=VECTOR('',#405647,10.); #118057=VECTOR('',#405650,10.); #118058=VECTOR('',#405651,10.); #118059=VECTOR('',#405652,10.); #118060=VECTOR('',#405667,0.139999999999998); #118061=VECTOR('',#405672,10.); #118062=VECTOR('',#405673,10.); #118063=VECTOR('',#405674,10.); #118064=VECTOR('',#405675,10.); #118065=VECTOR('',#405682,10.); #118066=VECTOR('',#405685,10.); #118067=VECTOR('',#405686,10.); #118068=VECTOR('',#405687,10.); #118069=VECTOR('',#405694,10.); #118070=VECTOR('',#405697,10.); #118071=VECTOR('',#405698,10.); #118072=VECTOR('',#405699,10.); #118073=VECTOR('',#405706,10.); #118074=VECTOR('',#405709,10.); #118075=VECTOR('',#405710,10.); #118076=VECTOR('',#405711,10.); #118077=VECTOR('',#405714,10.); #118078=VECTOR('',#405715,10.); #118079=VECTOR('',#405716,10.); #118080=VECTOR('',#405719,10.); #118081=VECTOR('',#405720,10.); #118082=VECTOR('',#405721,10.); #118083=VECTOR('',#405724,10.); #118084=VECTOR('',#405725,10.); #118085=VECTOR('',#405726,10.); #118086=VECTOR('',#405729,10.); #118087=VECTOR('',#405730,10.); #118088=VECTOR('',#405731,10.); #118089=VECTOR('',#405734,10.); #118090=VECTOR('',#405735,10.); #118091=VECTOR('',#405736,10.); #118092=VECTOR('',#405739,10.); #118093=VECTOR('',#405740,10.); #118094=VECTOR('',#405741,10.); #118095=VECTOR('',#405744,10.); #118096=VECTOR('',#405745,10.); #118097=VECTOR('',#405746,10.); #118098=VECTOR('',#405749,10.); #118099=VECTOR('',#405750,10.); #118100=VECTOR('',#405751,10.); #118101=VECTOR('',#405758,10.); #118102=VECTOR('',#405773,0.465); #118103=VECTOR('',#405778,10.); #118104=VECTOR('',#405779,10.); #118105=VECTOR('',#405780,10.); #118106=VECTOR('',#405781,10.); #118107=VECTOR('',#405784,10.); #118108=VECTOR('',#405785,10.); #118109=VECTOR('',#405786,10.); #118110=VECTOR('',#405789,10.); #118111=VECTOR('',#405790,10.); #118112=VECTOR('',#405791,10.); #118113=VECTOR('',#405794,10.); #118114=VECTOR('',#405795,10.); #118115=VECTOR('',#405796,10.); #118116=VECTOR('',#405799,10.); #118117=VECTOR('',#405800,10.); #118118=VECTOR('',#405801,10.); #118119=VECTOR('',#405804,10.); #118120=VECTOR('',#405805,10.); #118121=VECTOR('',#405806,10.); #118122=VECTOR('',#405809,10.); #118123=VECTOR('',#405810,10.); #118124=VECTOR('',#405811,10.); #118125=VECTOR('',#405814,10.); #118126=VECTOR('',#405815,10.); #118127=VECTOR('',#405816,10.); #118128=VECTOR('',#405823,10.); #118129=VECTOR('',#405830,10.); #118130=VECTOR('',#405833,10.); #118131=VECTOR('',#405834,10.); #118132=VECTOR('',#405835,10.); #118133=VECTOR('',#405842,10.); #118134=VECTOR('',#405845,10.); #118135=VECTOR('',#405846,10.); #118136=VECTOR('',#405847,10.); #118137=VECTOR('',#405854,10.); #118138=VECTOR('',#405857,10.); #118139=VECTOR('',#405858,10.); #118140=VECTOR('',#405867,0.465); #118141=VECTOR('',#405872,10.); #118142=VECTOR('',#405873,10.); #118143=VECTOR('',#405874,10.); #118144=VECTOR('',#405875,10.); #118145=VECTOR('',#405882,10.); #118146=VECTOR('',#405897,0.139999999999998); #118147=VECTOR('',#405902,10.); #118148=VECTOR('',#405903,10.); #118149=VECTOR('',#405904,10.); #118150=VECTOR('',#405905,10.); #118151=VECTOR('',#405908,10.); #118152=VECTOR('',#405909,10.); #118153=VECTOR('',#405910,10.); #118154=VECTOR('',#405913,10.); #118155=VECTOR('',#405914,10.); #118156=VECTOR('',#405915,10.); #118157=VECTOR('',#405918,10.); #118158=VECTOR('',#405919,10.); #118159=VECTOR('',#405920,10.); #118160=VECTOR('',#405923,10.); #118161=VECTOR('',#405924,10.); #118162=VECTOR('',#405925,10.); #118163=VECTOR('',#405928,10.); #118164=VECTOR('',#405929,10.); #118165=VECTOR('',#405930,10.); #118166=VECTOR('',#405933,10.); #118167=VECTOR('',#405934,10.); #118168=VECTOR('',#405935,10.); #118169=VECTOR('',#405942,10.); #118170=VECTOR('',#405945,10.); #118171=VECTOR('',#405946,10.); #118172=VECTOR('',#405947,10.); #118173=VECTOR('',#405950,10.); #118174=VECTOR('',#405951,10.); #118175=VECTOR('',#405952,10.); #118176=VECTOR('',#405959,10.); #118177=VECTOR('',#405966,10.); #118178=VECTOR('',#405969,10.); #118179=VECTOR('',#405970,10.); #118180=VECTOR('',#405971,10.); #118181=VECTOR('',#405978,10.); #118182=VECTOR('',#405981,10.); #118183=VECTOR('',#405982,10.); #118184=VECTOR('',#405983,10.); #118185=VECTOR('',#405986,10.); #118186=VECTOR('',#405987,10.); #118187=VECTOR('',#405988,10.); #118188=VECTOR('',#405995,10.); #118189=VECTOR('',#405998,10.); #118190=VECTOR('',#405999,10.); #118191=VECTOR('',#406000,10.); #118192=VECTOR('',#406007,10.); #118193=VECTOR('',#406010,10.); #118194=VECTOR('',#406011,10.); #118195=VECTOR('',#406012,10.); #118196=VECTOR('',#406015,10.); #118197=VECTOR('',#406016,10.); #118198=VECTOR('',#406025,0.465); #118199=VECTOR('',#406030,10.); #118200=VECTOR('',#406031,10.); #118201=VECTOR('',#406032,10.); #118202=VECTOR('',#406033,10.); #118203=VECTOR('',#406036,10.); #118204=VECTOR('',#406037,10.); #118205=VECTOR('',#406038,10.); #118206=VECTOR('',#406041,10.); #118207=VECTOR('',#406042,10.); #118208=VECTOR('',#406043,10.); #118209=VECTOR('',#406046,10.); #118210=VECTOR('',#406047,10.); #118211=VECTOR('',#406048,10.); #118212=VECTOR('',#406055,10.); #118213=VECTOR('',#406058,10.); #118214=VECTOR('',#406059,10.); #118215=VECTOR('',#406060,10.); #118216=VECTOR('',#406067,10.); #118217=VECTOR('',#406070,10.); #118218=VECTOR('',#406071,10.); #118219=VECTOR('',#406072,10.); #118220=VECTOR('',#406079,10.); #118221=VECTOR('',#406086,10.); #118222=VECTOR('',#406089,10.); #118223=VECTOR('',#406090,10.); #118224=VECTOR('',#406091,10.); #118225=VECTOR('',#406094,10.); #118226=VECTOR('',#406095,10.); #118227=VECTOR('',#406096,10.); #118228=VECTOR('',#406099,10.); #118229=VECTOR('',#406100,10.); #118230=VECTOR('',#406101,10.); #118231=VECTOR('',#406104,10.); #118232=VECTOR('',#406105,10.); #118233=VECTOR('',#406106,10.); #118234=VECTOR('',#406109,10.); #118235=VECTOR('',#406110,10.); #118236=VECTOR('',#406117,10.); #118237=VECTOR('',#406118,10.); #118238=VECTOR('',#406119,10.); #118239=VECTOR('',#406120,10.); #118240=VECTOR('',#406123,10.); #118241=VECTOR('',#406124,10.); #118242=VECTOR('',#406125,10.); #118243=VECTOR('',#406128,10.); #118244=VECTOR('',#406129,10.); #118245=VECTOR('',#406130,10.); #118246=VECTOR('',#406133,10.); #118247=VECTOR('',#406134,10.); #118248=VECTOR('',#406135,10.); #118249=VECTOR('',#406138,10.); #118250=VECTOR('',#406139,10.); #118251=VECTOR('',#406140,10.); #118252=VECTOR('',#406147,10.); #118253=VECTOR('',#406150,10.); #118254=VECTOR('',#406151,10.); #118255=VECTOR('',#406152,10.); #118256=VECTOR('',#406155,10.); #118257=VECTOR('',#406156,10.); #118258=VECTOR('',#406157,10.); #118259=VECTOR('',#406160,10.); #118260=VECTOR('',#406161,10.); #118261=VECTOR('',#406162,10.); #118262=VECTOR('',#406165,10.); #118263=VECTOR('',#406166,10.); #118264=VECTOR('',#406167,10.); #118265=VECTOR('',#406170,10.); #118266=VECTOR('',#406171,10.); #118267=VECTOR('',#406172,10.); #118268=VECTOR('',#406175,10.); #118269=VECTOR('',#406176,10.); #118270=VECTOR('',#406177,10.); #118271=VECTOR('',#406180,10.); #118272=VECTOR('',#406181,10.); #118273=VECTOR('',#406182,10.); #118274=VECTOR('',#406185,10.); #118275=VECTOR('',#406186,10.); #118276=VECTOR('',#406193,10.); #118277=VECTOR('',#406194,10.); #118278=VECTOR('',#406195,10.); #118279=VECTOR('',#406196,10.); #118280=VECTOR('',#406199,10.); #118281=VECTOR('',#406200,10.); #118282=VECTOR('',#406201,10.); #118283=VECTOR('',#406204,10.); #118284=VECTOR('',#406205,10.); #118285=VECTOR('',#406206,10.); #118286=VECTOR('',#406209,10.); #118287=VECTOR('',#406210,10.); #118288=VECTOR('',#406211,10.); #118289=VECTOR('',#406214,10.); #118290=VECTOR('',#406215,10.); #118291=VECTOR('',#406216,10.); #118292=VECTOR('',#406219,10.); #118293=VECTOR('',#406220,10.); #118294=VECTOR('',#406221,10.); #118295=VECTOR('',#406224,10.); #118296=VECTOR('',#406225,10.); #118297=VECTOR('',#406226,10.); #118298=VECTOR('',#406229,10.); #118299=VECTOR('',#406230,10.); #118300=VECTOR('',#406231,10.); #118301=VECTOR('',#406234,10.); #118302=VECTOR('',#406235,10.); #118303=VECTOR('',#406236,10.); #118304=VECTOR('',#406239,10.); #118305=VECTOR('',#406240,10.); #118306=VECTOR('',#406241,10.); #118307=VECTOR('',#406244,10.); #118308=VECTOR('',#406245,10.); #118309=VECTOR('',#406246,10.); #118310=VECTOR('',#406253,10.); #118311=VECTOR('',#406256,10.); #118312=VECTOR('',#406257,10.); #118313=VECTOR('',#406258,10.); #118314=VECTOR('',#406261,10.); #118315=VECTOR('',#406262,10.); #118316=VECTOR('',#406271,0.564999999999998); #118317=VECTOR('',#406276,10.); #118318=VECTOR('',#406277,10.); #118319=VECTOR('',#406278,10.); #118320=VECTOR('',#406279,10.); #118321=VECTOR('',#406282,10.); #118322=VECTOR('',#406283,10.); #118323=VECTOR('',#406284,10.); #118324=VECTOR('',#406287,10.); #118325=VECTOR('',#406288,10.); #118326=VECTOR('',#406289,10.); #118327=VECTOR('',#406292,10.); #118328=VECTOR('',#406293,10.); #118329=VECTOR('',#406294,10.); #118330=VECTOR('',#406297,10.); #118331=VECTOR('',#406298,10.); #118332=VECTOR('',#406299,10.); #118333=VECTOR('',#406306,10.); #118334=VECTOR('',#406309,10.); #118335=VECTOR('',#406310,10.); #118336=VECTOR('',#406311,10.); #118337=VECTOR('',#406314,10.); #118338=VECTOR('',#406315,10.); #118339=VECTOR('',#406316,10.); #118340=VECTOR('',#406319,10.); #118341=VECTOR('',#406320,10.); #118342=VECTOR('',#406321,10.); #118343=VECTOR('',#406324,10.); #118344=VECTOR('',#406325,10.); #118345=VECTOR('',#406326,10.); #118346=VECTOR('',#406333,10.); #118347=VECTOR('',#406336,10.); #118348=VECTOR('',#406337,10.); #118349=VECTOR('',#406338,10.); #118350=VECTOR('',#406345,10.); #118351=VECTOR('',#406348,10.); #118352=VECTOR('',#406349,10.); #118353=VECTOR('',#406350,10.); #118354=VECTOR('',#406353,10.); #118355=VECTOR('',#406354,10.); #118356=VECTOR('',#406355,10.); #118357=VECTOR('',#406358,10.); #118358=VECTOR('',#406359,10.); #118359=VECTOR('',#406360,10.); #118360=VECTOR('',#406363,10.); #118361=VECTOR('',#406364,10.); #118362=VECTOR('',#406365,10.); #118363=VECTOR('',#406368,10.); #118364=VECTOR('',#406369,10.); #118365=VECTOR('',#406370,10.); #118366=VECTOR('',#406373,10.); #118367=VECTOR('',#406374,10.); #118368=VECTOR('',#406375,10.); #118369=VECTOR('',#406378,10.); #118370=VECTOR('',#406379,10.); #118371=VECTOR('',#406380,10.); #118372=VECTOR('',#406383,10.); #118373=VECTOR('',#406384,10.); #118374=VECTOR('',#406385,10.); #118375=VECTOR('',#406388,10.); #118376=VECTOR('',#406389,10.); #118377=VECTOR('',#406390,10.); #118378=VECTOR('',#406397,10.); #118379=VECTOR('',#406400,10.); #118380=VECTOR('',#406401,10.); #118381=VECTOR('',#406402,10.); #118382=VECTOR('',#406409,10.); #118383=VECTOR('',#406412,10.); #118384=VECTOR('',#406413,10.); #118385=VECTOR('',#406414,10.); #118386=VECTOR('',#406421,10.); #118387=VECTOR('',#406424,10.); #118388=VECTOR('',#406425,10.); #118389=VECTOR('',#406426,10.); #118390=VECTOR('',#406429,10.); #118391=VECTOR('',#406430,10.); #118392=VECTOR('',#406431,10.); #118393=VECTOR('',#406434,10.); #118394=VECTOR('',#406435,10.); #118395=VECTOR('',#406436,10.); #118396=VECTOR('',#406439,10.); #118397=VECTOR('',#406440,10.); #118398=VECTOR('',#406441,10.); #118399=VECTOR('',#406444,10.); #118400=VECTOR('',#406445,10.); #118401=VECTOR('',#406446,10.); #118402=VECTOR('',#406449,10.); #118403=VECTOR('',#406450,10.); #118404=VECTOR('',#406451,10.); #118405=VECTOR('',#406454,10.); #118406=VECTOR('',#406455,10.); #118407=VECTOR('',#406456,10.); #118408=VECTOR('',#406459,10.); #118409=VECTOR('',#406460,10.); #118410=VECTOR('',#406461,10.); #118411=VECTOR('',#406464,10.); #118412=VECTOR('',#406465,10.); #118413=VECTOR('',#406466,10.); #118414=VECTOR('',#406469,10.); #118415=VECTOR('',#406470,10.); #118416=VECTOR('',#406471,10.); #118417=VECTOR('',#406474,10.); #118418=VECTOR('',#406475,10.); #118419=VECTOR('',#406484,0.139999999999998); #118420=VECTOR('',#406491,0.139999999999998); #118421=VECTOR('',#406496,10.); #118422=VECTOR('',#406497,10.); #118423=VECTOR('',#406498,10.); #118424=VECTOR('',#406499,10.); #118425=VECTOR('',#406506,10.); #118426=VECTOR('',#406509,10.); #118427=VECTOR('',#406510,10.); #118428=VECTOR('',#406511,10.); #118429=VECTOR('',#406518,10.); #118430=VECTOR('',#406525,10.); #118431=VECTOR('',#406528,10.); #118432=VECTOR('',#406529,10.); #118433=VECTOR('',#406530,10.); #118434=VECTOR('',#406545,0.140000000000002); #118435=VECTOR('',#406550,10.); #118436=VECTOR('',#406551,10.); #118437=VECTOR('',#406552,10.); #118438=VECTOR('',#406553,10.); #118439=VECTOR('',#406556,10.); #118440=VECTOR('',#406557,10.); #118441=VECTOR('',#406558,10.); #118442=VECTOR('',#406561,10.); #118443=VECTOR('',#406562,10.); #118444=VECTOR('',#406563,10.); #118445=VECTOR('',#406566,10.); #118446=VECTOR('',#406567,10.); #118447=VECTOR('',#406576,0.139999999999998); #118448=VECTOR('',#406583,0.139999999999998); #118449=VECTOR('',#406588,10.); #118450=VECTOR('',#406589,10.); #118451=VECTOR('',#406590,10.); #118452=VECTOR('',#406591,10.); #118453=VECTOR('',#406598,10.); #118454=VECTOR('',#406601,10.); #118455=VECTOR('',#406602,10.); #118456=VECTOR('',#406603,10.); #118457=VECTOR('',#406610,10.); #118458=VECTOR('',#406613,10.); #118459=VECTOR('',#406614,10.); #118460=VECTOR('',#406615,10.); #118461=VECTOR('',#406622,10.); #118462=VECTOR('',#406625,10.); #118463=VECTOR('',#406626,10.); #118464=VECTOR('',#406627,10.); #118465=VECTOR('',#406634,10.); #118466=VECTOR('',#406637,10.); #118467=VECTOR('',#406638,10.); #118468=VECTOR('',#406639,10.); #118469=VECTOR('',#406646,10.); #118470=VECTOR('',#406649,10.); #118471=VECTOR('',#406650,10.); #118472=VECTOR('',#406651,10.); #118473=VECTOR('',#406658,10.); #118474=VECTOR('',#406661,10.); #118475=VECTOR('',#406662,10.); #118476=VECTOR('',#406663,10.); #118477=VECTOR('',#406670,10.); #118478=VECTOR('',#406677,10.); #118479=VECTOR('',#406680,10.); #118480=VECTOR('',#406681,10.); #118481=VECTOR('',#406682,10.); #118482=VECTOR('',#406685,10.); #118483=VECTOR('',#406686,10.); #118484=VECTOR('',#406687,10.); #118485=VECTOR('',#406690,10.); #118486=VECTOR('',#406691,10.); #118487=VECTOR('',#406692,10.); #118488=VECTOR('',#406695,10.); #118489=VECTOR('',#406696,10.); #118490=VECTOR('',#406697,10.); #118491=VECTOR('',#406700,10.); #118492=VECTOR('',#406701,10.); #118493=VECTOR('',#406702,10.); #118494=VECTOR('',#406705,10.); #118495=VECTOR('',#406706,10.); #118496=VECTOR('',#406707,10.); #118497=VECTOR('',#406714,10.); #118498=VECTOR('',#406729,0.139999999999998); #118499=VECTOR('',#406736,0.139999999999998); #118500=VECTOR('',#406741,10.); #118501=VECTOR('',#406742,10.); #118502=VECTOR('',#406743,10.); #118503=VECTOR('',#406744,10.); #118504=VECTOR('',#406751,10.); #118505=VECTOR('',#406754,10.); #118506=VECTOR('',#406755,10.); #118507=VECTOR('',#406756,10.); #118508=VECTOR('',#406763,10.); #118509=VECTOR('',#406770,10.); #118510=VECTOR('',#406773,10.); #118511=VECTOR('',#406774,10.); #118512=VECTOR('',#406775,10.); #118513=VECTOR('',#406778,10.); #118514=VECTOR('',#406779,10.); #118515=VECTOR('',#406780,10.); #118516=VECTOR('',#406795,0.139999999999998); #118517=VECTOR('',#406800,10.); #118518=VECTOR('',#406801,10.); #118519=VECTOR('',#406802,10.); #118520=VECTOR('',#406803,10.); #118521=VECTOR('',#406806,10.); #118522=VECTOR('',#406807,10.); #118523=VECTOR('',#406808,10.); #118524=VECTOR('',#406811,10.); #118525=VECTOR('',#406812,10.); #118526=VECTOR('',#406813,10.); #118527=VECTOR('',#406816,10.); #118528=VECTOR('',#406817,10.); #118529=VECTOR('',#406826,0.565000000000002); #118530=VECTOR('',#406831,10.); #118531=VECTOR('',#406832,10.); #118532=VECTOR('',#406833,10.); #118533=VECTOR('',#406834,10.); #118534=VECTOR('',#406841,10.); #118535=VECTOR('',#406856,0.139999999999998); #118536=VECTOR('',#406863,0.139999999999998); #118537=VECTOR('',#406870,0.139999999999998); #118538=VECTOR('',#406877,0.139999999999998); #118539=VECTOR('',#406884,0.139999999999998); #118540=VECTOR('',#406891,0.139999999999998); #118541=VECTOR('',#406898,0.139999999999998); #118542=VECTOR('',#406905,0.139999999999998); #118543=VECTOR('',#406912,0.139999999999998); #118544=VECTOR('',#406919,0.139999999999998); #118545=VECTOR('',#406926,0.139999999999998); #118546=VECTOR('',#406933,0.465); #118547=VECTOR('',#406940,0.139999999999998); #118548=VECTOR('',#406945,10.); #118549=VECTOR('',#406946,10.); #118550=VECTOR('',#406947,10.); #118551=VECTOR('',#406948,10.); #118552=VECTOR('',#406951,10.); #118553=VECTOR('',#406952,10.); #118554=VECTOR('',#406953,10.); #118555=VECTOR('',#406956,10.); #118556=VECTOR('',#406957,10.); #118557=VECTOR('',#406958,10.); #118558=VECTOR('',#406961,10.); #118559=VECTOR('',#406962,10.); #118560=VECTOR('',#406963,10.); #118561=VECTOR('',#406966,10.); #118562=VECTOR('',#406967,10.); #118563=VECTOR('',#406968,10.); #118564=VECTOR('',#406971,10.); #118565=VECTOR('',#406972,10.); #118566=VECTOR('',#406973,10.); #118567=VECTOR('',#406976,10.); #118568=VECTOR('',#406977,10.); #118569=VECTOR('',#406978,10.); #118570=VECTOR('',#406981,10.); #118571=VECTOR('',#406982,10.); #118572=VECTOR('',#406983,10.); #118573=VECTOR('',#406986,10.); #118574=VECTOR('',#406987,10.); #118575=VECTOR('',#406988,10.); #118576=VECTOR('',#406991,10.); #118577=VECTOR('',#406992,10.); #118578=VECTOR('',#406993,10.); #118579=VECTOR('',#406996,10.); #118580=VECTOR('',#406997,10.); #118581=VECTOR('',#406998,10.); #118582=VECTOR('',#407001,10.); #118583=VECTOR('',#407002,10.); #118584=VECTOR('',#407003,10.); #118585=VECTOR('',#407006,10.); #118586=VECTOR('',#407007,10.); #118587=VECTOR('',#407008,10.); #118588=VECTOR('',#407011,10.); #118589=VECTOR('',#407012,10.); #118590=VECTOR('',#407013,10.); #118591=VECTOR('',#407016,10.); #118592=VECTOR('',#407017,10.); #118593=VECTOR('',#407018,10.); #118594=VECTOR('',#407021,10.); #118595=VECTOR('',#407022,10.); #118596=VECTOR('',#407025,10.); #118597=VECTOR('',#407026,10.); #118598=VECTOR('',#407027,10.); #118599=VECTOR('',#407028,10.); #118600=VECTOR('',#407031,10.); #118601=VECTOR('',#407032,10.); #118602=VECTOR('',#407033,10.); #118603=VECTOR('',#407040,10.); #118604=VECTOR('',#407043,10.); #118605=VECTOR('',#407044,10.); #118606=VECTOR('',#407045,10.); #118607=VECTOR('',#407048,10.); #118608=VECTOR('',#407049,10.); #118609=VECTOR('',#407050,10.); #118610=VECTOR('',#407053,10.); #118611=VECTOR('',#407054,10.); #118612=VECTOR('',#407055,10.); #118613=VECTOR('',#407058,10.); #118614=VECTOR('',#407059,10.); #118615=VECTOR('',#407060,10.); #118616=VECTOR('',#407063,10.); #118617=VECTOR('',#407064,10.); #118618=VECTOR('',#407065,10.); #118619=VECTOR('',#407068,10.); #118620=VECTOR('',#407069,10.); #118621=VECTOR('',#407070,10.); #118622=VECTOR('',#407073,10.); #118623=VECTOR('',#407074,10.); #118624=VECTOR('',#407075,10.); #118625=VECTOR('',#407078,10.); #118626=VECTOR('',#407079,10.); #118627=VECTOR('',#407080,10.); #118628=VECTOR('',#407083,10.); #118629=VECTOR('',#407084,10.); #118630=VECTOR('',#407085,10.); #118631=VECTOR('',#407088,10.); #118632=VECTOR('',#407089,10.); #118633=VECTOR('',#407090,10.); #118634=VECTOR('',#407093,10.); #118635=VECTOR('',#407094,10.); #118636=VECTOR('',#407095,10.); #118637=VECTOR('',#407098,10.); #118638=VECTOR('',#407099,10.); #118639=VECTOR('',#407100,10.); #118640=VECTOR('',#407103,10.); #118641=VECTOR('',#407104,10.); #118642=VECTOR('',#407105,10.); #118643=VECTOR('',#407108,10.); #118644=VECTOR('',#407109,10.); #118645=VECTOR('',#407110,10.); #118646=VECTOR('',#407113,10.); #118647=VECTOR('',#407114,10.); #118648=VECTOR('',#407115,10.); #118649=VECTOR('',#407118,10.); #118650=VECTOR('',#407119,10.); #118651=VECTOR('',#407120,10.); #118652=VECTOR('',#407123,10.); #118653=VECTOR('',#407124,10.); #118654=VECTOR('',#407125,10.); #118655=VECTOR('',#407128,10.); #118656=VECTOR('',#407129,10.); #118657=VECTOR('',#407130,10.); #118658=VECTOR('',#407133,10.); #118659=VECTOR('',#407134,10.); #118660=VECTOR('',#407135,10.); #118661=VECTOR('',#407138,10.); #118662=VECTOR('',#407139,10.); #118663=VECTOR('',#407140,10.); #118664=VECTOR('',#407147,10.); #118665=VECTOR('',#407150,10.); #118666=VECTOR('',#407151,10.); #118667=VECTOR('',#407152,10.); #118668=VECTOR('',#407155,10.); #118669=VECTOR('',#407156,10.); #118670=VECTOR('',#407157,10.); #118671=VECTOR('',#407160,10.); #118672=VECTOR('',#407161,10.); #118673=VECTOR('',#407162,10.); #118674=VECTOR('',#407165,10.); #118675=VECTOR('',#407166,10.); #118676=VECTOR('',#407167,10.); #118677=VECTOR('',#407170,10.); #118678=VECTOR('',#407171,10.); #118679=VECTOR('',#407172,10.); #118680=VECTOR('',#407175,10.); #118681=VECTOR('',#407176,10.); #118682=VECTOR('',#407177,10.); #118683=VECTOR('',#407180,10.); #118684=VECTOR('',#407181,10.); #118685=VECTOR('',#407182,10.); #118686=VECTOR('',#407185,10.); #118687=VECTOR('',#407186,10.); #118688=VECTOR('',#407187,10.); #118689=VECTOR('',#407190,10.); #118690=VECTOR('',#407191,10.); #118691=VECTOR('',#407192,10.); #118692=VECTOR('',#407195,10.); #118693=VECTOR('',#407196,10.); #118694=VECTOR('',#407197,10.); #118695=VECTOR('',#407200,10.); #118696=VECTOR('',#407201,10.); #118697=VECTOR('',#407202,10.); #118698=VECTOR('',#407205,10.); #118699=VECTOR('',#407206,10.); #118700=VECTOR('',#407207,10.); #118701=VECTOR('',#407210,10.); #118702=VECTOR('',#407211,10.); #118703=VECTOR('',#407212,10.); #118704=VECTOR('',#407215,10.); #118705=VECTOR('',#407216,10.); #118706=VECTOR('',#407217,10.); #118707=VECTOR('',#407220,10.); #118708=VECTOR('',#407221,10.); #118709=VECTOR('',#407222,10.); #118710=VECTOR('',#407225,10.); #118711=VECTOR('',#407226,10.); #118712=VECTOR('',#407227,10.); #118713=VECTOR('',#407230,10.); #118714=VECTOR('',#407231,10.); #118715=VECTOR('',#407232,10.); #118716=VECTOR('',#407235,10.); #118717=VECTOR('',#407236,10.); #118718=VECTOR('',#407237,10.); #118719=VECTOR('',#407240,10.); #118720=VECTOR('',#407241,10.); #118721=VECTOR('',#407242,10.); #118722=VECTOR('',#407245,10.); #118723=VECTOR('',#407246,10.); #118724=VECTOR('',#407247,10.); #118725=VECTOR('',#407250,10.); #118726=VECTOR('',#407251,10.); #118727=VECTOR('',#407252,10.); #118728=VECTOR('',#407255,10.); #118729=VECTOR('',#407256,10.); #118730=VECTOR('',#407257,10.); #118731=VECTOR('',#407260,10.); #118732=VECTOR('',#407261,10.); #118733=VECTOR('',#407262,10.); #118734=VECTOR('',#407265,10.); #118735=VECTOR('',#407266,10.); #118736=VECTOR('',#407267,10.); #118737=VECTOR('',#407270,10.); #118738=VECTOR('',#407271,10.); #118739=VECTOR('',#407272,10.); #118740=VECTOR('',#407275,10.); #118741=VECTOR('',#407276,10.); #118742=VECTOR('',#407277,10.); #118743=VECTOR('',#407280,10.); #118744=VECTOR('',#407281,10.); #118745=VECTOR('',#407282,10.); #118746=VECTOR('',#407285,10.); #118747=VECTOR('',#407286,10.); #118748=VECTOR('',#407287,10.); #118749=VECTOR('',#407290,10.); #118750=VECTOR('',#407291,10.); #118751=VECTOR('',#407292,10.); #118752=VECTOR('',#407295,10.); #118753=VECTOR('',#407296,10.); #118754=VECTOR('',#407297,10.); #118755=VECTOR('',#407300,10.); #118756=VECTOR('',#407301,10.); #118757=VECTOR('',#407302,10.); #118758=VECTOR('',#407305,10.); #118759=VECTOR('',#407306,10.); #118760=VECTOR('',#407307,10.); #118761=VECTOR('',#407310,10.); #118762=VECTOR('',#407311,10.); #118763=VECTOR('',#407312,10.); #118764=VECTOR('',#407315,10.); #118765=VECTOR('',#407316,10.); #118766=VECTOR('',#407317,10.); #118767=VECTOR('',#407320,10.); #118768=VECTOR('',#407321,10.); #118769=VECTOR('',#407322,10.); #118770=VECTOR('',#407325,10.); #118771=VECTOR('',#407326,10.); #118772=VECTOR('',#407327,10.); #118773=VECTOR('',#407330,10.); #118774=VECTOR('',#407331,10.); #118775=VECTOR('',#407332,10.); #118776=VECTOR('',#407335,10.); #118777=VECTOR('',#407336,10.); #118778=VECTOR('',#407337,10.); #118779=VECTOR('',#407340,10.); #118780=VECTOR('',#407341,10.); #118781=VECTOR('',#407342,10.); #118782=VECTOR('',#407345,10.); #118783=VECTOR('',#407346,10.); #118784=VECTOR('',#407347,10.); #118785=VECTOR('',#407350,10.); #118786=VECTOR('',#407351,10.); #118787=VECTOR('',#407352,10.); #118788=VECTOR('',#407355,10.); #118789=VECTOR('',#407356,10.); #118790=VECTOR('',#407357,10.); #118791=VECTOR('',#407360,10.); #118792=VECTOR('',#407361,10.); #118793=VECTOR('',#407362,10.); #118794=VECTOR('',#407365,10.); #118795=VECTOR('',#407366,10.); #118796=VECTOR('',#407367,10.); #118797=VECTOR('',#407370,10.); #118798=VECTOR('',#407371,10.); #118799=VECTOR('',#407372,10.); #118800=VECTOR('',#407375,10.); #118801=VECTOR('',#407376,10.); #118802=VECTOR('',#407377,10.); #118803=VECTOR('',#407380,10.); #118804=VECTOR('',#407381,10.); #118805=VECTOR('',#407382,10.); #118806=VECTOR('',#407385,10.); #118807=VECTOR('',#407386,10.); #118808=VECTOR('',#407387,10.); #118809=VECTOR('',#407394,10.); #118810=VECTOR('',#407397,10.); #118811=VECTOR('',#407398,10.); #118812=VECTOR('',#407399,10.); #118813=VECTOR('',#407406,10.); #118814=VECTOR('',#407409,10.); #118815=VECTOR('',#407410,10.); #118816=VECTOR('',#407411,10.); #118817=VECTOR('',#407414,10.); #118818=VECTOR('',#407415,10.); #118819=VECTOR('',#407416,10.); #118820=VECTOR('',#407419,10.); #118821=VECTOR('',#407420,10.); #118822=VECTOR('',#407421,10.); #118823=VECTOR('',#407424,10.); #118824=VECTOR('',#407425,10.); #118825=VECTOR('',#407426,10.); #118826=VECTOR('',#407429,10.); #118827=VECTOR('',#407430,10.); #118828=VECTOR('',#407431,10.); #118829=VECTOR('',#407446,0.14); #118830=VECTOR('',#407453,0.14); #118831=VECTOR('',#407460,0.14); #118832=VECTOR('',#407467,0.14); #118833=VECTOR('',#407474,0.14); #118834=VECTOR('',#407481,0.14); #118835=VECTOR('',#407488,0.14); #118836=VECTOR('',#407495,0.14); #118837=VECTOR('',#407502,0.14); #118838=VECTOR('',#407509,0.514999999999999); #118839=VECTOR('',#407516,0.472999999999999); #118840=VECTOR('',#407523,0.465); #118841=VECTOR('',#407528,10.); #118842=VECTOR('',#407529,10.); #118843=VECTOR('',#407530,10.); #118844=VECTOR('',#407531,10.); #118845=VECTOR('',#407534,10.); #118846=VECTOR('',#407535,10.); #118847=VECTOR('',#407536,10.); #118848=VECTOR('',#407539,10.); #118849=VECTOR('',#407540,10.); #118850=VECTOR('',#407541,10.); #118851=VECTOR('',#407544,10.); #118852=VECTOR('',#407545,10.); #118853=VECTOR('',#407546,10.); #118854=VECTOR('',#407549,10.); #118855=VECTOR('',#407550,10.); #118856=VECTOR('',#407551,10.); #118857=VECTOR('',#407554,10.); #118858=VECTOR('',#407555,10.); #118859=VECTOR('',#407556,10.); #118860=VECTOR('',#407559,10.); #118861=VECTOR('',#407560,10.); #118862=VECTOR('',#407561,10.); #118863=VECTOR('',#407564,10.); #118864=VECTOR('',#407565,10.); #118865=VECTOR('',#407566,10.); #118866=VECTOR('',#407569,10.); #118867=VECTOR('',#407570,10.); #118868=VECTOR('',#407571,10.); #118869=VECTOR('',#407574,10.); #118870=VECTOR('',#407575,10.); #118871=VECTOR('',#407576,10.); #118872=VECTOR('',#407579,10.); #118873=VECTOR('',#407580,10.); #118874=VECTOR('',#407581,10.); #118875=VECTOR('',#407584,10.); #118876=VECTOR('',#407585,10.); #118877=VECTOR('',#407586,10.); #118878=VECTOR('',#407589,10.); #118879=VECTOR('',#407590,10.); #118880=VECTOR('',#407591,10.); #118881=VECTOR('',#407594,10.); #118882=VECTOR('',#407595,10.); #118883=VECTOR('',#407596,10.); #118884=VECTOR('',#407599,10.); #118885=VECTOR('',#407600,10.); #118886=VECTOR('',#407601,10.); #118887=VECTOR('',#407604,10.); #118888=VECTOR('',#407605,10.); #118889=VECTOR('',#407608,10.); #118890=VECTOR('',#407609,10.); #118891=VECTOR('',#407610,10.); #118892=VECTOR('',#407611,10.); #118893=VECTOR('',#407614,10.); #118894=VECTOR('',#407615,10.); #118895=VECTOR('',#407616,10.); #118896=VECTOR('',#407619,10.); #118897=VECTOR('',#407620,10.); #118898=VECTOR('',#407621,10.); #118899=VECTOR('',#407624,10.); #118900=VECTOR('',#407625,10.); #118901=VECTOR('',#407626,10.); #118902=VECTOR('',#407629,10.); #118903=VECTOR('',#407630,10.); #118904=VECTOR('',#407631,10.); #118905=VECTOR('',#407634,10.); #118906=VECTOR('',#407635,10.); #118907=VECTOR('',#407636,10.); #118908=VECTOR('',#407639,10.); #118909=VECTOR('',#407640,10.); #118910=VECTOR('',#407641,10.); #118911=VECTOR('',#407644,10.); #118912=VECTOR('',#407645,10.); #118913=VECTOR('',#407646,10.); #118914=VECTOR('',#407649,10.); #118915=VECTOR('',#407650,10.); #118916=VECTOR('',#407651,10.); #118917=VECTOR('',#407654,10.); #118918=VECTOR('',#407655,10.); #118919=VECTOR('',#407656,10.); #118920=VECTOR('',#407659,10.); #118921=VECTOR('',#407660,10.); #118922=VECTOR('',#407661,10.); #118923=VECTOR('',#407664,10.); #118924=VECTOR('',#407665,10.); #118925=VECTOR('',#407666,10.); #118926=VECTOR('',#407669,10.); #118927=VECTOR('',#407670,10.); #118928=VECTOR('',#407671,10.); #118929=VECTOR('',#407674,10.); #118930=VECTOR('',#407675,10.); #118931=VECTOR('',#407676,10.); #118932=VECTOR('',#407679,10.); #118933=VECTOR('',#407680,10.); #118934=VECTOR('',#407681,10.); #118935=VECTOR('',#407684,10.); #118936=VECTOR('',#407685,10.); #118937=VECTOR('',#407688,10.); #118938=VECTOR('',#407689,10.); #118939=VECTOR('',#407690,10.); #118940=VECTOR('',#407691,10.); #118941=VECTOR('',#407694,10.); #118942=VECTOR('',#407695,10.); #118943=VECTOR('',#407696,10.); #118944=VECTOR('',#407699,10.); #118945=VECTOR('',#407700,10.); #118946=VECTOR('',#407701,10.); #118947=VECTOR('',#407704,10.); #118948=VECTOR('',#407705,10.); #118949=VECTOR('',#407706,10.); #118950=VECTOR('',#407709,10.); #118951=VECTOR('',#407710,10.); #118952=VECTOR('',#407711,10.); #118953=VECTOR('',#407714,10.); #118954=VECTOR('',#407715,10.); #118955=VECTOR('',#407716,10.); #118956=VECTOR('',#407719,10.); #118957=VECTOR('',#407720,10.); #118958=VECTOR('',#407721,10.); #118959=VECTOR('',#407724,10.); #118960=VECTOR('',#407725,10.); #118961=VECTOR('',#407728,10.); #118962=VECTOR('',#407729,10.); #118963=VECTOR('',#407730,10.); #118964=VECTOR('',#407731,10.); #118965=VECTOR('',#407734,10.); #118966=VECTOR('',#407735,10.); #118967=VECTOR('',#407736,10.); #118968=VECTOR('',#407739,10.); #118969=VECTOR('',#407740,10.); #118970=VECTOR('',#407741,10.); #118971=VECTOR('',#407744,10.); #118972=VECTOR('',#407745,10.); #118973=VECTOR('',#407746,10.); #118974=VECTOR('',#407749,10.); #118975=VECTOR('',#407750,10.); #118976=VECTOR('',#407751,10.); #118977=VECTOR('',#407754,10.); #118978=VECTOR('',#407755,10.); #118979=VECTOR('',#407756,10.); #118980=VECTOR('',#407759,10.); #118981=VECTOR('',#407760,10.); #118982=VECTOR('',#407761,10.); #118983=VECTOR('',#407764,10.); #118984=VECTOR('',#407765,10.); #118985=VECTOR('',#407766,10.); #118986=VECTOR('',#407769,10.); #118987=VECTOR('',#407770,10.); #118988=VECTOR('',#407771,10.); #118989=VECTOR('',#407774,10.); #118990=VECTOR('',#407775,10.); #118991=VECTOR('',#407776,10.); #118992=VECTOR('',#407779,10.); #118993=VECTOR('',#407780,10.); #118994=VECTOR('',#407781,10.); #118995=VECTOR('',#407784,10.); #118996=VECTOR('',#407785,10.); #118997=VECTOR('',#407786,10.); #118998=VECTOR('',#407789,10.); #118999=VECTOR('',#407790,10.); #119000=VECTOR('',#407791,10.); #119001=VECTOR('',#407794,10.); #119002=VECTOR('',#407795,10.); #119003=VECTOR('',#407796,10.); #119004=VECTOR('',#407799,10.); #119005=VECTOR('',#407800,10.); #119006=VECTOR('',#407801,10.); #119007=VECTOR('',#407804,10.); #119008=VECTOR('',#407805,10.); #119009=VECTOR('',#407806,10.); #119010=VECTOR('',#407809,10.); #119011=VECTOR('',#407810,10.); #119012=VECTOR('',#407811,10.); #119013=VECTOR('',#407814,10.); #119014=VECTOR('',#407815,10.); #119015=VECTOR('',#407816,10.); #119016=VECTOR('',#407819,10.); #119017=VECTOR('',#407820,10.); #119018=VECTOR('',#407821,10.); #119019=VECTOR('',#407824,10.); #119020=VECTOR('',#407825,10.); #119021=VECTOR('',#407826,10.); #119022=VECTOR('',#407829,10.); #119023=VECTOR('',#407830,10.); #119024=VECTOR('',#407831,10.); #119025=VECTOR('',#407834,10.); #119026=VECTOR('',#407835,10.); #119027=VECTOR('',#407836,10.); #119028=VECTOR('',#407839,10.); #119029=VECTOR('',#407840,10.); #119030=VECTOR('',#407841,10.); #119031=VECTOR('',#407844,10.); #119032=VECTOR('',#407845,10.); #119033=VECTOR('',#407848,10.); #119034=VECTOR('',#407849,10.); #119035=VECTOR('',#407850,10.); #119036=VECTOR('',#407851,10.); #119037=VECTOR('',#407854,10.); #119038=VECTOR('',#407855,10.); #119039=VECTOR('',#407856,10.); #119040=VECTOR('',#407859,10.); #119041=VECTOR('',#407860,10.); #119042=VECTOR('',#407861,10.); #119043=VECTOR('',#407864,10.); #119044=VECTOR('',#407865,10.); #119045=VECTOR('',#407866,10.); #119046=VECTOR('',#407869,10.); #119047=VECTOR('',#407870,10.); #119048=VECTOR('',#407871,10.); #119049=VECTOR('',#407874,10.); #119050=VECTOR('',#407875,10.); #119051=VECTOR('',#407876,10.); #119052=VECTOR('',#407879,10.); #119053=VECTOR('',#407880,10.); #119054=VECTOR('',#407881,10.); #119055=VECTOR('',#407884,10.); #119056=VECTOR('',#407885,10.); #119057=VECTOR('',#407886,10.); #119058=VECTOR('',#407889,10.); #119059=VECTOR('',#407890,10.); #119060=VECTOR('',#407891,10.); #119061=VECTOR('',#407894,10.); #119062=VECTOR('',#407895,10.); #119063=VECTOR('',#407896,10.); #119064=VECTOR('',#407899,10.); #119065=VECTOR('',#407900,10.); #119066=VECTOR('',#407901,10.); #119067=VECTOR('',#407904,10.); #119068=VECTOR('',#407905,10.); #119069=VECTOR('',#407906,10.); #119070=VECTOR('',#407909,10.); #119071=VECTOR('',#407910,10.); #119072=VECTOR('',#407911,10.); #119073=VECTOR('',#407914,10.); #119074=VECTOR('',#407915,10.); #119075=VECTOR('',#407916,10.); #119076=VECTOR('',#407919,10.); #119077=VECTOR('',#407920,10.); #119078=VECTOR('',#407921,10.); #119079=VECTOR('',#407924,10.); #119080=VECTOR('',#407925,10.); #119081=VECTOR('',#407926,10.); #119082=VECTOR('',#407929,10.); #119083=VECTOR('',#407930,10.); #119084=VECTOR('',#407931,10.); #119085=VECTOR('',#407934,10.); #119086=VECTOR('',#407935,10.); #119087=VECTOR('',#407936,10.); #119088=VECTOR('',#407939,10.); #119089=VECTOR('',#407940,10.); #119090=VECTOR('',#407941,10.); #119091=VECTOR('',#407944,10.); #119092=VECTOR('',#407945,10.); #119093=VECTOR('',#407946,10.); #119094=VECTOR('',#407949,10.); #119095=VECTOR('',#407950,10.); #119096=VECTOR('',#407951,10.); #119097=VECTOR('',#407954,10.); #119098=VECTOR('',#407955,10.); #119099=VECTOR('',#407956,10.); #119100=VECTOR('',#407959,10.); #119101=VECTOR('',#407960,10.); #119102=VECTOR('',#407961,10.); #119103=VECTOR('',#407964,10.); #119104=VECTOR('',#407965,10.); #119105=VECTOR('',#407968,10.); #119106=VECTOR('',#407969,10.); #119107=VECTOR('',#407970,10.); #119108=VECTOR('',#407971,10.); #119109=VECTOR('',#407974,10.); #119110=VECTOR('',#407975,10.); #119111=VECTOR('',#407976,10.); #119112=VECTOR('',#407979,10.); #119113=VECTOR('',#407980,10.); #119114=VECTOR('',#407981,10.); #119115=VECTOR('',#407984,10.); #119116=VECTOR('',#407985,10.); #119117=VECTOR('',#407986,10.); #119118=VECTOR('',#407989,10.); #119119=VECTOR('',#407990,10.); #119120=VECTOR('',#407991,10.); #119121=VECTOR('',#407994,10.); #119122=VECTOR('',#407995,10.); #119123=VECTOR('',#407996,10.); #119124=VECTOR('',#407999,10.); #119125=VECTOR('',#408000,10.); #119126=VECTOR('',#408001,10.); #119127=VECTOR('',#408004,10.); #119128=VECTOR('',#408005,10.); #119129=VECTOR('',#408006,10.); #119130=VECTOR('',#408009,10.); #119131=VECTOR('',#408010,10.); #119132=VECTOR('',#408011,10.); #119133=VECTOR('',#408014,10.); #119134=VECTOR('',#408015,10.); #119135=VECTOR('',#408016,10.); #119136=VECTOR('',#408019,10.); #119137=VECTOR('',#408020,10.); #119138=VECTOR('',#408021,10.); #119139=VECTOR('',#408024,10.); #119140=VECTOR('',#408025,10.); #119141=VECTOR('',#408026,10.); #119142=VECTOR('',#408029,10.); #119143=VECTOR('',#408030,10.); #119144=VECTOR('',#408031,10.); #119145=VECTOR('',#408034,10.); #119146=VECTOR('',#408035,10.); #119147=VECTOR('',#408036,10.); #119148=VECTOR('',#408039,10.); #119149=VECTOR('',#408040,10.); #119150=VECTOR('',#408041,10.); #119151=VECTOR('',#408044,10.); #119152=VECTOR('',#408045,10.); #119153=VECTOR('',#408046,10.); #119154=VECTOR('',#408049,10.); #119155=VECTOR('',#408050,10.); #119156=VECTOR('',#408051,10.); #119157=VECTOR('',#408054,10.); #119158=VECTOR('',#408055,10.); #119159=VECTOR('',#408056,10.); #119160=VECTOR('',#408059,10.); #119161=VECTOR('',#408060,10.); #119162=VECTOR('',#408061,10.); #119163=VECTOR('',#408064,10.); #119164=VECTOR('',#408065,10.); #119165=VECTOR('',#408066,10.); #119166=VECTOR('',#408069,10.); #119167=VECTOR('',#408070,10.); #119168=VECTOR('',#408071,10.); #119169=VECTOR('',#408074,10.); #119170=VECTOR('',#408075,10.); #119171=VECTOR('',#408076,10.); #119172=VECTOR('',#408079,10.); #119173=VECTOR('',#408080,10.); #119174=VECTOR('',#408081,10.); #119175=VECTOR('',#408084,10.); #119176=VECTOR('',#408085,10.); #119177=VECTOR('',#408086,10.); #119178=VECTOR('',#408089,10.); #119179=VECTOR('',#408090,10.); #119180=VECTOR('',#408091,10.); #119181=VECTOR('',#408094,10.); #119182=VECTOR('',#408095,10.); #119183=VECTOR('',#408096,10.); #119184=VECTOR('',#408099,10.); #119185=VECTOR('',#408100,10.); #119186=VECTOR('',#408101,10.); #119187=VECTOR('',#408104,10.); #119188=VECTOR('',#408105,10.); #119189=VECTOR('',#408106,10.); #119190=VECTOR('',#408109,10.); #119191=VECTOR('',#408110,10.); #119192=VECTOR('',#408111,10.); #119193=VECTOR('',#408114,10.); #119194=VECTOR('',#408115,10.); #119195=VECTOR('',#408116,10.); #119196=VECTOR('',#408119,10.); #119197=VECTOR('',#408120,10.); #119198=VECTOR('',#408121,10.); #119199=VECTOR('',#408124,10.); #119200=VECTOR('',#408125,10.); #119201=VECTOR('',#408126,10.); #119202=VECTOR('',#408129,10.); #119203=VECTOR('',#408130,10.); #119204=VECTOR('',#408131,10.); #119205=VECTOR('',#408134,10.); #119206=VECTOR('',#408135,10.); #119207=VECTOR('',#408136,10.); #119208=VECTOR('',#408139,10.); #119209=VECTOR('',#408140,10.); #119210=VECTOR('',#408141,10.); #119211=VECTOR('',#408144,10.); #119212=VECTOR('',#408145,10.); #119213=VECTOR('',#408146,10.); #119214=VECTOR('',#408149,10.); #119215=VECTOR('',#408150,10.); #119216=VECTOR('',#408151,10.); #119217=VECTOR('',#408154,10.); #119218=VECTOR('',#408155,10.); #119219=VECTOR('',#408156,10.); #119220=VECTOR('',#408159,10.); #119221=VECTOR('',#408160,10.); #119222=VECTOR('',#408161,10.); #119223=VECTOR('',#408164,10.); #119224=VECTOR('',#408165,10.); #119225=VECTOR('',#408166,10.); #119226=VECTOR('',#408169,10.); #119227=VECTOR('',#408170,10.); #119228=VECTOR('',#408171,10.); #119229=VECTOR('',#408174,10.); #119230=VECTOR('',#408175,10.); #119231=VECTOR('',#408176,10.); #119232=VECTOR('',#408179,10.); #119233=VECTOR('',#408180,10.); #119234=VECTOR('',#408181,10.); #119235=VECTOR('',#408184,10.); #119236=VECTOR('',#408185,10.); #119237=VECTOR('',#408186,10.); #119238=VECTOR('',#408189,10.); #119239=VECTOR('',#408190,10.); #119240=VECTOR('',#408191,10.); #119241=VECTOR('',#408194,10.); #119242=VECTOR('',#408195,10.); #119243=VECTOR('',#408196,10.); #119244=VECTOR('',#408199,10.); #119245=VECTOR('',#408200,10.); #119246=VECTOR('',#408201,10.); #119247=VECTOR('',#408204,10.); #119248=VECTOR('',#408205,10.); #119249=VECTOR('',#408206,10.); #119250=VECTOR('',#408209,10.); #119251=VECTOR('',#408210,10.); #119252=VECTOR('',#408211,10.); #119253=VECTOR('',#408214,10.); #119254=VECTOR('',#408215,10.); #119255=VECTOR('',#408216,10.); #119256=VECTOR('',#408219,10.); #119257=VECTOR('',#408220,10.); #119258=VECTOR('',#408221,10.); #119259=VECTOR('',#408224,10.); #119260=VECTOR('',#408225,10.); #119261=VECTOR('',#408226,10.); #119262=VECTOR('',#408229,10.); #119263=VECTOR('',#408230,10.); #119264=VECTOR('',#408231,10.); #119265=VECTOR('',#408234,10.); #119266=VECTOR('',#408235,10.); #119267=VECTOR('',#408236,10.); #119268=VECTOR('',#408239,10.); #119269=VECTOR('',#408240,10.); #119270=VECTOR('',#408241,10.); #119271=VECTOR('',#408244,10.); #119272=VECTOR('',#408245,10.); #119273=VECTOR('',#408246,10.); #119274=VECTOR('',#408249,10.); #119275=VECTOR('',#408250,10.); #119276=VECTOR('',#408251,10.); #119277=VECTOR('',#408254,10.); #119278=VECTOR('',#408255,10.); #119279=VECTOR('',#408256,10.); #119280=VECTOR('',#408259,10.); #119281=VECTOR('',#408260,10.); #119282=VECTOR('',#408261,10.); #119283=VECTOR('',#408264,10.); #119284=VECTOR('',#408265,10.); #119285=VECTOR('',#408266,10.); #119286=VECTOR('',#408269,10.); #119287=VECTOR('',#408270,10.); #119288=VECTOR('',#408271,10.); #119289=VECTOR('',#408274,10.); #119290=VECTOR('',#408275,10.); #119291=VECTOR('',#408276,10.); #119292=VECTOR('',#408279,10.); #119293=VECTOR('',#408280,10.); #119294=VECTOR('',#408281,10.); #119295=VECTOR('',#408284,10.); #119296=VECTOR('',#408285,10.); #119297=VECTOR('',#408286,10.); #119298=VECTOR('',#408289,10.); #119299=VECTOR('',#408290,10.); #119300=VECTOR('',#408291,10.); #119301=VECTOR('',#408294,10.); #119302=VECTOR('',#408295,10.); #119303=VECTOR('',#408296,10.); #119304=VECTOR('',#408299,10.); #119305=VECTOR('',#408300,10.); #119306=VECTOR('',#408301,10.); #119307=VECTOR('',#408304,10.); #119308=VECTOR('',#408305,10.); #119309=VECTOR('',#408306,10.); #119310=VECTOR('',#408309,10.); #119311=VECTOR('',#408310,10.); #119312=VECTOR('',#408311,10.); #119313=VECTOR('',#408314,10.); #119314=VECTOR('',#408315,10.); #119315=VECTOR('',#408316,10.); #119316=VECTOR('',#408319,10.); #119317=VECTOR('',#408320,10.); #119318=VECTOR('',#408321,10.); #119319=VECTOR('',#408324,10.); #119320=VECTOR('',#408325,10.); #119321=VECTOR('',#408326,10.); #119322=VECTOR('',#408329,10.); #119323=VECTOR('',#408330,10.); #119324=VECTOR('',#408331,10.); #119325=VECTOR('',#408334,10.); #119326=VECTOR('',#408335,10.); #119327=VECTOR('',#408336,10.); #119328=VECTOR('',#408339,10.); #119329=VECTOR('',#408340,10.); #119330=VECTOR('',#408341,10.); #119331=VECTOR('',#408344,10.); #119332=VECTOR('',#408345,10.); #119333=VECTOR('',#408346,10.); #119334=VECTOR('',#408349,10.); #119335=VECTOR('',#408350,10.); #119336=VECTOR('',#408351,10.); #119337=VECTOR('',#408354,10.); #119338=VECTOR('',#408355,10.); #119339=VECTOR('',#408356,10.); #119340=VECTOR('',#408359,10.); #119341=VECTOR('',#408360,10.); #119342=VECTOR('',#408361,10.); #119343=VECTOR('',#408364,10.); #119344=VECTOR('',#408365,10.); #119345=VECTOR('',#408366,10.); #119346=VECTOR('',#408369,10.); #119347=VECTOR('',#408370,10.); #119348=VECTOR('',#408371,10.); #119349=VECTOR('',#408374,10.); #119350=VECTOR('',#408375,10.); #119351=VECTOR('',#408376,10.); #119352=VECTOR('',#408379,10.); #119353=VECTOR('',#408380,10.); #119354=VECTOR('',#408381,10.); #119355=VECTOR('',#408384,10.); #119356=VECTOR('',#408385,10.); #119357=VECTOR('',#408386,10.); #119358=VECTOR('',#408389,10.); #119359=VECTOR('',#408390,10.); #119360=VECTOR('',#408391,10.); #119361=VECTOR('',#408394,10.); #119362=VECTOR('',#408395,10.); #119363=VECTOR('',#408396,10.); #119364=VECTOR('',#408399,10.); #119365=VECTOR('',#408400,10.); #119366=VECTOR('',#408401,10.); #119367=VECTOR('',#408404,10.); #119368=VECTOR('',#408405,10.); #119369=VECTOR('',#408406,10.); #119370=VECTOR('',#408409,10.); #119371=VECTOR('',#408410,10.); #119372=VECTOR('',#408411,10.); #119373=VECTOR('',#408414,10.); #119374=VECTOR('',#408415,10.); #119375=VECTOR('',#408416,10.); #119376=VECTOR('',#408419,10.); #119377=VECTOR('',#408420,10.); #119378=VECTOR('',#408421,10.); #119379=VECTOR('',#408424,10.); #119380=VECTOR('',#408425,10.); #119381=VECTOR('',#408426,10.); #119382=VECTOR('',#408429,10.); #119383=VECTOR('',#408430,10.); #119384=VECTOR('',#408431,10.); #119385=VECTOR('',#408434,10.); #119386=VECTOR('',#408435,10.); #119387=VECTOR('',#408436,10.); #119388=VECTOR('',#408439,10.); #119389=VECTOR('',#408440,10.); #119390=VECTOR('',#408441,10.); #119391=VECTOR('',#408444,10.); #119392=VECTOR('',#408445,10.); #119393=VECTOR('',#408446,10.); #119394=VECTOR('',#408449,10.); #119395=VECTOR('',#408450,10.); #119396=VECTOR('',#408451,10.); #119397=VECTOR('',#408454,10.); #119398=VECTOR('',#408455,10.); #119399=VECTOR('',#408456,10.); #119400=VECTOR('',#408459,10.); #119401=VECTOR('',#408460,10.); #119402=VECTOR('',#408461,10.); #119403=VECTOR('',#408464,10.); #119404=VECTOR('',#408465,10.); #119405=VECTOR('',#408466,10.); #119406=VECTOR('',#408469,10.); #119407=VECTOR('',#408470,10.); #119408=VECTOR('',#408471,10.); #119409=VECTOR('',#408474,10.); #119410=VECTOR('',#408475,10.); #119411=VECTOR('',#408476,10.); #119412=VECTOR('',#408479,10.); #119413=VECTOR('',#408480,10.); #119414=VECTOR('',#408481,10.); #119415=VECTOR('',#408484,10.); #119416=VECTOR('',#408485,10.); #119417=VECTOR('',#408486,10.); #119418=VECTOR('',#408489,10.); #119419=VECTOR('',#408490,10.); #119420=VECTOR('',#408491,10.); #119421=VECTOR('',#408494,10.); #119422=VECTOR('',#408495,10.); #119423=VECTOR('',#408496,10.); #119424=VECTOR('',#408499,10.); #119425=VECTOR('',#408500,10.); #119426=VECTOR('',#408501,10.); #119427=VECTOR('',#408504,10.); #119428=VECTOR('',#408505,10.); #119429=VECTOR('',#408506,10.); #119430=VECTOR('',#408509,10.); #119431=VECTOR('',#408510,10.); #119432=VECTOR('',#408511,10.); #119433=VECTOR('',#408514,10.); #119434=VECTOR('',#408515,10.); #119435=VECTOR('',#408516,10.); #119436=VECTOR('',#408519,10.); #119437=VECTOR('',#408520,10.); #119438=VECTOR('',#408521,10.); #119439=VECTOR('',#408524,10.); #119440=VECTOR('',#408525,10.); #119441=VECTOR('',#408526,10.); #119442=VECTOR('',#408529,10.); #119443=VECTOR('',#408530,10.); #119444=VECTOR('',#408531,10.); #119445=VECTOR('',#408534,10.); #119446=VECTOR('',#408535,10.); #119447=VECTOR('',#408536,10.); #119448=VECTOR('',#408539,10.); #119449=VECTOR('',#408540,10.); #119450=VECTOR('',#408541,10.); #119451=VECTOR('',#408544,10.); #119452=VECTOR('',#408545,10.); #119453=VECTOR('',#408546,10.); #119454=VECTOR('',#408549,10.); #119455=VECTOR('',#408550,10.); #119456=VECTOR('',#408551,10.); #119457=VECTOR('',#408554,10.); #119458=VECTOR('',#408555,10.); #119459=VECTOR('',#408556,10.); #119460=VECTOR('',#408559,10.); #119461=VECTOR('',#408560,10.); #119462=VECTOR('',#408561,10.); #119463=VECTOR('',#408564,10.); #119464=VECTOR('',#408565,10.); #119465=VECTOR('',#408566,10.); #119466=VECTOR('',#408569,10.); #119467=VECTOR('',#408570,10.); #119468=VECTOR('',#408571,10.); #119469=VECTOR('',#408574,10.); #119470=VECTOR('',#408575,10.); #119471=VECTOR('',#408576,10.); #119472=VECTOR('',#408579,10.); #119473=VECTOR('',#408580,10.); #119474=VECTOR('',#408581,10.); #119475=VECTOR('',#408584,10.); #119476=VECTOR('',#408585,10.); #119477=VECTOR('',#408586,10.); #119478=VECTOR('',#408589,10.); #119479=VECTOR('',#408590,10.); #119480=VECTOR('',#408591,10.); #119481=VECTOR('',#408594,10.); #119482=VECTOR('',#408595,10.); #119483=VECTOR('',#408596,10.); #119484=VECTOR('',#408599,10.); #119485=VECTOR('',#408600,10.); #119486=VECTOR('',#408601,10.); #119487=VECTOR('',#408604,10.); #119488=VECTOR('',#408605,10.); #119489=VECTOR('',#408606,10.); #119490=VECTOR('',#408609,10.); #119491=VECTOR('',#408610,10.); #119492=VECTOR('',#408611,10.); #119493=VECTOR('',#408614,10.); #119494=VECTOR('',#408615,10.); #119495=VECTOR('',#408616,10.); #119496=VECTOR('',#408619,10.); #119497=VECTOR('',#408620,10.); #119498=VECTOR('',#408621,10.); #119499=VECTOR('',#408624,10.); #119500=VECTOR('',#408625,10.); #119501=VECTOR('',#408626,10.); #119502=VECTOR('',#408629,10.); #119503=VECTOR('',#408630,10.); #119504=VECTOR('',#408631,10.); #119505=VECTOR('',#408634,10.); #119506=VECTOR('',#408635,10.); #119507=VECTOR('',#408636,10.); #119508=VECTOR('',#408639,10.); #119509=VECTOR('',#408640,10.); #119510=VECTOR('',#408641,10.); #119511=VECTOR('',#408644,10.); #119512=VECTOR('',#408645,10.); #119513=VECTOR('',#408646,10.); #119514=VECTOR('',#408649,10.); #119515=VECTOR('',#408650,10.); #119516=VECTOR('',#408651,10.); #119517=VECTOR('',#408654,10.); #119518=VECTOR('',#408655,10.); #119519=VECTOR('',#408656,10.); #119520=VECTOR('',#408659,10.); #119521=VECTOR('',#408660,10.); #119522=VECTOR('',#408661,10.); #119523=VECTOR('',#408664,10.); #119524=VECTOR('',#408665,10.); #119525=VECTOR('',#408666,10.); #119526=VECTOR('',#408669,10.); #119527=VECTOR('',#408670,10.); #119528=VECTOR('',#408671,10.); #119529=VECTOR('',#408674,10.); #119530=VECTOR('',#408675,10.); #119531=VECTOR('',#408676,10.); #119532=VECTOR('',#408679,10.); #119533=VECTOR('',#408680,10.); #119534=VECTOR('',#408681,10.); #119535=VECTOR('',#408684,10.); #119536=VECTOR('',#408685,10.); #119537=VECTOR('',#408686,10.); #119538=VECTOR('',#408689,10.); #119539=VECTOR('',#408690,10.); #119540=VECTOR('',#408691,10.); #119541=VECTOR('',#408694,10.); #119542=VECTOR('',#408695,10.); #119543=VECTOR('',#408696,10.); #119544=VECTOR('',#408699,10.); #119545=VECTOR('',#408700,10.); #119546=VECTOR('',#408701,10.); #119547=VECTOR('',#408704,10.); #119548=VECTOR('',#408705,10.); #119549=VECTOR('',#408706,10.); #119550=VECTOR('',#408709,10.); #119551=VECTOR('',#408710,10.); #119552=VECTOR('',#408711,10.); #119553=VECTOR('',#408714,10.); #119554=VECTOR('',#408715,10.); #119555=VECTOR('',#408716,10.); #119556=VECTOR('',#408719,10.); #119557=VECTOR('',#408720,10.); #119558=VECTOR('',#408721,10.); #119559=VECTOR('',#408724,10.); #119560=VECTOR('',#408725,10.); #119561=VECTOR('',#408726,10.); #119562=VECTOR('',#408729,10.); #119563=VECTOR('',#408730,10.); #119564=VECTOR('',#408731,10.); #119565=VECTOR('',#408734,10.); #119566=VECTOR('',#408735,10.); #119567=VECTOR('',#408736,10.); #119568=VECTOR('',#408739,10.); #119569=VECTOR('',#408740,10.); #119570=VECTOR('',#408741,10.); #119571=VECTOR('',#408744,10.); #119572=VECTOR('',#408745,10.); #119573=VECTOR('',#408746,10.); #119574=VECTOR('',#408749,10.); #119575=VECTOR('',#408750,10.); #119576=VECTOR('',#408751,10.); #119577=VECTOR('',#408754,10.); #119578=VECTOR('',#408755,10.); #119579=VECTOR('',#408756,10.); #119580=VECTOR('',#408759,10.); #119581=VECTOR('',#408760,10.); #119582=VECTOR('',#408761,10.); #119583=VECTOR('',#408764,10.); #119584=VECTOR('',#408765,10.); #119585=VECTOR('',#408772,10.); #119586=VECTOR('',#408773,10.); #119587=VECTOR('',#408774,10.); #119588=VECTOR('',#408775,10.); #119589=VECTOR('',#408778,10.); #119590=VECTOR('',#408779,10.); #119591=VECTOR('',#408780,10.); #119592=VECTOR('',#408783,10.); #119593=VECTOR('',#408784,10.); #119594=VECTOR('',#408785,10.); #119595=VECTOR('',#408788,10.); #119596=VECTOR('',#408789,10.); #119597=VECTOR('',#408790,10.); #119598=VECTOR('',#408793,10.); #119599=VECTOR('',#408794,10.); #119600=VECTOR('',#408795,10.); #119601=VECTOR('',#408798,10.); #119602=VECTOR('',#408799,10.); #119603=VECTOR('',#408800,10.); #119604=VECTOR('',#408803,10.); #119605=VECTOR('',#408804,10.); #119606=VECTOR('',#408805,10.); #119607=VECTOR('',#408808,10.); #119608=VECTOR('',#408809,10.); #119609=VECTOR('',#408810,10.); #119610=VECTOR('',#408813,10.); #119611=VECTOR('',#408814,10.); #119612=VECTOR('',#408815,10.); #119613=VECTOR('',#408818,10.); #119614=VECTOR('',#408819,10.); #119615=VECTOR('',#408820,10.); #119616=VECTOR('',#408823,10.); #119617=VECTOR('',#408824,10.); #119618=VECTOR('',#408825,10.); #119619=VECTOR('',#408828,10.); #119620=VECTOR('',#408829,10.); #119621=VECTOR('',#408830,10.); #119622=VECTOR('',#408833,10.); #119623=VECTOR('',#408834,10.); #119624=VECTOR('',#408835,10.); #119625=VECTOR('',#408838,10.); #119626=VECTOR('',#408839,10.); #119627=VECTOR('',#408840,10.); #119628=VECTOR('',#408843,10.); #119629=VECTOR('',#408844,10.); #119630=VECTOR('',#408845,10.); #119631=VECTOR('',#408848,10.); #119632=VECTOR('',#408849,10.); #119633=VECTOR('',#408850,10.); #119634=VECTOR('',#408853,10.); #119635=VECTOR('',#408854,10.); #119636=VECTOR('',#408855,10.); #119637=VECTOR('',#408858,10.); #119638=VECTOR('',#408859,10.); #119639=VECTOR('',#408860,10.); #119640=VECTOR('',#408863,10.); #119641=VECTOR('',#408864,10.); #119642=VECTOR('',#408865,10.); #119643=VECTOR('',#408868,10.); #119644=VECTOR('',#408869,10.); #119645=VECTOR('',#408870,10.); #119646=VECTOR('',#408873,10.); #119647=VECTOR('',#408874,10.); #119648=VECTOR('',#408875,10.); #119649=VECTOR('',#408878,10.); #119650=VECTOR('',#408879,10.); #119651=VECTOR('',#408886,10.); #119652=VECTOR('',#408887,10.); #119653=VECTOR('',#408888,10.); #119654=VECTOR('',#408889,10.); #119655=VECTOR('',#408892,10.); #119656=VECTOR('',#408893,10.); #119657=VECTOR('',#408894,10.); #119658=VECTOR('',#408897,10.); #119659=VECTOR('',#408898,10.); #119660=VECTOR('',#408899,10.); #119661=VECTOR('',#408902,10.); #119662=VECTOR('',#408903,10.); #119663=VECTOR('',#408904,10.); #119664=VECTOR('',#408907,10.); #119665=VECTOR('',#408908,10.); #119666=VECTOR('',#408909,10.); #119667=VECTOR('',#408912,10.); #119668=VECTOR('',#408913,10.); #119669=VECTOR('',#408914,10.); #119670=VECTOR('',#408917,10.); #119671=VECTOR('',#408918,10.); #119672=VECTOR('',#408919,10.); #119673=VECTOR('',#408922,10.); #119674=VECTOR('',#408923,10.); #119675=VECTOR('',#408924,10.); #119676=VECTOR('',#408927,10.); #119677=VECTOR('',#408928,10.); #119678=VECTOR('',#408929,10.); #119679=VECTOR('',#408932,10.); #119680=VECTOR('',#408933,10.); #119681=VECTOR('',#408934,10.); #119682=VECTOR('',#408937,10.); #119683=VECTOR('',#408938,10.); #119684=VECTOR('',#408939,10.); #119685=VECTOR('',#408942,10.); #119686=VECTOR('',#408943,10.); #119687=VECTOR('',#408944,10.); #119688=VECTOR('',#408947,10.); #119689=VECTOR('',#408948,10.); #119690=VECTOR('',#408949,10.); #119691=VECTOR('',#408952,10.); #119692=VECTOR('',#408953,10.); #119693=VECTOR('',#408954,10.); #119694=VECTOR('',#408957,10.); #119695=VECTOR('',#408958,10.); #119696=VECTOR('',#408959,10.); #119697=VECTOR('',#408962,10.); #119698=VECTOR('',#408963,10.); #119699=VECTOR('',#408964,10.); #119700=VECTOR('',#408967,10.); #119701=VECTOR('',#408968,10.); #119702=VECTOR('',#408969,10.); #119703=VECTOR('',#408972,10.); #119704=VECTOR('',#408973,10.); #119705=VECTOR('',#408974,10.); #119706=VECTOR('',#408977,10.); #119707=VECTOR('',#408978,10.); #119708=VECTOR('',#408979,10.); #119709=VECTOR('',#408982,10.); #119710=VECTOR('',#408983,10.); #119711=VECTOR('',#408984,10.); #119712=VECTOR('',#408987,10.); #119713=VECTOR('',#408988,10.); #119714=VECTOR('',#408995,10.); #119715=VECTOR('',#408996,10.); #119716=VECTOR('',#408997,10.); #119717=VECTOR('',#408998,10.); #119718=VECTOR('',#409001,10.); #119719=VECTOR('',#409002,10.); #119720=VECTOR('',#409003,10.); #119721=VECTOR('',#409006,10.); #119722=VECTOR('',#409007,10.); #119723=VECTOR('',#409008,10.); #119724=VECTOR('',#409011,10.); #119725=VECTOR('',#409012,10.); #119726=VECTOR('',#409013,10.); #119727=VECTOR('',#409016,10.); #119728=VECTOR('',#409017,10.); #119729=VECTOR('',#409018,10.); #119730=VECTOR('',#409021,10.); #119731=VECTOR('',#409022,10.); #119732=VECTOR('',#409023,10.); #119733=VECTOR('',#409026,10.); #119734=VECTOR('',#409027,10.); #119735=VECTOR('',#409028,10.); #119736=VECTOR('',#409031,10.); #119737=VECTOR('',#409032,10.); #119738=VECTOR('',#409033,10.); #119739=VECTOR('',#409036,10.); #119740=VECTOR('',#409037,10.); #119741=VECTOR('',#409038,10.); #119742=VECTOR('',#409041,10.); #119743=VECTOR('',#409042,10.); #119744=VECTOR('',#409043,10.); #119745=VECTOR('',#409046,10.); #119746=VECTOR('',#409047,10.); #119747=VECTOR('',#409048,10.); #119748=VECTOR('',#409051,10.); #119749=VECTOR('',#409052,10.); #119750=VECTOR('',#409053,10.); #119751=VECTOR('',#409056,10.); #119752=VECTOR('',#409057,10.); #119753=VECTOR('',#409058,10.); #119754=VECTOR('',#409061,10.); #119755=VECTOR('',#409062,10.); #119756=VECTOR('',#409063,10.); #119757=VECTOR('',#409066,10.); #119758=VECTOR('',#409067,10.); #119759=VECTOR('',#409068,10.); #119760=VECTOR('',#409071,10.); #119761=VECTOR('',#409072,10.); #119762=VECTOR('',#409073,10.); #119763=VECTOR('',#409076,10.); #119764=VECTOR('',#409077,10.); #119765=VECTOR('',#409078,10.); #119766=VECTOR('',#409081,10.); #119767=VECTOR('',#409082,10.); #119768=VECTOR('',#409083,10.); #119769=VECTOR('',#409086,10.); #119770=VECTOR('',#409087,10.); #119771=VECTOR('',#409088,10.); #119772=VECTOR('',#409091,10.); #119773=VECTOR('',#409092,10.); #119774=VECTOR('',#409099,10.); #119775=VECTOR('',#409100,10.); #119776=VECTOR('',#409101,10.); #119777=VECTOR('',#409102,10.); #119778=VECTOR('',#409109,10.); #119779=VECTOR('',#409112,10.); #119780=VECTOR('',#409113,10.); #119781=VECTOR('',#409114,10.); #119782=VECTOR('',#409123,10.); #119783=VECTOR('',#409124,10.); #119784=VECTOR('',#409125,10.); #119785=VECTOR('',#409126,10.); #119786=VECTOR('',#409129,10.); #119787=VECTOR('',#409130,10.); #119788=VECTOR('',#409131,10.); #119789=VECTOR('',#409134,10.); #119790=VECTOR('',#409135,10.); #119791=VECTOR('',#409136,10.); #119792=VECTOR('',#409139,10.); #119793=VECTOR('',#409140,10.); #119794=VECTOR('',#409141,10.); #119795=VECTOR('',#409144,10.); #119796=VECTOR('',#409145,10.); #119797=VECTOR('',#409146,10.); #119798=VECTOR('',#409149,10.); #119799=VECTOR('',#409150,10.); #119800=VECTOR('',#409151,10.); #119801=VECTOR('',#409154,10.); #119802=VECTOR('',#409155,10.); #119803=VECTOR('',#409156,10.); #119804=VECTOR('',#409159,10.); #119805=VECTOR('',#409160,10.); #119806=VECTOR('',#409161,10.); #119807=VECTOR('',#409164,10.); #119808=VECTOR('',#409165,10.); #119809=VECTOR('',#409166,10.); #119810=VECTOR('',#409169,10.); #119811=VECTOR('',#409170,10.); #119812=VECTOR('',#409171,10.); #119813=VECTOR('',#409174,10.); #119814=VECTOR('',#409175,10.); #119815=VECTOR('',#409176,10.); #119816=VECTOR('',#409179,10.); #119817=VECTOR('',#409180,10.); #119818=VECTOR('',#409181,10.); #119819=VECTOR('',#409184,10.); #119820=VECTOR('',#409185,10.); #119821=VECTOR('',#409186,10.); #119822=VECTOR('',#409189,10.); #119823=VECTOR('',#409190,10.); #119824=VECTOR('',#409191,10.); #119825=VECTOR('',#409194,10.); #119826=VECTOR('',#409195,10.); #119827=VECTOR('',#409196,10.); #119828=VECTOR('',#409199,10.); #119829=VECTOR('',#409200,10.); #119830=VECTOR('',#409201,10.); #119831=VECTOR('',#409204,10.); #119832=VECTOR('',#409205,10.); #119833=VECTOR('',#409206,10.); #119834=VECTOR('',#409209,10.); #119835=VECTOR('',#409210,10.); #119836=VECTOR('',#409211,10.); #119837=VECTOR('',#409214,10.); #119838=VECTOR('',#409215,10.); #119839=VECTOR('',#409216,10.); #119840=VECTOR('',#409219,10.); #119841=VECTOR('',#409220,10.); #119842=VECTOR('',#409221,10.); #119843=VECTOR('',#409224,10.); #119844=VECTOR('',#409225,10.); #119845=VECTOR('',#409226,10.); #119846=VECTOR('',#409229,10.); #119847=VECTOR('',#409230,10.); #119848=VECTOR('',#409231,10.); #119849=VECTOR('',#409234,10.); #119850=VECTOR('',#409235,10.); #119851=VECTOR('',#409236,10.); #119852=VECTOR('',#409239,10.); #119853=VECTOR('',#409240,10.); #119854=VECTOR('',#409241,10.); #119855=VECTOR('',#409244,10.); #119856=VECTOR('',#409245,10.); #119857=VECTOR('',#409246,10.); #119858=VECTOR('',#409249,10.); #119859=VECTOR('',#409250,10.); #119860=VECTOR('',#409251,10.); #119861=VECTOR('',#409254,10.); #119862=VECTOR('',#409255,10.); #119863=VECTOR('',#409256,10.); #119864=VECTOR('',#409259,10.); #119865=VECTOR('',#409260,10.); #119866=VECTOR('',#409261,10.); #119867=VECTOR('',#409264,10.); #119868=VECTOR('',#409265,10.); #119869=VECTOR('',#409266,10.); #119870=VECTOR('',#409269,10.); #119871=VECTOR('',#409270,10.); #119872=VECTOR('',#409271,10.); #119873=VECTOR('',#409274,10.); #119874=VECTOR('',#409275,10.); #119875=VECTOR('',#409276,10.); #119876=VECTOR('',#409279,10.); #119877=VECTOR('',#409280,10.); #119878=VECTOR('',#409281,10.); #119879=VECTOR('',#409284,10.); #119880=VECTOR('',#409285,10.); #119881=VECTOR('',#409286,10.); #119882=VECTOR('',#409289,10.); #119883=VECTOR('',#409290,10.); #119884=VECTOR('',#409291,10.); #119885=VECTOR('',#409294,10.); #119886=VECTOR('',#409295,10.); #119887=VECTOR('',#409296,10.); #119888=VECTOR('',#409299,10.); #119889=VECTOR('',#409300,10.); #119890=VECTOR('',#409301,10.); #119891=VECTOR('',#409304,10.); #119892=VECTOR('',#409305,10.); #119893=VECTOR('',#409306,10.); #119894=VECTOR('',#409309,10.); #119895=VECTOR('',#409310,10.); #119896=VECTOR('',#409311,10.); #119897=VECTOR('',#409314,10.); #119898=VECTOR('',#409315,10.); #119899=VECTOR('',#409316,10.); #119900=VECTOR('',#409319,10.); #119901=VECTOR('',#409320,10.); #119902=VECTOR('',#409321,10.); #119903=VECTOR('',#409324,10.); #119904=VECTOR('',#409325,10.); #119905=VECTOR('',#409326,10.); #119906=VECTOR('',#409329,10.); #119907=VECTOR('',#409330,10.); #119908=VECTOR('',#409331,10.); #119909=VECTOR('',#409334,10.); #119910=VECTOR('',#409335,10.); #119911=VECTOR('',#409336,10.); #119912=VECTOR('',#409339,10.); #119913=VECTOR('',#409340,10.); #119914=VECTOR('',#409341,10.); #119915=VECTOR('',#409344,10.); #119916=VECTOR('',#409345,10.); #119917=VECTOR('',#409346,10.); #119918=VECTOR('',#409349,10.); #119919=VECTOR('',#409350,10.); #119920=VECTOR('',#409351,10.); #119921=VECTOR('',#409354,10.); #119922=VECTOR('',#409355,10.); #119923=VECTOR('',#409356,10.); #119924=VECTOR('',#409359,10.); #119925=VECTOR('',#409360,10.); #119926=VECTOR('',#409361,10.); #119927=VECTOR('',#409364,10.); #119928=VECTOR('',#409365,10.); #119929=VECTOR('',#409366,10.); #119930=VECTOR('',#409369,10.); #119931=VECTOR('',#409370,10.); #119932=VECTOR('',#409371,10.); #119933=VECTOR('',#409374,10.); #119934=VECTOR('',#409375,10.); #119935=VECTOR('',#409376,10.); #119936=VECTOR('',#409379,10.); #119937=VECTOR('',#409380,10.); #119938=VECTOR('',#409381,10.); #119939=VECTOR('',#409384,10.); #119940=VECTOR('',#409385,10.); #119941=VECTOR('',#409386,10.); #119942=VECTOR('',#409389,10.); #119943=VECTOR('',#409390,10.); #119944=VECTOR('',#409391,10.); #119945=VECTOR('',#409394,10.); #119946=VECTOR('',#409395,10.); #119947=VECTOR('',#409396,10.); #119948=VECTOR('',#409399,10.); #119949=VECTOR('',#409400,10.); #119950=VECTOR('',#409401,10.); #119951=VECTOR('',#409404,10.); #119952=VECTOR('',#409405,10.); #119953=VECTOR('',#409406,10.); #119954=VECTOR('',#409409,10.); #119955=VECTOR('',#409410,10.); #119956=VECTOR('',#409411,10.); #119957=VECTOR('',#409414,10.); #119958=VECTOR('',#409415,10.); #119959=VECTOR('',#409416,10.); #119960=VECTOR('',#409419,10.); #119961=VECTOR('',#409420,10.); #119962=VECTOR('',#409421,10.); #119963=VECTOR('',#409424,10.); #119964=VECTOR('',#409425,10.); #119965=VECTOR('',#409426,10.); #119966=VECTOR('',#409429,10.); #119967=VECTOR('',#409430,10.); #119968=VECTOR('',#409431,10.); #119969=VECTOR('',#409434,10.); #119970=VECTOR('',#409435,10.); #119971=VECTOR('',#409436,10.); #119972=VECTOR('',#409439,10.); #119973=VECTOR('',#409440,10.); #119974=VECTOR('',#409441,10.); #119975=VECTOR('',#409444,10.); #119976=VECTOR('',#409445,10.); #119977=VECTOR('',#409446,10.); #119978=VECTOR('',#409449,10.); #119979=VECTOR('',#409450,10.); #119980=VECTOR('',#409451,10.); #119981=VECTOR('',#409454,10.); #119982=VECTOR('',#409455,10.); #119983=VECTOR('',#409456,10.); #119984=VECTOR('',#409459,10.); #119985=VECTOR('',#409460,10.); #119986=VECTOR('',#409461,10.); #119987=VECTOR('',#409464,10.); #119988=VECTOR('',#409465,10.); #119989=VECTOR('',#409466,10.); #119990=VECTOR('',#409469,10.); #119991=VECTOR('',#409470,10.); #119992=VECTOR('',#409471,10.); #119993=VECTOR('',#409474,10.); #119994=VECTOR('',#409475,10.); #119995=VECTOR('',#409476,10.); #119996=VECTOR('',#409479,10.); #119997=VECTOR('',#409480,10.); #119998=VECTOR('',#409487,10.); #119999=VECTOR('',#409488,10.); #120000=VECTOR('',#409489,10.); #120001=VECTOR('',#409490,10.); #120002=VECTOR('',#409493,10.); #120003=VECTOR('',#409494,10.); #120004=VECTOR('',#409495,10.); #120005=VECTOR('',#409498,10.); #120006=VECTOR('',#409499,10.); #120007=VECTOR('',#409500,10.); #120008=VECTOR('',#409503,10.); #120009=VECTOR('',#409504,10.); #120010=VECTOR('',#409505,10.); #120011=VECTOR('',#409508,10.); #120012=VECTOR('',#409509,10.); #120013=VECTOR('',#409510,10.); #120014=VECTOR('',#409513,10.); #120015=VECTOR('',#409514,10.); #120016=VECTOR('',#409515,10.); #120017=VECTOR('',#409518,10.); #120018=VECTOR('',#409519,10.); #120019=VECTOR('',#409520,10.); #120020=VECTOR('',#409523,10.); #120021=VECTOR('',#409524,10.); #120022=VECTOR('',#409525,10.); #120023=VECTOR('',#409528,10.); #120024=VECTOR('',#409529,10.); #120025=VECTOR('',#409530,10.); #120026=VECTOR('',#409533,10.); #120027=VECTOR('',#409534,10.); #120028=VECTOR('',#409535,10.); #120029=VECTOR('',#409538,10.); #120030=VECTOR('',#409539,10.); #120031=VECTOR('',#409540,10.); #120032=VECTOR('',#409543,10.); #120033=VECTOR('',#409544,10.); #120034=VECTOR('',#409545,10.); #120035=VECTOR('',#409548,10.); #120036=VECTOR('',#409549,10.); #120037=VECTOR('',#409550,10.); #120038=VECTOR('',#409553,10.); #120039=VECTOR('',#409554,10.); #120040=VECTOR('',#409555,10.); #120041=VECTOR('',#409558,10.); #120042=VECTOR('',#409559,10.); #120043=VECTOR('',#409560,10.); #120044=VECTOR('',#409563,10.); #120045=VECTOR('',#409564,10.); #120046=VECTOR('',#409565,10.); #120047=VECTOR('',#409568,10.); #120048=VECTOR('',#409569,10.); #120049=VECTOR('',#409570,10.); #120050=VECTOR('',#409573,10.); #120051=VECTOR('',#409574,10.); #120052=VECTOR('',#409575,10.); #120053=VECTOR('',#409578,10.); #120054=VECTOR('',#409579,10.); #120055=VECTOR('',#409580,10.); #120056=VECTOR('',#409583,10.); #120057=VECTOR('',#409584,10.); #120058=VECTOR('',#409585,10.); #120059=VECTOR('',#409588,10.); #120060=VECTOR('',#409589,10.); #120061=VECTOR('',#409590,10.); #120062=VECTOR('',#409593,10.); #120063=VECTOR('',#409594,10.); #120064=VECTOR('',#409595,10.); #120065=VECTOR('',#409598,10.); #120066=VECTOR('',#409599,10.); #120067=VECTOR('',#409600,10.); #120068=VECTOR('',#409603,10.); #120069=VECTOR('',#409604,10.); #120070=VECTOR('',#409605,10.); #120071=VECTOR('',#409608,10.); #120072=VECTOR('',#409609,10.); #120073=VECTOR('',#409610,10.); #120074=VECTOR('',#409613,10.); #120075=VECTOR('',#409614,10.); #120076=VECTOR('',#409615,10.); #120077=VECTOR('',#409618,10.); #120078=VECTOR('',#409619,10.); #120079=VECTOR('',#409620,10.); #120080=VECTOR('',#409623,10.); #120081=VECTOR('',#409624,10.); #120082=VECTOR('',#409625,10.); #120083=VECTOR('',#409628,10.); #120084=VECTOR('',#409629,10.); #120085=VECTOR('',#409630,10.); #120086=VECTOR('',#409633,10.); #120087=VECTOR('',#409634,10.); #120088=VECTOR('',#409635,10.); #120089=VECTOR('',#409638,10.); #120090=VECTOR('',#409639,10.); #120091=VECTOR('',#409640,10.); #120092=VECTOR('',#409643,10.); #120093=VECTOR('',#409644,10.); #120094=VECTOR('',#409645,10.); #120095=VECTOR('',#409648,10.); #120096=VECTOR('',#409649,10.); #120097=VECTOR('',#409650,10.); #120098=VECTOR('',#409653,10.); #120099=VECTOR('',#409654,10.); #120100=VECTOR('',#409663,0.472999999999999); #120101=VECTOR('',#409668,10.); #120102=VECTOR('',#409669,10.); #120103=VECTOR('',#409670,10.); #120104=VECTOR('',#409671,10.); #120105=VECTOR('',#409674,10.); #120106=VECTOR('',#409675,10.); #120107=VECTOR('',#409676,10.); #120108=VECTOR('',#409679,10.); #120109=VECTOR('',#409680,10.); #120110=VECTOR('',#409681,10.); #120111=VECTOR('',#409684,10.); #120112=VECTOR('',#409685,10.); #120113=VECTOR('',#409686,10.); #120114=VECTOR('',#409689,10.); #120115=VECTOR('',#409690,10.); #120116=VECTOR('',#409691,10.); #120117=VECTOR('',#409694,10.); #120118=VECTOR('',#409695,10.); #120119=VECTOR('',#409696,10.); #120120=VECTOR('',#409699,10.); #120121=VECTOR('',#409700,10.); #120122=VECTOR('',#409701,10.); #120123=VECTOR('',#409704,10.); #120124=VECTOR('',#409705,10.); #120125=VECTOR('',#409714,0.139999999999998); #120126=VECTOR('',#409721,0.139999999999998); #120127=VECTOR('',#409728,0.139999999999998); #120128=VECTOR('',#409735,0.139999999999998); #120129=VECTOR('',#409742,0.139999999999998); #120130=VECTOR('',#409749,0.139999999999998); #120131=VECTOR('',#409756,0.465); #120132=VECTOR('',#409763,0.139999999999998); #120133=VECTOR('',#409770,0.139999999999998); #120134=VECTOR('',#409777,0.139999999999998); #120135=VECTOR('',#409784,0.139999999999998); #120136=VECTOR('',#409791,0.139999999999998); #120137=VECTOR('',#409798,0.139999999999998); #120138=VECTOR('',#409805,0.514999999999999); #120139=VECTOR('',#409812,0.139999999999998); #120140=VECTOR('',#409819,0.139999999999998); #120141=VECTOR('',#409824,10.); #120142=VECTOR('',#409825,10.); #120143=VECTOR('',#409826,10.); #120144=VECTOR('',#409827,10.); #120145=VECTOR('',#409834,10.); #120146=VECTOR('',#409837,10.); #120147=VECTOR('',#409838,10.); #120148=VECTOR('',#409839,10.); #120149=VECTOR('',#409848,10.); #120150=VECTOR('',#409849,10.); #120151=VECTOR('',#409850,10.); #120152=VECTOR('',#409851,10.); #120153=VECTOR('',#409858,10.); #120154=VECTOR('',#409861,10.); #120155=VECTOR('',#409862,10.); #120156=VECTOR('',#409863,10.); #120157=VECTOR('',#409874,0.139999999999998); #120158=VECTOR('',#409881,0.114999999999998); #120159=VECTOR('',#409888,0.114999999999998); #120160=VECTOR('',#409895,0.139999999999998); #120161=VECTOR('',#409902,0.114999999999998); #120162=VECTOR('',#409909,0.114999999999998); #120163=VECTOR('',#409916,0.139999999999998); #120164=VECTOR('',#409923,0.139999999999998); #120165=VECTOR('',#409928,10.); #120166=VECTOR('',#409929,10.); #120167=VECTOR('',#409930,10.); #120168=VECTOR('',#409931,10.); #120169=VECTOR('',#409938,10.); #120170=VECTOR('',#409941,10.); #120171=VECTOR('',#409942,10.); #120172=VECTOR('',#409943,10.); #120173=VECTOR('',#409952,10.); #120174=VECTOR('',#409953,10.); #120175=VECTOR('',#409954,10.); #120176=VECTOR('',#409955,10.); #120177=VECTOR('',#409962,10.); #120178=VECTOR('',#409965,10.); #120179=VECTOR('',#409966,10.); #120180=VECTOR('',#409967,10.); #120181=VECTOR('',#409978,0.139999999999998); #120182=VECTOR('',#409985,0.139999999999998); #120183=VECTOR('',#409992,0.14); #120184=VECTOR('',#409999,0.139999999999998); #120185=VECTOR('',#410006,0.14); #120186=VECTOR('',#410013,0.139999999999998); #120187=VECTOR('',#410020,0.140000000000002); #120188=VECTOR('',#410027,0.139999999999998); #120189=VECTOR('',#410034,0.140000000000002); #120190=VECTOR('',#410041,0.140000000000002); #120191=VECTOR('',#410048,0.139999999999998); #120192=VECTOR('',#410055,0.140000000000002); #120193=VECTOR('',#410062,0.140000000000002); #120194=VECTOR('',#410069,0.139999999999998); #120195=VECTOR('',#410076,0.140000000000002); #120196=VECTOR('',#410083,0.140000000000002); #120197=VECTOR('',#410090,0.139999999999998); #120198=VECTOR('',#410097,0.140000000000002); #120199=VECTOR('',#410104,0.14); #120200=VECTOR('',#410111,0.139999999999998); #120201=VECTOR('',#410118,0.14); #120202=VECTOR('',#410125,0.140000000000002); #120203=VECTOR('',#410132,0.139999999999998); #120204=VECTOR('',#410139,0.140000000000002); #120205=VECTOR('',#410146,0.140000000000002); #120206=VECTOR('',#410153,0.139999999999998); #120207=VECTOR('',#410160,0.140000000000002); #120208=VECTOR('',#410167,0.14); #120209=VECTOR('',#410174,0.139999999999998); #120210=VECTOR('',#410181,0.14); #120211=VECTOR('',#410188,0.139999999999998); #120212=VECTOR('',#410195,0.14); #120213=VECTOR('',#410202,0.139999999999998); #120214=VECTOR('',#410209,0.14); #120215=VECTOR('',#410216,0.14); #120216=VECTOR('',#410223,0.139999999999998); #120217=VECTOR('',#410230,0.14); #120218=VECTOR('',#410237,0.139999999999998); #120219=VECTOR('',#410244,0.14); #120220=VECTOR('',#410251,0.139999999999998); #120221=VECTOR('',#410258,0.14); #120222=VECTOR('',#410265,0.139999999999998); #120223=VECTOR('',#410272,0.139999999999998); #120224=VECTOR('',#410279,0.14); #120225=VECTOR('',#410286,0.139999999999998); #120226=VECTOR('',#410293,0.14); #120227=VECTOR('',#410300,0.139999999999998); #120228=VECTOR('',#410307,0.139999999999998); #120229=VECTOR('',#410314,0.139999999999998); #120230=VECTOR('',#410321,0.140000000000002); #120231=VECTOR('',#410328,0.139999999999998); #120232=VECTOR('',#410335,0.140000000000002); #120233=VECTOR('',#410342,0.139999999999998); #120234=VECTOR('',#410349,0.139999999999998); #120235=VECTOR('',#410356,0.140000000000002); #120236=VECTOR('',#410363,0.465); #120237=VECTOR('',#410370,0.140000000000002); #120238=VECTOR('',#410377,0.139999999999998); #120239=VECTOR('',#410384,0.140000000000002); #120240=VECTOR('',#410391,0.139999999999998); #120241=VECTOR('',#410398,0.139999999999998); #120242=VECTOR('',#410405,0.139999999999998); #120243=VECTOR('',#410412,0.140000000000002); #120244=VECTOR('',#410419,0.139999999999998); #120245=VECTOR('',#410426,0.140000000000002); #120246=VECTOR('',#410433,0.139999999999998); #120247=VECTOR('',#410440,0.139999999999998); #120248=VECTOR('',#410447,0.140000000000002); #120249=VECTOR('',#410454,0.140000000000002); #120250=VECTOR('',#410461,0.139999999999998); #120251=VECTOR('',#410468,0.140000000000002); #120252=VECTOR('',#410475,0.140000000000002); #120253=VECTOR('',#410482,0.139999999999998); #120254=VECTOR('',#410489,0.140000000000002); #120255=VECTOR('',#410496,0.564999999999998); #120256=VECTOR('',#410503,0.140000000000002); #120257=VECTOR('',#410510,0.139999999999998); #120258=VECTOR('',#410517,0.140000000000002); #120259=VECTOR('',#410524,0.140000000000002); #120260=VECTOR('',#410531,0.139999999999998); #120261=VECTOR('',#410538,0.140000000000002); #120262=VECTOR('',#410545,0.140000000000002); #120263=VECTOR('',#410552,0.139999999999998); #120264=VECTOR('',#410559,0.140000000000002); #120265=VECTOR('',#410566,0.140000000000002); #120266=VECTOR('',#410573,0.139999999999998); #120267=VECTOR('',#410580,0.140000000000002); #120268=VECTOR('',#410587,0.14); #120269=VECTOR('',#410594,0.139999999999998); #120270=VECTOR('',#410601,0.14); #120271=VECTOR('',#410608,0.140000000000002); #120272=VECTOR('',#410615,0.139999999999998); #120273=VECTOR('',#410622,0.14); #120274=VECTOR('',#410629,0.14); #120275=VECTOR('',#410636,0.139999999999998); #120276=VECTOR('',#410643,0.14); #120277=VECTOR('',#410650,0.14); #120278=VECTOR('',#410657,0.139999999999998); #120279=VECTOR('',#410664,0.14); #120280=VECTOR('',#410669,10.); #120281=VECTOR('',#410670,10.); #120282=VECTOR('',#410671,10.); #120283=VECTOR('',#410672,10.); #120284=VECTOR('',#410675,10.); #120285=VECTOR('',#410676,10.); #120286=VECTOR('',#410677,10.); #120287=VECTOR('',#410680,10.); #120288=VECTOR('',#410681,10.); #120289=VECTOR('',#410682,10.); #120290=VECTOR('',#410685,10.); #120291=VECTOR('',#410686,10.); #120292=VECTOR('',#410687,10.); #120293=VECTOR('',#410690,10.); #120294=VECTOR('',#410691,10.); #120295=VECTOR('',#410692,10.); #120296=VECTOR('',#410695,10.); #120297=VECTOR('',#410696,10.); #120298=VECTOR('',#410697,10.); #120299=VECTOR('',#410700,10.); #120300=VECTOR('',#410701,10.); #120301=VECTOR('',#410702,10.); #120302=VECTOR('',#410705,10.); #120303=VECTOR('',#410706,10.); #120304=VECTOR('',#410707,10.); #120305=VECTOR('',#410710,10.); #120306=VECTOR('',#410711,10.); #120307=VECTOR('',#410712,10.); #120308=VECTOR('',#410715,10.); #120309=VECTOR('',#410716,10.); #120310=VECTOR('',#410717,10.); #120311=VECTOR('',#410720,10.); #120312=VECTOR('',#410721,10.); #120313=VECTOR('',#410722,10.); #120314=VECTOR('',#410725,10.); #120315=VECTOR('',#410726,10.); #120316=VECTOR('',#410727,10.); #120317=VECTOR('',#410730,10.); #120318=VECTOR('',#410731,10.); #120319=VECTOR('',#410732,10.); #120320=VECTOR('',#410735,10.); #120321=VECTOR('',#410736,10.); #120322=VECTOR('',#410737,10.); #120323=VECTOR('',#410740,10.); #120324=VECTOR('',#410741,10.); #120325=VECTOR('',#410742,10.); #120326=VECTOR('',#410745,10.); #120327=VECTOR('',#410746,10.); #120328=VECTOR('',#410747,10.); #120329=VECTOR('',#410750,10.); #120330=VECTOR('',#410751,10.); #120331=VECTOR('',#410752,10.); #120332=VECTOR('',#410755,10.); #120333=VECTOR('',#410756,10.); #120334=VECTOR('',#410757,10.); #120335=VECTOR('',#410760,10.); #120336=VECTOR('',#410761,10.); #120337=VECTOR('',#410762,10.); #120338=VECTOR('',#410765,10.); #120339=VECTOR('',#410766,10.); #120340=VECTOR('',#410767,10.); #120341=VECTOR('',#410770,10.); #120342=VECTOR('',#410771,10.); #120343=VECTOR('',#410772,10.); #120344=VECTOR('',#410775,10.); #120345=VECTOR('',#410776,10.); #120346=VECTOR('',#410777,10.); #120347=VECTOR('',#410780,10.); #120348=VECTOR('',#410781,10.); #120349=VECTOR('',#410782,10.); #120350=VECTOR('',#410785,10.); #120351=VECTOR('',#410786,10.); #120352=VECTOR('',#410787,10.); #120353=VECTOR('',#410790,10.); #120354=VECTOR('',#410791,10.); #120355=VECTOR('',#410792,10.); #120356=VECTOR('',#410795,10.); #120357=VECTOR('',#410796,10.); #120358=VECTOR('',#410797,10.); #120359=VECTOR('',#410800,10.); #120360=VECTOR('',#410801,10.); #120361=VECTOR('',#410802,10.); #120362=VECTOR('',#410805,10.); #120363=VECTOR('',#410806,10.); #120364=VECTOR('',#410807,10.); #120365=VECTOR('',#410810,10.); #120366=VECTOR('',#410811,10.); #120367=VECTOR('',#410812,10.); #120368=VECTOR('',#410815,10.); #120369=VECTOR('',#410816,10.); #120370=VECTOR('',#410817,10.); #120371=VECTOR('',#410820,10.); #120372=VECTOR('',#410821,10.); #120373=VECTOR('',#410822,10.); #120374=VECTOR('',#410825,10.); #120375=VECTOR('',#410826,10.); #120376=VECTOR('',#410827,10.); #120377=VECTOR('',#410830,10.); #120378=VECTOR('',#410831,10.); #120379=VECTOR('',#410832,10.); #120380=VECTOR('',#410835,10.); #120381=VECTOR('',#410836,10.); #120382=VECTOR('',#410837,10.); #120383=VECTOR('',#410840,10.); #120384=VECTOR('',#410841,10.); #120385=VECTOR('',#410842,10.); #120386=VECTOR('',#410845,10.); #120387=VECTOR('',#410846,10.); #120388=VECTOR('',#410847,10.); #120389=VECTOR('',#410850,10.); #120390=VECTOR('',#410851,10.); #120391=VECTOR('',#410852,10.); #120392=VECTOR('',#410855,10.); #120393=VECTOR('',#410856,10.); #120394=VECTOR('',#410857,10.); #120395=VECTOR('',#410860,10.); #120396=VECTOR('',#410861,10.); #120397=VECTOR('',#410862,10.); #120398=VECTOR('',#410865,10.); #120399=VECTOR('',#410866,10.); #120400=VECTOR('',#410867,10.); #120401=VECTOR('',#410870,10.); #120402=VECTOR('',#410871,10.); #120403=VECTOR('',#410872,10.); #120404=VECTOR('',#410875,10.); #120405=VECTOR('',#410876,10.); #120406=VECTOR('',#410877,10.); #120407=VECTOR('',#410880,10.); #120408=VECTOR('',#410881,10.); #120409=VECTOR('',#410882,10.); #120410=VECTOR('',#410885,10.); #120411=VECTOR('',#410886,10.); #120412=VECTOR('',#410887,10.); #120413=VECTOR('',#410890,10.); #120414=VECTOR('',#410891,10.); #120415=VECTOR('',#410892,10.); #120416=VECTOR('',#410895,10.); #120417=VECTOR('',#410896,10.); #120418=VECTOR('',#410897,10.); #120419=VECTOR('',#410900,10.); #120420=VECTOR('',#410901,10.); #120421=VECTOR('',#410902,10.); #120422=VECTOR('',#410905,10.); #120423=VECTOR('',#410906,10.); #120424=VECTOR('',#410907,10.); #120425=VECTOR('',#410910,10.); #120426=VECTOR('',#410911,10.); #120427=VECTOR('',#410912,10.); #120428=VECTOR('',#410915,10.); #120429=VECTOR('',#410916,10.); #120430=VECTOR('',#410917,10.); #120431=VECTOR('',#410920,10.); #120432=VECTOR('',#410921,10.); #120433=VECTOR('',#410922,10.); #120434=VECTOR('',#410925,10.); #120435=VECTOR('',#410926,10.); #120436=VECTOR('',#410927,10.); #120437=VECTOR('',#410930,10.); #120438=VECTOR('',#410931,10.); #120439=VECTOR('',#410932,10.); #120440=VECTOR('',#410935,10.); #120441=VECTOR('',#410936,10.); #120442=VECTOR('',#410937,10.); #120443=VECTOR('',#410940,10.); #120444=VECTOR('',#410941,10.); #120445=VECTOR('',#410942,10.); #120446=VECTOR('',#410945,10.); #120447=VECTOR('',#410946,10.); #120448=VECTOR('',#410947,10.); #120449=VECTOR('',#410950,10.); #120450=VECTOR('',#410951,10.); #120451=VECTOR('',#410952,10.); #120452=VECTOR('',#410955,10.); #120453=VECTOR('',#410956,10.); #120454=VECTOR('',#410957,10.); #120455=VECTOR('',#410960,10.); #120456=VECTOR('',#410961,10.); #120457=VECTOR('',#410962,10.); #120458=VECTOR('',#410965,10.); #120459=VECTOR('',#410966,10.); #120460=VECTOR('',#410967,10.); #120461=VECTOR('',#410970,10.); #120462=VECTOR('',#410971,10.); #120463=VECTOR('',#410972,10.); #120464=VECTOR('',#410975,10.); #120465=VECTOR('',#410976,10.); #120466=VECTOR('',#410977,10.); #120467=VECTOR('',#410980,10.); #120468=VECTOR('',#410981,10.); #120469=VECTOR('',#410982,10.); #120470=VECTOR('',#410985,10.); #120471=VECTOR('',#410986,10.); #120472=VECTOR('',#410987,10.); #120473=VECTOR('',#410990,10.); #120474=VECTOR('',#410991,10.); #120475=VECTOR('',#410992,10.); #120476=VECTOR('',#410995,10.); #120477=VECTOR('',#410996,10.); #120478=VECTOR('',#410997,10.); #120479=VECTOR('',#411000,10.); #120480=VECTOR('',#411001,10.); #120481=VECTOR('',#411002,10.); #120482=VECTOR('',#411005,10.); #120483=VECTOR('',#411006,10.); #120484=VECTOR('',#411007,10.); #120485=VECTOR('',#411010,10.); #120486=VECTOR('',#411011,10.); #120487=VECTOR('',#411012,10.); #120488=VECTOR('',#411015,10.); #120489=VECTOR('',#411016,10.); #120490=VECTOR('',#411017,10.); #120491=VECTOR('',#411020,10.); #120492=VECTOR('',#411021,10.); #120493=VECTOR('',#411022,10.); #120494=VECTOR('',#411025,10.); #120495=VECTOR('',#411026,10.); #120496=VECTOR('',#411027,10.); #120497=VECTOR('',#411030,10.); #120498=VECTOR('',#411031,10.); #120499=VECTOR('',#411032,10.); #120500=VECTOR('',#411035,10.); #120501=VECTOR('',#411036,10.); #120502=VECTOR('',#411037,10.); #120503=VECTOR('',#411040,10.); #120504=VECTOR('',#411041,10.); #120505=VECTOR('',#411042,10.); #120506=VECTOR('',#411045,10.); #120507=VECTOR('',#411046,10.); #120508=VECTOR('',#411047,10.); #120509=VECTOR('',#411050,10.); #120510=VECTOR('',#411051,10.); #120511=VECTOR('',#411052,10.); #120512=VECTOR('',#411055,10.); #120513=VECTOR('',#411056,10.); #120514=VECTOR('',#411057,10.); #120515=VECTOR('',#411060,10.); #120516=VECTOR('',#411061,10.); #120517=VECTOR('',#411062,10.); #120518=VECTOR('',#411065,10.); #120519=VECTOR('',#411066,10.); #120520=VECTOR('',#411067,10.); #120521=VECTOR('',#411070,10.); #120522=VECTOR('',#411071,10.); #120523=VECTOR('',#411072,10.); #120524=VECTOR('',#411075,10.); #120525=VECTOR('',#411076,10.); #120526=VECTOR('',#411077,10.); #120527=VECTOR('',#411080,10.); #120528=VECTOR('',#411081,10.); #120529=VECTOR('',#411082,10.); #120530=VECTOR('',#411085,10.); #120531=VECTOR('',#411086,10.); #120532=VECTOR('',#411087,10.); #120533=VECTOR('',#411090,10.); #120534=VECTOR('',#411091,10.); #120535=VECTOR('',#411092,10.); #120536=VECTOR('',#411095,10.); #120537=VECTOR('',#411096,10.); #120538=VECTOR('',#411097,10.); #120539=VECTOR('',#411100,10.); #120540=VECTOR('',#411101,10.); #120541=VECTOR('',#411102,10.); #120542=VECTOR('',#411105,10.); #120543=VECTOR('',#411106,10.); #120544=VECTOR('',#411107,10.); #120545=VECTOR('',#411110,10.); #120546=VECTOR('',#411111,10.); #120547=VECTOR('',#411112,10.); #120548=VECTOR('',#411115,10.); #120549=VECTOR('',#411116,10.); #120550=VECTOR('',#411117,10.); #120551=VECTOR('',#411120,10.); #120552=VECTOR('',#411121,10.); #120553=VECTOR('',#411122,10.); #120554=VECTOR('',#411125,10.); #120555=VECTOR('',#411126,10.); #120556=VECTOR('',#411127,10.); #120557=VECTOR('',#411130,10.); #120558=VECTOR('',#411131,10.); #120559=VECTOR('',#411132,10.); #120560=VECTOR('',#411135,10.); #120561=VECTOR('',#411136,10.); #120562=VECTOR('',#411137,10.); #120563=VECTOR('',#411140,10.); #120564=VECTOR('',#411141,10.); #120565=VECTOR('',#411142,10.); #120566=VECTOR('',#411145,10.); #120567=VECTOR('',#411146,10.); #120568=VECTOR('',#411147,10.); #120569=VECTOR('',#411150,10.); #120570=VECTOR('',#411151,10.); #120571=VECTOR('',#411152,10.); #120572=VECTOR('',#411155,10.); #120573=VECTOR('',#411156,10.); #120574=VECTOR('',#411157,10.); #120575=VECTOR('',#411160,10.); #120576=VECTOR('',#411161,10.); #120577=VECTOR('',#411162,10.); #120578=VECTOR('',#411165,10.); #120579=VECTOR('',#411166,10.); #120580=VECTOR('',#411167,10.); #120581=VECTOR('',#411170,10.); #120582=VECTOR('',#411171,10.); #120583=VECTOR('',#411172,10.); #120584=VECTOR('',#411175,10.); #120585=VECTOR('',#411176,10.); #120586=VECTOR('',#411177,10.); #120587=VECTOR('',#411180,10.); #120588=VECTOR('',#411181,10.); #120589=VECTOR('',#411182,10.); #120590=VECTOR('',#411185,10.); #120591=VECTOR('',#411186,10.); #120592=VECTOR('',#411187,10.); #120593=VECTOR('',#411190,10.); #120594=VECTOR('',#411191,10.); #120595=VECTOR('',#411192,10.); #120596=VECTOR('',#411195,10.); #120597=VECTOR('',#411196,10.); #120598=VECTOR('',#411197,10.); #120599=VECTOR('',#411200,10.); #120600=VECTOR('',#411201,10.); #120601=VECTOR('',#411202,10.); #120602=VECTOR('',#411205,10.); #120603=VECTOR('',#411206,10.); #120604=VECTOR('',#411207,10.); #120605=VECTOR('',#411210,10.); #120606=VECTOR('',#411211,10.); #120607=VECTOR('',#411212,10.); #120608=VECTOR('',#411215,10.); #120609=VECTOR('',#411216,10.); #120610=VECTOR('',#411217,10.); #120611=VECTOR('',#411220,10.); #120612=VECTOR('',#411221,10.); #120613=VECTOR('',#411222,10.); #120614=VECTOR('',#411225,10.); #120615=VECTOR('',#411226,10.); #120616=VECTOR('',#411227,10.); #120617=VECTOR('',#411230,10.); #120618=VECTOR('',#411231,10.); #120619=VECTOR('',#411232,10.); #120620=VECTOR('',#411235,10.); #120621=VECTOR('',#411236,10.); #120622=VECTOR('',#411237,10.); #120623=VECTOR('',#411240,10.); #120624=VECTOR('',#411241,10.); #120625=VECTOR('',#411242,10.); #120626=VECTOR('',#411245,10.); #120627=VECTOR('',#411246,10.); #120628=VECTOR('',#411247,10.); #120629=VECTOR('',#411250,10.); #120630=VECTOR('',#411251,10.); #120631=VECTOR('',#411252,10.); #120632=VECTOR('',#411255,10.); #120633=VECTOR('',#411256,10.); #120634=VECTOR('',#411257,10.); #120635=VECTOR('',#411260,10.); #120636=VECTOR('',#411261,10.); #120637=VECTOR('',#411262,10.); #120638=VECTOR('',#411265,10.); #120639=VECTOR('',#411266,10.); #120640=VECTOR('',#411267,10.); #120641=VECTOR('',#411270,10.); #120642=VECTOR('',#411271,10.); #120643=VECTOR('',#411272,10.); #120644=VECTOR('',#411275,10.); #120645=VECTOR('',#411276,10.); #120646=VECTOR('',#411277,10.); #120647=VECTOR('',#411280,10.); #120648=VECTOR('',#411281,10.); #120649=VECTOR('',#411282,10.); #120650=VECTOR('',#411285,10.); #120651=VECTOR('',#411286,10.); #120652=VECTOR('',#411287,10.); #120653=VECTOR('',#411290,10.); #120654=VECTOR('',#411291,10.); #120655=VECTOR('',#411292,10.); #120656=VECTOR('',#411295,10.); #120657=VECTOR('',#411296,10.); #120658=VECTOR('',#411297,10.); #120659=VECTOR('',#411300,10.); #120660=VECTOR('',#411301,10.); #120661=VECTOR('',#411302,10.); #120662=VECTOR('',#411305,10.); #120663=VECTOR('',#411306,10.); #120664=VECTOR('',#411307,10.); #120665=VECTOR('',#411310,10.); #120666=VECTOR('',#411311,10.); #120667=VECTOR('',#411312,10.); #120668=VECTOR('',#411315,10.); #120669=VECTOR('',#411316,10.); #120670=VECTOR('',#411317,10.); #120671=VECTOR('',#411320,10.); #120672=VECTOR('',#411321,10.); #120673=VECTOR('',#411322,10.); #120674=VECTOR('',#411325,10.); #120675=VECTOR('',#411326,10.); #120676=VECTOR('',#411329,10.); #120677=VECTOR('',#411330,10.); #120678=VECTOR('',#411331,10.); #120679=VECTOR('',#411332,10.); #120680=VECTOR('',#411335,10.); #120681=VECTOR('',#411336,10.); #120682=VECTOR('',#411337,10.); #120683=VECTOR('',#411340,10.); #120684=VECTOR('',#411341,10.); #120685=VECTOR('',#411342,10.); #120686=VECTOR('',#411345,10.); #120687=VECTOR('',#411346,10.); #120688=VECTOR('',#411347,10.); #120689=VECTOR('',#411350,10.); #120690=VECTOR('',#411351,10.); #120691=VECTOR('',#411352,10.); #120692=VECTOR('',#411355,10.); #120693=VECTOR('',#411356,10.); #120694=VECTOR('',#411357,10.); #120695=VECTOR('',#411360,10.); #120696=VECTOR('',#411361,10.); #120697=VECTOR('',#411362,10.); #120698=VECTOR('',#411365,10.); #120699=VECTOR('',#411366,10.); #120700=VECTOR('',#411369,10.); #120701=VECTOR('',#411370,10.); #120702=VECTOR('',#411371,10.); #120703=VECTOR('',#411372,10.); #120704=VECTOR('',#411375,10.); #120705=VECTOR('',#411376,10.); #120706=VECTOR('',#411377,10.); #120707=VECTOR('',#411380,10.); #120708=VECTOR('',#411381,10.); #120709=VECTOR('',#411382,10.); #120710=VECTOR('',#411385,10.); #120711=VECTOR('',#411386,10.); #120712=VECTOR('',#411387,10.); #120713=VECTOR('',#411390,10.); #120714=VECTOR('',#411391,10.); #120715=VECTOR('',#411392,10.); #120716=VECTOR('',#411395,10.); #120717=VECTOR('',#411396,10.); #120718=VECTOR('',#411397,10.); #120719=VECTOR('',#411400,10.); #120720=VECTOR('',#411401,10.); #120721=VECTOR('',#411402,10.); #120722=VECTOR('',#411405,10.); #120723=VECTOR('',#411406,10.); #120724=VECTOR('',#411409,10.); #120725=VECTOR('',#411410,10.); #120726=VECTOR('',#411411,10.); #120727=VECTOR('',#411412,10.); #120728=VECTOR('',#411415,10.); #120729=VECTOR('',#411416,10.); #120730=VECTOR('',#411417,10.); #120731=VECTOR('',#411420,10.); #120732=VECTOR('',#411421,10.); #120733=VECTOR('',#411422,10.); #120734=VECTOR('',#411425,10.); #120735=VECTOR('',#411426,10.); #120736=VECTOR('',#411427,10.); #120737=VECTOR('',#411430,10.); #120738=VECTOR('',#411431,10.); #120739=VECTOR('',#411432,10.); #120740=VECTOR('',#411435,10.); #120741=VECTOR('',#411436,10.); #120742=VECTOR('',#411437,10.); #120743=VECTOR('',#411440,10.); #120744=VECTOR('',#411441,10.); #120745=VECTOR('',#411442,10.); #120746=VECTOR('',#411445,10.); #120747=VECTOR('',#411446,10.); #120748=VECTOR('',#411449,10.); #120749=VECTOR('',#411450,10.); #120750=VECTOR('',#411451,10.); #120751=VECTOR('',#411452,10.); #120752=VECTOR('',#411455,10.); #120753=VECTOR('',#411456,10.); #120754=VECTOR('',#411457,10.); #120755=VECTOR('',#411460,10.); #120756=VECTOR('',#411461,10.); #120757=VECTOR('',#411462,10.); #120758=VECTOR('',#411465,10.); #120759=VECTOR('',#411466,10.); #120760=VECTOR('',#411467,10.); #120761=VECTOR('',#411470,10.); #120762=VECTOR('',#411471,10.); #120763=VECTOR('',#411472,10.); #120764=VECTOR('',#411475,10.); #120765=VECTOR('',#411476,10.); #120766=VECTOR('',#411477,10.); #120767=VECTOR('',#411480,10.); #120768=VECTOR('',#411481,10.); #120769=VECTOR('',#411482,10.); #120770=VECTOR('',#411485,10.); #120771=VECTOR('',#411486,10.); #120772=VECTOR('',#411487,10.); #120773=VECTOR('',#411490,10.); #120774=VECTOR('',#411491,10.); #120775=VECTOR('',#411492,10.); #120776=VECTOR('',#411495,10.); #120777=VECTOR('',#411496,10.); #120778=VECTOR('',#411497,10.); #120779=VECTOR('',#411500,10.); #120780=VECTOR('',#411501,10.); #120781=VECTOR('',#411502,10.); #120782=VECTOR('',#411505,10.); #120783=VECTOR('',#411506,10.); #120784=VECTOR('',#411507,10.); #120785=VECTOR('',#411510,10.); #120786=VECTOR('',#411511,10.); #120787=VECTOR('',#411512,10.); #120788=VECTOR('',#411515,10.); #120789=VECTOR('',#411516,10.); #120790=VECTOR('',#411517,10.); #120791=VECTOR('',#411520,10.); #120792=VECTOR('',#411521,10.); #120793=VECTOR('',#411522,10.); #120794=VECTOR('',#411525,10.); #120795=VECTOR('',#411526,10.); #120796=VECTOR('',#411527,10.); #120797=VECTOR('',#411530,10.); #120798=VECTOR('',#411531,10.); #120799=VECTOR('',#411532,10.); #120800=VECTOR('',#411535,10.); #120801=VECTOR('',#411536,10.); #120802=VECTOR('',#411537,10.); #120803=VECTOR('',#411540,10.); #120804=VECTOR('',#411541,10.); #120805=VECTOR('',#411542,10.); #120806=VECTOR('',#411545,10.); #120807=VECTOR('',#411546,10.); #120808=VECTOR('',#411547,10.); #120809=VECTOR('',#411550,10.); #120810=VECTOR('',#411551,10.); #120811=VECTOR('',#411552,10.); #120812=VECTOR('',#411555,10.); #120813=VECTOR('',#411556,10.); #120814=VECTOR('',#411557,10.); #120815=VECTOR('',#411560,10.); #120816=VECTOR('',#411561,10.); #120817=VECTOR('',#411562,10.); #120818=VECTOR('',#411565,10.); #120819=VECTOR('',#411566,10.); #120820=VECTOR('',#411567,10.); #120821=VECTOR('',#411570,10.); #120822=VECTOR('',#411571,10.); #120823=VECTOR('',#411572,10.); #120824=VECTOR('',#411575,10.); #120825=VECTOR('',#411576,10.); #120826=VECTOR('',#411577,10.); #120827=VECTOR('',#411580,10.); #120828=VECTOR('',#411581,10.); #120829=VECTOR('',#411582,10.); #120830=VECTOR('',#411585,10.); #120831=VECTOR('',#411586,10.); #120832=VECTOR('',#411587,10.); #120833=VECTOR('',#411590,10.); #120834=VECTOR('',#411591,10.); #120835=VECTOR('',#411592,10.); #120836=VECTOR('',#411595,10.); #120837=VECTOR('',#411596,10.); #120838=VECTOR('',#411597,10.); #120839=VECTOR('',#411600,10.); #120840=VECTOR('',#411601,10.); #120841=VECTOR('',#411602,10.); #120842=VECTOR('',#411605,10.); #120843=VECTOR('',#411606,10.); #120844=VECTOR('',#411607,10.); #120845=VECTOR('',#411610,10.); #120846=VECTOR('',#411611,10.); #120847=VECTOR('',#411612,10.); #120848=VECTOR('',#411615,10.); #120849=VECTOR('',#411616,10.); #120850=VECTOR('',#411617,10.); #120851=VECTOR('',#411620,10.); #120852=VECTOR('',#411621,10.); #120853=VECTOR('',#411622,10.); #120854=VECTOR('',#411625,10.); #120855=VECTOR('',#411626,10.); #120856=VECTOR('',#411627,10.); #120857=VECTOR('',#411630,10.); #120858=VECTOR('',#411631,10.); #120859=VECTOR('',#411632,10.); #120860=VECTOR('',#411635,10.); #120861=VECTOR('',#411636,10.); #120862=VECTOR('',#411637,10.); #120863=VECTOR('',#411640,10.); #120864=VECTOR('',#411641,10.); #120865=VECTOR('',#411642,10.); #120866=VECTOR('',#411645,10.); #120867=VECTOR('',#411646,10.); #120868=VECTOR('',#411647,10.); #120869=VECTOR('',#411650,10.); #120870=VECTOR('',#411651,10.); #120871=VECTOR('',#411652,10.); #120872=VECTOR('',#411655,10.); #120873=VECTOR('',#411656,10.); #120874=VECTOR('',#411657,10.); #120875=VECTOR('',#411660,10.); #120876=VECTOR('',#411661,10.); #120877=VECTOR('',#411662,10.); #120878=VECTOR('',#411665,10.); #120879=VECTOR('',#411666,10.); #120880=VECTOR('',#411667,10.); #120881=VECTOR('',#411670,10.); #120882=VECTOR('',#411671,10.); #120883=VECTOR('',#411672,10.); #120884=VECTOR('',#411675,10.); #120885=VECTOR('',#411676,10.); #120886=VECTOR('',#411677,10.); #120887=VECTOR('',#411680,10.); #120888=VECTOR('',#411681,10.); #120889=VECTOR('',#411682,10.); #120890=VECTOR('',#411685,10.); #120891=VECTOR('',#411686,10.); #120892=VECTOR('',#411687,10.); #120893=VECTOR('',#411690,10.); #120894=VECTOR('',#411691,10.); #120895=VECTOR('',#411692,10.); #120896=VECTOR('',#411695,10.); #120897=VECTOR('',#411696,10.); #120898=VECTOR('',#411697,10.); #120899=VECTOR('',#411700,10.); #120900=VECTOR('',#411701,10.); #120901=VECTOR('',#411702,10.); #120902=VECTOR('',#411705,10.); #120903=VECTOR('',#411706,10.); #120904=VECTOR('',#411707,10.); #120905=VECTOR('',#411710,10.); #120906=VECTOR('',#411711,10.); #120907=VECTOR('',#411712,10.); #120908=VECTOR('',#411715,10.); #120909=VECTOR('',#411716,10.); #120910=VECTOR('',#411717,10.); #120911=VECTOR('',#411720,10.); #120912=VECTOR('',#411721,10.); #120913=VECTOR('',#411722,10.); #120914=VECTOR('',#411725,10.); #120915=VECTOR('',#411726,10.); #120916=VECTOR('',#411727,10.); #120917=VECTOR('',#411730,10.); #120918=VECTOR('',#411731,10.); #120919=VECTOR('',#411732,10.); #120920=VECTOR('',#411735,10.); #120921=VECTOR('',#411736,10.); #120922=VECTOR('',#411737,10.); #120923=VECTOR('',#411740,10.); #120924=VECTOR('',#411741,10.); #120925=VECTOR('',#411742,10.); #120926=VECTOR('',#411745,10.); #120927=VECTOR('',#411746,10.); #120928=VECTOR('',#411749,10.); #120929=VECTOR('',#411750,10.); #120930=VECTOR('',#411751,10.); #120931=VECTOR('',#411752,10.); #120932=VECTOR('',#411755,10.); #120933=VECTOR('',#411756,10.); #120934=VECTOR('',#411757,10.); #120935=VECTOR('',#411760,10.); #120936=VECTOR('',#411761,10.); #120937=VECTOR('',#411762,10.); #120938=VECTOR('',#411765,10.); #120939=VECTOR('',#411766,10.); #120940=VECTOR('',#411767,10.); #120941=VECTOR('',#411770,10.); #120942=VECTOR('',#411771,10.); #120943=VECTOR('',#411772,10.); #120944=VECTOR('',#411775,10.); #120945=VECTOR('',#411776,10.); #120946=VECTOR('',#411777,10.); #120947=VECTOR('',#411780,10.); #120948=VECTOR('',#411781,10.); #120949=VECTOR('',#411782,10.); #120950=VECTOR('',#411785,10.); #120951=VECTOR('',#411786,10.); #120952=VECTOR('',#411787,10.); #120953=VECTOR('',#411790,10.); #120954=VECTOR('',#411791,10.); #120955=VECTOR('',#411792,10.); #120956=VECTOR('',#411795,10.); #120957=VECTOR('',#411796,10.); #120958=VECTOR('',#411797,10.); #120959=VECTOR('',#411800,10.); #120960=VECTOR('',#411801,10.); #120961=VECTOR('',#411802,10.); #120962=VECTOR('',#411805,10.); #120963=VECTOR('',#411806,10.); #120964=VECTOR('',#411807,10.); #120965=VECTOR('',#411810,10.); #120966=VECTOR('',#411811,10.); #120967=VECTOR('',#411812,10.); #120968=VECTOR('',#411815,10.); #120969=VECTOR('',#411816,10.); #120970=VECTOR('',#411817,10.); #120971=VECTOR('',#411820,10.); #120972=VECTOR('',#411821,10.); #120973=VECTOR('',#411822,10.); #120974=VECTOR('',#411825,10.); #120975=VECTOR('',#411826,10.); #120976=VECTOR('',#411827,10.); #120977=VECTOR('',#411830,10.); #120978=VECTOR('',#411831,10.); #120979=VECTOR('',#411832,10.); #120980=VECTOR('',#411835,10.); #120981=VECTOR('',#411836,10.); #120982=VECTOR('',#411837,10.); #120983=VECTOR('',#411840,10.); #120984=VECTOR('',#411841,10.); #120985=VECTOR('',#411842,10.); #120986=VECTOR('',#411845,10.); #120987=VECTOR('',#411846,10.); #120988=VECTOR('',#411847,10.); #120989=VECTOR('',#411850,10.); #120990=VECTOR('',#411851,10.); #120991=VECTOR('',#411852,10.); #120992=VECTOR('',#411855,10.); #120993=VECTOR('',#411856,10.); #120994=VECTOR('',#411857,10.); #120995=VECTOR('',#411860,10.); #120996=VECTOR('',#411861,10.); #120997=VECTOR('',#411862,10.); #120998=VECTOR('',#411865,10.); #120999=VECTOR('',#411866,10.); #121000=VECTOR('',#411867,10.); #121001=VECTOR('',#411870,10.); #121002=VECTOR('',#411871,10.); #121003=VECTOR('',#411872,10.); #121004=VECTOR('',#411875,10.); #121005=VECTOR('',#411876,10.); #121006=VECTOR('',#411877,10.); #121007=VECTOR('',#411880,10.); #121008=VECTOR('',#411881,10.); #121009=VECTOR('',#411882,10.); #121010=VECTOR('',#411885,10.); #121011=VECTOR('',#411886,10.); #121012=VECTOR('',#411887,10.); #121013=VECTOR('',#411890,10.); #121014=VECTOR('',#411891,10.); #121015=VECTOR('',#411892,10.); #121016=VECTOR('',#411895,10.); #121017=VECTOR('',#411896,10.); #121018=VECTOR('',#411897,10.); #121019=VECTOR('',#411900,10.); #121020=VECTOR('',#411901,10.); #121021=VECTOR('',#411902,10.); #121022=VECTOR('',#411905,10.); #121023=VECTOR('',#411906,10.); #121024=VECTOR('',#411907,10.); #121025=VECTOR('',#411910,10.); #121026=VECTOR('',#411911,10.); #121027=VECTOR('',#411912,10.); #121028=VECTOR('',#411915,10.); #121029=VECTOR('',#411916,10.); #121030=VECTOR('',#411919,10.); #121031=VECTOR('',#411920,10.); #121032=VECTOR('',#411921,10.); #121033=VECTOR('',#411922,10.); #121034=VECTOR('',#411925,10.); #121035=VECTOR('',#411926,10.); #121036=VECTOR('',#411927,10.); #121037=VECTOR('',#411930,10.); #121038=VECTOR('',#411931,10.); #121039=VECTOR('',#411932,10.); #121040=VECTOR('',#411935,10.); #121041=VECTOR('',#411936,10.); #121042=VECTOR('',#411937,10.); #121043=VECTOR('',#411940,10.); #121044=VECTOR('',#411941,10.); #121045=VECTOR('',#411942,10.); #121046=VECTOR('',#411945,10.); #121047=VECTOR('',#411946,10.); #121048=VECTOR('',#411947,10.); #121049=VECTOR('',#411950,10.); #121050=VECTOR('',#411951,10.); #121051=VECTOR('',#411952,10.); #121052=VECTOR('',#411955,10.); #121053=VECTOR('',#411956,10.); #121054=VECTOR('',#411957,10.); #121055=VECTOR('',#411960,10.); #121056=VECTOR('',#411961,10.); #121057=VECTOR('',#411962,10.); #121058=VECTOR('',#411965,10.); #121059=VECTOR('',#411966,10.); #121060=VECTOR('',#411967,10.); #121061=VECTOR('',#411970,10.); #121062=VECTOR('',#411971,10.); #121063=VECTOR('',#411972,10.); #121064=VECTOR('',#411975,10.); #121065=VECTOR('',#411976,10.); #121066=VECTOR('',#411977,10.); #121067=VECTOR('',#411980,10.); #121068=VECTOR('',#411981,10.); #121069=VECTOR('',#411982,10.); #121070=VECTOR('',#411985,10.); #121071=VECTOR('',#411986,10.); #121072=VECTOR('',#411987,10.); #121073=VECTOR('',#411990,10.); #121074=VECTOR('',#411991,10.); #121075=VECTOR('',#411992,10.); #121076=VECTOR('',#411995,10.); #121077=VECTOR('',#411996,10.); #121078=VECTOR('',#411997,10.); #121079=VECTOR('',#412000,10.); #121080=VECTOR('',#412001,10.); #121081=VECTOR('',#412002,10.); #121082=VECTOR('',#412005,10.); #121083=VECTOR('',#412006,10.); #121084=VECTOR('',#412007,10.); #121085=VECTOR('',#412010,10.); #121086=VECTOR('',#412011,10.); #121087=VECTOR('',#412012,10.); #121088=VECTOR('',#412015,10.); #121089=VECTOR('',#412016,10.); #121090=VECTOR('',#412017,10.); #121091=VECTOR('',#412020,10.); #121092=VECTOR('',#412021,10.); #121093=VECTOR('',#412022,10.); #121094=VECTOR('',#412025,10.); #121095=VECTOR('',#412026,10.); #121096=VECTOR('',#412027,10.); #121097=VECTOR('',#412030,10.); #121098=VECTOR('',#412031,10.); #121099=VECTOR('',#412032,10.); #121100=VECTOR('',#412035,10.); #121101=VECTOR('',#412036,10.); #121102=VECTOR('',#412037,10.); #121103=VECTOR('',#412040,10.); #121104=VECTOR('',#412041,10.); #121105=VECTOR('',#412042,10.); #121106=VECTOR('',#412045,10.); #121107=VECTOR('',#412046,10.); #121108=VECTOR('',#412047,10.); #121109=VECTOR('',#412050,10.); #121110=VECTOR('',#412051,10.); #121111=VECTOR('',#412052,10.); #121112=VECTOR('',#412055,10.); #121113=VECTOR('',#412056,10.); #121114=VECTOR('',#412057,10.); #121115=VECTOR('',#412060,10.); #121116=VECTOR('',#412061,10.); #121117=VECTOR('',#412062,10.); #121118=VECTOR('',#412065,10.); #121119=VECTOR('',#412066,10.); #121120=VECTOR('',#412067,10.); #121121=VECTOR('',#412070,10.); #121122=VECTOR('',#412071,10.); #121123=VECTOR('',#412072,10.); #121124=VECTOR('',#412075,10.); #121125=VECTOR('',#412076,10.); #121126=VECTOR('',#412077,10.); #121127=VECTOR('',#412080,10.); #121128=VECTOR('',#412081,10.); #121129=VECTOR('',#412082,10.); #121130=VECTOR('',#412085,10.); #121131=VECTOR('',#412086,10.); #121132=VECTOR('',#412089,10.); #121133=VECTOR('',#412090,10.); #121134=VECTOR('',#412091,10.); #121135=VECTOR('',#412092,10.); #121136=VECTOR('',#412095,10.); #121137=VECTOR('',#412096,10.); #121138=VECTOR('',#412097,10.); #121139=VECTOR('',#412100,10.); #121140=VECTOR('',#412101,10.); #121141=VECTOR('',#412102,10.); #121142=VECTOR('',#412105,10.); #121143=VECTOR('',#412106,10.); #121144=VECTOR('',#412107,10.); #121145=VECTOR('',#412110,10.); #121146=VECTOR('',#412111,10.); #121147=VECTOR('',#412112,10.); #121148=VECTOR('',#412115,10.); #121149=VECTOR('',#412116,10.); #121150=VECTOR('',#412117,10.); #121151=VECTOR('',#412120,10.); #121152=VECTOR('',#412121,10.); #121153=VECTOR('',#412122,10.); #121154=VECTOR('',#412125,10.); #121155=VECTOR('',#412126,10.); #121156=VECTOR('',#412127,10.); #121157=VECTOR('',#412130,10.); #121158=VECTOR('',#412131,10.); #121159=VECTOR('',#412132,10.); #121160=VECTOR('',#412135,10.); #121161=VECTOR('',#412136,10.); #121162=VECTOR('',#412137,10.); #121163=VECTOR('',#412140,10.); #121164=VECTOR('',#412141,10.); #121165=VECTOR('',#412142,10.); #121166=VECTOR('',#412145,10.); #121167=VECTOR('',#412146,10.); #121168=VECTOR('',#412147,10.); #121169=VECTOR('',#412150,10.); #121170=VECTOR('',#412151,10.); #121171=VECTOR('',#412152,10.); #121172=VECTOR('',#412155,10.); #121173=VECTOR('',#412156,10.); #121174=VECTOR('',#412157,10.); #121175=VECTOR('',#412160,10.); #121176=VECTOR('',#412161,10.); #121177=VECTOR('',#412162,10.); #121178=VECTOR('',#412165,10.); #121179=VECTOR('',#412166,10.); #121180=VECTOR('',#412167,10.); #121181=VECTOR('',#412170,10.); #121182=VECTOR('',#412171,10.); #121183=VECTOR('',#412172,10.); #121184=VECTOR('',#412175,10.); #121185=VECTOR('',#412176,10.); #121186=VECTOR('',#412177,10.); #121187=VECTOR('',#412180,10.); #121188=VECTOR('',#412181,10.); #121189=VECTOR('',#412182,10.); #121190=VECTOR('',#412185,10.); #121191=VECTOR('',#412186,10.); #121192=VECTOR('',#412187,10.); #121193=VECTOR('',#412190,10.); #121194=VECTOR('',#412191,10.); #121195=VECTOR('',#412192,10.); #121196=VECTOR('',#412195,10.); #121197=VECTOR('',#412196,10.); #121198=VECTOR('',#412197,10.); #121199=VECTOR('',#412200,10.); #121200=VECTOR('',#412201,10.); #121201=VECTOR('',#412202,10.); #121202=VECTOR('',#412205,10.); #121203=VECTOR('',#412206,10.); #121204=VECTOR('',#412207,10.); #121205=VECTOR('',#412210,10.); #121206=VECTOR('',#412211,10.); #121207=VECTOR('',#412212,10.); #121208=VECTOR('',#412215,10.); #121209=VECTOR('',#412216,10.); #121210=VECTOR('',#412217,10.); #121211=VECTOR('',#412220,10.); #121212=VECTOR('',#412221,10.); #121213=VECTOR('',#412222,10.); #121214=VECTOR('',#412225,10.); #121215=VECTOR('',#412226,10.); #121216=VECTOR('',#412227,10.); #121217=VECTOR('',#412230,10.); #121218=VECTOR('',#412231,10.); #121219=VECTOR('',#412232,10.); #121220=VECTOR('',#412235,10.); #121221=VECTOR('',#412236,10.); #121222=VECTOR('',#412237,10.); #121223=VECTOR('',#412240,10.); #121224=VECTOR('',#412241,10.); #121225=VECTOR('',#412242,10.); #121226=VECTOR('',#412245,10.); #121227=VECTOR('',#412246,10.); #121228=VECTOR('',#412247,10.); #121229=VECTOR('',#412250,10.); #121230=VECTOR('',#412251,10.); #121231=VECTOR('',#412252,10.); #121232=VECTOR('',#412255,10.); #121233=VECTOR('',#412256,10.); #121234=VECTOR('',#412257,10.); #121235=VECTOR('',#412260,10.); #121236=VECTOR('',#412261,10.); #121237=VECTOR('',#412262,10.); #121238=VECTOR('',#412265,10.); #121239=VECTOR('',#412266,10.); #121240=VECTOR('',#412267,10.); #121241=VECTOR('',#412270,10.); #121242=VECTOR('',#412271,10.); #121243=VECTOR('',#412272,10.); #121244=VECTOR('',#412275,10.); #121245=VECTOR('',#412276,10.); #121246=VECTOR('',#412277,10.); #121247=VECTOR('',#412280,10.); #121248=VECTOR('',#412281,10.); #121249=VECTOR('',#412282,10.); #121250=VECTOR('',#412285,10.); #121251=VECTOR('',#412286,10.); #121252=VECTOR('',#412289,10.); #121253=VECTOR('',#412290,10.); #121254=VECTOR('',#412291,10.); #121255=VECTOR('',#412292,10.); #121256=VECTOR('',#412295,10.); #121257=VECTOR('',#412296,10.); #121258=VECTOR('',#412297,10.); #121259=VECTOR('',#412300,10.); #121260=VECTOR('',#412301,10.); #121261=VECTOR('',#412302,10.); #121262=VECTOR('',#412305,10.); #121263=VECTOR('',#412306,10.); #121264=VECTOR('',#412307,10.); #121265=VECTOR('',#412310,10.); #121266=VECTOR('',#412311,10.); #121267=VECTOR('',#412312,10.); #121268=VECTOR('',#412315,10.); #121269=VECTOR('',#412316,10.); #121270=VECTOR('',#412317,10.); #121271=VECTOR('',#412320,10.); #121272=VECTOR('',#412321,10.); #121273=VECTOR('',#412322,10.); #121274=VECTOR('',#412325,10.); #121275=VECTOR('',#412326,10.); #121276=VECTOR('',#412327,10.); #121277=VECTOR('',#412330,10.); #121278=VECTOR('',#412331,10.); #121279=VECTOR('',#412332,10.); #121280=VECTOR('',#412335,10.); #121281=VECTOR('',#412336,10.); #121282=VECTOR('',#412337,10.); #121283=VECTOR('',#412340,10.); #121284=VECTOR('',#412341,10.); #121285=VECTOR('',#412342,10.); #121286=VECTOR('',#412345,10.); #121287=VECTOR('',#412346,10.); #121288=VECTOR('',#412347,10.); #121289=VECTOR('',#412350,10.); #121290=VECTOR('',#412351,10.); #121291=VECTOR('',#412352,10.); #121292=VECTOR('',#412355,10.); #121293=VECTOR('',#412356,10.); #121294=VECTOR('',#412357,10.); #121295=VECTOR('',#412360,10.); #121296=VECTOR('',#412361,10.); #121297=VECTOR('',#412362,10.); #121298=VECTOR('',#412365,10.); #121299=VECTOR('',#412366,10.); #121300=VECTOR('',#412369,10.); #121301=VECTOR('',#412370,10.); #121302=VECTOR('',#412371,10.); #121303=VECTOR('',#412372,10.); #121304=VECTOR('',#412375,10.); #121305=VECTOR('',#412376,10.); #121306=VECTOR('',#412377,10.); #121307=VECTOR('',#412380,10.); #121308=VECTOR('',#412381,10.); #121309=VECTOR('',#412382,10.); #121310=VECTOR('',#412385,10.); #121311=VECTOR('',#412386,10.); #121312=VECTOR('',#412387,10.); #121313=VECTOR('',#412390,10.); #121314=VECTOR('',#412391,10.); #121315=VECTOR('',#412392,10.); #121316=VECTOR('',#412395,10.); #121317=VECTOR('',#412396,10.); #121318=VECTOR('',#412397,10.); #121319=VECTOR('',#412400,10.); #121320=VECTOR('',#412401,10.); #121321=VECTOR('',#412402,10.); #121322=VECTOR('',#412405,10.); #121323=VECTOR('',#412406,10.); #121324=VECTOR('',#412407,10.); #121325=VECTOR('',#412410,10.); #121326=VECTOR('',#412411,10.); #121327=VECTOR('',#412412,10.); #121328=VECTOR('',#412415,10.); #121329=VECTOR('',#412416,10.); #121330=VECTOR('',#412417,10.); #121331=VECTOR('',#412420,10.); #121332=VECTOR('',#412421,10.); #121333=VECTOR('',#412422,10.); #121334=VECTOR('',#412425,10.); #121335=VECTOR('',#412426,10.); #121336=VECTOR('',#412427,10.); #121337=VECTOR('',#412430,10.); #121338=VECTOR('',#412431,10.); #121339=VECTOR('',#412432,10.); #121340=VECTOR('',#412435,10.); #121341=VECTOR('',#412436,10.); #121342=VECTOR('',#412437,10.); #121343=VECTOR('',#412440,10.); #121344=VECTOR('',#412441,10.); #121345=VECTOR('',#412442,10.); #121346=VECTOR('',#412445,10.); #121347=VECTOR('',#412446,10.); #121348=VECTOR('',#412447,10.); #121349=VECTOR('',#412450,10.); #121350=VECTOR('',#412451,10.); #121351=VECTOR('',#412452,10.); #121352=VECTOR('',#412455,10.); #121353=VECTOR('',#412456,10.); #121354=VECTOR('',#412457,10.); #121355=VECTOR('',#412460,10.); #121356=VECTOR('',#412461,10.); #121357=VECTOR('',#412462,10.); #121358=VECTOR('',#412465,10.); #121359=VECTOR('',#412466,10.); #121360=VECTOR('',#412467,10.); #121361=VECTOR('',#412470,10.); #121362=VECTOR('',#412471,10.); #121363=VECTOR('',#412472,10.); #121364=VECTOR('',#412475,10.); #121365=VECTOR('',#412476,10.); #121366=VECTOR('',#412477,10.); #121367=VECTOR('',#412480,10.); #121368=VECTOR('',#412481,10.); #121369=VECTOR('',#412482,10.); #121370=VECTOR('',#412485,10.); #121371=VECTOR('',#412486,10.); #121372=VECTOR('',#412487,10.); #121373=VECTOR('',#412490,10.); #121374=VECTOR('',#412491,10.); #121375=VECTOR('',#412492,10.); #121376=VECTOR('',#412495,10.); #121377=VECTOR('',#412496,10.); #121378=VECTOR('',#412497,10.); #121379=VECTOR('',#412500,10.); #121380=VECTOR('',#412501,10.); #121381=VECTOR('',#412502,10.); #121382=VECTOR('',#412505,10.); #121383=VECTOR('',#412506,10.); #121384=VECTOR('',#412507,10.); #121385=VECTOR('',#412510,10.); #121386=VECTOR('',#412511,10.); #121387=VECTOR('',#412512,10.); #121388=VECTOR('',#412515,10.); #121389=VECTOR('',#412516,10.); #121390=VECTOR('',#412517,10.); #121391=VECTOR('',#412520,10.); #121392=VECTOR('',#412521,10.); #121393=VECTOR('',#412522,10.); #121394=VECTOR('',#412525,10.); #121395=VECTOR('',#412526,10.); #121396=VECTOR('',#412527,10.); #121397=VECTOR('',#412530,10.); #121398=VECTOR('',#412531,10.); #121399=VECTOR('',#412532,10.); #121400=VECTOR('',#412535,10.); #121401=VECTOR('',#412536,10.); #121402=VECTOR('',#412537,10.); #121403=VECTOR('',#412540,10.); #121404=VECTOR('',#412541,10.); #121405=VECTOR('',#412542,10.); #121406=VECTOR('',#412545,10.); #121407=VECTOR('',#412546,10.); #121408=VECTOR('',#412547,10.); #121409=VECTOR('',#412550,10.); #121410=VECTOR('',#412551,10.); #121411=VECTOR('',#412552,10.); #121412=VECTOR('',#412555,10.); #121413=VECTOR('',#412556,10.); #121414=VECTOR('',#412557,10.); #121415=VECTOR('',#412560,10.); #121416=VECTOR('',#412561,10.); #121417=VECTOR('',#412562,10.); #121418=VECTOR('',#412565,10.); #121419=VECTOR('',#412566,10.); #121420=VECTOR('',#412567,10.); #121421=VECTOR('',#412570,10.); #121422=VECTOR('',#412571,10.); #121423=VECTOR('',#412572,10.); #121424=VECTOR('',#412575,10.); #121425=VECTOR('',#412576,10.); #121426=VECTOR('',#412577,10.); #121427=VECTOR('',#412580,10.); #121428=VECTOR('',#412581,10.); #121429=VECTOR('',#412582,10.); #121430=VECTOR('',#412585,10.); #121431=VECTOR('',#412586,10.); #121432=VECTOR('',#412587,10.); #121433=VECTOR('',#412590,10.); #121434=VECTOR('',#412591,10.); #121435=VECTOR('',#412592,10.); #121436=VECTOR('',#412595,10.); #121437=VECTOR('',#412596,10.); #121438=VECTOR('',#412597,10.); #121439=VECTOR('',#412600,10.); #121440=VECTOR('',#412601,10.); #121441=VECTOR('',#412602,10.); #121442=VECTOR('',#412605,10.); #121443=VECTOR('',#412606,10.); #121444=VECTOR('',#412607,10.); #121445=VECTOR('',#412610,10.); #121446=VECTOR('',#412611,10.); #121447=VECTOR('',#412612,10.); #121448=VECTOR('',#412615,10.); #121449=VECTOR('',#412616,10.); #121450=VECTOR('',#412617,10.); #121451=VECTOR('',#412620,10.); #121452=VECTOR('',#412621,10.); #121453=VECTOR('',#412622,10.); #121454=VECTOR('',#412625,10.); #121455=VECTOR('',#412626,10.); #121456=VECTOR('',#412627,10.); #121457=VECTOR('',#412630,10.); #121458=VECTOR('',#412631,10.); #121459=VECTOR('',#412632,10.); #121460=VECTOR('',#412635,10.); #121461=VECTOR('',#412636,10.); #121462=VECTOR('',#412637,10.); #121463=VECTOR('',#412640,10.); #121464=VECTOR('',#412641,10.); #121465=VECTOR('',#412642,10.); #121466=VECTOR('',#412645,10.); #121467=VECTOR('',#412646,10.); #121468=VECTOR('',#412647,10.); #121469=VECTOR('',#412650,10.); #121470=VECTOR('',#412651,10.); #121471=VECTOR('',#412652,10.); #121472=VECTOR('',#412655,10.); #121473=VECTOR('',#412656,10.); #121474=VECTOR('',#412657,10.); #121475=VECTOR('',#412660,10.); #121476=VECTOR('',#412661,10.); #121477=VECTOR('',#412662,10.); #121478=VECTOR('',#412665,10.); #121479=VECTOR('',#412666,10.); #121480=VECTOR('',#412667,10.); #121481=VECTOR('',#412670,10.); #121482=VECTOR('',#412671,10.); #121483=VECTOR('',#412672,10.); #121484=VECTOR('',#412675,10.); #121485=VECTOR('',#412676,10.); #121486=VECTOR('',#412677,10.); #121487=VECTOR('',#412680,10.); #121488=VECTOR('',#412681,10.); #121489=VECTOR('',#412682,10.); #121490=VECTOR('',#412685,10.); #121491=VECTOR('',#412686,10.); #121492=VECTOR('',#412687,10.); #121493=VECTOR('',#412690,10.); #121494=VECTOR('',#412691,10.); #121495=VECTOR('',#412692,10.); #121496=VECTOR('',#412695,10.); #121497=VECTOR('',#412696,10.); #121498=VECTOR('',#412697,10.); #121499=VECTOR('',#412700,10.); #121500=VECTOR('',#412701,10.); #121501=VECTOR('',#412702,10.); #121502=VECTOR('',#412705,10.); #121503=VECTOR('',#412706,10.); #121504=VECTOR('',#412707,10.); #121505=VECTOR('',#412710,10.); #121506=VECTOR('',#412711,10.); #121507=VECTOR('',#412712,10.); #121508=VECTOR('',#412715,10.); #121509=VECTOR('',#412716,10.); #121510=VECTOR('',#412717,10.); #121511=VECTOR('',#412720,10.); #121512=VECTOR('',#412721,10.); #121513=VECTOR('',#412722,10.); #121514=VECTOR('',#412725,10.); #121515=VECTOR('',#412726,10.); #121516=VECTOR('',#412727,10.); #121517=VECTOR('',#412730,10.); #121518=VECTOR('',#412731,10.); #121519=VECTOR('',#412732,10.); #121520=VECTOR('',#412735,10.); #121521=VECTOR('',#412736,10.); #121522=VECTOR('',#412737,10.); #121523=VECTOR('',#412740,10.); #121524=VECTOR('',#412741,10.); #121525=VECTOR('',#412742,10.); #121526=VECTOR('',#412745,10.); #121527=VECTOR('',#412746,10.); #121528=VECTOR('',#412747,10.); #121529=VECTOR('',#412750,10.); #121530=VECTOR('',#412751,10.); #121531=VECTOR('',#412752,10.); #121532=VECTOR('',#412755,10.); #121533=VECTOR('',#412756,10.); #121534=VECTOR('',#412757,10.); #121535=VECTOR('',#412760,10.); #121536=VECTOR('',#412761,10.); #121537=VECTOR('',#412762,10.); #121538=VECTOR('',#412765,10.); #121539=VECTOR('',#412766,10.); #121540=VECTOR('',#412767,10.); #121541=VECTOR('',#412770,10.); #121542=VECTOR('',#412771,10.); #121543=VECTOR('',#412772,10.); #121544=VECTOR('',#412775,10.); #121545=VECTOR('',#412776,10.); #121546=VECTOR('',#412777,10.); #121547=VECTOR('',#412780,10.); #121548=VECTOR('',#412781,10.); #121549=VECTOR('',#412782,10.); #121550=VECTOR('',#412785,10.); #121551=VECTOR('',#412786,10.); #121552=VECTOR('',#412787,10.); #121553=VECTOR('',#412790,10.); #121554=VECTOR('',#412791,10.); #121555=VECTOR('',#412792,10.); #121556=VECTOR('',#412795,10.); #121557=VECTOR('',#412796,10.); #121558=VECTOR('',#412797,10.); #121559=VECTOR('',#412800,10.); #121560=VECTOR('',#412801,10.); #121561=VECTOR('',#412802,10.); #121562=VECTOR('',#412805,10.); #121563=VECTOR('',#412806,10.); #121564=VECTOR('',#412807,10.); #121565=VECTOR('',#412810,10.); #121566=VECTOR('',#412811,10.); #121567=VECTOR('',#412812,10.); #121568=VECTOR('',#412815,10.); #121569=VECTOR('',#412816,10.); #121570=VECTOR('',#412817,10.); #121571=VECTOR('',#412820,10.); #121572=VECTOR('',#412821,10.); #121573=VECTOR('',#412822,10.); #121574=VECTOR('',#412825,10.); #121575=VECTOR('',#412826,10.); #121576=VECTOR('',#412827,10.); #121577=VECTOR('',#412830,10.); #121578=VECTOR('',#412831,10.); #121579=VECTOR('',#412832,10.); #121580=VECTOR('',#412835,10.); #121581=VECTOR('',#412836,10.); #121582=VECTOR('',#412837,10.); #121583=VECTOR('',#412840,10.); #121584=VECTOR('',#412841,10.); #121585=VECTOR('',#412842,10.); #121586=VECTOR('',#412845,10.); #121587=VECTOR('',#412846,10.); #121588=VECTOR('',#412847,10.); #121589=VECTOR('',#412850,10.); #121590=VECTOR('',#412851,10.); #121591=VECTOR('',#412852,10.); #121592=VECTOR('',#412855,10.); #121593=VECTOR('',#412856,10.); #121594=VECTOR('',#412857,10.); #121595=VECTOR('',#412860,10.); #121596=VECTOR('',#412861,10.); #121597=VECTOR('',#412862,10.); #121598=VECTOR('',#412865,10.); #121599=VECTOR('',#412866,10.); #121600=VECTOR('',#412867,10.); #121601=VECTOR('',#412870,10.); #121602=VECTOR('',#412871,10.); #121603=VECTOR('',#412872,10.); #121604=VECTOR('',#412875,10.); #121605=VECTOR('',#412876,10.); #121606=VECTOR('',#412877,10.); #121607=VECTOR('',#412880,10.); #121608=VECTOR('',#412881,10.); #121609=VECTOR('',#412882,10.); #121610=VECTOR('',#412885,10.); #121611=VECTOR('',#412886,10.); #121612=VECTOR('',#412887,10.); #121613=VECTOR('',#412890,10.); #121614=VECTOR('',#412891,10.); #121615=VECTOR('',#412892,10.); #121616=VECTOR('',#412895,10.); #121617=VECTOR('',#412896,10.); #121618=VECTOR('',#412897,10.); #121619=VECTOR('',#412900,10.); #121620=VECTOR('',#412901,10.); #121621=VECTOR('',#412902,10.); #121622=VECTOR('',#412905,10.); #121623=VECTOR('',#412906,10.); #121624=VECTOR('',#412907,10.); #121625=VECTOR('',#412910,10.); #121626=VECTOR('',#412911,10.); #121627=VECTOR('',#412912,10.); #121628=VECTOR('',#412915,10.); #121629=VECTOR('',#412916,10.); #121630=VECTOR('',#412917,10.); #121631=VECTOR('',#412920,10.); #121632=VECTOR('',#412921,10.); #121633=VECTOR('',#412922,10.); #121634=VECTOR('',#412925,10.); #121635=VECTOR('',#412926,10.); #121636=VECTOR('',#412927,10.); #121637=VECTOR('',#412930,10.); #121638=VECTOR('',#412931,10.); #121639=VECTOR('',#412932,10.); #121640=VECTOR('',#412935,10.); #121641=VECTOR('',#412936,10.); #121642=VECTOR('',#412937,10.); #121643=VECTOR('',#412940,10.); #121644=VECTOR('',#412941,10.); #121645=VECTOR('',#412942,10.); #121646=VECTOR('',#412945,10.); #121647=VECTOR('',#412946,10.); #121648=VECTOR('',#412947,10.); #121649=VECTOR('',#412950,10.); #121650=VECTOR('',#412951,10.); #121651=VECTOR('',#412952,10.); #121652=VECTOR('',#412955,10.); #121653=VECTOR('',#412956,10.); #121654=VECTOR('',#412957,10.); #121655=VECTOR('',#412960,10.); #121656=VECTOR('',#412961,10.); #121657=VECTOR('',#412962,10.); #121658=VECTOR('',#412965,10.); #121659=VECTOR('',#412966,10.); #121660=VECTOR('',#412967,10.); #121661=VECTOR('',#412970,10.); #121662=VECTOR('',#412971,10.); #121663=VECTOR('',#412972,10.); #121664=VECTOR('',#412975,10.); #121665=VECTOR('',#412976,10.); #121666=VECTOR('',#412977,10.); #121667=VECTOR('',#412980,10.); #121668=VECTOR('',#412981,10.); #121669=VECTOR('',#412982,10.); #121670=VECTOR('',#412985,10.); #121671=VECTOR('',#412986,10.); #121672=VECTOR('',#412987,10.); #121673=VECTOR('',#412990,10.); #121674=VECTOR('',#412991,10.); #121675=VECTOR('',#412992,10.); #121676=VECTOR('',#412995,10.); #121677=VECTOR('',#412996,10.); #121678=VECTOR('',#412997,10.); #121679=VECTOR('',#413000,10.); #121680=VECTOR('',#413001,10.); #121681=VECTOR('',#413002,10.); #121682=VECTOR('',#413005,10.); #121683=VECTOR('',#413006,10.); #121684=VECTOR('',#413007,10.); #121685=VECTOR('',#413010,10.); #121686=VECTOR('',#413011,10.); #121687=VECTOR('',#413012,10.); #121688=VECTOR('',#413015,10.); #121689=VECTOR('',#413016,10.); #121690=VECTOR('',#413017,10.); #121691=VECTOR('',#413020,10.); #121692=VECTOR('',#413021,10.); #121693=VECTOR('',#413022,10.); #121694=VECTOR('',#413025,10.); #121695=VECTOR('',#413026,10.); #121696=VECTOR('',#413027,10.); #121697=VECTOR('',#413030,10.); #121698=VECTOR('',#413031,10.); #121699=VECTOR('',#413032,10.); #121700=VECTOR('',#413035,10.); #121701=VECTOR('',#413036,10.); #121702=VECTOR('',#413037,10.); #121703=VECTOR('',#413040,10.); #121704=VECTOR('',#413041,10.); #121705=VECTOR('',#413042,10.); #121706=VECTOR('',#413045,10.); #121707=VECTOR('',#413046,10.); #121708=VECTOR('',#413047,10.); #121709=VECTOR('',#413050,10.); #121710=VECTOR('',#413051,10.); #121711=VECTOR('',#413052,10.); #121712=VECTOR('',#413055,10.); #121713=VECTOR('',#413056,10.); #121714=VECTOR('',#413057,10.); #121715=VECTOR('',#413060,10.); #121716=VECTOR('',#413061,10.); #121717=VECTOR('',#413062,10.); #121718=VECTOR('',#413065,10.); #121719=VECTOR('',#413066,10.); #121720=VECTOR('',#413067,10.); #121721=VECTOR('',#413070,10.); #121722=VECTOR('',#413071,10.); #121723=VECTOR('',#413072,10.); #121724=VECTOR('',#413075,10.); #121725=VECTOR('',#413076,10.); #121726=VECTOR('',#413077,10.); #121727=VECTOR('',#413080,10.); #121728=VECTOR('',#413081,10.); #121729=VECTOR('',#413082,10.); #121730=VECTOR('',#413085,10.); #121731=VECTOR('',#413086,10.); #121732=VECTOR('',#413087,10.); #121733=VECTOR('',#413090,10.); #121734=VECTOR('',#413091,10.); #121735=VECTOR('',#413092,10.); #121736=VECTOR('',#413095,10.); #121737=VECTOR('',#413096,10.); #121738=VECTOR('',#413097,10.); #121739=VECTOR('',#413100,10.); #121740=VECTOR('',#413101,10.); #121741=VECTOR('',#413102,10.); #121742=VECTOR('',#413105,10.); #121743=VECTOR('',#413106,10.); #121744=VECTOR('',#413107,10.); #121745=VECTOR('',#413110,10.); #121746=VECTOR('',#413111,10.); #121747=VECTOR('',#413112,10.); #121748=VECTOR('',#413115,10.); #121749=VECTOR('',#413116,10.); #121750=VECTOR('',#413117,10.); #121751=VECTOR('',#413120,10.); #121752=VECTOR('',#413121,10.); #121753=VECTOR('',#413122,10.); #121754=VECTOR('',#413125,10.); #121755=VECTOR('',#413126,10.); #121756=VECTOR('',#413127,10.); #121757=VECTOR('',#413130,10.); #121758=VECTOR('',#413131,10.); #121759=VECTOR('',#413132,10.); #121760=VECTOR('',#413135,10.); #121761=VECTOR('',#413136,10.); #121762=VECTOR('',#413137,10.); #121763=VECTOR('',#413140,10.); #121764=VECTOR('',#413141,10.); #121765=VECTOR('',#413142,10.); #121766=VECTOR('',#413145,10.); #121767=VECTOR('',#413146,10.); #121768=VECTOR('',#413147,10.); #121769=VECTOR('',#413150,10.); #121770=VECTOR('',#413151,10.); #121771=VECTOR('',#413152,10.); #121772=VECTOR('',#413155,10.); #121773=VECTOR('',#413156,10.); #121774=VECTOR('',#413157,10.); #121775=VECTOR('',#413160,10.); #121776=VECTOR('',#413161,10.); #121777=VECTOR('',#413162,10.); #121778=VECTOR('',#413165,10.); #121779=VECTOR('',#413166,10.); #121780=VECTOR('',#413167,10.); #121781=VECTOR('',#413170,10.); #121782=VECTOR('',#413171,10.); #121783=VECTOR('',#413172,10.); #121784=VECTOR('',#413175,10.); #121785=VECTOR('',#413176,10.); #121786=VECTOR('',#413177,10.); #121787=VECTOR('',#413180,10.); #121788=VECTOR('',#413181,10.); #121789=VECTOR('',#413182,10.); #121790=VECTOR('',#413185,10.); #121791=VECTOR('',#413186,10.); #121792=VECTOR('',#413187,10.); #121793=VECTOR('',#413190,10.); #121794=VECTOR('',#413191,10.); #121795=VECTOR('',#413192,10.); #121796=VECTOR('',#413195,10.); #121797=VECTOR('',#413196,10.); #121798=VECTOR('',#413197,10.); #121799=VECTOR('',#413200,10.); #121800=VECTOR('',#413201,10.); #121801=VECTOR('',#413202,10.); #121802=VECTOR('',#413205,10.); #121803=VECTOR('',#413206,10.); #121804=VECTOR('',#413207,10.); #121805=VECTOR('',#413210,10.); #121806=VECTOR('',#413211,10.); #121807=VECTOR('',#413212,10.); #121808=VECTOR('',#413215,10.); #121809=VECTOR('',#413216,10.); #121810=VECTOR('',#413217,10.); #121811=VECTOR('',#413220,10.); #121812=VECTOR('',#413221,10.); #121813=VECTOR('',#413222,10.); #121814=VECTOR('',#413225,10.); #121815=VECTOR('',#413226,10.); #121816=VECTOR('',#413227,10.); #121817=VECTOR('',#413230,10.); #121818=VECTOR('',#413231,10.); #121819=VECTOR('',#413232,10.); #121820=VECTOR('',#413235,10.); #121821=VECTOR('',#413236,10.); #121822=VECTOR('',#413237,10.); #121823=VECTOR('',#413240,10.); #121824=VECTOR('',#413241,10.); #121825=VECTOR('',#413242,10.); #121826=VECTOR('',#413245,10.); #121827=VECTOR('',#413246,10.); #121828=VECTOR('',#413247,10.); #121829=VECTOR('',#413250,10.); #121830=VECTOR('',#413251,10.); #121831=VECTOR('',#413252,10.); #121832=VECTOR('',#413255,10.); #121833=VECTOR('',#413256,10.); #121834=VECTOR('',#413257,10.); #121835=VECTOR('',#413260,10.); #121836=VECTOR('',#413261,10.); #121837=VECTOR('',#413262,10.); #121838=VECTOR('',#413265,10.); #121839=VECTOR('',#413266,10.); #121840=VECTOR('',#413267,10.); #121841=VECTOR('',#413270,10.); #121842=VECTOR('',#413271,10.); #121843=VECTOR('',#413272,10.); #121844=VECTOR('',#413275,10.); #121845=VECTOR('',#413276,10.); #121846=VECTOR('',#413277,10.); #121847=VECTOR('',#413280,10.); #121848=VECTOR('',#413281,10.); #121849=VECTOR('',#413282,10.); #121850=VECTOR('',#413285,10.); #121851=VECTOR('',#413286,10.); #121852=VECTOR('',#413287,10.); #121853=VECTOR('',#413290,10.); #121854=VECTOR('',#413291,10.); #121855=VECTOR('',#413292,10.); #121856=VECTOR('',#413295,10.); #121857=VECTOR('',#413296,10.); #121858=VECTOR('',#413297,10.); #121859=VECTOR('',#413300,10.); #121860=VECTOR('',#413301,10.); #121861=VECTOR('',#413302,10.); #121862=VECTOR('',#413305,10.); #121863=VECTOR('',#413306,10.); #121864=VECTOR('',#413307,10.); #121865=VECTOR('',#413310,10.); #121866=VECTOR('',#413311,10.); #121867=VECTOR('',#413312,10.); #121868=VECTOR('',#413315,10.); #121869=VECTOR('',#413316,10.); #121870=VECTOR('',#413317,10.); #121871=VECTOR('',#413320,10.); #121872=VECTOR('',#413321,10.); #121873=VECTOR('',#413322,10.); #121874=VECTOR('',#413325,10.); #121875=VECTOR('',#413326,10.); #121876=VECTOR('',#413327,10.); #121877=VECTOR('',#413330,10.); #121878=VECTOR('',#413331,10.); #121879=VECTOR('',#413332,10.); #121880=VECTOR('',#413335,10.); #121881=VECTOR('',#413336,10.); #121882=VECTOR('',#413337,10.); #121883=VECTOR('',#413340,10.); #121884=VECTOR('',#413341,10.); #121885=VECTOR('',#413342,10.); #121886=VECTOR('',#413345,10.); #121887=VECTOR('',#413346,10.); #121888=VECTOR('',#413347,10.); #121889=VECTOR('',#413350,10.); #121890=VECTOR('',#413351,10.); #121891=VECTOR('',#413352,10.); #121892=VECTOR('',#413355,10.); #121893=VECTOR('',#413356,10.); #121894=VECTOR('',#413357,10.); #121895=VECTOR('',#413360,10.); #121896=VECTOR('',#413361,10.); #121897=VECTOR('',#413362,10.); #121898=VECTOR('',#413365,10.); #121899=VECTOR('',#413366,10.); #121900=VECTOR('',#413367,10.); #121901=VECTOR('',#413370,10.); #121902=VECTOR('',#413371,10.); #121903=VECTOR('',#413372,10.); #121904=VECTOR('',#413375,10.); #121905=VECTOR('',#413376,10.); #121906=VECTOR('',#413377,10.); #121907=VECTOR('',#413380,10.); #121908=VECTOR('',#413381,10.); #121909=VECTOR('',#413382,10.); #121910=VECTOR('',#413385,10.); #121911=VECTOR('',#413386,10.); #121912=VECTOR('',#413387,10.); #121913=VECTOR('',#413390,10.); #121914=VECTOR('',#413391,10.); #121915=VECTOR('',#413392,10.); #121916=VECTOR('',#413395,10.); #121917=VECTOR('',#413396,10.); #121918=VECTOR('',#413397,10.); #121919=VECTOR('',#413400,10.); #121920=VECTOR('',#413401,10.); #121921=VECTOR('',#413402,10.); #121922=VECTOR('',#413405,10.); #121923=VECTOR('',#413406,10.); #121924=VECTOR('',#413407,10.); #121925=VECTOR('',#413410,10.); #121926=VECTOR('',#413411,10.); #121927=VECTOR('',#413412,10.); #121928=VECTOR('',#413415,10.); #121929=VECTOR('',#413416,10.); #121930=VECTOR('',#413417,10.); #121931=VECTOR('',#413420,10.); #121932=VECTOR('',#413421,10.); #121933=VECTOR('',#413422,10.); #121934=VECTOR('',#413425,10.); #121935=VECTOR('',#413426,10.); #121936=VECTOR('',#413427,10.); #121937=VECTOR('',#413430,10.); #121938=VECTOR('',#413431,10.); #121939=VECTOR('',#413432,10.); #121940=VECTOR('',#413435,10.); #121941=VECTOR('',#413436,10.); #121942=VECTOR('',#413437,10.); #121943=VECTOR('',#413440,10.); #121944=VECTOR('',#413441,10.); #121945=VECTOR('',#413442,10.); #121946=VECTOR('',#413445,10.); #121947=VECTOR('',#413446,10.); #121948=VECTOR('',#413447,10.); #121949=VECTOR('',#413450,10.); #121950=VECTOR('',#413451,10.); #121951=VECTOR('',#413452,10.); #121952=VECTOR('',#413455,10.); #121953=VECTOR('',#413456,10.); #121954=VECTOR('',#413457,10.); #121955=VECTOR('',#413460,10.); #121956=VECTOR('',#413461,10.); #121957=VECTOR('',#413462,10.); #121958=VECTOR('',#413465,10.); #121959=VECTOR('',#413466,10.); #121960=VECTOR('',#413467,10.); #121961=VECTOR('',#413470,10.); #121962=VECTOR('',#413471,10.); #121963=VECTOR('',#413472,10.); #121964=VECTOR('',#413475,10.); #121965=VECTOR('',#413476,10.); #121966=VECTOR('',#413477,10.); #121967=VECTOR('',#413480,10.); #121968=VECTOR('',#413481,10.); #121969=VECTOR('',#413482,10.); #121970=VECTOR('',#413485,10.); #121971=VECTOR('',#413486,10.); #121972=VECTOR('',#413487,10.); #121973=VECTOR('',#413490,10.); #121974=VECTOR('',#413491,10.); #121975=VECTOR('',#413492,10.); #121976=VECTOR('',#413495,10.); #121977=VECTOR('',#413496,10.); #121978=VECTOR('',#413497,10.); #121979=VECTOR('',#413500,10.); #121980=VECTOR('',#413501,10.); #121981=VECTOR('',#413502,10.); #121982=VECTOR('',#413505,10.); #121983=VECTOR('',#413506,10.); #121984=VECTOR('',#413507,10.); #121985=VECTOR('',#413510,10.); #121986=VECTOR('',#413511,10.); #121987=VECTOR('',#413512,10.); #121988=VECTOR('',#413515,10.); #121989=VECTOR('',#413516,10.); #121990=VECTOR('',#413517,10.); #121991=VECTOR('',#413520,10.); #121992=VECTOR('',#413521,10.); #121993=VECTOR('',#413522,10.); #121994=VECTOR('',#413525,10.); #121995=VECTOR('',#413526,10.); #121996=VECTOR('',#413527,10.); #121997=VECTOR('',#413530,10.); #121998=VECTOR('',#413531,10.); #121999=VECTOR('',#413532,10.); #122000=VECTOR('',#413535,10.); #122001=VECTOR('',#413536,10.); #122002=VECTOR('',#413537,10.); #122003=VECTOR('',#413540,10.); #122004=VECTOR('',#413541,10.); #122005=VECTOR('',#413542,10.); #122006=VECTOR('',#413545,10.); #122007=VECTOR('',#413546,10.); #122008=VECTOR('',#413547,10.); #122009=VECTOR('',#413550,10.); #122010=VECTOR('',#413551,10.); #122011=VECTOR('',#413552,10.); #122012=VECTOR('',#413555,10.); #122013=VECTOR('',#413556,10.); #122014=VECTOR('',#413557,10.); #122015=VECTOR('',#413560,10.); #122016=VECTOR('',#413561,10.); #122017=VECTOR('',#413562,10.); #122018=VECTOR('',#413565,10.); #122019=VECTOR('',#413566,10.); #122020=VECTOR('',#413567,10.); #122021=VECTOR('',#413570,10.); #122022=VECTOR('',#413571,10.); #122023=VECTOR('',#413572,10.); #122024=VECTOR('',#413575,10.); #122025=VECTOR('',#413576,10.); #122026=VECTOR('',#413577,10.); #122027=VECTOR('',#413580,10.); #122028=VECTOR('',#413581,10.); #122029=VECTOR('',#413582,10.); #122030=VECTOR('',#413585,10.); #122031=VECTOR('',#413586,10.); #122032=VECTOR('',#413587,10.); #122033=VECTOR('',#413590,10.); #122034=VECTOR('',#413591,10.); #122035=VECTOR('',#413592,10.); #122036=VECTOR('',#413595,10.); #122037=VECTOR('',#413596,10.); #122038=VECTOR('',#413597,10.); #122039=VECTOR('',#413600,10.); #122040=VECTOR('',#413601,10.); #122041=VECTOR('',#413602,10.); #122042=VECTOR('',#413605,10.); #122043=VECTOR('',#413606,10.); #122044=VECTOR('',#413607,10.); #122045=VECTOR('',#413610,10.); #122046=VECTOR('',#413611,10.); #122047=VECTOR('',#413612,10.); #122048=VECTOR('',#413615,10.); #122049=VECTOR('',#413616,10.); #122050=VECTOR('',#413617,10.); #122051=VECTOR('',#413620,10.); #122052=VECTOR('',#413621,10.); #122053=VECTOR('',#413622,10.); #122054=VECTOR('',#413625,10.); #122055=VECTOR('',#413626,10.); #122056=VECTOR('',#413627,10.); #122057=VECTOR('',#413630,10.); #122058=VECTOR('',#413631,10.); #122059=VECTOR('',#413632,10.); #122060=VECTOR('',#413635,10.); #122061=VECTOR('',#413636,10.); #122062=VECTOR('',#413637,10.); #122063=VECTOR('',#413640,10.); #122064=VECTOR('',#413641,10.); #122065=VECTOR('',#413642,10.); #122066=VECTOR('',#413645,10.); #122067=VECTOR('',#413646,10.); #122068=VECTOR('',#413647,10.); #122069=VECTOR('',#413650,10.); #122070=VECTOR('',#413651,10.); #122071=VECTOR('',#413652,10.); #122072=VECTOR('',#413655,10.); #122073=VECTOR('',#413656,10.); #122074=VECTOR('',#413657,10.); #122075=VECTOR('',#413660,10.); #122076=VECTOR('',#413661,10.); #122077=VECTOR('',#413662,10.); #122078=VECTOR('',#413665,10.); #122079=VECTOR('',#413666,10.); #122080=VECTOR('',#413667,10.); #122081=VECTOR('',#413670,10.); #122082=VECTOR('',#413671,10.); #122083=VECTOR('',#413672,10.); #122084=VECTOR('',#413675,10.); #122085=VECTOR('',#413676,10.); #122086=VECTOR('',#413677,10.); #122087=VECTOR('',#413680,10.); #122088=VECTOR('',#413681,10.); #122089=VECTOR('',#413682,10.); #122090=VECTOR('',#413685,10.); #122091=VECTOR('',#413686,10.); #122092=VECTOR('',#413687,10.); #122093=VECTOR('',#413690,10.); #122094=VECTOR('',#413691,10.); #122095=VECTOR('',#413692,10.); #122096=VECTOR('',#413695,10.); #122097=VECTOR('',#413696,10.); #122098=VECTOR('',#413697,10.); #122099=VECTOR('',#413700,10.); #122100=VECTOR('',#413701,10.); #122101=VECTOR('',#413702,10.); #122102=VECTOR('',#413705,10.); #122103=VECTOR('',#413706,10.); #122104=VECTOR('',#413707,10.); #122105=VECTOR('',#413710,10.); #122106=VECTOR('',#413711,10.); #122107=VECTOR('',#413712,10.); #122108=VECTOR('',#413715,10.); #122109=VECTOR('',#413716,10.); #122110=VECTOR('',#413717,10.); #122111=VECTOR('',#413720,10.); #122112=VECTOR('',#413721,10.); #122113=VECTOR('',#413722,10.); #122114=VECTOR('',#413725,10.); #122115=VECTOR('',#413726,10.); #122116=VECTOR('',#413727,10.); #122117=VECTOR('',#413730,10.); #122118=VECTOR('',#413731,10.); #122119=VECTOR('',#413732,10.); #122120=VECTOR('',#413735,10.); #122121=VECTOR('',#413736,10.); #122122=VECTOR('',#413737,10.); #122123=VECTOR('',#413740,10.); #122124=VECTOR('',#413741,10.); #122125=VECTOR('',#413742,10.); #122126=VECTOR('',#413745,10.); #122127=VECTOR('',#413746,10.); #122128=VECTOR('',#413747,10.); #122129=VECTOR('',#413750,10.); #122130=VECTOR('',#413751,10.); #122131=VECTOR('',#413752,10.); #122132=VECTOR('',#413755,10.); #122133=VECTOR('',#413756,10.); #122134=VECTOR('',#413757,10.); #122135=VECTOR('',#413760,10.); #122136=VECTOR('',#413761,10.); #122137=VECTOR('',#413762,10.); #122138=VECTOR('',#413765,10.); #122139=VECTOR('',#413766,10.); #122140=VECTOR('',#413767,10.); #122141=VECTOR('',#413770,10.); #122142=VECTOR('',#413771,10.); #122143=VECTOR('',#413772,10.); #122144=VECTOR('',#413775,10.); #122145=VECTOR('',#413776,10.); #122146=VECTOR('',#413777,10.); #122147=VECTOR('',#413780,10.); #122148=VECTOR('',#413781,10.); #122149=VECTOR('',#413782,10.); #122150=VECTOR('',#413785,10.); #122151=VECTOR('',#413786,10.); #122152=VECTOR('',#413787,10.); #122153=VECTOR('',#413790,10.); #122154=VECTOR('',#413791,10.); #122155=VECTOR('',#413792,10.); #122156=VECTOR('',#413795,10.); #122157=VECTOR('',#413796,10.); #122158=VECTOR('',#413797,10.); #122159=VECTOR('',#413800,10.); #122160=VECTOR('',#413801,10.); #122161=VECTOR('',#413802,10.); #122162=VECTOR('',#413805,10.); #122163=VECTOR('',#413806,10.); #122164=VECTOR('',#413807,10.); #122165=VECTOR('',#413810,10.); #122166=VECTOR('',#413811,10.); #122167=VECTOR('',#413812,10.); #122168=VECTOR('',#413815,10.); #122169=VECTOR('',#413816,10.); #122170=VECTOR('',#413817,10.); #122171=VECTOR('',#413820,10.); #122172=VECTOR('',#413821,10.); #122173=VECTOR('',#413822,10.); #122174=VECTOR('',#413825,10.); #122175=VECTOR('',#413826,10.); #122176=VECTOR('',#413827,10.); #122177=VECTOR('',#413830,10.); #122178=VECTOR('',#413831,10.); #122179=VECTOR('',#413832,10.); #122180=VECTOR('',#413835,10.); #122181=VECTOR('',#413836,10.); #122182=VECTOR('',#413837,10.); #122183=VECTOR('',#413840,10.); #122184=VECTOR('',#413841,10.); #122185=VECTOR('',#413842,10.); #122186=VECTOR('',#413845,10.); #122187=VECTOR('',#413846,10.); #122188=VECTOR('',#413847,10.); #122189=VECTOR('',#413850,10.); #122190=VECTOR('',#413851,10.); #122191=VECTOR('',#413852,10.); #122192=VECTOR('',#413855,10.); #122193=VECTOR('',#413856,10.); #122194=VECTOR('',#413857,10.); #122195=VECTOR('',#413860,10.); #122196=VECTOR('',#413861,10.); #122197=VECTOR('',#413862,10.); #122198=VECTOR('',#413865,10.); #122199=VECTOR('',#413866,10.); #122200=VECTOR('',#413867,10.); #122201=VECTOR('',#413870,10.); #122202=VECTOR('',#413871,10.); #122203=VECTOR('',#413872,10.); #122204=VECTOR('',#413875,10.); #122205=VECTOR('',#413876,10.); #122206=VECTOR('',#413877,10.); #122207=VECTOR('',#413880,10.); #122208=VECTOR('',#413881,10.); #122209=VECTOR('',#413882,10.); #122210=VECTOR('',#413885,10.); #122211=VECTOR('',#413886,10.); #122212=VECTOR('',#413887,10.); #122213=VECTOR('',#413890,10.); #122214=VECTOR('',#413891,10.); #122215=VECTOR('',#413892,10.); #122216=VECTOR('',#413895,10.); #122217=VECTOR('',#413896,10.); #122218=VECTOR('',#413897,10.); #122219=VECTOR('',#413900,10.); #122220=VECTOR('',#413901,10.); #122221=VECTOR('',#413902,10.); #122222=VECTOR('',#413905,10.); #122223=VECTOR('',#413906,10.); #122224=VECTOR('',#413907,10.); #122225=VECTOR('',#413910,10.); #122226=VECTOR('',#413911,10.); #122227=VECTOR('',#413912,10.); #122228=VECTOR('',#413915,10.); #122229=VECTOR('',#413916,10.); #122230=VECTOR('',#413917,10.); #122231=VECTOR('',#413920,10.); #122232=VECTOR('',#413921,10.); #122233=VECTOR('',#413922,10.); #122234=VECTOR('',#413925,10.); #122235=VECTOR('',#413926,10.); #122236=VECTOR('',#413927,10.); #122237=VECTOR('',#413930,10.); #122238=VECTOR('',#413931,10.); #122239=VECTOR('',#413932,10.); #122240=VECTOR('',#413935,10.); #122241=VECTOR('',#413936,10.); #122242=VECTOR('',#413937,10.); #122243=VECTOR('',#413940,10.); #122244=VECTOR('',#413941,10.); #122245=VECTOR('',#413942,10.); #122246=VECTOR('',#413945,10.); #122247=VECTOR('',#413946,10.); #122248=VECTOR('',#413947,10.); #122249=VECTOR('',#413950,10.); #122250=VECTOR('',#413951,10.); #122251=VECTOR('',#413952,10.); #122252=VECTOR('',#413955,10.); #122253=VECTOR('',#413956,10.); #122254=VECTOR('',#413957,10.); #122255=VECTOR('',#413960,10.); #122256=VECTOR('',#413961,10.); #122257=VECTOR('',#413962,10.); #122258=VECTOR('',#413965,10.); #122259=VECTOR('',#413966,10.); #122260=VECTOR('',#413967,10.); #122261=VECTOR('',#413970,10.); #122262=VECTOR('',#413971,10.); #122263=VECTOR('',#413972,10.); #122264=VECTOR('',#413975,10.); #122265=VECTOR('',#413976,10.); #122266=VECTOR('',#413977,10.); #122267=VECTOR('',#413980,10.); #122268=VECTOR('',#413981,10.); #122269=VECTOR('',#413982,10.); #122270=VECTOR('',#413985,10.); #122271=VECTOR('',#413986,10.); #122272=VECTOR('',#413987,10.); #122273=VECTOR('',#413990,10.); #122274=VECTOR('',#413991,10.); #122275=VECTOR('',#413992,10.); #122276=VECTOR('',#413995,10.); #122277=VECTOR('',#413996,10.); #122278=VECTOR('',#413997,10.); #122279=VECTOR('',#414000,10.); #122280=VECTOR('',#414001,10.); #122281=VECTOR('',#414002,10.); #122282=VECTOR('',#414005,10.); #122283=VECTOR('',#414006,10.); #122284=VECTOR('',#414007,10.); #122285=VECTOR('',#414010,10.); #122286=VECTOR('',#414011,10.); #122287=VECTOR('',#414012,10.); #122288=VECTOR('',#414015,10.); #122289=VECTOR('',#414016,10.); #122290=VECTOR('',#414017,10.); #122291=VECTOR('',#414020,10.); #122292=VECTOR('',#414021,10.); #122293=VECTOR('',#414022,10.); #122294=VECTOR('',#414025,10.); #122295=VECTOR('',#414026,10.); #122296=VECTOR('',#414027,10.); #122297=VECTOR('',#414030,10.); #122298=VECTOR('',#414031,10.); #122299=VECTOR('',#414032,10.); #122300=VECTOR('',#414035,10.); #122301=VECTOR('',#414036,10.); #122302=VECTOR('',#414037,10.); #122303=VECTOR('',#414040,10.); #122304=VECTOR('',#414041,10.); #122305=VECTOR('',#414042,10.); #122306=VECTOR('',#414045,10.); #122307=VECTOR('',#414046,10.); #122308=VECTOR('',#414047,10.); #122309=VECTOR('',#414050,10.); #122310=VECTOR('',#414051,10.); #122311=VECTOR('',#414052,10.); #122312=VECTOR('',#414055,10.); #122313=VECTOR('',#414056,10.); #122314=VECTOR('',#414057,10.); #122315=VECTOR('',#414060,10.); #122316=VECTOR('',#414061,10.); #122317=VECTOR('',#414062,10.); #122318=VECTOR('',#414065,10.); #122319=VECTOR('',#414066,10.); #122320=VECTOR('',#414067,10.); #122321=VECTOR('',#414070,10.); #122322=VECTOR('',#414071,10.); #122323=VECTOR('',#414072,10.); #122324=VECTOR('',#414075,10.); #122325=VECTOR('',#414076,10.); #122326=VECTOR('',#414077,10.); #122327=VECTOR('',#414080,10.); #122328=VECTOR('',#414081,10.); #122329=VECTOR('',#414082,10.); #122330=VECTOR('',#414085,10.); #122331=VECTOR('',#414086,10.); #122332=VECTOR('',#414087,10.); #122333=VECTOR('',#414090,10.); #122334=VECTOR('',#414091,10.); #122335=VECTOR('',#414092,10.); #122336=VECTOR('',#414095,10.); #122337=VECTOR('',#414096,10.); #122338=VECTOR('',#414097,10.); #122339=VECTOR('',#414100,10.); #122340=VECTOR('',#414101,10.); #122341=VECTOR('',#414102,10.); #122342=VECTOR('',#414105,10.); #122343=VECTOR('',#414106,10.); #122344=VECTOR('',#414107,10.); #122345=VECTOR('',#414110,10.); #122346=VECTOR('',#414111,10.); #122347=VECTOR('',#414112,10.); #122348=VECTOR('',#414115,10.); #122349=VECTOR('',#414116,10.); #122350=VECTOR('',#414117,10.); #122351=VECTOR('',#414120,10.); #122352=VECTOR('',#414121,10.); #122353=VECTOR('',#414122,10.); #122354=VECTOR('',#414125,10.); #122355=VECTOR('',#414126,10.); #122356=VECTOR('',#414127,10.); #122357=VECTOR('',#414130,10.); #122358=VECTOR('',#414131,10.); #122359=VECTOR('',#414132,10.); #122360=VECTOR('',#414135,10.); #122361=VECTOR('',#414136,10.); #122362=VECTOR('',#414137,10.); #122363=VECTOR('',#414140,10.); #122364=VECTOR('',#414141,10.); #122365=VECTOR('',#414142,10.); #122366=VECTOR('',#414145,10.); #122367=VECTOR('',#414146,10.); #122368=VECTOR('',#414147,10.); #122369=VECTOR('',#414150,10.); #122370=VECTOR('',#414151,10.); #122371=VECTOR('',#414152,10.); #122372=VECTOR('',#414155,10.); #122373=VECTOR('',#414156,10.); #122374=VECTOR('',#414157,10.); #122375=VECTOR('',#414160,10.); #122376=VECTOR('',#414161,10.); #122377=VECTOR('',#414162,10.); #122378=VECTOR('',#414165,10.); #122379=VECTOR('',#414166,10.); #122380=VECTOR('',#414167,10.); #122381=VECTOR('',#414170,10.); #122382=VECTOR('',#414171,10.); #122383=VECTOR('',#414172,10.); #122384=VECTOR('',#414175,10.); #122385=VECTOR('',#414176,10.); #122386=VECTOR('',#414177,10.); #122387=VECTOR('',#414180,10.); #122388=VECTOR('',#414181,10.); #122389=VECTOR('',#414182,10.); #122390=VECTOR('',#414185,10.); #122391=VECTOR('',#414186,10.); #122392=VECTOR('',#414187,10.); #122393=VECTOR('',#414190,10.); #122394=VECTOR('',#414191,10.); #122395=VECTOR('',#414192,10.); #122396=VECTOR('',#414195,10.); #122397=VECTOR('',#414196,10.); #122398=VECTOR('',#414197,10.); #122399=VECTOR('',#414200,10.); #122400=VECTOR('',#414201,10.); #122401=VECTOR('',#414202,10.); #122402=VECTOR('',#414205,10.); #122403=VECTOR('',#414206,10.); #122404=VECTOR('',#414207,10.); #122405=VECTOR('',#414210,10.); #122406=VECTOR('',#414211,10.); #122407=VECTOR('',#414212,10.); #122408=VECTOR('',#414215,10.); #122409=VECTOR('',#414216,10.); #122410=VECTOR('',#414217,10.); #122411=VECTOR('',#414220,10.); #122412=VECTOR('',#414221,10.); #122413=VECTOR('',#414222,10.); #122414=VECTOR('',#414225,10.); #122415=VECTOR('',#414226,10.); #122416=VECTOR('',#414227,10.); #122417=VECTOR('',#414230,10.); #122418=VECTOR('',#414231,10.); #122419=VECTOR('',#414232,10.); #122420=VECTOR('',#414235,10.); #122421=VECTOR('',#414236,10.); #122422=VECTOR('',#414237,10.); #122423=VECTOR('',#414240,10.); #122424=VECTOR('',#414241,10.); #122425=VECTOR('',#414242,10.); #122426=VECTOR('',#414245,10.); #122427=VECTOR('',#414246,10.); #122428=VECTOR('',#414247,10.); #122429=VECTOR('',#414250,10.); #122430=VECTOR('',#414251,10.); #122431=VECTOR('',#414252,10.); #122432=VECTOR('',#414255,10.); #122433=VECTOR('',#414256,10.); #122434=VECTOR('',#414257,10.); #122435=VECTOR('',#414260,10.); #122436=VECTOR('',#414261,10.); #122437=VECTOR('',#414262,10.); #122438=VECTOR('',#414265,10.); #122439=VECTOR('',#414266,10.); #122440=VECTOR('',#414267,10.); #122441=VECTOR('',#414270,10.); #122442=VECTOR('',#414271,10.); #122443=VECTOR('',#414272,10.); #122444=VECTOR('',#414275,10.); #122445=VECTOR('',#414276,10.); #122446=VECTOR('',#414277,10.); #122447=VECTOR('',#414280,10.); #122448=VECTOR('',#414281,10.); #122449=VECTOR('',#414282,10.); #122450=VECTOR('',#414285,10.); #122451=VECTOR('',#414286,10.); #122452=VECTOR('',#414287,10.); #122453=VECTOR('',#414290,10.); #122454=VECTOR('',#414291,10.); #122455=VECTOR('',#414292,10.); #122456=VECTOR('',#414295,10.); #122457=VECTOR('',#414296,10.); #122458=VECTOR('',#414297,10.); #122459=VECTOR('',#414300,10.); #122460=VECTOR('',#414301,10.); #122461=VECTOR('',#414302,10.); #122462=VECTOR('',#414305,10.); #122463=VECTOR('',#414306,10.); #122464=VECTOR('',#414307,10.); #122465=VECTOR('',#414310,10.); #122466=VECTOR('',#414311,10.); #122467=VECTOR('',#414312,10.); #122468=VECTOR('',#414315,10.); #122469=VECTOR('',#414316,10.); #122470=VECTOR('',#414317,10.); #122471=VECTOR('',#414320,10.); #122472=VECTOR('',#414321,10.); #122473=VECTOR('',#414322,10.); #122474=VECTOR('',#414325,10.); #122475=VECTOR('',#414326,10.); #122476=VECTOR('',#414327,10.); #122477=VECTOR('',#414330,10.); #122478=VECTOR('',#414331,10.); #122479=VECTOR('',#414332,10.); #122480=VECTOR('',#414335,10.); #122481=VECTOR('',#414336,10.); #122482=VECTOR('',#414337,10.); #122483=VECTOR('',#414340,10.); #122484=VECTOR('',#414341,10.); #122485=VECTOR('',#414342,10.); #122486=VECTOR('',#414345,10.); #122487=VECTOR('',#414346,10.); #122488=VECTOR('',#414347,10.); #122489=VECTOR('',#414350,10.); #122490=VECTOR('',#414351,10.); #122491=VECTOR('',#414352,10.); #122492=VECTOR('',#414355,10.); #122493=VECTOR('',#414356,10.); #122494=VECTOR('',#414357,10.); #122495=VECTOR('',#414360,10.); #122496=VECTOR('',#414361,10.); #122497=VECTOR('',#414362,10.); #122498=VECTOR('',#414365,10.); #122499=VECTOR('',#414366,10.); #122500=VECTOR('',#414367,10.); #122501=VECTOR('',#414370,10.); #122502=VECTOR('',#414371,10.); #122503=VECTOR('',#414372,10.); #122504=VECTOR('',#414375,10.); #122505=VECTOR('',#414376,10.); #122506=VECTOR('',#414377,10.); #122507=VECTOR('',#414380,10.); #122508=VECTOR('',#414381,10.); #122509=VECTOR('',#414382,10.); #122510=VECTOR('',#414385,10.); #122511=VECTOR('',#414386,10.); #122512=VECTOR('',#414387,10.); #122513=VECTOR('',#414390,10.); #122514=VECTOR('',#414391,10.); #122515=VECTOR('',#414392,10.); #122516=VECTOR('',#414395,10.); #122517=VECTOR('',#414396,10.); #122518=VECTOR('',#414397,10.); #122519=VECTOR('',#414400,10.); #122520=VECTOR('',#414401,10.); #122521=VECTOR('',#414402,10.); #122522=VECTOR('',#414405,10.); #122523=VECTOR('',#414406,10.); #122524=VECTOR('',#414407,10.); #122525=VECTOR('',#414410,10.); #122526=VECTOR('',#414411,10.); #122527=VECTOR('',#414412,10.); #122528=VECTOR('',#414415,10.); #122529=VECTOR('',#414416,10.); #122530=VECTOR('',#414417,10.); #122531=VECTOR('',#414420,10.); #122532=VECTOR('',#414421,10.); #122533=VECTOR('',#414422,10.); #122534=VECTOR('',#414425,10.); #122535=VECTOR('',#414426,10.); #122536=VECTOR('',#414427,10.); #122537=VECTOR('',#414430,10.); #122538=VECTOR('',#414431,10.); #122539=VECTOR('',#414432,10.); #122540=VECTOR('',#414435,10.); #122541=VECTOR('',#414436,10.); #122542=VECTOR('',#414437,10.); #122543=VECTOR('',#414440,10.); #122544=VECTOR('',#414441,10.); #122545=VECTOR('',#414442,10.); #122546=VECTOR('',#414445,10.); #122547=VECTOR('',#414446,10.); #122548=VECTOR('',#414447,10.); #122549=VECTOR('',#414450,10.); #122550=VECTOR('',#414451,10.); #122551=VECTOR('',#414452,10.); #122552=VECTOR('',#414455,10.); #122553=VECTOR('',#414456,10.); #122554=VECTOR('',#414457,10.); #122555=VECTOR('',#414460,10.); #122556=VECTOR('',#414461,10.); #122557=VECTOR('',#414462,10.); #122558=VECTOR('',#414465,10.); #122559=VECTOR('',#414466,10.); #122560=VECTOR('',#414467,10.); #122561=VECTOR('',#414470,10.); #122562=VECTOR('',#414471,10.); #122563=VECTOR('',#414472,10.); #122564=VECTOR('',#414475,10.); #122565=VECTOR('',#414476,10.); #122566=VECTOR('',#414477,10.); #122567=VECTOR('',#414480,10.); #122568=VECTOR('',#414481,10.); #122569=VECTOR('',#414482,10.); #122570=VECTOR('',#414485,10.); #122571=VECTOR('',#414486,10.); #122572=VECTOR('',#414487,10.); #122573=VECTOR('',#414490,10.); #122574=VECTOR('',#414491,10.); #122575=VECTOR('',#414492,10.); #122576=VECTOR('',#414495,10.); #122577=VECTOR('',#414496,10.); #122578=VECTOR('',#414497,10.); #122579=VECTOR('',#414500,10.); #122580=VECTOR('',#414501,10.); #122581=VECTOR('',#414502,10.); #122582=VECTOR('',#414505,10.); #122583=VECTOR('',#414506,10.); #122584=VECTOR('',#414507,10.); #122585=VECTOR('',#414510,10.); #122586=VECTOR('',#414511,10.); #122587=VECTOR('',#414512,10.); #122588=VECTOR('',#414515,10.); #122589=VECTOR('',#414516,10.); #122590=VECTOR('',#414517,10.); #122591=VECTOR('',#414520,10.); #122592=VECTOR('',#414521,10.); #122593=VECTOR('',#414522,10.); #122594=VECTOR('',#414525,10.); #122595=VECTOR('',#414526,10.); #122596=VECTOR('',#414527,10.); #122597=VECTOR('',#414530,10.); #122598=VECTOR('',#414531,10.); #122599=VECTOR('',#414532,10.); #122600=VECTOR('',#414535,10.); #122601=VECTOR('',#414536,10.); #122602=VECTOR('',#414537,10.); #122603=VECTOR('',#414540,10.); #122604=VECTOR('',#414541,10.); #122605=VECTOR('',#414542,10.); #122606=VECTOR('',#414545,10.); #122607=VECTOR('',#414546,10.); #122608=VECTOR('',#414547,10.); #122609=VECTOR('',#414550,10.); #122610=VECTOR('',#414551,10.); #122611=VECTOR('',#414552,10.); #122612=VECTOR('',#414555,10.); #122613=VECTOR('',#414556,10.); #122614=VECTOR('',#414557,10.); #122615=VECTOR('',#414560,10.); #122616=VECTOR('',#414561,10.); #122617=VECTOR('',#414562,10.); #122618=VECTOR('',#414565,10.); #122619=VECTOR('',#414566,10.); #122620=VECTOR('',#414567,10.); #122621=VECTOR('',#414570,10.); #122622=VECTOR('',#414571,10.); #122623=VECTOR('',#414572,10.); #122624=VECTOR('',#414575,10.); #122625=VECTOR('',#414576,10.); #122626=VECTOR('',#414577,10.); #122627=VECTOR('',#414580,10.); #122628=VECTOR('',#414581,10.); #122629=VECTOR('',#414582,10.); #122630=VECTOR('',#414585,10.); #122631=VECTOR('',#414586,10.); #122632=VECTOR('',#414587,10.); #122633=VECTOR('',#414590,10.); #122634=VECTOR('',#414591,10.); #122635=VECTOR('',#414592,10.); #122636=VECTOR('',#414595,10.); #122637=VECTOR('',#414596,10.); #122638=VECTOR('',#414597,10.); #122639=VECTOR('',#414600,10.); #122640=VECTOR('',#414601,10.); #122641=VECTOR('',#414602,10.); #122642=VECTOR('',#414605,10.); #122643=VECTOR('',#414606,10.); #122644=VECTOR('',#414607,10.); #122645=VECTOR('',#414610,10.); #122646=VECTOR('',#414611,10.); #122647=VECTOR('',#414612,10.); #122648=VECTOR('',#414615,10.); #122649=VECTOR('',#414616,10.); #122650=VECTOR('',#414617,10.); #122651=VECTOR('',#414620,10.); #122652=VECTOR('',#414621,10.); #122653=VECTOR('',#414622,10.); #122654=VECTOR('',#414625,10.); #122655=VECTOR('',#414626,10.); #122656=VECTOR('',#414627,10.); #122657=VECTOR('',#414630,10.); #122658=VECTOR('',#414631,10.); #122659=VECTOR('',#414632,10.); #122660=VECTOR('',#414635,10.); #122661=VECTOR('',#414636,10.); #122662=VECTOR('',#414637,10.); #122663=VECTOR('',#414640,10.); #122664=VECTOR('',#414641,10.); #122665=VECTOR('',#414642,10.); #122666=VECTOR('',#414645,10.); #122667=VECTOR('',#414646,10.); #122668=VECTOR('',#414647,10.); #122669=VECTOR('',#414650,10.); #122670=VECTOR('',#414651,10.); #122671=VECTOR('',#414652,10.); #122672=VECTOR('',#414655,10.); #122673=VECTOR('',#414656,10.); #122674=VECTOR('',#414657,10.); #122675=VECTOR('',#414660,10.); #122676=VECTOR('',#414661,10.); #122677=VECTOR('',#414662,10.); #122678=VECTOR('',#414665,10.); #122679=VECTOR('',#414666,10.); #122680=VECTOR('',#414667,10.); #122681=VECTOR('',#414670,10.); #122682=VECTOR('',#414671,10.); #122683=VECTOR('',#414672,10.); #122684=VECTOR('',#414675,10.); #122685=VECTOR('',#414676,10.); #122686=VECTOR('',#414677,10.); #122687=VECTOR('',#414680,10.); #122688=VECTOR('',#414681,10.); #122689=VECTOR('',#414682,10.); #122690=VECTOR('',#414685,10.); #122691=VECTOR('',#414686,10.); #122692=VECTOR('',#414687,10.); #122693=VECTOR('',#414690,10.); #122694=VECTOR('',#414691,10.); #122695=VECTOR('',#414692,10.); #122696=VECTOR('',#414695,10.); #122697=VECTOR('',#414696,10.); #122698=VECTOR('',#414697,10.); #122699=VECTOR('',#414700,10.); #122700=VECTOR('',#414701,10.); #122701=VECTOR('',#414702,10.); #122702=VECTOR('',#414705,10.); #122703=VECTOR('',#414706,10.); #122704=VECTOR('',#414707,10.); #122705=VECTOR('',#414710,10.); #122706=VECTOR('',#414711,10.); #122707=VECTOR('',#414712,10.); #122708=VECTOR('',#414715,10.); #122709=VECTOR('',#414716,10.); #122710=VECTOR('',#414717,10.); #122711=VECTOR('',#414720,10.); #122712=VECTOR('',#414721,10.); #122713=VECTOR('',#414722,10.); #122714=VECTOR('',#414725,10.); #122715=VECTOR('',#414726,10.); #122716=VECTOR('',#414727,10.); #122717=VECTOR('',#414730,10.); #122718=VECTOR('',#414731,10.); #122719=VECTOR('',#414732,10.); #122720=VECTOR('',#414735,10.); #122721=VECTOR('',#414736,10.); #122722=VECTOR('',#414737,10.); #122723=VECTOR('',#414740,10.); #122724=VECTOR('',#414741,10.); #122725=VECTOR('',#414742,10.); #122726=VECTOR('',#414745,10.); #122727=VECTOR('',#414746,10.); #122728=VECTOR('',#414747,10.); #122729=VECTOR('',#414750,10.); #122730=VECTOR('',#414751,10.); #122731=VECTOR('',#414752,10.); #122732=VECTOR('',#414755,10.); #122733=VECTOR('',#414756,10.); #122734=VECTOR('',#414757,10.); #122735=VECTOR('',#414760,10.); #122736=VECTOR('',#414761,10.); #122737=VECTOR('',#414762,10.); #122738=VECTOR('',#414765,10.); #122739=VECTOR('',#414766,10.); #122740=VECTOR('',#414767,10.); #122741=VECTOR('',#414770,10.); #122742=VECTOR('',#414771,10.); #122743=VECTOR('',#414772,10.); #122744=VECTOR('',#414775,10.); #122745=VECTOR('',#414776,10.); #122746=VECTOR('',#414777,10.); #122747=VECTOR('',#414780,10.); #122748=VECTOR('',#414781,10.); #122749=VECTOR('',#414782,10.); #122750=VECTOR('',#414785,10.); #122751=VECTOR('',#414786,10.); #122752=VECTOR('',#414787,10.); #122753=VECTOR('',#414790,10.); #122754=VECTOR('',#414791,10.); #122755=VECTOR('',#414792,10.); #122756=VECTOR('',#414795,10.); #122757=VECTOR('',#414796,10.); #122758=VECTOR('',#414797,10.); #122759=VECTOR('',#414800,10.); #122760=VECTOR('',#414801,10.); #122761=VECTOR('',#414802,10.); #122762=VECTOR('',#414805,10.); #122763=VECTOR('',#414806,10.); #122764=VECTOR('',#414807,10.); #122765=VECTOR('',#414810,10.); #122766=VECTOR('',#414811,10.); #122767=VECTOR('',#414812,10.); #122768=VECTOR('',#414815,10.); #122769=VECTOR('',#414816,10.); #122770=VECTOR('',#414817,10.); #122771=VECTOR('',#414820,10.); #122772=VECTOR('',#414821,10.); #122773=VECTOR('',#414822,10.); #122774=VECTOR('',#414825,10.); #122775=VECTOR('',#414826,10.); #122776=VECTOR('',#414827,10.); #122777=VECTOR('',#414830,10.); #122778=VECTOR('',#414831,10.); #122779=VECTOR('',#414832,10.); #122780=VECTOR('',#414835,10.); #122781=VECTOR('',#414836,10.); #122782=VECTOR('',#414837,10.); #122783=VECTOR('',#414840,10.); #122784=VECTOR('',#414841,10.); #122785=VECTOR('',#414842,10.); #122786=VECTOR('',#414845,10.); #122787=VECTOR('',#414846,10.); #122788=VECTOR('',#414847,10.); #122789=VECTOR('',#414850,10.); #122790=VECTOR('',#414851,10.); #122791=VECTOR('',#414852,10.); #122792=VECTOR('',#414855,10.); #122793=VECTOR('',#414856,10.); #122794=VECTOR('',#414857,10.); #122795=VECTOR('',#414860,10.); #122796=VECTOR('',#414861,10.); #122797=VECTOR('',#414862,10.); #122798=VECTOR('',#414865,10.); #122799=VECTOR('',#414866,10.); #122800=VECTOR('',#414867,10.); #122801=VECTOR('',#414870,10.); #122802=VECTOR('',#414871,10.); #122803=VECTOR('',#414872,10.); #122804=VECTOR('',#414875,10.); #122805=VECTOR('',#414876,10.); #122806=VECTOR('',#414877,10.); #122807=VECTOR('',#414880,10.); #122808=VECTOR('',#414881,10.); #122809=VECTOR('',#414882,10.); #122810=VECTOR('',#414885,10.); #122811=VECTOR('',#414886,10.); #122812=VECTOR('',#414887,10.); #122813=VECTOR('',#414890,10.); #122814=VECTOR('',#414891,10.); #122815=VECTOR('',#414892,10.); #122816=VECTOR('',#414895,10.); #122817=VECTOR('',#414896,10.); #122818=VECTOR('',#414897,10.); #122819=VECTOR('',#414900,10.); #122820=VECTOR('',#414901,10.); #122821=VECTOR('',#414902,10.); #122822=VECTOR('',#414905,10.); #122823=VECTOR('',#414906,10.); #122824=VECTOR('',#414907,10.); #122825=VECTOR('',#414910,10.); #122826=VECTOR('',#414911,10.); #122827=VECTOR('',#414912,10.); #122828=VECTOR('',#414915,10.); #122829=VECTOR('',#414916,10.); #122830=VECTOR('',#414917,10.); #122831=VECTOR('',#414920,10.); #122832=VECTOR('',#414921,10.); #122833=VECTOR('',#414922,10.); #122834=VECTOR('',#414925,10.); #122835=VECTOR('',#414926,10.); #122836=VECTOR('',#414927,10.); #122837=VECTOR('',#414930,10.); #122838=VECTOR('',#414931,10.); #122839=VECTOR('',#414932,10.); #122840=VECTOR('',#414935,10.); #122841=VECTOR('',#414936,10.); #122842=VECTOR('',#414937,10.); #122843=VECTOR('',#414940,10.); #122844=VECTOR('',#414941,10.); #122845=VECTOR('',#414942,10.); #122846=VECTOR('',#414945,10.); #122847=VECTOR('',#414946,10.); #122848=VECTOR('',#414947,10.); #122849=VECTOR('',#414950,10.); #122850=VECTOR('',#414951,10.); #122851=VECTOR('',#414954,10.); #122852=VECTOR('',#414955,10.); #122853=VECTOR('',#414956,10.); #122854=VECTOR('',#414957,10.); #122855=VECTOR('',#414960,10.); #122856=VECTOR('',#414961,10.); #122857=VECTOR('',#414962,10.); #122858=VECTOR('',#414965,10.); #122859=VECTOR('',#414966,10.); #122860=VECTOR('',#414967,10.); #122861=VECTOR('',#414970,10.); #122862=VECTOR('',#414971,10.); #122863=VECTOR('',#414972,10.); #122864=VECTOR('',#414975,10.); #122865=VECTOR('',#414976,10.); #122866=VECTOR('',#414977,10.); #122867=VECTOR('',#414980,10.); #122868=VECTOR('',#414981,10.); #122869=VECTOR('',#414982,10.); #122870=VECTOR('',#414985,10.); #122871=VECTOR('',#414986,10.); #122872=VECTOR('',#414987,10.); #122873=VECTOR('',#414990,10.); #122874=VECTOR('',#414991,10.); #122875=VECTOR('',#414992,10.); #122876=VECTOR('',#414995,10.); #122877=VECTOR('',#414996,10.); #122878=VECTOR('',#414997,10.); #122879=VECTOR('',#415000,10.); #122880=VECTOR('',#415001,10.); #122881=VECTOR('',#415002,10.); #122882=VECTOR('',#415005,10.); #122883=VECTOR('',#415006,10.); #122884=VECTOR('',#415007,10.); #122885=VECTOR('',#415010,10.); #122886=VECTOR('',#415011,10.); #122887=VECTOR('',#415012,10.); #122888=VECTOR('',#415015,10.); #122889=VECTOR('',#415016,10.); #122890=VECTOR('',#415017,10.); #122891=VECTOR('',#415020,10.); #122892=VECTOR('',#415021,10.); #122893=VECTOR('',#415022,10.); #122894=VECTOR('',#415025,10.); #122895=VECTOR('',#415026,10.); #122896=VECTOR('',#415027,10.); #122897=VECTOR('',#415030,10.); #122898=VECTOR('',#415031,10.); #122899=VECTOR('',#415034,10.); #122900=VECTOR('',#415035,10.); #122901=VECTOR('',#415036,10.); #122902=VECTOR('',#415037,10.); #122903=VECTOR('',#415040,10.); #122904=VECTOR('',#415041,10.); #122905=VECTOR('',#415042,10.); #122906=VECTOR('',#415045,10.); #122907=VECTOR('',#415046,10.); #122908=VECTOR('',#415047,10.); #122909=VECTOR('',#415050,10.); #122910=VECTOR('',#415051,10.); #122911=VECTOR('',#415052,10.); #122912=VECTOR('',#415055,10.); #122913=VECTOR('',#415056,10.); #122914=VECTOR('',#415057,10.); #122915=VECTOR('',#415060,10.); #122916=VECTOR('',#415061,10.); #122917=VECTOR('',#415062,10.); #122918=VECTOR('',#415065,10.); #122919=VECTOR('',#415066,10.); #122920=VECTOR('',#415067,10.); #122921=VECTOR('',#415070,10.); #122922=VECTOR('',#415071,10.); #122923=VECTOR('',#415072,10.); #122924=VECTOR('',#415075,10.); #122925=VECTOR('',#415076,10.); #122926=VECTOR('',#415077,10.); #122927=VECTOR('',#415080,10.); #122928=VECTOR('',#415081,10.); #122929=VECTOR('',#415082,10.); #122930=VECTOR('',#415085,10.); #122931=VECTOR('',#415086,10.); #122932=VECTOR('',#415087,10.); #122933=VECTOR('',#415090,10.); #122934=VECTOR('',#415091,10.); #122935=VECTOR('',#415092,10.); #122936=VECTOR('',#415095,10.); #122937=VECTOR('',#415096,10.); #122938=VECTOR('',#415097,10.); #122939=VECTOR('',#415100,10.); #122940=VECTOR('',#415101,10.); #122941=VECTOR('',#415102,10.); #122942=VECTOR('',#415105,10.); #122943=VECTOR('',#415106,10.); #122944=VECTOR('',#415107,10.); #122945=VECTOR('',#415110,10.); #122946=VECTOR('',#415111,10.); #122947=VECTOR('',#415112,10.); #122948=VECTOR('',#415115,10.); #122949=VECTOR('',#415116,10.); #122950=VECTOR('',#415117,10.); #122951=VECTOR('',#415120,10.); #122952=VECTOR('',#415121,10.); #122953=VECTOR('',#415122,10.); #122954=VECTOR('',#415125,10.); #122955=VECTOR('',#415126,10.); #122956=VECTOR('',#415127,10.); #122957=VECTOR('',#415130,10.); #122958=VECTOR('',#415131,10.); #122959=VECTOR('',#415132,10.); #122960=VECTOR('',#415135,10.); #122961=VECTOR('',#415136,10.); #122962=VECTOR('',#415137,10.); #122963=VECTOR('',#415140,10.); #122964=VECTOR('',#415141,10.); #122965=VECTOR('',#415142,10.); #122966=VECTOR('',#415145,10.); #122967=VECTOR('',#415146,10.); #122968=VECTOR('',#415147,10.); #122969=VECTOR('',#415150,10.); #122970=VECTOR('',#415151,10.); #122971=VECTOR('',#415152,10.); #122972=VECTOR('',#415155,10.); #122973=VECTOR('',#415156,10.); #122974=VECTOR('',#415157,10.); #122975=VECTOR('',#415160,10.); #122976=VECTOR('',#415161,10.); #122977=VECTOR('',#415162,10.); #122978=VECTOR('',#415165,10.); #122979=VECTOR('',#415166,10.); #122980=VECTOR('',#415167,10.); #122981=VECTOR('',#415170,10.); #122982=VECTOR('',#415171,10.); #122983=VECTOR('',#415172,10.); #122984=VECTOR('',#415175,10.); #122985=VECTOR('',#415176,10.); #122986=VECTOR('',#415177,10.); #122987=VECTOR('',#415180,10.); #122988=VECTOR('',#415181,10.); #122989=VECTOR('',#415182,10.); #122990=VECTOR('',#415185,10.); #122991=VECTOR('',#415186,10.); #122992=VECTOR('',#415187,10.); #122993=VECTOR('',#415190,10.); #122994=VECTOR('',#415191,10.); #122995=VECTOR('',#415192,10.); #122996=VECTOR('',#415195,10.); #122997=VECTOR('',#415196,10.); #122998=VECTOR('',#415197,10.); #122999=VECTOR('',#415200,10.); #123000=VECTOR('',#415201,10.); #123001=VECTOR('',#415202,10.); #123002=VECTOR('',#415205,10.); #123003=VECTOR('',#415206,10.); #123004=VECTOR('',#415207,10.); #123005=VECTOR('',#415210,10.); #123006=VECTOR('',#415211,10.); #123007=VECTOR('',#415212,10.); #123008=VECTOR('',#415215,10.); #123009=VECTOR('',#415216,10.); #123010=VECTOR('',#415217,10.); #123011=VECTOR('',#415220,10.); #123012=VECTOR('',#415221,10.); #123013=VECTOR('',#415222,10.); #123014=VECTOR('',#415225,10.); #123015=VECTOR('',#415226,10.); #123016=VECTOR('',#415227,10.); #123017=VECTOR('',#415230,10.); #123018=VECTOR('',#415231,10.); #123019=VECTOR('',#415232,10.); #123020=VECTOR('',#415235,10.); #123021=VECTOR('',#415236,10.); #123022=VECTOR('',#415237,10.); #123023=VECTOR('',#415240,10.); #123024=VECTOR('',#415241,10.); #123025=VECTOR('',#415242,10.); #123026=VECTOR('',#415245,10.); #123027=VECTOR('',#415246,10.); #123028=VECTOR('',#415247,10.); #123029=VECTOR('',#415250,10.); #123030=VECTOR('',#415251,10.); #123031=VECTOR('',#415252,10.); #123032=VECTOR('',#415255,10.); #123033=VECTOR('',#415256,10.); #123034=VECTOR('',#415257,10.); #123035=VECTOR('',#415260,10.); #123036=VECTOR('',#415261,10.); #123037=VECTOR('',#415262,10.); #123038=VECTOR('',#415265,10.); #123039=VECTOR('',#415266,10.); #123040=VECTOR('',#415267,10.); #123041=VECTOR('',#415270,10.); #123042=VECTOR('',#415271,10.); #123043=VECTOR('',#415272,10.); #123044=VECTOR('',#415275,10.); #123045=VECTOR('',#415276,10.); #123046=VECTOR('',#415277,10.); #123047=VECTOR('',#415280,10.); #123048=VECTOR('',#415281,10.); #123049=VECTOR('',#415282,10.); #123050=VECTOR('',#415285,10.); #123051=VECTOR('',#415286,10.); #123052=VECTOR('',#415287,10.); #123053=VECTOR('',#415290,10.); #123054=VECTOR('',#415291,10.); #123055=VECTOR('',#415292,10.); #123056=VECTOR('',#415295,10.); #123057=VECTOR('',#415296,10.); #123058=VECTOR('',#415297,10.); #123059=VECTOR('',#415300,10.); #123060=VECTOR('',#415301,10.); #123061=VECTOR('',#415302,10.); #123062=VECTOR('',#415305,10.); #123063=VECTOR('',#415306,10.); #123064=VECTOR('',#415307,10.); #123065=VECTOR('',#415310,10.); #123066=VECTOR('',#415311,10.); #123067=VECTOR('',#415312,10.); #123068=VECTOR('',#415315,10.); #123069=VECTOR('',#415316,10.); #123070=VECTOR('',#415317,10.); #123071=VECTOR('',#415320,10.); #123072=VECTOR('',#415321,10.); #123073=VECTOR('',#415322,10.); #123074=VECTOR('',#415325,10.); #123075=VECTOR('',#415326,10.); #123076=VECTOR('',#415327,10.); #123077=VECTOR('',#415330,10.); #123078=VECTOR('',#415331,10.); #123079=VECTOR('',#415332,10.); #123080=VECTOR('',#415335,10.); #123081=VECTOR('',#415336,10.); #123082=VECTOR('',#415337,10.); #123083=VECTOR('',#415340,10.); #123084=VECTOR('',#415341,10.); #123085=VECTOR('',#415342,10.); #123086=VECTOR('',#415345,10.); #123087=VECTOR('',#415346,10.); #123088=VECTOR('',#415347,10.); #123089=VECTOR('',#415350,10.); #123090=VECTOR('',#415351,10.); #123091=VECTOR('',#415352,10.); #123092=VECTOR('',#415355,10.); #123093=VECTOR('',#415356,10.); #123094=VECTOR('',#415357,10.); #123095=VECTOR('',#415360,10.); #123096=VECTOR('',#415361,10.); #123097=VECTOR('',#415362,10.); #123098=VECTOR('',#415365,10.); #123099=VECTOR('',#415366,10.); #123100=VECTOR('',#415367,10.); #123101=VECTOR('',#415370,10.); #123102=VECTOR('',#415371,10.); #123103=VECTOR('',#415372,10.); #123104=VECTOR('',#415375,10.); #123105=VECTOR('',#415376,10.); #123106=VECTOR('',#415377,10.); #123107=VECTOR('',#415380,10.); #123108=VECTOR('',#415381,10.); #123109=VECTOR('',#415382,10.); #123110=VECTOR('',#415385,10.); #123111=VECTOR('',#415386,10.); #123112=VECTOR('',#415387,10.); #123113=VECTOR('',#415390,10.); #123114=VECTOR('',#415391,10.); #123115=VECTOR('',#415392,10.); #123116=VECTOR('',#415395,10.); #123117=VECTOR('',#415396,10.); #123118=VECTOR('',#415397,10.); #123119=VECTOR('',#415400,10.); #123120=VECTOR('',#415401,10.); #123121=VECTOR('',#415402,10.); #123122=VECTOR('',#415405,10.); #123123=VECTOR('',#415406,10.); #123124=VECTOR('',#415407,10.); #123125=VECTOR('',#415410,10.); #123126=VECTOR('',#415411,10.); #123127=VECTOR('',#415412,10.); #123128=VECTOR('',#415415,10.); #123129=VECTOR('',#415416,10.); #123130=VECTOR('',#415417,10.); #123131=VECTOR('',#415420,10.); #123132=VECTOR('',#415421,10.); #123133=VECTOR('',#415422,10.); #123134=VECTOR('',#415425,10.); #123135=VECTOR('',#415426,10.); #123136=VECTOR('',#415427,10.); #123137=VECTOR('',#415430,10.); #123138=VECTOR('',#415431,10.); #123139=VECTOR('',#415432,10.); #123140=VECTOR('',#415435,10.); #123141=VECTOR('',#415436,10.); #123142=VECTOR('',#415437,10.); #123143=VECTOR('',#415440,10.); #123144=VECTOR('',#415441,10.); #123145=VECTOR('',#415442,10.); #123146=VECTOR('',#415445,10.); #123147=VECTOR('',#415446,10.); #123148=VECTOR('',#415447,10.); #123149=VECTOR('',#415450,10.); #123150=VECTOR('',#415451,10.); #123151=VECTOR('',#415452,10.); #123152=VECTOR('',#415455,10.); #123153=VECTOR('',#415456,10.); #123154=VECTOR('',#415457,10.); #123155=VECTOR('',#415460,10.); #123156=VECTOR('',#415461,10.); #123157=VECTOR('',#415462,10.); #123158=VECTOR('',#415465,10.); #123159=VECTOR('',#415466,10.); #123160=VECTOR('',#415467,10.); #123161=VECTOR('',#415470,10.); #123162=VECTOR('',#415471,10.); #123163=VECTOR('',#415472,10.); #123164=VECTOR('',#415475,10.); #123165=VECTOR('',#415476,10.); #123166=VECTOR('',#415477,10.); #123167=VECTOR('',#415480,10.); #123168=VECTOR('',#415481,10.); #123169=VECTOR('',#415482,10.); #123170=VECTOR('',#415485,10.); #123171=VECTOR('',#415486,10.); #123172=VECTOR('',#415487,10.); #123173=VECTOR('',#415490,10.); #123174=VECTOR('',#415491,10.); #123175=VECTOR('',#415492,10.); #123176=VECTOR('',#415495,10.); #123177=VECTOR('',#415496,10.); #123178=VECTOR('',#415497,10.); #123179=VECTOR('',#415500,10.); #123180=VECTOR('',#415501,10.); #123181=VECTOR('',#415502,10.); #123182=VECTOR('',#415505,10.); #123183=VECTOR('',#415506,10.); #123184=VECTOR('',#415507,10.); #123185=VECTOR('',#415510,10.); #123186=VECTOR('',#415511,10.); #123187=VECTOR('',#415512,10.); #123188=VECTOR('',#415515,10.); #123189=VECTOR('',#415516,10.); #123190=VECTOR('',#415517,10.); #123191=VECTOR('',#415520,10.); #123192=VECTOR('',#415521,10.); #123193=VECTOR('',#415522,10.); #123194=VECTOR('',#415525,10.); #123195=VECTOR('',#415526,10.); #123196=VECTOR('',#415527,10.); #123197=VECTOR('',#415530,10.); #123198=VECTOR('',#415531,10.); #123199=VECTOR('',#415532,10.); #123200=VECTOR('',#415535,10.); #123201=VECTOR('',#415536,10.); #123202=VECTOR('',#415537,10.); #123203=VECTOR('',#415540,10.); #123204=VECTOR('',#415541,10.); #123205=VECTOR('',#415542,10.); #123206=VECTOR('',#415545,10.); #123207=VECTOR('',#415546,10.); #123208=VECTOR('',#415547,10.); #123209=VECTOR('',#415550,10.); #123210=VECTOR('',#415551,10.); #123211=VECTOR('',#415552,10.); #123212=VECTOR('',#415555,10.); #123213=VECTOR('',#415556,10.); #123214=VECTOR('',#415557,10.); #123215=VECTOR('',#415560,10.); #123216=VECTOR('',#415561,10.); #123217=VECTOR('',#415562,10.); #123218=VECTOR('',#415565,10.); #123219=VECTOR('',#415566,10.); #123220=VECTOR('',#415567,10.); #123221=VECTOR('',#415570,10.); #123222=VECTOR('',#415571,10.); #123223=VECTOR('',#415572,10.); #123224=VECTOR('',#415575,10.); #123225=VECTOR('',#415576,10.); #123226=VECTOR('',#415577,10.); #123227=VECTOR('',#415580,10.); #123228=VECTOR('',#415581,10.); #123229=VECTOR('',#415582,10.); #123230=VECTOR('',#415585,10.); #123231=VECTOR('',#415586,10.); #123232=VECTOR('',#415587,10.); #123233=VECTOR('',#415590,10.); #123234=VECTOR('',#415591,10.); #123235=VECTOR('',#415592,10.); #123236=VECTOR('',#415595,10.); #123237=VECTOR('',#415596,10.); #123238=VECTOR('',#415597,10.); #123239=VECTOR('',#415600,10.); #123240=VECTOR('',#415601,10.); #123241=VECTOR('',#415602,10.); #123242=VECTOR('',#415605,10.); #123243=VECTOR('',#415606,10.); #123244=VECTOR('',#415607,10.); #123245=VECTOR('',#415610,10.); #123246=VECTOR('',#415611,10.); #123247=VECTOR('',#415612,10.); #123248=VECTOR('',#415615,10.); #123249=VECTOR('',#415616,10.); #123250=VECTOR('',#415617,10.); #123251=VECTOR('',#415620,10.); #123252=VECTOR('',#415621,10.); #123253=VECTOR('',#415622,10.); #123254=VECTOR('',#415625,10.); #123255=VECTOR('',#415626,10.); #123256=VECTOR('',#415627,10.); #123257=VECTOR('',#415630,10.); #123258=VECTOR('',#415631,10.); #123259=VECTOR('',#415632,10.); #123260=VECTOR('',#415635,10.); #123261=VECTOR('',#415636,10.); #123262=VECTOR('',#415637,10.); #123263=VECTOR('',#415640,10.); #123264=VECTOR('',#415641,10.); #123265=VECTOR('',#415642,10.); #123266=VECTOR('',#415645,10.); #123267=VECTOR('',#415646,10.); #123268=VECTOR('',#415647,10.); #123269=VECTOR('',#415650,10.); #123270=VECTOR('',#415651,10.); #123271=VECTOR('',#415652,10.); #123272=VECTOR('',#415655,10.); #123273=VECTOR('',#415656,10.); #123274=VECTOR('',#415657,10.); #123275=VECTOR('',#415660,10.); #123276=VECTOR('',#415661,10.); #123277=VECTOR('',#415662,10.); #123278=VECTOR('',#415665,10.); #123279=VECTOR('',#415666,10.); #123280=VECTOR('',#415667,10.); #123281=VECTOR('',#415670,10.); #123282=VECTOR('',#415671,10.); #123283=VECTOR('',#415672,10.); #123284=VECTOR('',#415675,10.); #123285=VECTOR('',#415676,10.); #123286=VECTOR('',#415677,10.); #123287=VECTOR('',#415680,10.); #123288=VECTOR('',#415681,10.); #123289=VECTOR('',#415682,10.); #123290=VECTOR('',#415685,10.); #123291=VECTOR('',#415686,10.); #123292=VECTOR('',#415687,10.); #123293=VECTOR('',#415690,10.); #123294=VECTOR('',#415691,10.); #123295=VECTOR('',#415692,10.); #123296=VECTOR('',#415695,10.); #123297=VECTOR('',#415696,10.); #123298=VECTOR('',#415697,10.); #123299=VECTOR('',#415700,10.); #123300=VECTOR('',#415701,10.); #123301=VECTOR('',#415702,10.); #123302=VECTOR('',#415705,10.); #123303=VECTOR('',#415706,10.); #123304=VECTOR('',#415707,10.); #123305=VECTOR('',#415710,10.); #123306=VECTOR('',#415711,10.); #123307=VECTOR('',#415712,10.); #123308=VECTOR('',#415715,10.); #123309=VECTOR('',#415716,10.); #123310=VECTOR('',#415717,10.); #123311=VECTOR('',#415720,10.); #123312=VECTOR('',#415721,10.); #123313=VECTOR('',#415722,10.); #123314=VECTOR('',#415725,10.); #123315=VECTOR('',#415726,10.); #123316=VECTOR('',#415727,10.); #123317=VECTOR('',#415730,10.); #123318=VECTOR('',#415731,10.); #123319=VECTOR('',#415732,10.); #123320=VECTOR('',#415735,10.); #123321=VECTOR('',#415736,10.); #123322=VECTOR('',#415737,10.); #123323=VECTOR('',#415740,10.); #123324=VECTOR('',#415741,10.); #123325=VECTOR('',#415742,10.); #123326=VECTOR('',#415745,10.); #123327=VECTOR('',#415746,10.); #123328=VECTOR('',#415747,10.); #123329=VECTOR('',#415750,10.); #123330=VECTOR('',#415751,10.); #123331=VECTOR('',#415752,10.); #123332=VECTOR('',#415755,10.); #123333=VECTOR('',#415756,10.); #123334=VECTOR('',#415757,10.); #123335=VECTOR('',#415760,10.); #123336=VECTOR('',#415761,10.); #123337=VECTOR('',#415762,10.); #123338=VECTOR('',#415765,10.); #123339=VECTOR('',#415766,10.); #123340=VECTOR('',#415767,10.); #123341=VECTOR('',#415770,10.); #123342=VECTOR('',#415771,10.); #123343=VECTOR('',#415772,10.); #123344=VECTOR('',#415775,10.); #123345=VECTOR('',#415776,10.); #123346=VECTOR('',#415777,10.); #123347=VECTOR('',#415780,10.); #123348=VECTOR('',#415781,10.); #123349=VECTOR('',#415782,10.); #123350=VECTOR('',#415785,10.); #123351=VECTOR('',#415786,10.); #123352=VECTOR('',#415787,10.); #123353=VECTOR('',#415790,10.); #123354=VECTOR('',#415791,10.); #123355=VECTOR('',#415792,10.); #123356=VECTOR('',#415795,10.); #123357=VECTOR('',#415796,10.); #123358=VECTOR('',#415797,10.); #123359=VECTOR('',#415800,10.); #123360=VECTOR('',#415801,10.); #123361=VECTOR('',#415802,10.); #123362=VECTOR('',#415805,10.); #123363=VECTOR('',#415806,10.); #123364=VECTOR('',#415807,10.); #123365=VECTOR('',#415810,10.); #123366=VECTOR('',#415811,10.); #123367=VECTOR('',#415812,10.); #123368=VECTOR('',#415815,10.); #123369=VECTOR('',#415816,10.); #123370=VECTOR('',#415817,10.); #123371=VECTOR('',#415820,10.); #123372=VECTOR('',#415821,10.); #123373=VECTOR('',#415822,10.); #123374=VECTOR('',#415825,10.); #123375=VECTOR('',#415826,10.); #123376=VECTOR('',#415827,10.); #123377=VECTOR('',#415830,10.); #123378=VECTOR('',#415831,10.); #123379=VECTOR('',#415832,10.); #123380=VECTOR('',#415835,10.); #123381=VECTOR('',#415836,10.); #123382=VECTOR('',#415837,10.); #123383=VECTOR('',#415840,10.); #123384=VECTOR('',#415841,10.); #123385=VECTOR('',#415842,10.); #123386=VECTOR('',#415845,10.); #123387=VECTOR('',#415846,10.); #123388=VECTOR('',#415847,10.); #123389=VECTOR('',#415850,10.); #123390=VECTOR('',#415851,10.); #123391=VECTOR('',#415852,10.); #123392=VECTOR('',#415855,10.); #123393=VECTOR('',#415856,10.); #123394=VECTOR('',#415857,10.); #123395=VECTOR('',#415860,10.); #123396=VECTOR('',#415861,10.); #123397=VECTOR('',#415862,10.); #123398=VECTOR('',#415865,10.); #123399=VECTOR('',#415866,10.); #123400=VECTOR('',#415867,10.); #123401=VECTOR('',#415870,10.); #123402=VECTOR('',#415871,10.); #123403=VECTOR('',#415872,10.); #123404=VECTOR('',#415875,10.); #123405=VECTOR('',#415876,10.); #123406=VECTOR('',#415877,10.); #123407=VECTOR('',#415880,10.); #123408=VECTOR('',#415881,10.); #123409=VECTOR('',#415882,10.); #123410=VECTOR('',#415885,10.); #123411=VECTOR('',#415886,10.); #123412=VECTOR('',#415887,10.); #123413=VECTOR('',#415890,10.); #123414=VECTOR('',#415891,10.); #123415=VECTOR('',#415892,10.); #123416=VECTOR('',#415895,10.); #123417=VECTOR('',#415896,10.); #123418=VECTOR('',#415897,10.); #123419=VECTOR('',#415900,10.); #123420=VECTOR('',#415901,10.); #123421=VECTOR('',#415902,10.); #123422=VECTOR('',#415905,10.); #123423=VECTOR('',#415906,10.); #123424=VECTOR('',#415907,10.); #123425=VECTOR('',#415910,10.); #123426=VECTOR('',#415911,10.); #123427=VECTOR('',#415912,10.); #123428=VECTOR('',#415915,10.); #123429=VECTOR('',#415916,10.); #123430=VECTOR('',#415917,10.); #123431=VECTOR('',#415920,10.); #123432=VECTOR('',#415921,10.); #123433=VECTOR('',#415922,10.); #123434=VECTOR('',#415925,10.); #123435=VECTOR('',#415926,10.); #123436=VECTOR('',#415927,10.); #123437=VECTOR('',#415930,10.); #123438=VECTOR('',#415931,10.); #123439=VECTOR('',#415932,10.); #123440=VECTOR('',#415935,10.); #123441=VECTOR('',#415936,10.); #123442=VECTOR('',#415937,10.); #123443=VECTOR('',#415940,10.); #123444=VECTOR('',#415941,10.); #123445=VECTOR('',#415942,10.); #123446=VECTOR('',#415945,10.); #123447=VECTOR('',#415946,10.); #123448=VECTOR('',#415947,10.); #123449=VECTOR('',#415950,10.); #123450=VECTOR('',#415951,10.); #123451=VECTOR('',#415952,10.); #123452=VECTOR('',#415955,10.); #123453=VECTOR('',#415956,10.); #123454=VECTOR('',#415957,10.); #123455=VECTOR('',#415960,10.); #123456=VECTOR('',#415961,10.); #123457=VECTOR('',#415962,10.); #123458=VECTOR('',#415965,10.); #123459=VECTOR('',#415966,10.); #123460=VECTOR('',#415967,10.); #123461=VECTOR('',#415970,10.); #123462=VECTOR('',#415971,10.); #123463=VECTOR('',#415972,10.); #123464=VECTOR('',#415975,10.); #123465=VECTOR('',#415976,10.); #123466=VECTOR('',#415977,10.); #123467=VECTOR('',#415980,10.); #123468=VECTOR('',#415981,10.); #123469=VECTOR('',#415982,10.); #123470=VECTOR('',#415985,10.); #123471=VECTOR('',#415986,10.); #123472=VECTOR('',#415987,10.); #123473=VECTOR('',#415990,10.); #123474=VECTOR('',#415991,10.); #123475=VECTOR('',#415992,10.); #123476=VECTOR('',#415995,10.); #123477=VECTOR('',#415996,10.); #123478=VECTOR('',#415997,10.); #123479=VECTOR('',#416000,10.); #123480=VECTOR('',#416001,10.); #123481=VECTOR('',#416002,10.); #123482=VECTOR('',#416005,10.); #123483=VECTOR('',#416006,10.); #123484=VECTOR('',#416007,10.); #123485=VECTOR('',#416010,10.); #123486=VECTOR('',#416011,10.); #123487=VECTOR('',#416012,10.); #123488=VECTOR('',#416015,10.); #123489=VECTOR('',#416016,10.); #123490=VECTOR('',#416017,10.); #123491=VECTOR('',#416020,10.); #123492=VECTOR('',#416021,10.); #123493=VECTOR('',#416022,10.); #123494=VECTOR('',#416025,10.); #123495=VECTOR('',#416026,10.); #123496=VECTOR('',#416027,10.); #123497=VECTOR('',#416030,10.); #123498=VECTOR('',#416031,10.); #123499=VECTOR('',#416032,10.); #123500=VECTOR('',#416035,10.); #123501=VECTOR('',#416036,10.); #123502=VECTOR('',#416037,10.); #123503=VECTOR('',#416040,10.); #123504=VECTOR('',#416041,10.); #123505=VECTOR('',#416042,10.); #123506=VECTOR('',#416045,10.); #123507=VECTOR('',#416046,10.); #123508=VECTOR('',#416047,10.); #123509=VECTOR('',#416050,10.); #123510=VECTOR('',#416051,10.); #123511=VECTOR('',#416052,10.); #123512=VECTOR('',#416055,10.); #123513=VECTOR('',#416056,10.); #123514=VECTOR('',#416059,10.); #123515=VECTOR('',#416060,10.); #123516=VECTOR('',#416061,10.); #123517=VECTOR('',#416062,10.); #123518=VECTOR('',#416065,10.); #123519=VECTOR('',#416066,10.); #123520=VECTOR('',#416067,10.); #123521=VECTOR('',#416070,10.); #123522=VECTOR('',#416071,10.); #123523=VECTOR('',#416072,10.); #123524=VECTOR('',#416075,10.); #123525=VECTOR('',#416076,10.); #123526=VECTOR('',#416077,10.); #123527=VECTOR('',#416080,10.); #123528=VECTOR('',#416081,10.); #123529=VECTOR('',#416082,10.); #123530=VECTOR('',#416085,10.); #123531=VECTOR('',#416086,10.); #123532=VECTOR('',#416087,10.); #123533=VECTOR('',#416090,10.); #123534=VECTOR('',#416091,10.); #123535=VECTOR('',#416092,10.); #123536=VECTOR('',#416095,10.); #123537=VECTOR('',#416096,10.); #123538=VECTOR('',#416097,10.); #123539=VECTOR('',#416100,10.); #123540=VECTOR('',#416101,10.); #123541=VECTOR('',#416102,10.); #123542=VECTOR('',#416105,10.); #123543=VECTOR('',#416106,10.); #123544=VECTOR('',#416107,10.); #123545=VECTOR('',#416110,10.); #123546=VECTOR('',#416111,10.); #123547=VECTOR('',#416112,10.); #123548=VECTOR('',#416115,10.); #123549=VECTOR('',#416116,10.); #123550=VECTOR('',#416117,10.); #123551=VECTOR('',#416120,10.); #123552=VECTOR('',#416121,10.); #123553=VECTOR('',#416122,10.); #123554=VECTOR('',#416125,10.); #123555=VECTOR('',#416126,10.); #123556=VECTOR('',#416127,10.); #123557=VECTOR('',#416130,10.); #123558=VECTOR('',#416131,10.); #123559=VECTOR('',#416132,10.); #123560=VECTOR('',#416135,10.); #123561=VECTOR('',#416136,10.); #123562=VECTOR('',#416137,10.); #123563=VECTOR('',#416140,10.); #123564=VECTOR('',#416141,10.); #123565=VECTOR('',#416142,10.); #123566=VECTOR('',#416145,10.); #123567=VECTOR('',#416146,10.); #123568=VECTOR('',#416147,10.); #123569=VECTOR('',#416150,10.); #123570=VECTOR('',#416151,10.); #123571=VECTOR('',#416152,10.); #123572=VECTOR('',#416155,10.); #123573=VECTOR('',#416156,10.); #123574=VECTOR('',#416157,10.); #123575=VECTOR('',#416160,10.); #123576=VECTOR('',#416161,10.); #123577=VECTOR('',#416162,10.); #123578=VECTOR('',#416165,10.); #123579=VECTOR('',#416166,10.); #123580=VECTOR('',#416167,10.); #123581=VECTOR('',#416170,10.); #123582=VECTOR('',#416171,10.); #123583=VECTOR('',#416172,10.); #123584=VECTOR('',#416175,10.); #123585=VECTOR('',#416176,10.); #123586=VECTOR('',#416177,10.); #123587=VECTOR('',#416180,10.); #123588=VECTOR('',#416181,10.); #123589=VECTOR('',#416182,10.); #123590=VECTOR('',#416185,10.); #123591=VECTOR('',#416186,10.); #123592=VECTOR('',#416187,10.); #123593=VECTOR('',#416190,10.); #123594=VECTOR('',#416191,10.); #123595=VECTOR('',#416192,10.); #123596=VECTOR('',#416195,10.); #123597=VECTOR('',#416196,10.); #123598=VECTOR('',#416197,10.); #123599=VECTOR('',#416200,10.); #123600=VECTOR('',#416201,10.); #123601=VECTOR('',#416202,10.); #123602=VECTOR('',#416205,10.); #123603=VECTOR('',#416206,10.); #123604=VECTOR('',#416207,10.); #123605=VECTOR('',#416210,10.); #123606=VECTOR('',#416211,10.); #123607=VECTOR('',#416212,10.); #123608=VECTOR('',#416215,10.); #123609=VECTOR('',#416216,10.); #123610=VECTOR('',#416217,10.); #123611=VECTOR('',#416220,10.); #123612=VECTOR('',#416221,10.); #123613=VECTOR('',#416222,10.); #123614=VECTOR('',#416225,10.); #123615=VECTOR('',#416226,10.); #123616=VECTOR('',#416227,10.); #123617=VECTOR('',#416230,10.); #123618=VECTOR('',#416231,10.); #123619=VECTOR('',#416232,10.); #123620=VECTOR('',#416235,10.); #123621=VECTOR('',#416236,10.); #123622=VECTOR('',#416237,10.); #123623=VECTOR('',#416240,10.); #123624=VECTOR('',#416241,10.); #123625=VECTOR('',#416242,10.); #123626=VECTOR('',#416245,10.); #123627=VECTOR('',#416246,10.); #123628=VECTOR('',#416247,10.); #123629=VECTOR('',#416250,10.); #123630=VECTOR('',#416251,10.); #123631=VECTOR('',#416252,10.); #123632=VECTOR('',#416255,10.); #123633=VECTOR('',#416256,10.); #123634=VECTOR('',#416257,10.); #123635=VECTOR('',#416260,10.); #123636=VECTOR('',#416261,10.); #123637=VECTOR('',#416262,10.); #123638=VECTOR('',#416265,10.); #123639=VECTOR('',#416266,10.); #123640=VECTOR('',#416267,10.); #123641=VECTOR('',#416270,10.); #123642=VECTOR('',#416271,10.); #123643=VECTOR('',#416272,10.); #123644=VECTOR('',#416275,10.); #123645=VECTOR('',#416276,10.); #123646=VECTOR('',#416277,10.); #123647=VECTOR('',#416280,10.); #123648=VECTOR('',#416281,10.); #123649=VECTOR('',#416282,10.); #123650=VECTOR('',#416285,10.); #123651=VECTOR('',#416286,10.); #123652=VECTOR('',#416287,10.); #123653=VECTOR('',#416290,10.); #123654=VECTOR('',#416291,10.); #123655=VECTOR('',#416292,10.); #123656=VECTOR('',#416295,10.); #123657=VECTOR('',#416296,10.); #123658=VECTOR('',#416297,10.); #123659=VECTOR('',#416300,10.); #123660=VECTOR('',#416301,10.); #123661=VECTOR('',#416302,10.); #123662=VECTOR('',#416305,10.); #123663=VECTOR('',#416306,10.); #123664=VECTOR('',#416307,10.); #123665=VECTOR('',#416310,10.); #123666=VECTOR('',#416311,10.); #123667=VECTOR('',#416312,10.); #123668=VECTOR('',#416315,10.); #123669=VECTOR('',#416316,10.); #123670=VECTOR('',#416317,10.); #123671=VECTOR('',#416320,10.); #123672=VECTOR('',#416321,10.); #123673=VECTOR('',#416322,10.); #123674=VECTOR('',#416325,10.); #123675=VECTOR('',#416326,10.); #123676=VECTOR('',#416327,10.); #123677=VECTOR('',#416330,10.); #123678=VECTOR('',#416331,10.); #123679=VECTOR('',#416332,10.); #123680=VECTOR('',#416335,10.); #123681=VECTOR('',#416336,10.); #123682=VECTOR('',#416337,10.); #123683=VECTOR('',#416340,10.); #123684=VECTOR('',#416341,10.); #123685=VECTOR('',#416342,10.); #123686=VECTOR('',#416345,10.); #123687=VECTOR('',#416346,10.); #123688=VECTOR('',#416347,10.); #123689=VECTOR('',#416350,10.); #123690=VECTOR('',#416351,10.); #123691=VECTOR('',#416352,10.); #123692=VECTOR('',#416355,10.); #123693=VECTOR('',#416356,10.); #123694=VECTOR('',#416357,10.); #123695=VECTOR('',#416360,10.); #123696=VECTOR('',#416361,10.); #123697=VECTOR('',#416362,10.); #123698=VECTOR('',#416365,10.); #123699=VECTOR('',#416366,10.); #123700=VECTOR('',#416367,10.); #123701=VECTOR('',#416370,10.); #123702=VECTOR('',#416371,10.); #123703=VECTOR('',#416372,10.); #123704=VECTOR('',#416375,10.); #123705=VECTOR('',#416376,10.); #123706=VECTOR('',#416377,10.); #123707=VECTOR('',#416380,10.); #123708=VECTOR('',#416381,10.); #123709=VECTOR('',#416382,10.); #123710=VECTOR('',#416385,10.); #123711=VECTOR('',#416386,10.); #123712=VECTOR('',#416387,10.); #123713=VECTOR('',#416390,10.); #123714=VECTOR('',#416391,10.); #123715=VECTOR('',#416392,10.); #123716=VECTOR('',#416395,10.); #123717=VECTOR('',#416396,10.); #123718=VECTOR('',#416397,10.); #123719=VECTOR('',#416400,10.); #123720=VECTOR('',#416401,10.); #123721=VECTOR('',#416402,10.); #123722=VECTOR('',#416405,10.); #123723=VECTOR('',#416406,10.); #123724=VECTOR('',#416407,10.); #123725=VECTOR('',#416410,10.); #123726=VECTOR('',#416411,10.); #123727=VECTOR('',#416412,10.); #123728=VECTOR('',#416415,10.); #123729=VECTOR('',#416416,10.); #123730=VECTOR('',#416417,10.); #123731=VECTOR('',#416420,10.); #123732=VECTOR('',#416421,10.); #123733=VECTOR('',#416422,10.); #123734=VECTOR('',#416425,10.); #123735=VECTOR('',#416426,10.); #123736=VECTOR('',#416427,10.); #123737=VECTOR('',#416430,10.); #123738=VECTOR('',#416431,10.); #123739=VECTOR('',#416432,10.); #123740=VECTOR('',#416435,10.); #123741=VECTOR('',#416436,10.); #123742=VECTOR('',#416437,10.); #123743=VECTOR('',#416440,10.); #123744=VECTOR('',#416441,10.); #123745=VECTOR('',#416442,10.); #123746=VECTOR('',#416445,10.); #123747=VECTOR('',#416446,10.); #123748=VECTOR('',#416447,10.); #123749=VECTOR('',#416450,10.); #123750=VECTOR('',#416451,10.); #123751=VECTOR('',#416452,10.); #123752=VECTOR('',#416455,10.); #123753=VECTOR('',#416456,10.); #123754=VECTOR('',#416457,10.); #123755=VECTOR('',#416460,10.); #123756=VECTOR('',#416461,10.); #123757=VECTOR('',#416462,10.); #123758=VECTOR('',#416465,10.); #123759=VECTOR('',#416466,10.); #123760=VECTOR('',#416467,10.); #123761=VECTOR('',#416470,10.); #123762=VECTOR('',#416471,10.); #123763=VECTOR('',#416472,10.); #123764=VECTOR('',#416475,10.); #123765=VECTOR('',#416476,10.); #123766=VECTOR('',#416477,10.); #123767=VECTOR('',#416480,10.); #123768=VECTOR('',#416481,10.); #123769=VECTOR('',#416482,10.); #123770=VECTOR('',#416485,10.); #123771=VECTOR('',#416486,10.); #123772=VECTOR('',#416487,10.); #123773=VECTOR('',#416490,10.); #123774=VECTOR('',#416491,10.); #123775=VECTOR('',#416492,10.); #123776=VECTOR('',#416495,10.); #123777=VECTOR('',#416496,10.); #123778=VECTOR('',#416497,10.); #123779=VECTOR('',#416500,10.); #123780=VECTOR('',#416501,10.); #123781=VECTOR('',#416502,10.); #123782=VECTOR('',#416505,10.); #123783=VECTOR('',#416506,10.); #123784=VECTOR('',#416507,10.); #123785=VECTOR('',#416510,10.); #123786=VECTOR('',#416511,10.); #123787=VECTOR('',#416512,10.); #123788=VECTOR('',#416515,10.); #123789=VECTOR('',#416516,10.); #123790=VECTOR('',#416517,10.); #123791=VECTOR('',#416520,10.); #123792=VECTOR('',#416521,10.); #123793=VECTOR('',#416522,10.); #123794=VECTOR('',#416525,10.); #123795=VECTOR('',#416526,10.); #123796=VECTOR('',#416527,10.); #123797=VECTOR('',#416530,10.); #123798=VECTOR('',#416531,10.); #123799=VECTOR('',#416532,10.); #123800=VECTOR('',#416535,10.); #123801=VECTOR('',#416536,10.); #123802=VECTOR('',#416537,10.); #123803=VECTOR('',#416540,10.); #123804=VECTOR('',#416541,10.); #123805=VECTOR('',#416542,10.); #123806=VECTOR('',#416545,10.); #123807=VECTOR('',#416546,10.); #123808=VECTOR('',#416547,10.); #123809=VECTOR('',#416550,10.); #123810=VECTOR('',#416551,10.); #123811=VECTOR('',#416552,10.); #123812=VECTOR('',#416555,10.); #123813=VECTOR('',#416556,10.); #123814=VECTOR('',#416559,10.); #123815=VECTOR('',#416560,10.); #123816=VECTOR('',#416561,10.); #123817=VECTOR('',#416562,10.); #123818=VECTOR('',#416565,10.); #123819=VECTOR('',#416566,10.); #123820=VECTOR('',#416567,10.); #123821=VECTOR('',#416570,10.); #123822=VECTOR('',#416571,10.); #123823=VECTOR('',#416572,10.); #123824=VECTOR('',#416575,10.); #123825=VECTOR('',#416576,10.); #123826=VECTOR('',#416577,10.); #123827=VECTOR('',#416580,10.); #123828=VECTOR('',#416581,10.); #123829=VECTOR('',#416582,10.); #123830=VECTOR('',#416585,10.); #123831=VECTOR('',#416586,10.); #123832=VECTOR('',#416587,10.); #123833=VECTOR('',#416590,10.); #123834=VECTOR('',#416591,10.); #123835=VECTOR('',#416592,10.); #123836=VECTOR('',#416595,10.); #123837=VECTOR('',#416596,10.); #123838=VECTOR('',#416597,10.); #123839=VECTOR('',#416600,10.); #123840=VECTOR('',#416601,10.); #123841=VECTOR('',#416602,10.); #123842=VECTOR('',#416605,10.); #123843=VECTOR('',#416606,10.); #123844=VECTOR('',#416607,10.); #123845=VECTOR('',#416610,10.); #123846=VECTOR('',#416611,10.); #123847=VECTOR('',#416612,10.); #123848=VECTOR('',#416615,10.); #123849=VECTOR('',#416616,10.); #123850=VECTOR('',#416617,10.); #123851=VECTOR('',#416620,10.); #123852=VECTOR('',#416621,10.); #123853=VECTOR('',#416622,10.); #123854=VECTOR('',#416625,10.); #123855=VECTOR('',#416626,10.); #123856=VECTOR('',#416627,10.); #123857=VECTOR('',#416630,10.); #123858=VECTOR('',#416631,10.); #123859=VECTOR('',#416632,10.); #123860=VECTOR('',#416635,10.); #123861=VECTOR('',#416636,10.); #123862=VECTOR('',#416637,10.); #123863=VECTOR('',#416640,10.); #123864=VECTOR('',#416641,10.); #123865=VECTOR('',#416642,10.); #123866=VECTOR('',#416645,10.); #123867=VECTOR('',#416646,10.); #123868=VECTOR('',#416647,10.); #123869=VECTOR('',#416650,10.); #123870=VECTOR('',#416651,10.); #123871=VECTOR('',#416652,10.); #123872=VECTOR('',#416655,10.); #123873=VECTOR('',#416656,10.); #123874=VECTOR('',#416657,10.); #123875=VECTOR('',#416660,10.); #123876=VECTOR('',#416661,10.); #123877=VECTOR('',#416662,10.); #123878=VECTOR('',#416665,10.); #123879=VECTOR('',#416666,10.); #123880=VECTOR('',#416667,10.); #123881=VECTOR('',#416670,10.); #123882=VECTOR('',#416671,10.); #123883=VECTOR('',#416672,10.); #123884=VECTOR('',#416675,10.); #123885=VECTOR('',#416676,10.); #123886=VECTOR('',#416679,10.); #123887=VECTOR('',#416680,10.); #123888=VECTOR('',#416681,10.); #123889=VECTOR('',#416682,10.); #123890=VECTOR('',#416685,10.); #123891=VECTOR('',#416686,10.); #123892=VECTOR('',#416687,10.); #123893=VECTOR('',#416690,10.); #123894=VECTOR('',#416691,10.); #123895=VECTOR('',#416692,10.); #123896=VECTOR('',#416695,10.); #123897=VECTOR('',#416696,10.); #123898=VECTOR('',#416697,10.); #123899=VECTOR('',#416700,10.); #123900=VECTOR('',#416701,10.); #123901=VECTOR('',#416702,10.); #123902=VECTOR('',#416705,10.); #123903=VECTOR('',#416706,10.); #123904=VECTOR('',#416707,10.); #123905=VECTOR('',#416710,10.); #123906=VECTOR('',#416711,10.); #123907=VECTOR('',#416712,10.); #123908=VECTOR('',#416715,10.); #123909=VECTOR('',#416716,10.); #123910=VECTOR('',#416717,10.); #123911=VECTOR('',#416720,10.); #123912=VECTOR('',#416721,10.); #123913=VECTOR('',#416722,10.); #123914=VECTOR('',#416725,10.); #123915=VECTOR('',#416726,10.); #123916=VECTOR('',#416727,10.); #123917=VECTOR('',#416730,10.); #123918=VECTOR('',#416731,10.); #123919=VECTOR('',#416732,10.); #123920=VECTOR('',#416735,10.); #123921=VECTOR('',#416736,10.); #123922=VECTOR('',#416737,10.); #123923=VECTOR('',#416740,10.); #123924=VECTOR('',#416741,10.); #123925=VECTOR('',#416742,10.); #123926=VECTOR('',#416745,10.); #123927=VECTOR('',#416746,10.); #123928=VECTOR('',#416747,10.); #123929=VECTOR('',#416750,10.); #123930=VECTOR('',#416751,10.); #123931=VECTOR('',#416752,10.); #123932=VECTOR('',#416755,10.); #123933=VECTOR('',#416756,10.); #123934=VECTOR('',#416757,10.); #123935=VECTOR('',#416760,10.); #123936=VECTOR('',#416761,10.); #123937=VECTOR('',#416762,10.); #123938=VECTOR('',#416765,10.); #123939=VECTOR('',#416766,10.); #123940=VECTOR('',#416767,10.); #123941=VECTOR('',#416770,10.); #123942=VECTOR('',#416771,10.); #123943=VECTOR('',#416772,10.); #123944=VECTOR('',#416775,10.); #123945=VECTOR('',#416776,10.); #123946=VECTOR('',#416777,10.); #123947=VECTOR('',#416780,10.); #123948=VECTOR('',#416781,10.); #123949=VECTOR('',#416782,10.); #123950=VECTOR('',#416785,10.); #123951=VECTOR('',#416786,10.); #123952=VECTOR('',#416787,10.); #123953=VECTOR('',#416790,10.); #123954=VECTOR('',#416791,10.); #123955=VECTOR('',#416792,10.); #123956=VECTOR('',#416795,10.); #123957=VECTOR('',#416796,10.); #123958=VECTOR('',#416797,10.); #123959=VECTOR('',#416800,10.); #123960=VECTOR('',#416801,10.); #123961=VECTOR('',#416802,10.); #123962=VECTOR('',#416805,10.); #123963=VECTOR('',#416806,10.); #123964=VECTOR('',#416807,10.); #123965=VECTOR('',#416810,10.); #123966=VECTOR('',#416811,10.); #123967=VECTOR('',#416812,10.); #123968=VECTOR('',#416815,10.); #123969=VECTOR('',#416816,10.); #123970=VECTOR('',#416817,10.); #123971=VECTOR('',#416820,10.); #123972=VECTOR('',#416821,10.); #123973=VECTOR('',#416822,10.); #123974=VECTOR('',#416825,10.); #123975=VECTOR('',#416826,10.); #123976=VECTOR('',#416829,10.); #123977=VECTOR('',#416830,10.); #123978=VECTOR('',#416831,10.); #123979=VECTOR('',#416832,10.); #123980=VECTOR('',#416835,10.); #123981=VECTOR('',#416836,10.); #123982=VECTOR('',#416837,10.); #123983=VECTOR('',#416840,10.); #123984=VECTOR('',#416841,10.); #123985=VECTOR('',#416842,10.); #123986=VECTOR('',#416845,10.); #123987=VECTOR('',#416846,10.); #123988=VECTOR('',#416847,10.); #123989=VECTOR('',#416850,10.); #123990=VECTOR('',#416851,10.); #123991=VECTOR('',#416852,10.); #123992=VECTOR('',#416855,10.); #123993=VECTOR('',#416856,10.); #123994=VECTOR('',#416857,10.); #123995=VECTOR('',#416860,10.); #123996=VECTOR('',#416861,10.); #123997=VECTOR('',#416862,10.); #123998=VECTOR('',#416865,10.); #123999=VECTOR('',#416866,10.); #124000=VECTOR('',#416867,10.); #124001=VECTOR('',#416870,10.); #124002=VECTOR('',#416871,10.); #124003=VECTOR('',#416872,10.); #124004=VECTOR('',#416875,10.); #124005=VECTOR('',#416876,10.); #124006=VECTOR('',#416877,10.); #124007=VECTOR('',#416880,10.); #124008=VECTOR('',#416881,10.); #124009=VECTOR('',#416882,10.); #124010=VECTOR('',#416885,10.); #124011=VECTOR('',#416886,10.); #124012=VECTOR('',#416887,10.); #124013=VECTOR('',#416890,10.); #124014=VECTOR('',#416891,10.); #124015=VECTOR('',#416892,10.); #124016=VECTOR('',#416895,10.); #124017=VECTOR('',#416896,10.); #124018=VECTOR('',#416897,10.); #124019=VECTOR('',#416900,10.); #124020=VECTOR('',#416901,10.); #124021=VECTOR('',#416902,10.); #124022=VECTOR('',#416905,10.); #124023=VECTOR('',#416906,10.); #124024=VECTOR('',#416907,10.); #124025=VECTOR('',#416910,10.); #124026=VECTOR('',#416911,10.); #124027=VECTOR('',#416912,10.); #124028=VECTOR('',#416915,10.); #124029=VECTOR('',#416916,10.); #124030=VECTOR('',#416917,10.); #124031=VECTOR('',#416920,10.); #124032=VECTOR('',#416921,10.); #124033=VECTOR('',#416922,10.); #124034=VECTOR('',#416925,10.); #124035=VECTOR('',#416926,10.); #124036=VECTOR('',#416927,10.); #124037=VECTOR('',#416930,10.); #124038=VECTOR('',#416931,10.); #124039=VECTOR('',#416932,10.); #124040=VECTOR('',#416935,10.); #124041=VECTOR('',#416936,10.); #124042=VECTOR('',#416937,10.); #124043=VECTOR('',#416940,10.); #124044=VECTOR('',#416941,10.); #124045=VECTOR('',#416942,10.); #124046=VECTOR('',#416945,10.); #124047=VECTOR('',#416946,10.); #124048=VECTOR('',#416947,10.); #124049=VECTOR('',#416950,10.); #124050=VECTOR('',#416951,10.); #124051=VECTOR('',#416952,10.); #124052=VECTOR('',#416955,10.); #124053=VECTOR('',#416956,10.); #124054=VECTOR('',#416957,10.); #124055=VECTOR('',#416960,10.); #124056=VECTOR('',#416961,10.); #124057=VECTOR('',#416962,10.); #124058=VECTOR('',#416965,10.); #124059=VECTOR('',#416966,10.); #124060=VECTOR('',#416967,10.); #124061=VECTOR('',#416970,10.); #124062=VECTOR('',#416971,10.); #124063=VECTOR('',#416972,10.); #124064=VECTOR('',#416975,10.); #124065=VECTOR('',#416976,10.); #124066=VECTOR('',#416979,10.); #124067=VECTOR('',#416980,10.); #124068=VECTOR('',#416981,10.); #124069=VECTOR('',#416982,10.); #124070=VECTOR('',#416985,10.); #124071=VECTOR('',#416986,10.); #124072=VECTOR('',#416987,10.); #124073=VECTOR('',#416990,10.); #124074=VECTOR('',#416991,10.); #124075=VECTOR('',#416992,10.); #124076=VECTOR('',#416995,10.); #124077=VECTOR('',#416996,10.); #124078=VECTOR('',#416997,10.); #124079=VECTOR('',#417000,10.); #124080=VECTOR('',#417001,10.); #124081=VECTOR('',#417002,10.); #124082=VECTOR('',#417005,10.); #124083=VECTOR('',#417006,10.); #124084=VECTOR('',#417007,10.); #124085=VECTOR('',#417010,10.); #124086=VECTOR('',#417011,10.); #124087=VECTOR('',#417012,10.); #124088=VECTOR('',#417015,10.); #124089=VECTOR('',#417016,10.); #124090=VECTOR('',#417017,10.); #124091=VECTOR('',#417020,10.); #124092=VECTOR('',#417021,10.); #124093=VECTOR('',#417022,10.); #124094=VECTOR('',#417025,10.); #124095=VECTOR('',#417026,10.); #124096=VECTOR('',#417027,10.); #124097=VECTOR('',#417030,10.); #124098=VECTOR('',#417031,10.); #124099=VECTOR('',#417032,10.); #124100=VECTOR('',#417035,10.); #124101=VECTOR('',#417036,10.); #124102=VECTOR('',#417037,10.); #124103=VECTOR('',#417040,10.); #124104=VECTOR('',#417041,10.); #124105=VECTOR('',#417042,10.); #124106=VECTOR('',#417045,10.); #124107=VECTOR('',#417046,10.); #124108=VECTOR('',#417047,10.); #124109=VECTOR('',#417050,10.); #124110=VECTOR('',#417051,10.); #124111=VECTOR('',#417052,10.); #124112=VECTOR('',#417055,10.); #124113=VECTOR('',#417056,10.); #124114=VECTOR('',#417057,10.); #124115=VECTOR('',#417060,10.); #124116=VECTOR('',#417061,10.); #124117=VECTOR('',#417062,10.); #124118=VECTOR('',#417065,10.); #124119=VECTOR('',#417066,10.); #124120=VECTOR('',#417067,10.); #124121=VECTOR('',#417070,10.); #124122=VECTOR('',#417071,10.); #124123=VECTOR('',#417072,10.); #124124=VECTOR('',#417075,10.); #124125=VECTOR('',#417076,10.); #124126=VECTOR('',#417077,10.); #124127=VECTOR('',#417080,10.); #124128=VECTOR('',#417081,10.); #124129=VECTOR('',#417082,10.); #124130=VECTOR('',#417085,10.); #124131=VECTOR('',#417086,10.); #124132=VECTOR('',#417087,10.); #124133=VECTOR('',#417090,10.); #124134=VECTOR('',#417091,10.); #124135=VECTOR('',#417092,10.); #124136=VECTOR('',#417095,10.); #124137=VECTOR('',#417096,10.); #124138=VECTOR('',#417097,10.); #124139=VECTOR('',#417100,10.); #124140=VECTOR('',#417101,10.); #124141=VECTOR('',#417102,10.); #124142=VECTOR('',#417105,10.); #124143=VECTOR('',#417106,10.); #124144=VECTOR('',#417107,10.); #124145=VECTOR('',#417110,10.); #124146=VECTOR('',#417111,10.); #124147=VECTOR('',#417112,10.); #124148=VECTOR('',#417115,10.); #124149=VECTOR('',#417116,10.); #124150=VECTOR('',#417117,10.); #124151=VECTOR('',#417120,10.); #124152=VECTOR('',#417121,10.); #124153=VECTOR('',#417122,10.); #124154=VECTOR('',#417125,10.); #124155=VECTOR('',#417126,10.); #124156=VECTOR('',#417129,10.); #124157=VECTOR('',#417130,10.); #124158=VECTOR('',#417131,10.); #124159=VECTOR('',#417132,10.); #124160=VECTOR('',#417135,10.); #124161=VECTOR('',#417136,10.); #124162=VECTOR('',#417137,10.); #124163=VECTOR('',#417140,10.); #124164=VECTOR('',#417141,10.); #124165=VECTOR('',#417142,10.); #124166=VECTOR('',#417145,10.); #124167=VECTOR('',#417146,10.); #124168=VECTOR('',#417147,10.); #124169=VECTOR('',#417150,10.); #124170=VECTOR('',#417151,10.); #124171=VECTOR('',#417152,10.); #124172=VECTOR('',#417155,10.); #124173=VECTOR('',#417156,10.); #124174=VECTOR('',#417157,10.); #124175=VECTOR('',#417160,10.); #124176=VECTOR('',#417161,10.); #124177=VECTOR('',#417162,10.); #124178=VECTOR('',#417165,10.); #124179=VECTOR('',#417166,10.); #124180=VECTOR('',#417167,10.); #124181=VECTOR('',#417170,10.); #124182=VECTOR('',#417171,10.); #124183=VECTOR('',#417172,10.); #124184=VECTOR('',#417175,10.); #124185=VECTOR('',#417176,10.); #124186=VECTOR('',#417177,10.); #124187=VECTOR('',#417180,10.); #124188=VECTOR('',#417181,10.); #124189=VECTOR('',#417182,10.); #124190=VECTOR('',#417185,10.); #124191=VECTOR('',#417186,10.); #124192=VECTOR('',#417187,10.); #124193=VECTOR('',#417190,10.); #124194=VECTOR('',#417191,10.); #124195=VECTOR('',#417192,10.); #124196=VECTOR('',#417195,10.); #124197=VECTOR('',#417196,10.); #124198=VECTOR('',#417197,10.); #124199=VECTOR('',#417200,10.); #124200=VECTOR('',#417201,10.); #124201=VECTOR('',#417202,10.); #124202=VECTOR('',#417205,10.); #124203=VECTOR('',#417206,10.); #124204=VECTOR('',#417207,10.); #124205=VECTOR('',#417210,10.); #124206=VECTOR('',#417211,10.); #124207=VECTOR('',#417212,10.); #124208=VECTOR('',#417215,10.); #124209=VECTOR('',#417216,10.); #124210=VECTOR('',#417217,10.); #124211=VECTOR('',#417220,10.); #124212=VECTOR('',#417221,10.); #124213=VECTOR('',#417222,10.); #124214=VECTOR('',#417225,10.); #124215=VECTOR('',#417226,10.); #124216=VECTOR('',#417227,10.); #124217=VECTOR('',#417230,10.); #124218=VECTOR('',#417231,10.); #124219=VECTOR('',#417232,10.); #124220=VECTOR('',#417235,10.); #124221=VECTOR('',#417236,10.); #124222=VECTOR('',#417237,10.); #124223=VECTOR('',#417240,10.); #124224=VECTOR('',#417241,10.); #124225=VECTOR('',#417242,10.); #124226=VECTOR('',#417245,10.); #124227=VECTOR('',#417246,10.); #124228=VECTOR('',#417247,10.); #124229=VECTOR('',#417250,10.); #124230=VECTOR('',#417251,10.); #124231=VECTOR('',#417252,10.); #124232=VECTOR('',#417255,10.); #124233=VECTOR('',#417256,10.); #124234=VECTOR('',#417257,10.); #124235=VECTOR('',#417260,10.); #124236=VECTOR('',#417261,10.); #124237=VECTOR('',#417262,10.); #124238=VECTOR('',#417265,10.); #124239=VECTOR('',#417266,10.); #124240=VECTOR('',#417267,10.); #124241=VECTOR('',#417270,10.); #124242=VECTOR('',#417271,10.); #124243=VECTOR('',#417272,10.); #124244=VECTOR('',#417275,10.); #124245=VECTOR('',#417276,10.); #124246=VECTOR('',#417279,10.); #124247=VECTOR('',#417280,10.); #124248=VECTOR('',#417281,10.); #124249=VECTOR('',#417282,10.); #124250=VECTOR('',#417285,10.); #124251=VECTOR('',#417286,10.); #124252=VECTOR('',#417287,10.); #124253=VECTOR('',#417290,10.); #124254=VECTOR('',#417291,10.); #124255=VECTOR('',#417292,10.); #124256=VECTOR('',#417295,10.); #124257=VECTOR('',#417296,10.); #124258=VECTOR('',#417297,10.); #124259=VECTOR('',#417300,10.); #124260=VECTOR('',#417301,10.); #124261=VECTOR('',#417302,10.); #124262=VECTOR('',#417305,10.); #124263=VECTOR('',#417306,10.); #124264=VECTOR('',#417307,10.); #124265=VECTOR('',#417310,10.); #124266=VECTOR('',#417311,10.); #124267=VECTOR('',#417312,10.); #124268=VECTOR('',#417315,10.); #124269=VECTOR('',#417316,10.); #124270=VECTOR('',#417317,10.); #124271=VECTOR('',#417320,10.); #124272=VECTOR('',#417321,10.); #124273=VECTOR('',#417322,10.); #124274=VECTOR('',#417325,10.); #124275=VECTOR('',#417326,10.); #124276=VECTOR('',#417327,10.); #124277=VECTOR('',#417330,10.); #124278=VECTOR('',#417331,10.); #124279=VECTOR('',#417332,10.); #124280=VECTOR('',#417335,10.); #124281=VECTOR('',#417336,10.); #124282=VECTOR('',#417337,10.); #124283=VECTOR('',#417340,10.); #124284=VECTOR('',#417341,10.); #124285=VECTOR('',#417342,10.); #124286=VECTOR('',#417345,10.); #124287=VECTOR('',#417346,10.); #124288=VECTOR('',#417347,10.); #124289=VECTOR('',#417350,10.); #124290=VECTOR('',#417351,10.); #124291=VECTOR('',#417352,10.); #124292=VECTOR('',#417355,10.); #124293=VECTOR('',#417356,10.); #124294=VECTOR('',#417357,10.); #124295=VECTOR('',#417360,10.); #124296=VECTOR('',#417361,10.); #124297=VECTOR('',#417362,10.); #124298=VECTOR('',#417365,10.); #124299=VECTOR('',#417366,10.); #124300=VECTOR('',#417367,10.); #124301=VECTOR('',#417370,10.); #124302=VECTOR('',#417371,10.); #124303=VECTOR('',#417372,10.); #124304=VECTOR('',#417375,10.); #124305=VECTOR('',#417376,10.); #124306=VECTOR('',#417377,10.); #124307=VECTOR('',#417380,10.); #124308=VECTOR('',#417381,10.); #124309=VECTOR('',#417382,10.); #124310=VECTOR('',#417385,10.); #124311=VECTOR('',#417386,10.); #124312=VECTOR('',#417387,10.); #124313=VECTOR('',#417390,10.); #124314=VECTOR('',#417391,10.); #124315=VECTOR('',#417392,10.); #124316=VECTOR('',#417395,10.); #124317=VECTOR('',#417396,10.); #124318=VECTOR('',#417397,10.); #124319=VECTOR('',#417400,10.); #124320=VECTOR('',#417401,10.); #124321=VECTOR('',#417402,10.); #124322=VECTOR('',#417405,10.); #124323=VECTOR('',#417406,10.); #124324=VECTOR('',#417407,10.); #124325=VECTOR('',#417410,10.); #124326=VECTOR('',#417411,10.); #124327=VECTOR('',#417412,10.); #124328=VECTOR('',#417415,10.); #124329=VECTOR('',#417416,10.); #124330=VECTOR('',#417417,10.); #124331=VECTOR('',#417420,10.); #124332=VECTOR('',#417421,10.); #124333=VECTOR('',#417422,10.); #124334=VECTOR('',#417425,10.); #124335=VECTOR('',#417426,10.); #124336=VECTOR('',#417427,10.); #124337=VECTOR('',#417430,10.); #124338=VECTOR('',#417431,10.); #124339=VECTOR('',#417432,10.); #124340=VECTOR('',#417435,10.); #124341=VECTOR('',#417436,10.); #124342=VECTOR('',#417437,10.); #124343=VECTOR('',#417440,10.); #124344=VECTOR('',#417441,10.); #124345=VECTOR('',#417442,10.); #124346=VECTOR('',#417445,10.); #124347=VECTOR('',#417446,10.); #124348=VECTOR('',#417447,10.); #124349=VECTOR('',#417450,10.); #124350=VECTOR('',#417451,10.); #124351=VECTOR('',#417452,10.); #124352=VECTOR('',#417455,10.); #124353=VECTOR('',#417456,10.); #124354=VECTOR('',#417457,10.); #124355=VECTOR('',#417460,10.); #124356=VECTOR('',#417461,10.); #124357=VECTOR('',#417462,10.); #124358=VECTOR('',#417465,10.); #124359=VECTOR('',#417466,10.); #124360=VECTOR('',#417467,10.); #124361=VECTOR('',#417470,10.); #124362=VECTOR('',#417471,10.); #124363=VECTOR('',#417472,10.); #124364=VECTOR('',#417475,10.); #124365=VECTOR('',#417476,10.); #124366=VECTOR('',#417477,10.); #124367=VECTOR('',#417480,10.); #124368=VECTOR('',#417481,10.); #124369=VECTOR('',#417482,10.); #124370=VECTOR('',#417485,10.); #124371=VECTOR('',#417486,10.); #124372=VECTOR('',#417487,10.); #124373=VECTOR('',#417490,10.); #124374=VECTOR('',#417491,10.); #124375=VECTOR('',#417492,10.); #124376=VECTOR('',#417495,10.); #124377=VECTOR('',#417496,10.); #124378=VECTOR('',#417497,10.); #124379=VECTOR('',#417500,10.); #124380=VECTOR('',#417501,10.); #124381=VECTOR('',#417502,10.); #124382=VECTOR('',#417505,10.); #124383=VECTOR('',#417506,10.); #124384=VECTOR('',#417507,10.); #124385=VECTOR('',#417510,10.); #124386=VECTOR('',#417511,10.); #124387=VECTOR('',#417512,10.); #124388=VECTOR('',#417515,10.); #124389=VECTOR('',#417516,10.); #124390=VECTOR('',#417517,10.); #124391=VECTOR('',#417520,10.); #124392=VECTOR('',#417521,10.); #124393=VECTOR('',#417522,10.); #124394=VECTOR('',#417525,10.); #124395=VECTOR('',#417526,10.); #124396=VECTOR('',#417527,10.); #124397=VECTOR('',#417530,10.); #124398=VECTOR('',#417531,10.); #124399=VECTOR('',#417532,10.); #124400=VECTOR('',#417535,10.); #124401=VECTOR('',#417536,10.); #124402=VECTOR('',#417537,10.); #124403=VECTOR('',#417540,10.); #124404=VECTOR('',#417541,10.); #124405=VECTOR('',#417542,10.); #124406=VECTOR('',#417545,10.); #124407=VECTOR('',#417546,10.); #124408=VECTOR('',#417547,10.); #124409=VECTOR('',#417550,10.); #124410=VECTOR('',#417551,10.); #124411=VECTOR('',#417552,10.); #124412=VECTOR('',#417555,10.); #124413=VECTOR('',#417556,10.); #124414=VECTOR('',#417557,10.); #124415=VECTOR('',#417560,10.); #124416=VECTOR('',#417561,10.); #124417=VECTOR('',#417562,10.); #124418=VECTOR('',#417565,10.); #124419=VECTOR('',#417566,10.); #124420=VECTOR('',#417567,10.); #124421=VECTOR('',#417570,10.); #124422=VECTOR('',#417571,10.); #124423=VECTOR('',#417572,10.); #124424=VECTOR('',#417575,10.); #124425=VECTOR('',#417576,10.); #124426=VECTOR('',#417577,10.); #124427=VECTOR('',#417580,10.); #124428=VECTOR('',#417581,10.); #124429=VECTOR('',#417582,10.); #124430=VECTOR('',#417585,10.); #124431=VECTOR('',#417586,10.); #124432=VECTOR('',#417587,10.); #124433=VECTOR('',#417590,10.); #124434=VECTOR('',#417591,10.); #124435=VECTOR('',#417592,10.); #124436=VECTOR('',#417595,10.); #124437=VECTOR('',#417596,10.); #124438=VECTOR('',#417597,10.); #124439=VECTOR('',#417600,10.); #124440=VECTOR('',#417601,10.); #124441=VECTOR('',#417602,10.); #124442=VECTOR('',#417605,10.); #124443=VECTOR('',#417606,10.); #124444=VECTOR('',#417607,10.); #124445=VECTOR('',#417610,10.); #124446=VECTOR('',#417611,10.); #124447=VECTOR('',#417612,10.); #124448=VECTOR('',#417615,10.); #124449=VECTOR('',#417616,10.); #124450=VECTOR('',#417617,10.); #124451=VECTOR('',#417620,10.); #124452=VECTOR('',#417621,10.); #124453=VECTOR('',#417622,10.); #124454=VECTOR('',#417625,10.); #124455=VECTOR('',#417626,10.); #124456=VECTOR('',#417627,10.); #124457=VECTOR('',#417630,10.); #124458=VECTOR('',#417631,10.); #124459=VECTOR('',#417632,10.); #124460=VECTOR('',#417635,10.); #124461=VECTOR('',#417636,10.); #124462=VECTOR('',#417637,10.); #124463=VECTOR('',#417640,10.); #124464=VECTOR('',#417641,10.); #124465=VECTOR('',#417642,10.); #124466=VECTOR('',#417645,10.); #124467=VECTOR('',#417646,10.); #124468=VECTOR('',#417647,10.); #124469=VECTOR('',#417650,10.); #124470=VECTOR('',#417651,10.); #124471=VECTOR('',#417652,10.); #124472=VECTOR('',#417655,10.); #124473=VECTOR('',#417656,10.); #124474=VECTOR('',#417657,10.); #124475=VECTOR('',#417660,10.); #124476=VECTOR('',#417661,10.); #124477=VECTOR('',#417662,10.); #124478=VECTOR('',#417665,10.); #124479=VECTOR('',#417666,10.); #124480=VECTOR('',#417667,10.); #124481=VECTOR('',#417670,10.); #124482=VECTOR('',#417671,10.); #124483=VECTOR('',#417672,10.); #124484=VECTOR('',#417675,10.); #124485=VECTOR('',#417676,10.); #124486=VECTOR('',#417677,10.); #124487=VECTOR('',#417680,10.); #124488=VECTOR('',#417681,10.); #124489=VECTOR('',#417682,10.); #124490=VECTOR('',#417685,10.); #124491=VECTOR('',#417686,10.); #124492=VECTOR('',#417687,10.); #124493=VECTOR('',#417690,10.); #124494=VECTOR('',#417691,10.); #124495=VECTOR('',#417692,10.); #124496=VECTOR('',#417695,10.); #124497=VECTOR('',#417696,10.); #124498=VECTOR('',#417697,10.); #124499=VECTOR('',#417700,10.); #124500=VECTOR('',#417701,10.); #124501=VECTOR('',#417702,10.); #124502=VECTOR('',#417705,10.); #124503=VECTOR('',#417706,10.); #124504=VECTOR('',#417707,10.); #124505=VECTOR('',#417710,10.); #124506=VECTOR('',#417711,10.); #124507=VECTOR('',#417712,10.); #124508=VECTOR('',#417715,10.); #124509=VECTOR('',#417716,10.); #124510=VECTOR('',#417717,10.); #124511=VECTOR('',#417720,10.); #124512=VECTOR('',#417721,10.); #124513=VECTOR('',#417722,10.); #124514=VECTOR('',#417725,10.); #124515=VECTOR('',#417726,10.); #124516=VECTOR('',#417727,10.); #124517=VECTOR('',#417730,10.); #124518=VECTOR('',#417731,10.); #124519=VECTOR('',#417732,10.); #124520=VECTOR('',#417735,10.); #124521=VECTOR('',#417736,10.); #124522=VECTOR('',#417737,10.); #124523=VECTOR('',#417740,10.); #124524=VECTOR('',#417741,10.); #124525=VECTOR('',#417742,10.); #124526=VECTOR('',#417745,10.); #124527=VECTOR('',#417746,10.); #124528=VECTOR('',#417747,10.); #124529=VECTOR('',#417750,10.); #124530=VECTOR('',#417751,10.); #124531=VECTOR('',#417752,10.); #124532=VECTOR('',#417755,10.); #124533=VECTOR('',#417756,10.); #124534=VECTOR('',#417757,10.); #124535=VECTOR('',#417760,10.); #124536=VECTOR('',#417761,10.); #124537=VECTOR('',#417762,10.); #124538=VECTOR('',#417765,10.); #124539=VECTOR('',#417766,10.); #124540=VECTOR('',#417767,10.); #124541=VECTOR('',#417770,10.); #124542=VECTOR('',#417771,10.); #124543=VECTOR('',#417772,10.); #124544=VECTOR('',#417775,10.); #124545=VECTOR('',#417776,10.); #124546=VECTOR('',#417777,10.); #124547=VECTOR('',#417780,10.); #124548=VECTOR('',#417781,10.); #124549=VECTOR('',#417782,10.); #124550=VECTOR('',#417785,10.); #124551=VECTOR('',#417786,10.); #124552=VECTOR('',#417787,10.); #124553=VECTOR('',#417790,10.); #124554=VECTOR('',#417791,10.); #124555=VECTOR('',#417792,10.); #124556=VECTOR('',#417795,10.); #124557=VECTOR('',#417796,10.); #124558=VECTOR('',#417797,10.); #124559=VECTOR('',#417800,10.); #124560=VECTOR('',#417801,10.); #124561=VECTOR('',#417802,10.); #124562=VECTOR('',#417805,10.); #124563=VECTOR('',#417806,10.); #124564=VECTOR('',#417807,10.); #124565=VECTOR('',#417810,10.); #124566=VECTOR('',#417811,10.); #124567=VECTOR('',#417812,10.); #124568=VECTOR('',#417815,10.); #124569=VECTOR('',#417816,10.); #124570=VECTOR('',#417817,10.); #124571=VECTOR('',#417820,10.); #124572=VECTOR('',#417821,10.); #124573=VECTOR('',#417822,10.); #124574=VECTOR('',#417825,10.); #124575=VECTOR('',#417826,10.); #124576=VECTOR('',#417827,10.); #124577=VECTOR('',#417830,10.); #124578=VECTOR('',#417831,10.); #124579=VECTOR('',#417832,10.); #124580=VECTOR('',#417835,10.); #124581=VECTOR('',#417836,10.); #124582=VECTOR('',#417837,10.); #124583=VECTOR('',#417840,10.); #124584=VECTOR('',#417841,10.); #124585=VECTOR('',#417842,10.); #124586=VECTOR('',#417845,10.); #124587=VECTOR('',#417846,10.); #124588=VECTOR('',#417847,10.); #124589=VECTOR('',#417850,10.); #124590=VECTOR('',#417851,10.); #124591=VECTOR('',#417852,10.); #124592=VECTOR('',#417855,10.); #124593=VECTOR('',#417856,10.); #124594=VECTOR('',#417857,10.); #124595=VECTOR('',#417860,10.); #124596=VECTOR('',#417861,10.); #124597=VECTOR('',#417862,10.); #124598=VECTOR('',#417865,10.); #124599=VECTOR('',#417866,10.); #124600=VECTOR('',#417867,10.); #124601=VECTOR('',#417870,10.); #124602=VECTOR('',#417871,10.); #124603=VECTOR('',#417872,10.); #124604=VECTOR('',#417875,10.); #124605=VECTOR('',#417876,10.); #124606=VECTOR('',#417883,10.); #124607=VECTOR('',#417884,10.); #124608=VECTOR('',#417885,10.); #124609=VECTOR('',#417886,10.); #124610=VECTOR('',#417889,10.); #124611=VECTOR('',#417890,10.); #124612=VECTOR('',#417891,10.); #124613=VECTOR('',#417894,10.); #124614=VECTOR('',#417895,10.); #124615=VECTOR('',#417896,10.); #124616=VECTOR('',#417899,10.); #124617=VECTOR('',#417900,10.); #124618=VECTOR('',#417901,10.); #124619=VECTOR('',#417904,10.); #124620=VECTOR('',#417905,10.); #124621=VECTOR('',#417906,10.); #124622=VECTOR('',#417909,10.); #124623=VECTOR('',#417910,10.); #124624=VECTOR('',#417911,10.); #124625=VECTOR('',#417914,10.); #124626=VECTOR('',#417915,10.); #124627=VECTOR('',#417916,10.); #124628=VECTOR('',#417919,10.); #124629=VECTOR('',#417920,10.); #124630=VECTOR('',#417921,10.); #124631=VECTOR('',#417924,10.); #124632=VECTOR('',#417925,10.); #124633=VECTOR('',#417926,10.); #124634=VECTOR('',#417929,10.); #124635=VECTOR('',#417930,10.); #124636=VECTOR('',#417931,10.); #124637=VECTOR('',#417934,10.); #124638=VECTOR('',#417935,10.); #124639=VECTOR('',#417936,10.); #124640=VECTOR('',#417939,10.); #124641=VECTOR('',#417940,10.); #124642=VECTOR('',#417941,10.); #124643=VECTOR('',#417944,10.); #124644=VECTOR('',#417945,10.); #124645=VECTOR('',#417946,10.); #124646=VECTOR('',#417949,10.); #124647=VECTOR('',#417950,10.); #124648=VECTOR('',#417951,10.); #124649=VECTOR('',#417954,10.); #124650=VECTOR('',#417955,10.); #124651=VECTOR('',#417956,10.); #124652=VECTOR('',#417959,10.); #124653=VECTOR('',#417960,10.); #124654=VECTOR('',#417961,10.); #124655=VECTOR('',#417964,10.); #124656=VECTOR('',#417965,10.); #124657=VECTOR('',#417966,10.); #124658=VECTOR('',#417969,10.); #124659=VECTOR('',#417970,10.); #124660=VECTOR('',#417971,10.); #124661=VECTOR('',#417974,10.); #124662=VECTOR('',#417975,10.); #124663=VECTOR('',#417976,10.); #124664=VECTOR('',#417979,10.); #124665=VECTOR('',#417980,10.); #124666=VECTOR('',#417981,10.); #124667=VECTOR('',#417984,10.); #124668=VECTOR('',#417985,10.); #124669=VECTOR('',#417986,10.); #124670=VECTOR('',#417989,10.); #124671=VECTOR('',#417990,10.); #124672=VECTOR('',#417991,10.); #124673=VECTOR('',#417994,10.); #124674=VECTOR('',#417995,10.); #124675=VECTOR('',#417996,10.); #124676=VECTOR('',#417999,10.); #124677=VECTOR('',#418000,10.); #124678=VECTOR('',#418001,10.); #124679=VECTOR('',#418004,10.); #124680=VECTOR('',#418005,10.); #124681=VECTOR('',#418006,10.); #124682=VECTOR('',#418009,10.); #124683=VECTOR('',#418010,10.); #124684=VECTOR('',#418011,10.); #124685=VECTOR('',#418014,10.); #124686=VECTOR('',#418015,10.); #124687=VECTOR('',#418016,10.); #124688=VECTOR('',#418019,10.); #124689=VECTOR('',#418020,10.); #124690=VECTOR('',#418021,10.); #124691=VECTOR('',#418024,10.); #124692=VECTOR('',#418025,10.); #124693=VECTOR('',#418026,10.); #124694=VECTOR('',#418029,10.); #124695=VECTOR('',#418030,10.); #124696=VECTOR('',#418031,10.); #124697=VECTOR('',#418034,10.); #124698=VECTOR('',#418035,10.); #124699=VECTOR('',#418036,10.); #124700=VECTOR('',#418039,10.); #124701=VECTOR('',#418040,10.); #124702=VECTOR('',#418047,10.); #124703=VECTOR('',#418048,10.); #124704=VECTOR('',#418049,10.); #124705=VECTOR('',#418050,10.); #124706=VECTOR('',#418053,10.); #124707=VECTOR('',#418054,10.); #124708=VECTOR('',#418055,10.); #124709=VECTOR('',#418058,10.); #124710=VECTOR('',#418059,10.); #124711=VECTOR('',#418060,10.); #124712=VECTOR('',#418063,10.); #124713=VECTOR('',#418064,10.); #124714=VECTOR('',#418065,10.); #124715=VECTOR('',#418068,10.); #124716=VECTOR('',#418069,10.); #124717=VECTOR('',#418070,10.); #124718=VECTOR('',#418073,10.); #124719=VECTOR('',#418074,10.); #124720=VECTOR('',#418075,10.); #124721=VECTOR('',#418078,10.); #124722=VECTOR('',#418079,10.); #124723=VECTOR('',#418080,10.); #124724=VECTOR('',#418083,10.); #124725=VECTOR('',#418084,10.); #124726=VECTOR('',#418085,10.); #124727=VECTOR('',#418088,10.); #124728=VECTOR('',#418089,10.); #124729=VECTOR('',#418090,10.); #124730=VECTOR('',#418093,10.); #124731=VECTOR('',#418094,10.); #124732=VECTOR('',#418095,10.); #124733=VECTOR('',#418098,10.); #124734=VECTOR('',#418099,10.); #124735=VECTOR('',#418100,10.); #124736=VECTOR('',#418103,10.); #124737=VECTOR('',#418104,10.); #124738=VECTOR('',#418105,10.); #124739=VECTOR('',#418108,10.); #124740=VECTOR('',#418109,10.); #124741=VECTOR('',#418110,10.); #124742=VECTOR('',#418113,10.); #124743=VECTOR('',#418114,10.); #124744=VECTOR('',#418115,10.); #124745=VECTOR('',#418118,10.); #124746=VECTOR('',#418119,10.); #124747=VECTOR('',#418120,10.); #124748=VECTOR('',#418123,10.); #124749=VECTOR('',#418124,10.); #124750=VECTOR('',#418125,10.); #124751=VECTOR('',#418128,10.); #124752=VECTOR('',#418129,10.); #124753=VECTOR('',#418130,10.); #124754=VECTOR('',#418133,10.); #124755=VECTOR('',#418134,10.); #124756=VECTOR('',#418135,10.); #124757=VECTOR('',#418138,10.); #124758=VECTOR('',#418139,10.); #124759=VECTOR('',#418140,10.); #124760=VECTOR('',#418143,10.); #124761=VECTOR('',#418144,10.); #124762=VECTOR('',#418145,10.); #124763=VECTOR('',#418148,10.); #124764=VECTOR('',#418149,10.); #124765=VECTOR('',#418156,10.); #124766=VECTOR('',#418157,10.); #124767=VECTOR('',#418158,10.); #124768=VECTOR('',#418159,10.); #124769=VECTOR('',#418166,10.); #124770=VECTOR('',#418169,10.); #124771=VECTOR('',#418170,10.); #124772=VECTOR('',#418171,10.); #124773=VECTOR('',#418180,10.); #124774=VECTOR('',#418181,10.); #124775=VECTOR('',#418182,10.); #124776=VECTOR('',#418183,10.); #124777=VECTOR('',#418186,10.); #124778=VECTOR('',#418187,10.); #124779=VECTOR('',#418188,10.); #124780=VECTOR('',#418191,10.); #124781=VECTOR('',#418192,10.); #124782=VECTOR('',#418193,10.); #124783=VECTOR('',#418196,10.); #124784=VECTOR('',#418197,10.); #124785=VECTOR('',#418198,10.); #124786=VECTOR('',#418201,10.); #124787=VECTOR('',#418202,10.); #124788=VECTOR('',#418203,10.); #124789=VECTOR('',#418206,10.); #124790=VECTOR('',#418207,10.); #124791=VECTOR('',#418208,10.); #124792=VECTOR('',#418211,10.); #124793=VECTOR('',#418212,10.); #124794=VECTOR('',#418213,10.); #124795=VECTOR('',#418216,10.); #124796=VECTOR('',#418217,10.); #124797=VECTOR('',#418218,10.); #124798=VECTOR('',#418221,10.); #124799=VECTOR('',#418222,10.); #124800=VECTOR('',#418223,10.); #124801=VECTOR('',#418226,10.); #124802=VECTOR('',#418227,10.); #124803=VECTOR('',#418228,10.); #124804=VECTOR('',#418231,10.); #124805=VECTOR('',#418232,10.); #124806=VECTOR('',#418233,10.); #124807=VECTOR('',#418236,10.); #124808=VECTOR('',#418237,10.); #124809=VECTOR('',#418238,10.); #124810=VECTOR('',#418241,10.); #124811=VECTOR('',#418242,10.); #124812=VECTOR('',#418243,10.); #124813=VECTOR('',#418246,10.); #124814=VECTOR('',#418247,10.); #124815=VECTOR('',#418248,10.); #124816=VECTOR('',#418251,10.); #124817=VECTOR('',#418252,10.); #124818=VECTOR('',#418253,10.); #124819=VECTOR('',#418256,10.); #124820=VECTOR('',#418257,10.); #124821=VECTOR('',#418258,10.); #124822=VECTOR('',#418261,10.); #124823=VECTOR('',#418262,10.); #124824=VECTOR('',#418263,10.); #124825=VECTOR('',#418266,10.); #124826=VECTOR('',#418267,10.); #124827=VECTOR('',#418268,10.); #124828=VECTOR('',#418271,10.); #124829=VECTOR('',#418272,10.); #124830=VECTOR('',#418273,10.); #124831=VECTOR('',#418276,10.); #124832=VECTOR('',#418277,10.); #124833=VECTOR('',#418278,10.); #124834=VECTOR('',#418281,10.); #124835=VECTOR('',#418282,10.); #124836=VECTOR('',#418283,10.); #124837=VECTOR('',#418286,10.); #124838=VECTOR('',#418287,10.); #124839=VECTOR('',#418288,10.); #124840=VECTOR('',#418291,10.); #124841=VECTOR('',#418292,10.); #124842=VECTOR('',#418293,10.); #124843=VECTOR('',#418296,10.); #124844=VECTOR('',#418297,10.); #124845=VECTOR('',#418298,10.); #124846=VECTOR('',#418301,10.); #124847=VECTOR('',#418302,10.); #124848=VECTOR('',#418303,10.); #124849=VECTOR('',#418306,10.); #124850=VECTOR('',#418307,10.); #124851=VECTOR('',#418308,10.); #124852=VECTOR('',#418311,10.); #124853=VECTOR('',#418312,10.); #124854=VECTOR('',#418313,10.); #124855=VECTOR('',#418316,10.); #124856=VECTOR('',#418317,10.); #124857=VECTOR('',#418318,10.); #124858=VECTOR('',#418321,10.); #124859=VECTOR('',#418322,10.); #124860=VECTOR('',#418323,10.); #124861=VECTOR('',#418326,10.); #124862=VECTOR('',#418327,10.); #124863=VECTOR('',#418328,10.); #124864=VECTOR('',#418331,10.); #124865=VECTOR('',#418332,10.); #124866=VECTOR('',#418333,10.); #124867=VECTOR('',#418336,10.); #124868=VECTOR('',#418337,10.); #124869=VECTOR('',#418338,10.); #124870=VECTOR('',#418341,10.); #124871=VECTOR('',#418342,10.); #124872=VECTOR('',#418343,10.); #124873=VECTOR('',#418346,10.); #124874=VECTOR('',#418347,10.); #124875=VECTOR('',#418348,10.); #124876=VECTOR('',#418351,10.); #124877=VECTOR('',#418352,10.); #124878=VECTOR('',#418353,10.); #124879=VECTOR('',#418356,10.); #124880=VECTOR('',#418357,10.); #124881=VECTOR('',#418358,10.); #124882=VECTOR('',#418361,10.); #124883=VECTOR('',#418362,10.); #124884=VECTOR('',#418363,10.); #124885=VECTOR('',#418366,10.); #124886=VECTOR('',#418367,10.); #124887=VECTOR('',#418368,10.); #124888=VECTOR('',#418371,10.); #124889=VECTOR('',#418372,10.); #124890=VECTOR('',#418373,10.); #124891=VECTOR('',#418376,10.); #124892=VECTOR('',#418377,10.); #124893=VECTOR('',#418378,10.); #124894=VECTOR('',#418381,10.); #124895=VECTOR('',#418382,10.); #124896=VECTOR('',#418383,10.); #124897=VECTOR('',#418386,10.); #124898=VECTOR('',#418387,10.); #124899=VECTOR('',#418388,10.); #124900=VECTOR('',#418391,10.); #124901=VECTOR('',#418392,10.); #124902=VECTOR('',#418393,10.); #124903=VECTOR('',#418396,10.); #124904=VECTOR('',#418397,10.); #124905=VECTOR('',#418398,10.); #124906=VECTOR('',#418401,10.); #124907=VECTOR('',#418402,10.); #124908=VECTOR('',#418403,10.); #124909=VECTOR('',#418406,10.); #124910=VECTOR('',#418407,10.); #124911=VECTOR('',#418408,10.); #124912=VECTOR('',#418411,10.); #124913=VECTOR('',#418412,10.); #124914=VECTOR('',#418413,10.); #124915=VECTOR('',#418416,10.); #124916=VECTOR('',#418417,10.); #124917=VECTOR('',#418418,10.); #124918=VECTOR('',#418421,10.); #124919=VECTOR('',#418422,10.); #124920=VECTOR('',#418423,10.); #124921=VECTOR('',#418426,10.); #124922=VECTOR('',#418427,10.); #124923=VECTOR('',#418428,10.); #124924=VECTOR('',#418431,10.); #124925=VECTOR('',#418432,10.); #124926=VECTOR('',#418433,10.); #124927=VECTOR('',#418436,10.); #124928=VECTOR('',#418437,10.); #124929=VECTOR('',#418438,10.); #124930=VECTOR('',#418441,10.); #124931=VECTOR('',#418442,10.); #124932=VECTOR('',#418443,10.); #124933=VECTOR('',#418446,10.); #124934=VECTOR('',#418447,10.); #124935=VECTOR('',#418448,10.); #124936=VECTOR('',#418451,10.); #124937=VECTOR('',#418452,10.); #124938=VECTOR('',#418453,10.); #124939=VECTOR('',#418456,10.); #124940=VECTOR('',#418457,10.); #124941=VECTOR('',#418458,10.); #124942=VECTOR('',#418461,10.); #124943=VECTOR('',#418462,10.); #124944=VECTOR('',#418463,10.); #124945=VECTOR('',#418466,10.); #124946=VECTOR('',#418467,10.); #124947=VECTOR('',#418468,10.); #124948=VECTOR('',#418471,10.); #124949=VECTOR('',#418472,10.); #124950=VECTOR('',#418473,10.); #124951=VECTOR('',#418476,10.); #124952=VECTOR('',#418477,10.); #124953=VECTOR('',#418478,10.); #124954=VECTOR('',#418481,10.); #124955=VECTOR('',#418482,10.); #124956=VECTOR('',#418483,10.); #124957=VECTOR('',#418486,10.); #124958=VECTOR('',#418487,10.); #124959=VECTOR('',#418488,10.); #124960=VECTOR('',#418491,10.); #124961=VECTOR('',#418492,10.); #124962=VECTOR('',#418493,10.); #124963=VECTOR('',#418496,10.); #124964=VECTOR('',#418497,10.); #124965=VECTOR('',#418498,10.); #124966=VECTOR('',#418501,10.); #124967=VECTOR('',#418502,10.); #124968=VECTOR('',#418503,10.); #124969=VECTOR('',#418506,10.); #124970=VECTOR('',#418507,10.); #124971=VECTOR('',#418508,10.); #124972=VECTOR('',#418511,10.); #124973=VECTOR('',#418512,10.); #124974=VECTOR('',#418513,10.); #124975=VECTOR('',#418516,10.); #124976=VECTOR('',#418517,10.); #124977=VECTOR('',#418518,10.); #124978=VECTOR('',#418521,10.); #124979=VECTOR('',#418522,10.); #124980=VECTOR('',#418523,10.); #124981=VECTOR('',#418526,10.); #124982=VECTOR('',#418527,10.); #124983=VECTOR('',#418528,10.); #124984=VECTOR('',#418531,10.); #124985=VECTOR('',#418532,10.); #124986=VECTOR('',#418533,10.); #124987=VECTOR('',#418536,10.); #124988=VECTOR('',#418537,10.); #124989=VECTOR('',#418544,10.); #124990=VECTOR('',#418545,10.); #124991=VECTOR('',#418546,10.); #124992=VECTOR('',#418547,10.); #124993=VECTOR('',#418550,10.); #124994=VECTOR('',#418551,10.); #124995=VECTOR('',#418552,10.); #124996=VECTOR('',#418555,10.); #124997=VECTOR('',#418556,10.); #124998=VECTOR('',#418557,10.); #124999=VECTOR('',#418560,10.); #125000=VECTOR('',#418561,10.); #125001=VECTOR('',#418562,10.); #125002=VECTOR('',#418565,10.); #125003=VECTOR('',#418566,10.); #125004=VECTOR('',#418567,10.); #125005=VECTOR('',#418570,10.); #125006=VECTOR('',#418571,10.); #125007=VECTOR('',#418572,10.); #125008=VECTOR('',#418575,10.); #125009=VECTOR('',#418576,10.); #125010=VECTOR('',#418577,10.); #125011=VECTOR('',#418580,10.); #125012=VECTOR('',#418581,10.); #125013=VECTOR('',#418582,10.); #125014=VECTOR('',#418585,10.); #125015=VECTOR('',#418586,10.); #125016=VECTOR('',#418587,10.); #125017=VECTOR('',#418590,10.); #125018=VECTOR('',#418591,10.); #125019=VECTOR('',#418592,10.); #125020=VECTOR('',#418595,10.); #125021=VECTOR('',#418596,10.); #125022=VECTOR('',#418597,10.); #125023=VECTOR('',#418600,10.); #125024=VECTOR('',#418601,10.); #125025=VECTOR('',#418602,10.); #125026=VECTOR('',#418605,10.); #125027=VECTOR('',#418606,10.); #125028=VECTOR('',#418607,10.); #125029=VECTOR('',#418610,10.); #125030=VECTOR('',#418611,10.); #125031=VECTOR('',#418612,10.); #125032=VECTOR('',#418615,10.); #125033=VECTOR('',#418616,10.); #125034=VECTOR('',#418617,10.); #125035=VECTOR('',#418620,10.); #125036=VECTOR('',#418621,10.); #125037=VECTOR('',#418622,10.); #125038=VECTOR('',#418625,10.); #125039=VECTOR('',#418626,10.); #125040=VECTOR('',#418627,10.); #125041=VECTOR('',#418630,10.); #125042=VECTOR('',#418631,10.); #125043=VECTOR('',#418632,10.); #125044=VECTOR('',#418635,10.); #125045=VECTOR('',#418636,10.); #125046=VECTOR('',#418637,10.); #125047=VECTOR('',#418640,10.); #125048=VECTOR('',#418641,10.); #125049=VECTOR('',#418642,10.); #125050=VECTOR('',#418645,10.); #125051=VECTOR('',#418646,10.); #125052=VECTOR('',#418647,10.); #125053=VECTOR('',#418650,10.); #125054=VECTOR('',#418651,10.); #125055=VECTOR('',#418652,10.); #125056=VECTOR('',#418655,10.); #125057=VECTOR('',#418656,10.); #125058=VECTOR('',#418657,10.); #125059=VECTOR('',#418660,10.); #125060=VECTOR('',#418661,10.); #125061=VECTOR('',#418662,10.); #125062=VECTOR('',#418665,10.); #125063=VECTOR('',#418666,10.); #125064=VECTOR('',#418667,10.); #125065=VECTOR('',#418670,10.); #125066=VECTOR('',#418671,10.); #125067=VECTOR('',#418672,10.); #125068=VECTOR('',#418675,10.); #125069=VECTOR('',#418676,10.); #125070=VECTOR('',#418683,10.); #125071=VECTOR('',#418684,10.); #125072=VECTOR('',#418685,10.); #125073=VECTOR('',#418686,10.); #125074=VECTOR('',#418689,10.); #125075=VECTOR('',#418690,10.); #125076=VECTOR('',#418691,10.); #125077=VECTOR('',#418694,10.); #125078=VECTOR('',#418695,10.); #125079=VECTOR('',#418696,10.); #125080=VECTOR('',#418699,10.); #125081=VECTOR('',#418700,10.); #125082=VECTOR('',#418701,10.); #125083=VECTOR('',#418704,10.); #125084=VECTOR('',#418705,10.); #125085=VECTOR('',#418706,10.); #125086=VECTOR('',#418709,10.); #125087=VECTOR('',#418710,10.); #125088=VECTOR('',#418711,10.); #125089=VECTOR('',#418714,10.); #125090=VECTOR('',#418715,10.); #125091=VECTOR('',#418716,10.); #125092=VECTOR('',#418719,10.); #125093=VECTOR('',#418720,10.); #125094=VECTOR('',#418721,10.); #125095=VECTOR('',#418724,10.); #125096=VECTOR('',#418725,10.); #125097=VECTOR('',#418726,10.); #125098=VECTOR('',#418729,10.); #125099=VECTOR('',#418730,10.); #125100=VECTOR('',#418731,10.); #125101=VECTOR('',#418734,10.); #125102=VECTOR('',#418735,10.); #125103=VECTOR('',#418736,10.); #125104=VECTOR('',#418739,10.); #125105=VECTOR('',#418740,10.); #125106=VECTOR('',#418741,10.); #125107=VECTOR('',#418744,10.); #125108=VECTOR('',#418745,10.); #125109=VECTOR('',#418746,10.); #125110=VECTOR('',#418749,10.); #125111=VECTOR('',#418750,10.); #125112=VECTOR('',#418751,10.); #125113=VECTOR('',#418754,10.); #125114=VECTOR('',#418755,10.); #125115=VECTOR('',#418756,10.); #125116=VECTOR('',#418759,10.); #125117=VECTOR('',#418760,10.); #125118=VECTOR('',#418761,10.); #125119=VECTOR('',#418764,10.); #125120=VECTOR('',#418765,10.); #125121=VECTOR('',#418766,10.); #125122=VECTOR('',#418769,10.); #125123=VECTOR('',#418770,10.); #125124=VECTOR('',#418771,10.); #125125=VECTOR('',#418774,10.); #125126=VECTOR('',#418775,10.); #125127=VECTOR('',#418776,10.); #125128=VECTOR('',#418779,10.); #125129=VECTOR('',#418780,10.); #125130=VECTOR('',#418781,10.); #125131=VECTOR('',#418784,10.); #125132=VECTOR('',#418785,10.); #125133=VECTOR('',#418792,10.); #125134=VECTOR('',#418793,10.); #125135=VECTOR('',#418794,10.); #125136=VECTOR('',#418795,10.); #125137=VECTOR('',#418798,10.); #125138=VECTOR('',#418799,10.); #125139=VECTOR('',#418800,10.); #125140=VECTOR('',#418803,10.); #125141=VECTOR('',#418804,10.); #125142=VECTOR('',#418805,10.); #125143=VECTOR('',#418808,10.); #125144=VECTOR('',#418809,10.); #125145=VECTOR('',#418810,10.); #125146=VECTOR('',#418813,10.); #125147=VECTOR('',#418814,10.); #125148=VECTOR('',#418815,10.); #125149=VECTOR('',#418818,10.); #125150=VECTOR('',#418819,10.); #125151=VECTOR('',#418820,10.); #125152=VECTOR('',#418823,10.); #125153=VECTOR('',#418824,10.); #125154=VECTOR('',#418825,10.); #125155=VECTOR('',#418828,10.); #125156=VECTOR('',#418829,10.); #125157=VECTOR('',#418830,10.); #125158=VECTOR('',#418833,10.); #125159=VECTOR('',#418834,10.); #125160=VECTOR('',#418835,10.); #125161=VECTOR('',#418838,10.); #125162=VECTOR('',#418839,10.); #125163=VECTOR('',#418840,10.); #125164=VECTOR('',#418843,10.); #125165=VECTOR('',#418844,10.); #125166=VECTOR('',#418845,10.); #125167=VECTOR('',#418848,10.); #125168=VECTOR('',#418849,10.); #125169=VECTOR('',#418850,10.); #125170=VECTOR('',#418853,10.); #125171=VECTOR('',#418854,10.); #125172=VECTOR('',#418855,10.); #125173=VECTOR('',#418858,10.); #125174=VECTOR('',#418859,10.); #125175=VECTOR('',#418860,10.); #125176=VECTOR('',#418863,10.); #125177=VECTOR('',#418864,10.); #125178=VECTOR('',#418865,10.); #125179=VECTOR('',#418868,10.); #125180=VECTOR('',#418869,10.); #125181=VECTOR('',#418870,10.); #125182=VECTOR('',#418873,10.); #125183=VECTOR('',#418874,10.); #125184=VECTOR('',#418875,10.); #125185=VECTOR('',#418878,10.); #125186=VECTOR('',#418879,10.); #125187=VECTOR('',#418880,10.); #125188=VECTOR('',#418883,10.); #125189=VECTOR('',#418884,10.); #125190=VECTOR('',#418885,10.); #125191=VECTOR('',#418888,10.); #125192=VECTOR('',#418889,10.); #125193=VECTOR('',#418898,0.472999999999999); #125194=VECTOR('',#418903,10.); #125195=VECTOR('',#418904,10.); #125196=VECTOR('',#418905,10.); #125197=VECTOR('',#418906,10.); #125198=VECTOR('',#418909,10.); #125199=VECTOR('',#418910,10.); #125200=VECTOR('',#418911,10.); #125201=VECTOR('',#418914,10.); #125202=VECTOR('',#418915,10.); #125203=VECTOR('',#418916,10.); #125204=VECTOR('',#418919,10.); #125205=VECTOR('',#418920,10.); #125206=VECTOR('',#418921,10.); #125207=VECTOR('',#418924,10.); #125208=VECTOR('',#418925,10.); #125209=VECTOR('',#418926,10.); #125210=VECTOR('',#418929,10.); #125211=VECTOR('',#418930,10.); #125212=VECTOR('',#418931,10.); #125213=VECTOR('',#418934,10.); #125214=VECTOR('',#418935,10.); #125215=VECTOR('',#418936,10.); #125216=VECTOR('',#418939,10.); #125217=VECTOR('',#418940,10.); #125218=VECTOR('',#418947,10.); #125219=VECTOR('',#418948,10.); #125220=VECTOR('',#418949,10.); #125221=VECTOR('',#418950,10.); #125222=VECTOR('',#418953,10.); #125223=VECTOR('',#418954,10.); #125224=VECTOR('',#418955,10.); #125225=VECTOR('',#418958,10.); #125226=VECTOR('',#418959,10.); #125227=VECTOR('',#418960,10.); #125228=VECTOR('',#418963,10.); #125229=VECTOR('',#418964,10.); #125230=VECTOR('',#418965,10.); #125231=VECTOR('',#418968,10.); #125232=VECTOR('',#418969,10.); #125233=VECTOR('',#418970,10.); #125234=VECTOR('',#418973,10.); #125235=VECTOR('',#418974,10.); #125236=VECTOR('',#418975,10.); #125237=VECTOR('',#418978,10.); #125238=VECTOR('',#418979,10.); #125239=VECTOR('',#418980,10.); #125240=VECTOR('',#418983,10.); #125241=VECTOR('',#418984,10.); #125242=VECTOR('',#418985,10.); #125243=VECTOR('',#418988,10.); #125244=VECTOR('',#418989,10.); #125245=VECTOR('',#418990,10.); #125246=VECTOR('',#418993,10.); #125247=VECTOR('',#418994,10.); #125248=VECTOR('',#418995,10.); #125249=VECTOR('',#418998,10.); #125250=VECTOR('',#418999,10.); #125251=VECTOR('',#419000,10.); #125252=VECTOR('',#419003,10.); #125253=VECTOR('',#419004,10.); #125254=VECTOR('',#419005,10.); #125255=VECTOR('',#419008,10.); #125256=VECTOR('',#419009,10.); #125257=VECTOR('',#419010,10.); #125258=VECTOR('',#419013,10.); #125259=VECTOR('',#419014,10.); #125260=VECTOR('',#419015,10.); #125261=VECTOR('',#419018,10.); #125262=VECTOR('',#419019,10.); #125263=VECTOR('',#419020,10.); #125264=VECTOR('',#419023,10.); #125265=VECTOR('',#419024,10.); #125266=VECTOR('',#419025,10.); #125267=VECTOR('',#419028,10.); #125268=VECTOR('',#419029,10.); #125269=VECTOR('',#419030,10.); #125270=VECTOR('',#419033,10.); #125271=VECTOR('',#419034,10.); #125272=VECTOR('',#419035,10.); #125273=VECTOR('',#419038,10.); #125274=VECTOR('',#419039,10.); #125275=VECTOR('',#419040,10.); #125276=VECTOR('',#419043,10.); #125277=VECTOR('',#419044,10.); #125278=VECTOR('',#419045,10.); #125279=VECTOR('',#419048,10.); #125280=VECTOR('',#419049,10.); #125281=VECTOR('',#419056,10.); #125282=VECTOR('',#419057,10.); #125283=VECTOR('',#419058,10.); #125284=VECTOR('',#419059,10.); #125285=VECTOR('',#419062,10.); #125286=VECTOR('',#419063,10.); #125287=VECTOR('',#419064,10.); #125288=VECTOR('',#419067,10.); #125289=VECTOR('',#419068,10.); #125290=VECTOR('',#419069,10.); #125291=VECTOR('',#419072,10.); #125292=VECTOR('',#419073,10.); #125293=VECTOR('',#419074,10.); #125294=VECTOR('',#419077,10.); #125295=VECTOR('',#419078,10.); #125296=VECTOR('',#419079,10.); #125297=VECTOR('',#419082,10.); #125298=VECTOR('',#419083,10.); #125299=VECTOR('',#419084,10.); #125300=VECTOR('',#419087,10.); #125301=VECTOR('',#419088,10.); #125302=VECTOR('',#419089,10.); #125303=VECTOR('',#419092,10.); #125304=VECTOR('',#419093,10.); #125305=VECTOR('',#419094,10.); #125306=VECTOR('',#419097,10.); #125307=VECTOR('',#419098,10.); #125308=VECTOR('',#419099,10.); #125309=VECTOR('',#419102,10.); #125310=VECTOR('',#419103,10.); #125311=VECTOR('',#419104,10.); #125312=VECTOR('',#419107,10.); #125313=VECTOR('',#419108,10.); #125314=VECTOR('',#419109,10.); #125315=VECTOR('',#419112,10.); #125316=VECTOR('',#419113,10.); #125317=VECTOR('',#419114,10.); #125318=VECTOR('',#419117,10.); #125319=VECTOR('',#419118,10.); #125320=VECTOR('',#419119,10.); #125321=VECTOR('',#419122,10.); #125322=VECTOR('',#419123,10.); #125323=VECTOR('',#419124,10.); #125324=VECTOR('',#419127,10.); #125325=VECTOR('',#419128,10.); #125326=VECTOR('',#419129,10.); #125327=VECTOR('',#419132,10.); #125328=VECTOR('',#419133,10.); #125329=VECTOR('',#419134,10.); #125330=VECTOR('',#419137,10.); #125331=VECTOR('',#419138,10.); #125332=VECTOR('',#419139,10.); #125333=VECTOR('',#419142,10.); #125334=VECTOR('',#419143,10.); #125335=VECTOR('',#419144,10.); #125336=VECTOR('',#419147,10.); #125337=VECTOR('',#419148,10.); #125338=VECTOR('',#419149,10.); #125339=VECTOR('',#419152,10.); #125340=VECTOR('',#419153,10.); #125341=VECTOR('',#419154,10.); #125342=VECTOR('',#419157,10.); #125343=VECTOR('',#419158,10.); #125344=VECTOR('',#419159,10.); #125345=VECTOR('',#419162,10.); #125346=VECTOR('',#419163,10.); #125347=VECTOR('',#419170,10.); #125348=VECTOR('',#419171,10.); #125349=VECTOR('',#419172,10.); #125350=VECTOR('',#419173,10.); #125351=VECTOR('',#419176,10.); #125352=VECTOR('',#419177,10.); #125353=VECTOR('',#419178,10.); #125354=VECTOR('',#419181,10.); #125355=VECTOR('',#419182,10.); #125356=VECTOR('',#419183,10.); #125357=VECTOR('',#419186,10.); #125358=VECTOR('',#419187,10.); #125359=VECTOR('',#419188,10.); #125360=VECTOR('',#419191,10.); #125361=VECTOR('',#419192,10.); #125362=VECTOR('',#419193,10.); #125363=VECTOR('',#419196,10.); #125364=VECTOR('',#419197,10.); #125365=VECTOR('',#419198,10.); #125366=VECTOR('',#419201,10.); #125367=VECTOR('',#419202,10.); #125368=VECTOR('',#419203,10.); #125369=VECTOR('',#419206,10.); #125370=VECTOR('',#419207,10.); #125371=VECTOR('',#419208,10.); #125372=VECTOR('',#419211,10.); #125373=VECTOR('',#419212,10.); #125374=VECTOR('',#419213,10.); #125375=VECTOR('',#419216,10.); #125376=VECTOR('',#419217,10.); #125377=VECTOR('',#419218,10.); #125378=VECTOR('',#419221,10.); #125379=VECTOR('',#419222,10.); #125380=VECTOR('',#419223,10.); #125381=VECTOR('',#419226,10.); #125382=VECTOR('',#419227,10.); #125383=VECTOR('',#419228,10.); #125384=VECTOR('',#419231,10.); #125385=VECTOR('',#419232,10.); #125386=VECTOR('',#419233,10.); #125387=VECTOR('',#419236,10.); #125388=VECTOR('',#419237,10.); #125389=VECTOR('',#419238,10.); #125390=VECTOR('',#419241,10.); #125391=VECTOR('',#419242,10.); #125392=VECTOR('',#419243,10.); #125393=VECTOR('',#419246,10.); #125394=VECTOR('',#419247,10.); #125395=VECTOR('',#419248,10.); #125396=VECTOR('',#419251,10.); #125397=VECTOR('',#419252,10.); #125398=VECTOR('',#419253,10.); #125399=VECTOR('',#419256,10.); #125400=VECTOR('',#419257,10.); #125401=VECTOR('',#419258,10.); #125402=VECTOR('',#419261,10.); #125403=VECTOR('',#419262,10.); #125404=VECTOR('',#419263,10.); #125405=VECTOR('',#419266,10.); #125406=VECTOR('',#419267,10.); #125407=VECTOR('',#419274,10.); #125408=VECTOR('',#419275,10.); #125409=VECTOR('',#419276,10.); #125410=VECTOR('',#419277,10.); #125411=VECTOR('',#419280,10.); #125412=VECTOR('',#419281,10.); #125413=VECTOR('',#419282,10.); #125414=VECTOR('',#419285,10.); #125415=VECTOR('',#419286,10.); #125416=VECTOR('',#419287,10.); #125417=VECTOR('',#419290,10.); #125418=VECTOR('',#419291,10.); #125419=VECTOR('',#419292,10.); #125420=VECTOR('',#419295,10.); #125421=VECTOR('',#419296,10.); #125422=VECTOR('',#419297,10.); #125423=VECTOR('',#419300,10.); #125424=VECTOR('',#419301,10.); #125425=VECTOR('',#419302,10.); #125426=VECTOR('',#419305,10.); #125427=VECTOR('',#419306,10.); #125428=VECTOR('',#419307,10.); #125429=VECTOR('',#419310,10.); #125430=VECTOR('',#419311,10.); #125431=VECTOR('',#419312,10.); #125432=VECTOR('',#419315,10.); #125433=VECTOR('',#419316,10.); #125434=VECTOR('',#419317,10.); #125435=VECTOR('',#419320,10.); #125436=VECTOR('',#419321,10.); #125437=VECTOR('',#419322,10.); #125438=VECTOR('',#419325,10.); #125439=VECTOR('',#419326,10.); #125440=VECTOR('',#419327,10.); #125441=VECTOR('',#419330,10.); #125442=VECTOR('',#419331,10.); #125443=VECTOR('',#419332,10.); #125444=VECTOR('',#419335,10.); #125445=VECTOR('',#419336,10.); #125446=VECTOR('',#419337,10.); #125447=VECTOR('',#419340,10.); #125448=VECTOR('',#419341,10.); #125449=VECTOR('',#419342,10.); #125450=VECTOR('',#419345,10.); #125451=VECTOR('',#419346,10.); #125452=VECTOR('',#419347,10.); #125453=VECTOR('',#419350,10.); #125454=VECTOR('',#419351,10.); #125455=VECTOR('',#419352,10.); #125456=VECTOR('',#419355,10.); #125457=VECTOR('',#419356,10.); #125458=VECTOR('',#419357,10.); #125459=VECTOR('',#419360,10.); #125460=VECTOR('',#419361,10.); #125461=VECTOR('',#419362,10.); #125462=VECTOR('',#419365,10.); #125463=VECTOR('',#419366,10.); #125464=VECTOR('',#419367,10.); #125465=VECTOR('',#419370,10.); #125466=VECTOR('',#419371,10.); #125467=VECTOR('',#419372,10.); #125468=VECTOR('',#419375,10.); #125469=VECTOR('',#419376,10.); #125470=VECTOR('',#419377,10.); #125471=VECTOR('',#419380,10.); #125472=VECTOR('',#419381,10.); #125473=VECTOR('',#419382,10.); #125474=VECTOR('',#419385,10.); #125475=VECTOR('',#419386,10.); #125476=VECTOR('',#419387,10.); #125477=VECTOR('',#419390,10.); #125478=VECTOR('',#419391,10.); #125479=VECTOR('',#419392,10.); #125480=VECTOR('',#419395,10.); #125481=VECTOR('',#419396,10.); #125482=VECTOR('',#419397,10.); #125483=VECTOR('',#419400,10.); #125484=VECTOR('',#419401,10.); #125485=VECTOR('',#419402,10.); #125486=VECTOR('',#419405,10.); #125487=VECTOR('',#419406,10.); #125488=VECTOR('',#419407,10.); #125489=VECTOR('',#419410,10.); #125490=VECTOR('',#419411,10.); #125491=VECTOR('',#419412,10.); #125492=VECTOR('',#419415,10.); #125493=VECTOR('',#419416,10.); #125494=VECTOR('',#419417,10.); #125495=VECTOR('',#419420,10.); #125496=VECTOR('',#419421,10.); #125497=VECTOR('',#419422,10.); #125498=VECTOR('',#419425,10.); #125499=VECTOR('',#419426,10.); #125500=VECTOR('',#419427,10.); #125501=VECTOR('',#419430,10.); #125502=VECTOR('',#419431,10.); #125503=VECTOR('',#419432,10.); #125504=VECTOR('',#419435,10.); #125505=VECTOR('',#419436,10.); #125506=VECTOR('',#419437,10.); #125507=VECTOR('',#419440,10.); #125508=VECTOR('',#419441,10.); #125509=VECTOR('',#419442,10.); #125510=VECTOR('',#419445,10.); #125511=VECTOR('',#419446,10.); #125512=VECTOR('',#419447,10.); #125513=VECTOR('',#419450,10.); #125514=VECTOR('',#419451,10.); #125515=VECTOR('',#419452,10.); #125516=VECTOR('',#419455,10.); #125517=VECTOR('',#419456,10.); #125518=VECTOR('',#419457,10.); #125519=VECTOR('',#419460,10.); #125520=VECTOR('',#419461,10.); #125521=VECTOR('',#419462,10.); #125522=VECTOR('',#419465,10.); #125523=VECTOR('',#419466,10.); #125524=VECTOR('',#419467,10.); #125525=VECTOR('',#419470,10.); #125526=VECTOR('',#419471,10.); #125527=VECTOR('',#419472,10.); #125528=VECTOR('',#419475,10.); #125529=VECTOR('',#419476,10.); #125530=VECTOR('',#419477,10.); #125531=VECTOR('',#419480,10.); #125532=VECTOR('',#419481,10.); #125533=VECTOR('',#419482,10.); #125534=VECTOR('',#419485,10.); #125535=VECTOR('',#419486,10.); #125536=VECTOR('',#419487,10.); #125537=VECTOR('',#419490,10.); #125538=VECTOR('',#419491,10.); #125539=VECTOR('',#419492,10.); #125540=VECTOR('',#419495,10.); #125541=VECTOR('',#419496,10.); #125542=VECTOR('',#419497,10.); #125543=VECTOR('',#419500,10.); #125544=VECTOR('',#419501,10.); #125545=VECTOR('',#419502,10.); #125546=VECTOR('',#419505,10.); #125547=VECTOR('',#419506,10.); #125548=VECTOR('',#419513,10.); #125549=VECTOR('',#419514,10.); #125550=VECTOR('',#419515,10.); #125551=VECTOR('',#419516,10.); #125552=VECTOR('',#419519,10.); #125553=VECTOR('',#419520,10.); #125554=VECTOR('',#419521,10.); #125555=VECTOR('',#419524,10.); #125556=VECTOR('',#419525,10.); #125557=VECTOR('',#419526,10.); #125558=VECTOR('',#419529,10.); #125559=VECTOR('',#419530,10.); #125560=VECTOR('',#419531,10.); #125561=VECTOR('',#419534,10.); #125562=VECTOR('',#419535,10.); #125563=VECTOR('',#419536,10.); #125564=VECTOR('',#419539,10.); #125565=VECTOR('',#419540,10.); #125566=VECTOR('',#419541,10.); #125567=VECTOR('',#419544,10.); #125568=VECTOR('',#419545,10.); #125569=VECTOR('',#419546,10.); #125570=VECTOR('',#419549,10.); #125571=VECTOR('',#419550,10.); #125572=VECTOR('',#419551,10.); #125573=VECTOR('',#419554,10.); #125574=VECTOR('',#419555,10.); #125575=VECTOR('',#419556,10.); #125576=VECTOR('',#419559,10.); #125577=VECTOR('',#419560,10.); #125578=VECTOR('',#419561,10.); #125579=VECTOR('',#419564,10.); #125580=VECTOR('',#419565,10.); #125581=VECTOR('',#419566,10.); #125582=VECTOR('',#419569,10.); #125583=VECTOR('',#419570,10.); #125584=VECTOR('',#419571,10.); #125585=VECTOR('',#419574,10.); #125586=VECTOR('',#419575,10.); #125587=VECTOR('',#419576,10.); #125588=VECTOR('',#419579,10.); #125589=VECTOR('',#419580,10.); #125590=VECTOR('',#419581,10.); #125591=VECTOR('',#419584,10.); #125592=VECTOR('',#419585,10.); #125593=VECTOR('',#419586,10.); #125594=VECTOR('',#419589,10.); #125595=VECTOR('',#419590,10.); #125596=VECTOR('',#419591,10.); #125597=VECTOR('',#419594,10.); #125598=VECTOR('',#419595,10.); #125599=VECTOR('',#419596,10.); #125600=VECTOR('',#419599,10.); #125601=VECTOR('',#419600,10.); #125602=VECTOR('',#419601,10.); #125603=VECTOR('',#419604,10.); #125604=VECTOR('',#419605,10.); #125605=VECTOR('',#419606,10.); #125606=VECTOR('',#419609,10.); #125607=VECTOR('',#419610,10.); #125608=VECTOR('',#419611,10.); #125609=VECTOR('',#419614,10.); #125610=VECTOR('',#419615,10.); #125611=VECTOR('',#419616,10.); #125612=VECTOR('',#419619,10.); #125613=VECTOR('',#419620,10.); #125614=VECTOR('',#419621,10.); #125615=VECTOR('',#419624,10.); #125616=VECTOR('',#419625,10.); #125617=VECTOR('',#419626,10.); #125618=VECTOR('',#419629,10.); #125619=VECTOR('',#419630,10.); #125620=VECTOR('',#419631,10.); #125621=VECTOR('',#419634,10.); #125622=VECTOR('',#419635,10.); #125623=VECTOR('',#419636,10.); #125624=VECTOR('',#419639,10.); #125625=VECTOR('',#419640,10.); #125626=VECTOR('',#419641,10.); #125627=VECTOR('',#419644,10.); #125628=VECTOR('',#419645,10.); #125629=VECTOR('',#419646,10.); #125630=VECTOR('',#419649,10.); #125631=VECTOR('',#419650,10.); #125632=VECTOR('',#419659,0.140000000000002); #125633=VECTOR('',#419666,0.140000000000002); #125634=VECTOR('',#419673,0.140000000000002); #125635=VECTOR('',#419680,0.140000000000002); #125636=VECTOR('',#419687,0.140000000000002); #125637=VECTOR('',#419694,0.140000000000002); #125638=VECTOR('',#419701,0.140000000000002); #125639=VECTOR('',#419708,0.140000000000002); #125640=VECTOR('',#419715,0.140000000000002); #125641=VECTOR('',#419722,0.140000000000002); #125642=VECTOR('',#419729,0.140000000000002); #125643=VECTOR('',#419736,0.140000000000002); #125644=VECTOR('',#419743,0.140000000000002); #125645=VECTOR('',#419750,0.140000000000002); #125646=VECTOR('',#419757,0.140000000000002); #125647=VECTOR('',#419764,0.140000000000002); #125648=VECTOR('',#419769,10.); #125649=VECTOR('',#419770,10.); #125650=VECTOR('',#419771,10.); #125651=VECTOR('',#419772,10.); #125652=VECTOR('',#419775,10.); #125653=VECTOR('',#419776,10.); #125654=VECTOR('',#419777,10.); #125655=VECTOR('',#419780,10.); #125656=VECTOR('',#419781,10.); #125657=VECTOR('',#419782,10.); #125658=VECTOR('',#419785,10.); #125659=VECTOR('',#419786,10.); #125660=VECTOR('',#419787,10.); #125661=VECTOR('',#419790,10.); #125662=VECTOR('',#419791,10.); #125663=VECTOR('',#419792,10.); #125664=VECTOR('',#419795,10.); #125665=VECTOR('',#419796,10.); #125666=VECTOR('',#419797,10.); #125667=VECTOR('',#419800,10.); #125668=VECTOR('',#419801,10.); #125669=VECTOR('',#419802,10.); #125670=VECTOR('',#419805,10.); #125671=VECTOR('',#419806,10.); #125672=VECTOR('',#419807,10.); #125673=VECTOR('',#419810,10.); #125674=VECTOR('',#419811,10.); #125675=VECTOR('',#419812,10.); #125676=VECTOR('',#419815,10.); #125677=VECTOR('',#419816,10.); #125678=VECTOR('',#419817,10.); #125679=VECTOR('',#419820,10.); #125680=VECTOR('',#419821,10.); #125681=VECTOR('',#419822,10.); #125682=VECTOR('',#419825,10.); #125683=VECTOR('',#419826,10.); #125684=VECTOR('',#419827,10.); #125685=VECTOR('',#419830,10.); #125686=VECTOR('',#419831,10.); #125687=VECTOR('',#419832,10.); #125688=VECTOR('',#419835,10.); #125689=VECTOR('',#419836,10.); #125690=VECTOR('',#419837,10.); #125691=VECTOR('',#419840,10.); #125692=VECTOR('',#419841,10.); #125693=VECTOR('',#419842,10.); #125694=VECTOR('',#419845,10.); #125695=VECTOR('',#419846,10.); #125696=VECTOR('',#419847,10.); #125697=VECTOR('',#419850,10.); #125698=VECTOR('',#419851,10.); #125699=VECTOR('',#419852,10.); #125700=VECTOR('',#419855,10.); #125701=VECTOR('',#419856,10.); #125702=VECTOR('',#419857,10.); #125703=VECTOR('',#419860,10.); #125704=VECTOR('',#419861,10.); #125705=VECTOR('',#419862,10.); #125706=VECTOR('',#419865,10.); #125707=VECTOR('',#419866,10.); #125708=VECTOR('',#419867,10.); #125709=VECTOR('',#419870,10.); #125710=VECTOR('',#419871,10.); #125711=VECTOR('',#419872,10.); #125712=VECTOR('',#419875,10.); #125713=VECTOR('',#419876,10.); #125714=VECTOR('',#419877,10.); #125715=VECTOR('',#419880,10.); #125716=VECTOR('',#419881,10.); #125717=VECTOR('',#419882,10.); #125718=VECTOR('',#419885,10.); #125719=VECTOR('',#419886,10.); #125720=VECTOR('',#419887,10.); #125721=VECTOR('',#419890,10.); #125722=VECTOR('',#419891,10.); #125723=VECTOR('',#419892,10.); #125724=VECTOR('',#419895,10.); #125725=VECTOR('',#419896,10.); #125726=VECTOR('',#419897,10.); #125727=VECTOR('',#419900,10.); #125728=VECTOR('',#419901,10.); #125729=VECTOR('',#419902,10.); #125730=VECTOR('',#419905,10.); #125731=VECTOR('',#419906,10.); #125732=VECTOR('',#419907,10.); #125733=VECTOR('',#419910,10.); #125734=VECTOR('',#419911,10.); #125735=VECTOR('',#419912,10.); #125736=VECTOR('',#419915,10.); #125737=VECTOR('',#419916,10.); #125738=VECTOR('',#419917,10.); #125739=VECTOR('',#419920,10.); #125740=VECTOR('',#419921,10.); #125741=VECTOR('',#419922,10.); #125742=VECTOR('',#419925,10.); #125743=VECTOR('',#419926,10.); #125744=VECTOR('',#419927,10.); #125745=VECTOR('',#419930,10.); #125746=VECTOR('',#419931,10.); #125747=VECTOR('',#419932,10.); #125748=VECTOR('',#419935,10.); #125749=VECTOR('',#419936,10.); #125750=VECTOR('',#419937,10.); #125751=VECTOR('',#419940,10.); #125752=VECTOR('',#419941,10.); #125753=VECTOR('',#419942,10.); #125754=VECTOR('',#419945,10.); #125755=VECTOR('',#419946,10.); #125756=VECTOR('',#419947,10.); #125757=VECTOR('',#419950,10.); #125758=VECTOR('',#419951,10.); #125759=VECTOR('',#419952,10.); #125760=VECTOR('',#419955,10.); #125761=VECTOR('',#419956,10.); #125762=VECTOR('',#419957,10.); #125763=VECTOR('',#419960,10.); #125764=VECTOR('',#419961,10.); #125765=VECTOR('',#419962,10.); #125766=VECTOR('',#419965,10.); #125767=VECTOR('',#419966,10.); #125768=VECTOR('',#419967,10.); #125769=VECTOR('',#419970,10.); #125770=VECTOR('',#419971,10.); #125771=VECTOR('',#419972,10.); #125772=VECTOR('',#419975,10.); #125773=VECTOR('',#419976,10.); #125774=VECTOR('',#419977,10.); #125775=VECTOR('',#419980,10.); #125776=VECTOR('',#419981,10.); #125777=VECTOR('',#419982,10.); #125778=VECTOR('',#419985,10.); #125779=VECTOR('',#419986,10.); #125780=VECTOR('',#419987,10.); #125781=VECTOR('',#419990,10.); #125782=VECTOR('',#419991,10.); #125783=VECTOR('',#419992,10.); #125784=VECTOR('',#419995,10.); #125785=VECTOR('',#419996,10.); #125786=VECTOR('',#419997,10.); #125787=VECTOR('',#420000,10.); #125788=VECTOR('',#420001,10.); #125789=VECTOR('',#420002,10.); #125790=VECTOR('',#420005,10.); #125791=VECTOR('',#420006,10.); #125792=VECTOR('',#420007,10.); #125793=VECTOR('',#420010,10.); #125794=VECTOR('',#420011,10.); #125795=VECTOR('',#420012,10.); #125796=VECTOR('',#420015,10.); #125797=VECTOR('',#420016,10.); #125798=VECTOR('',#420017,10.); #125799=VECTOR('',#420020,10.); #125800=VECTOR('',#420021,10.); #125801=VECTOR('',#420022,10.); #125802=VECTOR('',#420025,10.); #125803=VECTOR('',#420026,10.); #125804=VECTOR('',#420027,10.); #125805=VECTOR('',#420030,10.); #125806=VECTOR('',#420031,10.); #125807=VECTOR('',#420032,10.); #125808=VECTOR('',#420035,10.); #125809=VECTOR('',#420036,10.); #125810=VECTOR('',#420037,10.); #125811=VECTOR('',#420040,10.); #125812=VECTOR('',#420041,10.); #125813=VECTOR('',#420042,10.); #125814=VECTOR('',#420045,10.); #125815=VECTOR('',#420046,10.); #125816=VECTOR('',#420047,10.); #125817=VECTOR('',#420050,10.); #125818=VECTOR('',#420051,10.); #125819=VECTOR('',#420052,10.); #125820=VECTOR('',#420055,10.); #125821=VECTOR('',#420056,10.); #125822=VECTOR('',#420057,10.); #125823=VECTOR('',#420060,10.); #125824=VECTOR('',#420061,10.); #125825=VECTOR('',#420062,10.); #125826=VECTOR('',#420065,10.); #125827=VECTOR('',#420066,10.); #125828=VECTOR('',#420067,10.); #125829=VECTOR('',#420070,10.); #125830=VECTOR('',#420071,10.); #125831=VECTOR('',#420072,10.); #125832=VECTOR('',#420075,10.); #125833=VECTOR('',#420076,10.); #125834=VECTOR('',#420077,10.); #125835=VECTOR('',#420080,10.); #125836=VECTOR('',#420081,10.); #125837=VECTOR('',#420082,10.); #125838=VECTOR('',#420085,10.); #125839=VECTOR('',#420086,10.); #125840=VECTOR('',#420087,10.); #125841=VECTOR('',#420090,10.); #125842=VECTOR('',#420091,10.); #125843=VECTOR('',#420092,10.); #125844=VECTOR('',#420095,10.); #125845=VECTOR('',#420096,10.); #125846=VECTOR('',#420097,10.); #125847=VECTOR('',#420100,10.); #125848=VECTOR('',#420101,10.); #125849=VECTOR('',#420102,10.); #125850=VECTOR('',#420105,10.); #125851=VECTOR('',#420106,10.); #125852=VECTOR('',#420107,10.); #125853=VECTOR('',#420110,10.); #125854=VECTOR('',#420111,10.); #125855=VECTOR('',#420112,10.); #125856=VECTOR('',#420115,10.); #125857=VECTOR('',#420116,10.); #125858=VECTOR('',#420117,10.); #125859=VECTOR('',#420120,10.); #125860=VECTOR('',#420121,10.); #125861=VECTOR('',#420122,10.); #125862=VECTOR('',#420125,10.); #125863=VECTOR('',#420126,10.); #125864=VECTOR('',#420127,10.); #125865=VECTOR('',#420130,10.); #125866=VECTOR('',#420131,10.); #125867=VECTOR('',#420132,10.); #125868=VECTOR('',#420135,10.); #125869=VECTOR('',#420136,10.); #125870=VECTOR('',#420145,0.139999999999998); #125871=VECTOR('',#420152,0.139999999999998); #125872=VECTOR('',#420159,0.139999999999998); #125873=VECTOR('',#420166,0.139999999999998); #125874=VECTOR('',#420173,0.139999999999998); #125875=VECTOR('',#420180,0.139999999999998); #125876=VECTOR('',#420187,0.139999999999998); #125877=VECTOR('',#420194,0.139999999999998); #125878=VECTOR('',#420201,0.139999999999998); #125879=VECTOR('',#420208,0.139999999999998); #125880=VECTOR('',#420215,0.139999999999998); #125881=VECTOR('',#420222,0.139999999999998); #125882=VECTOR('',#420229,0.139999999999998); #125883=VECTOR('',#420236,0.139999999999998); #125884=VECTOR('',#420243,0.139999999999998); #125885=VECTOR('',#420250,0.139999999999998); #125886=VECTOR('',#420255,10.); #125887=VECTOR('',#420256,10.); #125888=VECTOR('',#420257,10.); #125889=VECTOR('',#420258,10.); #125890=VECTOR('',#420261,10.); #125891=VECTOR('',#420262,10.); #125892=VECTOR('',#420263,10.); #125893=VECTOR('',#420266,10.); #125894=VECTOR('',#420267,10.); #125895=VECTOR('',#420268,10.); #125896=VECTOR('',#420271,10.); #125897=VECTOR('',#420272,10.); #125898=VECTOR('',#420273,10.); #125899=VECTOR('',#420276,10.); #125900=VECTOR('',#420277,10.); #125901=VECTOR('',#420278,10.); #125902=VECTOR('',#420281,10.); #125903=VECTOR('',#420282,10.); #125904=VECTOR('',#420283,10.); #125905=VECTOR('',#420286,10.); #125906=VECTOR('',#420287,10.); #125907=VECTOR('',#420288,10.); #125908=VECTOR('',#420291,10.); #125909=VECTOR('',#420292,10.); #125910=VECTOR('',#420293,10.); #125911=VECTOR('',#420296,10.); #125912=VECTOR('',#420297,10.); #125913=VECTOR('',#420298,10.); #125914=VECTOR('',#420301,10.); #125915=VECTOR('',#420302,10.); #125916=VECTOR('',#420303,10.); #125917=VECTOR('',#420306,10.); #125918=VECTOR('',#420307,10.); #125919=VECTOR('',#420308,10.); #125920=VECTOR('',#420311,10.); #125921=VECTOR('',#420312,10.); #125922=VECTOR('',#420313,10.); #125923=VECTOR('',#420316,10.); #125924=VECTOR('',#420317,10.); #125925=VECTOR('',#420318,10.); #125926=VECTOR('',#420321,10.); #125927=VECTOR('',#420322,10.); #125928=VECTOR('',#420323,10.); #125929=VECTOR('',#420326,10.); #125930=VECTOR('',#420327,10.); #125931=VECTOR('',#420328,10.); #125932=VECTOR('',#420331,10.); #125933=VECTOR('',#420332,10.); #125934=VECTOR('',#420333,10.); #125935=VECTOR('',#420336,10.); #125936=VECTOR('',#420337,10.); #125937=VECTOR('',#420338,10.); #125938=VECTOR('',#420341,10.); #125939=VECTOR('',#420342,10.); #125940=VECTOR('',#420343,10.); #125941=VECTOR('',#420346,10.); #125942=VECTOR('',#420347,10.); #125943=VECTOR('',#420348,10.); #125944=VECTOR('',#420351,10.); #125945=VECTOR('',#420352,10.); #125946=VECTOR('',#420353,10.); #125947=VECTOR('',#420356,10.); #125948=VECTOR('',#420357,10.); #125949=VECTOR('',#420358,10.); #125950=VECTOR('',#420361,10.); #125951=VECTOR('',#420362,10.); #125952=VECTOR('',#420363,10.); #125953=VECTOR('',#420366,10.); #125954=VECTOR('',#420367,10.); #125955=VECTOR('',#420368,10.); #125956=VECTOR('',#420371,10.); #125957=VECTOR('',#420372,10.); #125958=VECTOR('',#420373,10.); #125959=VECTOR('',#420376,10.); #125960=VECTOR('',#420377,10.); #125961=VECTOR('',#420378,10.); #125962=VECTOR('',#420381,10.); #125963=VECTOR('',#420382,10.); #125964=VECTOR('',#420383,10.); #125965=VECTOR('',#420386,10.); #125966=VECTOR('',#420387,10.); #125967=VECTOR('',#420388,10.); #125968=VECTOR('',#420391,10.); #125969=VECTOR('',#420392,10.); #125970=VECTOR('',#420393,10.); #125971=VECTOR('',#420396,10.); #125972=VECTOR('',#420397,10.); #125973=VECTOR('',#420398,10.); #125974=VECTOR('',#420401,10.); #125975=VECTOR('',#420402,10.); #125976=VECTOR('',#420403,10.); #125977=VECTOR('',#420406,10.); #125978=VECTOR('',#420407,10.); #125979=VECTOR('',#420408,10.); #125980=VECTOR('',#420411,10.); #125981=VECTOR('',#420412,10.); #125982=VECTOR('',#420413,10.); #125983=VECTOR('',#420416,10.); #125984=VECTOR('',#420417,10.); #125985=VECTOR('',#420418,10.); #125986=VECTOR('',#420421,10.); #125987=VECTOR('',#420422,10.); #125988=VECTOR('',#420423,10.); #125989=VECTOR('',#420426,10.); #125990=VECTOR('',#420427,10.); #125991=VECTOR('',#420428,10.); #125992=VECTOR('',#420431,10.); #125993=VECTOR('',#420432,10.); #125994=VECTOR('',#420433,10.); #125995=VECTOR('',#420436,10.); #125996=VECTOR('',#420437,10.); #125997=VECTOR('',#420438,10.); #125998=VECTOR('',#420441,10.); #125999=VECTOR('',#420442,10.); #126000=VECTOR('',#420443,10.); #126001=VECTOR('',#420446,10.); #126002=VECTOR('',#420447,10.); #126003=VECTOR('',#420448,10.); #126004=VECTOR('',#420451,10.); #126005=VECTOR('',#420452,10.); #126006=VECTOR('',#420453,10.); #126007=VECTOR('',#420456,10.); #126008=VECTOR('',#420457,10.); #126009=VECTOR('',#420458,10.); #126010=VECTOR('',#420461,10.); #126011=VECTOR('',#420462,10.); #126012=VECTOR('',#420463,10.); #126013=VECTOR('',#420466,10.); #126014=VECTOR('',#420467,10.); #126015=VECTOR('',#420468,10.); #126016=VECTOR('',#420471,10.); #126017=VECTOR('',#420472,10.); #126018=VECTOR('',#420473,10.); #126019=VECTOR('',#420476,10.); #126020=VECTOR('',#420477,10.); #126021=VECTOR('',#420478,10.); #126022=VECTOR('',#420481,10.); #126023=VECTOR('',#420482,10.); #126024=VECTOR('',#420483,10.); #126025=VECTOR('',#420486,10.); #126026=VECTOR('',#420487,10.); #126027=VECTOR('',#420488,10.); #126028=VECTOR('',#420491,10.); #126029=VECTOR('',#420492,10.); #126030=VECTOR('',#420493,10.); #126031=VECTOR('',#420496,10.); #126032=VECTOR('',#420497,10.); #126033=VECTOR('',#420498,10.); #126034=VECTOR('',#420501,10.); #126035=VECTOR('',#420502,10.); #126036=VECTOR('',#420503,10.); #126037=VECTOR('',#420506,10.); #126038=VECTOR('',#420507,10.); #126039=VECTOR('',#420508,10.); #126040=VECTOR('',#420511,10.); #126041=VECTOR('',#420512,10.); #126042=VECTOR('',#420513,10.); #126043=VECTOR('',#420516,10.); #126044=VECTOR('',#420517,10.); #126045=VECTOR('',#420518,10.); #126046=VECTOR('',#420521,10.); #126047=VECTOR('',#420522,10.); #126048=VECTOR('',#420523,10.); #126049=VECTOR('',#420526,10.); #126050=VECTOR('',#420527,10.); #126051=VECTOR('',#420528,10.); #126052=VECTOR('',#420531,10.); #126053=VECTOR('',#420532,10.); #126054=VECTOR('',#420533,10.); #126055=VECTOR('',#420536,10.); #126056=VECTOR('',#420537,10.); #126057=VECTOR('',#420538,10.); #126058=VECTOR('',#420541,10.); #126059=VECTOR('',#420542,10.); #126060=VECTOR('',#420543,10.); #126061=VECTOR('',#420546,10.); #126062=VECTOR('',#420547,10.); #126063=VECTOR('',#420548,10.); #126064=VECTOR('',#420551,10.); #126065=VECTOR('',#420552,10.); #126066=VECTOR('',#420553,10.); #126067=VECTOR('',#420556,10.); #126068=VECTOR('',#420557,10.); #126069=VECTOR('',#420558,10.); #126070=VECTOR('',#420561,10.); #126071=VECTOR('',#420562,10.); #126072=VECTOR('',#420563,10.); #126073=VECTOR('',#420566,10.); #126074=VECTOR('',#420567,10.); #126075=VECTOR('',#420568,10.); #126076=VECTOR('',#420571,10.); #126077=VECTOR('',#420572,10.); #126078=VECTOR('',#420573,10.); #126079=VECTOR('',#420576,10.); #126080=VECTOR('',#420577,10.); #126081=VECTOR('',#420578,10.); #126082=VECTOR('',#420581,10.); #126083=VECTOR('',#420582,10.); #126084=VECTOR('',#420583,10.); #126085=VECTOR('',#420586,10.); #126086=VECTOR('',#420587,10.); #126087=VECTOR('',#420588,10.); #126088=VECTOR('',#420591,10.); #126089=VECTOR('',#420592,10.); #126090=VECTOR('',#420593,10.); #126091=VECTOR('',#420596,10.); #126092=VECTOR('',#420597,10.); #126093=VECTOR('',#420598,10.); #126094=VECTOR('',#420601,10.); #126095=VECTOR('',#420602,10.); #126096=VECTOR('',#420611,0.14); #126097=VECTOR('',#420618,0.14); #126098=VECTOR('',#420625,0.14); #126099=VECTOR('',#420632,0.14); #126100=VECTOR('',#420639,0.14); #126101=VECTOR('',#420646,0.14); #126102=VECTOR('',#420653,0.14); #126103=VECTOR('',#420660,0.14); #126104=VECTOR('',#420667,0.139999999999998); #126105=VECTOR('',#420674,0.139999999999998); #126106=VECTOR('',#420681,0.14); #126107=VECTOR('',#420688,0.14); #126108=VECTOR('',#420695,0.14); #126109=VECTOR('',#420702,0.14); #126110=VECTOR('',#420709,0.139999999999998); #126111=VECTOR('',#420716,0.139999999999998); #126112=VECTOR('',#420723,0.139999999999998); #126113=VECTOR('',#420730,0.139999999999998); #126114=VECTOR('',#420737,0.14); #126115=VECTOR('',#420744,0.14); #126116=VECTOR('',#420751,0.14); #126117=VECTOR('',#420758,0.139999999999998); #126118=VECTOR('',#420765,0.139999999999998); #126119=VECTOR('',#420772,0.139999999999998); #126120=VECTOR('',#420779,0.139999999999998); #126121=VECTOR('',#420786,0.139999999999998); #126122=VECTOR('',#420793,0.139999999999998); #126123=VECTOR('',#420800,0.139999999999998); #126124=VECTOR('',#420807,0.139999999999998); #126125=VECTOR('',#420814,0.139999999999998); #126126=VECTOR('',#420819,10.); #126127=VECTOR('',#420820,10.); #126128=VECTOR('',#420821,10.); #126129=VECTOR('',#420822,10.); #126130=VECTOR('',#420825,10.); #126131=VECTOR('',#420826,10.); #126132=VECTOR('',#420827,10.); #126133=VECTOR('',#420830,10.); #126134=VECTOR('',#420831,10.); #126135=VECTOR('',#420832,10.); #126136=VECTOR('',#420835,10.); #126137=VECTOR('',#420836,10.); #126138=VECTOR('',#420837,10.); #126139=VECTOR('',#420840,10.); #126140=VECTOR('',#420841,10.); #126141=VECTOR('',#420842,10.); #126142=VECTOR('',#420845,10.); #126143=VECTOR('',#420846,10.); #126144=VECTOR('',#420847,10.); #126145=VECTOR('',#420850,10.); #126146=VECTOR('',#420851,10.); #126147=VECTOR('',#420852,10.); #126148=VECTOR('',#420855,10.); #126149=VECTOR('',#420856,10.); #126150=VECTOR('',#420857,10.); #126151=VECTOR('',#420860,10.); #126152=VECTOR('',#420861,10.); #126153=VECTOR('',#420862,10.); #126154=VECTOR('',#420865,10.); #126155=VECTOR('',#420866,10.); #126156=VECTOR('',#420867,10.); #126157=VECTOR('',#420870,10.); #126158=VECTOR('',#420871,10.); #126159=VECTOR('',#420872,10.); #126160=VECTOR('',#420875,10.); #126161=VECTOR('',#420876,10.); #126162=VECTOR('',#420877,10.); #126163=VECTOR('',#420880,10.); #126164=VECTOR('',#420881,10.); #126165=VECTOR('',#420882,10.); #126166=VECTOR('',#420885,10.); #126167=VECTOR('',#420886,10.); #126168=VECTOR('',#420887,10.); #126169=VECTOR('',#420890,10.); #126170=VECTOR('',#420891,10.); #126171=VECTOR('',#420892,10.); #126172=VECTOR('',#420895,10.); #126173=VECTOR('',#420896,10.); #126174=VECTOR('',#420897,10.); #126175=VECTOR('',#420900,10.); #126176=VECTOR('',#420901,10.); #126177=VECTOR('',#420902,10.); #126178=VECTOR('',#420905,10.); #126179=VECTOR('',#420906,10.); #126180=VECTOR('',#420907,10.); #126181=VECTOR('',#420910,10.); #126182=VECTOR('',#420911,10.); #126183=VECTOR('',#420912,10.); #126184=VECTOR('',#420915,10.); #126185=VECTOR('',#420916,10.); #126186=VECTOR('',#420917,10.); #126187=VECTOR('',#420920,10.); #126188=VECTOR('',#420921,10.); #126189=VECTOR('',#420922,10.); #126190=VECTOR('',#420925,10.); #126191=VECTOR('',#420926,10.); #126192=VECTOR('',#420927,10.); #126193=VECTOR('',#420930,10.); #126194=VECTOR('',#420931,10.); #126195=VECTOR('',#420932,10.); #126196=VECTOR('',#420935,10.); #126197=VECTOR('',#420936,10.); #126198=VECTOR('',#420937,10.); #126199=VECTOR('',#420940,10.); #126200=VECTOR('',#420941,10.); #126201=VECTOR('',#420942,10.); #126202=VECTOR('',#420945,10.); #126203=VECTOR('',#420946,10.); #126204=VECTOR('',#420947,10.); #126205=VECTOR('',#420950,10.); #126206=VECTOR('',#420951,10.); #126207=VECTOR('',#420952,10.); #126208=VECTOR('',#420955,10.); #126209=VECTOR('',#420956,10.); #126210=VECTOR('',#420957,10.); #126211=VECTOR('',#420960,10.); #126212=VECTOR('',#420961,10.); #126213=VECTOR('',#420962,10.); #126214=VECTOR('',#420965,10.); #126215=VECTOR('',#420966,10.); #126216=VECTOR('',#420967,10.); #126217=VECTOR('',#420970,10.); #126218=VECTOR('',#420971,10.); #126219=VECTOR('',#420972,10.); #126220=VECTOR('',#420975,10.); #126221=VECTOR('',#420976,10.); #126222=VECTOR('',#420977,10.); #126223=VECTOR('',#420980,10.); #126224=VECTOR('',#420981,10.); #126225=VECTOR('',#420982,10.); #126226=VECTOR('',#420985,10.); #126227=VECTOR('',#420986,10.); #126228=VECTOR('',#420987,10.); #126229=VECTOR('',#420990,10.); #126230=VECTOR('',#420991,10.); #126231=VECTOR('',#420992,10.); #126232=VECTOR('',#420995,10.); #126233=VECTOR('',#420996,10.); #126234=VECTOR('',#420997,10.); #126235=VECTOR('',#421000,10.); #126236=VECTOR('',#421001,10.); #126237=VECTOR('',#421002,10.); #126238=VECTOR('',#421005,10.); #126239=VECTOR('',#421006,10.); #126240=VECTOR('',#421007,10.); #126241=VECTOR('',#421010,10.); #126242=VECTOR('',#421011,10.); #126243=VECTOR('',#421012,10.); #126244=VECTOR('',#421015,10.); #126245=VECTOR('',#421016,10.); #126246=VECTOR('',#421017,10.); #126247=VECTOR('',#421020,10.); #126248=VECTOR('',#421021,10.); #126249=VECTOR('',#421022,10.); #126250=VECTOR('',#421025,10.); #126251=VECTOR('',#421026,10.); #126252=VECTOR('',#421027,10.); #126253=VECTOR('',#421030,10.); #126254=VECTOR('',#421031,10.); #126255=VECTOR('',#421032,10.); #126256=VECTOR('',#421035,10.); #126257=VECTOR('',#421036,10.); #126258=VECTOR('',#421037,10.); #126259=VECTOR('',#421040,10.); #126260=VECTOR('',#421041,10.); #126261=VECTOR('',#421050,0.139999999999998); #126262=VECTOR('',#421057,0.139999999999998); #126263=VECTOR('',#421062,10.); #126264=VECTOR('',#421063,10.); #126265=VECTOR('',#421064,10.); #126266=VECTOR('',#421065,10.); #126267=VECTOR('',#421072,10.); #126268=VECTOR('',#421075,10.); #126269=VECTOR('',#421076,10.); #126270=VECTOR('',#421077,10.); #126271=VECTOR('',#421084,10.); #126272=VECTOR('',#421091,10.); #126273=VECTOR('',#421094,10.); #126274=VECTOR('',#421095,10.); #126275=VECTOR('',#421096,10.); #126276=VECTOR('',#421111,0.140000000000002); #126277=VECTOR('',#421118,0.140000000000002); #126278=VECTOR('',#421125,0.140000000000002); #126279=VECTOR('',#421132,0.140000000000002); #126280=VECTOR('',#421139,0.140000000000002); #126281=VECTOR('',#421146,0.140000000000002); #126282=VECTOR('',#421153,0.140000000000002); #126283=VECTOR('',#421160,0.140000000000002); #126284=VECTOR('',#421167,0.140000000000002); #126285=VECTOR('',#421174,0.140000000000002); #126286=VECTOR('',#421181,0.140000000000002); #126287=VECTOR('',#421188,0.140000000000002); #126288=VECTOR('',#421195,0.140000000000002); #126289=VECTOR('',#421202,0.140000000000002); #126290=VECTOR('',#421209,0.140000000000002); #126291=VECTOR('',#421216,0.140000000000002); #126292=VECTOR('',#421223,0.140000000000002); #126293=VECTOR('',#421230,0.140000000000002); #126294=VECTOR('',#421237,0.140000000000002); #126295=VECTOR('',#421244,0.140000000000002); #126296=VECTOR('',#421251,0.140000000000002); #126297=VECTOR('',#421258,0.140000000000002); #126298=VECTOR('',#421265,0.140000000000002); #126299=VECTOR('',#421272,0.140000000000002); #126300=VECTOR('',#421279,0.140000000000002); #126301=VECTOR('',#421286,0.140000000000002); #126302=VECTOR('',#421293,0.140000000000002); #126303=VECTOR('',#421300,0.140000000000002); #126304=VECTOR('',#421307,0.140000000000002); #126305=VECTOR('',#421314,0.140000000000002); #126306=VECTOR('',#421319,10.); #126307=VECTOR('',#421320,10.); #126308=VECTOR('',#421321,10.); #126309=VECTOR('',#421322,10.); #126310=VECTOR('',#421325,10.); #126311=VECTOR('',#421326,10.); #126312=VECTOR('',#421327,10.); #126313=VECTOR('',#421330,10.); #126314=VECTOR('',#421331,10.); #126315=VECTOR('',#421332,10.); #126316=VECTOR('',#421335,10.); #126317=VECTOR('',#421336,10.); #126318=VECTOR('',#421337,10.); #126319=VECTOR('',#421340,10.); #126320=VECTOR('',#421341,10.); #126321=VECTOR('',#421342,10.); #126322=VECTOR('',#421345,10.); #126323=VECTOR('',#421346,10.); #126324=VECTOR('',#421347,10.); #126325=VECTOR('',#421350,10.); #126326=VECTOR('',#421351,10.); #126327=VECTOR('',#421352,10.); #126328=VECTOR('',#421355,10.); #126329=VECTOR('',#421356,10.); #126330=VECTOR('',#421357,10.); #126331=VECTOR('',#421360,10.); #126332=VECTOR('',#421361,10.); #126333=VECTOR('',#421362,10.); #126334=VECTOR('',#421365,10.); #126335=VECTOR('',#421366,10.); #126336=VECTOR('',#421367,10.); #126337=VECTOR('',#421370,10.); #126338=VECTOR('',#421371,10.); #126339=VECTOR('',#421372,10.); #126340=VECTOR('',#421375,10.); #126341=VECTOR('',#421376,10.); #126342=VECTOR('',#421377,10.); #126343=VECTOR('',#421380,10.); #126344=VECTOR('',#421381,10.); #126345=VECTOR('',#421382,10.); #126346=VECTOR('',#421385,10.); #126347=VECTOR('',#421386,10.); #126348=VECTOR('',#421387,10.); #126349=VECTOR('',#421390,10.); #126350=VECTOR('',#421391,10.); #126351=VECTOR('',#421392,10.); #126352=VECTOR('',#421395,10.); #126353=VECTOR('',#421396,10.); #126354=VECTOR('',#421397,10.); #126355=VECTOR('',#421400,10.); #126356=VECTOR('',#421401,10.); #126357=VECTOR('',#421402,10.); #126358=VECTOR('',#421405,10.); #126359=VECTOR('',#421406,10.); #126360=VECTOR('',#421407,10.); #126361=VECTOR('',#421410,10.); #126362=VECTOR('',#421411,10.); #126363=VECTOR('',#421412,10.); #126364=VECTOR('',#421415,10.); #126365=VECTOR('',#421416,10.); #126366=VECTOR('',#421417,10.); #126367=VECTOR('',#421420,10.); #126368=VECTOR('',#421421,10.); #126369=VECTOR('',#421422,10.); #126370=VECTOR('',#421425,10.); #126371=VECTOR('',#421426,10.); #126372=VECTOR('',#421427,10.); #126373=VECTOR('',#421430,10.); #126374=VECTOR('',#421431,10.); #126375=VECTOR('',#421432,10.); #126376=VECTOR('',#421435,10.); #126377=VECTOR('',#421436,10.); #126378=VECTOR('',#421437,10.); #126379=VECTOR('',#421440,10.); #126380=VECTOR('',#421441,10.); #126381=VECTOR('',#421442,10.); #126382=VECTOR('',#421445,10.); #126383=VECTOR('',#421446,10.); #126384=VECTOR('',#421447,10.); #126385=VECTOR('',#421450,10.); #126386=VECTOR('',#421451,10.); #126387=VECTOR('',#421452,10.); #126388=VECTOR('',#421455,10.); #126389=VECTOR('',#421456,10.); #126390=VECTOR('',#421457,10.); #126391=VECTOR('',#421460,10.); #126392=VECTOR('',#421461,10.); #126393=VECTOR('',#421462,10.); #126394=VECTOR('',#421465,10.); #126395=VECTOR('',#421466,10.); #126396=VECTOR('',#421467,10.); #126397=VECTOR('',#421470,10.); #126398=VECTOR('',#421471,10.); #126399=VECTOR('',#421472,10.); #126400=VECTOR('',#421475,10.); #126401=VECTOR('',#421476,10.); #126402=VECTOR('',#421477,10.); #126403=VECTOR('',#421480,10.); #126404=VECTOR('',#421481,10.); #126405=VECTOR('',#421482,10.); #126406=VECTOR('',#421485,10.); #126407=VECTOR('',#421486,10.); #126408=VECTOR('',#421487,10.); #126409=VECTOR('',#421490,10.); #126410=VECTOR('',#421491,10.); #126411=VECTOR('',#421492,10.); #126412=VECTOR('',#421495,10.); #126413=VECTOR('',#421496,10.); #126414=VECTOR('',#421497,10.); #126415=VECTOR('',#421500,10.); #126416=VECTOR('',#421501,10.); #126417=VECTOR('',#421502,10.); #126418=VECTOR('',#421505,10.); #126419=VECTOR('',#421506,10.); #126420=VECTOR('',#421507,10.); #126421=VECTOR('',#421510,10.); #126422=VECTOR('',#421511,10.); #126423=VECTOR('',#421512,10.); #126424=VECTOR('',#421515,10.); #126425=VECTOR('',#421516,10.); #126426=VECTOR('',#421517,10.); #126427=VECTOR('',#421520,10.); #126428=VECTOR('',#421521,10.); #126429=VECTOR('',#421522,10.); #126430=VECTOR('',#421525,10.); #126431=VECTOR('',#421526,10.); #126432=VECTOR('',#421527,10.); #126433=VECTOR('',#421530,10.); #126434=VECTOR('',#421531,10.); #126435=VECTOR('',#421532,10.); #126436=VECTOR('',#421535,10.); #126437=VECTOR('',#421536,10.); #126438=VECTOR('',#421537,10.); #126439=VECTOR('',#421540,10.); #126440=VECTOR('',#421541,10.); #126441=VECTOR('',#421550,0.140000000000002); #126442=VECTOR('',#421557,0.140000000000002); #126443=VECTOR('',#421562,10.); #126444=VECTOR('',#421563,10.); #126445=VECTOR('',#421564,10.); #126446=VECTOR('',#421565,10.); #126447=VECTOR('',#421572,10.); #126448=VECTOR('',#421575,10.); #126449=VECTOR('',#421576,10.); #126450=VECTOR('',#421577,10.); #126451=VECTOR('',#421584,10.); #126452=VECTOR('',#421591,10.); #126453=VECTOR('',#421594,10.); #126454=VECTOR('',#421595,10.); #126455=VECTOR('',#421596,10.); #126456=VECTOR('',#421611,0.465); #126457=VECTOR('',#421618,0.472999999999999); #126458=VECTOR('',#421623,10.); #126459=VECTOR('',#421624,10.); #126460=VECTOR('',#421625,10.); #126461=VECTOR('',#421626,10.); #126462=VECTOR('',#421629,10.); #126463=VECTOR('',#421630,10.); #126464=VECTOR('',#421631,10.); #126465=VECTOR('',#421634,10.); #126466=VECTOR('',#421635,10.); #126467=VECTOR('',#421636,10.); #126468=VECTOR('',#421639,10.); #126469=VECTOR('',#421640,10.); #126470=VECTOR('',#421641,10.); #126471=VECTOR('',#421644,10.); #126472=VECTOR('',#421645,10.); #126473=VECTOR('',#421646,10.); #126474=VECTOR('',#421649,10.); #126475=VECTOR('',#421650,10.); #126476=VECTOR('',#421651,10.); #126477=VECTOR('',#421654,10.); #126478=VECTOR('',#421655,10.); #126479=VECTOR('',#421656,10.); #126480=VECTOR('',#421659,10.); #126481=VECTOR('',#421660,10.); #126482=VECTOR('',#421663,10.); #126483=VECTOR('',#421664,10.); #126484=VECTOR('',#421665,10.); #126485=VECTOR('',#421666,10.); #126486=VECTOR('',#421669,10.); #126487=VECTOR('',#421670,10.); #126488=VECTOR('',#421671,10.); #126489=VECTOR('',#421674,10.); #126490=VECTOR('',#421675,10.); #126491=VECTOR('',#421676,10.); #126492=VECTOR('',#421679,10.); #126493=VECTOR('',#421680,10.); #126494=VECTOR('',#421681,10.); #126495=VECTOR('',#421684,10.); #126496=VECTOR('',#421685,10.); #126497=VECTOR('',#421686,10.); #126498=VECTOR('',#421689,10.); #126499=VECTOR('',#421690,10.); #126500=VECTOR('',#421691,10.); #126501=VECTOR('',#421694,10.); #126502=VECTOR('',#421695,10.); #126503=VECTOR('',#421696,10.); #126504=VECTOR('',#421699,10.); #126505=VECTOR('',#421700,10.); #126506=VECTOR('',#421701,10.); #126507=VECTOR('',#421704,10.); #126508=VECTOR('',#421705,10.); #126509=VECTOR('',#421706,10.); #126510=VECTOR('',#421709,10.); #126511=VECTOR('',#421710,10.); #126512=VECTOR('',#421711,10.); #126513=VECTOR('',#421714,10.); #126514=VECTOR('',#421715,10.); #126515=VECTOR('',#421716,10.); #126516=VECTOR('',#421719,10.); #126517=VECTOR('',#421720,10.); #126518=VECTOR('',#421721,10.); #126519=VECTOR('',#421724,10.); #126520=VECTOR('',#421725,10.); #126521=VECTOR('',#421726,10.); #126522=VECTOR('',#421729,10.); #126523=VECTOR('',#421730,10.); #126524=VECTOR('',#421731,10.); #126525=VECTOR('',#421734,10.); #126526=VECTOR('',#421735,10.); #126527=VECTOR('',#421736,10.); #126528=VECTOR('',#421739,10.); #126529=VECTOR('',#421740,10.); #126530=VECTOR('',#421741,10.); #126531=VECTOR('',#421744,10.); #126532=VECTOR('',#421745,10.); #126533=VECTOR('',#421746,10.); #126534=VECTOR('',#421749,10.); #126535=VECTOR('',#421750,10.); #126536=VECTOR('',#421751,10.); #126537=VECTOR('',#421754,10.); #126538=VECTOR('',#421755,10.); #126539=VECTOR('',#421756,10.); #126540=VECTOR('',#421759,10.); #126541=VECTOR('',#421760,10.); #126542=VECTOR('',#421761,10.); #126543=VECTOR('',#421764,10.); #126544=VECTOR('',#421765,10.); #126545=VECTOR('',#421766,10.); #126546=VECTOR('',#421769,10.); #126547=VECTOR('',#421770,10.); #126548=VECTOR('',#421771,10.); #126549=VECTOR('',#421774,10.); #126550=VECTOR('',#421775,10.); #126551=VECTOR('',#421776,10.); #126552=VECTOR('',#421779,10.); #126553=VECTOR('',#421780,10.); #126554=VECTOR('',#421781,10.); #126555=VECTOR('',#421784,10.); #126556=VECTOR('',#421785,10.); #126557=VECTOR('',#421786,10.); #126558=VECTOR('',#421789,10.); #126559=VECTOR('',#421790,10.); #126560=VECTOR('',#421791,10.); #126561=VECTOR('',#421794,10.); #126562=VECTOR('',#421795,10.); #126563=VECTOR('',#421796,10.); #126564=VECTOR('',#421799,10.); #126565=VECTOR('',#421800,10.); #126566=VECTOR('',#421801,10.); #126567=VECTOR('',#421804,10.); #126568=VECTOR('',#421805,10.); #126569=VECTOR('',#421806,10.); #126570=VECTOR('',#421809,10.); #126571=VECTOR('',#421810,10.); #126572=VECTOR('',#421811,10.); #126573=VECTOR('',#421814,10.); #126574=VECTOR('',#421815,10.); #126575=VECTOR('',#421816,10.); #126576=VECTOR('',#421819,10.); #126577=VECTOR('',#421820,10.); #126578=VECTOR('',#421821,10.); #126579=VECTOR('',#421824,10.); #126580=VECTOR('',#421825,10.); #126581=VECTOR('',#421826,10.); #126582=VECTOR('',#421829,10.); #126583=VECTOR('',#421830,10.); #126584=VECTOR('',#421831,10.); #126585=VECTOR('',#421834,10.); #126586=VECTOR('',#421835,10.); #126587=VECTOR('',#421836,10.); #126588=VECTOR('',#421839,10.); #126589=VECTOR('',#421840,10.); #126590=VECTOR('',#421841,10.); #126591=VECTOR('',#421844,10.); #126592=VECTOR('',#421845,10.); #126593=VECTOR('',#421846,10.); #126594=VECTOR('',#421849,10.); #126595=VECTOR('',#421850,10.); #126596=VECTOR('',#421851,10.); #126597=VECTOR('',#421854,10.); #126598=VECTOR('',#421855,10.); #126599=VECTOR('',#421856,10.); #126600=VECTOR('',#421859,10.); #126601=VECTOR('',#421860,10.); #126602=VECTOR('',#421861,10.); #126603=VECTOR('',#421864,10.); #126604=VECTOR('',#421865,10.); #126605=VECTOR('',#421866,10.); #126606=VECTOR('',#421869,10.); #126607=VECTOR('',#421870,10.); #126608=VECTOR('',#421871,10.); #126609=VECTOR('',#421874,10.); #126610=VECTOR('',#421875,10.); #126611=VECTOR('',#421876,10.); #126612=VECTOR('',#421879,10.); #126613=VECTOR('',#421880,10.); #126614=VECTOR('',#421881,10.); #126615=VECTOR('',#421884,10.); #126616=VECTOR('',#421885,10.); #126617=VECTOR('',#421886,10.); #126618=VECTOR('',#421889,10.); #126619=VECTOR('',#421890,10.); #126620=VECTOR('',#421891,10.); #126621=VECTOR('',#421894,10.); #126622=VECTOR('',#421895,10.); #126623=VECTOR('',#421896,10.); #126624=VECTOR('',#421899,10.); #126625=VECTOR('',#421900,10.); #126626=VECTOR('',#421901,10.); #126627=VECTOR('',#421904,10.); #126628=VECTOR('',#421905,10.); #126629=VECTOR('',#421906,10.); #126630=VECTOR('',#421909,10.); #126631=VECTOR('',#421910,10.); #126632=VECTOR('',#421911,10.); #126633=VECTOR('',#421914,10.); #126634=VECTOR('',#421915,10.); #126635=VECTOR('',#421916,10.); #126636=VECTOR('',#421919,10.); #126637=VECTOR('',#421920,10.); #126638=VECTOR('',#421921,10.); #126639=VECTOR('',#421924,10.); #126640=VECTOR('',#421925,10.); #126641=VECTOR('',#421926,10.); #126642=VECTOR('',#421929,10.); #126643=VECTOR('',#421930,10.); #126644=VECTOR('',#421931,10.); #126645=VECTOR('',#421934,10.); #126646=VECTOR('',#421935,10.); #126647=VECTOR('',#421936,10.); #126648=VECTOR('',#421939,10.); #126649=VECTOR('',#421940,10.); #126650=VECTOR('',#421941,10.); #126651=VECTOR('',#421944,10.); #126652=VECTOR('',#421945,10.); #126653=VECTOR('',#421946,10.); #126654=VECTOR('',#421949,10.); #126655=VECTOR('',#421950,10.); #126656=VECTOR('',#421951,10.); #126657=VECTOR('',#421954,10.); #126658=VECTOR('',#421955,10.); #126659=VECTOR('',#421956,10.); #126660=VECTOR('',#421959,10.); #126661=VECTOR('',#421960,10.); #126662=VECTOR('',#421961,10.); #126663=VECTOR('',#421964,10.); #126664=VECTOR('',#421965,10.); #126665=VECTOR('',#421966,10.); #126666=VECTOR('',#421969,10.); #126667=VECTOR('',#421970,10.); #126668=VECTOR('',#421971,10.); #126669=VECTOR('',#421974,10.); #126670=VECTOR('',#421975,10.); #126671=VECTOR('',#421976,10.); #126672=VECTOR('',#421979,10.); #126673=VECTOR('',#421980,10.); #126674=VECTOR('',#421981,10.); #126675=VECTOR('',#421984,10.); #126676=VECTOR('',#421985,10.); #126677=VECTOR('',#421986,10.); #126678=VECTOR('',#421989,10.); #126679=VECTOR('',#421990,10.); #126680=VECTOR('',#421991,10.); #126681=VECTOR('',#421994,10.); #126682=VECTOR('',#421995,10.); #126683=VECTOR('',#421996,10.); #126684=VECTOR('',#421999,10.); #126685=VECTOR('',#422000,10.); #126686=VECTOR('',#422001,10.); #126687=VECTOR('',#422004,10.); #126688=VECTOR('',#422005,10.); #126689=VECTOR('',#422006,10.); #126690=VECTOR('',#422009,10.); #126691=VECTOR('',#422010,10.); #126692=VECTOR('',#422011,10.); #126693=VECTOR('',#422014,10.); #126694=VECTOR('',#422015,10.); #126695=VECTOR('',#422016,10.); #126696=VECTOR('',#422019,10.); #126697=VECTOR('',#422020,10.); #126698=VECTOR('',#422021,10.); #126699=VECTOR('',#422024,10.); #126700=VECTOR('',#422025,10.); #126701=VECTOR('',#422026,10.); #126702=VECTOR('',#422029,10.); #126703=VECTOR('',#422030,10.); #126704=VECTOR('',#422031,10.); #126705=VECTOR('',#422034,10.); #126706=VECTOR('',#422035,10.); #126707=VECTOR('',#422036,10.); #126708=VECTOR('',#422039,10.); #126709=VECTOR('',#422040,10.); #126710=VECTOR('',#422041,10.); #126711=VECTOR('',#422044,10.); #126712=VECTOR('',#422045,10.); #126713=VECTOR('',#422046,10.); #126714=VECTOR('',#422049,10.); #126715=VECTOR('',#422050,10.); #126716=VECTOR('',#422051,10.); #126717=VECTOR('',#422054,10.); #126718=VECTOR('',#422055,10.); #126719=VECTOR('',#422056,10.); #126720=VECTOR('',#422059,10.); #126721=VECTOR('',#422060,10.); #126722=VECTOR('',#422061,10.); #126723=VECTOR('',#422064,10.); #126724=VECTOR('',#422065,10.); #126725=VECTOR('',#422066,10.); #126726=VECTOR('',#422069,10.); #126727=VECTOR('',#422070,10.); #126728=VECTOR('',#422071,10.); #126729=VECTOR('',#422074,10.); #126730=VECTOR('',#422075,10.); #126731=VECTOR('',#422076,10.); #126732=VECTOR('',#422079,10.); #126733=VECTOR('',#422080,10.); #126734=VECTOR('',#422081,10.); #126735=VECTOR('',#422084,10.); #126736=VECTOR('',#422085,10.); #126737=VECTOR('',#422086,10.); #126738=VECTOR('',#422089,10.); #126739=VECTOR('',#422090,10.); #126740=VECTOR('',#422091,10.); #126741=VECTOR('',#422094,10.); #126742=VECTOR('',#422095,10.); #126743=VECTOR('',#422096,10.); #126744=VECTOR('',#422099,10.); #126745=VECTOR('',#422100,10.); #126746=VECTOR('',#422101,10.); #126747=VECTOR('',#422104,10.); #126748=VECTOR('',#422105,10.); #126749=VECTOR('',#422106,10.); #126750=VECTOR('',#422109,10.); #126751=VECTOR('',#422110,10.); #126752=VECTOR('',#422111,10.); #126753=VECTOR('',#422114,10.); #126754=VECTOR('',#422115,10.); #126755=VECTOR('',#422116,10.); #126756=VECTOR('',#422119,10.); #126757=VECTOR('',#422120,10.); #126758=VECTOR('',#422121,10.); #126759=VECTOR('',#422124,10.); #126760=VECTOR('',#422125,10.); #126761=VECTOR('',#422134,0.139999999999998); #126762=VECTOR('',#422139,10.); #126763=VECTOR('',#422140,10.); #126764=VECTOR('',#422141,10.); #126765=VECTOR('',#422142,10.); #126766=VECTOR('',#422149,10.); #126767=VECTOR('',#422164,0.139999999999998); #126768=VECTOR('',#422171,0.139999999999998); #126769=VECTOR('',#422178,0.139999999999998); #126770=VECTOR('',#422185,0.114999999999998); #126771=VECTOR('',#422192,0.114999999999998); #126772=VECTOR('',#422199,0.114999999999998); #126773=VECTOR('',#422206,0.114999999999998); #126774=VECTOR('',#422213,0.139999999999998); #126775=VECTOR('',#422220,0.139999999999998); #126776=VECTOR('',#422227,0.139999999999998); #126777=VECTOR('',#422234,0.139999999999998); #126778=VECTOR('',#422241,0.139999999999998); #126779=VECTOR('',#422248,0.139999999999998); #126780=VECTOR('',#422255,0.139999999999998); #126781=VECTOR('',#422262,0.139999999999998); #126782=VECTOR('',#422267,10.); #126783=VECTOR('',#422268,10.); #126784=VECTOR('',#422269,10.); #126785=VECTOR('',#422270,10.); #126786=VECTOR('',#422273,10.); #126787=VECTOR('',#422274,10.); #126788=VECTOR('',#422275,10.); #126789=VECTOR('',#422278,10.); #126790=VECTOR('',#422279,10.); #126791=VECTOR('',#422280,10.); #126792=VECTOR('',#422283,10.); #126793=VECTOR('',#422284,10.); #126794=VECTOR('',#422285,10.); #126795=VECTOR('',#422288,10.); #126796=VECTOR('',#422289,10.); #126797=VECTOR('',#422290,10.); #126798=VECTOR('',#422293,10.); #126799=VECTOR('',#422294,10.); #126800=VECTOR('',#422295,10.); #126801=VECTOR('',#422298,10.); #126802=VECTOR('',#422299,10.); #126803=VECTOR('',#422300,10.); #126804=VECTOR('',#422303,10.); #126805=VECTOR('',#422304,10.); #126806=VECTOR('',#422305,10.); #126807=VECTOR('',#422308,10.); #126808=VECTOR('',#422309,10.); #126809=VECTOR('',#422310,10.); #126810=VECTOR('',#422313,10.); #126811=VECTOR('',#422314,10.); #126812=VECTOR('',#422315,10.); #126813=VECTOR('',#422318,10.); #126814=VECTOR('',#422319,10.); #126815=VECTOR('',#422320,10.); #126816=VECTOR('',#422323,10.); #126817=VECTOR('',#422324,10.); #126818=VECTOR('',#422325,10.); #126819=VECTOR('',#422328,10.); #126820=VECTOR('',#422329,10.); #126821=VECTOR('',#422330,10.); #126822=VECTOR('',#422333,10.); #126823=VECTOR('',#422334,10.); #126824=VECTOR('',#422335,10.); #126825=VECTOR('',#422338,10.); #126826=VECTOR('',#422339,10.); #126827=VECTOR('',#422340,10.); #126828=VECTOR('',#422343,10.); #126829=VECTOR('',#422344,10.); #126830=VECTOR('',#422345,10.); #126831=VECTOR('',#422348,10.); #126832=VECTOR('',#422349,10.); #126833=VECTOR('',#422350,10.); #126834=VECTOR('',#422353,10.); #126835=VECTOR('',#422354,10.); #126836=VECTOR('',#422355,10.); #126837=VECTOR('',#422358,10.); #126838=VECTOR('',#422359,10.); #126839=VECTOR('',#422360,10.); #126840=VECTOR('',#422363,10.); #126841=VECTOR('',#422364,10.); #126842=VECTOR('',#422365,10.); #126843=VECTOR('',#422368,10.); #126844=VECTOR('',#422369,10.); #126845=VECTOR('',#422370,10.); #126846=VECTOR('',#422373,10.); #126847=VECTOR('',#422374,10.); #126848=VECTOR('',#422375,10.); #126849=VECTOR('',#422378,10.); #126850=VECTOR('',#422379,10.); #126851=VECTOR('',#422380,10.); #126852=VECTOR('',#422383,10.); #126853=VECTOR('',#422384,10.); #126854=VECTOR('',#422385,10.); #126855=VECTOR('',#422388,10.); #126856=VECTOR('',#422389,10.); #126857=VECTOR('',#422390,10.); #126858=VECTOR('',#422393,10.); #126859=VECTOR('',#422394,10.); #126860=VECTOR('',#422395,10.); #126861=VECTOR('',#422398,10.); #126862=VECTOR('',#422399,10.); #126863=VECTOR('',#422400,10.); #126864=VECTOR('',#422403,10.); #126865=VECTOR('',#422404,10.); #126866=VECTOR('',#422405,10.); #126867=VECTOR('',#422408,10.); #126868=VECTOR('',#422409,10.); #126869=VECTOR('',#422410,10.); #126870=VECTOR('',#422413,10.); #126871=VECTOR('',#422414,10.); #126872=VECTOR('',#422415,10.); #126873=VECTOR('',#422418,10.); #126874=VECTOR('',#422419,10.); #126875=VECTOR('',#422420,10.); #126876=VECTOR('',#422423,10.); #126877=VECTOR('',#422424,10.); #126878=VECTOR('',#422425,10.); #126879=VECTOR('',#422428,10.); #126880=VECTOR('',#422429,10.); #126881=VECTOR('',#422430,10.); #126882=VECTOR('',#422433,10.); #126883=VECTOR('',#422434,10.); #126884=VECTOR('',#422435,10.); #126885=VECTOR('',#422438,10.); #126886=VECTOR('',#422439,10.); #126887=VECTOR('',#422440,10.); #126888=VECTOR('',#422443,10.); #126889=VECTOR('',#422444,10.); #126890=VECTOR('',#422445,10.); #126891=VECTOR('',#422448,10.); #126892=VECTOR('',#422449,10.); #126893=VECTOR('',#422450,10.); #126894=VECTOR('',#422453,10.); #126895=VECTOR('',#422454,10.); #126896=VECTOR('',#422455,10.); #126897=VECTOR('',#422458,10.); #126898=VECTOR('',#422459,10.); #126899=VECTOR('',#422460,10.); #126900=VECTOR('',#422463,10.); #126901=VECTOR('',#422464,10.); #126902=VECTOR('',#422465,10.); #126903=VECTOR('',#422468,10.); #126904=VECTOR('',#422469,10.); #126905=VECTOR('',#422470,10.); #126906=VECTOR('',#422473,10.); #126907=VECTOR('',#422474,10.); #126908=VECTOR('',#422475,10.); #126909=VECTOR('',#422478,10.); #126910=VECTOR('',#422479,10.); #126911=VECTOR('',#422480,10.); #126912=VECTOR('',#422483,10.); #126913=VECTOR('',#422484,10.); #126914=VECTOR('',#422485,10.); #126915=VECTOR('',#422488,10.); #126916=VECTOR('',#422489,10.); #126917=VECTOR('',#422490,10.); #126918=VECTOR('',#422493,10.); #126919=VECTOR('',#422494,10.); #126920=VECTOR('',#422495,10.); #126921=VECTOR('',#422498,10.); #126922=VECTOR('',#422499,10.); #126923=VECTOR('',#422500,10.); #126924=VECTOR('',#422503,10.); #126925=VECTOR('',#422504,10.); #126926=VECTOR('',#422505,10.); #126927=VECTOR('',#422508,10.); #126928=VECTOR('',#422509,10.); #126929=VECTOR('',#422510,10.); #126930=VECTOR('',#422513,10.); #126931=VECTOR('',#422514,10.); #126932=VECTOR('',#422515,10.); #126933=VECTOR('',#422518,10.); #126934=VECTOR('',#422519,10.); #126935=VECTOR('',#422520,10.); #126936=VECTOR('',#422523,10.); #126937=VECTOR('',#422524,10.); #126938=VECTOR('',#422525,10.); #126939=VECTOR('',#422528,10.); #126940=VECTOR('',#422529,10.); #126941=VECTOR('',#422530,10.); #126942=VECTOR('',#422533,10.); #126943=VECTOR('',#422534,10.); #126944=VECTOR('',#422535,10.); #126945=VECTOR('',#422538,10.); #126946=VECTOR('',#422539,10.); #126947=VECTOR('',#422540,10.); #126948=VECTOR('',#422543,10.); #126949=VECTOR('',#422544,10.); #126950=VECTOR('',#422545,10.); #126951=VECTOR('',#422548,10.); #126952=VECTOR('',#422549,10.); #126953=VECTOR('',#422550,10.); #126954=VECTOR('',#422553,10.); #126955=VECTOR('',#422554,10.); #126956=VECTOR('',#422555,10.); #126957=VECTOR('',#422558,10.); #126958=VECTOR('',#422559,10.); #126959=VECTOR('',#422560,10.); #126960=VECTOR('',#422563,10.); #126961=VECTOR('',#422564,10.); #126962=VECTOR('',#422565,10.); #126963=VECTOR('',#422568,10.); #126964=VECTOR('',#422569,10.); #126965=VECTOR('',#422570,10.); #126966=VECTOR('',#422573,10.); #126967=VECTOR('',#422574,10.); #126968=VECTOR('',#422575,10.); #126969=VECTOR('',#422578,10.); #126970=VECTOR('',#422579,10.); #126971=VECTOR('',#422580,10.); #126972=VECTOR('',#422583,10.); #126973=VECTOR('',#422584,10.); #126974=VECTOR('',#422585,10.); #126975=VECTOR('',#422588,10.); #126976=VECTOR('',#422589,10.); #126977=VECTOR('',#422590,10.); #126978=VECTOR('',#422593,10.); #126979=VECTOR('',#422594,10.); #126980=VECTOR('',#422595,10.); #126981=VECTOR('',#422598,10.); #126982=VECTOR('',#422599,10.); #126983=VECTOR('',#422600,10.); #126984=VECTOR('',#422603,10.); #126985=VECTOR('',#422604,10.); #126986=VECTOR('',#422605,10.); #126987=VECTOR('',#422608,10.); #126988=VECTOR('',#422609,10.); #126989=VECTOR('',#422610,10.); #126990=VECTOR('',#422613,10.); #126991=VECTOR('',#422614,10.); #126992=VECTOR('',#422615,10.); #126993=VECTOR('',#422618,10.); #126994=VECTOR('',#422619,10.); #126995=VECTOR('',#422620,10.); #126996=VECTOR('',#422623,10.); #126997=VECTOR('',#422624,10.); #126998=VECTOR('',#422627,10.); #126999=VECTOR('',#422628,10.); #127000=VECTOR('',#422629,10.); #127001=VECTOR('',#422630,10.); #127002=VECTOR('',#422633,10.); #127003=VECTOR('',#422634,10.); #127004=VECTOR('',#422635,10.); #127005=VECTOR('',#422638,10.); #127006=VECTOR('',#422639,10.); #127007=VECTOR('',#422640,10.); #127008=VECTOR('',#422643,10.); #127009=VECTOR('',#422644,10.); #127010=VECTOR('',#422645,10.); #127011=VECTOR('',#422648,10.); #127012=VECTOR('',#422649,10.); #127013=VECTOR('',#422650,10.); #127014=VECTOR('',#422653,10.); #127015=VECTOR('',#422654,10.); #127016=VECTOR('',#422655,10.); #127017=VECTOR('',#422658,10.); #127018=VECTOR('',#422659,10.); #127019=VECTOR('',#422660,10.); #127020=VECTOR('',#422663,10.); #127021=VECTOR('',#422664,10.); #127022=VECTOR('',#422665,10.); #127023=VECTOR('',#422668,10.); #127024=VECTOR('',#422669,10.); #127025=VECTOR('',#422670,10.); #127026=VECTOR('',#422673,10.); #127027=VECTOR('',#422674,10.); #127028=VECTOR('',#422675,10.); #127029=VECTOR('',#422678,10.); #127030=VECTOR('',#422679,10.); #127031=VECTOR('',#422680,10.); #127032=VECTOR('',#422683,10.); #127033=VECTOR('',#422684,10.); #127034=VECTOR('',#422685,10.); #127035=VECTOR('',#422688,10.); #127036=VECTOR('',#422689,10.); #127037=VECTOR('',#422690,10.); #127038=VECTOR('',#422693,10.); #127039=VECTOR('',#422694,10.); #127040=VECTOR('',#422695,10.); #127041=VECTOR('',#422698,10.); #127042=VECTOR('',#422699,10.); #127043=VECTOR('',#422700,10.); #127044=VECTOR('',#422703,10.); #127045=VECTOR('',#422704,10.); #127046=VECTOR('',#422705,10.); #127047=VECTOR('',#422708,10.); #127048=VECTOR('',#422709,10.); #127049=VECTOR('',#422710,10.); #127050=VECTOR('',#422713,10.); #127051=VECTOR('',#422714,10.); #127052=VECTOR('',#422715,10.); #127053=VECTOR('',#422718,10.); #127054=VECTOR('',#422719,10.); #127055=VECTOR('',#422720,10.); #127056=VECTOR('',#422723,10.); #127057=VECTOR('',#422724,10.); #127058=VECTOR('',#422725,10.); #127059=VECTOR('',#422728,10.); #127060=VECTOR('',#422729,10.); #127061=VECTOR('',#422730,10.); #127062=VECTOR('',#422733,10.); #127063=VECTOR('',#422734,10.); #127064=VECTOR('',#422735,10.); #127065=VECTOR('',#422738,10.); #127066=VECTOR('',#422739,10.); #127067=VECTOR('',#422740,10.); #127068=VECTOR('',#422743,10.); #127069=VECTOR('',#422744,10.); #127070=VECTOR('',#422745,10.); #127071=VECTOR('',#422748,10.); #127072=VECTOR('',#422749,10.); #127073=VECTOR('',#422750,10.); #127074=VECTOR('',#422753,10.); #127075=VECTOR('',#422754,10.); #127076=VECTOR('',#422755,10.); #127077=VECTOR('',#422758,10.); #127078=VECTOR('',#422759,10.); #127079=VECTOR('',#422760,10.); #127080=VECTOR('',#422763,10.); #127081=VECTOR('',#422764,10.); #127082=VECTOR('',#422765,10.); #127083=VECTOR('',#422768,10.); #127084=VECTOR('',#422769,10.); #127085=VECTOR('',#422770,10.); #127086=VECTOR('',#422773,10.); #127087=VECTOR('',#422774,10.); #127088=VECTOR('',#422775,10.); #127089=VECTOR('',#422778,10.); #127090=VECTOR('',#422779,10.); #127091=VECTOR('',#422780,10.); #127092=VECTOR('',#422783,10.); #127093=VECTOR('',#422784,10.); #127094=VECTOR('',#422785,10.); #127095=VECTOR('',#422788,10.); #127096=VECTOR('',#422789,10.); #127097=VECTOR('',#422790,10.); #127098=VECTOR('',#422793,10.); #127099=VECTOR('',#422794,10.); #127100=VECTOR('',#422795,10.); #127101=VECTOR('',#422798,10.); #127102=VECTOR('',#422799,10.); #127103=VECTOR('',#422800,10.); #127104=VECTOR('',#422803,10.); #127105=VECTOR('',#422804,10.); #127106=VECTOR('',#422805,10.); #127107=VECTOR('',#422808,10.); #127108=VECTOR('',#422809,10.); #127109=VECTOR('',#422810,10.); #127110=VECTOR('',#422813,10.); #127111=VECTOR('',#422814,10.); #127112=VECTOR('',#422815,10.); #127113=VECTOR('',#422818,10.); #127114=VECTOR('',#422819,10.); #127115=VECTOR('',#422820,10.); #127116=VECTOR('',#422823,10.); #127117=VECTOR('',#422824,10.); #127118=VECTOR('',#422825,10.); #127119=VECTOR('',#422828,10.); #127120=VECTOR('',#422829,10.); #127121=VECTOR('',#422830,10.); #127122=VECTOR('',#422833,10.); #127123=VECTOR('',#422834,10.); #127124=VECTOR('',#422835,10.); #127125=VECTOR('',#422838,10.); #127126=VECTOR('',#422839,10.); #127127=VECTOR('',#422840,10.); #127128=VECTOR('',#422843,10.); #127129=VECTOR('',#422844,10.); #127130=VECTOR('',#422845,10.); #127131=VECTOR('',#422848,10.); #127132=VECTOR('',#422849,10.); #127133=VECTOR('',#422850,10.); #127134=VECTOR('',#422853,10.); #127135=VECTOR('',#422854,10.); #127136=VECTOR('',#422855,10.); #127137=VECTOR('',#422858,10.); #127138=VECTOR('',#422859,10.); #127139=VECTOR('',#422860,10.); #127140=VECTOR('',#422863,10.); #127141=VECTOR('',#422864,10.); #127142=VECTOR('',#422865,10.); #127143=VECTOR('',#422868,10.); #127144=VECTOR('',#422869,10.); #127145=VECTOR('',#422870,10.); #127146=VECTOR('',#422873,10.); #127147=VECTOR('',#422874,10.); #127148=VECTOR('',#422875,10.); #127149=VECTOR('',#422878,10.); #127150=VECTOR('',#422879,10.); #127151=VECTOR('',#422880,10.); #127152=VECTOR('',#422883,10.); #127153=VECTOR('',#422884,10.); #127154=VECTOR('',#422885,10.); #127155=VECTOR('',#422888,10.); #127156=VECTOR('',#422889,10.); #127157=VECTOR('',#422890,10.); #127158=VECTOR('',#422893,10.); #127159=VECTOR('',#422894,10.); #127160=VECTOR('',#422895,10.); #127161=VECTOR('',#422898,10.); #127162=VECTOR('',#422899,10.); #127163=VECTOR('',#422900,10.); #127164=VECTOR('',#422903,10.); #127165=VECTOR('',#422904,10.); #127166=VECTOR('',#422905,10.); #127167=VECTOR('',#422908,10.); #127168=VECTOR('',#422909,10.); #127169=VECTOR('',#422910,10.); #127170=VECTOR('',#422913,10.); #127171=VECTOR('',#422914,10.); #127172=VECTOR('',#422915,10.); #127173=VECTOR('',#422918,10.); #127174=VECTOR('',#422919,10.); #127175=VECTOR('',#422920,10.); #127176=VECTOR('',#422923,10.); #127177=VECTOR('',#422924,10.); #127178=VECTOR('',#422925,10.); #127179=VECTOR('',#422928,10.); #127180=VECTOR('',#422929,10.); #127181=VECTOR('',#422930,10.); #127182=VECTOR('',#422933,10.); #127183=VECTOR('',#422934,10.); #127184=VECTOR('',#422935,10.); #127185=VECTOR('',#422938,10.); #127186=VECTOR('',#422939,10.); #127187=VECTOR('',#422940,10.); #127188=VECTOR('',#422943,10.); #127189=VECTOR('',#422944,10.); #127190=VECTOR('',#422945,10.); #127191=VECTOR('',#422948,10.); #127192=VECTOR('',#422949,10.); #127193=VECTOR('',#422950,10.); #127194=VECTOR('',#422953,10.); #127195=VECTOR('',#422954,10.); #127196=VECTOR('',#422955,10.); #127197=VECTOR('',#422958,10.); #127198=VECTOR('',#422959,10.); #127199=VECTOR('',#422960,10.); #127200=VECTOR('',#422963,10.); #127201=VECTOR('',#422964,10.); #127202=VECTOR('',#422965,10.); #127203=VECTOR('',#422968,10.); #127204=VECTOR('',#422969,10.); #127205=VECTOR('',#422970,10.); #127206=VECTOR('',#422973,10.); #127207=VECTOR('',#422974,10.); #127208=VECTOR('',#422975,10.); #127209=VECTOR('',#422978,10.); #127210=VECTOR('',#422979,10.); #127211=VECTOR('',#422980,10.); #127212=VECTOR('',#422983,10.); #127213=VECTOR('',#422984,10.); #127214=VECTOR('',#422985,10.); #127215=VECTOR('',#422988,10.); #127216=VECTOR('',#422989,10.); #127217=VECTOR('',#422990,10.); #127218=VECTOR('',#422993,10.); #127219=VECTOR('',#422994,10.); #127220=VECTOR('',#422995,10.); #127221=VECTOR('',#422998,10.); #127222=VECTOR('',#422999,10.); #127223=VECTOR('',#423000,10.); #127224=VECTOR('',#423003,10.); #127225=VECTOR('',#423004,10.); #127226=VECTOR('',#423005,10.); #127227=VECTOR('',#423008,10.); #127228=VECTOR('',#423009,10.); #127229=VECTOR('',#423010,10.); #127230=VECTOR('',#423013,10.); #127231=VECTOR('',#423014,10.); #127232=VECTOR('',#423015,10.); #127233=VECTOR('',#423018,10.); #127234=VECTOR('',#423019,10.); #127235=VECTOR('',#423020,10.); #127236=VECTOR('',#423023,10.); #127237=VECTOR('',#423024,10.); #127238=VECTOR('',#423025,10.); #127239=VECTOR('',#423028,10.); #127240=VECTOR('',#423029,10.); #127241=VECTOR('',#423030,10.); #127242=VECTOR('',#423033,10.); #127243=VECTOR('',#423034,10.); #127244=VECTOR('',#423035,10.); #127245=VECTOR('',#423038,10.); #127246=VECTOR('',#423039,10.); #127247=VECTOR('',#423040,10.); #127248=VECTOR('',#423043,10.); #127249=VECTOR('',#423044,10.); #127250=VECTOR('',#423045,10.); #127251=VECTOR('',#423048,10.); #127252=VECTOR('',#423049,10.); #127253=VECTOR('',#423050,10.); #127254=VECTOR('',#423053,10.); #127255=VECTOR('',#423054,10.); #127256=VECTOR('',#423055,10.); #127257=VECTOR('',#423058,10.); #127258=VECTOR('',#423059,10.); #127259=VECTOR('',#423060,10.); #127260=VECTOR('',#423063,10.); #127261=VECTOR('',#423064,10.); #127262=VECTOR('',#423065,10.); #127263=VECTOR('',#423068,10.); #127264=VECTOR('',#423069,10.); #127265=VECTOR('',#423070,10.); #127266=VECTOR('',#423073,10.); #127267=VECTOR('',#423074,10.); #127268=VECTOR('',#423075,10.); #127269=VECTOR('',#423078,10.); #127270=VECTOR('',#423079,10.); #127271=VECTOR('',#423080,10.); #127272=VECTOR('',#423083,10.); #127273=VECTOR('',#423084,10.); #127274=VECTOR('',#423085,10.); #127275=VECTOR('',#423088,10.); #127276=VECTOR('',#423089,10.); #127277=VECTOR('',#423090,10.); #127278=VECTOR('',#423093,10.); #127279=VECTOR('',#423094,10.); #127280=VECTOR('',#423095,10.); #127281=VECTOR('',#423098,10.); #127282=VECTOR('',#423099,10.); #127283=VECTOR('',#423108,0.140000000000002); #127284=VECTOR('',#423115,0.139999999999998); #127285=VECTOR('',#423120,10.); #127286=VECTOR('',#423121,10.); #127287=VECTOR('',#423122,10.); #127288=VECTOR('',#423123,10.); #127289=VECTOR('',#423130,10.); #127290=VECTOR('',#423133,10.); #127291=VECTOR('',#423134,10.); #127292=VECTOR('',#423135,10.); #127293=VECTOR('',#423138,10.); #127294=VECTOR('',#423139,10.); #127295=VECTOR('',#423140,10.); #127296=VECTOR('',#423147,10.); #127297=VECTOR('',#423150,10.); #127298=VECTOR('',#423151,10.); #127299=VECTOR('',#423152,10.); #127300=VECTOR('',#423155,10.); #127301=VECTOR('',#423156,10.); #127302=VECTOR('',#423157,10.); #127303=VECTOR('',#423164,10.); #127304=VECTOR('',#423167,10.); #127305=VECTOR('',#423168,10.); #127306=VECTOR('',#423169,10.); #127307=VECTOR('',#423176,10.); #127308=VECTOR('',#423179,10.); #127309=VECTOR('',#423180,10.); #127310=VECTOR('',#423181,10.); #127311=VECTOR('',#423184,10.); #127312=VECTOR('',#423185,10.); #127313=VECTOR('',#423186,10.); #127314=VECTOR('',#423189,10.); #127315=VECTOR('',#423190,10.); #127316=VECTOR('',#423191,10.); #127317=VECTOR('',#423198,10.); #127318=VECTOR('',#423201,10.); #127319=VECTOR('',#423202,10.); #127320=VECTOR('',#423203,10.); #127321=VECTOR('',#423210,10.); #127322=VECTOR('',#423213,10.); #127323=VECTOR('',#423214,10.); #127324=VECTOR('',#423215,10.); #127325=VECTOR('',#423218,10.); #127326=VECTOR('',#423219,10.); #127327=VECTOR('',#423220,10.); #127328=VECTOR('',#423227,10.); #127329=VECTOR('',#423230,10.); #127330=VECTOR('',#423231,10.); #127331=VECTOR('',#423232,10.); #127332=VECTOR('',#423239,10.); #127333=VECTOR('',#423246,10.); #127334=VECTOR('',#423249,10.); #127335=VECTOR('',#423250,10.); #127336=VECTOR('',#423251,10.); #127337=VECTOR('',#423254,10.); #127338=VECTOR('',#423255,10.); #127339=VECTOR('',#423256,10.); #127340=VECTOR('',#423263,10.); #127341=VECTOR('',#423266,10.); #127342=VECTOR('',#423267,10.); #127343=VECTOR('',#423268,10.); #127344=VECTOR('',#423271,10.); #127345=VECTOR('',#423272,10.); #127346=VECTOR('',#423273,10.); #127347=VECTOR('',#423276,10.); #127348=VECTOR('',#423277,10.); #127349=VECTOR('',#423278,10.); #127350=VECTOR('',#423285,10.); #127351=VECTOR('',#423288,10.); #127352=VECTOR('',#423289,10.); #127353=VECTOR('',#423290,10.); #127354=VECTOR('',#423297,10.); #127355=VECTOR('',#423300,10.); #127356=VECTOR('',#423301,10.); #127357=VECTOR('',#423302,10.); #127358=VECTOR('',#423305,10.); #127359=VECTOR('',#423306,10.); #127360=VECTOR('',#423307,10.); #127361=VECTOR('',#423310,10.); #127362=VECTOR('',#423311,10.); #127363=VECTOR('',#423312,10.); #127364=VECTOR('',#423319,10.); #127365=VECTOR('',#423322,10.); #127366=VECTOR('',#423323,10.); #127367=VECTOR('',#423324,10.); #127368=VECTOR('',#423327,10.); #127369=VECTOR('',#423328,10.); #127370=VECTOR('',#423329,10.); #127371=VECTOR('',#423336,10.); #127372=VECTOR('',#423339,10.); #127373=VECTOR('',#423340,10.); #127374=VECTOR('',#423341,10.); #127375=VECTOR('',#423344,10.); #127376=VECTOR('',#423345,10.); #127377=VECTOR('',#423346,10.); #127378=VECTOR('',#423361,0.139999999999998); #127379=VECTOR('',#423366,10.); #127380=VECTOR('',#423367,10.); #127381=VECTOR('',#423368,10.); #127382=VECTOR('',#423369,10.); #127383=VECTOR('',#423376,10.); #127384=VECTOR('',#423391,0.140000000000002); #127385=VECTOR('',#423398,0.140000000000002); #127386=VECTOR('',#423405,0.465); #127387=VECTOR('',#423412,0.140000000000002); #127388=VECTOR('',#423419,0.140000000000002); #127389=VECTOR('',#423426,0.140000000000002); #127390=VECTOR('',#423433,0.140000000000002); #127391=VECTOR('',#423440,0.140000000000002); #127392=VECTOR('',#423447,0.140000000000002); #127393=VECTOR('',#423454,0.140000000000002); #127394=VECTOR('',#423459,10.); #127395=VECTOR('',#423460,10.); #127396=VECTOR('',#423461,10.); #127397=VECTOR('',#423462,10.); #127398=VECTOR('',#423465,10.); #127399=VECTOR('',#423466,10.); #127400=VECTOR('',#423467,10.); #127401=VECTOR('',#423470,10.); #127402=VECTOR('',#423471,10.); #127403=VECTOR('',#423472,10.); #127404=VECTOR('',#423475,10.); #127405=VECTOR('',#423476,10.); #127406=VECTOR('',#423477,10.); #127407=VECTOR('',#423480,10.); #127408=VECTOR('',#423481,10.); #127409=VECTOR('',#423482,10.); #127410=VECTOR('',#423485,10.); #127411=VECTOR('',#423486,10.); #127412=VECTOR('',#423487,10.); #127413=VECTOR('',#423490,10.); #127414=VECTOR('',#423491,10.); #127415=VECTOR('',#423492,10.); #127416=VECTOR('',#423495,10.); #127417=VECTOR('',#423496,10.); #127418=VECTOR('',#423497,10.); #127419=VECTOR('',#423500,10.); #127420=VECTOR('',#423501,10.); #127421=VECTOR('',#423502,10.); #127422=VECTOR('',#423505,10.); #127423=VECTOR('',#423506,10.); #127424=VECTOR('',#423507,10.); #127425=VECTOR('',#423510,10.); #127426=VECTOR('',#423511,10.); #127427=VECTOR('',#423512,10.); #127428=VECTOR('',#423515,10.); #127429=VECTOR('',#423516,10.); #127430=VECTOR('',#423517,10.); #127431=VECTOR('',#423520,10.); #127432=VECTOR('',#423521,10.); #127433=VECTOR('',#423522,10.); #127434=VECTOR('',#423525,10.); #127435=VECTOR('',#423526,10.); #127436=VECTOR('',#423527,10.); #127437=VECTOR('',#423530,10.); #127438=VECTOR('',#423531,10.); #127439=VECTOR('',#423532,10.); #127440=VECTOR('',#423535,10.); #127441=VECTOR('',#423536,10.); #127442=VECTOR('',#423537,10.); #127443=VECTOR('',#423540,10.); #127444=VECTOR('',#423541,10.); #127445=VECTOR('',#423542,10.); #127446=VECTOR('',#423545,10.); #127447=VECTOR('',#423546,10.); #127448=VECTOR('',#423547,10.); #127449=VECTOR('',#423550,10.); #127450=VECTOR('',#423551,10.); #127451=VECTOR('',#423552,10.); #127452=VECTOR('',#423555,10.); #127453=VECTOR('',#423556,10.); #127454=VECTOR('',#423557,10.); #127455=VECTOR('',#423560,10.); #127456=VECTOR('',#423561,10.); #127457=VECTOR('',#423562,10.); #127458=VECTOR('',#423565,10.); #127459=VECTOR('',#423566,10.); #127460=VECTOR('',#423567,10.); #127461=VECTOR('',#423570,10.); #127462=VECTOR('',#423571,10.); #127463=VECTOR('',#423572,10.); #127464=VECTOR('',#423575,10.); #127465=VECTOR('',#423576,10.); #127466=VECTOR('',#423585,0.564999999999998); #127467=VECTOR('',#423590,10.); #127468=VECTOR('',#423591,10.); #127469=VECTOR('',#423592,10.); #127470=VECTOR('',#423593,10.); #127471=VECTOR('',#423600,10.); #127472=VECTOR('',#423615,0.565000000000002); #127473=VECTOR('',#423620,10.); #127474=VECTOR('',#423621,10.); #127475=VECTOR('',#423622,10.); #127476=VECTOR('',#423623,10.); #127477=VECTOR('',#423630,10.); #127478=VECTOR('',#423645,0.465); #127479=VECTOR('',#423650,10.); #127480=VECTOR('',#423651,10.); #127481=VECTOR('',#423652,10.); #127482=VECTOR('',#423653,10.); #127483=VECTOR('',#423660,10.); #127484=VECTOR('',#423675,0.472999999999999); #127485=VECTOR('',#423680,10.); #127486=VECTOR('',#423681,10.); #127487=VECTOR('',#423682,10.); #127488=VECTOR('',#423683,10.); #127489=VECTOR('',#423686,10.); #127490=VECTOR('',#423687,10.); #127491=VECTOR('',#423688,10.); #127492=VECTOR('',#423691,10.); #127493=VECTOR('',#423692,10.); #127494=VECTOR('',#423693,10.); #127495=VECTOR('',#423696,10.); #127496=VECTOR('',#423697,10.); #127497=VECTOR('',#423698,10.); #127498=VECTOR('',#423701,10.); #127499=VECTOR('',#423702,10.); #127500=VECTOR('',#423703,10.); #127501=VECTOR('',#423706,10.); #127502=VECTOR('',#423707,10.); #127503=VECTOR('',#423708,10.); #127504=VECTOR('',#423711,10.); #127505=VECTOR('',#423712,10.); #127506=VECTOR('',#423713,10.); #127507=VECTOR('',#423716,10.); #127508=VECTOR('',#423717,10.); #127509=VECTOR('',#423726,0.465); #127510=VECTOR('',#423731,10.); #127511=VECTOR('',#423732,10.); #127512=VECTOR('',#423733,10.); #127513=VECTOR('',#423734,10.); #127514=VECTOR('',#423741,10.); #127515=VECTOR('',#423756,0.514999999999999); #127516=VECTOR('',#423761,10.); #127517=VECTOR('',#423762,10.); #127518=VECTOR('',#423763,10.); #127519=VECTOR('',#423764,10.); #127520=VECTOR('',#423767,10.); #127521=VECTOR('',#423768,10.); #127522=VECTOR('',#423769,10.); #127523=VECTOR('',#423772,10.); #127524=VECTOR('',#423773,10.); #127525=VECTOR('',#423774,10.); #127526=VECTOR('',#423777,10.); #127527=VECTOR('',#423778,10.); #127528=VECTOR('',#423787,0.564999999999998); #127529=VECTOR('',#423792,10.); #127530=VECTOR('',#423793,10.); #127531=VECTOR('',#423794,10.); #127532=VECTOR('',#423795,10.); #127533=VECTOR('',#423802,10.); #127534=VECTOR('',#423815,10.); #127535=VECTOR('',#423816,10.); #127536=VECTOR('',#423817,10.); #127537=VECTOR('',#423818,10.); #127538=VECTOR('',#423825,10.); #127539=VECTOR('',#423828,10.); #127540=VECTOR('',#423829,10.); #127541=VECTOR('',#423830,10.); #127542=VECTOR('',#423839,10.); #127543=VECTOR('',#423840,10.); #127544=VECTOR('',#423841,10.); #127545=VECTOR('',#423842,10.); #127546=VECTOR('',#423845,10.); #127547=VECTOR('',#423846,10.); #127548=VECTOR('',#423847,10.); #127549=VECTOR('',#423850,10.); #127550=VECTOR('',#423851,10.); #127551=VECTOR('',#423852,10.); #127552=VECTOR('',#423855,10.); #127553=VECTOR('',#423856,10.); #127554=VECTOR('',#423857,10.); #127555=VECTOR('',#423860,10.); #127556=VECTOR('',#423861,10.); #127557=VECTOR('',#423862,10.); #127558=VECTOR('',#423865,10.); #127559=VECTOR('',#423866,10.); #127560=VECTOR('',#423867,10.); #127561=VECTOR('',#423870,10.); #127562=VECTOR('',#423871,10.); #127563=VECTOR('',#423872,10.); #127564=VECTOR('',#423875,10.); #127565=VECTOR('',#423876,10.); #127566=VECTOR('',#423877,10.); #127567=VECTOR('',#423880,10.); #127568=VECTOR('',#423881,10.); #127569=VECTOR('',#423882,10.); #127570=VECTOR('',#423885,10.); #127571=VECTOR('',#423886,10.); #127572=VECTOR('',#423887,10.); #127573=VECTOR('',#423890,10.); #127574=VECTOR('',#423891,10.); #127575=VECTOR('',#423892,10.); #127576=VECTOR('',#423895,10.); #127577=VECTOR('',#423896,10.); #127578=VECTOR('',#423897,10.); #127579=VECTOR('',#423900,10.); #127580=VECTOR('',#423901,10.); #127581=VECTOR('',#423902,10.); #127582=VECTOR('',#423905,10.); #127583=VECTOR('',#423906,10.); #127584=VECTOR('',#423907,10.); #127585=VECTOR('',#423910,10.); #127586=VECTOR('',#423911,10.); #127587=VECTOR('',#423912,10.); #127588=VECTOR('',#423915,10.); #127589=VECTOR('',#423916,10.); #127590=VECTOR('',#423917,10.); #127591=VECTOR('',#423920,10.); #127592=VECTOR('',#423921,10.); #127593=VECTOR('',#423922,10.); #127594=VECTOR('',#423925,10.); #127595=VECTOR('',#423926,10.); #127596=VECTOR('',#423927,10.); #127597=VECTOR('',#423930,10.); #127598=VECTOR('',#423931,10.); #127599=VECTOR('',#423932,10.); #127600=VECTOR('',#423935,10.); #127601=VECTOR('',#423936,10.); #127602=VECTOR('',#423937,10.); #127603=VECTOR('',#423940,10.); #127604=VECTOR('',#423941,10.); #127605=VECTOR('',#423942,10.); #127606=VECTOR('',#423945,10.); #127607=VECTOR('',#423946,10.); #127608=VECTOR('',#423947,10.); #127609=VECTOR('',#423950,10.); #127610=VECTOR('',#423951,10.); #127611=VECTOR('',#423952,10.); #127612=VECTOR('',#423955,10.); #127613=VECTOR('',#423956,10.); #127614=VECTOR('',#423957,10.); #127615=VECTOR('',#423960,10.); #127616=VECTOR('',#423961,10.); #127617=VECTOR('',#423962,10.); #127618=VECTOR('',#423965,10.); #127619=VECTOR('',#423966,10.); #127620=VECTOR('',#423967,10.); #127621=VECTOR('',#423970,10.); #127622=VECTOR('',#423971,10.); #127623=VECTOR('',#423972,10.); #127624=VECTOR('',#423975,10.); #127625=VECTOR('',#423976,10.); #127626=VECTOR('',#423977,10.); #127627=VECTOR('',#423980,10.); #127628=VECTOR('',#423981,10.); #127629=VECTOR('',#423982,10.); #127630=VECTOR('',#423985,10.); #127631=VECTOR('',#423986,10.); #127632=VECTOR('',#423987,10.); #127633=VECTOR('',#423990,10.); #127634=VECTOR('',#423991,10.); #127635=VECTOR('',#423992,10.); #127636=VECTOR('',#423995,10.); #127637=VECTOR('',#423996,10.); #127638=VECTOR('',#423997,10.); #127639=VECTOR('',#424000,10.); #127640=VECTOR('',#424001,10.); #127641=VECTOR('',#424002,10.); #127642=VECTOR('',#424005,10.); #127643=VECTOR('',#424006,10.); #127644=VECTOR('',#424007,10.); #127645=VECTOR('',#424010,10.); #127646=VECTOR('',#424011,10.); #127647=VECTOR('',#424012,10.); #127648=VECTOR('',#424015,10.); #127649=VECTOR('',#424016,10.); #127650=VECTOR('',#424017,10.); #127651=VECTOR('',#424020,10.); #127652=VECTOR('',#424021,10.); #127653=VECTOR('',#424022,10.); #127654=VECTOR('',#424025,10.); #127655=VECTOR('',#424026,10.); #127656=VECTOR('',#424027,10.); #127657=VECTOR('',#424030,10.); #127658=VECTOR('',#424031,10.); #127659=VECTOR('',#424032,10.); #127660=VECTOR('',#424035,10.); #127661=VECTOR('',#424036,10.); #127662=VECTOR('',#424037,10.); #127663=VECTOR('',#424040,10.); #127664=VECTOR('',#424041,10.); #127665=VECTOR('',#424042,10.); #127666=VECTOR('',#424045,10.); #127667=VECTOR('',#424046,10.); #127668=VECTOR('',#424047,10.); #127669=VECTOR('',#424050,10.); #127670=VECTOR('',#424051,10.); #127671=VECTOR('',#424052,10.); #127672=VECTOR('',#424055,10.); #127673=VECTOR('',#424056,10.); #127674=VECTOR('',#424057,10.); #127675=VECTOR('',#424060,10.); #127676=VECTOR('',#424061,10.); #127677=VECTOR('',#424062,10.); #127678=VECTOR('',#424065,10.); #127679=VECTOR('',#424066,10.); #127680=VECTOR('',#424067,10.); #127681=VECTOR('',#424070,10.); #127682=VECTOR('',#424071,10.); #127683=VECTOR('',#424072,10.); #127684=VECTOR('',#424075,10.); #127685=VECTOR('',#424076,10.); #127686=VECTOR('',#424077,10.); #127687=VECTOR('',#424080,10.); #127688=VECTOR('',#424081,10.); #127689=VECTOR('',#424082,10.); #127690=VECTOR('',#424085,10.); #127691=VECTOR('',#424086,10.); #127692=VECTOR('',#424087,10.); #127693=VECTOR('',#424090,10.); #127694=VECTOR('',#424091,10.); #127695=VECTOR('',#424092,10.); #127696=VECTOR('',#424095,10.); #127697=VECTOR('',#424096,10.); #127698=VECTOR('',#424097,10.); #127699=VECTOR('',#424100,10.); #127700=VECTOR('',#424101,10.); #127701=VECTOR('',#424102,10.); #127702=VECTOR('',#424105,10.); #127703=VECTOR('',#424106,10.); #127704=VECTOR('',#424107,10.); #127705=VECTOR('',#424110,10.); #127706=VECTOR('',#424111,10.); #127707=VECTOR('',#424112,10.); #127708=VECTOR('',#424115,10.); #127709=VECTOR('',#424116,10.); #127710=VECTOR('',#424125,0.465); #127711=VECTOR('',#424130,10.); #127712=VECTOR('',#424131,10.); #127713=VECTOR('',#424132,10.); #127714=VECTOR('',#424133,10.); #127715=VECTOR('',#424140,10.); #127716=VECTOR('',#424153,10.); #127717=VECTOR('',#424154,10.); #127718=VECTOR('',#424155,10.); #127719=VECTOR('',#424156,10.); #127720=VECTOR('',#424163,10.); #127721=VECTOR('',#424166,10.); #127722=VECTOR('',#424167,10.); #127723=VECTOR('',#424168,10.); #127724=VECTOR('',#424177,10.); #127725=VECTOR('',#424178,10.); #127726=VECTOR('',#424179,10.); #127727=VECTOR('',#424180,10.); #127728=VECTOR('',#424183,10.); #127729=VECTOR('',#424184,10.); #127730=VECTOR('',#424185,10.); #127731=VECTOR('',#424188,10.); #127732=VECTOR('',#424189,10.); #127733=VECTOR('',#424190,10.); #127734=VECTOR('',#424193,10.); #127735=VECTOR('',#424194,10.); #127736=VECTOR('',#424195,10.); #127737=VECTOR('',#424198,10.); #127738=VECTOR('',#424199,10.); #127739=VECTOR('',#424200,10.); #127740=VECTOR('',#424203,10.); #127741=VECTOR('',#424204,10.); #127742=VECTOR('',#424205,10.); #127743=VECTOR('',#424208,10.); #127744=VECTOR('',#424209,10.); #127745=VECTOR('',#424210,10.); #127746=VECTOR('',#424213,10.); #127747=VECTOR('',#424214,10.); #127748=VECTOR('',#424215,10.); #127749=VECTOR('',#424218,10.); #127750=VECTOR('',#424219,10.); #127751=VECTOR('',#424220,10.); #127752=VECTOR('',#424223,10.); #127753=VECTOR('',#424224,10.); #127754=VECTOR('',#424225,10.); #127755=VECTOR('',#424228,10.); #127756=VECTOR('',#424229,10.); #127757=VECTOR('',#424230,10.); #127758=VECTOR('',#424233,10.); #127759=VECTOR('',#424234,10.); #127760=VECTOR('',#424235,10.); #127761=VECTOR('',#424238,10.); #127762=VECTOR('',#424239,10.); #127763=VECTOR('',#424240,10.); #127764=VECTOR('',#424243,10.); #127765=VECTOR('',#424244,10.); #127766=VECTOR('',#424245,10.); #127767=VECTOR('',#424248,10.); #127768=VECTOR('',#424249,10.); #127769=VECTOR('',#424250,10.); #127770=VECTOR('',#424253,10.); #127771=VECTOR('',#424254,10.); #127772=VECTOR('',#424255,10.); #127773=VECTOR('',#424258,10.); #127774=VECTOR('',#424259,10.); #127775=VECTOR('',#424260,10.); #127776=VECTOR('',#424263,10.); #127777=VECTOR('',#424264,10.); #127778=VECTOR('',#424265,10.); #127779=VECTOR('',#424268,10.); #127780=VECTOR('',#424269,10.); #127781=VECTOR('',#424270,10.); #127782=VECTOR('',#424273,10.); #127783=VECTOR('',#424274,10.); #127784=VECTOR('',#424275,10.); #127785=VECTOR('',#424278,10.); #127786=VECTOR('',#424279,10.); #127787=VECTOR('',#424280,10.); #127788=VECTOR('',#424283,10.); #127789=VECTOR('',#424284,10.); #127790=VECTOR('',#424285,10.); #127791=VECTOR('',#424288,10.); #127792=VECTOR('',#424289,10.); #127793=VECTOR('',#424290,10.); #127794=VECTOR('',#424293,10.); #127795=VECTOR('',#424294,10.); #127796=VECTOR('',#424295,10.); #127797=VECTOR('',#424298,10.); #127798=VECTOR('',#424299,10.); #127799=VECTOR('',#424300,10.); #127800=VECTOR('',#424303,10.); #127801=VECTOR('',#424304,10.); #127802=VECTOR('',#424305,10.); #127803=VECTOR('',#424308,10.); #127804=VECTOR('',#424309,10.); #127805=VECTOR('',#424310,10.); #127806=VECTOR('',#424313,10.); #127807=VECTOR('',#424314,10.); #127808=VECTOR('',#424315,10.); #127809=VECTOR('',#424318,10.); #127810=VECTOR('',#424319,10.); #127811=VECTOR('',#424320,10.); #127812=VECTOR('',#424323,10.); #127813=VECTOR('',#424324,10.); #127814=VECTOR('',#424325,10.); #127815=VECTOR('',#424328,10.); #127816=VECTOR('',#424329,10.); #127817=VECTOR('',#424330,10.); #127818=VECTOR('',#424333,10.); #127819=VECTOR('',#424334,10.); #127820=VECTOR('',#424335,10.); #127821=VECTOR('',#424338,10.); #127822=VECTOR('',#424339,10.); #127823=VECTOR('',#424340,10.); #127824=VECTOR('',#424343,10.); #127825=VECTOR('',#424344,10.); #127826=VECTOR('',#424345,10.); #127827=VECTOR('',#424348,10.); #127828=VECTOR('',#424349,10.); #127829=VECTOR('',#424350,10.); #127830=VECTOR('',#424353,10.); #127831=VECTOR('',#424354,10.); #127832=VECTOR('',#424355,10.); #127833=VECTOR('',#424358,10.); #127834=VECTOR('',#424359,10.); #127835=VECTOR('',#424360,10.); #127836=VECTOR('',#424363,10.); #127837=VECTOR('',#424364,10.); #127838=VECTOR('',#424365,10.); #127839=VECTOR('',#424368,10.); #127840=VECTOR('',#424369,10.); #127841=VECTOR('',#424370,10.); #127842=VECTOR('',#424373,10.); #127843=VECTOR('',#424374,10.); #127844=VECTOR('',#424375,10.); #127845=VECTOR('',#424378,10.); #127846=VECTOR('',#424379,10.); #127847=VECTOR('',#424380,10.); #127848=VECTOR('',#424383,10.); #127849=VECTOR('',#424384,10.); #127850=VECTOR('',#424385,10.); #127851=VECTOR('',#424388,10.); #127852=VECTOR('',#424389,10.); #127853=VECTOR('',#424390,10.); #127854=VECTOR('',#424393,10.); #127855=VECTOR('',#424394,10.); #127856=VECTOR('',#424395,10.); #127857=VECTOR('',#424398,10.); #127858=VECTOR('',#424399,10.); #127859=VECTOR('',#424400,10.); #127860=VECTOR('',#424403,10.); #127861=VECTOR('',#424404,10.); #127862=VECTOR('',#424405,10.); #127863=VECTOR('',#424408,10.); #127864=VECTOR('',#424409,10.); #127865=VECTOR('',#424410,10.); #127866=VECTOR('',#424413,10.); #127867=VECTOR('',#424414,10.); #127868=VECTOR('',#424415,10.); #127869=VECTOR('',#424418,10.); #127870=VECTOR('',#424419,10.); #127871=VECTOR('',#424420,10.); #127872=VECTOR('',#424423,10.); #127873=VECTOR('',#424424,10.); #127874=VECTOR('',#424425,10.); #127875=VECTOR('',#424428,10.); #127876=VECTOR('',#424429,10.); #127877=VECTOR('',#424430,10.); #127878=VECTOR('',#424433,10.); #127879=VECTOR('',#424434,10.); #127880=VECTOR('',#424435,10.); #127881=VECTOR('',#424438,10.); #127882=VECTOR('',#424439,10.); #127883=VECTOR('',#424440,10.); #127884=VECTOR('',#424443,10.); #127885=VECTOR('',#424444,10.); #127886=VECTOR('',#424445,10.); #127887=VECTOR('',#424448,10.); #127888=VECTOR('',#424449,10.); #127889=VECTOR('',#424450,10.); #127890=VECTOR('',#424453,10.); #127891=VECTOR('',#424454,10.); #127892=VECTOR('',#424461,10.); #127893=VECTOR('',#424462,10.); #127894=VECTOR('',#424463,10.); #127895=VECTOR('',#424464,10.); #127896=VECTOR('',#424471,10.); #127897=VECTOR('',#424474,10.); #127898=VECTOR('',#424475,10.); #127899=VECTOR('',#424476,10.); #127900=VECTOR('',#424485,10.); #127901=VECTOR('',#424486,10.); #127902=VECTOR('',#424487,10.); #127903=VECTOR('',#424488,10.); #127904=VECTOR('',#424491,10.); #127905=VECTOR('',#424492,10.); #127906=VECTOR('',#424493,10.); #127907=VECTOR('',#424496,10.); #127908=VECTOR('',#424497,10.); #127909=VECTOR('',#424498,10.); #127910=VECTOR('',#424501,10.); #127911=VECTOR('',#424502,10.); #127912=VECTOR('',#424503,10.); #127913=VECTOR('',#424506,10.); #127914=VECTOR('',#424507,10.); #127915=VECTOR('',#424508,10.); #127916=VECTOR('',#424511,10.); #127917=VECTOR('',#424512,10.); #127918=VECTOR('',#424513,10.); #127919=VECTOR('',#424516,10.); #127920=VECTOR('',#424517,10.); #127921=VECTOR('',#424518,10.); #127922=VECTOR('',#424521,10.); #127923=VECTOR('',#424522,10.); #127924=VECTOR('',#424523,10.); #127925=VECTOR('',#424526,10.); #127926=VECTOR('',#424527,10.); #127927=VECTOR('',#424528,10.); #127928=VECTOR('',#424531,10.); #127929=VECTOR('',#424532,10.); #127930=VECTOR('',#424533,10.); #127931=VECTOR('',#424536,10.); #127932=VECTOR('',#424537,10.); #127933=VECTOR('',#424538,10.); #127934=VECTOR('',#424541,10.); #127935=VECTOR('',#424542,10.); #127936=VECTOR('',#424543,10.); #127937=VECTOR('',#424546,10.); #127938=VECTOR('',#424547,10.); #127939=VECTOR('',#424548,10.); #127940=VECTOR('',#424551,10.); #127941=VECTOR('',#424552,10.); #127942=VECTOR('',#424553,10.); #127943=VECTOR('',#424556,10.); #127944=VECTOR('',#424557,10.); #127945=VECTOR('',#424558,10.); #127946=VECTOR('',#424561,10.); #127947=VECTOR('',#424562,10.); #127948=VECTOR('',#424563,10.); #127949=VECTOR('',#424566,10.); #127950=VECTOR('',#424567,10.); #127951=VECTOR('',#424568,10.); #127952=VECTOR('',#424571,10.); #127953=VECTOR('',#424572,10.); #127954=VECTOR('',#424573,10.); #127955=VECTOR('',#424576,10.); #127956=VECTOR('',#424577,10.); #127957=VECTOR('',#424578,10.); #127958=VECTOR('',#424581,10.); #127959=VECTOR('',#424582,10.); #127960=VECTOR('',#424583,10.); #127961=VECTOR('',#424586,10.); #127962=VECTOR('',#424587,10.); #127963=VECTOR('',#424588,10.); #127964=VECTOR('',#424591,10.); #127965=VECTOR('',#424592,10.); #127966=VECTOR('',#424593,10.); #127967=VECTOR('',#424596,10.); #127968=VECTOR('',#424597,10.); #127969=VECTOR('',#424598,10.); #127970=VECTOR('',#424601,10.); #127971=VECTOR('',#424602,10.); #127972=VECTOR('',#424603,10.); #127973=VECTOR('',#424606,10.); #127974=VECTOR('',#424607,10.); #127975=VECTOR('',#424608,10.); #127976=VECTOR('',#424611,10.); #127977=VECTOR('',#424612,10.); #127978=VECTOR('',#424613,10.); #127979=VECTOR('',#424616,10.); #127980=VECTOR('',#424617,10.); #127981=VECTOR('',#424618,10.); #127982=VECTOR('',#424621,10.); #127983=VECTOR('',#424622,10.); #127984=VECTOR('',#424623,10.); #127985=VECTOR('',#424626,10.); #127986=VECTOR('',#424627,10.); #127987=VECTOR('',#424628,10.); #127988=VECTOR('',#424631,10.); #127989=VECTOR('',#424632,10.); #127990=VECTOR('',#424633,10.); #127991=VECTOR('',#424636,10.); #127992=VECTOR('',#424637,10.); #127993=VECTOR('',#424638,10.); #127994=VECTOR('',#424641,10.); #127995=VECTOR('',#424642,10.); #127996=VECTOR('',#424643,10.); #127997=VECTOR('',#424646,10.); #127998=VECTOR('',#424647,10.); #127999=VECTOR('',#424648,10.); #128000=VECTOR('',#424651,10.); #128001=VECTOR('',#424652,10.); #128002=VECTOR('',#424653,10.); #128003=VECTOR('',#424656,10.); #128004=VECTOR('',#424657,10.); #128005=VECTOR('',#424658,10.); #128006=VECTOR('',#424661,10.); #128007=VECTOR('',#424662,10.); #128008=VECTOR('',#424663,10.); #128009=VECTOR('',#424666,10.); #128010=VECTOR('',#424667,10.); #128011=VECTOR('',#424668,10.); #128012=VECTOR('',#424671,10.); #128013=VECTOR('',#424672,10.); #128014=VECTOR('',#424673,10.); #128015=VECTOR('',#424676,10.); #128016=VECTOR('',#424677,10.); #128017=VECTOR('',#424678,10.); #128018=VECTOR('',#424681,10.); #128019=VECTOR('',#424682,10.); #128020=VECTOR('',#424683,10.); #128021=VECTOR('',#424686,10.); #128022=VECTOR('',#424687,10.); #128023=VECTOR('',#424688,10.); #128024=VECTOR('',#424691,10.); #128025=VECTOR('',#424692,10.); #128026=VECTOR('',#424693,10.); #128027=VECTOR('',#424696,10.); #128028=VECTOR('',#424697,10.); #128029=VECTOR('',#424698,10.); #128030=VECTOR('',#424701,10.); #128031=VECTOR('',#424702,10.); #128032=VECTOR('',#424703,10.); #128033=VECTOR('',#424706,10.); #128034=VECTOR('',#424707,10.); #128035=VECTOR('',#424708,10.); #128036=VECTOR('',#424711,10.); #128037=VECTOR('',#424712,10.); #128038=VECTOR('',#424713,10.); #128039=VECTOR('',#424716,10.); #128040=VECTOR('',#424717,10.); #128041=VECTOR('',#424718,10.); #128042=VECTOR('',#424721,10.); #128043=VECTOR('',#424722,10.); #128044=VECTOR('',#424723,10.); #128045=VECTOR('',#424726,10.); #128046=VECTOR('',#424727,10.); #128047=VECTOR('',#424728,10.); #128048=VECTOR('',#424731,10.); #128049=VECTOR('',#424732,10.); #128050=VECTOR('',#424733,10.); #128051=VECTOR('',#424736,10.); #128052=VECTOR('',#424737,10.); #128053=VECTOR('',#424738,10.); #128054=VECTOR('',#424741,10.); #128055=VECTOR('',#424742,10.); #128056=VECTOR('',#424743,10.); #128057=VECTOR('',#424746,10.); #128058=VECTOR('',#424747,10.); #128059=VECTOR('',#424748,10.); #128060=VECTOR('',#424751,10.); #128061=VECTOR('',#424752,10.); #128062=VECTOR('',#424753,10.); #128063=VECTOR('',#424756,10.); #128064=VECTOR('',#424757,10.); #128065=VECTOR('',#424758,10.); #128066=VECTOR('',#424761,10.); #128067=VECTOR('',#424762,10.); #128068=VECTOR('',#424763,10.); #128069=VECTOR('',#424766,10.); #128070=VECTOR('',#424767,10.); #128071=VECTOR('',#424768,10.); #128072=VECTOR('',#424771,10.); #128073=VECTOR('',#424772,10.); #128074=VECTOR('',#424773,10.); #128075=VECTOR('',#424776,10.); #128076=VECTOR('',#424777,10.); #128077=VECTOR('',#424778,10.); #128078=VECTOR('',#424781,10.); #128079=VECTOR('',#424782,10.); #128080=VECTOR('',#424783,10.); #128081=VECTOR('',#424786,10.); #128082=VECTOR('',#424787,10.); #128083=VECTOR('',#424788,10.); #128084=VECTOR('',#424791,10.); #128085=VECTOR('',#424792,10.); #128086=VECTOR('',#424793,10.); #128087=VECTOR('',#424796,10.); #128088=VECTOR('',#424797,10.); #128089=VECTOR('',#424798,10.); #128090=VECTOR('',#424801,10.); #128091=VECTOR('',#424802,10.); #128092=VECTOR('',#424803,10.); #128093=VECTOR('',#424806,10.); #128094=VECTOR('',#424807,10.); #128095=VECTOR('',#424808,10.); #128096=VECTOR('',#424811,10.); #128097=VECTOR('',#424812,10.); #128098=VECTOR('',#424813,10.); #128099=VECTOR('',#424816,10.); #128100=VECTOR('',#424817,10.); #128101=VECTOR('',#424818,10.); #128102=VECTOR('',#424821,10.); #128103=VECTOR('',#424822,10.); #128104=VECTOR('',#424823,10.); #128105=VECTOR('',#424826,10.); #128106=VECTOR('',#424827,10.); #128107=VECTOR('',#424828,10.); #128108=VECTOR('',#424831,10.); #128109=VECTOR('',#424832,10.); #128110=VECTOR('',#424833,10.); #128111=VECTOR('',#424836,10.); #128112=VECTOR('',#424837,10.); #128113=VECTOR('',#424838,10.); #128114=VECTOR('',#424841,10.); #128115=VECTOR('',#424842,10.); #128116=VECTOR('',#424849,10.); #128117=VECTOR('',#424850,10.); #128118=VECTOR('',#424851,10.); #128119=VECTOR('',#424852,10.); #128120=VECTOR('',#424859,10.); #128121=VECTOR('',#424862,10.); #128122=VECTOR('',#424863,10.); #128123=VECTOR('',#424864,10.); #128124=VECTOR('',#424873,10.); #128125=VECTOR('',#424874,10.); #128126=VECTOR('',#424875,10.); #128127=VECTOR('',#424876,10.); #128128=VECTOR('',#424879,10.); #128129=VECTOR('',#424880,10.); #128130=VECTOR('',#424881,10.); #128131=VECTOR('',#424884,10.); #128132=VECTOR('',#424885,10.); #128133=VECTOR('',#424886,10.); #128134=VECTOR('',#424889,10.); #128135=VECTOR('',#424890,10.); #128136=VECTOR('',#424891,10.); #128137=VECTOR('',#424894,10.); #128138=VECTOR('',#424895,10.); #128139=VECTOR('',#424896,10.); #128140=VECTOR('',#424899,10.); #128141=VECTOR('',#424900,10.); #128142=VECTOR('',#424901,10.); #128143=VECTOR('',#424904,10.); #128144=VECTOR('',#424905,10.); #128145=VECTOR('',#424906,10.); #128146=VECTOR('',#424909,10.); #128147=VECTOR('',#424910,10.); #128148=VECTOR('',#424911,10.); #128149=VECTOR('',#424914,10.); #128150=VECTOR('',#424915,10.); #128151=VECTOR('',#424916,10.); #128152=VECTOR('',#424919,10.); #128153=VECTOR('',#424920,10.); #128154=VECTOR('',#424921,10.); #128155=VECTOR('',#424924,10.); #128156=VECTOR('',#424925,10.); #128157=VECTOR('',#424926,10.); #128158=VECTOR('',#424929,10.); #128159=VECTOR('',#424930,10.); #128160=VECTOR('',#424931,10.); #128161=VECTOR('',#424934,10.); #128162=VECTOR('',#424935,10.); #128163=VECTOR('',#424936,10.); #128164=VECTOR('',#424939,10.); #128165=VECTOR('',#424940,10.); #128166=VECTOR('',#424941,10.); #128167=VECTOR('',#424944,10.); #128168=VECTOR('',#424945,10.); #128169=VECTOR('',#424946,10.); #128170=VECTOR('',#424949,10.); #128171=VECTOR('',#424950,10.); #128172=VECTOR('',#424951,10.); #128173=VECTOR('',#424954,10.); #128174=VECTOR('',#424955,10.); #128175=VECTOR('',#424956,10.); #128176=VECTOR('',#424959,10.); #128177=VECTOR('',#424960,10.); #128178=VECTOR('',#424961,10.); #128179=VECTOR('',#424964,10.); #128180=VECTOR('',#424965,10.); #128181=VECTOR('',#424966,10.); #128182=VECTOR('',#424969,10.); #128183=VECTOR('',#424970,10.); #128184=VECTOR('',#424971,10.); #128185=VECTOR('',#424974,10.); #128186=VECTOR('',#424975,10.); #128187=VECTOR('',#424976,10.); #128188=VECTOR('',#424979,10.); #128189=VECTOR('',#424980,10.); #128190=VECTOR('',#424981,10.); #128191=VECTOR('',#424984,10.); #128192=VECTOR('',#424985,10.); #128193=VECTOR('',#424986,10.); #128194=VECTOR('',#424989,10.); #128195=VECTOR('',#424990,10.); #128196=VECTOR('',#424991,10.); #128197=VECTOR('',#424994,10.); #128198=VECTOR('',#424995,10.); #128199=VECTOR('',#424996,10.); #128200=VECTOR('',#424999,10.); #128201=VECTOR('',#425000,10.); #128202=VECTOR('',#425001,10.); #128203=VECTOR('',#425004,10.); #128204=VECTOR('',#425005,10.); #128205=VECTOR('',#425006,10.); #128206=VECTOR('',#425009,10.); #128207=VECTOR('',#425010,10.); #128208=VECTOR('',#425011,10.); #128209=VECTOR('',#425014,10.); #128210=VECTOR('',#425015,10.); #128211=VECTOR('',#425016,10.); #128212=VECTOR('',#425019,10.); #128213=VECTOR('',#425020,10.); #128214=VECTOR('',#425021,10.); #128215=VECTOR('',#425024,10.); #128216=VECTOR('',#425025,10.); #128217=VECTOR('',#425026,10.); #128218=VECTOR('',#425029,10.); #128219=VECTOR('',#425030,10.); #128220=VECTOR('',#425031,10.); #128221=VECTOR('',#425034,10.); #128222=VECTOR('',#425035,10.); #128223=VECTOR('',#425036,10.); #128224=VECTOR('',#425039,10.); #128225=VECTOR('',#425040,10.); #128226=VECTOR('',#425041,10.); #128227=VECTOR('',#425044,10.); #128228=VECTOR('',#425045,10.); #128229=VECTOR('',#425046,10.); #128230=VECTOR('',#425049,10.); #128231=VECTOR('',#425050,10.); #128232=VECTOR('',#425051,10.); #128233=VECTOR('',#425054,10.); #128234=VECTOR('',#425055,10.); #128235=VECTOR('',#425056,10.); #128236=VECTOR('',#425059,10.); #128237=VECTOR('',#425060,10.); #128238=VECTOR('',#425061,10.); #128239=VECTOR('',#425064,10.); #128240=VECTOR('',#425065,10.); #128241=VECTOR('',#425066,10.); #128242=VECTOR('',#425069,10.); #128243=VECTOR('',#425070,10.); #128244=VECTOR('',#425071,10.); #128245=VECTOR('',#425074,10.); #128246=VECTOR('',#425075,10.); #128247=VECTOR('',#425076,10.); #128248=VECTOR('',#425079,10.); #128249=VECTOR('',#425080,10.); #128250=VECTOR('',#425081,10.); #128251=VECTOR('',#425084,10.); #128252=VECTOR('',#425085,10.); #128253=VECTOR('',#425086,10.); #128254=VECTOR('',#425089,10.); #128255=VECTOR('',#425090,10.); #128256=VECTOR('',#425091,10.); #128257=VECTOR('',#425094,10.); #128258=VECTOR('',#425095,10.); #128259=VECTOR('',#425096,10.); #128260=VECTOR('',#425099,10.); #128261=VECTOR('',#425100,10.); #128262=VECTOR('',#425101,10.); #128263=VECTOR('',#425104,10.); #128264=VECTOR('',#425105,10.); #128265=VECTOR('',#425106,10.); #128266=VECTOR('',#425109,10.); #128267=VECTOR('',#425110,10.); #128268=VECTOR('',#425111,10.); #128269=VECTOR('',#425114,10.); #128270=VECTOR('',#425115,10.); #128271=VECTOR('',#425116,10.); #128272=VECTOR('',#425119,10.); #128273=VECTOR('',#425120,10.); #128274=VECTOR('',#425121,10.); #128275=VECTOR('',#425124,10.); #128276=VECTOR('',#425125,10.); #128277=VECTOR('',#425126,10.); #128278=VECTOR('',#425129,10.); #128279=VECTOR('',#425130,10.); #128280=VECTOR('',#425131,10.); #128281=VECTOR('',#425134,10.); #128282=VECTOR('',#425135,10.); #128283=VECTOR('',#425136,10.); #128284=VECTOR('',#425139,10.); #128285=VECTOR('',#425140,10.); #128286=VECTOR('',#425141,10.); #128287=VECTOR('',#425144,10.); #128288=VECTOR('',#425145,10.); #128289=VECTOR('',#425146,10.); #128290=VECTOR('',#425149,10.); #128291=VECTOR('',#425150,10.); #128292=VECTOR('',#425159,0.514999999999999); #128293=VECTOR('',#425164,10.); #128294=VECTOR('',#425165,10.); #128295=VECTOR('',#425166,10.); #128296=VECTOR('',#425167,10.); #128297=VECTOR('',#425174,10.); #128298=VECTOR('',#425187,10.); #128299=VECTOR('',#425188,10.); #128300=VECTOR('',#425189,10.); #128301=VECTOR('',#425190,10.); #128302=VECTOR('',#425197,10.); #128303=VECTOR('',#425200,10.); #128304=VECTOR('',#425201,10.); #128305=VECTOR('',#425202,10.); #128306=VECTOR('',#425211,10.); #128307=VECTOR('',#425212,10.); #128308=VECTOR('',#425213,10.); #128309=VECTOR('',#425214,10.); #128310=VECTOR('',#425217,10.); #128311=VECTOR('',#425218,10.); #128312=VECTOR('',#425219,10.); #128313=VECTOR('',#425222,10.); #128314=VECTOR('',#425223,10.); #128315=VECTOR('',#425224,10.); #128316=VECTOR('',#425227,10.); #128317=VECTOR('',#425228,10.); #128318=VECTOR('',#425229,10.); #128319=VECTOR('',#425232,10.); #128320=VECTOR('',#425233,10.); #128321=VECTOR('',#425234,10.); #128322=VECTOR('',#425237,10.); #128323=VECTOR('',#425238,10.); #128324=VECTOR('',#425239,10.); #128325=VECTOR('',#425242,10.); #128326=VECTOR('',#425243,10.); #128327=VECTOR('',#425244,10.); #128328=VECTOR('',#425247,10.); #128329=VECTOR('',#425248,10.); #128330=VECTOR('',#425249,10.); #128331=VECTOR('',#425252,10.); #128332=VECTOR('',#425253,10.); #128333=VECTOR('',#425254,10.); #128334=VECTOR('',#425257,10.); #128335=VECTOR('',#425258,10.); #128336=VECTOR('',#425259,10.); #128337=VECTOR('',#425262,10.); #128338=VECTOR('',#425263,10.); #128339=VECTOR('',#425264,10.); #128340=VECTOR('',#425267,10.); #128341=VECTOR('',#425268,10.); #128342=VECTOR('',#425269,10.); #128343=VECTOR('',#425272,10.); #128344=VECTOR('',#425273,10.); #128345=VECTOR('',#425274,10.); #128346=VECTOR('',#425277,10.); #128347=VECTOR('',#425278,10.); #128348=VECTOR('',#425279,10.); #128349=VECTOR('',#425282,10.); #128350=VECTOR('',#425283,10.); #128351=VECTOR('',#425284,10.); #128352=VECTOR('',#425287,10.); #128353=VECTOR('',#425288,10.); #128354=VECTOR('',#425289,10.); #128355=VECTOR('',#425292,10.); #128356=VECTOR('',#425293,10.); #128357=VECTOR('',#425294,10.); #128358=VECTOR('',#425297,10.); #128359=VECTOR('',#425298,10.); #128360=VECTOR('',#425299,10.); #128361=VECTOR('',#425302,10.); #128362=VECTOR('',#425303,10.); #128363=VECTOR('',#425304,10.); #128364=VECTOR('',#425307,10.); #128365=VECTOR('',#425308,10.); #128366=VECTOR('',#425309,10.); #128367=VECTOR('',#425312,10.); #128368=VECTOR('',#425313,10.); #128369=VECTOR('',#425314,10.); #128370=VECTOR('',#425317,10.); #128371=VECTOR('',#425318,10.); #128372=VECTOR('',#425319,10.); #128373=VECTOR('',#425322,10.); #128374=VECTOR('',#425323,10.); #128375=VECTOR('',#425324,10.); #128376=VECTOR('',#425327,10.); #128377=VECTOR('',#425328,10.); #128378=VECTOR('',#425329,10.); #128379=VECTOR('',#425332,10.); #128380=VECTOR('',#425333,10.); #128381=VECTOR('',#425334,10.); #128382=VECTOR('',#425337,10.); #128383=VECTOR('',#425338,10.); #128384=VECTOR('',#425339,10.); #128385=VECTOR('',#425342,10.); #128386=VECTOR('',#425343,10.); #128387=VECTOR('',#425344,10.); #128388=VECTOR('',#425347,10.); #128389=VECTOR('',#425348,10.); #128390=VECTOR('',#425349,10.); #128391=VECTOR('',#425352,10.); #128392=VECTOR('',#425353,10.); #128393=VECTOR('',#425354,10.); #128394=VECTOR('',#425357,10.); #128395=VECTOR('',#425358,10.); #128396=VECTOR('',#425359,10.); #128397=VECTOR('',#425362,10.); #128398=VECTOR('',#425363,10.); #128399=VECTOR('',#425364,10.); #128400=VECTOR('',#425367,10.); #128401=VECTOR('',#425368,10.); #128402=VECTOR('',#425369,10.); #128403=VECTOR('',#425372,10.); #128404=VECTOR('',#425373,10.); #128405=VECTOR('',#425374,10.); #128406=VECTOR('',#425377,10.); #128407=VECTOR('',#425378,10.); #128408=VECTOR('',#425379,10.); #128409=VECTOR('',#425382,10.); #128410=VECTOR('',#425383,10.); #128411=VECTOR('',#425384,10.); #128412=VECTOR('',#425387,10.); #128413=VECTOR('',#425388,10.); #128414=VECTOR('',#425389,10.); #128415=VECTOR('',#425392,10.); #128416=VECTOR('',#425393,10.); #128417=VECTOR('',#425394,10.); #128418=VECTOR('',#425397,10.); #128419=VECTOR('',#425398,10.); #128420=VECTOR('',#425399,10.); #128421=VECTOR('',#425402,10.); #128422=VECTOR('',#425403,10.); #128423=VECTOR('',#425404,10.); #128424=VECTOR('',#425407,10.); #128425=VECTOR('',#425408,10.); #128426=VECTOR('',#425409,10.); #128427=VECTOR('',#425412,10.); #128428=VECTOR('',#425413,10.); #128429=VECTOR('',#425414,10.); #128430=VECTOR('',#425417,10.); #128431=VECTOR('',#425418,10.); #128432=VECTOR('',#425419,10.); #128433=VECTOR('',#425422,10.); #128434=VECTOR('',#425423,10.); #128435=VECTOR('',#425424,10.); #128436=VECTOR('',#425427,10.); #128437=VECTOR('',#425428,10.); #128438=VECTOR('',#425429,10.); #128439=VECTOR('',#425432,10.); #128440=VECTOR('',#425433,10.); #128441=VECTOR('',#425434,10.); #128442=VECTOR('',#425437,10.); #128443=VECTOR('',#425438,10.); #128444=VECTOR('',#425439,10.); #128445=VECTOR('',#425442,10.); #128446=VECTOR('',#425443,10.); #128447=VECTOR('',#425444,10.); #128448=VECTOR('',#425447,10.); #128449=VECTOR('',#425448,10.); #128450=VECTOR('',#425449,10.); #128451=VECTOR('',#425452,10.); #128452=VECTOR('',#425453,10.); #128453=VECTOR('',#425454,10.); #128454=VECTOR('',#425457,10.); #128455=VECTOR('',#425458,10.); #128456=VECTOR('',#425459,10.); #128457=VECTOR('',#425462,10.); #128458=VECTOR('',#425463,10.); #128459=VECTOR('',#425464,10.); #128460=VECTOR('',#425467,10.); #128461=VECTOR('',#425468,10.); #128462=VECTOR('',#425469,10.); #128463=VECTOR('',#425472,10.); #128464=VECTOR('',#425473,10.); #128465=VECTOR('',#425474,10.); #128466=VECTOR('',#425477,10.); #128467=VECTOR('',#425478,10.); #128468=VECTOR('',#425479,10.); #128469=VECTOR('',#425482,10.); #128470=VECTOR('',#425483,10.); #128471=VECTOR('',#425484,10.); #128472=VECTOR('',#425487,10.); #128473=VECTOR('',#425488,10.); #128474=VECTOR('',#425497,0.472999999999999); #128475=VECTOR('',#425502,10.); #128476=VECTOR('',#425503,10.); #128477=VECTOR('',#425504,10.); #128478=VECTOR('',#425505,10.); #128479=VECTOR('',#425508,10.); #128480=VECTOR('',#425509,10.); #128481=VECTOR('',#425510,10.); #128482=VECTOR('',#425513,10.); #128483=VECTOR('',#425514,10.); #128484=VECTOR('',#425515,10.); #128485=VECTOR('',#425518,10.); #128486=VECTOR('',#425519,10.); #128487=VECTOR('',#425520,10.); #128488=VECTOR('',#425523,10.); #128489=VECTOR('',#425524,10.); #128490=VECTOR('',#425525,10.); #128491=VECTOR('',#425528,10.); #128492=VECTOR('',#425529,10.); #128493=VECTOR('',#425530,10.); #128494=VECTOR('',#425533,10.); #128495=VECTOR('',#425534,10.); #128496=VECTOR('',#425535,10.); #128497=VECTOR('',#425538,10.); #128498=VECTOR('',#425539,10.); #128499=VECTOR('',#425548,0.465); #128500=VECTOR('',#425553,10.); #128501=VECTOR('',#425554,10.); #128502=VECTOR('',#425555,10.); #128503=VECTOR('',#425556,10.); #128504=VECTOR('',#425563,10.); #128505=VECTOR('',#425576,10.); #128506=VECTOR('',#425577,10.); #128507=VECTOR('',#425578,10.); #128508=VECTOR('',#425579,10.); #128509=VECTOR('',#425586,10.); #128510=VECTOR('',#425589,10.); #128511=VECTOR('',#425590,10.); #128512=VECTOR('',#425591,10.); #128513=VECTOR('',#425600,10.); #128514=VECTOR('',#425601,10.); #128515=VECTOR('',#425602,10.); #128516=VECTOR('',#425603,10.); #128517=VECTOR('',#425606,10.); #128518=VECTOR('',#425607,10.); #128519=VECTOR('',#425608,10.); #128520=VECTOR('',#425611,10.); #128521=VECTOR('',#425612,10.); #128522=VECTOR('',#425613,10.); #128523=VECTOR('',#425616,10.); #128524=VECTOR('',#425617,10.); #128525=VECTOR('',#425618,10.); #128526=VECTOR('',#425621,10.); #128527=VECTOR('',#425622,10.); #128528=VECTOR('',#425623,10.); #128529=VECTOR('',#425626,10.); #128530=VECTOR('',#425627,10.); #128531=VECTOR('',#425628,10.); #128532=VECTOR('',#425631,10.); #128533=VECTOR('',#425632,10.); #128534=VECTOR('',#425633,10.); #128535=VECTOR('',#425636,10.); #128536=VECTOR('',#425637,10.); #128537=VECTOR('',#425638,10.); #128538=VECTOR('',#425641,10.); #128539=VECTOR('',#425642,10.); #128540=VECTOR('',#425643,10.); #128541=VECTOR('',#425646,10.); #128542=VECTOR('',#425647,10.); #128543=VECTOR('',#425648,10.); #128544=VECTOR('',#425651,10.); #128545=VECTOR('',#425652,10.); #128546=VECTOR('',#425653,10.); #128547=VECTOR('',#425656,10.); #128548=VECTOR('',#425657,10.); #128549=VECTOR('',#425658,10.); #128550=VECTOR('',#425661,10.); #128551=VECTOR('',#425662,10.); #128552=VECTOR('',#425663,10.); #128553=VECTOR('',#425666,10.); #128554=VECTOR('',#425667,10.); #128555=VECTOR('',#425668,10.); #128556=VECTOR('',#425671,10.); #128557=VECTOR('',#425672,10.); #128558=VECTOR('',#425673,10.); #128559=VECTOR('',#425676,10.); #128560=VECTOR('',#425677,10.); #128561=VECTOR('',#425678,10.); #128562=VECTOR('',#425681,10.); #128563=VECTOR('',#425682,10.); #128564=VECTOR('',#425683,10.); #128565=VECTOR('',#425686,10.); #128566=VECTOR('',#425687,10.); #128567=VECTOR('',#425688,10.); #128568=VECTOR('',#425691,10.); #128569=VECTOR('',#425692,10.); #128570=VECTOR('',#425693,10.); #128571=VECTOR('',#425696,10.); #128572=VECTOR('',#425697,10.); #128573=VECTOR('',#425698,10.); #128574=VECTOR('',#425701,10.); #128575=VECTOR('',#425702,10.); #128576=VECTOR('',#425703,10.); #128577=VECTOR('',#425706,10.); #128578=VECTOR('',#425707,10.); #128579=VECTOR('',#425708,10.); #128580=VECTOR('',#425711,10.); #128581=VECTOR('',#425712,10.); #128582=VECTOR('',#425713,10.); #128583=VECTOR('',#425716,10.); #128584=VECTOR('',#425717,10.); #128585=VECTOR('',#425718,10.); #128586=VECTOR('',#425721,10.); #128587=VECTOR('',#425722,10.); #128588=VECTOR('',#425723,10.); #128589=VECTOR('',#425726,10.); #128590=VECTOR('',#425727,10.); #128591=VECTOR('',#425728,10.); #128592=VECTOR('',#425731,10.); #128593=VECTOR('',#425732,10.); #128594=VECTOR('',#425733,10.); #128595=VECTOR('',#425736,10.); #128596=VECTOR('',#425737,10.); #128597=VECTOR('',#425738,10.); #128598=VECTOR('',#425741,10.); #128599=VECTOR('',#425742,10.); #128600=VECTOR('',#425743,10.); #128601=VECTOR('',#425746,10.); #128602=VECTOR('',#425747,10.); #128603=VECTOR('',#425748,10.); #128604=VECTOR('',#425751,10.); #128605=VECTOR('',#425752,10.); #128606=VECTOR('',#425753,10.); #128607=VECTOR('',#425756,10.); #128608=VECTOR('',#425757,10.); #128609=VECTOR('',#425758,10.); #128610=VECTOR('',#425761,10.); #128611=VECTOR('',#425762,10.); #128612=VECTOR('',#425763,10.); #128613=VECTOR('',#425766,10.); #128614=VECTOR('',#425767,10.); #128615=VECTOR('',#425768,10.); #128616=VECTOR('',#425771,10.); #128617=VECTOR('',#425772,10.); #128618=VECTOR('',#425773,10.); #128619=VECTOR('',#425776,10.); #128620=VECTOR('',#425777,10.); #128621=VECTOR('',#425778,10.); #128622=VECTOR('',#425781,10.); #128623=VECTOR('',#425782,10.); #128624=VECTOR('',#425783,10.); #128625=VECTOR('',#425786,10.); #128626=VECTOR('',#425787,10.); #128627=VECTOR('',#425788,10.); #128628=VECTOR('',#425791,10.); #128629=VECTOR('',#425792,10.); #128630=VECTOR('',#425793,10.); #128631=VECTOR('',#425796,10.); #128632=VECTOR('',#425797,10.); #128633=VECTOR('',#425798,10.); #128634=VECTOR('',#425801,10.); #128635=VECTOR('',#425802,10.); #128636=VECTOR('',#425803,10.); #128637=VECTOR('',#425806,10.); #128638=VECTOR('',#425807,10.); #128639=VECTOR('',#425808,10.); #128640=VECTOR('',#425811,10.); #128641=VECTOR('',#425812,10.); #128642=VECTOR('',#425813,10.); #128643=VECTOR('',#425816,10.); #128644=VECTOR('',#425817,10.); #128645=VECTOR('',#425818,10.); #128646=VECTOR('',#425821,10.); #128647=VECTOR('',#425822,10.); #128648=VECTOR('',#425823,10.); #128649=VECTOR('',#425826,10.); #128650=VECTOR('',#425827,10.); #128651=VECTOR('',#425828,10.); #128652=VECTOR('',#425831,10.); #128653=VECTOR('',#425832,10.); #128654=VECTOR('',#425833,10.); #128655=VECTOR('',#425836,10.); #128656=VECTOR('',#425837,10.); #128657=VECTOR('',#425838,10.); #128658=VECTOR('',#425841,10.); #128659=VECTOR('',#425842,10.); #128660=VECTOR('',#425843,10.); #128661=VECTOR('',#425846,10.); #128662=VECTOR('',#425847,10.); #128663=VECTOR('',#425848,10.); #128664=VECTOR('',#425851,10.); #128665=VECTOR('',#425852,10.); #128666=VECTOR('',#425853,10.); #128667=VECTOR('',#425856,10.); #128668=VECTOR('',#425857,10.); #128669=VECTOR('',#425858,10.); #128670=VECTOR('',#425861,10.); #128671=VECTOR('',#425862,10.); #128672=VECTOR('',#425863,10.); #128673=VECTOR('',#425866,10.); #128674=VECTOR('',#425867,10.); #128675=VECTOR('',#425868,10.); #128676=VECTOR('',#425871,10.); #128677=VECTOR('',#425872,10.); #128678=VECTOR('',#425873,10.); #128679=VECTOR('',#425876,10.); #128680=VECTOR('',#425877,10.); #128681=VECTOR('',#425878,10.); #128682=VECTOR('',#425881,10.); #128683=VECTOR('',#425882,10.); #128684=VECTOR('',#425883,10.); #128685=VECTOR('',#425886,10.); #128686=VECTOR('',#425887,10.); #128687=VECTOR('',#425888,10.); #128688=VECTOR('',#425891,10.); #128689=VECTOR('',#425892,10.); #128690=VECTOR('',#425893,10.); #128691=VECTOR('',#425896,10.); #128692=VECTOR('',#425897,10.); #128693=VECTOR('',#425898,10.); #128694=VECTOR('',#425901,10.); #128695=VECTOR('',#425902,10.); #128696=VECTOR('',#425903,10.); #128697=VECTOR('',#425906,10.); #128698=VECTOR('',#425907,10.); #128699=VECTOR('',#425908,10.); #128700=VECTOR('',#425911,10.); #128701=VECTOR('',#425912,10.); #128702=VECTOR('',#425913,10.); #128703=VECTOR('',#425916,10.); #128704=VECTOR('',#425917,10.); #128705=VECTOR('',#425918,10.); #128706=VECTOR('',#425921,10.); #128707=VECTOR('',#425922,10.); #128708=VECTOR('',#425923,10.); #128709=VECTOR('',#425926,10.); #128710=VECTOR('',#425927,10.); #128711=VECTOR('',#425928,10.); #128712=VECTOR('',#425931,10.); #128713=VECTOR('',#425932,10.); #128714=VECTOR('',#425933,10.); #128715=VECTOR('',#425936,10.); #128716=VECTOR('',#425937,10.); #128717=VECTOR('',#425938,10.); #128718=VECTOR('',#425941,10.); #128719=VECTOR('',#425942,10.); #128720=VECTOR('',#425943,10.); #128721=VECTOR('',#425946,10.); #128722=VECTOR('',#425947,10.); #128723=VECTOR('',#425948,10.); #128724=VECTOR('',#425951,10.); #128725=VECTOR('',#425952,10.); #128726=VECTOR('',#425953,10.); #128727=VECTOR('',#425956,10.); #128728=VECTOR('',#425957,10.); #128729=VECTOR('',#425966,0.472999999999999); #128730=VECTOR('',#425971,10.); #128731=VECTOR('',#425972,10.); #128732=VECTOR('',#425973,10.); #128733=VECTOR('',#425974,10.); #128734=VECTOR('',#425977,10.); #128735=VECTOR('',#425978,10.); #128736=VECTOR('',#425979,10.); #128737=VECTOR('',#425982,10.); #128738=VECTOR('',#425983,10.); #128739=VECTOR('',#425984,10.); #128740=VECTOR('',#425987,10.); #128741=VECTOR('',#425988,10.); #128742=VECTOR('',#425989,10.); #128743=VECTOR('',#425992,10.); #128744=VECTOR('',#425993,10.); #128745=VECTOR('',#425994,10.); #128746=VECTOR('',#425997,10.); #128747=VECTOR('',#425998,10.); #128748=VECTOR('',#425999,10.); #128749=VECTOR('',#426002,10.); #128750=VECTOR('',#426003,10.); #128751=VECTOR('',#426004,10.); #128752=VECTOR('',#426007,10.); #128753=VECTOR('',#426008,10.); #128754=VECTOR('',#426017,0.465); #128755=VECTOR('',#426022,10.); #128756=VECTOR('',#426023,10.); #128757=VECTOR('',#426024,10.); #128758=VECTOR('',#426025,10.); #128759=VECTOR('',#426032,10.); #128760=VECTOR('',#426047,0.465); #128761=VECTOR('',#426052,10.); #128762=VECTOR('',#426053,10.); #128763=VECTOR('',#426054,10.); #128764=VECTOR('',#426055,10.); #128765=VECTOR('',#426062,10.); #128766=VECTOR('',#426077,0.465); #128767=VECTOR('',#426082,10.); #128768=VECTOR('',#426083,10.); #128769=VECTOR('',#426084,10.); #128770=VECTOR('',#426085,10.); #128771=VECTOR('',#426092,10.); #128772=VECTOR('',#426107,0.564999999999998); #128773=VECTOR('',#426112,10.); #128774=VECTOR('',#426113,10.); #128775=VECTOR('',#426114,10.); #128776=VECTOR('',#426115,10.); #128777=VECTOR('',#426118,10.); #128778=VECTOR('',#426119,10.); #128779=VECTOR('',#426120,10.); #128780=VECTOR('',#426123,10.); #128781=VECTOR('',#426124,10.); #128782=VECTOR('',#426125,10.); #128783=VECTOR('',#426128,10.); #128784=VECTOR('',#426129,10.); #128785=VECTOR('',#426138,0.472999999999999); #128786=VECTOR('',#426143,10.); #128787=VECTOR('',#426144,10.); #128788=VECTOR('',#426145,10.); #128789=VECTOR('',#426146,10.); #128790=VECTOR('',#426149,10.); #128791=VECTOR('',#426150,10.); #128792=VECTOR('',#426151,10.); #128793=VECTOR('',#426154,10.); #128794=VECTOR('',#426155,10.); #128795=VECTOR('',#426156,10.); #128796=VECTOR('',#426159,10.); #128797=VECTOR('',#426160,10.); #128798=VECTOR('',#426161,10.); #128799=VECTOR('',#426164,10.); #128800=VECTOR('',#426165,10.); #128801=VECTOR('',#426166,10.); #128802=VECTOR('',#426169,10.); #128803=VECTOR('',#426170,10.); #128804=VECTOR('',#426171,10.); #128805=VECTOR('',#426174,10.); #128806=VECTOR('',#426175,10.); #128807=VECTOR('',#426176,10.); #128808=VECTOR('',#426179,10.); #128809=VECTOR('',#426180,10.); #128810=VECTOR('',#426189,0.465); #128811=VECTOR('',#426194,10.); #128812=VECTOR('',#426195,10.); #128813=VECTOR('',#426196,10.); #128814=VECTOR('',#426197,10.); #128815=VECTOR('',#426204,10.); #128816=VECTOR('',#426219,0.465); #128817=VECTOR('',#426224,10.); #128818=VECTOR('',#426225,10.); #128819=VECTOR('',#426226,10.); #128820=VECTOR('',#426227,10.); #128821=VECTOR('',#426234,10.); #128822=VECTOR('',#426247,10.); #128823=VECTOR('',#426248,10.); #128824=VECTOR('',#426249,10.); #128825=VECTOR('',#426250,10.); #128826=VECTOR('',#426253,10.); #128827=VECTOR('',#426254,10.); #128828=VECTOR('',#426255,10.); #128829=VECTOR('',#426258,10.); #128830=VECTOR('',#426259,10.); #128831=VECTOR('',#426260,10.); #128832=VECTOR('',#426263,10.); #128833=VECTOR('',#426264,10.); #128834=VECTOR('',#426271,10.); #128835=VECTOR('',#426272,10.); #128836=VECTOR('',#426273,10.); #128837=VECTOR('',#426274,10.); #128838=VECTOR('',#426277,10.); #128839=VECTOR('',#426278,10.); #128840=VECTOR('',#426279,10.); #128841=VECTOR('',#426282,10.); #128842=VECTOR('',#426283,10.); #128843=VECTOR('',#426284,10.); #128844=VECTOR('',#426287,10.); #128845=VECTOR('',#426288,10.); #128846=VECTOR('',#426295,10.); #128847=VECTOR('',#426296,10.); #128848=VECTOR('',#426297,10.); #128849=VECTOR('',#426298,10.); #128850=VECTOR('',#426301,10.); #128851=VECTOR('',#426302,10.); #128852=VECTOR('',#426303,10.); #128853=VECTOR('',#426306,10.); #128854=VECTOR('',#426307,10.); #128855=VECTOR('',#426308,10.); #128856=VECTOR('',#426311,10.); #128857=VECTOR('',#426312,10.); #128858=VECTOR('',#426319,10.); #128859=VECTOR('',#426320,10.); #128860=VECTOR('',#426321,10.); #128861=VECTOR('',#426322,10.); #128862=VECTOR('',#426325,10.); #128863=VECTOR('',#426326,10.); #128864=VECTOR('',#426327,10.); #128865=VECTOR('',#426330,10.); #128866=VECTOR('',#426331,10.); #128867=VECTOR('',#426332,10.); #128868=VECTOR('',#426335,10.); #128869=VECTOR('',#426336,10.); #128870=VECTOR('',#426343,10.); #128871=VECTOR('',#426344,10.); #128872=VECTOR('',#426345,10.); #128873=VECTOR('',#426346,10.); #128874=VECTOR('',#426349,10.); #128875=VECTOR('',#426350,10.); #128876=VECTOR('',#426351,10.); #128877=VECTOR('',#426354,10.); #128878=VECTOR('',#426355,10.); #128879=VECTOR('',#426356,10.); #128880=VECTOR('',#426359,10.); #128881=VECTOR('',#426360,10.); #128882=VECTOR('',#426367,10.); #128883=VECTOR('',#426368,10.); #128884=VECTOR('',#426369,10.); #128885=VECTOR('',#426370,10.); #128886=VECTOR('',#426373,10.); #128887=VECTOR('',#426374,10.); #128888=VECTOR('',#426375,10.); #128889=VECTOR('',#426378,10.); #128890=VECTOR('',#426379,10.); #128891=VECTOR('',#426380,10.); #128892=VECTOR('',#426383,10.); #128893=VECTOR('',#426384,10.); #128894=VECTOR('',#426391,10.); #128895=VECTOR('',#426392,10.); #128896=VECTOR('',#426393,10.); #128897=VECTOR('',#426394,10.); #128898=VECTOR('',#426397,10.); #128899=VECTOR('',#426398,10.); #128900=VECTOR('',#426399,10.); #128901=VECTOR('',#426402,10.); #128902=VECTOR('',#426403,10.); #128903=VECTOR('',#426404,10.); #128904=VECTOR('',#426407,10.); #128905=VECTOR('',#426408,10.); #128906=VECTOR('',#426415,10.); #128907=VECTOR('',#426416,10.); #128908=VECTOR('',#426417,10.); #128909=VECTOR('',#426418,10.); #128910=VECTOR('',#426421,10.); #128911=VECTOR('',#426422,10.); #128912=VECTOR('',#426423,10.); #128913=VECTOR('',#426426,10.); #128914=VECTOR('',#426427,10.); #128915=VECTOR('',#426428,10.); #128916=VECTOR('',#426431,10.); #128917=VECTOR('',#426432,10.); #128918=VECTOR('',#426439,10.); #128919=VECTOR('',#426440,10.); #128920=VECTOR('',#426441,10.); #128921=VECTOR('',#426442,10.); #128922=VECTOR('',#426445,10.); #128923=VECTOR('',#426446,10.); #128924=VECTOR('',#426447,10.); #128925=VECTOR('',#426450,10.); #128926=VECTOR('',#426451,10.); #128927=VECTOR('',#426452,10.); #128928=VECTOR('',#426455,10.); #128929=VECTOR('',#426456,10.); #128930=VECTOR('',#426463,10.); #128931=VECTOR('',#426464,10.); #128932=VECTOR('',#426465,10.); #128933=VECTOR('',#426466,10.); #128934=VECTOR('',#426469,10.); #128935=VECTOR('',#426470,10.); #128936=VECTOR('',#426471,10.); #128937=VECTOR('',#426474,10.); #128938=VECTOR('',#426475,10.); #128939=VECTOR('',#426476,10.); #128940=VECTOR('',#426479,10.); #128941=VECTOR('',#426480,10.); #128942=VECTOR('',#426487,10.); #128943=VECTOR('',#426488,10.); #128944=VECTOR('',#426489,10.); #128945=VECTOR('',#426490,10.); #128946=VECTOR('',#426493,10.); #128947=VECTOR('',#426494,10.); #128948=VECTOR('',#426495,10.); #128949=VECTOR('',#426498,10.); #128950=VECTOR('',#426499,10.); #128951=VECTOR('',#426500,10.); #128952=VECTOR('',#426503,10.); #128953=VECTOR('',#426504,10.); #128954=VECTOR('',#426511,10.); #128955=VECTOR('',#426512,10.); #128956=VECTOR('',#426513,10.); #128957=VECTOR('',#426514,10.); #128958=VECTOR('',#426517,10.); #128959=VECTOR('',#426518,10.); #128960=VECTOR('',#426519,10.); #128961=VECTOR('',#426522,10.); #128962=VECTOR('',#426523,10.); #128963=VECTOR('',#426524,10.); #128964=VECTOR('',#426527,10.); #128965=VECTOR('',#426528,10.); #128966=VECTOR('',#426535,10.); #128967=VECTOR('',#426536,10.); #128968=VECTOR('',#426537,10.); #128969=VECTOR('',#426538,10.); #128970=VECTOR('',#426541,10.); #128971=VECTOR('',#426542,10.); #128972=VECTOR('',#426543,10.); #128973=VECTOR('',#426546,10.); #128974=VECTOR('',#426547,10.); #128975=VECTOR('',#426548,10.); #128976=VECTOR('',#426551,10.); #128977=VECTOR('',#426552,10.); #128978=VECTOR('',#426559,10.); #128979=VECTOR('',#426560,10.); #128980=VECTOR('',#426561,10.); #128981=VECTOR('',#426562,10.); #128982=VECTOR('',#426565,10.); #128983=VECTOR('',#426566,10.); #128984=VECTOR('',#426567,10.); #128985=VECTOR('',#426570,10.); #128986=VECTOR('',#426571,10.); #128987=VECTOR('',#426572,10.); #128988=VECTOR('',#426575,10.); #128989=VECTOR('',#426576,10.); #128990=VECTOR('',#426583,10.); #128991=VECTOR('',#426584,10.); #128992=VECTOR('',#426585,10.); #128993=VECTOR('',#426586,10.); #128994=VECTOR('',#426589,10.); #128995=VECTOR('',#426590,10.); #128996=VECTOR('',#426591,10.); #128997=VECTOR('',#426594,10.); #128998=VECTOR('',#426595,10.); #128999=VECTOR('',#426596,10.); #129000=VECTOR('',#426599,10.); #129001=VECTOR('',#426600,10.); #129002=VECTOR('',#426607,10.); #129003=VECTOR('',#426608,10.); #129004=VECTOR('',#426609,10.); #129005=VECTOR('',#426610,10.); #129006=VECTOR('',#426613,10.); #129007=VECTOR('',#426614,10.); #129008=VECTOR('',#426615,10.); #129009=VECTOR('',#426618,10.); #129010=VECTOR('',#426619,10.); #129011=VECTOR('',#426620,10.); #129012=VECTOR('',#426623,10.); #129013=VECTOR('',#426624,10.); #129014=VECTOR('',#426631,10.); #129015=VECTOR('',#426632,10.); #129016=VECTOR('',#426633,10.); #129017=VECTOR('',#426634,10.); #129018=VECTOR('',#426637,10.); #129019=VECTOR('',#426638,10.); #129020=VECTOR('',#426639,10.); #129021=VECTOR('',#426642,10.); #129022=VECTOR('',#426643,10.); #129023=VECTOR('',#426644,10.); #129024=VECTOR('',#426647,10.); #129025=VECTOR('',#426648,10.); #129026=VECTOR('',#426655,10.); #129027=VECTOR('',#426656,10.); #129028=VECTOR('',#426657,10.); #129029=VECTOR('',#426658,10.); #129030=VECTOR('',#426661,10.); #129031=VECTOR('',#426662,10.); #129032=VECTOR('',#426663,10.); #129033=VECTOR('',#426666,10.); #129034=VECTOR('',#426667,10.); #129035=VECTOR('',#426668,10.); #129036=VECTOR('',#426671,10.); #129037=VECTOR('',#426672,10.); #129038=VECTOR('',#426679,10.); #129039=VECTOR('',#426680,10.); #129040=VECTOR('',#426681,10.); #129041=VECTOR('',#426682,10.); #129042=VECTOR('',#426685,10.); #129043=VECTOR('',#426686,10.); #129044=VECTOR('',#426687,10.); #129045=VECTOR('',#426690,10.); #129046=VECTOR('',#426691,10.); #129047=VECTOR('',#426692,10.); #129048=VECTOR('',#426695,10.); #129049=VECTOR('',#426696,10.); #129050=VECTOR('',#426703,10.); #129051=VECTOR('',#426704,10.); #129052=VECTOR('',#426705,10.); #129053=VECTOR('',#426706,10.); #129054=VECTOR('',#426709,10.); #129055=VECTOR('',#426710,10.); #129056=VECTOR('',#426711,10.); #129057=VECTOR('',#426714,10.); #129058=VECTOR('',#426715,10.); #129059=VECTOR('',#426716,10.); #129060=VECTOR('',#426719,10.); #129061=VECTOR('',#426720,10.); #129062=VECTOR('',#426727,10.); #129063=VECTOR('',#426728,10.); #129064=VECTOR('',#426729,10.); #129065=VECTOR('',#426730,10.); #129066=VECTOR('',#426733,10.); #129067=VECTOR('',#426734,10.); #129068=VECTOR('',#426735,10.); #129069=VECTOR('',#426738,10.); #129070=VECTOR('',#426739,10.); #129071=VECTOR('',#426740,10.); #129072=VECTOR('',#426743,10.); #129073=VECTOR('',#426744,10.); #129074=VECTOR('',#426751,10.); #129075=VECTOR('',#426752,10.); #129076=VECTOR('',#426753,10.); #129077=VECTOR('',#426754,10.); #129078=VECTOR('',#426757,10.); #129079=VECTOR('',#426758,10.); #129080=VECTOR('',#426759,10.); #129081=VECTOR('',#426762,10.); #129082=VECTOR('',#426763,10.); #129083=VECTOR('',#426764,10.); #129084=VECTOR('',#426767,10.); #129085=VECTOR('',#426768,10.); #129086=VECTOR('',#426775,10.); #129087=VECTOR('',#426776,10.); #129088=VECTOR('',#426777,10.); #129089=VECTOR('',#426778,10.); #129090=VECTOR('',#426781,10.); #129091=VECTOR('',#426782,10.); #129092=VECTOR('',#426783,10.); #129093=VECTOR('',#426786,10.); #129094=VECTOR('',#426787,10.); #129095=VECTOR('',#426788,10.); #129096=VECTOR('',#426791,10.); #129097=VECTOR('',#426792,10.); #129098=VECTOR('',#426801,0.114999999999998); #129099=VECTOR('',#426808,0.114999999999998); #129100=VECTOR('',#426813,10.); #129101=VECTOR('',#426814,10.); #129102=VECTOR('',#426815,10.); #129103=VECTOR('',#426816,10.); #129104=VECTOR('',#426819,10.); #129105=VECTOR('',#426820,10.); #129106=VECTOR('',#426821,10.); #129107=VECTOR('',#426824,10.); #129108=VECTOR('',#426825,10.); #129109=VECTOR('',#426826,10.); #129110=VECTOR('',#426829,10.); #129111=VECTOR('',#426830,10.); #129112=VECTOR('',#426837,10.); #129113=VECTOR('',#426838,10.); #129114=VECTOR('',#426839,10.); #129115=VECTOR('',#426840,10.); #129116=VECTOR('',#426843,10.); #129117=VECTOR('',#426844,10.); #129118=VECTOR('',#426845,10.); #129119=VECTOR('',#426848,10.); #129120=VECTOR('',#426849,10.); #129121=VECTOR('',#426850,10.); #129122=VECTOR('',#426853,10.); #129123=VECTOR('',#426854,10.); #129124=VECTOR('',#426861,10.); #129125=VECTOR('',#426862,10.); #129126=VECTOR('',#426863,10.); #129127=VECTOR('',#426864,10.); #129128=VECTOR('',#426867,10.); #129129=VECTOR('',#426868,10.); #129130=VECTOR('',#426869,10.); #129131=VECTOR('',#426872,10.); #129132=VECTOR('',#426873,10.); #129133=VECTOR('',#426874,10.); #129134=VECTOR('',#426877,10.); #129135=VECTOR('',#426878,10.); #129136=VECTOR('',#426885,10.); #129137=VECTOR('',#426886,10.); #129138=VECTOR('',#426887,10.); #129139=VECTOR('',#426888,10.); #129140=VECTOR('',#426891,10.); #129141=VECTOR('',#426892,10.); #129142=VECTOR('',#426893,10.); #129143=VECTOR('',#426896,10.); #129144=VECTOR('',#426897,10.); #129145=VECTOR('',#426898,10.); #129146=VECTOR('',#426901,10.); #129147=VECTOR('',#426902,10.); #129148=VECTOR('',#426909,10.); #129149=VECTOR('',#426910,10.); #129150=VECTOR('',#426911,10.); #129151=VECTOR('',#426912,10.); #129152=VECTOR('',#426915,10.); #129153=VECTOR('',#426916,10.); #129154=VECTOR('',#426917,10.); #129155=VECTOR('',#426920,10.); #129156=VECTOR('',#426921,10.); #129157=VECTOR('',#426922,10.); #129158=VECTOR('',#426925,10.); #129159=VECTOR('',#426926,10.); #129160=VECTOR('',#426933,10.); #129161=VECTOR('',#426934,10.); #129162=VECTOR('',#426935,10.); #129163=VECTOR('',#426936,10.); #129164=VECTOR('',#426939,10.); #129165=VECTOR('',#426940,10.); #129166=VECTOR('',#426941,10.); #129167=VECTOR('',#426944,10.); #129168=VECTOR('',#426945,10.); #129169=VECTOR('',#426946,10.); #129170=VECTOR('',#426949,10.); #129171=VECTOR('',#426950,10.); #129172=VECTOR('',#426957,10.); #129173=VECTOR('',#426958,10.); #129174=VECTOR('',#426959,10.); #129175=VECTOR('',#426960,10.); #129176=VECTOR('',#426963,10.); #129177=VECTOR('',#426964,10.); #129178=VECTOR('',#426965,10.); #129179=VECTOR('',#426968,10.); #129180=VECTOR('',#426969,10.); #129181=VECTOR('',#426970,10.); #129182=VECTOR('',#426973,10.); #129183=VECTOR('',#426974,10.); #129184=VECTOR('',#426981,10.); #129185=VECTOR('',#426982,10.); #129186=VECTOR('',#426983,10.); #129187=VECTOR('',#426984,10.); #129188=VECTOR('',#426987,10.); #129189=VECTOR('',#426988,10.); #129190=VECTOR('',#426989,10.); #129191=VECTOR('',#426992,10.); #129192=VECTOR('',#426993,10.); #129193=VECTOR('',#426994,10.); #129194=VECTOR('',#426997,10.); #129195=VECTOR('',#426998,10.); #129196=VECTOR('',#427005,10.); #129197=VECTOR('',#427006,10.); #129198=VECTOR('',#427007,10.); #129199=VECTOR('',#427008,10.); #129200=VECTOR('',#427011,10.); #129201=VECTOR('',#427012,10.); #129202=VECTOR('',#427013,10.); #129203=VECTOR('',#427016,10.); #129204=VECTOR('',#427017,10.); #129205=VECTOR('',#427018,10.); #129206=VECTOR('',#427021,10.); #129207=VECTOR('',#427022,10.); #129208=VECTOR('',#427031,0.114999999999998); #129209=VECTOR('',#427038,0.114999999999998); #129210=VECTOR('',#427043,10.); #129211=VECTOR('',#427044,10.); #129212=VECTOR('',#427045,10.); #129213=VECTOR('',#427046,10.); #129214=VECTOR('',#427049,10.); #129215=VECTOR('',#427050,10.); #129216=VECTOR('',#427051,10.); #129217=VECTOR('',#427054,10.); #129218=VECTOR('',#427055,10.); #129219=VECTOR('',#427056,10.); #129220=VECTOR('',#427059,10.); #129221=VECTOR('',#427060,10.); #129222=VECTOR('',#427067,10.); #129223=VECTOR('',#427068,10.); #129224=VECTOR('',#427069,10.); #129225=VECTOR('',#427070,10.); #129226=VECTOR('',#427073,10.); #129227=VECTOR('',#427074,10.); #129228=VECTOR('',#427075,10.); #129229=VECTOR('',#427078,10.); #129230=VECTOR('',#427079,10.); #129231=VECTOR('',#427080,10.); #129232=VECTOR('',#427083,10.); #129233=VECTOR('',#427084,10.); #129234=VECTOR('',#427091,10.); #129235=VECTOR('',#427092,10.); #129236=VECTOR('',#427093,10.); #129237=VECTOR('',#427094,10.); #129238=VECTOR('',#427097,10.); #129239=VECTOR('',#427098,10.); #129240=VECTOR('',#427099,10.); #129241=VECTOR('',#427102,10.); #129242=VECTOR('',#427103,10.); #129243=VECTOR('',#427104,10.); #129244=VECTOR('',#427107,10.); #129245=VECTOR('',#427108,10.); #129246=VECTOR('',#427115,10.); #129247=VECTOR('',#427116,10.); #129248=VECTOR('',#427117,10.); #129249=VECTOR('',#427118,10.); #129250=VECTOR('',#427121,10.); #129251=VECTOR('',#427122,10.); #129252=VECTOR('',#427123,10.); #129253=VECTOR('',#427126,10.); #129254=VECTOR('',#427127,10.); #129255=VECTOR('',#427128,10.); #129256=VECTOR('',#427131,10.); #129257=VECTOR('',#427132,10.); #129258=VECTOR('',#427139,10.); #129259=VECTOR('',#427140,10.); #129260=VECTOR('',#427141,10.); #129261=VECTOR('',#427142,10.); #129262=VECTOR('',#427145,10.); #129263=VECTOR('',#427146,10.); #129264=VECTOR('',#427147,10.); #129265=VECTOR('',#427150,10.); #129266=VECTOR('',#427151,10.); #129267=VECTOR('',#427152,10.); #129268=VECTOR('',#427155,10.); #129269=VECTOR('',#427156,10.); #129270=VECTOR('',#427163,10.); #129271=VECTOR('',#427164,10.); #129272=VECTOR('',#427165,10.); #129273=VECTOR('',#427166,10.); #129274=VECTOR('',#427169,10.); #129275=VECTOR('',#427170,10.); #129276=VECTOR('',#427171,10.); #129277=VECTOR('',#427174,10.); #129278=VECTOR('',#427175,10.); #129279=VECTOR('',#427176,10.); #129280=VECTOR('',#427179,10.); #129281=VECTOR('',#427180,10.); #129282=VECTOR('',#427187,10.); #129283=VECTOR('',#427188,10.); #129284=VECTOR('',#427189,10.); #129285=VECTOR('',#427190,10.); #129286=VECTOR('',#427193,10.); #129287=VECTOR('',#427194,10.); #129288=VECTOR('',#427195,10.); #129289=VECTOR('',#427198,10.); #129290=VECTOR('',#427199,10.); #129291=VECTOR('',#427200,10.); #129292=VECTOR('',#427203,10.); #129293=VECTOR('',#427204,10.); #129294=VECTOR('',#427211,10.); #129295=VECTOR('',#427212,10.); #129296=VECTOR('',#427213,10.); #129297=VECTOR('',#427214,10.); #129298=VECTOR('',#427217,10.); #129299=VECTOR('',#427218,10.); #129300=VECTOR('',#427219,10.); #129301=VECTOR('',#427222,10.); #129302=VECTOR('',#427223,10.); #129303=VECTOR('',#427224,10.); #129304=VECTOR('',#427227,10.); #129305=VECTOR('',#427228,10.); #129306=VECTOR('',#427235,10.); #129307=VECTOR('',#427236,10.); #129308=VECTOR('',#427237,10.); #129309=VECTOR('',#427238,10.); #129310=VECTOR('',#427241,10.); #129311=VECTOR('',#427242,10.); #129312=VECTOR('',#427243,10.); #129313=VECTOR('',#427246,10.); #129314=VECTOR('',#427247,10.); #129315=VECTOR('',#427248,10.); #129316=VECTOR('',#427251,10.); #129317=VECTOR('',#427252,10.); #129318=VECTOR('',#427261,0.140000000000002); #129319=VECTOR('',#427266,10.); #129320=VECTOR('',#427267,10.); #129321=VECTOR('',#427268,10.); #129322=VECTOR('',#427269,10.); #129323=VECTOR('',#427272,10.); #129324=VECTOR('',#427273,10.); #129325=VECTOR('',#427274,10.); #129326=VECTOR('',#427277,10.); #129327=VECTOR('',#427278,10.); #129328=VECTOR('',#427279,10.); #129329=VECTOR('',#427282,10.); #129330=VECTOR('',#427283,10.); #129331=VECTOR('',#427290,10.); #129332=VECTOR('',#427291,10.); #129333=VECTOR('',#427292,10.); #129334=VECTOR('',#427293,10.); #129335=VECTOR('',#427296,10.); #129336=VECTOR('',#427297,10.); #129337=VECTOR('',#427298,10.); #129338=VECTOR('',#427301,10.); #129339=VECTOR('',#427302,10.); #129340=VECTOR('',#427303,10.); #129341=VECTOR('',#427306,10.); #129342=VECTOR('',#427307,10.); #129343=VECTOR('',#427314,10.); #129344=VECTOR('',#427315,10.); #129345=VECTOR('',#427316,10.); #129346=VECTOR('',#427317,10.); #129347=VECTOR('',#427320,10.); #129348=VECTOR('',#427321,10.); #129349=VECTOR('',#427322,10.); #129350=VECTOR('',#427325,10.); #129351=VECTOR('',#427326,10.); #129352=VECTOR('',#427327,10.); #129353=VECTOR('',#427330,10.); #129354=VECTOR('',#427331,10.); #129355=VECTOR('',#427338,10.); #129356=VECTOR('',#427339,10.); #129357=VECTOR('',#427340,10.); #129358=VECTOR('',#427341,10.); #129359=VECTOR('',#427344,10.); #129360=VECTOR('',#427345,10.); #129361=VECTOR('',#427346,10.); #129362=VECTOR('',#427349,10.); #129363=VECTOR('',#427350,10.); #129364=VECTOR('',#427351,10.); #129365=VECTOR('',#427354,10.); #129366=VECTOR('',#427355,10.); #129367=VECTOR('',#427362,10.); #129368=VECTOR('',#427363,10.); #129369=VECTOR('',#427364,10.); #129370=VECTOR('',#427365,10.); #129371=VECTOR('',#427368,10.); #129372=VECTOR('',#427369,10.); #129373=VECTOR('',#427370,10.); #129374=VECTOR('',#427373,10.); #129375=VECTOR('',#427374,10.); #129376=VECTOR('',#427375,10.); #129377=VECTOR('',#427378,10.); #129378=VECTOR('',#427379,10.); #129379=VECTOR('',#427388,0.140000000000002); #129380=VECTOR('',#427395,0.140000000000002); #129381=VECTOR('',#427402,0.140000000000002); #129382=VECTOR('',#427409,0.140000000000002); #129383=VECTOR('',#427416,0.140000000000002); #129384=VECTOR('',#427423,0.140000000000002); #129385=VECTOR('',#427430,0.140000000000002); #129386=VECTOR('',#427437,0.140000000000002); #129387=VECTOR('',#427444,0.140000000000002); #129388=VECTOR('',#427451,0.140000000000002); #129389=VECTOR('',#427458,0.140000000000002); #129390=VECTOR('',#427465,0.140000000000002); #129391=VECTOR('',#427472,0.140000000000002); #129392=VECTOR('',#427479,0.140000000000002); #129393=VECTOR('',#427486,0.140000000000002); #129394=VECTOR('',#427491,10.); #129395=VECTOR('',#427492,10.); #129396=VECTOR('',#427493,10.); #129397=VECTOR('',#427494,10.); #129398=VECTOR('',#427497,10.); #129399=VECTOR('',#427498,10.); #129400=VECTOR('',#427499,10.); #129401=VECTOR('',#427502,10.); #129402=VECTOR('',#427503,10.); #129403=VECTOR('',#427504,10.); #129404=VECTOR('',#427507,10.); #129405=VECTOR('',#427508,10.); #129406=VECTOR('',#427515,10.); #129407=VECTOR('',#427516,10.); #129408=VECTOR('',#427517,10.); #129409=VECTOR('',#427518,10.); #129410=VECTOR('',#427521,10.); #129411=VECTOR('',#427522,10.); #129412=VECTOR('',#427523,10.); #129413=VECTOR('',#427526,10.); #129414=VECTOR('',#427527,10.); #129415=VECTOR('',#427528,10.); #129416=VECTOR('',#427531,10.); #129417=VECTOR('',#427532,10.); #129418=VECTOR('',#427539,10.); #129419=VECTOR('',#427540,10.); #129420=VECTOR('',#427541,10.); #129421=VECTOR('',#427542,10.); #129422=VECTOR('',#427545,10.); #129423=VECTOR('',#427546,10.); #129424=VECTOR('',#427547,10.); #129425=VECTOR('',#427550,10.); #129426=VECTOR('',#427551,10.); #129427=VECTOR('',#427552,10.); #129428=VECTOR('',#427555,10.); #129429=VECTOR('',#427556,10.); #129430=VECTOR('',#427563,10.); #129431=VECTOR('',#427564,10.); #129432=VECTOR('',#427565,10.); #129433=VECTOR('',#427566,10.); #129434=VECTOR('',#427569,10.); #129435=VECTOR('',#427570,10.); #129436=VECTOR('',#427571,10.); #129437=VECTOR('',#427574,10.); #129438=VECTOR('',#427575,10.); #129439=VECTOR('',#427576,10.); #129440=VECTOR('',#427579,10.); #129441=VECTOR('',#427580,10.); #129442=VECTOR('',#427589,0.139999999999998); #129443=VECTOR('',#427594,10.); #129444=VECTOR('',#427595,10.); #129445=VECTOR('',#427596,10.); #129446=VECTOR('',#427597,10.); #129447=VECTOR('',#427600,10.); #129448=VECTOR('',#427601,10.); #129449=VECTOR('',#427602,10.); #129450=VECTOR('',#427605,10.); #129451=VECTOR('',#427606,10.); #129452=VECTOR('',#427607,10.); #129453=VECTOR('',#427610,10.); #129454=VECTOR('',#427611,10.); #129455=VECTOR('',#427618,10.); #129456=VECTOR('',#427619,10.); #129457=VECTOR('',#427620,10.); #129458=VECTOR('',#427621,10.); #129459=VECTOR('',#427624,10.); #129460=VECTOR('',#427625,10.); #129461=VECTOR('',#427626,10.); #129462=VECTOR('',#427629,10.); #129463=VECTOR('',#427630,10.); #129464=VECTOR('',#427631,10.); #129465=VECTOR('',#427634,10.); #129466=VECTOR('',#427635,10.); #129467=VECTOR('',#427642,10.); #129468=VECTOR('',#427643,10.); #129469=VECTOR('',#427644,10.); #129470=VECTOR('',#427645,10.); #129471=VECTOR('',#427648,10.); #129472=VECTOR('',#427649,10.); #129473=VECTOR('',#427650,10.); #129474=VECTOR('',#427653,10.); #129475=VECTOR('',#427654,10.); #129476=VECTOR('',#427655,10.); #129477=VECTOR('',#427658,10.); #129478=VECTOR('',#427659,10.); #129479=VECTOR('',#427666,10.); #129480=VECTOR('',#427667,10.); #129481=VECTOR('',#427668,10.); #129482=VECTOR('',#427669,10.); #129483=VECTOR('',#427672,10.); #129484=VECTOR('',#427673,10.); #129485=VECTOR('',#427674,10.); #129486=VECTOR('',#427677,10.); #129487=VECTOR('',#427678,10.); #129488=VECTOR('',#427679,10.); #129489=VECTOR('',#427682,10.); #129490=VECTOR('',#427683,10.); #129491=VECTOR('',#427690,10.); #129492=VECTOR('',#427691,10.); #129493=VECTOR('',#427692,10.); #129494=VECTOR('',#427693,10.); #129495=VECTOR('',#427696,10.); #129496=VECTOR('',#427697,10.); #129497=VECTOR('',#427698,10.); #129498=VECTOR('',#427701,10.); #129499=VECTOR('',#427702,10.); #129500=VECTOR('',#427703,10.); #129501=VECTOR('',#427706,10.); #129502=VECTOR('',#427707,10.); #129503=VECTOR('',#427716,0.139999999999998); #129504=VECTOR('',#427723,0.139999999999998); #129505=VECTOR('',#427730,0.139999999999998); #129506=VECTOR('',#427737,0.139999999999998); #129507=VECTOR('',#427744,0.139999999999998); #129508=VECTOR('',#427751,0.139999999999998); #129509=VECTOR('',#427758,0.139999999999998); #129510=VECTOR('',#427765,0.139999999999998); #129511=VECTOR('',#427772,0.139999999999998); #129512=VECTOR('',#427779,0.139999999999998); #129513=VECTOR('',#427786,0.139999999999998); #129514=VECTOR('',#427793,0.139999999999998); #129515=VECTOR('',#427800,0.139999999999998); #129516=VECTOR('',#427807,0.139999999999998); #129517=VECTOR('',#427814,0.139999999999998); #129518=VECTOR('',#427819,10.); #129519=VECTOR('',#427820,10.); #129520=VECTOR('',#427821,10.); #129521=VECTOR('',#427822,10.); #129522=VECTOR('',#427825,10.); #129523=VECTOR('',#427826,10.); #129524=VECTOR('',#427827,10.); #129525=VECTOR('',#427830,10.); #129526=VECTOR('',#427831,10.); #129527=VECTOR('',#427832,10.); #129528=VECTOR('',#427835,10.); #129529=VECTOR('',#427836,10.); #129530=VECTOR('',#427843,10.); #129531=VECTOR('',#427844,10.); #129532=VECTOR('',#427845,10.); #129533=VECTOR('',#427846,10.); #129534=VECTOR('',#427849,10.); #129535=VECTOR('',#427850,10.); #129536=VECTOR('',#427851,10.); #129537=VECTOR('',#427854,10.); #129538=VECTOR('',#427855,10.); #129539=VECTOR('',#427856,10.); #129540=VECTOR('',#427859,10.); #129541=VECTOR('',#427860,10.); #129542=VECTOR('',#427867,10.); #129543=VECTOR('',#427868,10.); #129544=VECTOR('',#427869,10.); #129545=VECTOR('',#427870,10.); #129546=VECTOR('',#427873,10.); #129547=VECTOR('',#427874,10.); #129548=VECTOR('',#427875,10.); #129549=VECTOR('',#427878,10.); #129550=VECTOR('',#427879,10.); #129551=VECTOR('',#427880,10.); #129552=VECTOR('',#427883,10.); #129553=VECTOR('',#427884,10.); #129554=VECTOR('',#427891,10.); #129555=VECTOR('',#427892,10.); #129556=VECTOR('',#427893,10.); #129557=VECTOR('',#427894,10.); #129558=VECTOR('',#427897,10.); #129559=VECTOR('',#427898,10.); #129560=VECTOR('',#427899,10.); #129561=VECTOR('',#427902,10.); #129562=VECTOR('',#427903,10.); #129563=VECTOR('',#427904,10.); #129564=VECTOR('',#427907,10.); #129565=VECTOR('',#427908,10.); #129566=VECTOR('',#427917,0.139999999999998); #129567=VECTOR('',#427922,10.); #129568=VECTOR('',#427923,10.); #129569=VECTOR('',#427924,10.); #129570=VECTOR('',#427925,10.); #129571=VECTOR('',#427928,10.); #129572=VECTOR('',#427929,10.); #129573=VECTOR('',#427930,10.); #129574=VECTOR('',#427933,10.); #129575=VECTOR('',#427934,10.); #129576=VECTOR('',#427935,10.); #129577=VECTOR('',#427938,10.); #129578=VECTOR('',#427939,10.); #129579=VECTOR('',#427948,0.139999999999998); #129580=VECTOR('',#427953,10.); #129581=VECTOR('',#427954,10.); #129582=VECTOR('',#427955,10.); #129583=VECTOR('',#427956,10.); #129584=VECTOR('',#427959,10.); #129585=VECTOR('',#427960,10.); #129586=VECTOR('',#427961,10.); #129587=VECTOR('',#427964,10.); #129588=VECTOR('',#427965,10.); #129589=VECTOR('',#427966,10.); #129590=VECTOR('',#427969,10.); #129591=VECTOR('',#427970,10.); #129592=VECTOR('',#427979,0.140000000000002); #129593=VECTOR('',#427984,10.); #129594=VECTOR('',#427985,10.); #129595=VECTOR('',#427986,10.); #129596=VECTOR('',#427987,10.); #129597=VECTOR('',#427990,10.); #129598=VECTOR('',#427991,10.); #129599=VECTOR('',#427992,10.); #129600=VECTOR('',#427995,10.); #129601=VECTOR('',#427996,10.); #129602=VECTOR('',#427997,10.); #129603=VECTOR('',#428000,10.); #129604=VECTOR('',#428001,10.); #129605=VECTOR('',#428010,0.139999999999998); #129606=VECTOR('',#428017,0.139999999999998); #129607=VECTOR('',#428024,0.139999999999998); #129608=VECTOR('',#428031,0.139999999999998); #129609=VECTOR('',#428038,0.139999999999998); #129610=VECTOR('',#428045,0.139999999999998); #129611=VECTOR('',#428052,0.139999999999998); #129612=VECTOR('',#428059,0.139999999999998); #129613=VECTOR('',#428066,0.139999999999998); #129614=VECTOR('',#428073,0.139999999999998); #129615=VECTOR('',#428080,0.139999999999998); #129616=VECTOR('',#428087,0.139999999999998); #129617=VECTOR('',#428094,0.139999999999998); #129618=VECTOR('',#428101,0.139999999999998); #129619=VECTOR('',#428108,0.139999999999998); #129620=VECTOR('',#428113,10.); #129621=VECTOR('',#428114,10.); #129622=VECTOR('',#428115,10.); #129623=VECTOR('',#428116,10.); #129624=VECTOR('',#428119,10.); #129625=VECTOR('',#428120,10.); #129626=VECTOR('',#428121,10.); #129627=VECTOR('',#428124,10.); #129628=VECTOR('',#428125,10.); #129629=VECTOR('',#428126,10.); #129630=VECTOR('',#428129,10.); #129631=VECTOR('',#428130,10.); #129632=VECTOR('',#428137,10.); #129633=VECTOR('',#428138,10.); #129634=VECTOR('',#428139,10.); #129635=VECTOR('',#428140,10.); #129636=VECTOR('',#428143,10.); #129637=VECTOR('',#428144,10.); #129638=VECTOR('',#428145,10.); #129639=VECTOR('',#428148,10.); #129640=VECTOR('',#428149,10.); #129641=VECTOR('',#428150,10.); #129642=VECTOR('',#428153,10.); #129643=VECTOR('',#428154,10.); #129644=VECTOR('',#428161,10.); #129645=VECTOR('',#428162,10.); #129646=VECTOR('',#428163,10.); #129647=VECTOR('',#428164,10.); #129648=VECTOR('',#428167,10.); #129649=VECTOR('',#428168,10.); #129650=VECTOR('',#428169,10.); #129651=VECTOR('',#428172,10.); #129652=VECTOR('',#428173,10.); #129653=VECTOR('',#428174,10.); #129654=VECTOR('',#428177,10.); #129655=VECTOR('',#428178,10.); #129656=VECTOR('',#428185,10.); #129657=VECTOR('',#428186,10.); #129658=VECTOR('',#428187,10.); #129659=VECTOR('',#428188,10.); #129660=VECTOR('',#428191,10.); #129661=VECTOR('',#428192,10.); #129662=VECTOR('',#428193,10.); #129663=VECTOR('',#428196,10.); #129664=VECTOR('',#428197,10.); #129665=VECTOR('',#428198,10.); #129666=VECTOR('',#428201,10.); #129667=VECTOR('',#428202,10.); #129668=VECTOR('',#428209,10.); #129669=VECTOR('',#428210,10.); #129670=VECTOR('',#428211,10.); #129671=VECTOR('',#428212,10.); #129672=VECTOR('',#428215,10.); #129673=VECTOR('',#428216,10.); #129674=VECTOR('',#428217,10.); #129675=VECTOR('',#428220,10.); #129676=VECTOR('',#428221,10.); #129677=VECTOR('',#428222,10.); #129678=VECTOR('',#428225,10.); #129679=VECTOR('',#428226,10.); #129680=VECTOR('',#428233,10.); #129681=VECTOR('',#428234,10.); #129682=VECTOR('',#428235,10.); #129683=VECTOR('',#428236,10.); #129684=VECTOR('',#428239,10.); #129685=VECTOR('',#428240,10.); #129686=VECTOR('',#428241,10.); #129687=VECTOR('',#428244,10.); #129688=VECTOR('',#428245,10.); #129689=VECTOR('',#428246,10.); #129690=VECTOR('',#428249,10.); #129691=VECTOR('',#428250,10.); #129692=VECTOR('',#428257,10.); #129693=VECTOR('',#428258,10.); #129694=VECTOR('',#428259,10.); #129695=VECTOR('',#428260,10.); #129696=VECTOR('',#428263,10.); #129697=VECTOR('',#428264,10.); #129698=VECTOR('',#428265,10.); #129699=VECTOR('',#428268,10.); #129700=VECTOR('',#428269,10.); #129701=VECTOR('',#428270,10.); #129702=VECTOR('',#428273,10.); #129703=VECTOR('',#428274,10.); #129704=VECTOR('',#428281,10.); #129705=VECTOR('',#428282,10.); #129706=VECTOR('',#428283,10.); #129707=VECTOR('',#428284,10.); #129708=VECTOR('',#428287,10.); #129709=VECTOR('',#428288,10.); #129710=VECTOR('',#428289,10.); #129711=VECTOR('',#428292,10.); #129712=VECTOR('',#428293,10.); #129713=VECTOR('',#428294,10.); #129714=VECTOR('',#428297,10.); #129715=VECTOR('',#428298,10.); #129716=VECTOR('',#428305,10.); #129717=VECTOR('',#428306,10.); #129718=VECTOR('',#428307,10.); #129719=VECTOR('',#428308,10.); #129720=VECTOR('',#428311,10.); #129721=VECTOR('',#428312,10.); #129722=VECTOR('',#428313,10.); #129723=VECTOR('',#428316,10.); #129724=VECTOR('',#428317,10.); #129725=VECTOR('',#428318,10.); #129726=VECTOR('',#428321,10.); #129727=VECTOR('',#428322,10.); #129728=VECTOR('',#428329,10.); #129729=VECTOR('',#428330,10.); #129730=VECTOR('',#428331,10.); #129731=VECTOR('',#428332,10.); #129732=VECTOR('',#428335,10.); #129733=VECTOR('',#428336,10.); #129734=VECTOR('',#428337,10.); #129735=VECTOR('',#428340,10.); #129736=VECTOR('',#428341,10.); #129737=VECTOR('',#428342,10.); #129738=VECTOR('',#428345,10.); #129739=VECTOR('',#428346,10.); #129740=VECTOR('',#428353,10.); #129741=VECTOR('',#428354,10.); #129742=VECTOR('',#428355,10.); #129743=VECTOR('',#428356,10.); #129744=VECTOR('',#428359,10.); #129745=VECTOR('',#428360,10.); #129746=VECTOR('',#428361,10.); #129747=VECTOR('',#428364,10.); #129748=VECTOR('',#428365,10.); #129749=VECTOR('',#428366,10.); #129750=VECTOR('',#428369,10.); #129751=VECTOR('',#428370,10.); #129752=VECTOR('',#428377,10.); #129753=VECTOR('',#428378,10.); #129754=VECTOR('',#428379,10.); #129755=VECTOR('',#428380,10.); #129756=VECTOR('',#428383,10.); #129757=VECTOR('',#428384,10.); #129758=VECTOR('',#428385,10.); #129759=VECTOR('',#428388,10.); #129760=VECTOR('',#428389,10.); #129761=VECTOR('',#428390,10.); #129762=VECTOR('',#428393,10.); #129763=VECTOR('',#428394,10.); #129764=VECTOR('',#428401,10.); #129765=VECTOR('',#428402,10.); #129766=VECTOR('',#428403,10.); #129767=VECTOR('',#428404,10.); #129768=VECTOR('',#428407,10.); #129769=VECTOR('',#428408,10.); #129770=VECTOR('',#428409,10.); #129771=VECTOR('',#428412,10.); #129772=VECTOR('',#428413,10.); #129773=VECTOR('',#428414,10.); #129774=VECTOR('',#428417,10.); #129775=VECTOR('',#428418,10.); #129776=VECTOR('',#428425,10.); #129777=VECTOR('',#428426,10.); #129778=VECTOR('',#428427,10.); #129779=VECTOR('',#428428,10.); #129780=VECTOR('',#428431,10.); #129781=VECTOR('',#428432,10.); #129782=VECTOR('',#428433,10.); #129783=VECTOR('',#428436,10.); #129784=VECTOR('',#428437,10.); #129785=VECTOR('',#428438,10.); #129786=VECTOR('',#428441,10.); #129787=VECTOR('',#428442,10.); #129788=VECTOR('',#428449,10.); #129789=VECTOR('',#428450,10.); #129790=VECTOR('',#428451,10.); #129791=VECTOR('',#428452,10.); #129792=VECTOR('',#428455,10.); #129793=VECTOR('',#428456,10.); #129794=VECTOR('',#428457,10.); #129795=VECTOR('',#428460,10.); #129796=VECTOR('',#428461,10.); #129797=VECTOR('',#428462,10.); #129798=VECTOR('',#428465,10.); #129799=VECTOR('',#428466,10.); #129800=VECTOR('',#428473,10.); #129801=VECTOR('',#428474,10.); #129802=VECTOR('',#428475,10.); #129803=VECTOR('',#428476,10.); #129804=VECTOR('',#428479,10.); #129805=VECTOR('',#428480,10.); #129806=VECTOR('',#428481,10.); #129807=VECTOR('',#428484,10.); #129808=VECTOR('',#428485,10.); #129809=VECTOR('',#428486,10.); #129810=VECTOR('',#428489,10.); #129811=VECTOR('',#428490,10.); #129812=VECTOR('',#428497,10.); #129813=VECTOR('',#428498,10.); #129814=VECTOR('',#428499,10.); #129815=VECTOR('',#428500,10.); #129816=VECTOR('',#428503,10.); #129817=VECTOR('',#428504,10.); #129818=VECTOR('',#428505,10.); #129819=VECTOR('',#428508,10.); #129820=VECTOR('',#428509,10.); #129821=VECTOR('',#428510,10.); #129822=VECTOR('',#428513,10.); #129823=VECTOR('',#428514,10.); #129824=VECTOR('',#428521,10.); #129825=VECTOR('',#428522,10.); #129826=VECTOR('',#428523,10.); #129827=VECTOR('',#428524,10.); #129828=VECTOR('',#428527,10.); #129829=VECTOR('',#428528,10.); #129830=VECTOR('',#428529,10.); #129831=VECTOR('',#428532,10.); #129832=VECTOR('',#428533,10.); #129833=VECTOR('',#428534,10.); #129834=VECTOR('',#428537,10.); #129835=VECTOR('',#428538,10.); #129836=VECTOR('',#428545,10.); #129837=VECTOR('',#428546,10.); #129838=VECTOR('',#428547,10.); #129839=VECTOR('',#428548,10.); #129840=VECTOR('',#428551,10.); #129841=VECTOR('',#428552,10.); #129842=VECTOR('',#428553,10.); #129843=VECTOR('',#428556,10.); #129844=VECTOR('',#428557,10.); #129845=VECTOR('',#428558,10.); #129846=VECTOR('',#428561,10.); #129847=VECTOR('',#428562,10.); #129848=VECTOR('',#428569,10.); #129849=VECTOR('',#428570,10.); #129850=VECTOR('',#428571,10.); #129851=VECTOR('',#428572,10.); #129852=VECTOR('',#428575,10.); #129853=VECTOR('',#428576,10.); #129854=VECTOR('',#428577,10.); #129855=VECTOR('',#428580,10.); #129856=VECTOR('',#428581,10.); #129857=VECTOR('',#428582,10.); #129858=VECTOR('',#428585,10.); #129859=VECTOR('',#428586,10.); #129860=VECTOR('',#428593,10.); #129861=VECTOR('',#428594,10.); #129862=VECTOR('',#428595,10.); #129863=VECTOR('',#428596,10.); #129864=VECTOR('',#428599,10.); #129865=VECTOR('',#428600,10.); #129866=VECTOR('',#428601,10.); #129867=VECTOR('',#428604,10.); #129868=VECTOR('',#428605,10.); #129869=VECTOR('',#428606,10.); #129870=VECTOR('',#428609,10.); #129871=VECTOR('',#428610,10.); #129872=VECTOR('',#428619,0.140000000000002); #129873=VECTOR('',#428626,0.140000000000002); #129874=VECTOR('',#428633,0.140000000000002); #129875=VECTOR('',#428640,0.140000000000002); #129876=VECTOR('',#428647,0.140000000000002); #129877=VECTOR('',#428654,0.140000000000002); #129878=VECTOR('',#428661,0.140000000000002); #129879=VECTOR('',#428668,0.140000000000002); #129880=VECTOR('',#428675,0.140000000000002); #129881=VECTOR('',#428682,0.140000000000002); #129882=VECTOR('',#428689,0.140000000000002); #129883=VECTOR('',#428696,0.140000000000002); #129884=VECTOR('',#428703,0.140000000000002); #129885=VECTOR('',#428710,0.140000000000002); #129886=VECTOR('',#428717,0.140000000000002); #129887=VECTOR('',#428722,10.); #129888=VECTOR('',#428723,10.); #129889=VECTOR('',#428724,10.); #129890=VECTOR('',#428725,10.); #129891=VECTOR('',#428728,10.); #129892=VECTOR('',#428729,10.); #129893=VECTOR('',#428730,10.); #129894=VECTOR('',#428733,10.); #129895=VECTOR('',#428734,10.); #129896=VECTOR('',#428735,10.); #129897=VECTOR('',#428738,10.); #129898=VECTOR('',#428739,10.); #129899=VECTOR('',#428746,10.); #129900=VECTOR('',#428747,10.); #129901=VECTOR('',#428748,10.); #129902=VECTOR('',#428749,10.); #129903=VECTOR('',#428752,10.); #129904=VECTOR('',#428753,10.); #129905=VECTOR('',#428754,10.); #129906=VECTOR('',#428757,10.); #129907=VECTOR('',#428758,10.); #129908=VECTOR('',#428759,10.); #129909=VECTOR('',#428762,10.); #129910=VECTOR('',#428763,10.); #129911=VECTOR('',#428770,10.); #129912=VECTOR('',#428771,10.); #129913=VECTOR('',#428772,10.); #129914=VECTOR('',#428773,10.); #129915=VECTOR('',#428776,10.); #129916=VECTOR('',#428777,10.); #129917=VECTOR('',#428778,10.); #129918=VECTOR('',#428781,10.); #129919=VECTOR('',#428782,10.); #129920=VECTOR('',#428783,10.); #129921=VECTOR('',#428786,10.); #129922=VECTOR('',#428787,10.); #129923=VECTOR('',#428794,10.); #129924=VECTOR('',#428795,10.); #129925=VECTOR('',#428796,10.); #129926=VECTOR('',#428797,10.); #129927=VECTOR('',#428800,10.); #129928=VECTOR('',#428801,10.); #129929=VECTOR('',#428802,10.); #129930=VECTOR('',#428805,10.); #129931=VECTOR('',#428806,10.); #129932=VECTOR('',#428807,10.); #129933=VECTOR('',#428810,10.); #129934=VECTOR('',#428811,10.); #129935=VECTOR('',#428818,10.); #129936=VECTOR('',#428819,10.); #129937=VECTOR('',#428820,10.); #129938=VECTOR('',#428821,10.); #129939=VECTOR('',#428824,10.); #129940=VECTOR('',#428825,10.); #129941=VECTOR('',#428826,10.); #129942=VECTOR('',#428829,10.); #129943=VECTOR('',#428830,10.); #129944=VECTOR('',#428831,10.); #129945=VECTOR('',#428834,10.); #129946=VECTOR('',#428835,10.); #129947=VECTOR('',#428842,10.); #129948=VECTOR('',#428843,10.); #129949=VECTOR('',#428844,10.); #129950=VECTOR('',#428845,10.); #129951=VECTOR('',#428848,10.); #129952=VECTOR('',#428849,10.); #129953=VECTOR('',#428850,10.); #129954=VECTOR('',#428853,10.); #129955=VECTOR('',#428854,10.); #129956=VECTOR('',#428855,10.); #129957=VECTOR('',#428858,10.); #129958=VECTOR('',#428859,10.); #129959=VECTOR('',#428866,10.); #129960=VECTOR('',#428867,10.); #129961=VECTOR('',#428868,10.); #129962=VECTOR('',#428869,10.); #129963=VECTOR('',#428872,10.); #129964=VECTOR('',#428873,10.); #129965=VECTOR('',#428874,10.); #129966=VECTOR('',#428877,10.); #129967=VECTOR('',#428878,10.); #129968=VECTOR('',#428879,10.); #129969=VECTOR('',#428882,10.); #129970=VECTOR('',#428883,10.); #129971=VECTOR('',#428892,0.140000000000002); #129972=VECTOR('',#428897,10.); #129973=VECTOR('',#428898,10.); #129974=VECTOR('',#428899,10.); #129975=VECTOR('',#428900,10.); #129976=VECTOR('',#428903,10.); #129977=VECTOR('',#428904,10.); #129978=VECTOR('',#428905,10.); #129979=VECTOR('',#428908,10.); #129980=VECTOR('',#428909,10.); #129981=VECTOR('',#428910,10.); #129982=VECTOR('',#428913,10.); #129983=VECTOR('',#428914,10.); #129984=VECTOR('',#428923,0.114999999999998); #129985=VECTOR('',#428930,0.114999999999998); #129986=VECTOR('',#428935,10.); #129987=VECTOR('',#428936,10.); #129988=VECTOR('',#428937,10.); #129989=VECTOR('',#428938,10.); #129990=VECTOR('',#428941,10.); #129991=VECTOR('',#428942,10.); #129992=VECTOR('',#428943,10.); #129993=VECTOR('',#428946,10.); #129994=VECTOR('',#428947,10.); #129995=VECTOR('',#428948,10.); #129996=VECTOR('',#428951,10.); #129997=VECTOR('',#428952,10.); #129998=VECTOR('',#428961,0.114999999999998); #129999=VECTOR('',#428968,0.114999999999998); #130000=VECTOR('',#428973,10.); #130001=VECTOR('',#428974,10.); #130002=VECTOR('',#428975,10.); #130003=VECTOR('',#428976,10.); #130004=VECTOR('',#428979,10.); #130005=VECTOR('',#428980,10.); #130006=VECTOR('',#428981,10.); #130007=VECTOR('',#428984,10.); #130008=VECTOR('',#428985,10.); #130009=VECTOR('',#428986,10.); #130010=VECTOR('',#428989,10.); #130011=VECTOR('',#428990,10.); #130012=VECTOR('',#428999,0.139999999999998); #130013=VECTOR('',#429004,10.); #130014=VECTOR('',#429005,10.); #130015=VECTOR('',#429006,10.); #130016=VECTOR('',#429007,10.); #130017=VECTOR('',#429010,10.); #130018=VECTOR('',#429011,10.); #130019=VECTOR('',#429012,10.); #130020=VECTOR('',#429015,10.); #130021=VECTOR('',#429016,10.); #130022=VECTOR('',#429017,10.); #130023=VECTOR('',#429020,10.); #130024=VECTOR('',#429021,10.); #130025=VECTOR('',#429028,10.); #130026=VECTOR('',#429029,10.); #130027=VECTOR('',#429030,10.); #130028=VECTOR('',#429031,10.); #130029=VECTOR('',#429034,10.); #130030=VECTOR('',#429035,10.); #130031=VECTOR('',#429036,10.); #130032=VECTOR('',#429039,10.); #130033=VECTOR('',#429040,10.); #130034=VECTOR('',#429041,10.); #130035=VECTOR('',#429044,10.); #130036=VECTOR('',#429045,10.); #130037=VECTOR('',#429056,0.325); #130038=VECTOR('',#429063,0.325); #130039=VECTOR('',#429070,0.600000000000001); #130040=VECTOR('',#429077,0.175000000000001); #130041=VECTOR('',#429084,0.175000000000001); #130042=VECTOR('',#429091,0.175000000000001); #130043=VECTOR('',#429098,0.175000000000001); #130044=VECTOR('',#429105,0.175000000000001); #130045=VECTOR('',#429112,0.175000000000001); #130046=VECTOR('',#429119,0.175000000000001); #130047=VECTOR('',#429126,0.175000000000001); #130048=VECTOR('',#429133,0.175000000000001); #130049=VECTOR('',#429140,0.175000000000001); #130050=VECTOR('',#429147,0.175000000000001); #130051=VECTOR('',#429154,0.175000000000001); #130052=VECTOR('',#429161,0.175000000000001); #130053=VECTOR('',#429168,0.175000000000001); #130054=VECTOR('',#429175,0.175000000000001); #130055=VECTOR('',#429182,0.499999999999998); #130056=VECTOR('',#429189,0.175000000000001); #130057=VECTOR('',#429196,0.175000000000001); #130058=VECTOR('',#429203,0.175000000000001); #130059=VECTOR('',#429210,0.175000000000001); #130060=VECTOR('',#429217,0.175000000000001); #130061=VECTOR('',#429224,0.175000000000001); #130062=VECTOR('',#429231,0.175000000000001); #130063=VECTOR('',#429238,0.175000000000001); #130064=VECTOR('',#429245,0.175000000000001); #130065=VECTOR('',#429252,0.175000000000001); #130066=VECTOR('',#429259,0.175000000000001); #130067=VECTOR('',#429266,0.175000000000001); #130068=VECTOR('',#429273,0.175000000000001); #130069=VECTOR('',#429280,0.175000000000001); #130070=VECTOR('',#429287,0.175000000000001); #130071=VECTOR('',#429294,0.550000000000002); #130072=VECTOR('',#429301,0.175000000000001); #130073=VECTOR('',#429308,0.175000000000001); #130074=VECTOR('',#429315,0.175000000000001); #130075=VECTOR('',#429322,0.175000000000001); #130076=VECTOR('',#429327,10.); #130077=VECTOR('',#429328,10.); #130078=VECTOR('',#429329,10.); #130079=VECTOR('',#429330,10.); #130080=VECTOR('',#429337,10.); #130081=VECTOR('',#429340,10.); #130082=VECTOR('',#429341,10.); #130083=VECTOR('',#429342,10.); #130084=VECTOR('',#429353,0.175000000000001); #130085=VECTOR('',#429358,10.); #130086=VECTOR('',#429359,10.); #130087=VECTOR('',#429360,10.); #130088=VECTOR('',#429361,10.); #130089=VECTOR('',#429368,10.); #130090=VECTOR('',#429371,10.); #130091=VECTOR('',#429372,10.); #130092=VECTOR('',#429373,10.); #130093=VECTOR('',#429384,0.175000000000001); #130094=VECTOR('',#429391,0.175000000000001); #130095=VECTOR('',#429398,0.175000000000001); #130096=VECTOR('',#429405,0.150000000000001); #130097=VECTOR('',#429412,0.175000000000001); #130098=VECTOR('',#429419,0.150000000000001); #130099=VECTOR('',#429426,0.175000000000001); #130100=VECTOR('',#429433,0.150000000000001); #130101=VECTOR('',#429440,0.175000000000001); #130102=VECTOR('',#429447,0.150000000000001); #130103=VECTOR('',#429454,0.175000000000001); #130104=VECTOR('',#429459,10.); #130105=VECTOR('',#429460,10.); #130106=VECTOR('',#429461,10.); #130107=VECTOR('',#429462,10.); #130108=VECTOR('',#429469,10.); #130109=VECTOR('',#429472,10.); #130110=VECTOR('',#429473,10.); #130111=VECTOR('',#429474,10.); #130112=VECTOR('',#429485,0.175000000000001); #130113=VECTOR('',#429490,10.); #130114=VECTOR('',#429491,10.); #130115=VECTOR('',#429492,10.); #130116=VECTOR('',#429493,10.); #130117=VECTOR('',#429500,10.); #130118=VECTOR('',#429503,10.); #130119=VECTOR('',#429504,10.); #130120=VECTOR('',#429505,10.); #130121=VECTOR('',#429516,0.175000000000001); #130122=VECTOR('',#429521,10.); #130123=VECTOR('',#429522,10.); #130124=VECTOR('',#429523,10.); #130125=VECTOR('',#429524,10.); #130126=VECTOR('',#429531,10.); #130127=VECTOR('',#429534,10.); #130128=VECTOR('',#429535,10.); #130129=VECTOR('',#429536,10.); #130130=VECTOR('',#429547,0.175000000000001); #130131=VECTOR('',#429552,10.); #130132=VECTOR('',#429553,10.); #130133=VECTOR('',#429554,10.); #130134=VECTOR('',#429555,10.); #130135=VECTOR('',#429562,10.); #130136=VECTOR('',#429565,10.); #130137=VECTOR('',#429566,10.); #130138=VECTOR('',#429567,10.); #130139=VECTOR('',#429578,0.499999999999998); #130140=VECTOR('',#429585,0.175000000000001); #130141=VECTOR('',#429592,0.175000000000001); #130142=VECTOR('',#429599,0.175000000000001); #130143=VECTOR('',#429606,0.175000000000001); #130144=VECTOR('',#429613,0.175000000000001); #130145=VECTOR('',#429620,0.175000000000001); #130146=VECTOR('',#429627,0.175000000000001); #130147=VECTOR('',#429634,0.175000000000001); #130148=VECTOR('',#429641,0.175000000000001); #130149=VECTOR('',#429648,0.175000000000001); #130150=VECTOR('',#429655,0.175000000000001); #130151=VECTOR('',#429662,0.175000000000001); #130152=VECTOR('',#429669,0.175000000000001); #130153=VECTOR('',#429676,0.175000000000001); #130154=VECTOR('',#429683,0.175000000000001); #130155=VECTOR('',#429690,0.600000000000001); #130156=VECTOR('',#429697,0.175000000000001); #130157=VECTOR('',#429704,0.175); #130158=VECTOR('',#429711,0.175000000000001); #130159=VECTOR('',#429718,0.175000000000001); #130160=VECTOR('',#429725,0.175000000000001); #130161=VECTOR('',#429732,0.175000000000001); #130162=VECTOR('',#429739,0.175000000000001); #130163=VECTOR('',#429746,0.175000000000001); #130164=VECTOR('',#429753,0.175000000000001); #130165=VECTOR('',#429760,0.175000000000001); #130166=VECTOR('',#429767,0.175000000000001); #130167=VECTOR('',#429774,0.175000000000001); #130168=VECTOR('',#429781,0.175000000000001); #130169=VECTOR('',#429788,0.175000000000001); #130170=VECTOR('',#429795,0.175000000000001); #130171=VECTOR('',#429802,0.499999999999998); #130172=VECTOR('',#429809,0.175000000000001); #130173=VECTOR('',#429816,0.175000000000001); #130174=VECTOR('',#429823,0.175000000000001); #130175=VECTOR('',#429830,0.175000000000001); #130176=VECTOR('',#429837,0.175000000000001); #130177=VECTOR('',#429844,0.175000000000001); #130178=VECTOR('',#429851,0.175000000000001); #130179=VECTOR('',#429858,0.175000000000001); #130180=VECTOR('',#429865,0.175000000000001); #130181=VECTOR('',#429872,0.175000000000001); #130182=VECTOR('',#429879,0.175000000000001); #130183=VECTOR('',#429886,0.175000000000001); #130184=VECTOR('',#429893,0.175000000000001); #130185=VECTOR('',#429900,0.175000000000001); #130186=VECTOR('',#429907,0.175000000000001); #130187=VECTOR('',#429914,0.507999999999997); #130188=VECTOR('',#429921,0.175000000000001); #130189=VECTOR('',#429928,0.175000000000001); #130190=VECTOR('',#429935,0.175000000000001); #130191=VECTOR('',#429942,0.175000000000001); #130192=VECTOR('',#429949,0.175000000000001); #130193=VECTOR('',#429956,0.175000000000001); #130194=VECTOR('',#429963,0.175000000000001); #130195=VECTOR('',#429970,0.175000000000001); #130196=VECTOR('',#429977,0.175000000000001); #130197=VECTOR('',#429984,0.175000000000001); #130198=VECTOR('',#429991,0.175000000000001); #130199=VECTOR('',#429998,0.175000000000001); #130200=VECTOR('',#430005,0.175000000000001); #130201=VECTOR('',#430012,0.175000000000001); #130202=VECTOR('',#430019,0.175000000000001); #130203=VECTOR('',#430026,0.499999999999998); #130204=VECTOR('',#430033,0.175000000000001); #130205=VECTOR('',#430040,0.175000000000001); #130206=VECTOR('',#430047,0.175000000000001); #130207=VECTOR('',#430054,0.175000000000001); #130208=VECTOR('',#430061,0.175000000000001); #130209=VECTOR('',#430068,0.175000000000001); #130210=VECTOR('',#430075,0.175000000000001); #130211=VECTOR('',#430082,0.175000000000001); #130212=VECTOR('',#430089,0.175000000000001); #130213=VECTOR('',#430096,0.175000000000001); #130214=VECTOR('',#430103,0.175000000000001); #130215=VECTOR('',#430110,0.175000000000001); #130216=VECTOR('',#430117,0.175000000000001); #130217=VECTOR('',#430124,0.175000000000001); #130218=VECTOR('',#430131,0.175000000000001); #130219=VECTOR('',#430138,0.550000000000002); #130220=VECTOR('',#430145,0.175000000000001); #130221=VECTOR('',#430152,0.175); #130222=VECTOR('',#430159,0.175000000000001); #130223=VECTOR('',#430166,0.175000000000001); #130224=VECTOR('',#430173,0.175000000000001); #130225=VECTOR('',#430180,0.175); #130226=VECTOR('',#430187,0.175000000000001); #130227=VECTOR('',#430194,0.175000000000001); #130228=VECTOR('',#430201,0.175000000000001); #130229=VECTOR('',#430208,0.175); #130230=VECTOR('',#430215,0.175000000000001); #130231=VECTOR('',#430222,0.175000000000001); #130232=VECTOR('',#430229,0.175000000000001); #130233=VECTOR('',#430236,0.175); #130234=VECTOR('',#430243,0.175000000000001); #130235=VECTOR('',#430250,0.499999999999998); #130236=VECTOR('',#430257,0.175000000000001); #130237=VECTOR('',#430264,0.175000000000001); #130238=VECTOR('',#430271,0.175000000000001); #130239=VECTOR('',#430278,0.175000000000001); #130240=VECTOR('',#430285,0.175000000000001); #130241=VECTOR('',#430292,0.175); #130242=VECTOR('',#430299,0.175000000000001); #130243=VECTOR('',#430306,0.175000000000001); #130244=VECTOR('',#430313,0.175000000000001); #130245=VECTOR('',#430320,0.175000000000001); #130246=VECTOR('',#430327,0.175000000000001); #130247=VECTOR('',#430334,0.175000000000001); #130248=VECTOR('',#430341,0.175000000000001); #130249=VECTOR('',#430348,0.175); #130250=VECTOR('',#430355,0.175000000000001); #130251=VECTOR('',#430362,0.507999999999997); #130252=VECTOR('',#430369,0.175000000000001); #130253=VECTOR('',#430376,0.175000000000001); #130254=VECTOR('',#430383,0.175000000000001); #130255=VECTOR('',#430390,0.175000000000001); #130256=VECTOR('',#430397,0.175000000000001); #130257=VECTOR('',#430404,0.175000000000001); #130258=VECTOR('',#430411,0.175000000000001); #130259=VECTOR('',#430418,0.175000000000001); #130260=VECTOR('',#430425,0.175000000000001); #130261=VECTOR('',#430432,0.175); #130262=VECTOR('',#430439,0.175000000000001); #130263=VECTOR('',#430446,0.175000000000001); #130264=VECTOR('',#430453,0.175000000000001); #130265=VECTOR('',#430460,0.175); #130266=VECTOR('',#430467,0.175000000000001); #130267=VECTOR('',#430474,0.175000000000001); #130268=VECTOR('',#430481,0.175000000000001); #130269=VECTOR('',#430488,0.175000000000001); #130270=VECTOR('',#430495,0.175000000000001); #130271=VECTOR('',#430502,0.175000000000001); #130272=VECTOR('',#430509,0.175000000000001); #130273=VECTOR('',#430516,0.175000000000001); #130274=VECTOR('',#430523,0.175000000000001); #130275=VECTOR('',#430530,0.175000000000001); #130276=VECTOR('',#430537,0.150000000000001); #130277=VECTOR('',#430544,0.175000000000001); #130278=VECTOR('',#430551,0.150000000000001); #130279=VECTOR('',#430558,0.175000000000001); #130280=VECTOR('',#430565,0.150000000000001); #130281=VECTOR('',#430572,0.175000000000001); #130282=VECTOR('',#430579,0.150000000000001); #130283=VECTOR('',#430586,0.600000000000001); #130284=VECTOR('',#430593,0.175000000000001); #130285=VECTOR('',#430600,0.175000000000001); #130286=VECTOR('',#430607,0.175000000000001); #130287=VECTOR('',#430614,0.175000000000001); #130288=VECTOR('',#430621,0.175000000000001); #130289=VECTOR('',#430628,0.175000000000001); #130290=VECTOR('',#430635,0.175000000000001); #130291=VECTOR('',#430642,0.175000000000001); #130292=VECTOR('',#430649,0.175000000000001); #130293=VECTOR('',#430656,0.175000000000001); #130294=VECTOR('',#430663,0.175000000000001); #130295=VECTOR('',#430670,0.175000000000001); #130296=VECTOR('',#430677,0.175000000000001); #130297=VECTOR('',#430684,0.175000000000001); #130298=VECTOR('',#430691,0.175000000000001); #130299=VECTOR('',#430698,0.5); #130300=VECTOR('',#430705,0.175000000000001); #130301=VECTOR('',#430712,0.175000000000001); #130302=VECTOR('',#430719,0.175000000000001); #130303=VECTOR('',#430726,0.175000000000001); #130304=VECTOR('',#430733,0.175000000000001); #130305=VECTOR('',#430740,0.175000000000001); #130306=VECTOR('',#430747,0.175000000000001); #130307=VECTOR('',#430754,0.175000000000001); #130308=VECTOR('',#430761,0.175000000000001); #130309=VECTOR('',#430768,0.175000000000001); #130310=VECTOR('',#430775,0.175000000000001); #130311=VECTOR('',#430782,0.175000000000001); #130312=VECTOR('',#430789,0.175000000000001); #130313=VECTOR('',#430796,0.175000000000001); #130314=VECTOR('',#430803,0.175000000000001); #130315=VECTOR('',#430810,0.507999999999997); #130316=VECTOR('',#430817,0.175000000000001); #130317=VECTOR('',#430824,0.175000000000001); #130318=VECTOR('',#430831,0.175000000000001); #130319=VECTOR('',#430838,0.175000000000001); #130320=VECTOR('',#430845,0.175000000000001); #130321=VECTOR('',#430852,0.175000000000001); #130322=VECTOR('',#430859,0.175000000000001); #130323=VECTOR('',#430866,0.175000000000001); #130324=VECTOR('',#430873,0.175000000000001); #130325=VECTOR('',#430880,0.175000000000001); #130326=VECTOR('',#430887,0.175000000000001); #130327=VECTOR('',#430894,0.175000000000001); #130328=VECTOR('',#430901,0.175000000000001); #130329=VECTOR('',#430908,0.175000000000001); #130330=VECTOR('',#430915,0.175000000000001); #130331=VECTOR('',#430922,0.5); #130332=VECTOR('',#430929,0.175000000000001); #130333=VECTOR('',#430936,0.175000000000001); #130334=VECTOR('',#430943,0.175000000000001); #130335=VECTOR('',#430950,0.175000000000001); #130336=VECTOR('',#430957,0.175000000000001); #130337=VECTOR('',#430964,0.175000000000001); #130338=VECTOR('',#430971,0.175000000000001); #130339=VECTOR('',#430978,0.175000000000001); #130340=VECTOR('',#430985,0.175000000000001); #130341=VECTOR('',#430992,0.175000000000001); #130342=VECTOR('',#430999,0.175000000000001); #130343=VECTOR('',#431006,0.175000000000001); #130344=VECTOR('',#431013,0.175000000000001); #130345=VECTOR('',#431020,0.175000000000001); #130346=VECTOR('',#431027,0.175000000000001); #130347=VECTOR('',#431034,0.600000000000001); #130348=VECTOR('',#431041,0.175000000000001); #130349=VECTOR('',#431048,0.175000000000001); #130350=VECTOR('',#431055,0.175000000000001); #130351=VECTOR('',#431062,0.175000000000001); #130352=VECTOR('',#431069,0.175000000000001); #130353=VECTOR('',#431076,0.175000000000001); #130354=VECTOR('',#431083,0.175000000000001); #130355=VECTOR('',#431090,0.175000000000001); #130356=VECTOR('',#431097,0.175000000000001); #130357=VECTOR('',#431104,0.175000000000001); #130358=VECTOR('',#431111,0.175000000000001); #130359=VECTOR('',#431118,0.175000000000001); #130360=VECTOR('',#431125,0.175000000000001); #130361=VECTOR('',#431132,0.175000000000001); #130362=VECTOR('',#431139,0.175000000000001); #130363=VECTOR('',#431146,0.499999999999998); #130364=VECTOR('',#431153,0.175000000000001); #130365=VECTOR('',#431160,0.175000000000001); #130366=VECTOR('',#431167,0.175000000000001); #130367=VECTOR('',#431174,0.175000000000001); #130368=VECTOR('',#431181,0.175000000000001); #130369=VECTOR('',#431188,0.175000000000001); #130370=VECTOR('',#431195,0.175000000000001); #130371=VECTOR('',#431202,0.175000000000001); #130372=VECTOR('',#431209,0.175000000000001); #130373=VECTOR('',#431216,0.175000000000001); #130374=VECTOR('',#431223,0.175000000000001); #130375=VECTOR('',#431230,0.175000000000001); #130376=VECTOR('',#431237,0.175000000000001); #130377=VECTOR('',#431244,0.175000000000001); #130378=VECTOR('',#431251,0.175000000000001); #130379=VECTOR('',#431258,0.507999999999997); #130380=VECTOR('',#431265,0.175000000000001); #130381=VECTOR('',#431272,0.175000000000001); #130382=VECTOR('',#431279,0.175000000000001); #130383=VECTOR('',#431286,0.175000000000001); #130384=VECTOR('',#431293,0.175000000000001); #130385=VECTOR('',#431300,0.175000000000001); #130386=VECTOR('',#431307,0.175000000000001); #130387=VECTOR('',#431314,0.175000000000001); #130388=VECTOR('',#431321,0.175000000000001); #130389=VECTOR('',#431328,0.175000000000001); #130390=VECTOR('',#431335,0.175000000000001); #130391=VECTOR('',#431342,0.175000000000001); #130392=VECTOR('',#431349,0.175000000000001); #130393=VECTOR('',#431356,0.175000000000001); #130394=VECTOR('',#431363,0.175000000000001); #130395=VECTOR('',#431370,0.499999999999998); #130396=VECTOR('',#431377,0.175000000000001); #130397=VECTOR('',#431384,0.175000000000001); #130398=VECTOR('',#431391,0.175000000000001); #130399=VECTOR('',#431398,0.175000000000001); #130400=VECTOR('',#431405,0.175000000000001); #130401=VECTOR('',#431412,0.175000000000001); #130402=VECTOR('',#431419,0.175000000000001); #130403=VECTOR('',#431426,0.175000000000001); #130404=VECTOR('',#431433,0.175000000000001); #130405=VECTOR('',#431440,0.175000000000001); #130406=VECTOR('',#431447,0.175000000000001); #130407=VECTOR('',#431454,0.175000000000001); #130408=VECTOR('',#431461,0.175000000000001); #130409=VECTOR('',#431468,0.175000000000001); #130410=VECTOR('',#431475,0.175000000000001); #130411=VECTOR('',#431482,34.); #130412=VECTOR('',#431495,0.325); #130413=VECTOR('',#431502,0.325); #130414=VECTOR('',#431509,0.600000000000001); #130415=VECTOR('',#431516,0.175000000000001); #130416=VECTOR('',#431523,0.175000000000001); #130417=VECTOR('',#431530,0.175000000000001); #130418=VECTOR('',#431537,0.175000000000001); #130419=VECTOR('',#431544,0.175000000000001); #130420=VECTOR('',#431551,0.175000000000001); #130421=VECTOR('',#431558,0.175000000000001); #130422=VECTOR('',#431565,0.175000000000001); #130423=VECTOR('',#431572,0.175000000000001); #130424=VECTOR('',#431579,0.175000000000001); #130425=VECTOR('',#431586,0.175000000000001); #130426=VECTOR('',#431593,0.175000000000001); #130427=VECTOR('',#431600,0.175000000000001); #130428=VECTOR('',#431607,0.175000000000001); #130429=VECTOR('',#431614,0.175000000000001); #130430=VECTOR('',#431621,0.499999999999998); #130431=VECTOR('',#431628,0.175000000000001); #130432=VECTOR('',#431635,0.175000000000001); #130433=VECTOR('',#431642,0.175000000000001); #130434=VECTOR('',#431649,0.175000000000001); #130435=VECTOR('',#431656,0.175000000000001); #130436=VECTOR('',#431663,0.175000000000001); #130437=VECTOR('',#431670,0.175000000000001); #130438=VECTOR('',#431677,0.175000000000001); #130439=VECTOR('',#431684,0.175000000000001); #130440=VECTOR('',#431691,0.175000000000001); #130441=VECTOR('',#431698,0.175000000000001); #130442=VECTOR('',#431705,0.175000000000001); #130443=VECTOR('',#431712,0.175000000000001); #130444=VECTOR('',#431719,0.175000000000001); #130445=VECTOR('',#431726,0.175000000000001); #130446=VECTOR('',#431733,0.550000000000002); #130447=VECTOR('',#431740,0.175000000000001); #130448=VECTOR('',#431747,0.175000000000001); #130449=VECTOR('',#431754,0.175000000000001); #130450=VECTOR('',#431761,0.175000000000001); #130451=VECTOR('',#431766,10.); #130452=VECTOR('',#431767,10.); #130453=VECTOR('',#431768,10.); #130454=VECTOR('',#431769,10.); #130455=VECTOR('',#431776,10.); #130456=VECTOR('',#431779,10.); #130457=VECTOR('',#431780,10.); #130458=VECTOR('',#431781,10.); #130459=VECTOR('',#431792,0.175000000000001); #130460=VECTOR('',#431797,10.); #130461=VECTOR('',#431798,10.); #130462=VECTOR('',#431799,10.); #130463=VECTOR('',#431800,10.); #130464=VECTOR('',#431807,10.); #130465=VECTOR('',#431810,10.); #130466=VECTOR('',#431811,10.); #130467=VECTOR('',#431812,10.); #130468=VECTOR('',#431823,0.175000000000001); #130469=VECTOR('',#431830,0.175000000000001); #130470=VECTOR('',#431837,0.175000000000001); #130471=VECTOR('',#431844,0.150000000000001); #130472=VECTOR('',#431851,0.175000000000001); #130473=VECTOR('',#431858,0.150000000000001); #130474=VECTOR('',#431865,0.175000000000001); #130475=VECTOR('',#431872,0.150000000000001); #130476=VECTOR('',#431879,0.175000000000001); #130477=VECTOR('',#431886,0.150000000000001); #130478=VECTOR('',#431893,0.175000000000001); #130479=VECTOR('',#431898,10.); #130480=VECTOR('',#431899,10.); #130481=VECTOR('',#431900,10.); #130482=VECTOR('',#431901,10.); #130483=VECTOR('',#431908,10.); #130484=VECTOR('',#431911,10.); #130485=VECTOR('',#431912,10.); #130486=VECTOR('',#431913,10.); #130487=VECTOR('',#431924,0.175000000000001); #130488=VECTOR('',#431929,10.); #130489=VECTOR('',#431930,10.); #130490=VECTOR('',#431931,10.); #130491=VECTOR('',#431932,10.); #130492=VECTOR('',#431939,10.); #130493=VECTOR('',#431942,10.); #130494=VECTOR('',#431943,10.); #130495=VECTOR('',#431944,10.); #130496=VECTOR('',#431955,0.175000000000001); #130497=VECTOR('',#431960,10.); #130498=VECTOR('',#431961,10.); #130499=VECTOR('',#431962,10.); #130500=VECTOR('',#431963,10.); #130501=VECTOR('',#431970,10.); #130502=VECTOR('',#431973,10.); #130503=VECTOR('',#431974,10.); #130504=VECTOR('',#431975,10.); #130505=VECTOR('',#431986,0.175000000000001); #130506=VECTOR('',#431991,10.); #130507=VECTOR('',#431992,10.); #130508=VECTOR('',#431993,10.); #130509=VECTOR('',#431994,10.); #130510=VECTOR('',#432001,10.); #130511=VECTOR('',#432004,10.); #130512=VECTOR('',#432005,10.); #130513=VECTOR('',#432006,10.); #130514=VECTOR('',#432017,0.499999999999998); #130515=VECTOR('',#432024,0.175000000000001); #130516=VECTOR('',#432031,0.175000000000001); #130517=VECTOR('',#432038,0.175000000000001); #130518=VECTOR('',#432045,0.175000000000001); #130519=VECTOR('',#432052,0.175000000000001); #130520=VECTOR('',#432059,0.175000000000001); #130521=VECTOR('',#432066,0.175000000000001); #130522=VECTOR('',#432073,0.175000000000001); #130523=VECTOR('',#432080,0.175000000000001); #130524=VECTOR('',#432087,0.175000000000001); #130525=VECTOR('',#432094,0.175000000000001); #130526=VECTOR('',#432101,0.175000000000001); #130527=VECTOR('',#432108,0.175000000000001); #130528=VECTOR('',#432115,0.175000000000001); #130529=VECTOR('',#432122,0.175000000000001); #130530=VECTOR('',#432129,0.600000000000001); #130531=VECTOR('',#432136,0.175000000000001); #130532=VECTOR('',#432143,0.175); #130533=VECTOR('',#432150,0.175000000000001); #130534=VECTOR('',#432157,0.175000000000001); #130535=VECTOR('',#432164,0.175000000000001); #130536=VECTOR('',#432171,0.175000000000001); #130537=VECTOR('',#432178,0.175000000000001); #130538=VECTOR('',#432185,0.175000000000001); #130539=VECTOR('',#432192,0.175000000000001); #130540=VECTOR('',#432199,0.175000000000001); #130541=VECTOR('',#432206,0.175000000000001); #130542=VECTOR('',#432213,0.175000000000001); #130543=VECTOR('',#432220,0.175000000000001); #130544=VECTOR('',#432227,0.175000000000001); #130545=VECTOR('',#432234,0.175000000000001); #130546=VECTOR('',#432241,0.499999999999998); #130547=VECTOR('',#432248,0.175000000000001); #130548=VECTOR('',#432255,0.175000000000001); #130549=VECTOR('',#432262,0.175000000000001); #130550=VECTOR('',#432269,0.175000000000001); #130551=VECTOR('',#432276,0.175000000000001); #130552=VECTOR('',#432283,0.175000000000001); #130553=VECTOR('',#432290,0.175000000000001); #130554=VECTOR('',#432297,0.175000000000001); #130555=VECTOR('',#432304,0.175000000000001); #130556=VECTOR('',#432311,0.175000000000001); #130557=VECTOR('',#432318,0.175000000000001); #130558=VECTOR('',#432325,0.175000000000001); #130559=VECTOR('',#432332,0.175000000000001); #130560=VECTOR('',#432339,0.175000000000001); #130561=VECTOR('',#432346,0.175000000000001); #130562=VECTOR('',#432353,0.507999999999997); #130563=VECTOR('',#432360,0.175000000000001); #130564=VECTOR('',#432367,0.175000000000001); #130565=VECTOR('',#432374,0.175000000000001); #130566=VECTOR('',#432381,0.175000000000001); #130567=VECTOR('',#432388,0.175000000000001); #130568=VECTOR('',#432395,0.175000000000001); #130569=VECTOR('',#432402,0.175000000000001); #130570=VECTOR('',#432409,0.175000000000001); #130571=VECTOR('',#432416,0.175000000000001); #130572=VECTOR('',#432423,0.175000000000001); #130573=VECTOR('',#432430,0.175000000000001); #130574=VECTOR('',#432437,0.175000000000001); #130575=VECTOR('',#432444,0.175000000000001); #130576=VECTOR('',#432451,0.175000000000001); #130577=VECTOR('',#432458,0.175000000000001); #130578=VECTOR('',#432465,0.499999999999998); #130579=VECTOR('',#432472,0.175000000000001); #130580=VECTOR('',#432479,0.175000000000001); #130581=VECTOR('',#432486,0.175000000000001); #130582=VECTOR('',#432493,0.175000000000001); #130583=VECTOR('',#432500,0.175000000000001); #130584=VECTOR('',#432507,0.175000000000001); #130585=VECTOR('',#432514,0.175000000000001); #130586=VECTOR('',#432521,0.175000000000001); #130587=VECTOR('',#432528,0.175000000000001); #130588=VECTOR('',#432535,0.175000000000001); #130589=VECTOR('',#432542,0.175000000000001); #130590=VECTOR('',#432549,0.175000000000001); #130591=VECTOR('',#432556,0.175000000000001); #130592=VECTOR('',#432563,0.175000000000001); #130593=VECTOR('',#432570,0.175000000000001); #130594=VECTOR('',#432577,0.550000000000002); #130595=VECTOR('',#432584,0.175000000000001); #130596=VECTOR('',#432591,0.175); #130597=VECTOR('',#432598,0.175000000000001); #130598=VECTOR('',#432605,0.175000000000001); #130599=VECTOR('',#432612,0.175000000000001); #130600=VECTOR('',#432619,0.175); #130601=VECTOR('',#432626,0.175000000000001); #130602=VECTOR('',#432633,0.175000000000001); #130603=VECTOR('',#432640,0.175000000000001); #130604=VECTOR('',#432647,0.175); #130605=VECTOR('',#432654,0.175000000000001); #130606=VECTOR('',#432661,0.175000000000001); #130607=VECTOR('',#432668,0.175000000000001); #130608=VECTOR('',#432675,0.175); #130609=VECTOR('',#432682,0.175000000000001); #130610=VECTOR('',#432689,0.499999999999998); #130611=VECTOR('',#432696,0.175000000000001); #130612=VECTOR('',#432703,0.175000000000001); #130613=VECTOR('',#432710,0.175000000000001); #130614=VECTOR('',#432717,0.175000000000001); #130615=VECTOR('',#432724,0.175000000000001); #130616=VECTOR('',#432731,0.175); #130617=VECTOR('',#432738,0.175000000000001); #130618=VECTOR('',#432745,0.175000000000001); #130619=VECTOR('',#432752,0.175000000000001); #130620=VECTOR('',#432759,0.175000000000001); #130621=VECTOR('',#432766,0.175000000000001); #130622=VECTOR('',#432773,0.175000000000001); #130623=VECTOR('',#432780,0.175000000000001); #130624=VECTOR('',#432787,0.175); #130625=VECTOR('',#432794,0.175000000000001); #130626=VECTOR('',#432801,0.507999999999997); #130627=VECTOR('',#432808,0.175000000000001); #130628=VECTOR('',#432815,0.175000000000001); #130629=VECTOR('',#432822,0.175000000000001); #130630=VECTOR('',#432829,0.175000000000001); #130631=VECTOR('',#432836,0.175000000000001); #130632=VECTOR('',#432843,0.175000000000001); #130633=VECTOR('',#432850,0.175000000000001); #130634=VECTOR('',#432857,0.175000000000001); #130635=VECTOR('',#432864,0.175000000000001); #130636=VECTOR('',#432871,0.175); #130637=VECTOR('',#432878,0.175000000000001); #130638=VECTOR('',#432885,0.175000000000001); #130639=VECTOR('',#432892,0.175000000000001); #130640=VECTOR('',#432899,0.175); #130641=VECTOR('',#432906,0.175000000000001); #130642=VECTOR('',#432913,0.175000000000001); #130643=VECTOR('',#432920,0.175000000000001); #130644=VECTOR('',#432927,0.175000000000001); #130645=VECTOR('',#432934,0.175000000000001); #130646=VECTOR('',#432941,0.175000000000001); #130647=VECTOR('',#432948,0.175000000000001); #130648=VECTOR('',#432955,0.175000000000001); #130649=VECTOR('',#432962,0.175000000000001); #130650=VECTOR('',#432969,0.175000000000001); #130651=VECTOR('',#432976,0.150000000000001); #130652=VECTOR('',#432983,0.175000000000001); #130653=VECTOR('',#432990,0.150000000000001); #130654=VECTOR('',#432997,0.175000000000001); #130655=VECTOR('',#433004,0.150000000000001); #130656=VECTOR('',#433011,0.175000000000001); #130657=VECTOR('',#433018,0.150000000000001); #130658=VECTOR('',#433025,0.600000000000001); #130659=VECTOR('',#433032,0.175000000000001); #130660=VECTOR('',#433039,0.175000000000001); #130661=VECTOR('',#433046,0.175000000000001); #130662=VECTOR('',#433053,0.175000000000001); #130663=VECTOR('',#433060,0.175000000000001); #130664=VECTOR('',#433067,0.175000000000001); #130665=VECTOR('',#433074,0.175000000000001); #130666=VECTOR('',#433081,0.175000000000001); #130667=VECTOR('',#433088,0.175000000000001); #130668=VECTOR('',#433095,0.175000000000001); #130669=VECTOR('',#433102,0.175000000000001); #130670=VECTOR('',#433109,0.175000000000001); #130671=VECTOR('',#433116,0.175000000000001); #130672=VECTOR('',#433123,0.175000000000001); #130673=VECTOR('',#433130,0.175000000000001); #130674=VECTOR('',#433137,0.5); #130675=VECTOR('',#433144,0.175000000000001); #130676=VECTOR('',#433151,0.175000000000001); #130677=VECTOR('',#433158,0.175000000000001); #130678=VECTOR('',#433165,0.175000000000001); #130679=VECTOR('',#433172,0.175000000000001); #130680=VECTOR('',#433179,0.175000000000001); #130681=VECTOR('',#433186,0.175000000000001); #130682=VECTOR('',#433193,0.175000000000001); #130683=VECTOR('',#433200,0.175000000000001); #130684=VECTOR('',#433207,0.175000000000001); #130685=VECTOR('',#433214,0.175000000000001); #130686=VECTOR('',#433221,0.175000000000001); #130687=VECTOR('',#433228,0.175000000000001); #130688=VECTOR('',#433235,0.175000000000001); #130689=VECTOR('',#433242,0.175000000000001); #130690=VECTOR('',#433249,0.507999999999997); #130691=VECTOR('',#433256,0.175000000000001); #130692=VECTOR('',#433263,0.175000000000001); #130693=VECTOR('',#433270,0.175000000000001); #130694=VECTOR('',#433277,0.175000000000001); #130695=VECTOR('',#433284,0.175000000000001); #130696=VECTOR('',#433291,0.175000000000001); #130697=VECTOR('',#433298,0.175000000000001); #130698=VECTOR('',#433305,0.175000000000001); #130699=VECTOR('',#433312,0.175000000000001); #130700=VECTOR('',#433319,0.175000000000001); #130701=VECTOR('',#433326,0.175000000000001); #130702=VECTOR('',#433333,0.175000000000001); #130703=VECTOR('',#433340,0.175000000000001); #130704=VECTOR('',#433347,0.175000000000001); #130705=VECTOR('',#433354,0.175000000000001); #130706=VECTOR('',#433361,0.5); #130707=VECTOR('',#433368,0.175000000000001); #130708=VECTOR('',#433375,0.175000000000001); #130709=VECTOR('',#433382,0.175000000000001); #130710=VECTOR('',#433389,0.175000000000001); #130711=VECTOR('',#433396,0.175000000000001); #130712=VECTOR('',#433403,0.175000000000001); #130713=VECTOR('',#433410,0.175000000000001); #130714=VECTOR('',#433417,0.175000000000001); #130715=VECTOR('',#433424,0.175000000000001); #130716=VECTOR('',#433431,0.175000000000001); #130717=VECTOR('',#433438,0.175000000000001); #130718=VECTOR('',#433445,0.175000000000001); #130719=VECTOR('',#433452,0.175000000000001); #130720=VECTOR('',#433459,0.175000000000001); #130721=VECTOR('',#433466,0.175000000000001); #130722=VECTOR('',#433473,0.600000000000001); #130723=VECTOR('',#433480,0.175000000000001); #130724=VECTOR('',#433487,0.175000000000001); #130725=VECTOR('',#433494,0.175000000000001); #130726=VECTOR('',#433501,0.175000000000001); #130727=VECTOR('',#433508,0.175000000000001); #130728=VECTOR('',#433515,0.175000000000001); #130729=VECTOR('',#433522,0.175000000000001); #130730=VECTOR('',#433529,0.175000000000001); #130731=VECTOR('',#433536,0.175000000000001); #130732=VECTOR('',#433543,0.175000000000001); #130733=VECTOR('',#433550,0.175000000000001); #130734=VECTOR('',#433557,0.175000000000001); #130735=VECTOR('',#433564,0.175000000000001); #130736=VECTOR('',#433571,0.175000000000001); #130737=VECTOR('',#433578,0.175000000000001); #130738=VECTOR('',#433585,0.499999999999998); #130739=VECTOR('',#433592,0.175000000000001); #130740=VECTOR('',#433599,0.175000000000001); #130741=VECTOR('',#433606,0.175000000000001); #130742=VECTOR('',#433613,0.175000000000001); #130743=VECTOR('',#433620,0.175000000000001); #130744=VECTOR('',#433627,0.175000000000001); #130745=VECTOR('',#433634,0.175000000000001); #130746=VECTOR('',#433641,0.175000000000001); #130747=VECTOR('',#433648,0.175000000000001); #130748=VECTOR('',#433655,0.175000000000001); #130749=VECTOR('',#433662,0.175000000000001); #130750=VECTOR('',#433669,0.175000000000001); #130751=VECTOR('',#433676,0.175000000000001); #130752=VECTOR('',#433683,0.175000000000001); #130753=VECTOR('',#433690,0.175000000000001); #130754=VECTOR('',#433697,0.507999999999997); #130755=VECTOR('',#433704,0.175000000000001); #130756=VECTOR('',#433711,0.175000000000001); #130757=VECTOR('',#433718,0.175000000000001); #130758=VECTOR('',#433725,0.175000000000001); #130759=VECTOR('',#433732,0.175000000000001); #130760=VECTOR('',#433739,0.175000000000001); #130761=VECTOR('',#433746,0.175000000000001); #130762=VECTOR('',#433753,0.175000000000001); #130763=VECTOR('',#433760,0.175000000000001); #130764=VECTOR('',#433767,0.175000000000001); #130765=VECTOR('',#433774,0.175000000000001); #130766=VECTOR('',#433781,0.175000000000001); #130767=VECTOR('',#433788,0.175000000000001); #130768=VECTOR('',#433795,0.175000000000001); #130769=VECTOR('',#433802,0.175000000000001); #130770=VECTOR('',#433809,0.499999999999998); #130771=VECTOR('',#433816,0.175000000000001); #130772=VECTOR('',#433823,0.175000000000001); #130773=VECTOR('',#433830,0.175000000000001); #130774=VECTOR('',#433837,0.175000000000001); #130775=VECTOR('',#433844,0.175000000000001); #130776=VECTOR('',#433851,0.175000000000001); #130777=VECTOR('',#433858,0.175000000000001); #130778=VECTOR('',#433865,0.175000000000001); #130779=VECTOR('',#433872,0.175000000000001); #130780=VECTOR('',#433879,0.175000000000001); #130781=VECTOR('',#433886,0.175000000000001); #130782=VECTOR('',#433893,0.175000000000001); #130783=VECTOR('',#433900,0.175000000000001); #130784=VECTOR('',#433907,0.175000000000001); #130785=VECTOR('',#433914,0.175000000000001); #130786=VECTOR('',#433921,34.); #130787=VECTOR('',#433936,10.); #130788=VECTOR('',#433937,10.); #130789=VECTOR('',#433938,10.); #130790=VECTOR('',#433939,10.); #130791=VECTOR('',#433942,1.); #130792=VECTOR('',#433943,10.); #130793=VECTOR('',#433944,1.); #130794=VECTOR('',#433947,1.); #130795=VECTOR('',#433948,1.); #130796=VECTOR('',#433949,1.); #130797=VECTOR('',#433950,1.); #130798=VECTOR('',#433951,1.); #130799=VECTOR('',#433952,1.); #130800=VECTOR('',#433953,1.); #130801=VECTOR('',#433954,1.); #130802=VECTOR('',#433955,1.); #130803=VECTOR('',#433956,1.); #130804=VECTOR('',#433957,1.); #130805=VECTOR('',#433958,1.); #130806=VECTOR('',#433959,1.); #130807=VECTOR('',#433960,1.); #130808=VECTOR('',#433961,1.); #130809=VECTOR('',#433962,1.); #130810=VECTOR('',#433963,1.); #130811=VECTOR('',#433964,1.); #130812=VECTOR('',#433965,1.); #130813=VECTOR('',#433966,1.); #130814=VECTOR('',#433967,1.); #130815=VECTOR('',#433968,1.); #130816=VECTOR('',#433969,10.); #130817=VECTOR('',#433970,10.); #130818=VECTOR('',#433973,10.); #130819=VECTOR('',#433974,10.); #130820=VECTOR('',#433977,10.); #130821=VECTOR('',#433978,1.); #130822=VECTOR('',#433979,1.); #130823=VECTOR('',#433982,1.); #130824=VECTOR('',#433983,10.); #130825=VECTOR('',#433984,10.); #130826=VECTOR('',#433985,10.); #130827=VECTOR('',#433986,10.); #130828=VECTOR('',#433989,1.); #130829=VECTOR('',#433990,1.); #130830=VECTOR('',#433991,1.); #130831=VECTOR('',#433992,1.); #130832=VECTOR('',#433993,1.); #130833=VECTOR('',#433994,1.); #130834=VECTOR('',#433995,1.); #130835=VECTOR('',#433996,1.); #130836=VECTOR('',#433997,1.); #130837=VECTOR('',#433998,1.); #130838=VECTOR('',#433999,1.); #130839=VECTOR('',#434000,1.); #130840=VECTOR('',#434001,1.); #130841=VECTOR('',#434002,1.); #130842=VECTOR('',#434003,1.); #130843=VECTOR('',#434004,1.); #130844=VECTOR('',#434005,1.); #130845=VECTOR('',#434006,1.); #130846=VECTOR('',#434007,1.); #130847=VECTOR('',#434008,1.); #130848=VECTOR('',#434009,1.); #130849=VECTOR('',#434012,1.); #130850=VECTOR('',#434013,1.); #130851=VECTOR('',#434014,1.); #130852=VECTOR('',#434015,1.); #130853=VECTOR('',#434016,1.); #130854=VECTOR('',#434017,1.); #130855=VECTOR('',#434018,1.); #130856=VECTOR('',#434021,1.); #130857=VECTOR('',#434022,1.); #130858=VECTOR('',#434023,1.); #130859=VECTOR('',#434024,1.); #130860=VECTOR('',#434025,1.); #130861=VECTOR('',#434026,1.); #130862=VECTOR('',#434027,1.); #130863=VECTOR('',#434030,1.); #130864=VECTOR('',#434031,1.); #130865=VECTOR('',#434032,1.); #130866=VECTOR('',#434033,1.); #130867=VECTOR('',#434034,1.); #130868=VECTOR('',#434035,1.); #130869=VECTOR('',#434036,1.); #130870=VECTOR('',#434039,1.); #130871=VECTOR('',#434040,1.); #130872=VECTOR('',#434041,1.); #130873=VECTOR('',#434044,1.); #130874=VECTOR('',#434045,1.); #130875=VECTOR('',#434046,1.); #130876=VECTOR('',#434049,1.); #130877=VECTOR('',#434050,1.); #130878=VECTOR('',#434051,1.); #130879=VECTOR('',#434052,1.); #130880=VECTOR('',#434053,1.); #130881=VECTOR('',#434054,1.); #130882=VECTOR('',#434055,1.); #130883=VECTOR('',#434058,1.); #130884=VECTOR('',#434059,1.); #130885=VECTOR('',#434060,1.); #130886=VECTOR('',#434063,1.); #130887=VECTOR('',#434064,1.); #130888=VECTOR('',#434065,1.); #130889=VECTOR('',#434066,1.); #130890=VECTOR('',#434067,1.); #130891=VECTOR('',#434068,1.); #130892=VECTOR('',#434069,1.); #130893=VECTOR('',#434070,1.); #130894=VECTOR('',#434071,1.); #130895=VECTOR('',#434072,1.); #130896=VECTOR('',#434073,1.); #130897=VECTOR('',#434074,1.); #130898=VECTOR('',#434077,1.); #130899=VECTOR('',#434078,1.); #130900=VECTOR('',#434085,1.00000000000064); #130901=VECTOR('',#434086,1.); #130902=VECTOR('',#434087,1.00000000000064); #130903=VECTOR('',#434088,1.); #130904=VECTOR('',#434091,1.); #130905=VECTOR('',#434094,1.); #130906=VECTOR('',#434097,1.); #130907=VECTOR('',#434106,1.); #130908=VECTOR('',#434107,1.); #130909=VECTOR('',#434108,1.); #130910=VECTOR('',#434109,1.); #130911=VECTOR('',#434112,1.); #130912=VECTOR('',#434113,1.); #130913=VECTOR('',#434114,1.); #130914=VECTOR('',#434117,1.); #130915=VECTOR('',#434118,1.); #130916=VECTOR('',#434119,1.); #130917=VECTOR('',#434122,1.); #130918=VECTOR('',#434125,1.); #130919=VECTOR('',#434128,1.); #130920=VECTOR('',#434129,1.); #130921=VECTOR('',#434130,1.); #130922=VECTOR('',#434133,1.); #130923=VECTOR('',#434134,1.); #130924=VECTOR('',#434135,1.); #130925=VECTOR('',#434138,1.); #130926=VECTOR('',#434141,1.); #130927=VECTOR('',#434144,1.); #130928=VECTOR('',#434145,1.); #130929=VECTOR('',#434146,1.); #130930=VECTOR('',#434147,1.); #130931=VECTOR('',#434150,0.999999999999891); #130932=VECTOR('',#434151,0.999999999999891); #130933=VECTOR('',#434154,0.999999999999891); #130934=VECTOR('',#434157,0.999999999999891); #130935=VECTOR('',#434162,0.999999999999891); #130936=VECTOR('',#434163,0.999999999999891); #130937=VECTOR('',#434166,0.999999999999891); #130938=VECTOR('',#434169,0.999999999999891); #130939=VECTOR('',#434180,1.); #130940=VECTOR('',#434183,1.); #130941=VECTOR('',#434188,1.); #130942=VECTOR('',#434189,1.); #130943=VECTOR('',#434190,1.); #130944=VECTOR('',#434191,1.); #130945=VECTOR('',#434194,1.); #130946=VECTOR('',#434195,1.); #130947=VECTOR('',#434196,1.); #130948=VECTOR('',#434199,1.); #130949=VECTOR('',#434200,1.); #130950=VECTOR('',#434201,1.); #130951=VECTOR('',#434204,1.); #130952=VECTOR('',#434207,1.); #130953=VECTOR('',#434210,1.); #130954=VECTOR('',#434211,1.); #130955=VECTOR('',#434212,1.); #130956=VECTOR('',#434215,1.); #130957=VECTOR('',#434216,1.); #130958=VECTOR('',#434217,1.); #130959=VECTOR('',#434220,1.); #130960=VECTOR('',#434223,1.); #130961=VECTOR('',#434226,1.); #130962=VECTOR('',#434227,1.); #130963=VECTOR('',#434228,1.); #130964=VECTOR('',#434229,1.); #130965=VECTOR('',#434232,1.); #130966=VECTOR('',#434233,1.); #130967=VECTOR('',#434234,1.); #130968=VECTOR('',#434235,1.); #130969=VECTOR('',#434238,1.); #130970=VECTOR('',#434239,1.); #130971=VECTOR('',#434240,1.); #130972=VECTOR('',#434243,1.); #130973=VECTOR('',#434244,1.); #130974=VECTOR('',#434245,1.); #130975=VECTOR('',#434248,1.); #130976=VECTOR('',#434251,1.); #130977=VECTOR('',#434254,1.); #130978=VECTOR('',#434255,1.); #130979=VECTOR('',#434256,1.); #130980=VECTOR('',#434259,1.); #130981=VECTOR('',#434260,1.); #130982=VECTOR('',#434261,1.); #130983=VECTOR('',#434264,1.); #130984=VECTOR('',#434267,1.); #130985=VECTOR('',#434270,1.); #130986=VECTOR('',#434271,1.); #130987=VECTOR('',#434272,1.); #130988=VECTOR('',#434273,1.); #130989=VECTOR('',#434276,1.); #130990=VECTOR('',#434277,1.); #130991=VECTOR('',#434278,1.); #130992=VECTOR('',#434279,1.); #130993=VECTOR('',#434282,1.); #130994=VECTOR('',#434283,1.); #130995=VECTOR('',#434284,1.); #130996=VECTOR('',#434287,1.); #130997=VECTOR('',#434288,1.); #130998=VECTOR('',#434289,1.); #130999=VECTOR('',#434292,1.); #131000=VECTOR('',#434295,1.); #131001=VECTOR('',#434298,1.); #131002=VECTOR('',#434299,1.); #131003=VECTOR('',#434300,1.); #131004=VECTOR('',#434303,1.); #131005=VECTOR('',#434304,1.); #131006=VECTOR('',#434305,1.); #131007=VECTOR('',#434308,1.); #131008=VECTOR('',#434311,1.); #131009=VECTOR('',#434314,1.); #131010=VECTOR('',#434315,1.); #131011=VECTOR('',#434316,1.); #131012=VECTOR('',#434317,1.); #131013=VECTOR('',#434320,0.999999999999891); #131014=VECTOR('',#434321,0.999999999999891); #131015=VECTOR('',#434324,0.999999999999891); #131016=VECTOR('',#434327,0.999999999999891); #131017=VECTOR('',#434332,0.999999999999891); #131018=VECTOR('',#434333,0.999999999999891); #131019=VECTOR('',#434336,0.999999999999891); #131020=VECTOR('',#434339,0.999999999999891); #131021=VECTOR('',#434344,0.999999999999891); #131022=VECTOR('',#434345,0.999999999999891); #131023=VECTOR('',#434348,0.999999999999891); #131024=VECTOR('',#434351,0.999999999999891); #131025=VECTOR('',#434356,0.999999999999891); #131026=VECTOR('',#434357,0.999999999999891); #131027=VECTOR('',#434360,0.999999999999891); #131028=VECTOR('',#434363,0.999999999999891); #131029=VECTOR('',#434368,0.999999999999891); #131030=VECTOR('',#434369,0.999999999999891); #131031=VECTOR('',#434372,0.999999999999891); #131032=VECTOR('',#434375,0.999999999999891); #131033=VECTOR('',#434380,0.999999999999891); #131034=VECTOR('',#434381,0.999999999999891); #131035=VECTOR('',#434384,0.999999999999891); #131036=VECTOR('',#434387,0.999999999999891); #131037=VECTOR('',#434440,10.); #131038=VECTOR('',#434441,10.); #131039=VECTOR('',#434460,10.); #131040=VECTOR('',#434461,10.); #131041=VECTOR('',#434464,10.); #131042=VECTOR('',#434465,10.); #131043=VECTOR('',#434476,10.); #131044=VECTOR('',#434477,10.); #131045=VECTOR('',#434480,10.); #131046=VECTOR('',#434481,10.); #131047=VECTOR('',#434484,10.); #131048=VECTOR('',#434485,10.); #131049=VECTOR('',#434488,10.); #131050=VECTOR('',#434489,10.); #131051=VECTOR('',#434492,10.); #131052=VECTOR('',#434493,10.); #131053=VECTOR('',#434496,10.); #131054=VECTOR('',#434497,10.); #131055=VECTOR('',#434500,10.); #131056=VECTOR('',#434501,10.); #131057=VECTOR('',#434504,10.); #131058=VECTOR('',#434505,10.); #131059=VECTOR('',#434508,10.); #131060=VECTOR('',#434509,10.); #131061=VECTOR('',#434564,10.); #131062=VECTOR('',#434565,10.); #131063=VECTOR('',#434584,10.); #131064=VECTOR('',#434585,10.); #131065=VECTOR('',#434588,10.); #131066=VECTOR('',#434589,10.); #131067=VECTOR('',#434600,10.); #131068=VECTOR('',#434601,10.); #131069=VECTOR('',#434604,10.); #131070=VECTOR('',#434605,10.); #131071=VECTOR('',#434608,10.); #131072=VECTOR('',#434609,10.); #131073=VECTOR('',#434612,10.); #131074=VECTOR('',#434613,10.); #131075=VECTOR('',#434616,10.); #131076=VECTOR('',#434617,10.); #131077=VECTOR('',#434620,10.); #131078=VECTOR('',#434621,10.); #131079=VECTOR('',#434624,10.); #131080=VECTOR('',#434625,10.); #131081=VECTOR('',#434628,10.); #131082=VECTOR('',#434629,10.); #131083=VECTOR('',#434632,10.); #131084=VECTOR('',#434633,10.); #131085=VECTOR('',#434648,10.); #131086=VECTOR('',#434649,10.); #131087=VECTOR('',#434650,10.); #131088=VECTOR('',#434651,10.); #131089=VECTOR('',#434654,10.); #131090=VECTOR('',#434655,10.); #131091=VECTOR('',#434656,10.); #131092=VECTOR('',#434659,10.); #131093=VECTOR('',#434660,10.); #131094=VECTOR('',#434661,10.); #131095=VECTOR('',#434664,10.); #131096=VECTOR('',#434665,10.); #131097=VECTOR('',#434672,10.); #131098=VECTOR('',#434673,10.); #131099=VECTOR('',#434674,10.); #131100=VECTOR('',#434675,10.); #131101=VECTOR('',#434678,10.); #131102=VECTOR('',#434679,10.); #131103=VECTOR('',#434680,10.); #131104=VECTOR('',#434681,10.); #131105=VECTOR('',#434684,10.); #131106=VECTOR('',#434685,10.); #131107=VECTOR('',#434688,10.); #131108=VECTOR('',#434691,10.); #131109=VECTOR('',#434696,10.); #131110=VECTOR('',#434697,10.); #131111=VECTOR('',#434698,10.); #131112=VECTOR('',#434699,10.); #131113=VECTOR('',#434702,10.); #131114=VECTOR('',#434703,10.); #131115=VECTOR('',#434704,10.); #131116=VECTOR('',#434707,10.); #131117=VECTOR('',#434708,10.); #131118=VECTOR('',#434709,10.); #131119=VECTOR('',#434712,10.); #131120=VECTOR('',#434713,10.); #131121=VECTOR('',#434730,1000.); #131122=VECTOR('',#434731,1000.); #131123=VECTOR('',#434732,1000.); #131124=VECTOR('',#434733,1000.); #131125=VECTOR('',#434734,1000.); #131126=VECTOR('',#434735,1000.); #131127=VECTOR('',#434736,1000.); #131128=VECTOR('',#434737,1000.); #131129=VECTOR('',#434738,1000.); #131130=VECTOR('',#434739,1000.); #131131=VECTOR('',#434740,999.999999999999); #131132=VECTOR('',#434741,1000.); #131133=VECTOR('',#434742,999.999999999999); #131134=VECTOR('',#434743,1000.); #131135=VECTOR('',#434744,1000.); #131136=VECTOR('',#434745,1000.); #131137=VECTOR('',#434748,1000.); #131138=VECTOR('',#434749,1000.); #131139=VECTOR('',#434750,1000.); #131140=VECTOR('',#434751,1000.); #131141=VECTOR('',#434756,1000.); #131142=VECTOR('',#434759,1000.); #131143=VECTOR('',#434762,1000.); #131144=VECTOR('',#434765,1000.); #131145=VECTOR('',#434770,1000.); #131146=VECTOR('',#434773,1000.); #131147=VECTOR('',#434776,1000.); #131148=VECTOR('',#434779,1000.); #131149=VECTOR('',#434782,1000.); #131150=VECTOR('',#434783,1000.); #131151=VECTOR('',#434786,1000.); #131152=VECTOR('',#434787,1000.); #131153=VECTOR('',#434790,1000.); #131154=VECTOR('',#434791,1000.); #131155=VECTOR('',#434792,1000.); #131156=VECTOR('',#434793,1000.); #131157=VECTOR('',#434794,1000.); #131158=VECTOR('',#434795,1000.); #131159=VECTOR('',#434796,1000.); #131160=VECTOR('',#434797,1000.); #131161=VECTOR('',#434798,1000.); #131162=VECTOR('',#434799,1000.); #131163=VECTOR('',#434800,1000.); #131164=VECTOR('',#434801,1000.); #131165=VECTOR('',#434802,1000.); #131166=VECTOR('',#434803,1000.); #131167=VECTOR('',#434804,1000.); #131168=VECTOR('',#434805,1000.); #131169=VECTOR('',#434808,1000.); #131170=VECTOR('',#434809,1000.); #131171=VECTOR('',#434810,1000.); #131172=VECTOR('',#434813,1000.); #131173=VECTOR('',#434814,1000.); #131174=VECTOR('',#434815,1000.); #131175=VECTOR('',#434816,1000.); #131176=VECTOR('',#434817,1000.); #131177=VECTOR('',#434818,1000.); #131178=VECTOR('',#434819,1000.); #131179=VECTOR('',#434820,1000.); #131180=VECTOR('',#434821,1000.); #131181=VECTOR('',#434822,1000.); #131182=VECTOR('',#434823,1000.); #131183=VECTOR('',#434824,1000.); #131184=VECTOR('',#434825,1000.); #131185=VECTOR('',#434826,1000.); #131186=VECTOR('',#434827,1000.); #131187=VECTOR('',#434828,1000.); #131188=VECTOR('',#434829,1000.); #131189=VECTOR('',#434830,1000.); #131190=VECTOR('',#434831,1000.); #131191=VECTOR('',#434834,1000.); #131192=VECTOR('',#434837,1000.); #131193=VECTOR('',#434838,1000.); #131194=VECTOR('',#434839,1000.); #131195=VECTOR('',#434844,1000.); #131196=VECTOR('',#434845,1000.); #131197=VECTOR('',#434846,1000.); #131198=VECTOR('',#434847,1000.); #131199=VECTOR('',#434852,1000.); #131200=VECTOR('',#434855,1000.); #131201=VECTOR('',#434860,1000.); #131202=VECTOR('',#434861,1000.); #131203=VECTOR('',#434862,1000.); #131204=VECTOR('',#434863,1000.); #131205=VECTOR('',#434866,1000.); #131206=VECTOR('',#434867,1000.); #131207=VECTOR('',#434868,1000.); #131208=VECTOR('',#434869,1000.); #131209=VECTOR('',#434872,1000.); #131210=VECTOR('',#434873,1000.); #131211=VECTOR('',#434874,1000.); #131212=VECTOR('',#434875,1000.); #131213=VECTOR('',#434878,1000.); #131214=VECTOR('',#434879,1000.); #131215=VECTOR('',#434880,1000.); #131216=VECTOR('',#434881,1000.); #131217=VECTOR('',#434884,1000.); #131218=VECTOR('',#434885,1000.); #131219=VECTOR('',#434886,1000.); #131220=VECTOR('',#434887,1000.); #131221=VECTOR('',#434890,1000.); #131222=VECTOR('',#434891,1000.); #131223=VECTOR('',#434892,1000.); #131224=VECTOR('',#434893,1000.); #131225=VECTOR('',#434896,1000.); #131226=VECTOR('',#434897,1000.); #131227=VECTOR('',#434898,1000.); #131228=VECTOR('',#434899,1000.); #131229=VECTOR('',#434902,1000.); #131230=VECTOR('',#434903,1000.); #131231=VECTOR('',#434904,1000.); #131232=VECTOR('',#434905,1000.); #131233=VECTOR('',#434908,1000.); #131234=VECTOR('',#434909,1000.); #131235=VECTOR('',#434910,1000.); #131236=VECTOR('',#434911,1000.); #131237=VECTOR('',#434914,1000.); #131238=VECTOR('',#434915,1000.); #131239=VECTOR('',#434916,1000.); #131240=VECTOR('',#434917,1000.); #131241=VECTOR('',#434920,1000.); #131242=VECTOR('',#434921,1000.); #131243=VECTOR('',#434922,1000.); #131244=VECTOR('',#434923,1000.); #131245=VECTOR('',#434926,1000.); #131246=VECTOR('',#434927,1000.); #131247=VECTOR('',#434928,1000.); #131248=VECTOR('',#434929,1000.); #131249=VECTOR('',#434932,1000.); #131250=VECTOR('',#434933,1000.); #131251=VECTOR('',#434934,1000.); #131252=VECTOR('',#434937,1000.); #131253=VECTOR('',#434938,1000.); #131254=VECTOR('',#434939,1000.); #131255=VECTOR('',#434942,1000.); #131256=VECTOR('',#434943,1000.); #131257=VECTOR('',#434944,1000.); #131258=VECTOR('',#434945,1000.); #131259=VECTOR('',#434948,1000.); #131260=VECTOR('',#434949,1000.); #131261=VECTOR('',#434952,1000.); #131262=VECTOR('',#434953,1000.); #131263=VECTOR('',#434954,1000.); #131264=VECTOR('',#434957,1000.); #131265=VECTOR('',#434958,1000.); #131266=VECTOR('',#434959,1000.); #131267=VECTOR('',#434962,1000.); #131268=VECTOR('',#434963,1000.); #131269=VECTOR('',#434964,1000.); #131270=VECTOR('',#434965,1000.); #131271=VECTOR('',#434968,1000.); #131272=VECTOR('',#434969,1000.); #131273=VECTOR('',#434972,1000.); #131274=VECTOR('',#434973,1000.); #131275=VECTOR('',#434974,1000.); #131276=VECTOR('',#434977,1000.); #131277=VECTOR('',#434978,1000.); #131278=VECTOR('',#434979,1000.); #131279=VECTOR('',#434982,1000.); #131280=VECTOR('',#434983,1000.); #131281=VECTOR('',#434984,1000.); #131282=VECTOR('',#434985,1000.); #131283=VECTOR('',#434988,1000.); #131284=VECTOR('',#434989,1000.); #131285=VECTOR('',#434992,1000.); #131286=VECTOR('',#434993,1000.); #131287=VECTOR('',#434994,1000.); #131288=VECTOR('',#434997,1000.); #131289=VECTOR('',#434998,1000.); #131290=VECTOR('',#434999,1000.); #131291=VECTOR('',#435002,1000.); #131292=VECTOR('',#435003,1000.); #131293=VECTOR('',#435004,1000.); #131294=VECTOR('',#435005,1000.); #131295=VECTOR('',#435008,1000.); #131296=VECTOR('',#435009,1000.); #131297=VECTOR('',#435012,1000.); #131298=VECTOR('',#435013,1000.); #131299=VECTOR('',#435014,1000.); #131300=VECTOR('',#435017,1000.); #131301=VECTOR('',#435018,1000.); #131302=VECTOR('',#435019,1000.); #131303=VECTOR('',#435022,1000.); #131304=VECTOR('',#435023,1000.); #131305=VECTOR('',#435024,1000.); #131306=VECTOR('',#435025,1000.); #131307=VECTOR('',#435028,1000.); #131308=VECTOR('',#435029,1000.); #131309=VECTOR('',#435032,1000.); #131310=VECTOR('',#435033,1000.); #131311=VECTOR('',#435034,1000.); #131312=VECTOR('',#435037,1000.); #131313=VECTOR('',#435038,1000.); #131314=VECTOR('',#435039,1000.); #131315=VECTOR('',#435042,1000.); #131316=VECTOR('',#435043,1000.); #131317=VECTOR('',#435044,1000.); #131318=VECTOR('',#435045,1000.); #131319=VECTOR('',#435048,1000.); #131320=VECTOR('',#435049,1000.); #131321=VECTOR('',#435052,1000.); #131322=VECTOR('',#435053,1000.); #131323=VECTOR('',#435054,1000.); #131324=VECTOR('',#435057,1000.); #131325=VECTOR('',#435058,1000.); #131326=VECTOR('',#435059,1000.); #131327=VECTOR('',#435062,1000.); #131328=VECTOR('',#435063,1000.); #131329=VECTOR('',#435064,1000.); #131330=VECTOR('',#435067,1000.); #131331=VECTOR('',#435068,1000.); #131332=VECTOR('',#435069,1000.); #131333=VECTOR('',#435072,1000.); #131334=VECTOR('',#435073,1000.); #131335=VECTOR('',#435074,1000.); #131336=VECTOR('',#435075,1000.); #131337=VECTOR('',#435078,1000.); #131338=VECTOR('',#435079,1000.); #131339=VECTOR('',#435082,1000.); #131340=VECTOR('',#435083,1000.); #131341=VECTOR('',#435084,1000.); #131342=VECTOR('',#435087,1000.); #131343=VECTOR('',#435088,1000.); #131344=VECTOR('',#435089,1000.); #131345=VECTOR('',#435092,1000.); #131346=VECTOR('',#435093,1000.); #131347=VECTOR('',#435094,1000.); #131348=VECTOR('',#435095,1000.); #131349=VECTOR('',#435098,1000.); #131350=VECTOR('',#435099,1000.); #131351=VECTOR('',#435102,1000.); #131352=VECTOR('',#435103,1000.); #131353=VECTOR('',#435104,1000.); #131354=VECTOR('',#435107,1000.); #131355=VECTOR('',#435108,1000.); #131356=VECTOR('',#435109,1000.); #131357=VECTOR('',#435112,1000.); #131358=VECTOR('',#435113,1000.); #131359=VECTOR('',#435114,1000.); #131360=VECTOR('',#435115,1000.); #131361=VECTOR('',#435118,1000.); #131362=VECTOR('',#435119,1000.); #131363=VECTOR('',#435122,1000.); #131364=VECTOR('',#435123,1000.); #131365=VECTOR('',#435124,1000.); #131366=VECTOR('',#435127,1000.); #131367=VECTOR('',#435128,1000.); #131368=VECTOR('',#435129,1000.); #131369=VECTOR('',#435132,1000.); #131370=VECTOR('',#435133,1000.); #131371=VECTOR('',#435134,1000.); #131372=VECTOR('',#435135,1000.); #131373=VECTOR('',#435138,1000.); #131374=VECTOR('',#435139,1000.); #131375=VECTOR('',#435142,1000.); #131376=VECTOR('',#435143,1000.); #131377=VECTOR('',#435144,1000.); #131378=VECTOR('',#435147,1000.); #131379=VECTOR('',#435148,1000.); #131380=VECTOR('',#435149,1000.); #131381=VECTOR('',#435152,1000.); #131382=VECTOR('',#435153,1000.); #131383=VECTOR('',#435154,1000.); #131384=VECTOR('',#435155,1000.); #131385=VECTOR('',#435158,1000.); #131386=VECTOR('',#435159,1000.); #131387=VECTOR('',#435162,1000.); #131388=VECTOR('',#435163,1000.); #131389=VECTOR('',#435164,1000.); #131390=VECTOR('',#435167,1000.); #131391=VECTOR('',#435168,1000.); #131392=VECTOR('',#435169,1000.); #131393=VECTOR('',#435172,1000.); #131394=VECTOR('',#435173,1000.); #131395=VECTOR('',#435174,1000.); #131396=VECTOR('',#435175,1000.); #131397=VECTOR('',#435178,1000.); #131398=VECTOR('',#435179,1000.); #131399=VECTOR('',#435180,1000.); #131400=VECTOR('',#435181,1000.); #131401=VECTOR('',#435182,1000.); #131402=VECTOR('',#435185,1000.); #131403=VECTOR('',#435186,1000.); #131404=VECTOR('',#435187,1000.); #131405=VECTOR('',#435190,1000.); #131406=VECTOR('',#435191,1000.); #131407=VECTOR('',#435192,1000.); #131408=VECTOR('',#435193,1000.); #131409=VECTOR('',#435194,1000.); #131410=VECTOR('',#435195,1000.); #131411=VECTOR('',#435196,1000.); #131412=VECTOR('',#435197,1000.); #131413=VECTOR('',#435198,1000.); #131414=VECTOR('',#435199,1000.); #131415=VECTOR('',#435200,1000.); #131416=VECTOR('',#435201,1000.); #131417=VECTOR('',#435202,1000.); #131418=VECTOR('',#435205,1000.); #131419=VECTOR('',#435206,1000.); #131420=VECTOR('',#435207,1000.); #131421=VECTOR('',#435208,1000.); #131422=VECTOR('',#435209,1000.); #131423=VECTOR('',#435212,1000.); #131424=VECTOR('',#435213,1000.); #131425=VECTOR('',#435214,1000.); #131426=VECTOR('',#435215,1000.); #131427=VECTOR('',#435216,1000.); #131428=VECTOR('',#435219,1000.); #131429=VECTOR('',#435222,1000.); #131430=VECTOR('',#435227,1000.); #131431=VECTOR('',#435228,1000.); #131432=VECTOR('',#435229,1000.); #131433=VECTOR('',#435232,1000.); #131434=VECTOR('',#435233,1000.); #131435=VECTOR('',#435234,1000.); #131436=VECTOR('',#435235,1000.); #131437=VECTOR('',#435238,1000.); #131438=VECTOR('',#435239,1000.); #131439=VECTOR('',#435240,1000.); #131440=VECTOR('',#435241,1000.); #131441=VECTOR('',#435242,1000.); #131442=VECTOR('',#435243,1000.); #131443=VECTOR('',#435244,1000.); #131444=VECTOR('',#435245,1000.); #131445=VECTOR('',#435246,1000.); #131446=VECTOR('',#435247,1000.); #131447=VECTOR('',#435248,1000.); #131448=VECTOR('',#435249,1000.); #131449=VECTOR('',#435250,1000.); #131450=VECTOR('',#435251,1000.); #131451=VECTOR('',#435254,1000.); #131452=VECTOR('',#435255,1000.); #131453=VECTOR('',#435256,1000.); #131454=VECTOR('',#435257,1000.); #131455=VECTOR('',#435260,1000.); #131456=VECTOR('',#435261,1000.); #131457=VECTOR('',#435262,1000.); #131458=VECTOR('',#435265,1000.); #131459=VECTOR('',#435266,1000.); #131460=VECTOR('',#435267,1000.); #131461=VECTOR('',#435270,1000.); #131462=VECTOR('',#435273,1000.); #131463=VECTOR('',#435274,1000.); #131464=VECTOR('',#435275,1000.); #131465=VECTOR('',#435282,1000.); #131466=VECTOR('',#435283,1000.); #131467=VECTOR('',#435286,1000.); #131468=VECTOR('',#435287,1000.); #131469=VECTOR('',#435290,1000.); #131470=VECTOR('',#435291,1000.); #131471=VECTOR('',#435292,1000.); #131472=VECTOR('',#435293,1000.); #131473=VECTOR('',#435294,1000.); #131474=VECTOR('',#435297,1000.); #131475=VECTOR('',#435302,1000.); #131476=VECTOR('',#435303,1000.); #131477=VECTOR('',#435304,1000.); #131478=VECTOR('',#435305,1000.); #131479=VECTOR('',#435308,1000.); #131480=VECTOR('',#435309,1000.); #131481=VECTOR('',#435312,1000.); #131482=VECTOR('',#435313,1000.); #131483=VECTOR('',#435316,1000.); #131484=VECTOR('',#435317,1000.); #131485=VECTOR('',#435322,1000.); #131486=VECTOR('',#435327,1000.); #131487=VECTOR('',#435328,1000.); #131488=VECTOR('',#435329,1000.); #131489=VECTOR('',#435330,1000.); #131490=VECTOR('',#435339,1000.); #131491=VECTOR('',#435344,1000.); #131492=VECTOR('',#435345,1000.); #131493=VECTOR('',#435346,1000.); #131494=VECTOR('',#435349,1000.); #131495=VECTOR('',#435350,1000.); #131496=VECTOR('',#435351,1000.); #131497=VECTOR('',#435352,1000.); #131498=VECTOR('',#435353,1000.); #131499=VECTOR('',#435354,1000.); #131500=VECTOR('',#435355,1000.); #131501=VECTOR('',#435356,1000.); #131502=VECTOR('',#435357,1000.); #131503=VECTOR('',#435358,1000.); #131504=VECTOR('',#435363,1000.); #131505=VECTOR('',#435366,1000.); #131506=VECTOR('',#435371,1000.); #131507=VECTOR('',#435372,1000.); #131508=VECTOR('',#435373,1000.); #131509=VECTOR('',#435376,1000.); #131510=VECTOR('',#435379,1000.); #131511=VECTOR('',#435386,1000.); #131512=VECTOR('',#435387,1000.); #131513=VECTOR('',#435388,1000.); #131514=VECTOR('',#435389,1000.); #131515=VECTOR('',#435390,1000.); #131516=VECTOR('',#435391,1000.); #131517=VECTOR('',#435392,1000.); #131518=VECTOR('',#435395,1000.); #131519=VECTOR('',#435398,1000.); #131520=VECTOR('',#435401,1000.); #131521=VECTOR('',#435404,1000.); #131522=VECTOR('',#435407,1000.); #131523=VECTOR('',#435408,1000.); #131524=VECTOR('',#435409,1000.); #131525=VECTOR('',#435410,1000.); #131526=VECTOR('',#435413,1000.); #131527=VECTOR('',#435414,1000.); #131528=VECTOR('',#435415,1000.); #131529=VECTOR('',#435418,1000.); #131530=VECTOR('',#435419,1000.); #131531=VECTOR('',#435420,1000.); #131532=VECTOR('',#435427,1000.); #131533=VECTOR('',#435430,1000.); #131534=VECTOR('',#435431,1000.); #131535=VECTOR('',#435432,1000.); #131536=VECTOR('',#435435,1000.); #131537=VECTOR('',#435436,1000.); #131538=VECTOR('',#435437,1000.); #131539=VECTOR('',#435440,1000.); #131540=VECTOR('',#435441,1000.); #131541=VECTOR('',#435442,1000.); #131542=VECTOR('',#435443,1000.); #131543=VECTOR('',#435446,1000.); #131544=VECTOR('',#435447,1000.); #131545=VECTOR('',#435448,1000.); #131546=VECTOR('',#435449,1000.); #131547=VECTOR('',#435452,1000.); #131548=VECTOR('',#435453,1000.); #131549=VECTOR('',#435454,1000.); #131550=VECTOR('',#435463,1000.); #131551=VECTOR('',#435464,1000.); #131552=VECTOR('',#435465,1000.); #131553=VECTOR('',#435468,1000.); #131554=VECTOR('',#435469,1000.); #131555=VECTOR('',#435470,1000.); #131556=VECTOR('',#435473,1000.); #131557=VECTOR('',#435474,1000.); #131558=VECTOR('',#435477,1000.); #131559=VECTOR('',#435480,1000.); #131560=VECTOR('',#435483,1000.); #131561=VECTOR('',#435486,1000.); #131562=VECTOR('',#435489,1000.); #131563=VECTOR('',#435492,1000.); #131564=VECTOR('',#435497,1000.); #131565=VECTOR('',#435500,1000.); #131566=VECTOR('',#435503,1000.); #131567=VECTOR('',#435508,1000.); #131568=VECTOR('',#435529,1000.); #131569=VECTOR('',#435532,1000.); #131570=VECTOR('',#435537,1000.); #131571=VECTOR('',#435540,1000.); #131572=VECTOR('',#435545,1000.); #131573=VECTOR('',#435548,1000.); #131574=VECTOR('',#435549,1000.); #131575=VECTOR('',#435550,1000.); #131576=VECTOR('',#435551,1000.); #131577=VECTOR('',#435554,1000.); #131578=VECTOR('',#435559,1000.); #131579=VECTOR('',#435560,1000.); #131580=VECTOR('',#435561,1000.); #131581=VECTOR('',#435562,1000.); #131582=VECTOR('',#435563,1000.); #131583=VECTOR('',#435564,1000.); #131584=VECTOR('',#435567,1000.); #131585=VECTOR('',#435568,1000.); #131586=VECTOR('',#435569,1000.); #131587=VECTOR('',#435570,1000.); #131588=VECTOR('',#435573,1000.); #131589=VECTOR('',#435574,1000.); #131590=VECTOR('',#435577,1000.); #131591=VECTOR('',#435580,1000.); #131592=VECTOR('',#435581,1000.); #131593=VECTOR('',#435582,1000.); #131594=VECTOR('',#435583,1000.); #131595=VECTOR('',#435586,1000.); #131596=VECTOR('',#435591,1000.); #131597=VECTOR('',#435594,1000.); #131598=VECTOR('',#435599,1000.); #131599=VECTOR('',#435602,1000.); #131600=VECTOR('',#435603,1000.); #131601=VECTOR('',#435606,1000.); #131602=VECTOR('',#435607,1000.); #131603=VECTOR('',#435608,1000.); #131604=VECTOR('',#435609,1000.); #131605=VECTOR('',#435610,1000.); #131606=VECTOR('',#435613,1000.); #131607=VECTOR('',#435616,1000.); #131608=VECTOR('',#435625,0.25); #131609=VECTOR('',#435634,0.25); #131610=VECTOR('',#435643,0.15); #131611=VECTOR('',#435646,0.25); #131612=VECTOR('',#435651,1000.); #131613=VECTOR('',#435652,1000.); #131614=VECTOR('',#435659,1000.); #131615=VECTOR('',#435664,1000.); #131616=VECTOR('',#435667,1000.); #131617=VECTOR('',#435672,1000.); #131618=VECTOR('',#435673,1000.); #131619=VECTOR('',#435674,1000.); #131620=VECTOR('',#435675,1000.); #131621=VECTOR('',#435676,1000.); #131622=VECTOR('',#435677,1000.); #131623=VECTOR('',#435678,1000.); #131624=VECTOR('',#435679,1000.); #131625=VECTOR('',#435680,1000.); #131626=VECTOR('',#435681,1000.); #131627=VECTOR('',#435682,1000.); #131628=VECTOR('',#435683,1000.); #131629=VECTOR('',#435686,1000.); #131630=VECTOR('',#435691,1000.); #131631=VECTOR('',#435696,1000.); #131632=VECTOR('',#435701,1000.); #131633=VECTOR('',#435706,1000.); #131634=VECTOR('',#435711,1000.); #131635=VECTOR('',#435716,1000.); #131636=VECTOR('',#435721,1000.); #131637=VECTOR('',#435726,1000.); #131638=VECTOR('',#435731,1000.); #131639=VECTOR('',#435736,1000.); #131640=VECTOR('',#435741,1000.); #131641=VECTOR('',#435746,1000.); #131642=VECTOR('',#435747,1000.); #131643=VECTOR('',#435748,1000.); #131644=VECTOR('',#435749,1000.); #131645=VECTOR('',#435750,1000.); #131646=VECTOR('',#435753,1000.); #131647=VECTOR('',#435754,1000.); #131648=VECTOR('',#435757,1000.); #131649=VECTOR('',#435758,1000.); #131650=VECTOR('',#435759,1000.); #131651=VECTOR('',#435760,1000.); #131652=VECTOR('',#435763,1000.); #131653=VECTOR('',#435764,1000.); #131654=VECTOR('',#435765,1000.); #131655=VECTOR('',#435766,1000.); #131656=VECTOR('',#435769,1000.); #131657=VECTOR('',#435772,1000.); #131658=VECTOR('',#435773,1000.); #131659=VECTOR('',#435774,1000.); #131660=VECTOR('',#435777,1000.); #131661=VECTOR('',#435778,1000.); #131662=VECTOR('',#435779,1000.); #131663=VECTOR('',#435780,1000.); #131664=VECTOR('',#435781,1000.); #131665=VECTOR('',#435784,1000.); #131666=VECTOR('',#435785,1000.); #131667=VECTOR('',#435786,1000.); #131668=VECTOR('',#435787,1000.); #131669=VECTOR('',#435788,1000.); #131670=VECTOR('',#435789,1000.); #131671=VECTOR('',#435790,1000.); #131672=VECTOR('',#435791,1000.); #131673=VECTOR('',#435792,1000.); #131674=VECTOR('',#435793,1000.); #131675=VECTOR('',#435794,1000.); #131676=VECTOR('',#435795,1000.); #131677=VECTOR('',#435796,1000.); #131678=VECTOR('',#435797,1000.); #131679=VECTOR('',#435798,1000.); #131680=VECTOR('',#435801,1000.); #131681=VECTOR('',#435802,1000.); #131682=VECTOR('',#435805,1000.); #131683=VECTOR('',#435806,1000.); #131684=VECTOR('',#435809,1000.); #131685=VECTOR('',#435810,1000.); #131686=VECTOR('',#435815,1000.); #131687=VECTOR('',#435816,1000.); #131688=VECTOR('',#435819,1000.); #131689=VECTOR('',#435820,1000.); #131690=VECTOR('',#435823,1000.); #131691=VECTOR('',#435824,1000.); #131692=VECTOR('',#435829,1000.); #131693=VECTOR('',#435830,1000.); #131694=VECTOR('',#435833,1000.); #131695=VECTOR('',#435834,1000.); #131696=VECTOR('',#435837,1000.); #131697=VECTOR('',#435838,1000.); #131698=VECTOR('',#435841,1000.); #131699=VECTOR('',#435842,1000.); #131700=VECTOR('',#435845,1000.); #131701=VECTOR('',#435846,1000.); #131702=VECTOR('',#435849,1000.); #131703=VECTOR('',#435850,1000.); #131704=VECTOR('',#435853,1000.); #131705=VECTOR('',#435854,1000.); #131706=VECTOR('',#435857,1000.); #131707=VECTOR('',#435858,1000.); #131708=VECTOR('',#435861,1000.); #131709=VECTOR('',#435862,1000.); #131710=VECTOR('',#435865,1000.); #131711=VECTOR('',#435866,1000.); #131712=VECTOR('',#435869,1000.); #131713=VECTOR('',#435870,1000.); #131714=VECTOR('',#435873,1000.); #131715=VECTOR('',#435874,1000.); #131716=VECTOR('',#435877,1000.); #131717=VECTOR('',#435878,1000.); #131718=VECTOR('',#435881,1000.); #131719=VECTOR('',#435882,1000.); #131720=VECTOR('',#435885,1000.); #131721=VECTOR('',#435888,1000.); #131722=VECTOR('',#435889,1000.); #131723=VECTOR('',#435892,1000.); #131724=VECTOR('',#435893,1000.); #131725=VECTOR('',#435896,1000.); #131726=VECTOR('',#435897,1000.); #131727=VECTOR('',#435900,1000.); #131728=VECTOR('',#435901,1000.); #131729=VECTOR('',#435904,1000.); #131730=VECTOR('',#435905,1000.); #131731=VECTOR('',#435908,1000.); #131732=VECTOR('',#435909,1000.); #131733=VECTOR('',#435912,1000.); #131734=VECTOR('',#435913,1000.); #131735=VECTOR('',#435916,1000.); #131736=VECTOR('',#435917,1000.); #131737=VECTOR('',#435920,1000.); #131738=VECTOR('',#435921,1000.); #131739=VECTOR('',#435924,1000.); #131740=VECTOR('',#435925,1000.); #131741=VECTOR('',#435928,1000.); #131742=VECTOR('',#435929,1000.); #131743=VECTOR('',#435932,1000.); #131744=VECTOR('',#435933,1000.); #131745=VECTOR('',#435936,1000.); #131746=VECTOR('',#435937,1000.); #131747=VECTOR('',#435940,1000.); #131748=VECTOR('',#435941,1000.); #131749=VECTOR('',#435944,1000.); #131750=VECTOR('',#435949,1000.); #131751=VECTOR('',#435952,1000.); #131752=VECTOR('',#435957,1000.); #131753=VECTOR('',#435960,1000.); #131754=VECTOR('',#435967,1000.); #131755=VECTOR('',#435976,1000.); #131756=VECTOR('',#435977,1000.); #131757=VECTOR('',#435978,1000.); #131758=VECTOR('',#435979,1000.); #131759=VECTOR('',#435982,1000.); #131760=VECTOR('',#435985,1000.); #131761=VECTOR('',#435988,1000.); #131762=VECTOR('',#435989,1000.); #131763=VECTOR('',#435990,1000.); #131764=VECTOR('',#435993,1000.); #131765=VECTOR('',#435996,1000.); #131766=VECTOR('',#435999,1000.); #131767=VECTOR('',#436000,1000.); #131768=VECTOR('',#436001,1000.); #131769=VECTOR('',#436004,1000.); #131770=VECTOR('',#436007,1000.); #131771=VECTOR('',#436008,1000.); #131772=VECTOR('',#436009,1000.); #131773=VECTOR('',#436012,1000.); #131774=VECTOR('',#436017,1000.); #131775=VECTOR('',#436018,1000.); #131776=VECTOR('',#436019,1000.); #131777=VECTOR('',#436022,1000.); #131778=VECTOR('',#436023,1000.); #131779=VECTOR('',#436024,1000.); #131780=VECTOR('',#436025,1000.); #131781=VECTOR('',#436026,1000.); #131782=VECTOR('',#436029,1000.); #131783=VECTOR('',#436030,1000.); #131784=VECTOR('',#436031,1000.); #131785=VECTOR('',#436034,1000.); #131786=VECTOR('',#436035,1000.); #131787=VECTOR('',#436036,1000.); #131788=VECTOR('',#436037,1000.); #131789=VECTOR('',#436040,1000.); #131790=VECTOR('',#436041,1000.); #131791=VECTOR('',#436044,1000.); #131792=VECTOR('',#436045,1000.); #131793=VECTOR('',#436048,1000.); #131794=VECTOR('',#436049,1000.); #131795=VECTOR('',#436052,1000.); #131796=VECTOR('',#436055,1000.); #131797=VECTOR('',#436058,1000.); #131798=VECTOR('',#436063,1000.); #131799=VECTOR('',#436066,1000.); #131800=VECTOR('',#436069,1000.); #131801=VECTOR('',#436080,1000.); #131802=VECTOR('',#436081,1000.); #131803=VECTOR('',#436082,1000.); #131804=VECTOR('',#436083,1000.); #131805=VECTOR('',#436086,1000.); #131806=VECTOR('',#436089,1000.); #131807=VECTOR('',#436092,1000.); #131808=VECTOR('',#436093,1000.); #131809=VECTOR('',#436094,1000.); #131810=VECTOR('',#436097,1000.); #131811=VECTOR('',#436100,1000.); #131812=VECTOR('',#436103,1000.); #131813=VECTOR('',#436104,1000.); #131814=VECTOR('',#436105,1000.); #131815=VECTOR('',#436108,1000.); #131816=VECTOR('',#436111,1000.); #131817=VECTOR('',#436112,1000.); #131818=VECTOR('',#436113,1000.); #131819=VECTOR('',#436116,1000.); #131820=VECTOR('',#436121,1000.); #131821=VECTOR('',#436122,1000.); #131822=VECTOR('',#436123,1000.); #131823=VECTOR('',#436126,1000.); #131824=VECTOR('',#436127,1000.); #131825=VECTOR('',#436128,1000.); #131826=VECTOR('',#436129,1000.); #131827=VECTOR('',#436130,1000.); #131828=VECTOR('',#436133,1000.); #131829=VECTOR('',#436134,1000.); #131830=VECTOR('',#436135,1000.); #131831=VECTOR('',#436138,1000.); #131832=VECTOR('',#436139,1000.); #131833=VECTOR('',#436140,1000.); #131834=VECTOR('',#436141,1000.); #131835=VECTOR('',#436144,1000.); #131836=VECTOR('',#436145,1000.); #131837=VECTOR('',#436148,1000.); #131838=VECTOR('',#436149,1000.); #131839=VECTOR('',#436152,1000.); #131840=VECTOR('',#436153,1000.); #131841=VECTOR('',#436156,1000.); #131842=VECTOR('',#436159,1000.); #131843=VECTOR('',#436162,1000.); #131844=VECTOR('',#436167,1000.); #131845=VECTOR('',#436170,1000.); #131846=VECTOR('',#436173,1000.); #131847=VECTOR('',#436184,1000.); #131848=VECTOR('',#436185,1000.); #131849=VECTOR('',#436186,1000.); #131850=VECTOR('',#436187,1000.); #131851=VECTOR('',#436190,1000.); #131852=VECTOR('',#436193,1000.); #131853=VECTOR('',#436196,1000.); #131854=VECTOR('',#436197,1000.); #131855=VECTOR('',#436198,1000.); #131856=VECTOR('',#436201,1000.); #131857=VECTOR('',#436204,1000.); #131858=VECTOR('',#436207,1000.); #131859=VECTOR('',#436208,1000.); #131860=VECTOR('',#436209,1000.); #131861=VECTOR('',#436212,1000.); #131862=VECTOR('',#436215,1000.); #131863=VECTOR('',#436216,1000.); #131864=VECTOR('',#436217,1000.); #131865=VECTOR('',#436220,1000.); #131866=VECTOR('',#436225,1000.); #131867=VECTOR('',#436226,1000.); #131868=VECTOR('',#436227,1000.); #131869=VECTOR('',#436230,1000.); #131870=VECTOR('',#436231,1000.); #131871=VECTOR('',#436232,1000.); #131872=VECTOR('',#436233,1000.); #131873=VECTOR('',#436234,1000.); #131874=VECTOR('',#436237,1000.); #131875=VECTOR('',#436238,1000.); #131876=VECTOR('',#436239,1000.); #131877=VECTOR('',#436242,1000.); #131878=VECTOR('',#436243,1000.); #131879=VECTOR('',#436244,1000.); #131880=VECTOR('',#436245,1000.); #131881=VECTOR('',#436248,1000.); #131882=VECTOR('',#436249,1000.); #131883=VECTOR('',#436252,1000.); #131884=VECTOR('',#436253,1000.); #131885=VECTOR('',#436256,1000.); #131886=VECTOR('',#436257,1000.); #131887=VECTOR('',#436260,1000.); #131888=VECTOR('',#436263,1000.); #131889=VECTOR('',#436266,1000.); #131890=VECTOR('',#436271,1000.); #131891=VECTOR('',#436274,1000.); #131892=VECTOR('',#436277,1000.); #131893=VECTOR('',#436288,1000.); #131894=VECTOR('',#436289,1000.); #131895=VECTOR('',#436290,1000.); #131896=VECTOR('',#436291,1000.); #131897=VECTOR('',#436294,1000.); #131898=VECTOR('',#436297,1000.); #131899=VECTOR('',#436300,1000.); #131900=VECTOR('',#436301,1000.); #131901=VECTOR('',#436302,1000.); #131902=VECTOR('',#436305,1000.); #131903=VECTOR('',#436308,1000.); #131904=VECTOR('',#436311,1000.); #131905=VECTOR('',#436312,1000.); #131906=VECTOR('',#436313,1000.); #131907=VECTOR('',#436316,1000.); #131908=VECTOR('',#436319,1000.); #131909=VECTOR('',#436320,1000.); #131910=VECTOR('',#436321,1000.); #131911=VECTOR('',#436324,1000.); #131912=VECTOR('',#436329,1000.); #131913=VECTOR('',#436330,1000.); #131914=VECTOR('',#436331,1000.); #131915=VECTOR('',#436334,1000.); #131916=VECTOR('',#436335,1000.); #131917=VECTOR('',#436336,1000.); #131918=VECTOR('',#436337,1000.); #131919=VECTOR('',#436338,1000.); #131920=VECTOR('',#436341,1000.); #131921=VECTOR('',#436342,1000.); #131922=VECTOR('',#436343,1000.); #131923=VECTOR('',#436346,1000.); #131924=VECTOR('',#436347,1000.); #131925=VECTOR('',#436348,1000.); #131926=VECTOR('',#436349,1000.); #131927=VECTOR('',#436352,1000.); #131928=VECTOR('',#436353,1000.); #131929=VECTOR('',#436356,1000.); #131930=VECTOR('',#436357,1000.); #131931=VECTOR('',#436360,1000.); #131932=VECTOR('',#436361,1000.); #131933=VECTOR('',#436364,1000.); #131934=VECTOR('',#436367,1000.); #131935=VECTOR('',#436370,1000.); #131936=VECTOR('',#436375,1000.); #131937=VECTOR('',#436378,1000.); #131938=VECTOR('',#436381,1000.); #131939=VECTOR('',#436392,1000.); #131940=VECTOR('',#436393,1000.); #131941=VECTOR('',#436394,1000.); #131942=VECTOR('',#436395,1000.); #131943=VECTOR('',#436398,1000.); #131944=VECTOR('',#436401,1000.); #131945=VECTOR('',#436404,1000.); #131946=VECTOR('',#436405,1000.); #131947=VECTOR('',#436406,1000.); #131948=VECTOR('',#436409,1000.); #131949=VECTOR('',#436412,1000.); #131950=VECTOR('',#436415,1000.); #131951=VECTOR('',#436416,1000.); #131952=VECTOR('',#436417,1000.); #131953=VECTOR('',#436420,1000.); #131954=VECTOR('',#436423,1000.); #131955=VECTOR('',#436424,1000.); #131956=VECTOR('',#436425,1000.); #131957=VECTOR('',#436428,1000.); #131958=VECTOR('',#436433,1000.); #131959=VECTOR('',#436434,1000.); #131960=VECTOR('',#436435,1000.); #131961=VECTOR('',#436438,1000.); #131962=VECTOR('',#436439,1000.); #131963=VECTOR('',#436440,1000.); #131964=VECTOR('',#436441,1000.); #131965=VECTOR('',#436442,1000.); #131966=VECTOR('',#436445,1000.); #131967=VECTOR('',#436446,1000.); #131968=VECTOR('',#436447,1000.); #131969=VECTOR('',#436450,1000.); #131970=VECTOR('',#436451,1000.); #131971=VECTOR('',#436452,1000.); #131972=VECTOR('',#436453,1000.); #131973=VECTOR('',#436456,1000.); #131974=VECTOR('',#436457,1000.); #131975=VECTOR('',#436460,1000.); #131976=VECTOR('',#436461,1000.); #131977=VECTOR('',#436464,1000.); #131978=VECTOR('',#436465,1000.); #131979=VECTOR('',#436468,1000.); #131980=VECTOR('',#436471,1000.); #131981=VECTOR('',#436474,1000.); #131982=VECTOR('',#436479,1000.); #131983=VECTOR('',#436482,1000.); #131984=VECTOR('',#436485,1000.); #131985=VECTOR('',#436496,999.999999999999); #131986=VECTOR('',#436497,1000.); #131987=VECTOR('',#436498,999.999999999999); #131988=VECTOR('',#436499,1000.); #131989=VECTOR('',#436502,1000.); #131990=VECTOR('',#436505,1000.); #131991=VECTOR('',#436508,1000.); #131992=VECTOR('',#436509,1000.); #131993=VECTOR('',#436510,1000.); #131994=VECTOR('',#436513,1000.); #131995=VECTOR('',#436516,1000.); #131996=VECTOR('',#436519,1000.); #131997=VECTOR('',#436520,1000.); #131998=VECTOR('',#436521,1000.); #131999=VECTOR('',#436524,1000.); #132000=VECTOR('',#436527,1000.); #132001=VECTOR('',#436528,1000.); #132002=VECTOR('',#436529,1000.); #132003=VECTOR('',#436532,1000.); #132004=VECTOR('',#436537,1000.); #132005=VECTOR('',#436538,1000.); #132006=VECTOR('',#436539,1000.); #132007=VECTOR('',#436542,1000.); #132008=VECTOR('',#436543,1000.); #132009=VECTOR('',#436544,1000.); #132010=VECTOR('',#436545,1000.); #132011=VECTOR('',#436546,1000.); #132012=VECTOR('',#436549,1000.); #132013=VECTOR('',#436550,1000.); #132014=VECTOR('',#436551,1000.); #132015=VECTOR('',#436554,1000.); #132016=VECTOR('',#436555,1000.); #132017=VECTOR('',#436556,1000.); #132018=VECTOR('',#436557,1000.); #132019=VECTOR('',#436560,1000.); #132020=VECTOR('',#436561,1000.); #132021=VECTOR('',#436564,1000.); #132022=VECTOR('',#436565,1000.); #132023=VECTOR('',#436568,1000.); #132024=VECTOR('',#436569,1000.); #132025=VECTOR('',#436572,1000.); #132026=VECTOR('',#436575,1000.); #132027=VECTOR('',#436578,1000.); #132028=VECTOR('',#436583,1000.); #132029=VECTOR('',#436586,1000.); #132030=VECTOR('',#436589,1000.); #132031=VECTOR('',#436600,1000.); #132032=VECTOR('',#436601,1000.); #132033=VECTOR('',#436602,1000.); #132034=VECTOR('',#436603,1000.); #132035=VECTOR('',#436606,1000.); #132036=VECTOR('',#436609,1000.); #132037=VECTOR('',#436612,1000.); #132038=VECTOR('',#436613,1000.); #132039=VECTOR('',#436614,1000.); #132040=VECTOR('',#436617,1000.); #132041=VECTOR('',#436620,1000.); #132042=VECTOR('',#436623,1000.); #132043=VECTOR('',#436624,1000.); #132044=VECTOR('',#436625,1000.); #132045=VECTOR('',#436628,1000.); #132046=VECTOR('',#436631,1000.); #132047=VECTOR('',#436632,1000.); #132048=VECTOR('',#436633,1000.); #132049=VECTOR('',#436636,1000.); #132050=VECTOR('',#436641,1000.); #132051=VECTOR('',#436642,1000.); #132052=VECTOR('',#436643,1000.); #132053=VECTOR('',#436646,1000.); #132054=VECTOR('',#436647,1000.); #132055=VECTOR('',#436648,1000.); #132056=VECTOR('',#436649,1000.); #132057=VECTOR('',#436650,1000.); #132058=VECTOR('',#436653,1000.); #132059=VECTOR('',#436654,1000.); #132060=VECTOR('',#436655,1000.); #132061=VECTOR('',#436658,1000.); #132062=VECTOR('',#436659,1000.); #132063=VECTOR('',#436660,1000.); #132064=VECTOR('',#436661,1000.); #132065=VECTOR('',#436664,1000.); #132066=VECTOR('',#436665,1000.); #132067=VECTOR('',#436668,1000.); #132068=VECTOR('',#436669,1000.); #132069=VECTOR('',#436672,1000.); #132070=VECTOR('',#436673,1000.); #132071=VECTOR('',#436676,1000.); #132072=VECTOR('',#436679,1000.); #132073=VECTOR('',#436682,1000.); #132074=VECTOR('',#436687,1000.); #132075=VECTOR('',#436690,1000.); #132076=VECTOR('',#436693,1000.); #132077=VECTOR('',#436704,1000.); #132078=VECTOR('',#436705,1000.); #132079=VECTOR('',#436706,1000.); #132080=VECTOR('',#436707,1000.); #132081=VECTOR('',#436710,1000.); #132082=VECTOR('',#436711,1000.); #132083=VECTOR('',#436714,1000.); #132084=VECTOR('',#436717,1000.); #132085=VECTOR('',#436718,1000.); #132086=VECTOR('',#436719,1000.); #132087=VECTOR('',#436722,1000.); #132088=VECTOR('',#436727,1000.); #132089=VECTOR('',#436730,1000.); #132090=VECTOR('',#436733,1000.); #132091=VECTOR('',#436734,1000.); #132092=VECTOR('',#436735,1000.); #132093=VECTOR('',#436738,1000.); #132094=VECTOR('',#436741,1000.); #132095=VECTOR('',#436742,1000.); #132096=VECTOR('',#436745,1000.); #132097=VECTOR('',#436746,1000.); #132098=VECTOR('',#436747,1000.); #132099=VECTOR('',#436750,1000.); #132100=VECTOR('',#436751,1000.); #132101=VECTOR('',#436752,1000.); #132102=VECTOR('',#436753,1000.); #132103=VECTOR('',#436754,1000.); #132104=VECTOR('',#436757,1000.); #132105=VECTOR('',#436758,1000.); #132106=VECTOR('',#436759,1000.); #132107=VECTOR('',#436762,1000.); #132108=VECTOR('',#436763,1000.); #132109=VECTOR('',#436764,1000.); #132110=VECTOR('',#436765,1000.); #132111=VECTOR('',#436768,1000.); #132112=VECTOR('',#436769,1000.); #132113=VECTOR('',#436772,1000.); #132114=VECTOR('',#436773,1000.); #132115=VECTOR('',#436776,1000.); #132116=VECTOR('',#436777,1000.); #132117=VECTOR('',#436780,1000.); #132118=VECTOR('',#436783,1000.); #132119=VECTOR('',#436786,1000.); #132120=VECTOR('',#436791,1000.); #132121=VECTOR('',#436794,1000.); #132122=VECTOR('',#436797,1000.); #132123=VECTOR('',#436808,1000.); #132124=VECTOR('',#436809,1000.); #132125=VECTOR('',#436810,1000.); #132126=VECTOR('',#436811,1000.); #132127=VECTOR('',#436814,1000.); #132128=VECTOR('',#436815,1000.); #132129=VECTOR('',#436818,1000.); #132130=VECTOR('',#436821,1000.); #132131=VECTOR('',#436822,1000.); #132132=VECTOR('',#436823,1000.); #132133=VECTOR('',#436826,1000.); #132134=VECTOR('',#436831,1000.); #132135=VECTOR('',#436834,1000.); #132136=VECTOR('',#436837,1000.); #132137=VECTOR('',#436838,1000.); #132138=VECTOR('',#436839,1000.); #132139=VECTOR('',#436842,1000.); #132140=VECTOR('',#436845,1000.); #132141=VECTOR('',#436846,1000.); #132142=VECTOR('',#436849,1000.); #132143=VECTOR('',#436850,1000.); #132144=VECTOR('',#436851,1000.); #132145=VECTOR('',#436854,1000.); #132146=VECTOR('',#436855,1000.); #132147=VECTOR('',#436856,1000.); #132148=VECTOR('',#436857,1000.); #132149=VECTOR('',#436858,1000.); #132150=VECTOR('',#436861,1000.); #132151=VECTOR('',#436862,1000.); #132152=VECTOR('',#436863,1000.); #132153=VECTOR('',#436866,1000.); #132154=VECTOR('',#436867,1000.); #132155=VECTOR('',#436868,1000.); #132156=VECTOR('',#436869,1000.); #132157=VECTOR('',#436872,1000.); #132158=VECTOR('',#436873,1000.); #132159=VECTOR('',#436876,1000.); #132160=VECTOR('',#436877,1000.); #132161=VECTOR('',#436880,1000.); #132162=VECTOR('',#436881,1000.); #132163=VECTOR('',#436884,1000.); #132164=VECTOR('',#436887,1000.); #132165=VECTOR('',#436890,1000.); #132166=VECTOR('',#436895,1000.); #132167=VECTOR('',#436898,1000.); #132168=VECTOR('',#436901,1000.); #132169=VECTOR('',#436912,999.999999999999); #132170=VECTOR('',#436913,1000.); #132171=VECTOR('',#436914,999.999999999999); #132172=VECTOR('',#436915,1000.); #132173=VECTOR('',#436918,1000.); #132174=VECTOR('',#436919,1000.); #132175=VECTOR('',#436922,1000.); #132176=VECTOR('',#436925,1000.); #132177=VECTOR('',#436926,1000.); #132178=VECTOR('',#436927,1000.); #132179=VECTOR('',#436930,1000.); #132180=VECTOR('',#436935,1000.); #132181=VECTOR('',#436938,1000.); #132182=VECTOR('',#436941,1000.); #132183=VECTOR('',#436942,1000.); #132184=VECTOR('',#436943,1000.); #132185=VECTOR('',#436946,1000.); #132186=VECTOR('',#436949,1000.); #132187=VECTOR('',#436950,1000.); #132188=VECTOR('',#436953,1000.); #132189=VECTOR('',#436954,1000.); #132190=VECTOR('',#436955,1000.); #132191=VECTOR('',#436958,1000.); #132192=VECTOR('',#436959,1000.); #132193=VECTOR('',#436960,1000.); #132194=VECTOR('',#436961,1000.); #132195=VECTOR('',#436962,1000.); #132196=VECTOR('',#436965,1000.); #132197=VECTOR('',#436966,1000.); #132198=VECTOR('',#436967,1000.); #132199=VECTOR('',#436970,1000.); #132200=VECTOR('',#436971,1000.); #132201=VECTOR('',#436972,1000.); #132202=VECTOR('',#436973,1000.); #132203=VECTOR('',#436976,1000.); #132204=VECTOR('',#436977,1000.); #132205=VECTOR('',#436980,1000.); #132206=VECTOR('',#436981,1000.); #132207=VECTOR('',#436984,1000.); #132208=VECTOR('',#436985,1000.); #132209=VECTOR('',#436988,1000.); #132210=VECTOR('',#436991,1000.); #132211=VECTOR('',#436994,1000.); #132212=VECTOR('',#436999,1000.); #132213=VECTOR('',#437002,1000.); #132214=VECTOR('',#437005,1000.); #132215=VECTOR('',#437016,1000.); #132216=VECTOR('',#437017,1000.); #132217=VECTOR('',#437018,1000.); #132218=VECTOR('',#437019,1000.); #132219=VECTOR('',#437022,1000.); #132220=VECTOR('',#437023,1000.); #132221=VECTOR('',#437026,1000.); #132222=VECTOR('',#437029,1000.); #132223=VECTOR('',#437030,1000.); #132224=VECTOR('',#437031,1000.); #132225=VECTOR('',#437034,1000.); #132226=VECTOR('',#437039,1000.); #132227=VECTOR('',#437042,1000.); #132228=VECTOR('',#437045,1000.); #132229=VECTOR('',#437046,1000.); #132230=VECTOR('',#437047,1000.); #132231=VECTOR('',#437050,1000.); #132232=VECTOR('',#437053,1000.); #132233=VECTOR('',#437054,1000.); #132234=VECTOR('',#437057,1000.); #132235=VECTOR('',#437058,1000.); #132236=VECTOR('',#437059,1000.); #132237=VECTOR('',#437062,1000.); #132238=VECTOR('',#437063,1000.); #132239=VECTOR('',#437064,1000.); #132240=VECTOR('',#437065,1000.); #132241=VECTOR('',#437066,1000.); #132242=VECTOR('',#437069,1000.); #132243=VECTOR('',#437070,1000.); #132244=VECTOR('',#437071,1000.); #132245=VECTOR('',#437074,1000.); #132246=VECTOR('',#437075,1000.); #132247=VECTOR('',#437076,1000.); #132248=VECTOR('',#437077,1000.); #132249=VECTOR('',#437080,1000.); #132250=VECTOR('',#437081,1000.); #132251=VECTOR('',#437084,1000.); #132252=VECTOR('',#437085,1000.); #132253=VECTOR('',#437088,1000.); #132254=VECTOR('',#437089,1000.); #132255=VECTOR('',#437092,1000.); #132256=VECTOR('',#437095,1000.); #132257=VECTOR('',#437098,1000.); #132258=VECTOR('',#437103,1000.); #132259=VECTOR('',#437106,1000.); #132260=VECTOR('',#437109,1000.); #132261=VECTOR('',#437120,1000.); #132262=VECTOR('',#437121,1000.); #132263=VECTOR('',#437122,1000.); #132264=VECTOR('',#437123,1000.); #132265=VECTOR('',#437126,1000.); #132266=VECTOR('',#437127,1000.); #132267=VECTOR('',#437130,1000.); #132268=VECTOR('',#437133,1000.); #132269=VECTOR('',#437134,1000.); #132270=VECTOR('',#437135,1000.); #132271=VECTOR('',#437138,1000.); #132272=VECTOR('',#437143,1000.); #132273=VECTOR('',#437146,1000.); #132274=VECTOR('',#437149,1000.); #132275=VECTOR('',#437150,1000.); #132276=VECTOR('',#437151,1000.); #132277=VECTOR('',#437154,1000.); #132278=VECTOR('',#437157,1000.); #132279=VECTOR('',#437158,1000.); #132280=VECTOR('',#437161,1000.); #132281=VECTOR('',#437162,1000.); #132282=VECTOR('',#437163,1000.); #132283=VECTOR('',#437166,1000.); #132284=VECTOR('',#437167,1000.); #132285=VECTOR('',#437168,1000.); #132286=VECTOR('',#437169,1000.); #132287=VECTOR('',#437170,1000.); #132288=VECTOR('',#437173,1000.); #132289=VECTOR('',#437174,1000.); #132290=VECTOR('',#437175,1000.); #132291=VECTOR('',#437178,1000.); #132292=VECTOR('',#437179,1000.); #132293=VECTOR('',#437180,1000.); #132294=VECTOR('',#437181,1000.); #132295=VECTOR('',#437184,1000.); #132296=VECTOR('',#437185,1000.); #132297=VECTOR('',#437188,1000.); #132298=VECTOR('',#437189,1000.); #132299=VECTOR('',#437192,1000.); #132300=VECTOR('',#437193,1000.); #132301=VECTOR('',#437196,1000.); #132302=VECTOR('',#437199,1000.); #132303=VECTOR('',#437202,1000.); #132304=VECTOR('',#437207,1000.); #132305=VECTOR('',#437210,1000.); #132306=VECTOR('',#437213,1000.); #132307=VECTOR('',#437224,1000.); #132308=VECTOR('',#437225,1000.); #132309=VECTOR('',#437226,1000.); #132310=VECTOR('',#437227,1000.); #132311=VECTOR('',#437230,1000.); #132312=VECTOR('',#437231,1000.); #132313=VECTOR('',#437234,1000.); #132314=VECTOR('',#437237,1000.); #132315=VECTOR('',#437238,1000.); #132316=VECTOR('',#437239,1000.); #132317=VECTOR('',#437242,1000.); #132318=VECTOR('',#437247,1000.); #132319=VECTOR('',#437250,1000.); #132320=VECTOR('',#437253,1000.); #132321=VECTOR('',#437254,1000.); #132322=VECTOR('',#437255,1000.); #132323=VECTOR('',#437258,1000.); #132324=VECTOR('',#437261,1000.); #132325=VECTOR('',#437262,1000.); #132326=VECTOR('',#437265,1000.); #132327=VECTOR('',#437266,1000.); #132328=VECTOR('',#437267,1000.); #132329=VECTOR('',#437270,1000.); #132330=VECTOR('',#437271,1000.); #132331=VECTOR('',#437272,1000.); #132332=VECTOR('',#437273,1000.); #132333=VECTOR('',#437274,1000.); #132334=VECTOR('',#437277,1000.); #132335=VECTOR('',#437278,1000.); #132336=VECTOR('',#437279,1000.); #132337=VECTOR('',#437282,1000.); #132338=VECTOR('',#437283,1000.); #132339=VECTOR('',#437284,1000.); #132340=VECTOR('',#437285,1000.); #132341=VECTOR('',#437288,1000.); #132342=VECTOR('',#437289,1000.); #132343=VECTOR('',#437292,1000.); #132344=VECTOR('',#437293,1000.); #132345=VECTOR('',#437296,1000.); #132346=VECTOR('',#437297,1000.); #132347=VECTOR('',#437300,1000.); #132348=VECTOR('',#437303,1000.); #132349=VECTOR('',#437306,1000.); #132350=VECTOR('',#437311,1000.); #132351=VECTOR('',#437314,1000.); #132352=VECTOR('',#437317,1000.); #132353=VECTOR('',#437328,1000.); #132354=VECTOR('',#437329,1000.); #132355=VECTOR('',#437330,1000.); #132356=VECTOR('',#437331,1000.); #132357=VECTOR('',#437334,1000.); #132358=VECTOR('',#437335,1000.); #132359=VECTOR('',#437338,1000.); #132360=VECTOR('',#437341,1000.); #132361=VECTOR('',#437342,1000.); #132362=VECTOR('',#437343,1000.); #132363=VECTOR('',#437346,1000.); #132364=VECTOR('',#437351,1000.); #132365=VECTOR('',#437354,1000.); #132366=VECTOR('',#437357,1000.); #132367=VECTOR('',#437358,1000.); #132368=VECTOR('',#437359,1000.); #132369=VECTOR('',#437362,1000.); #132370=VECTOR('',#437365,1000.); #132371=VECTOR('',#437366,1000.); #132372=VECTOR('',#437369,1000.); #132373=VECTOR('',#437370,1000.); #132374=VECTOR('',#437371,1000.); #132375=VECTOR('',#437374,1000.); #132376=VECTOR('',#437375,1000.); #132377=VECTOR('',#437376,1000.); #132378=VECTOR('',#437377,1000.); #132379=VECTOR('',#437378,1000.); #132380=VECTOR('',#437381,1000.); #132381=VECTOR('',#437382,1000.); #132382=VECTOR('',#437383,1000.); #132383=VECTOR('',#437386,1000.); #132384=VECTOR('',#437387,1000.); #132385=VECTOR('',#437388,1000.); #132386=VECTOR('',#437389,1000.); #132387=VECTOR('',#437392,1000.); #132388=VECTOR('',#437393,1000.); #132389=VECTOR('',#437396,1000.); #132390=VECTOR('',#437397,1000.); #132391=VECTOR('',#437400,1000.); #132392=VECTOR('',#437401,1000.); #132393=VECTOR('',#437404,1000.); #132394=VECTOR('',#437407,1000.); #132395=VECTOR('',#437410,1000.); #132396=VECTOR('',#437415,1000.); #132397=VECTOR('',#437418,1000.); #132398=VECTOR('',#437421,1000.); #132399=VECTOR('',#437432,1000.); #132400=VECTOR('',#437433,1000.); #132401=VECTOR('',#437434,1000.); #132402=VECTOR('',#437435,1000.); #132403=VECTOR('',#437438,1000.); #132404=VECTOR('',#437439,1000.); #132405=VECTOR('',#437440,1000.); #132406=VECTOR('',#437443,1000.); #132407=VECTOR('',#437444,1000.); #132408=VECTOR('',#437445,1000.); #132409=VECTOR('',#437448,1000.); #132410=VECTOR('',#437449,1000.); #132411=VECTOR('',#437450,1000.); #132412=VECTOR('',#437455,1000.); #132413=VECTOR('',#437460,1000.); #132414=VECTOR('',#437461,1000.); #132415=VECTOR('',#437462,1000.); #132416=VECTOR('',#437465,1000.); #132417=VECTOR('',#437466,1000.); #132418=VECTOR('',#437467,1000.); #132419=VECTOR('',#437470,1000.); #132420=VECTOR('',#437471,1000.); #132421=VECTOR('',#437472,1000.); #132422=VECTOR('',#437477,1000.); #132423=VECTOR('',#437482,1000.); #132424=VECTOR('',#437483,1000.); #132425=VECTOR('',#437484,1000.); #132426=VECTOR('',#437489,1000.); #132427=VECTOR('',#437496,1000.); #132428=VECTOR('',#437501,1000.); #132429=VECTOR('',#437502,1000.); #132430=VECTOR('',#437503,1000.); #132431=VECTOR('',#437508,1000.); #132432=VECTOR('',#437513,1000.); #132433=VECTOR('',#437514,1000.); #132434=VECTOR('',#437515,1000.); #132435=VECTOR('',#437520,1000.); #132436=VECTOR('',#437525,1000.); #132437=VECTOR('',#437526,1000.); #132438=VECTOR('',#437527,1000.); #132439=VECTOR('',#437530,1000.); #132440=VECTOR('',#437531,1000.); #132441=VECTOR('',#437532,1000.); #132442=VECTOR('',#437535,1000.); #132443=VECTOR('',#437536,1000.); #132444=VECTOR('',#437537,1000.); #132445=VECTOR('',#437540,1000.); #132446=VECTOR('',#437541,1000.); #132447=VECTOR('',#437542,1000.); #132448=VECTOR('',#437545,1000.); #132449=VECTOR('',#437546,1000.); #132450=VECTOR('',#437547,1000.); #132451=VECTOR('',#437550,1000.); #132452=VECTOR('',#437551,1000.); #132453=VECTOR('',#437552,1000.); #132454=VECTOR('',#437555,1000.); #132455=VECTOR('',#437556,1000.); #132456=VECTOR('',#437557,1000.); #132457=VECTOR('',#437562,1000.); #132458=VECTOR('',#437567,1000.); #132459=VECTOR('',#437568,1000.); #132460=VECTOR('',#437569,1000.); #132461=VECTOR('',#437574,1000.); #132462=VECTOR('',#437579,1000.); #132463=VECTOR('',#437580,1000.); #132464=VECTOR('',#437581,1000.); #132465=VECTOR('',#437586,1000.); #132466=VECTOR('',#437593,1000.); #132467=VECTOR('',#437598,1000.); #132468=VECTOR('',#437599,1000.); #132469=VECTOR('',#437600,1000.); #132470=VECTOR('',#437605,1000.); #132471=VECTOR('',#437610,1000.); #132472=VECTOR('',#437611,1000.); #132473=VECTOR('',#437612,1000.); #132474=VECTOR('',#437615,1000.); #132475=VECTOR('',#437616,1000.); #132476=VECTOR('',#437617,1000.); #132477=VECTOR('',#437620,1000.); #132478=VECTOR('',#437621,1000.); #132479=VECTOR('',#437622,1000.); #132480=VECTOR('',#437627,1000.); #132481=VECTOR('',#437632,1000.); #132482=VECTOR('',#437633,1000.); #132483=VECTOR('',#437642,1000.); #132484=VECTOR('',#437643,1000.); #132485=VECTOR('',#437644,1000.); #132486=VECTOR('',#437645,1000.); #132487=VECTOR('',#437648,1000.); #132488=VECTOR('',#437649,1000.); #132489=VECTOR('',#437650,1000.); #132490=VECTOR('',#437651,1000.); #132491=VECTOR('',#437656,1000.); #132492=VECTOR('',#437659,1000.); #132493=VECTOR('',#437662,1000.); #132494=VECTOR('',#437665,1000.); #132495=VECTOR('',#437668,1000.); #132496=VECTOR('',#437671,1000.); #132497=VECTOR('',#437674,1000.); #132498=VECTOR('',#437677,1000.); #132499=VECTOR('',#437678,1000.); #132500=VECTOR('',#437679,1000.); #132501=VECTOR('',#437680,1000.); #132502=VECTOR('',#437683,1000.); #132503=VECTOR('',#437684,1000.); #132504=VECTOR('',#437687,1000.); #132505=VECTOR('',#437690,1000.); #132506=VECTOR('',#437695,1000.); #132507=VECTOR('',#437698,1000.); #132508=VECTOR('',#437701,1000.); #132509=VECTOR('',#437704,1000.); #132510=VECTOR('',#437705,1000.); #132511=VECTOR('',#437708,1000.); #132512=VECTOR('',#437709,1000.); #132513=VECTOR('',#437710,1000.); #132514=VECTOR('',#437711,1000.); #132515=VECTOR('',#437712,1000.); #132516=VECTOR('',#437713,1000.); #132517=VECTOR('',#437714,1000.); #132518=VECTOR('',#437715,1000.); #132519=VECTOR('',#437716,1000.); #132520=VECTOR('',#437717,1000.); #132521=VECTOR('',#437720,1000.); #132522=VECTOR('',#437723,1000.); #132523=VECTOR('',#437724,1000.); #132524=VECTOR('',#437727,1000.); #132525=VECTOR('',#437728,1000.); #132526=VECTOR('',#437731,1000.); #132527=VECTOR('',#437734,1000.); #132528=VECTOR('',#437737,1000.); #132529=VECTOR('',#437742,1000.); #132530=VECTOR('',#437745,1000.); #132531=VECTOR('',#437748,1000.); #132532=VECTOR('',#437749,1000.); #132533=VECTOR('',#437752,1000.); #132534=VECTOR('',#437753,1000.); #132535=VECTOR('',#437754,1000.); #132536=VECTOR('',#437755,1000.); #132537=VECTOR('',#437758,1000.); #132538=VECTOR('',#437761,1000.); #132539=VECTOR('',#437764,1000.); #132540=VECTOR('',#437767,1000.); #132541=VECTOR('',#437770,1000.); #132542=VECTOR('',#437773,1000.); #132543=VECTOR('',#437776,1000.); #132544=VECTOR('',#437777,1000.); #132545=VECTOR('',#437778,1000.); #132546=VECTOR('',#437779,1000.); #132547=VECTOR('',#437780,1000.); #132548=VECTOR('',#437781,1000.); #132549=VECTOR('',#437782,1000.); #132550=VECTOR('',#437783,1000.); #132551=VECTOR('',#437786,1000.); #132552=VECTOR('',#437787,1000.); #132553=VECTOR('',#437790,1000.); #132554=VECTOR('',#437791,1000.); #132555=VECTOR('',#437792,1000.); #132556=VECTOR('',#437797,1000.); #132557=VECTOR('',#437798,1000.); #132558=VECTOR('',#437801,1000.); #132559=VECTOR('',#437806,1000.); #132560=VECTOR('',#437807,1000.); #132561=VECTOR('',#437810,1000.); #132562=VECTOR('',#437815,1000.); #132563=VECTOR('',#437816,1000.); #132564=VECTOR('',#437819,1000.); #132565=VECTOR('',#437820,1000.); #132566=VECTOR('',#437821,1000.); #132567=VECTOR('',#437822,1000.); #132568=VECTOR('',#437823,1000.); #132569=VECTOR('',#437824,1000.); #132570=VECTOR('',#437825,1000.); #132571=VECTOR('',#437826,1000.); #132572=VECTOR('',#437827,1000.); #132573=VECTOR('',#437828,1000.); #132574=VECTOR('',#437829,1000.); #132575=VECTOR('',#437830,1000.); #132576=VECTOR('',#437831,1000.); #132577=VECTOR('',#437832,1000.); #132578=VECTOR('',#437833,1000.); #132579=VECTOR('',#437834,1000.); #132580=VECTOR('',#437835,1000.); #132581=VECTOR('',#437836,1000.); #132582=VECTOR('',#437837,1000.); #132583=VECTOR('',#437838,1000.); #132584=VECTOR('',#437839,1000.); #132585=VECTOR('',#437840,1000.); #132586=VECTOR('',#437843,1000.); #132587=VECTOR('',#437846,1000.); #132588=VECTOR('',#437851,1000.); #132589=VECTOR('',#437854,1000.); #132590=VECTOR('',#437857,1000.); #132591=VECTOR('',#437860,1000.); #132592=VECTOR('',#437863,1000.); #132593=VECTOR('',#437864,1000.); #132594=VECTOR('',#437865,1000.); #132595=VECTOR('',#437870,1000.); #132596=VECTOR('',#437871,1000.); #132597=VECTOR('',#437872,1000.); #132598=VECTOR('',#437873,1000.); #132599=VECTOR('',#437874,1000.); #132600=VECTOR('',#437877,1000.); #132601=VECTOR('',#437880,1000.); #132602=VECTOR('',#437883,1000.); #132603=VECTOR('',#437886,1000.); #132604=VECTOR('',#437889,1000.); #132605=VECTOR('',#437896,1000.); #132606=VECTOR('',#437899,1000.); #132607=VECTOR('',#437902,1000.); #132608=VECTOR('',#437903,1000.); #132609=VECTOR('',#437904,1000.); #132610=VECTOR('',#437905,1000.); #132611=VECTOR('',#437906,1000.); #132612=VECTOR('',#437907,1000.); #132613=VECTOR('',#437910,1000.); #132614=VECTOR('',#437913,1000.); #132615=VECTOR('',#437914,1000.); #132616=VECTOR('',#437915,1000.); #132617=VECTOR('',#437918,1000.); #132618=VECTOR('',#437919,1000.); #132619=VECTOR('',#437924,1000.); #132620=VECTOR('',#437927,1000.); #132621=VECTOR('',#437930,1000.); #132622=VECTOR('',#437933,1000.); #132623=VECTOR('',#437938,1000.); #132624=VECTOR('',#437941,1000.); #132625=VECTOR('',#437944,1000.); #132626=VECTOR('',#437945,1000.); #132627=VECTOR('',#437948,1000.); #132628=VECTOR('',#437949,1000.); #132629=VECTOR('',#437950,1000.); #132630=VECTOR('',#437951,1000.); #132631=VECTOR('',#437954,1000.); #132632=VECTOR('',#437957,1000.); #132633=VECTOR('',#437960,1000.); #132634=VECTOR('',#437965,1000.); #132635=VECTOR('',#437968,1000.); #132636=VECTOR('',#437971,1000.); #132637=VECTOR('',#437974,1000.); #132638=VECTOR('',#437975,1000.); #132639=VECTOR('',#437976,1000.); #132640=VECTOR('',#437977,1000.); #132641=VECTOR('',#437978,1000.); #132642=VECTOR('',#437979,1000.); #132643=VECTOR('',#437980,1000.); #132644=VECTOR('',#437981,1000.); #132645=VECTOR('',#437982,1000.); #132646=VECTOR('',#437985,1000.); #132647=VECTOR('',#437986,1000.); #132648=VECTOR('',#437987,1000.); #132649=VECTOR('',#437990,1000.); #132650=VECTOR('',#437991,1000.); #132651=VECTOR('',#437992,1000.); #132652=VECTOR('',#437995,1000.); #132653=VECTOR('',#437996,1000.); #132654=VECTOR('',#437997,1000.); #132655=VECTOR('',#438000,1000.); #132656=VECTOR('',#438001,1000.); #132657=VECTOR('',#438002,1000.); #132658=VECTOR('',#438011,1000.); #132659=VECTOR('',#438012,1000.); #132660=VECTOR('',#438013,1000.); #132661=VECTOR('',#438016,1000.); #132662=VECTOR('',#438019,1000.); #132663=VECTOR('',#438020,1000.); #132664=VECTOR('',#438025,1000.); #132665=VECTOR('',#438026,1000.); #132666=VECTOR('',#438027,1000.); #132667=VECTOR('',#438028,1000.); #132668=VECTOR('',#438033,1000.); #132669=VECTOR('',#438034,1000.); #132670=VECTOR('',#438035,1000.); #132671=VECTOR('',#438038,1000.); #132672=VECTOR('',#438041,1000.); #132673=VECTOR('',#438044,1000.); #132674=VECTOR('',#438047,1000.); #132675=VECTOR('',#438052,1000.); #132676=VECTOR('',#438055,1000.); #132677=VECTOR('',#438056,1000.); #132678=VECTOR('',#438057,1000.); #132679=VECTOR('',#438060,1000.); #132680=VECTOR('',#438063,1000.); #132681=VECTOR('',#438070,1000.); #132682=VECTOR('',#438073,1000.); #132683=VECTOR('',#438076,1000.); #132684=VECTOR('',#438079,1000.); #132685=VECTOR('',#438082,1000.); #132686=VECTOR('',#438085,1000.); #132687=VECTOR('',#438086,1000.); #132688=VECTOR('',#438087,1000.); #132689=VECTOR('',#438088,1000.); #132690=VECTOR('',#438089,1000.); #132691=VECTOR('',#438092,1000.); #132692=VECTOR('',#438093,1000.); #132693=VECTOR('',#438094,1000.); #132694=VECTOR('',#438097,1000.); #132695=VECTOR('',#438098,1000.); #132696=VECTOR('',#438101,1000.); #132697=VECTOR('',#438102,1000.); #132698=VECTOR('',#438105,1000.); #132699=VECTOR('',#438106,1000.); #132700=VECTOR('',#438107,1000.); #132701=VECTOR('',#438110,1000.); #132702=VECTOR('',#438111,1000.); #132703=VECTOR('',#438112,1000.); #132704=VECTOR('',#438115,1000.); #132705=VECTOR('',#438116,1000.); #132706=VECTOR('',#438117,1000.); #132707=VECTOR('',#438118,1000.); #132708=VECTOR('',#438119,1000.); #132709=VECTOR('',#438122,1000.); #132710=VECTOR('',#438125,1000.); #132711=VECTOR('',#438128,1000.); #132712=VECTOR('',#438131,1000.); #132713=VECTOR('',#438134,1000.); #132714=VECTOR('',#438141,1000.); #132715=VECTOR('',#438144,1000.); #132716=VECTOR('',#438147,1000.); #132717=VECTOR('',#438148,1000.); #132718=VECTOR('',#438149,1000.); #132719=VECTOR('',#438152,1000.); #132720=VECTOR('',#438157,1000.); #132721=VECTOR('',#438160,1000.); #132722=VECTOR('',#438163,1000.); #132723=VECTOR('',#438166,1000.); #132724=VECTOR('',#438169,1000.); #132725=VECTOR('',#438170,1000.); #132726=VECTOR('',#438171,1000.); #132727=VECTOR('',#438172,1000.); #132728=VECTOR('',#438173,1000.); #132729=VECTOR('',#438176,1000.); #132730=VECTOR('',#438183,1000.); #132731=VECTOR('',#438184,1000.); #132732=VECTOR('',#438191,1000.); #132733=VECTOR('',#438194,1000.); #132734=VECTOR('',#438197,1000.); #132735=VECTOR('',#438200,1000.); #132736=VECTOR('',#438203,1000.); #132737=VECTOR('',#438208,1000.); #132738=VECTOR('',#438211,1000.); #132739=VECTOR('',#438214,1000.); #132740=VECTOR('',#438217,1000.); #132741=VECTOR('',#438218,1000.); #132742=VECTOR('',#438219,1000.); #132743=VECTOR('',#438220,1000.); #132744=VECTOR('',#438223,1000.); #132745=VECTOR('',#438224,1000.); #132746=VECTOR('',#438227,1000.); #132747=VECTOR('',#438230,1000.); #132748=VECTOR('',#438235,1000.); #132749=VECTOR('',#438238,1000.); #132750=VECTOR('',#438241,1000.); #132751=VECTOR('',#438244,1000.); #132752=VECTOR('',#438245,1000.); #132753=VECTOR('',#438246,1000.); #132754=VECTOR('',#438247,1000.); #132755=VECTOR('',#438248,1000.); #132756=VECTOR('',#438249,1000.); #132757=VECTOR('',#438268,1000.); #132758=VECTOR('',#438273,1000.); #132759=VECTOR('',#438290,1000.); #132760=VECTOR('',#438291,1000.); #132761=VECTOR('',#438294,1000.); #132762=VECTOR('',#438295,1000.); #132763=VECTOR('',#438296,1000.); #132764=VECTOR('',#438299,1000.); #132765=VECTOR('',#438302,1000.); #132766=VECTOR('',#438307,1000.); #132767=VECTOR('',#438308,1000.); #132768=VECTOR('',#438311,1000.); #132769=VECTOR('',#438314,1000.); #132770=VECTOR('',#438317,1000.); #132771=VECTOR('',#438320,1000.); #132772=VECTOR('',#438323,1000.); #132773=VECTOR('',#438326,1000.); #132774=VECTOR('',#438329,1000.); #132775=VECTOR('',#438346,1000.); #132776=VECTOR('',#438347,1000.); #132777=VECTOR('',#438348,1000.); #132778=VECTOR('',#438351,1000.); #132779=VECTOR('',#438354,1000.); #132780=VECTOR('',#438357,1000.); #132781=VECTOR('',#438360,1000.); #132782=VECTOR('',#438365,1000.); #132783=VECTOR('',#438368,1000.); #132784=VECTOR('',#438369,1000.); #132785=VECTOR('',#438372,1000.); #132786=VECTOR('',#438375,1000.); #132787=VECTOR('',#438382,1000.); #132788=VECTOR('',#438385,1000.); #132789=VECTOR('',#438388,1000.); #132790=VECTOR('',#438391,1000.); #132791=VECTOR('',#438394,1000.); #132792=VECTOR('',#438397,1000.); #132793=VECTOR('',#438398,1000.); #132794=VECTOR('',#438399,1000.); #132795=VECTOR('',#438400,1000.); #132796=VECTOR('',#438403,1000.); #132797=VECTOR('',#438408,1000.); #132798=VECTOR('',#438411,1000.); #132799=VECTOR('',#438414,1000.); #132800=VECTOR('',#438415,1000.); #132801=VECTOR('',#438418,1000.); #132802=VECTOR('',#438419,1000.); #132803=VECTOR('',#438420,1000.); #132804=VECTOR('',#438421,1000.); #132805=VECTOR('',#438422,1000.); #132806=VECTOR('',#438423,1000.); #132807=VECTOR('',#438424,1000.); #132808=VECTOR('',#438425,1000.); #132809=VECTOR('',#438426,1000.); #132810=VECTOR('',#438427,1000.); #132811=VECTOR('',#438428,1000.); #132812=VECTOR('',#438429,1000.); #132813=VECTOR('',#438440,1000.); #132814=VECTOR('',#438441,1000.); #132815=VECTOR('',#438444,1000.); #132816=VECTOR('',#438445,1000.); #132817=VECTOR('',#438448,1000.); #132818=VECTOR('',#438449,1000.); #132819=VECTOR('',#438452,1000.); #132820=VECTOR('',#438457,1000.); #132821=VECTOR('',#438458,1000.); #132822=VECTOR('',#438459,1000.); #132823=VECTOR('',#438462,1000.); #132824=VECTOR('',#438465,1000.); #132825=VECTOR('',#438468,1000.); #132826=VECTOR('',#438471,1000.); #132827=VECTOR('',#438474,1000.); #132828=VECTOR('',#438481,1000.); #132829=VECTOR('',#438482,1000.); #132830=VECTOR('',#438485,1000.); #132831=VECTOR('',#438488,1000.); #132832=VECTOR('',#438493,1000.); #132833=VECTOR('',#438494,1000.); #132834=VECTOR('',#438497,1000.); #132835=VECTOR('',#438502,1000.); #132836=VECTOR('',#438505,1000.); #132837=VECTOR('',#438506,1000.); #132838=VECTOR('',#438509,1000.); #132839=VECTOR('',#438510,1000.); #132840=VECTOR('',#438513,1000.); #132841=VECTOR('',#438514,1000.); #132842=VECTOR('',#438517,1000.); #132843=VECTOR('',#438520,1000.); #132844=VECTOR('',#438523,1000.); #132845=VECTOR('',#438526,1000.); #132846=VECTOR('',#438529,1000.); #132847=VECTOR('',#438532,1000.); #132848=VECTOR('',#438535,1000.); #132849=VECTOR('',#438538,1000.); #132850=VECTOR('',#438543,1000.); #132851=VECTOR('',#438544,1000.); #132852=VECTOR('',#438547,1000.); #132853=VECTOR('',#438548,1000.); #132854=VECTOR('',#438551,1000.); #132855=VECTOR('',#438554,1000.); #132856=VECTOR('',#438557,1000.); #132857=VECTOR('',#438560,1000.); #132858=VECTOR('',#438563,1000.); #132859=VECTOR('',#438566,1000.); #132860=VECTOR('',#438569,1000.); #132861=VECTOR('',#438572,1000.); #132862=VECTOR('',#438577,1000.); #132863=VECTOR('',#438578,1000.); #132864=VECTOR('',#438581,1000.); #132865=VECTOR('',#438584,1000.); #132866=VECTOR('',#438587,1000.); #132867=VECTOR('',#438590,1000.); #132868=VECTOR('',#438593,1000.); #132869=VECTOR('',#438596,1000.); #132870=VECTOR('',#438599,1000.); #132871=VECTOR('',#438602,1000.); #132872=VECTOR('',#438605,1000.); #132873=VECTOR('',#438608,1000.); #132874=VECTOR('',#438611,1000.); #132875=VECTOR('',#438614,1000.); #132876=VECTOR('',#438617,1000.); #132877=VECTOR('',#438620,1000.); #132878=VECTOR('',#438623,1000.); #132879=VECTOR('',#438626,1000.); #132880=VECTOR('',#438629,1000.); #132881=VECTOR('',#438632,1000.); #132882=VECTOR('',#438633,1000.); #132883=VECTOR('',#438636,1000.); #132884=VECTOR('',#438637,1000.); #132885=VECTOR('',#438640,1000.); #132886=VECTOR('',#438643,1000.); #132887=VECTOR('',#438646,1000.); #132888=VECTOR('',#438649,1000.); #132889=VECTOR('',#438652,1000.); #132890=VECTOR('',#438655,1000.); #132891=VECTOR('',#438658,1000.); #132892=VECTOR('',#438661,1000.); #132893=VECTOR('',#438664,1000.); #132894=VECTOR('',#438667,1000.); #132895=VECTOR('',#438670,1000.); #132896=VECTOR('',#438681,1000.); #132897=VECTOR('',#438684,1000.); #132898=VECTOR('',#438687,1000.); #132899=VECTOR('',#438690,1000.); #132900=VECTOR('',#438693,1000.); #132901=VECTOR('',#438696,1000.); #132902=VECTOR('',#438699,1000.); #132903=VECTOR('',#438702,1000.); #132904=VECTOR('',#438707,1000.); #132905=VECTOR('',#438708,1000.); #132906=VECTOR('',#438713,1000.); #132907=VECTOR('',#438714,1000.); #132908=VECTOR('',#438721,1000.); #132909=VECTOR('',#438724,1000.); #132910=VECTOR('',#438727,1000.); #132911=VECTOR('',#438732,1000.); #132912=VECTOR('',#438735,1000.); #132913=VECTOR('',#438738,1000.); #132914=VECTOR('',#438743,1000.); #132915=VECTOR('',#438746,1000.); #132916=VECTOR('',#438749,1000.); #132917=VECTOR('',#438754,1000.); #132918=VECTOR('',#438757,1000.); #132919=VECTOR('',#438760,1000.); #132920=VECTOR('',#438767,1000.); #132921=VECTOR('',#438770,1000.); #132922=VECTOR('',#438773,1000.); #132923=VECTOR('',#438776,1000.); #132924=VECTOR('',#438779,1000.); #132925=VECTOR('',#438782,1000.); #132926=VECTOR('',#438787,1000.); #132927=VECTOR('',#438790,1000.); #132928=VECTOR('',#438793,1000.); #132929=VECTOR('',#438796,1000.); #132930=VECTOR('',#438799,1000.); #132931=VECTOR('',#438802,1000.); #132932=VECTOR('',#438807,1000.); #132933=VECTOR('',#438810,1000.); #132934=VECTOR('',#438811,1000.); #132935=VECTOR('',#438816,1000.); #132936=VECTOR('',#438821,1000.); #132937=VECTOR('',#438824,1000.); #132938=VECTOR('',#438827,1000.); #132939=VECTOR('',#438832,1000.); #132940=VECTOR('',#438835,1000.); #132941=VECTOR('',#438838,1000.); #132942=VECTOR('',#438843,1000.); #132943=VECTOR('',#438846,1000.); #132944=VECTOR('',#438849,1000.); #132945=VECTOR('',#438854,1000.); #132946=VECTOR('',#438857,1000.); #132947=VECTOR('',#438860,1000.); #132948=VECTOR('',#438867,1000.); #132949=VECTOR('',#438870,1000.); #132950=VECTOR('',#438873,1000.); #132951=VECTOR('',#438876,1000.); #132952=VECTOR('',#438879,1000.); #132953=VECTOR('',#438882,1000.); #132954=VECTOR('',#438887,1000.); #132955=VECTOR('',#438890,1000.); #132956=VECTOR('',#438893,1000.); #132957=VECTOR('',#438896,1000.); #132958=VECTOR('',#438899,1000.); #132959=VECTOR('',#438902,1000.); #132960=VECTOR('',#438907,1000.); #132961=VECTOR('',#438908,1000.); #132962=VECTOR('',#438909,1000.); #132963=VECTOR('',#438910,1000.); #132964=VECTOR('',#438917,1000.); #132965=VECTOR('',#438920,1000.); #132966=VECTOR('',#438921,1000.); #132967=VECTOR('',#438922,1000.); #132968=VECTOR('',#438931,1000.); #132969=VECTOR('',#438936,1000.); #132970=VECTOR('',#438939,1000.); #132971=VECTOR('',#438942,1000.); #132972=VECTOR('',#438949,1000.); #132973=VECTOR('',#438956,1000.); #132974=VECTOR('',#438957,1000.); #132975=VECTOR('',#438958,1000.); #132976=VECTOR('',#438979,1000.); #132977=VECTOR('',#438980,1000.); #132978=VECTOR('',#438981,1000.); #132979=VECTOR('',#438984,1000.); #132980=VECTOR('',#438985,1000.); #132981=VECTOR('',#438986,1000.); #132982=VECTOR('',#438989,1000.); #132983=VECTOR('',#438990,1000.); #132984=VECTOR('',#438997,1000.); #132985=VECTOR('',#438998,1000.); #132986=VECTOR('',#439001,1000.); #132987=VECTOR('',#439002,1000.); #132988=VECTOR('',#439005,1000.); #132989=VECTOR('',#439008,1000.); #132990=VECTOR('',#439011,1000.); #132991=VECTOR('',#439014,1000.); #132992=VECTOR('',#439017,1000.); #132993=VECTOR('',#439020,1000.); #132994=VECTOR('',#439023,1000.); #132995=VECTOR('',#439026,1000.); #132996=VECTOR('',#439029,1000.); #132997=VECTOR('',#439032,1000.); #132998=VECTOR('',#439035,1000.); #132999=VECTOR('',#439038,1000.); #133000=VECTOR('',#439043,1000.); #133001=VECTOR('',#439044,1000.); #133002=VECTOR('',#439047,1000.); #133003=VECTOR('',#439050,1000.); #133004=VECTOR('',#439053,1000.); #133005=VECTOR('',#439056,1000.); #133006=VECTOR('',#439059,1000.); #133007=VECTOR('',#439062,1000.); #133008=VECTOR('',#439065,1000.); #133009=VECTOR('',#439068,1000.); #133010=VECTOR('',#439071,1000.); #133011=VECTOR('',#439074,1000.); #133012=VECTOR('',#439077,1000.); #133013=VECTOR('',#439078,1000.); #133014=VECTOR('',#439081,1000.); #133015=VECTOR('',#439082,1000.); #133016=VECTOR('',#439085,1000.); #133017=VECTOR('',#439088,1000.); #133018=VECTOR('',#439101,1000.); #133019=VECTOR('',#439104,1000.); #133020=VECTOR('',#439105,1000.); #133021=VECTOR('',#439110,1000.); #133022=VECTOR('',#439115,1000.); #133023=VECTOR('',#439118,1000.); #133024=VECTOR('',#439121,1000.); #133025=VECTOR('',#439126,1000.); #133026=VECTOR('',#439129,1000.); #133027=VECTOR('',#439132,1000.); #133028=VECTOR('',#439137,1000.); #133029=VECTOR('',#439140,1000.); #133030=VECTOR('',#439143,1000.); #133031=VECTOR('',#439148,1000.); #133032=VECTOR('',#439151,1000.); #133033=VECTOR('',#439154,1000.); #133034=VECTOR('',#439161,1000.); #133035=VECTOR('',#439164,1000.); #133036=VECTOR('',#439167,1000.); #133037=VECTOR('',#439170,1000.); #133038=VECTOR('',#439173,1000.); #133039=VECTOR('',#439176,1000.); #133040=VECTOR('',#439181,1000.); #133041=VECTOR('',#439184,1000.); #133042=VECTOR('',#439187,1000.); #133043=VECTOR('',#439190,1000.); #133044=VECTOR('',#439193,1000.); #133045=VECTOR('',#439196,1000.); #133046=VECTOR('',#439201,1000.); #133047=VECTOR('',#439202,1000.); #133048=VECTOR('',#439207,1000.); #133049=VECTOR('',#439208,1000.); #133050=VECTOR('',#439215,1000.); #133051=VECTOR('',#439218,1000.); #133052=VECTOR('',#439221,1000.); #133053=VECTOR('',#439226,1000.); #133054=VECTOR('',#439229,1000.); #133055=VECTOR('',#439232,1000.); #133056=VECTOR('',#439237,1000.); #133057=VECTOR('',#439240,1000.); #133058=VECTOR('',#439243,1000.); #133059=VECTOR('',#439248,1000.); #133060=VECTOR('',#439251,1000.); #133061=VECTOR('',#439254,1000.); #133062=VECTOR('',#439261,1000.); #133063=VECTOR('',#439264,1000.); #133064=VECTOR('',#439267,1000.); #133065=VECTOR('',#439270,1000.); #133066=VECTOR('',#439273,1000.); #133067=VECTOR('',#439276,1000.); #133068=VECTOR('',#439281,1000.); #133069=VECTOR('',#439284,1000.); #133070=VECTOR('',#439287,1000.); #133071=VECTOR('',#439290,1000.); #133072=VECTOR('',#439293,1000.); #133073=VECTOR('',#439296,1000.); #133074=VECTOR('',#439303,1000.); #133075=VECTOR('',#439306,1000.); #133076=VECTOR('',#439309,1000.); #133077=VECTOR('',#439310,1000.); #133078=VECTOR('',#439311,1000.); #133079=VECTOR('',#439320,1000.); #133080=VECTOR('',#439321,1000.); #133081=VECTOR('',#439322,1000.); #133082=VECTOR('',#439327,1000.); #133083=VECTOR('',#439328,1000.); #133084=VECTOR('',#439333,1000.); #133085=VECTOR('',#439336,1000.); #133086=VECTOR('',#439345,1000.); #133087=VECTOR('',#439350,1000.); #133088=VECTOR('',#439357,1000.); #133089=VECTOR('',#439358,1000.); #133090=VECTOR('',#439373,1000.); #133091=VECTOR('',#439374,1000.); #133092=VECTOR('',#439375,1000.); #133093=VECTOR('',#439378,1000.); #133094=VECTOR('',#439379,1000.); #133095=VECTOR('',#439380,1000.); #133096=VECTOR('',#439383,1000.); #133097=VECTOR('',#439384,1000.); #133098=VECTOR('',#439391,1000.); #133099=VECTOR('',#439392,1000.); #133100=VECTOR('',#439393,1000.); #133101=VECTOR('',#439394,1000.); #133102=VECTOR('',#439399,1000.); #133103=VECTOR('',#439404,1000.); #133104=VECTOR('',#439405,1000.); #133105=VECTOR('',#439406,1000.); #133106=VECTOR('',#439407,1000.); #133107=VECTOR('',#439414,1000.); #133108=VECTOR('',#439421,1000.); #133109=VECTOR('',#439428,1000.); #133110=VECTOR('',#439431,1000.); #133111=VECTOR('',#439432,1000.); #133112=VECTOR('',#439433,1000.); #133113=VECTOR('',#439440,1000.); #133114=VECTOR('',#439447,1000.); #133115=VECTOR('',#439454,1000.); #133116=VECTOR('',#439457,1000.); #133117=VECTOR('',#439458,1000.); #133118=VECTOR('',#439459,1000.); #133119=VECTOR('',#439466,1000.); #133120=VECTOR('',#439469,1000.); #133121=VECTOR('',#439470,1000.); #133122=VECTOR('',#439471,1000.); #133123=VECTOR('',#439480,1000.); #133124=VECTOR('',#439481,1000.); #133125=VECTOR('',#439482,1000.); #133126=VECTOR('',#439483,1000.); #133127=VECTOR('',#439488,1000.); #133128=VECTOR('',#439495,1000.); #133129=VECTOR('',#439502,1000.); #133130=VECTOR('',#439507,1000.); #133131=VECTOR('',#439508,1000.); #133132=VECTOR('',#439509,1000.); #133133=VECTOR('',#439514,1000.); #133134=VECTOR('',#439521,1000.); #133135=VECTOR('',#439528,1000.); #133136=VECTOR('',#439533,1000.); #133137=VECTOR('',#439534,1000.); #133138=VECTOR('',#439535,1000.); #133139=VECTOR('',#439540,1000.); #133140=VECTOR('',#439545,1000.); #133141=VECTOR('',#439546,1000.); #133142=VECTOR('',#439547,1000.); #133143=VECTOR('',#439556,1000.); #133144=VECTOR('',#439557,1000.); #133145=VECTOR('',#439558,1000.); #133146=VECTOR('',#439559,1000.); #133147=VECTOR('',#439562,1000.); #133148=VECTOR('',#439567,1000.); #133149=VECTOR('',#439568,1000.); #133150=VECTOR('',#439569,1000.); #133151=VECTOR('',#439570,1000.); #133152=VECTOR('',#439573,1000.); #133153=VECTOR('',#439574,1000.); #133154=VECTOR('',#439579,1000.); #133155=VECTOR('',#439582,1000.); #133156=VECTOR('',#439583,1000.); #133157=VECTOR('',#439588,1000.); #133158=VECTOR('',#439593,1000.); #133159=VECTOR('',#439594,1000.); #133160=VECTOR('',#439597,1000.); #133161=VECTOR('',#439598,1000.); #133162=VECTOR('',#439601,1000.); #133163=VECTOR('',#439602,1000.); #133164=VECTOR('',#439603,1000.); #133165=VECTOR('',#439604,1000.); #133166=VECTOR('',#439607,1000.); #133167=VECTOR('',#439608,1000.); #133168=VECTOR('',#439613,1000.); #133169=VECTOR('',#439616,1000.); #133170=VECTOR('',#439617,1000.); #133171=VECTOR('',#439620,1000.); #133172=VECTOR('',#439621,1000.); #133173=VECTOR('',#439622,1000.); #133174=VECTOR('',#439629,1000.); #133175=VECTOR('',#439630,1000.); #133176=VECTOR('',#439633,1000.); #133177=VECTOR('',#439638,1000.); #133178=VECTOR('',#439639,1000.); #133179=VECTOR('',#439640,1000.); #133180=VECTOR('',#439641,1000.); #133181=VECTOR('',#439644,1000.); #133182=VECTOR('',#439645,1000.); #133183=VECTOR('',#439646,1000.); #133184=VECTOR('',#439647,1000.); #133185=VECTOR('',#439652,1000.); #133186=VECTOR('',#439655,1000.); #133187=VECTOR('',#439656,1000.); #133188=VECTOR('',#439657,1000.); #133189=VECTOR('',#439658,1000.); #133190=VECTOR('',#439663,1000.); #133191=VECTOR('',#439670,1000.); #133192=VECTOR('',#439683,1000.); #133193=VECTOR('',#439686,1000.); #133194=VECTOR('',#439687,1000.); #133195=VECTOR('',#439688,1000.); #133196=VECTOR('',#439693,1000.); #133197=VECTOR('',#439698,1000.); #133198=VECTOR('',#439699,1000.); #133199=VECTOR('',#439700,1000.); #133200=VECTOR('',#439705,1000.); #133201=VECTOR('',#439710,1000.); #133202=VECTOR('',#439711,1000.); #133203=VECTOR('',#439712,1000.); #133204=VECTOR('',#439717,1000.); #133205=VECTOR('',#439732,1000.); #133206=VECTOR('',#439737,1000.); #133207=VECTOR('',#439744,1000.); #133208=VECTOR('',#439749,1000.); #133209=VECTOR('',#439750,1000.); #133210=VECTOR('',#439751,1000.); #133211=VECTOR('',#439756,1000.); #133212=VECTOR('',#439771,1000.); #133213=VECTOR('',#439776,1000.); #133214=VECTOR('',#439783,1000.); #133215=VECTOR('',#439788,1000.); #133216=VECTOR('',#439789,1000.); #133217=VECTOR('',#439790,1000.); #133218=VECTOR('',#439795,1000.); #133219=VECTOR('',#439800,1000.); #133220=VECTOR('',#439801,1000.); #133221=VECTOR('',#439802,1000.); #133222=VECTOR('',#439807,1000.); #133223=VECTOR('',#439812,1000.); #133224=VECTOR('',#439813,1000.); #133225=VECTOR('',#439818,1000.); #133226=VECTOR('',#439825,1000.); #133227=VECTOR('',#439830,1000.); #133228=VECTOR('',#439837,1000.); #133229=VECTOR('',#439842,1000.); #133230=VECTOR('',#439843,1000.); #133231=VECTOR('',#439844,1000.); #133232=VECTOR('',#439847,1000.); #133233=VECTOR('',#439848,1000.); #133234=VECTOR('',#439849,1000.); #133235=VECTOR('',#439850,1000.); #133236=VECTOR('',#439855,1000.); #133237=VECTOR('',#439864,1000.); #133238=VECTOR('',#439867,1000.); #133239=VECTOR('',#439870,1000.); #133240=VECTOR('',#439873,1000.); #133241=VECTOR('',#439878,1000.); #133242=VECTOR('',#439879,1000.); #133243=VECTOR('',#439880,1000.); #133244=VECTOR('',#439885,1000.); #133245=VECTOR('',#439888,1000.); #133246=VECTOR('',#439891,1000.); #133247=VECTOR('',#439894,1000.); #133248=VECTOR('',#439895,1000.); #133249=VECTOR('',#439898,1000.); #133250=VECTOR('',#439899,1000.); #133251=VECTOR('',#439904,1000.); #133252=VECTOR('',#439909,1000.); #133253=VECTOR('',#439916,1000.); #133254=VECTOR('',#439923,1000.); #133255=VECTOR('',#439928,1000.); #133256=VECTOR('',#439941,1000.); #133257=VECTOR('',#439944,1000.); #133258=VECTOR('',#439947,1000.); #133259=VECTOR('',#439950,1000.); #133260=VECTOR('',#439953,1000.); #133261=VECTOR('',#439956,1000.); #133262=VECTOR('',#439961,1000.); #133263=VECTOR('',#439964,1000.); #133264=VECTOR('',#439967,1000.); #133265=VECTOR('',#439970,1000.); #133266=VECTOR('',#439973,1000.); #133267=VECTOR('',#439976,1000.); #133268=VECTOR('',#439981,1000.); #133269=VECTOR('',#439984,1000.); #133270=VECTOR('',#439987,1000.); #133271=VECTOR('',#439990,1000.); #133272=VECTOR('',#439993,1000.); #133273=VECTOR('',#439996,1000.); #133274=VECTOR('',#440001,1000.); #133275=VECTOR('',#440004,1000.); #133276=VECTOR('',#440007,1000.); #133277=VECTOR('',#440010,1000.); #133278=VECTOR('',#440013,1000.); #133279=VECTOR('',#440016,1000.); #133280=VECTOR('',#440023,1000.); #133281=VECTOR('',#440024,1000.); #133282=VECTOR('',#440025,1000.); #133283=VECTOR('',#440026,1000.); #133284=VECTOR('',#440029,1000.); #133285=VECTOR('',#440032,1000.); #133286=VECTOR('',#440035,1000.); #133287=VECTOR('',#440036,1000.); #133288=VECTOR('',#440037,1000.); #133289=VECTOR('',#440040,1000.); #133290=VECTOR('',#440043,1000.); #133291=VECTOR('',#440046,1000.); #133292=VECTOR('',#440047,1000.); #133293=VECTOR('',#440048,1000.); #133294=VECTOR('',#440051,1000.); #133295=VECTOR('',#440054,1000.); #133296=VECTOR('',#440055,1000.); #133297=VECTOR('',#440056,1000.); #133298=VECTOR('',#440059,1000.); #133299=VECTOR('',#440064,1000.); #133300=VECTOR('',#440065,1000.); #133301=VECTOR('',#440066,1000.); #133302=VECTOR('',#440069,1000.); #133303=VECTOR('',#440070,1000.); #133304=VECTOR('',#440071,1000.); #133305=VECTOR('',#440072,1000.); #133306=VECTOR('',#440073,1000.); #133307=VECTOR('',#440076,1000.); #133308=VECTOR('',#440077,1000.); #133309=VECTOR('',#440078,1000.); #133310=VECTOR('',#440081,1000.); #133311=VECTOR('',#440082,1000.); #133312=VECTOR('',#440083,1000.); #133313=VECTOR('',#440084,1000.); #133314=VECTOR('',#440087,1000.); #133315=VECTOR('',#440088,1000.); #133316=VECTOR('',#440091,1000.); #133317=VECTOR('',#440092,1000.); #133318=VECTOR('',#440095,1000.); #133319=VECTOR('',#440096,1000.); #133320=VECTOR('',#440099,1000.); #133321=VECTOR('',#440102,1000.); #133322=VECTOR('',#440105,1000.); #133323=VECTOR('',#440110,1000.); #133324=VECTOR('',#440113,1000.); #133325=VECTOR('',#440116,1000.); #133326=VECTOR('',#440171,1.); #133327=VECTOR('',#440172,1.); #133328=VECTOR('',#440173,1.); #133329=VECTOR('',#440174,1.); #133330=VECTOR('',#440175,1.); #133331=VECTOR('',#440176,1.); #133332=VECTOR('',#440177,1.); #133333=VECTOR('',#440178,1.); #133334=VECTOR('',#440179,1.); #133335=VECTOR('',#440180,1.); #133336=VECTOR('',#440181,1.); #133337=VECTOR('',#440182,1.); #133338=VECTOR('',#440185,1.); #133339=VECTOR('',#440186,1.); #133340=VECTOR('',#440187,1.); #133341=VECTOR('',#440188,1.); #133342=VECTOR('',#440191,1.); #133343=VECTOR('',#440192,1.); #133344=VECTOR('',#440193,1.); #133345=VECTOR('',#440194,1.); #133346=VECTOR('',#440197,1.); #133347=VECTOR('',#440198,1.); #133348=VECTOR('',#440199,1.); #133349=VECTOR('',#440200,1.); #133350=VECTOR('',#440201,1.); #133351=VECTOR('',#440202,1.); #133352=VECTOR('',#440203,1.); #133353=VECTOR('',#440204,1.); #133354=VECTOR('',#440207,1.); #133355=VECTOR('',#440208,1.); #133356=VECTOR('',#440209,1.); #133357=VECTOR('',#440210,1.); #133358=VECTOR('',#440211,1.); #133359=VECTOR('',#440212,1.); #133360=VECTOR('',#440213,1.); #133361=VECTOR('',#440214,1.); #133362=VECTOR('',#440217,1.); #133363=VECTOR('',#440218,1.); #133364=VECTOR('',#440219,1.); #133365=VECTOR('',#440220,1.); #133366=VECTOR('',#440221,1.); #133367=VECTOR('',#440222,1.); #133368=VECTOR('',#440223,1.); #133369=VECTOR('',#440224,1.); #133370=VECTOR('',#440225,1.); #133371=VECTOR('',#440226,1.); #133372=VECTOR('',#440227,1.); #133373=VECTOR('',#440228,1.); #133374=VECTOR('',#440231,1.00000000000024); #133375=VECTOR('',#440232,1.00000000000024); #133376=VECTOR('',#440233,1.); #133377=VECTOR('',#440234,1.); #133378=VECTOR('',#440237,1.00000000000024); #133379=VECTOR('',#440238,1.); #133380=VECTOR('',#440239,1.); #133381=VECTOR('',#440240,1.00000000000024); #133382=VECTOR('',#440243,1.); #133383=VECTOR('',#440244,1.); #133384=VECTOR('',#440247,1.00000000000024); #133385=VECTOR('',#440248,1.00000000000024); #133386=VECTOR('',#440249,1.); #133387=VECTOR('',#440250,1.); #133388=VECTOR('',#440253,1.00000000000024); #133389=VECTOR('',#440254,1.); #133390=VECTOR('',#440255,1.00000000000024); #133391=VECTOR('',#440258,1.); #133392=VECTOR('',#440259,1.); #133393=VECTOR('',#440262,1.); #133394=VECTOR('',#440263,1.); #133395=VECTOR('',#440264,1.); #133396=VECTOR('',#440265,1.); #133397=VECTOR('',#440266,1.); #133398=VECTOR('',#440267,1.); #133399=VECTOR('',#440268,1.); #133400=VECTOR('',#440271,1.); #133401=VECTOR('',#440274,1.); #133402=VECTOR('',#440275,1.); #133403=VECTOR('',#440276,1.); #133404=VECTOR('',#440279,1.); #133405=VECTOR('',#440282,1.); #133406=VECTOR('',#440283,1.); #133407=VECTOR('',#440284,1.); #133408=VECTOR('',#440285,1.); #133409=VECTOR('',#440290,1.); #133410=VECTOR('',#440293,1.); #133411=VECTOR('',#440294,1.00000000000064); #133412=VECTOR('',#440295,1.00000000000064); #133413=VECTOR('',#440296,1.); #133414=VECTOR('',#440297,1.); #133415=VECTOR('',#440298,1.); #133416=VECTOR('',#440299,1.); #133417=VECTOR('',#440300,1.); #133418=VECTOR('',#440301,1.00000000000064); #133419=VECTOR('',#440302,1.00000000000064); #133420=VECTOR('',#440303,1.); #133421=VECTOR('',#440310,1.); #133422=VECTOR('',#440313,1.); #133423=VECTOR('',#440314,1.00000000000064); #133424=VECTOR('',#440315,1.00000000000064); #133425=VECTOR('',#440316,1.); #133426=VECTOR('',#440317,1.); #133427=VECTOR('',#440318,1.); #133428=VECTOR('',#440319,1.); #133429=VECTOR('',#440320,1.); #133430=VECTOR('',#440321,1.); #133431=VECTOR('',#440322,1.00000000000064); #133432=VECTOR('',#440323,1.00000000000064); #133433=VECTOR('',#440324,1.); #133434=VECTOR('',#440327,1.); #133435=VECTOR('',#440328,1.); #133436=VECTOR('',#440329,1.); #133437=VECTOR('',#440330,1.); #133438=VECTOR('',#440335,1.); #133439=VECTOR('',#440336,1.); #133440=VECTOR('',#440339,1.); #133441=VECTOR('',#440340,1.); #133442=VECTOR('',#440343,1.); #133443=VECTOR('',#440346,1.); #133444=VECTOR('',#440349,1.); #133445=VECTOR('',#440352,1.); #133446=VECTOR('',#440355,1.); #133447=VECTOR('',#440358,1.); #133448=VECTOR('',#440361,1.); #133449=VECTOR('',#440364,1.); #133450=VECTOR('',#440367,1.); #133451=VECTOR('',#440370,1.); #133452=VECTOR('',#440373,1.); #133453=VECTOR('',#440386,1.); #133454=VECTOR('',#440387,1.); #133455=VECTOR('',#440388,1.); #133456=VECTOR('',#440391,1.); #133457=VECTOR('',#440392,1.); #133458=VECTOR('',#440395,1.); #133459=VECTOR('',#440396,1.); #133460=VECTOR('',#440399,1.); #133461=VECTOR('',#440402,1.); #133462=VECTOR('',#440403,1.); #133463=VECTOR('',#440404,1.); #133464=VECTOR('',#440405,1.); #133465=VECTOR('',#440408,0.999999999999891); #133466=VECTOR('',#440409,0.999999999999891); #133467=VECTOR('',#440412,0.999999999999891); #133468=VECTOR('',#440415,0.999999999999891); #133469=VECTOR('',#440420,1.); #133470=VECTOR('',#440421,1.); #133471=VECTOR('',#440422,1.); #133472=VECTOR('',#440425,1.); #133473=VECTOR('',#440426,1.); #133474=VECTOR('',#440429,1.); #133475=VECTOR('',#440430,1.); #133476=VECTOR('',#440433,1.); #133477=VECTOR('',#440436,1.); #133478=VECTOR('',#440437,1.); #133479=VECTOR('',#440438,1.); #133480=VECTOR('',#440439,1.); #133481=VECTOR('',#440442,0.999999999999891); #133482=VECTOR('',#440443,0.999999999999891); #133483=VECTOR('',#440446,0.999999999999891); #133484=VECTOR('',#440449,0.999999999999891); #133485=VECTOR('',#440454,1.); #133486=VECTOR('',#440455,1.); #133487=VECTOR('',#440456,1.); #133488=VECTOR('',#440459,1.); #133489=VECTOR('',#440460,1.); #133490=VECTOR('',#440461,1.); #133491=VECTOR('',#440462,1.); #133492=VECTOR('',#440465,1.); #133493=VECTOR('',#440466,1.); #133494=VECTOR('',#440467,1.); #133495=VECTOR('',#440470,1.); #133496=VECTOR('',#440473,1.); #133497=VECTOR('',#440476,0.999999999999891); #133498=VECTOR('',#440477,0.999999999999891); #133499=VECTOR('',#440480,0.999999999999891); #133500=VECTOR('',#440483,0.999999999999891); #133501=VECTOR('',#440488,1.); #133502=VECTOR('',#440489,1.); #133503=VECTOR('',#440490,1.); #133504=VECTOR('',#440493,1.); #133505=VECTOR('',#440494,1.); #133506=VECTOR('',#440495,1.); #133507=VECTOR('',#440496,1.); #133508=VECTOR('',#440499,1.); #133509=VECTOR('',#440500,1.); #133510=VECTOR('',#440501,1.); #133511=VECTOR('',#440504,1.); #133512=VECTOR('',#440507,1.); #133513=VECTOR('',#440510,0.999999999999891); #133514=VECTOR('',#440511,0.999999999999891); #133515=VECTOR('',#440514,0.999999999999891); #133516=VECTOR('',#440517,0.999999999999891); #133517=VECTOR('',#440522,1.00000000000064); #133518=VECTOR('',#440523,1.); #133519=VECTOR('',#440526,1.); #133520=VECTOR('',#440529,1.00000000000064); #133521=VECTOR('',#440530,1.); #133522=VECTOR('',#440533,1.); #133523=VECTOR('',#440540,1.00000000000065); #133524=VECTOR('',#440543,1.00000000000065); #133525=VECTOR('',#440594,10.); #133526=VECTOR('',#440595,10.); #133527=VECTOR('',#440614,10.); #133528=VECTOR('',#440615,10.); #133529=VECTOR('',#440618,10.); #133530=VECTOR('',#440619,10.); #133531=VECTOR('',#440630,10.); #133532=VECTOR('',#440631,10.); #133533=VECTOR('',#440634,10.); #133534=VECTOR('',#440635,10.); #133535=VECTOR('',#440638,10.); #133536=VECTOR('',#440639,10.); #133537=VECTOR('',#440642,10.); #133538=VECTOR('',#440643,10.); #133539=VECTOR('',#440646,10.); #133540=VECTOR('',#440647,10.); #133541=VECTOR('',#440650,10.); #133542=VECTOR('',#440651,10.); #133543=VECTOR('',#440654,10.); #133544=VECTOR('',#440655,10.); #133545=VECTOR('',#440658,10.); #133546=VECTOR('',#440659,10.); #133547=VECTOR('',#440662,10.); #133548=VECTOR('',#440663,10.); #133549=VECTOR('',#440718,10.); #133550=VECTOR('',#440719,10.); #133551=VECTOR('',#440738,10.); #133552=VECTOR('',#440739,10.); #133553=VECTOR('',#440742,10.); #133554=VECTOR('',#440743,10.); #133555=VECTOR('',#440754,10.); #133556=VECTOR('',#440755,10.); #133557=VECTOR('',#440758,10.); #133558=VECTOR('',#440759,10.); #133559=VECTOR('',#440762,10.); #133560=VECTOR('',#440763,10.); #133561=VECTOR('',#440766,10.); #133562=VECTOR('',#440767,10.); #133563=VECTOR('',#440770,10.); #133564=VECTOR('',#440771,10.); #133565=VECTOR('',#440774,10.); #133566=VECTOR('',#440775,10.); #133567=VECTOR('',#440778,10.); #133568=VECTOR('',#440779,10.); #133569=VECTOR('',#440782,10.); #133570=VECTOR('',#440783,10.); #133571=VECTOR('',#440786,10.); #133572=VECTOR('',#440787,10.); #133573=VECTOR('',#440802,10.); #133574=VECTOR('',#440803,10.); #133575=VECTOR('',#440804,10.); #133576=VECTOR('',#440805,10.); #133577=VECTOR('',#440808,10.); #133578=VECTOR('',#440809,10.); #133579=VECTOR('',#440810,10.); #133580=VECTOR('',#440813,10.); #133581=VECTOR('',#440814,10.); #133582=VECTOR('',#440815,10.); #133583=VECTOR('',#440818,10.); #133584=VECTOR('',#440819,10.); #133585=VECTOR('',#440872,10.); #133586=VECTOR('',#440873,10.); #133587=VECTOR('',#440892,10.); #133588=VECTOR('',#440893,10.); #133589=VECTOR('',#440896,10.); #133590=VECTOR('',#440897,10.); #133591=VECTOR('',#440908,10.); #133592=VECTOR('',#440909,10.); #133593=VECTOR('',#440912,10.); #133594=VECTOR('',#440913,10.); #133595=VECTOR('',#440916,10.); #133596=VECTOR('',#440917,10.); #133597=VECTOR('',#440920,10.); #133598=VECTOR('',#440921,10.); #133599=VECTOR('',#440924,10.); #133600=VECTOR('',#440925,10.); #133601=VECTOR('',#440928,10.); #133602=VECTOR('',#440929,10.); #133603=VECTOR('',#440932,10.); #133604=VECTOR('',#440933,10.); #133605=VECTOR('',#440936,10.); #133606=VECTOR('',#440937,10.); #133607=VECTOR('',#440940,10.); #133608=VECTOR('',#440941,10.); #133609=VECTOR('',#440996,10.); #133610=VECTOR('',#440997,10.); #133611=VECTOR('',#441016,10.); #133612=VECTOR('',#441017,10.); #133613=VECTOR('',#441020,10.); #133614=VECTOR('',#441021,10.); #133615=VECTOR('',#441032,10.); #133616=VECTOR('',#441033,10.); #133617=VECTOR('',#441036,10.); #133618=VECTOR('',#441037,10.); #133619=VECTOR('',#441040,10.); #133620=VECTOR('',#441041,10.); #133621=VECTOR('',#441044,10.); #133622=VECTOR('',#441045,10.); #133623=VECTOR('',#441048,10.); #133624=VECTOR('',#441049,10.); #133625=VECTOR('',#441052,10.); #133626=VECTOR('',#441053,10.); #133627=VECTOR('',#441056,10.); #133628=VECTOR('',#441057,10.); #133629=VECTOR('',#441060,10.); #133630=VECTOR('',#441061,10.); #133631=VECTOR('',#441064,10.); #133632=VECTOR('',#441065,10.); #133633=VECTOR('',#441080,10.); #133634=VECTOR('',#441081,10.); #133635=VECTOR('',#441082,10.); #133636=VECTOR('',#441083,10.); #133637=VECTOR('',#441086,10.); #133638=VECTOR('',#441087,10.); #133639=VECTOR('',#441088,10.); #133640=VECTOR('',#441091,10.); #133641=VECTOR('',#441092,10.); #133642=VECTOR('',#441093,10.); #133643=VECTOR('',#441096,10.); #133644=VECTOR('',#441097,10.); #133645=VECTOR('',#441150,10.); #133646=VECTOR('',#441151,10.); #133647=VECTOR('',#441170,10.); #133648=VECTOR('',#441171,10.); #133649=VECTOR('',#441174,10.); #133650=VECTOR('',#441175,10.); #133651=VECTOR('',#441186,10.); #133652=VECTOR('',#441187,10.); #133653=VECTOR('',#441190,10.); #133654=VECTOR('',#441191,10.); #133655=VECTOR('',#441194,10.); #133656=VECTOR('',#441195,10.); #133657=VECTOR('',#441198,10.); #133658=VECTOR('',#441199,10.); #133659=VECTOR('',#441202,10.); #133660=VECTOR('',#441203,10.); #133661=VECTOR('',#441206,10.); #133662=VECTOR('',#441207,10.); #133663=VECTOR('',#441210,10.); #133664=VECTOR('',#441211,10.); #133665=VECTOR('',#441214,10.); #133666=VECTOR('',#441215,10.); #133667=VECTOR('',#441218,10.); #133668=VECTOR('',#441219,10.); #133669=VECTOR('',#441274,10.); #133670=VECTOR('',#441275,10.); #133671=VECTOR('',#441294,10.); #133672=VECTOR('',#441295,10.); #133673=VECTOR('',#441298,10.); #133674=VECTOR('',#441299,10.); #133675=VECTOR('',#441310,10.); #133676=VECTOR('',#441311,10.); #133677=VECTOR('',#441314,10.); #133678=VECTOR('',#441315,10.); #133679=VECTOR('',#441318,10.); #133680=VECTOR('',#441319,10.); #133681=VECTOR('',#441322,10.); #133682=VECTOR('',#441323,10.); #133683=VECTOR('',#441326,10.); #133684=VECTOR('',#441327,10.); #133685=VECTOR('',#441330,10.); #133686=VECTOR('',#441331,10.); #133687=VECTOR('',#441334,10.); #133688=VECTOR('',#441335,10.); #133689=VECTOR('',#441338,10.); #133690=VECTOR('',#441339,10.); #133691=VECTOR('',#441342,10.); #133692=VECTOR('',#441343,10.); #133693=VECTOR('',#441358,10.); #133694=VECTOR('',#441359,10.); #133695=VECTOR('',#441360,10.); #133696=VECTOR('',#441361,10.); #133697=VECTOR('',#441364,10.); #133698=VECTOR('',#441365,10.); #133699=VECTOR('',#441366,10.); #133700=VECTOR('',#441369,10.); #133701=VECTOR('',#441370,10.); #133702=VECTOR('',#441371,10.); #133703=VECTOR('',#441374,10.); #133704=VECTOR('',#441375,10.); #133705=VECTOR('',#441390,3.11666666666667); #133706=VECTOR('',#441409,4.25); #133707=VECTOR('',#441432,4.25); #133708=VECTOR('',#441449,10.); #133709=VECTOR('',#441452,10.); #133710=VECTOR('',#441455,10.); #133711=VECTOR('',#441462,10.); #133712=VECTOR('',#441465,10.); #133713=VECTOR('',#441468,10.); #133714=VECTOR('',#441485,10.); #133715=VECTOR('',#441496,10.); #133716=VECTOR('',#441507,10.); #133717=VECTOR('',#441530,10.); #133718=VECTOR('',#441541,10.); #133719=VECTOR('',#441552,10.); #133720=VECTOR('',#441565,0.325); #133721=VECTOR('',#441576,0.325); #133722=VECTOR('',#441585,10.); #133723=VECTOR('',#441586,10.); #133724=VECTOR('',#441587,10.); #133725=VECTOR('',#441588,10.); #133726=VECTOR('',#441593,10.); #133727=VECTOR('',#441598,10.); #133728=VECTOR('',#441603,10.); #133729=VECTOR('',#441608,10.); #133730=VECTOR('',#441613,10.); #133731=VECTOR('',#441614,10.); #133732=VECTOR('',#441615,10.); #133733=VECTOR('',#441616,10.); #133734=VECTOR('',#441621,10.); #133735=VECTOR('',#441626,10.); #133736=VECTOR('',#441631,10.); #133737=VECTOR('',#441636,10.); #133738=VECTOR('',#441641,10.); #133739=VECTOR('',#441642,10.); #133740=VECTOR('',#441643,10.); #133741=VECTOR('',#441644,10.); #133742=VECTOR('',#441649,10.); #133743=VECTOR('',#441654,10.); #133744=VECTOR('',#441659,10.); #133745=VECTOR('',#441664,10.); #133746=VECTOR('',#441669,10.); #133747=VECTOR('',#441670,10.); #133748=VECTOR('',#441671,10.); #133749=VECTOR('',#441672,10.); #133750=VECTOR('',#441677,10.); #133751=VECTOR('',#441682,10.); #133752=VECTOR('',#441687,10.); #133753=VECTOR('',#441692,10.); #133754=VECTOR('',#441697,10.); #133755=VECTOR('',#441698,10.); #133756=VECTOR('',#441699,10.); #133757=VECTOR('',#441700,10.); #133758=VECTOR('',#441705,10.); #133759=VECTOR('',#441710,10.); #133760=VECTOR('',#441715,10.); #133761=VECTOR('',#441720,10.); #133762=VECTOR('',#441725,10.); #133763=VECTOR('',#441726,10.); #133764=VECTOR('',#441727,10.); #133765=VECTOR('',#441728,10.); #133766=VECTOR('',#441733,10.); #133767=VECTOR('',#441738,10.); #133768=VECTOR('',#441743,10.); #133769=VECTOR('',#441748,10.); #133770=VECTOR('',#441753,10.); #133771=VECTOR('',#441754,10.); #133772=VECTOR('',#441755,10.); #133773=VECTOR('',#441756,10.); #133774=VECTOR('',#441761,10.); #133775=VECTOR('',#441766,10.); #133776=VECTOR('',#441771,10.); #133777=VECTOR('',#441776,10.); #133778=VECTOR('',#441781,10.); #133779=VECTOR('',#441782,10.); #133780=VECTOR('',#441783,10.); #133781=VECTOR('',#441784,10.); #133782=VECTOR('',#441789,10.); #133783=VECTOR('',#441794,10.); #133784=VECTOR('',#441799,10.); #133785=VECTOR('',#441804,10.); #133786=VECTOR('',#441809,10.); #133787=VECTOR('',#441810,10.); #133788=VECTOR('',#441811,10.); #133789=VECTOR('',#441812,10.); #133790=VECTOR('',#441817,10.); #133791=VECTOR('',#441822,10.); #133792=VECTOR('',#441827,10.); #133793=VECTOR('',#441832,10.); #133794=VECTOR('',#441845,0.0750000000000001); #133795=VECTOR('',#441852,10.); #133796=VECTOR('',#441853,10.); #133797=VECTOR('',#441854,10.); #133798=VECTOR('',#441855,10.); #133799=VECTOR('',#441858,10.); #133800=VECTOR('',#441859,10.); #133801=VECTOR('',#441860,10.); #133802=VECTOR('',#441863,10.); #133803=VECTOR('',#441864,10.); #133804=VECTOR('',#441865,10.); #133805=VECTOR('',#441868,10.); #133806=VECTOR('',#441869,10.); #133807=VECTOR('',#441872,10.); #133808=VECTOR('',#441873,10.); #133809=VECTOR('',#441874,10.); #133810=VECTOR('',#441877,10.); #133811=VECTOR('',#441878,10.); #133812=VECTOR('',#441883,10.); #133813=VECTOR('',#441884,10.); #133814=VECTOR('',#441887,10.); #133815=VECTOR('',#441892,10.); #133816=VECTOR('',#441893,10.); #133817=VECTOR('',#441894,10.); #133818=VECTOR('',#441895,10.); #133819=VECTOR('',#441898,10.); #133820=VECTOR('',#441899,10.); #133821=VECTOR('',#441900,10.); #133822=VECTOR('',#441903,10.); #133823=VECTOR('',#441904,10.); #133824=VECTOR('',#441905,10.); #133825=VECTOR('',#441908,10.); #133826=VECTOR('',#441909,10.); #133827=VECTOR('',#441916,10.); #133828=VECTOR('',#441917,10.); #133829=VECTOR('',#441918,10.); #133830=VECTOR('',#441919,10.); #133831=VECTOR('',#441922,10.); #133832=VECTOR('',#441923,10.); #133833=VECTOR('',#441924,10.); #133834=VECTOR('',#441931,10.); #133835=VECTOR('',#441934,10.); #133836=VECTOR('',#441935,10.); #133837=VECTOR('',#441936,10.); #133838=VECTOR('',#441943,10.); #133839=VECTOR('',#441946,10.); #133840=VECTOR('',#441947,10.); #133841=VECTOR('',#441954,10.); #133842=VECTOR('',#441955,10.); #133843=VECTOR('',#441956,10.); #133844=VECTOR('',#441957,10.); #133845=VECTOR('',#441960,10.); #133846=VECTOR('',#441961,10.); #133847=VECTOR('',#441962,10.); #133848=VECTOR('',#441967,10.); #133849=VECTOR('',#441972,10.); #133850=VECTOR('',#441973,10.); #133851=VECTOR('',#441974,10.); #133852=VECTOR('',#441979,10.); #133853=VECTOR('',#441984,10.); #133854=VECTOR('',#441985,10.); #133855=VECTOR('',#441992,10.); #133856=VECTOR('',#441993,10.); #133857=VECTOR('',#441994,10.); #133858=VECTOR('',#441995,10.); #133859=VECTOR('',#441998,10.); #133860=VECTOR('',#441999,10.); #133861=VECTOR('',#442000,10.); #133862=VECTOR('',#442007,10.); #133863=VECTOR('',#442010,10.); #133864=VECTOR('',#442011,10.); #133865=VECTOR('',#442012,10.); #133866=VECTOR('',#442019,10.); #133867=VECTOR('',#442022,10.); #133868=VECTOR('',#442023,10.); #133869=VECTOR('',#442030,10.); #133870=VECTOR('',#442031,10.); #133871=VECTOR('',#442032,10.); #133872=VECTOR('',#442033,10.); #133873=VECTOR('',#442036,10.); #133874=VECTOR('',#442037,10.); #133875=VECTOR('',#442038,10.); #133876=VECTOR('',#442045,10.); #133877=VECTOR('',#442048,10.); #133878=VECTOR('',#442049,10.); #133879=VECTOR('',#442050,10.); #133880=VECTOR('',#442057,10.); #133881=VECTOR('',#442060,10.); #133882=VECTOR('',#442061,10.); #133883=VECTOR('',#442068,10.); #133884=VECTOR('',#442069,10.); #133885=VECTOR('',#442070,10.); #133886=VECTOR('',#442071,10.); #133887=VECTOR('',#442074,10.); #133888=VECTOR('',#442075,10.); #133889=VECTOR('',#442076,10.); #133890=VECTOR('',#442083,10.); #133891=VECTOR('',#442086,10.); #133892=VECTOR('',#442087,10.); #133893=VECTOR('',#442088,10.); #133894=VECTOR('',#442095,10.); #133895=VECTOR('',#442098,10.); #133896=VECTOR('',#442099,10.); #133897=VECTOR('',#442106,10.); #133898=VECTOR('',#442107,10.); #133899=VECTOR('',#442108,10.); #133900=VECTOR('',#442109,10.); #133901=VECTOR('',#442112,10.); #133902=VECTOR('',#442113,10.); #133903=VECTOR('',#442114,10.); #133904=VECTOR('',#442119,10.); #133905=VECTOR('',#442124,10.); #133906=VECTOR('',#442125,10.); #133907=VECTOR('',#442126,10.); #133908=VECTOR('',#442131,10.); #133909=VECTOR('',#442136,10.); #133910=VECTOR('',#442137,10.); #133911=VECTOR('',#442144,10.); #133912=VECTOR('',#442145,10.); #133913=VECTOR('',#442146,10.); #133914=VECTOR('',#442147,10.); #133915=VECTOR('',#442150,10.); #133916=VECTOR('',#442151,10.); #133917=VECTOR('',#442152,10.); #133918=VECTOR('',#442157,10.); #133919=VECTOR('',#442162,10.); #133920=VECTOR('',#442163,10.); #133921=VECTOR('',#442164,10.); #133922=VECTOR('',#442169,10.); #133923=VECTOR('',#442174,10.); #133924=VECTOR('',#442175,10.); #133925=VECTOR('',#442182,10.); #133926=VECTOR('',#442183,10.); #133927=VECTOR('',#442184,10.); #133928=VECTOR('',#442185,10.); #133929=VECTOR('',#442188,10.); #133930=VECTOR('',#442189,10.); #133931=VECTOR('',#442190,10.); #133932=VECTOR('',#442195,10.); #133933=VECTOR('',#442200,10.); #133934=VECTOR('',#442201,10.); #133935=VECTOR('',#442202,10.); #133936=VECTOR('',#442207,10.); #133937=VECTOR('',#442212,10.); #133938=VECTOR('',#442213,10.); #133939=VECTOR('',#442220,10.); #133940=VECTOR('',#442221,10.); #133941=VECTOR('',#442222,10.); #133942=VECTOR('',#442223,10.); #133943=VECTOR('',#442226,10.); #133944=VECTOR('',#442227,10.); #133945=VECTOR('',#442228,10.); #133946=VECTOR('',#442231,10.); #133947=VECTOR('',#442232,10.); #133948=VECTOR('',#442233,10.); #133949=VECTOR('',#442236,10.); #133950=VECTOR('',#442237,10.); #133951=VECTOR('',#442244,10.); #133952=VECTOR('',#442245,10.); #133953=VECTOR('',#442246,10.); #133954=VECTOR('',#442247,10.); #133955=VECTOR('',#442250,10.); #133956=VECTOR('',#442251,10.); #133957=VECTOR('',#442252,10.); #133958=VECTOR('',#442255,10.); #133959=VECTOR('',#442256,10.); #133960=VECTOR('',#442257,10.); #133961=VECTOR('',#442260,10.); #133962=VECTOR('',#442261,10.); #133963=VECTOR('',#442268,10.); #133964=VECTOR('',#442269,10.); #133965=VECTOR('',#442270,10.); #133966=VECTOR('',#442271,10.); #133967=VECTOR('',#442274,10.); #133968=VECTOR('',#442275,10.); #133969=VECTOR('',#442276,10.); #133970=VECTOR('',#442279,10.); #133971=VECTOR('',#442280,10.); #133972=VECTOR('',#442281,10.); #133973=VECTOR('',#442284,10.); #133974=VECTOR('',#442285,10.); #133975=VECTOR('',#442292,10.); #133976=VECTOR('',#442293,10.); #133977=VECTOR('',#442294,10.); #133978=VECTOR('',#442295,10.); #133979=VECTOR('',#442298,10.); #133980=VECTOR('',#442299,10.); #133981=VECTOR('',#442300,10.); #133982=VECTOR('',#442303,10.); #133983=VECTOR('',#442304,10.); #133984=VECTOR('',#442305,10.); #133985=VECTOR('',#442308,10.); #133986=VECTOR('',#442309,10.); #133987=VECTOR('',#442316,10.); #133988=VECTOR('',#442317,10.); #133989=VECTOR('',#442318,10.); #133990=VECTOR('',#442319,10.); #133991=VECTOR('',#442322,10.); #133992=VECTOR('',#442323,10.); #133993=VECTOR('',#442324,10.); #133994=VECTOR('',#442327,10.); #133995=VECTOR('',#442328,10.); #133996=VECTOR('',#442329,10.); #133997=VECTOR('',#442332,10.); #133998=VECTOR('',#442333,10.); #133999=VECTOR('',#442340,10.); #134000=VECTOR('',#442341,10.); #134001=VECTOR('',#442342,10.); #134002=VECTOR('',#442343,10.); #134003=VECTOR('',#442346,10.); #134004=VECTOR('',#442347,10.); #134005=VECTOR('',#442348,10.); #134006=VECTOR('',#442351,10.); #134007=VECTOR('',#442352,10.); #134008=VECTOR('',#442353,10.); #134009=VECTOR('',#442356,10.); #134010=VECTOR('',#442357,10.); #134011=VECTOR('',#442364,10.); #134012=VECTOR('',#442365,10.); #134013=VECTOR('',#442366,10.); #134014=VECTOR('',#442367,10.); #134015=VECTOR('',#442370,10.); #134016=VECTOR('',#442371,10.); #134017=VECTOR('',#442372,10.); #134018=VECTOR('',#442375,10.); #134019=VECTOR('',#442376,10.); #134020=VECTOR('',#442377,10.); #134021=VECTOR('',#442380,10.); #134022=VECTOR('',#442381,10.); #134023=VECTOR('',#442388,10.); #134024=VECTOR('',#442389,10.); #134025=VECTOR('',#442390,10.); #134026=VECTOR('',#442391,10.); #134027=VECTOR('',#442394,10.); #134028=VECTOR('',#442395,10.); #134029=VECTOR('',#442396,10.); #134030=VECTOR('',#442399,10.); #134031=VECTOR('',#442400,10.); #134032=VECTOR('',#442401,10.); #134033=VECTOR('',#442404,10.); #134034=VECTOR('',#442405,10.); #134035=VECTOR('',#442420,0.0750000000000001); #134036=VECTOR('',#442427,10.); #134037=VECTOR('',#442428,10.); #134038=VECTOR('',#442429,10.); #134039=VECTOR('',#442430,10.); #134040=VECTOR('',#442433,10.); #134041=VECTOR('',#442434,10.); #134042=VECTOR('',#442435,10.); #134043=VECTOR('',#442438,10.); #134044=VECTOR('',#442439,10.); #134045=VECTOR('',#442440,10.); #134046=VECTOR('',#442443,10.); #134047=VECTOR('',#442444,10.); #134048=VECTOR('',#442447,10.); #134049=VECTOR('',#442448,10.); #134050=VECTOR('',#442449,10.); #134051=VECTOR('',#442452,10.); #134052=VECTOR('',#442453,10.); #134053=VECTOR('',#442458,10.); #134054=VECTOR('',#442459,10.); #134055=VECTOR('',#442462,10.); #134056=VECTOR('',#442467,10.); #134057=VECTOR('',#442468,10.); #134058=VECTOR('',#442469,10.); #134059=VECTOR('',#442470,10.); #134060=VECTOR('',#442473,10.); #134061=VECTOR('',#442474,10.); #134062=VECTOR('',#442475,10.); #134063=VECTOR('',#442478,10.); #134064=VECTOR('',#442479,10.); #134065=VECTOR('',#442480,10.); #134066=VECTOR('',#442483,10.); #134067=VECTOR('',#442484,10.); #134068=VECTOR('',#442491,10.); #134069=VECTOR('',#442492,10.); #134070=VECTOR('',#442493,10.); #134071=VECTOR('',#442494,10.); #134072=VECTOR('',#442497,10.); #134073=VECTOR('',#442498,10.); #134074=VECTOR('',#442499,10.); #134075=VECTOR('',#442506,10.); #134076=VECTOR('',#442509,10.); #134077=VECTOR('',#442510,10.); #134078=VECTOR('',#442511,10.); #134079=VECTOR('',#442518,10.); #134080=VECTOR('',#442521,10.); #134081=VECTOR('',#442522,10.); #134082=VECTOR('',#442529,10.); #134083=VECTOR('',#442530,10.); #134084=VECTOR('',#442531,10.); #134085=VECTOR('',#442532,10.); #134086=VECTOR('',#442535,10.); #134087=VECTOR('',#442536,10.); #134088=VECTOR('',#442537,10.); #134089=VECTOR('',#442542,10.); #134090=VECTOR('',#442547,10.); #134091=VECTOR('',#442548,10.); #134092=VECTOR('',#442549,10.); #134093=VECTOR('',#442554,10.); #134094=VECTOR('',#442559,10.); #134095=VECTOR('',#442560,10.); #134096=VECTOR('',#442567,10.); #134097=VECTOR('',#442568,10.); #134098=VECTOR('',#442569,10.); #134099=VECTOR('',#442570,10.); #134100=VECTOR('',#442573,10.); #134101=VECTOR('',#442574,10.); #134102=VECTOR('',#442575,10.); #134103=VECTOR('',#442582,10.); #134104=VECTOR('',#442585,10.); #134105=VECTOR('',#442586,10.); #134106=VECTOR('',#442587,10.); #134107=VECTOR('',#442594,10.); #134108=VECTOR('',#442597,10.); #134109=VECTOR('',#442598,10.); #134110=VECTOR('',#442605,10.); #134111=VECTOR('',#442606,10.); #134112=VECTOR('',#442607,10.); #134113=VECTOR('',#442608,10.); #134114=VECTOR('',#442611,10.); #134115=VECTOR('',#442612,10.); #134116=VECTOR('',#442613,10.); #134117=VECTOR('',#442620,10.); #134118=VECTOR('',#442623,10.); #134119=VECTOR('',#442624,10.); #134120=VECTOR('',#442625,10.); #134121=VECTOR('',#442632,10.); #134122=VECTOR('',#442635,10.); #134123=VECTOR('',#442636,10.); #134124=VECTOR('',#442643,10.); #134125=VECTOR('',#442644,10.); #134126=VECTOR('',#442645,10.); #134127=VECTOR('',#442646,10.); #134128=VECTOR('',#442649,10.); #134129=VECTOR('',#442650,10.); #134130=VECTOR('',#442651,10.); #134131=VECTOR('',#442658,10.); #134132=VECTOR('',#442661,10.); #134133=VECTOR('',#442662,10.); #134134=VECTOR('',#442663,10.); #134135=VECTOR('',#442670,10.); #134136=VECTOR('',#442673,10.); #134137=VECTOR('',#442674,10.); #134138=VECTOR('',#442681,10.); #134139=VECTOR('',#442682,10.); #134140=VECTOR('',#442683,10.); #134141=VECTOR('',#442684,10.); #134142=VECTOR('',#442687,10.); #134143=VECTOR('',#442688,10.); #134144=VECTOR('',#442689,10.); #134145=VECTOR('',#442694,10.); #134146=VECTOR('',#442699,10.); #134147=VECTOR('',#442700,10.); #134148=VECTOR('',#442701,10.); #134149=VECTOR('',#442706,10.); #134150=VECTOR('',#442711,10.); #134151=VECTOR('',#442712,10.); #134152=VECTOR('',#442719,10.); #134153=VECTOR('',#442720,10.); #134154=VECTOR('',#442721,10.); #134155=VECTOR('',#442722,10.); #134156=VECTOR('',#442725,10.); #134157=VECTOR('',#442726,10.); #134158=VECTOR('',#442727,10.); #134159=VECTOR('',#442732,10.); #134160=VECTOR('',#442737,10.); #134161=VECTOR('',#442738,10.); #134162=VECTOR('',#442739,10.); #134163=VECTOR('',#442744,10.); #134164=VECTOR('',#442749,10.); #134165=VECTOR('',#442750,10.); #134166=VECTOR('',#442757,10.); #134167=VECTOR('',#442758,10.); #134168=VECTOR('',#442759,10.); #134169=VECTOR('',#442760,10.); #134170=VECTOR('',#442763,10.); #134171=VECTOR('',#442764,10.); #134172=VECTOR('',#442765,10.); #134173=VECTOR('',#442770,10.); #134174=VECTOR('',#442775,10.); #134175=VECTOR('',#442776,10.); #134176=VECTOR('',#442777,10.); #134177=VECTOR('',#442782,10.); #134178=VECTOR('',#442787,10.); #134179=VECTOR('',#442788,10.); #134180=VECTOR('',#442795,10.); #134181=VECTOR('',#442796,10.); #134182=VECTOR('',#442797,10.); #134183=VECTOR('',#442798,10.); #134184=VECTOR('',#442801,10.); #134185=VECTOR('',#442802,10.); #134186=VECTOR('',#442803,10.); #134187=VECTOR('',#442806,10.); #134188=VECTOR('',#442807,10.); #134189=VECTOR('',#442808,10.); #134190=VECTOR('',#442811,10.); #134191=VECTOR('',#442812,10.); #134192=VECTOR('',#442819,10.); #134193=VECTOR('',#442820,10.); #134194=VECTOR('',#442821,10.); #134195=VECTOR('',#442822,10.); #134196=VECTOR('',#442825,10.); #134197=VECTOR('',#442826,10.); #134198=VECTOR('',#442827,10.); #134199=VECTOR('',#442830,10.); #134200=VECTOR('',#442831,10.); #134201=VECTOR('',#442832,10.); #134202=VECTOR('',#442835,10.); #134203=VECTOR('',#442836,10.); #134204=VECTOR('',#442843,10.); #134205=VECTOR('',#442844,10.); #134206=VECTOR('',#442845,10.); #134207=VECTOR('',#442846,10.); #134208=VECTOR('',#442849,10.); #134209=VECTOR('',#442850,10.); #134210=VECTOR('',#442851,10.); #134211=VECTOR('',#442854,10.); #134212=VECTOR('',#442855,10.); #134213=VECTOR('',#442856,10.); #134214=VECTOR('',#442859,10.); #134215=VECTOR('',#442860,10.); #134216=VECTOR('',#442867,10.); #134217=VECTOR('',#442868,10.); #134218=VECTOR('',#442869,10.); #134219=VECTOR('',#442870,10.); #134220=VECTOR('',#442873,10.); #134221=VECTOR('',#442874,10.); #134222=VECTOR('',#442875,10.); #134223=VECTOR('',#442878,10.); #134224=VECTOR('',#442879,10.); #134225=VECTOR('',#442880,10.); #134226=VECTOR('',#442883,10.); #134227=VECTOR('',#442884,10.); #134228=VECTOR('',#442891,10.); #134229=VECTOR('',#442892,10.); #134230=VECTOR('',#442893,10.); #134231=VECTOR('',#442894,10.); #134232=VECTOR('',#442897,10.); #134233=VECTOR('',#442898,10.); #134234=VECTOR('',#442899,10.); #134235=VECTOR('',#442902,10.); #134236=VECTOR('',#442903,10.); #134237=VECTOR('',#442904,10.); #134238=VECTOR('',#442907,10.); #134239=VECTOR('',#442908,10.); #134240=VECTOR('',#442915,10.); #134241=VECTOR('',#442916,10.); #134242=VECTOR('',#442917,10.); #134243=VECTOR('',#442918,10.); #134244=VECTOR('',#442921,10.); #134245=VECTOR('',#442922,10.); #134246=VECTOR('',#442923,10.); #134247=VECTOR('',#442926,10.); #134248=VECTOR('',#442927,10.); #134249=VECTOR('',#442928,10.); #134250=VECTOR('',#442931,10.); #134251=VECTOR('',#442932,10.); #134252=VECTOR('',#442939,10.); #134253=VECTOR('',#442940,10.); #134254=VECTOR('',#442941,10.); #134255=VECTOR('',#442942,10.); #134256=VECTOR('',#442945,10.); #134257=VECTOR('',#442946,10.); #134258=VECTOR('',#442947,10.); #134259=VECTOR('',#442950,10.); #134260=VECTOR('',#442951,10.); #134261=VECTOR('',#442952,10.); #134262=VECTOR('',#442955,10.); #134263=VECTOR('',#442956,10.); #134264=VECTOR('',#442963,10.); #134265=VECTOR('',#442964,10.); #134266=VECTOR('',#442965,10.); #134267=VECTOR('',#442966,10.); #134268=VECTOR('',#442969,10.); #134269=VECTOR('',#442970,10.); #134270=VECTOR('',#442971,10.); #134271=VECTOR('',#442974,10.); #134272=VECTOR('',#442975,10.); #134273=VECTOR('',#442976,10.); #134274=VECTOR('',#442979,10.); #134275=VECTOR('',#442980,10.); #134276=VECTOR('',#442993,10.); #134277=VECTOR('',#442994,10.); #134278=VECTOR('',#442995,10.); #134279=VECTOR('',#442996,10.); #134280=VECTOR('',#442999,10.); #134281=VECTOR('',#443000,10.); #134282=VECTOR('',#443001,10.); #134283=VECTOR('',#443004,10.); #134284=VECTOR('',#443005,10.); #134285=VECTOR('',#443006,10.); #134286=VECTOR('',#443009,10.); #134287=VECTOR('',#443010,10.); #134288=VECTOR('',#443013,10.); #134289=VECTOR('',#443014,10.); #134290=VECTOR('',#443015,10.); #134291=VECTOR('',#443018,10.); #134292=VECTOR('',#443019,10.); #134293=VECTOR('',#443024,10.); #134294=VECTOR('',#443025,10.); #134295=VECTOR('',#443028,10.); #134296=VECTOR('',#443033,10.); #134297=VECTOR('',#443034,10.); #134298=VECTOR('',#443035,10.); #134299=VECTOR('',#443036,10.); #134300=VECTOR('',#443039,10.); #134301=VECTOR('',#443040,10.); #134302=VECTOR('',#443041,10.); #134303=VECTOR('',#443044,10.); #134304=VECTOR('',#443045,10.); #134305=VECTOR('',#443046,10.); #134306=VECTOR('',#443049,10.); #134307=VECTOR('',#443050,10.); #134308=VECTOR('',#443057,10.); #134309=VECTOR('',#443058,10.); #134310=VECTOR('',#443059,10.); #134311=VECTOR('',#443060,10.); #134312=VECTOR('',#443063,10.); #134313=VECTOR('',#443064,10.); #134314=VECTOR('',#443065,10.); #134315=VECTOR('',#443068,10.); #134316=VECTOR('',#443069,10.); #134317=VECTOR('',#443070,10.); #134318=VECTOR('',#443073,10.); #134319=VECTOR('',#443074,10.); #134320=VECTOR('',#443081,10.); #134321=VECTOR('',#443082,10.); #134322=VECTOR('',#443083,10.); #134323=VECTOR('',#443084,10.); #134324=VECTOR('',#443087,10.); #134325=VECTOR('',#443088,10.); #134326=VECTOR('',#443089,10.); #134327=VECTOR('',#443092,10.); #134328=VECTOR('',#443093,10.); #134329=VECTOR('',#443094,10.); #134330=VECTOR('',#443097,10.); #134331=VECTOR('',#443098,10.); #134332=VECTOR('',#443105,10.); #134333=VECTOR('',#443106,10.); #134334=VECTOR('',#443107,10.); #134335=VECTOR('',#443108,10.); #134336=VECTOR('',#443111,10.); #134337=VECTOR('',#443112,10.); #134338=VECTOR('',#443113,10.); #134339=VECTOR('',#443116,10.); #134340=VECTOR('',#443117,10.); #134341=VECTOR('',#443118,10.); #134342=VECTOR('',#443121,10.); #134343=VECTOR('',#443122,10.); #134344=VECTOR('',#443137,3.595); #134345=VECTOR('',#443144,5.76); #134346=VECTOR('',#443153,3.5); #134347=VECTOR('',#443156,3.5); #134348=VECTOR('',#443159,3.5); #134349=VECTOR('',#443164,3.5); #134350=VECTOR('',#443169,3.5); #134351=VECTOR('',#443172,3.5); #134352=VECTOR('',#443179,1000.); #134353=VECTOR('',#443180,1000.); #134354=VECTOR('',#443181,1000.); #134355=VECTOR('',#443182,1000.); #134356=VECTOR('',#443183,1000.); #134357=VECTOR('',#443184,1000.); #134358=VECTOR('',#443187,1000.); #134359=VECTOR('',#443188,1000.); #134360=VECTOR('',#443191,1000.); #134361=VECTOR('',#443194,1000.); #134362=VECTOR('',#443197,1000.); #134363=VECTOR('',#443200,1000.); #134364=VECTOR('',#443205,3.5); #134365=VECTOR('',#443210,3.5); #134366=VECTOR('',#443213,3.5); #134367=VECTOR('',#443216,3.5); #134368=VECTOR('',#443219,3.5); #134369=VECTOR('',#443222,3.5); #134370=VECTOR('',#443225,3.5); #134371=VECTOR('',#443228,3.02375); #134372=VECTOR('',#443233,3.02375); #134373=VECTOR('',#443238,3.02375); #134374=VECTOR('',#443241,3.02375); #134375=VECTOR('',#443244,3.02375); #134376=VECTOR('',#443247,3.02375); #134377=VECTOR('',#443250,3.02375); #134378=VECTOR('',#443253,10.); #134379=VECTOR('',#443254,10.); #134380=VECTOR('',#443255,10.); #134381=VECTOR('',#443256,10.); #134382=VECTOR('',#443259,10.); #134383=VECTOR('',#443260,10.); #134384=VECTOR('',#443261,10.); #134385=VECTOR('',#443262,10.); #134386=VECTOR('',#443265,10.); #134387=VECTOR('',#443266,10.); #134388=VECTOR('',#443267,10.); #134389=VECTOR('',#443268,10.); #134390=VECTOR('',#443271,10.); #134391=VECTOR('',#443272,10.); #134392=VECTOR('',#443273,10.); #134393=VECTOR('',#443274,10.); #134394=VECTOR('',#443277,10.); #134395=VECTOR('',#443278,10.); #134396=VECTOR('',#443279,10.); #134397=VECTOR('',#443280,10.); #134398=VECTOR('',#443283,10.); #134399=VECTOR('',#443284,10.); #134400=VECTOR('',#443285,10.); #134401=VECTOR('',#443286,10.); #134402=VECTOR('',#443289,1000.); #134403=VECTOR('',#443290,10.); #134404=VECTOR('',#443291,1000.); #134405=VECTOR('',#443292,10.); #134406=VECTOR('',#443293,10.); #134407=VECTOR('',#443294,10.); #134408=VECTOR('',#443295,10.); #134409=VECTOR('',#443298,1000.); #134410=VECTOR('',#443299,1000.); #134411=VECTOR('',#443300,10.); #134412=VECTOR('',#443303,10.); #134413=VECTOR('',#443304,1000.); #134414=VECTOR('',#443307,10.); #134415=VECTOR('',#443312,10.); #134416=VECTOR('',#443313,10.); #134417=VECTOR('',#443314,10.); #134418=VECTOR('',#443315,10.); #134419=VECTOR('',#443316,10.); #134420=VECTOR('',#443319,10.); #134421=VECTOR('',#443322,1000.); #134422=VECTOR('',#443323,1000.); #134423=VECTOR('',#443324,10.); #134424=VECTOR('',#443325,10.); #134425=VECTOR('',#443326,10.); #134426=VECTOR('',#443327,10.); #134427=VECTOR('',#443330,1000.); #134428=VECTOR('',#443331,1000.); #134429=VECTOR('',#443334,1000.); #134430=VECTOR('',#443337,10.); #134431=VECTOR('',#443338,1000.); #134432=VECTOR('',#443339,1000.); #134433=VECTOR('',#443342,10.); #134434=VECTOR('',#443343,1000.); #134435=VECTOR('',#443346,10.); #134436=VECTOR('',#443347,1000.); #134437=VECTOR('',#443348,1000.); #134438=VECTOR('',#443351,10.); #134439=VECTOR('',#443352,1000.); #134440=VECTOR('',#443355,10.); #134441=VECTOR('',#443356,1000.); #134442=VECTOR('',#443357,1000.); #134443=VECTOR('',#443360,10.); #134444=VECTOR('',#443361,1000.); #134445=VECTOR('',#443364,1000.); #134446=VECTOR('',#443367,1000.); #134447=VECTOR('',#443370,10.); #134448=VECTOR('',#443371,1000.); #134449=VECTOR('',#443372,1000.); #134450=VECTOR('',#443375,10.); #134451=VECTOR('',#443376,1000.); #134452=VECTOR('',#443379,10.); #134453=VECTOR('',#443380,1000.); #134454=VECTOR('',#443381,1000.); #134455=VECTOR('',#443384,10.); #134456=VECTOR('',#443385,1000.); #134457=VECTOR('',#443388,10.); #134458=VECTOR('',#443389,1000.); #134459=VECTOR('',#443390,1000.); #134460=VECTOR('',#443393,10.); #134461=VECTOR('',#443394,1000.); #134462=VECTOR('',#443397,10.); #134463=VECTOR('',#443398,1000.); #134464=VECTOR('',#443399,1000.); #134465=VECTOR('',#443402,10.); #134466=VECTOR('',#443403,1000.); #134467=VECTOR('',#443480,10.); #134468=VECTOR('',#443481,1000.); #134469=VECTOR('',#443482,1000.); #134470=VECTOR('',#443485,10.); #134471=VECTOR('',#443486,1000.); #134472=VECTOR('',#443489,10.); #134473=VECTOR('',#443490,1000.); #134474=VECTOR('',#443491,1000.); #134475=VECTOR('',#443494,10.); #134476=VECTOR('',#443495,1000.); #134477=VECTOR('',#443498,10.); #134478=VECTOR('',#443499,1000.); #134479=VECTOR('',#443500,1000.); #134480=VECTOR('',#443503,10.); #134481=VECTOR('',#443504,1000.); #134482=VECTOR('',#443507,10.); #134483=VECTOR('',#443508,1000.); #134484=VECTOR('',#443509,1000.); #134485=VECTOR('',#443512,10.); #134486=VECTOR('',#443513,1000.); #134487=VECTOR('',#443566,1000.); #134488=VECTOR('',#443569,1000.); #134489=VECTOR('',#443572,10.); #134490=VECTOR('',#443573,1000.); #134491=VECTOR('',#443574,1000.); #134492=VECTOR('',#443577,10.); #134493=VECTOR('',#443578,1000.); #134494=VECTOR('',#443581,10.); #134495=VECTOR('',#443582,1000.); #134496=VECTOR('',#443583,1000.); #134497=VECTOR('',#443586,10.); #134498=VECTOR('',#443587,1000.); #134499=VECTOR('',#443590,10.); #134500=VECTOR('',#443591,1000.); #134501=VECTOR('',#443592,1000.); #134502=VECTOR('',#443595,10.); #134503=VECTOR('',#443596,1000.); #134504=VECTOR('',#443633,3.); #134505=VECTOR('',#443636,10.); #134506=VECTOR('',#443637,10.); #134507=VECTOR('',#443638,1.); #134508=VECTOR('',#443639,10.); #134509=VECTOR('',#443642,10.); #134510=VECTOR('',#443643,1.); #134511=VECTOR('',#443644,1.); #134512=VECTOR('',#443645,10.); #134513=VECTOR('',#443650,1.); #134514=VECTOR('',#443659,1.); #134515=VECTOR('',#443662,1.); #134516=VECTOR('',#443665,10.); #134517=VECTOR('',#443666,10.); #134518=VECTOR('',#443669,10.); #134519=VECTOR('',#443672,1.); #134520=VECTOR('',#443673,10.); #134521=VECTOR('',#443676,10.); #134522=VECTOR('',#443679,1.); #134523=VECTOR('',#443680,10.); #134524=VECTOR('',#443681,10.); #134525=VECTOR('',#443684,10.); #134526=VECTOR('',#443685,1.); #134527=VECTOR('',#443686,10.); #134528=VECTOR('',#443689,10.); #134529=VECTOR('',#443690,1.); #134530=VECTOR('',#443691,10.); #134531=VECTOR('',#443694,10.); #134532=VECTOR('',#443695,10.); #134533=VECTOR('',#443700,10.); #134534=VECTOR('',#443703,10.); #134535=VECTOR('',#443704,10.); #134536=VECTOR('',#443713,10.); #134537=VECTOR('',#443716,10.); #134538=VECTOR('',#443717,10.); #134539=VECTOR('',#443720,1.); #134540=VECTOR('',#443727,10.); #134541=VECTOR('',#443730,10.); #134542=VECTOR('',#443731,1.); #134543=VECTOR('',#443734,10.); #134544=VECTOR('',#443735,10.); #134545=VECTOR('',#443738,10.); #134546=VECTOR('',#443741,10.); #134547=VECTOR('',#443742,10.); #134548=VECTOR('',#443745,1.); #134549=VECTOR('',#443748,10.); #134550=VECTOR('',#443749,1.); #134551=VECTOR('',#443750,10.); #134552=VECTOR('',#443753,10.); #134553=VECTOR('',#443754,1.); #134554=VECTOR('',#443757,10.); #134555=VECTOR('',#443758,10.); #134556=VECTOR('',#443761,10.); #134557=VECTOR('',#443764,1.); #134558=VECTOR('',#443765,10.); #134559=VECTOR('',#443770,10.); #134560=VECTOR('',#443771,10.); #134561=VECTOR('',#443774,10.); #134562=VECTOR('',#443777,10.); #134563=VECTOR('',#443780,10.); #134564=VECTOR('',#443781,10.); #134565=VECTOR('',#443784,1.); #134566=VECTOR('',#443787,10.); #134567=VECTOR('',#443790,10.); #134568=VECTOR('',#443797,10.); #134569=VECTOR('',#443800,1.); #134570=VECTOR('',#443801,1.); #134571=VECTOR('',#443802,10.); #134572=VECTOR('',#443803,10.); #134573=VECTOR('',#443810,1.); #134574=VECTOR('',#443813,1.); #134575=VECTOR('',#443816,1.); #134576=VECTOR('',#443821,1.); #134577=VECTOR('',#443822,10.); #134578=VECTOR('',#443823,10.); #134579=VECTOR('',#443826,1.); #134580=VECTOR('',#443829,10.); #134581=VECTOR('',#443830,10.); #134582=VECTOR('',#443833,10.); #134583=VECTOR('',#443836,1.); #134584=VECTOR('',#443837,10.); #134585=VECTOR('',#443840,10.); #134586=VECTOR('',#443843,1.); #134587=VECTOR('',#443844,10.); #134588=VECTOR('',#443845,10.); #134589=VECTOR('',#443850,10.); #134590=VECTOR('',#443851,10.); #134591=VECTOR('',#443854,1.); #134592=VECTOR('',#443857,10.); #134593=VECTOR('',#443858,10.); #134594=VECTOR('',#443859,10.); #134595=VECTOR('',#443862,10.); #134596=VECTOR('',#443863,10.); #134597=VECTOR('',#443868,10.); #134598=VECTOR('',#443871,10.); #134599=VECTOR('',#443874,10.); #134600=VECTOR('',#443877,1.); #134601=VECTOR('',#443880,10.); #134602=VECTOR('',#443881,10.); #134603=VECTOR('',#443884,10.); #134604=VECTOR('',#443887,10.); #134605=VECTOR('',#443894,10.); #134606=VECTOR('',#443895,10.); #134607=VECTOR('',#443896,1.); #134608=VECTOR('',#443897,10.); #134609=VECTOR('',#443900,10.); #134610=VECTOR('',#443901,1.); #134611=VECTOR('',#443902,1.); #134612=VECTOR('',#443903,10.); #134613=VECTOR('',#443908,1.); #134614=VECTOR('',#443917,1.); #134615=VECTOR('',#443920,1.); #134616=VECTOR('',#443923,10.); #134617=VECTOR('',#443924,10.); #134618=VECTOR('',#443927,10.); #134619=VECTOR('',#443930,1.); #134620=VECTOR('',#443931,10.); #134621=VECTOR('',#443934,10.); #134622=VECTOR('',#443937,1.); #134623=VECTOR('',#443938,10.); #134624=VECTOR('',#443939,10.); #134625=VECTOR('',#443942,10.); #134626=VECTOR('',#443943,1.); #134627=VECTOR('',#443944,10.); #134628=VECTOR('',#443947,10.); #134629=VECTOR('',#443948,1.); #134630=VECTOR('',#443949,10.); #134631=VECTOR('',#443952,10.); #134632=VECTOR('',#443953,10.); #134633=VECTOR('',#443958,10.); #134634=VECTOR('',#443961,10.); #134635=VECTOR('',#443962,10.); #134636=VECTOR('',#443971,10.); #134637=VECTOR('',#443974,10.); #134638=VECTOR('',#443975,10.); #134639=VECTOR('',#443978,1.); #134640=VECTOR('',#443985,10.); #134641=VECTOR('',#443988,10.); #134642=VECTOR('',#443989,1.); #134643=VECTOR('',#443992,10.); #134644=VECTOR('',#443993,10.); #134645=VECTOR('',#443996,10.); #134646=VECTOR('',#443999,10.); #134647=VECTOR('',#444000,10.); #134648=VECTOR('',#444003,1.); #134649=VECTOR('',#444006,10.); #134650=VECTOR('',#444007,1.); #134651=VECTOR('',#444008,10.); #134652=VECTOR('',#444011,10.); #134653=VECTOR('',#444012,1.); #134654=VECTOR('',#444015,10.); #134655=VECTOR('',#444016,10.); #134656=VECTOR('',#444019,10.); #134657=VECTOR('',#444022,1.); #134658=VECTOR('',#444023,10.); #134659=VECTOR('',#444028,10.); #134660=VECTOR('',#444029,10.); #134661=VECTOR('',#444032,10.); #134662=VECTOR('',#444033,1.); #134663=VECTOR('',#444036,10.); #134664=VECTOR('',#444037,1.); #134665=VECTOR('',#444040,10.); #134666=VECTOR('',#444045,10.); #134667=VECTOR('',#444050,10.); #134668=VECTOR('',#444053,10.); #134669=VECTOR('',#444058,1.); #134670=VECTOR('',#444061,1.); #134671=VECTOR('',#444064,10.); #134672=VECTOR('',#444065,10.); #134673=VECTOR('',#444068,1.); #134674=VECTOR('',#444069,10.); #134675=VECTOR('',#444070,10.); #134676=VECTOR('',#444071,1.); #134677=VECTOR('',#444072,1.); #134678=VECTOR('',#444073,10.); #134679=VECTOR('',#444074,10.); #134680=VECTOR('',#444075,10.); #134681=VECTOR('',#444078,1.); #134682=VECTOR('',#444079,10.); #134683=VECTOR('',#444080,10.); #134684=VECTOR('',#444083,1.); #134685=VECTOR('',#444088,10.); #134686=VECTOR('',#444091,10.); #134687=VECTOR('',#444094,1.); #134688=VECTOR('',#444095,10.); #134689=VECTOR('',#444100,10.); #134690=VECTOR('',#444103,1.); #134691=VECTOR('',#444106,10.); #134692=VECTOR('',#444109,10.); #134693=VECTOR('',#444110,1.); #134694=VECTOR('',#444111,10.); #134695=VECTOR('',#444112,10.); #134696=VECTOR('',#444113,1.); #134697=VECTOR('',#444114,1.); #134698=VECTOR('',#444115,10.); #134699=VECTOR('',#444116,10.); #134700=VECTOR('',#444117,10.); #134701=VECTOR('',#444128,10.); #134702=VECTOR('',#444129,10.); #134703=VECTOR('',#444130,10.); #134704=VECTOR('',#444131,10.); #134705=VECTOR('',#444132,10.); #134706=VECTOR('',#444133,10.); #134707=VECTOR('',#444136,10.); #134708=VECTOR('',#444137,10.); #134709=VECTOR('',#444138,10.); #134710=VECTOR('',#444141,10.); #134711=VECTOR('',#444142,10.); #134712=VECTOR('',#444143,10.); #134713=VECTOR('',#444146,10.); #134714=VECTOR('',#444147,10.); #134715=VECTOR('',#444150,10.); #134716=VECTOR('',#444151,10.); #134717=VECTOR('',#444152,10.); #134718=VECTOR('',#444157,1.); #134719=VECTOR('',#444158,1.); #134720=VECTOR('',#444159,1.); #134721=VECTOR('',#444160,10.); #134722=VECTOR('',#444161,1.); #134723=VECTOR('',#444162,10.); #134724=VECTOR('',#444163,1.); #134725=VECTOR('',#444164,1.); #134726=VECTOR('',#444165,1.); #134727=VECTOR('',#444170,10.); #134728=VECTOR('',#444171,10.); #134729=VECTOR('',#444172,1.); #134730=VECTOR('',#444173,1.); #134731=VECTOR('',#444176,10.); #134732=VECTOR('',#444177,10.); #134733=VECTOR('',#444178,1.); #134734=VECTOR('',#444181,10.); #134735=VECTOR('',#444182,10.); #134736=VECTOR('',#444183,1.); #134737=VECTOR('',#444184,1.); #134738=VECTOR('',#444187,10.); #134739=VECTOR('',#444188,10.); #134740=VECTOR('',#444189,1.); #134741=VECTOR('',#444190,10.); #134742=VECTOR('',#444193,10.); #134743=VECTOR('',#444194,1.); #134744=VECTOR('',#444195,1.); #134745=VECTOR('',#444198,10.); #134746=VECTOR('',#444199,1.); #134747=VECTOR('',#444200,10.); #134748=VECTOR('',#444201,1.); #134749=VECTOR('',#444206,10.); #134750=VECTOR('',#444211,10.); #134751=VECTOR('',#444212,1.); #134752=VECTOR('',#444213,1.); #134753=VECTOR('',#444218,1.); #134754=VECTOR('',#444219,10.); #134755=VECTOR('',#444222,1.); #134756=VECTOR('',#444223,10.); #134757=VECTOR('',#444226,10.); #134758=VECTOR('',#444227,10.); #134759=VECTOR('',#444228,1.); #134760=VECTOR('',#444231,1.); #134761=VECTOR('',#444232,10.); #134762=VECTOR('',#444235,10.); #134763=VECTOR('',#444236,10.); #134764=VECTOR('',#444243,10.); #134765=VECTOR('',#444244,1.); #134766=VECTOR('',#444245,10.); #134767=VECTOR('',#444246,1.); #134768=VECTOR('',#444251,10.); #134769=VECTOR('',#444256,10.); #134770=VECTOR('',#444257,1.); #134771=VECTOR('',#444258,1.); #134772=VECTOR('',#444261,10.); #134773=VECTOR('',#444262,10.); #134774=VECTOR('',#444263,10.); #134775=VECTOR('',#444264,10.); #134776=VECTOR('',#444267,1.); #134777=VECTOR('',#444268,1.); #134778=VECTOR('',#444271,10.); #134779=VECTOR('',#444272,1.); #134780=VECTOR('',#444273,10.); #134781=VECTOR('',#444274,1.); #134782=VECTOR('',#444283,10.); #134783=VECTOR('',#444284,10.); #134784=VECTOR('',#444285,10.); #134785=VECTOR('',#444290,1.); #134786=VECTOR('',#444293,1.); #134787=VECTOR('',#444296,10.); #134788=VECTOR('',#444297,1.); #134789=VECTOR('',#444300,1.); #134790=VECTOR('',#444303,1.); #134791=VECTOR('',#444304,1.); #134792=VECTOR('',#444305,10.); #134793=VECTOR('',#444306,10.); #134794=VECTOR('',#444307,1.); #134795=VECTOR('',#444308,1.); #134796=VECTOR('',#444309,1.); #134797=VECTOR('',#444312,10.); #134798=VECTOR('',#444313,1.); #134799=VECTOR('',#444314,1.); #134800=VECTOR('',#444317,10.); #134801=VECTOR('',#444318,1.); #134802=VECTOR('',#444319,1.); #134803=VECTOR('',#444324,1.); #134804=VECTOR('',#444327,10.); #134805=VECTOR('',#444328,1.); #134806=VECTOR('',#444329,1.); #134807=VECTOR('',#444330,10.); #134808=VECTOR('',#444333,10.); #134809=VECTOR('',#444334,1.); #134810=VECTOR('',#444339,10.); #134811=VECTOR('',#444340,1.); #134812=VECTOR('',#444341,1.); #134813=VECTOR('',#444342,1.); #134814=VECTOR('',#444343,10.); #134815=VECTOR('',#444346,10.); #134816=VECTOR('',#444349,10.); #134817=VECTOR('',#444352,10.); #134818=VECTOR('',#444353,1.); #134819=VECTOR('',#444354,1.); #134820=VECTOR('',#444355,10.); #134821=VECTOR('',#444362,10.); #134822=VECTOR('',#444365,10.); #134823=VECTOR('',#444366,1.); #134824=VECTOR('',#444367,10.); #134825=VECTOR('',#444374,10.); #134826=VECTOR('',#444377,10.); #134827=VECTOR('',#444378,1.); #134828=VECTOR('',#444379,1.); #134829=VECTOR('',#444382,10.); #134830=VECTOR('',#444383,1.); #134831=VECTOR('',#444384,1.); #134832=VECTOR('',#444387,10.); #134833=VECTOR('',#444388,1.); #134834=VECTOR('',#444391,10.); #134835=VECTOR('',#444392,1.); #134836=VECTOR('',#444393,1.); #134837=VECTOR('',#444396,10.); #134838=VECTOR('',#444397,1.); #134839=VECTOR('',#444400,10.); #134840=VECTOR('',#444401,1.); #134841=VECTOR('',#444406,10.); #134842=VECTOR('',#444409,10.); #134843=VECTOR('',#444410,10.); #134844=VECTOR('',#444417,10.); #134845=VECTOR('',#444418,1.); #134846=VECTOR('',#444421,1.); #134847=VECTOR('',#444426,10.); #134848=VECTOR('',#444427,10.); #134849=VECTOR('',#444430,10.); #134850=VECTOR('',#444431,10.); #134851=VECTOR('',#444434,10.); #134852=VECTOR('',#444435,10.); #134853=VECTOR('',#444436,10.); #134854=VECTOR('',#444437,10.); #134855=VECTOR('',#444438,10.); #134856=VECTOR('',#444439,10.); #134857=VECTOR('',#444452,10.); #134858=VECTOR('',#444457,10.); #134859=VECTOR('',#444460,10.); #134860=VECTOR('',#444463,10.); #134861=VECTOR('',#444466,10.); #134862=VECTOR('',#444469,10.); #134863=VECTOR('',#444472,10.); #134864=VECTOR('',#444473,10.); #134865=VECTOR('',#444474,10.); #134866=VECTOR('',#444475,10.); #134867=VECTOR('',#444484,10.); #134868=VECTOR('',#444485,10.); #134869=VECTOR('',#444494,10.); #134870=VECTOR('',#444495,10.); #134871=VECTOR('',#444496,1.); #134872=VECTOR('',#444499,1.); #134873=VECTOR('',#444506,10.); #134874=VECTOR('',#444507,10.); #134875=VECTOR('',#444510,10.); #134876=VECTOR('',#444511,10.); #134877=VECTOR('',#444512,1.); #134878=VECTOR('',#444513,10.); #134879=VECTOR('',#444522,10.); #134880=VECTOR('',#444523,1.); #134881=VECTOR('',#444524,10.); #134882=VECTOR('',#444525,10.); #134883=VECTOR('',#444526,1.); #134884=VECTOR('',#444527,10.); #134885=VECTOR('',#444528,10.); #134886=VECTOR('',#444529,10.); #134887=VECTOR('',#444530,10.); #134888=VECTOR('',#444533,10.); #134889=VECTOR('',#444536,1.); #134890=VECTOR('',#444539,10.); #134891=VECTOR('',#444540,1.); #134892=VECTOR('',#444541,10.); #134893=VECTOR('',#444544,1.); #134894=VECTOR('',#444547,10.); #134895=VECTOR('',#444548,10.); #134896=VECTOR('',#444549,10.); #134897=VECTOR('',#444550,10.); #134898=VECTOR('',#444551,1.); #134899=VECTOR('',#444552,1.); #134900=VECTOR('',#444553,10.); #134901=VECTOR('',#444554,10.); #134902=VECTOR('',#444557,10.); #134903=VECTOR('',#444560,10.); #134904=VECTOR('',#444563,10.); #134905=VECTOR('',#444564,1.); #134906=VECTOR('',#444565,10.); #134907=VECTOR('',#444568,1.); #134908=VECTOR('',#444571,10.); #134909=VECTOR('',#444574,10.); #134910=VECTOR('',#444577,10.); #134911=VECTOR('',#444584,10.); #134912=VECTOR('',#444587,10.); #134913=VECTOR('',#444590,10.); #134914=VECTOR('',#444593,10.); #134915=VECTOR('',#444596,10.); #134916=VECTOR('',#444599,10.); #134917=VECTOR('',#444602,10.); #134918=VECTOR('',#444605,10.); #134919=VECTOR('',#444608,1.); #134920=VECTOR('',#444611,10.); #134921=VECTOR('',#444612,1.); #134922=VECTOR('',#444613,1.); #134923=VECTOR('',#444614,1.); #134924=VECTOR('',#444617,10.); #134925=VECTOR('',#444618,1.); #134926=VECTOR('',#444619,1.); #134927=VECTOR('',#444620,1.); #134928=VECTOR('',#444627,1.); #134929=VECTOR('',#444632,1.); #134930=VECTOR('',#444637,1.); #134931=VECTOR('',#444642,10.); #134932=VECTOR('',#444647,10.); #134933=VECTOR('',#444654,10.); #134934=VECTOR('',#444659,10.); #134935=VECTOR('',#444662,10.); #134936=VECTOR('',#444667,10.); #134937=VECTOR('',#444670,10.); #134938=VECTOR('',#444671,1.); #134939=VECTOR('',#444674,10.); #134940=VECTOR('',#444675,1.); #134941=VECTOR('',#444676,1.); #134942=VECTOR('',#444677,1.); #134943=VECTOR('',#444680,10.); #134944=VECTOR('',#444681,1.); #134945=VECTOR('',#444682,1.); #134946=VECTOR('',#444683,1.); #134947=VECTOR('',#444690,1.); #134948=VECTOR('',#444695,1.); #134949=VECTOR('',#444702,1.); #134950=VECTOR('',#444709,10.); #134951=VECTOR('',#444716,10.); #134952=VECTOR('',#444721,10.); #134953=VECTOR('',#444724,10.); #134954=VECTOR('',#444733,1.); #134955=VECTOR('',#444738,1.); #134956=VECTOR('',#444741,10.); #134957=VECTOR('',#444742,10.); #134958=VECTOR('',#444747,10.); #134959=VECTOR('',#444748,10.); #134960=VECTOR('',#444749,10.); #134961=VECTOR('',#444756,10.); #134962=VECTOR('',#444761,10.); #134963=VECTOR('',#444762,10.); #134964=VECTOR('',#444763,10.); #134965=VECTOR('',#444766,1.); #134966=VECTOR('',#444767,10.); #134967=VECTOR('',#444770,10.); #134968=VECTOR('',#444771,10.); #134969=VECTOR('',#444774,10.); #134970=VECTOR('',#444775,10.); #134971=VECTOR('',#444780,10.); #134972=VECTOR('',#444781,10.); #134973=VECTOR('',#444788,10.); #134974=VECTOR('',#444791,10.); #134975=VECTOR('',#444792,10.); #134976=VECTOR('',#444793,1.); #134977=VECTOR('',#444798,10.); #134978=VECTOR('',#444801,10.); #134979=VECTOR('',#444804,10.); #134980=VECTOR('',#444805,1.); #134981=VECTOR('',#444806,10.); #134982=VECTOR('',#444809,10.); #134983=VECTOR('',#444810,10.); #134984=VECTOR('',#444811,10.); #134985=VECTOR('',#444814,10.); #134986=VECTOR('',#444817,10.); #134987=VECTOR('',#444818,10.); #134988=VECTOR('',#444823,10.); #134989=VECTOR('',#444828,10.); #134990=VECTOR('',#444829,10.); #134991=VECTOR('',#444832,10.); #134992=VECTOR('',#444833,10.); #134993=VECTOR('',#444834,10.); #134994=VECTOR('',#444835,10.); #134995=VECTOR('',#444836,1.); #134996=VECTOR('',#444841,10.); #134997=VECTOR('',#444842,10.); #134998=VECTOR('',#444847,10.); #134999=VECTOR('',#444852,1.); #135000=VECTOR('',#444857,10.); #135001=VECTOR('',#444860,1.); #135002=VECTOR('',#444863,1.); #135003=VECTOR('',#444868,10.); #135004=VECTOR('',#444873,1.); #135005=VECTOR('',#444876,1.); #135006=VECTOR('',#444879,10.); #135007=VECTOR('',#444882,1.); #135008=VECTOR('',#444885,1.); #135009=VECTOR('',#444886,1.); #135010=VECTOR('',#444889,1.); #135011=VECTOR('',#444900,1.); #135012=VECTOR('',#444903,1.); #135013=VECTOR('',#444908,1.); #135014=VECTOR('',#444911,1.); #135015=VECTOR('',#444914,0.999999999999929); #135016=VECTOR('',#444917,1.); #135017=VECTOR('',#444922,0.999999999999929); #135018=VECTOR('',#444925,1.); #135019=VECTOR('',#444930,1.); #135020=VECTOR('',#444939,1.); #135021=VECTOR('',#444944,1.); #135022=VECTOR('',#444945,1.); #135023=VECTOR('',#444946,1.); #135024=VECTOR('',#444947,1.); #135025=VECTOR('',#444950,1.); #135026=VECTOR('',#444953,0.999999999999929); #135027=VECTOR('',#444954,1.); #135028=VECTOR('',#444955,0.999999999999929); #135029=VECTOR('',#444960,1.); #135030=VECTOR('',#444965,0.999999999999786); #135031=VECTOR('',#444966,0.999999999999786); #135032=VECTOR('',#444969,1.); #135033=VECTOR('',#444972,1.); #135034=VECTOR('',#444975,1.); #135035=VECTOR('',#444978,1.); #135036=VECTOR('',#444981,1.); #135037=VECTOR('',#444984,1.); #135038=VECTOR('',#444985,0.999999999999929); #135039=VECTOR('',#444986,0.999999999999929); #135040=VECTOR('',#444989,1.); #135041=VECTOR('',#444996,0.999999999999786); #135042=VECTOR('',#444997,0.999999999999786); #135043=VECTOR('',#445000,0.999999999999929); #135044=VECTOR('',#445003,0.999999999999786); #135045=VECTOR('',#445006,0.999999999999786); #135046=VECTOR('',#445011,0.999999999999929); #135047=VECTOR('',#445014,0.999999999999786); #135048=VECTOR('',#445017,0.999999999999786); #135049=VECTOR('',#445024,1.); #135050=VECTOR('',#445027,1.); #135051=VECTOR('',#445032,1.); #135052=VECTOR('',#445035,1.); #135053=VECTOR('',#445038,0.999999999999929); #135054=VECTOR('',#445041,1.); #135055=VECTOR('',#445046,0.999999999999929); #135056=VECTOR('',#445049,1.); #135057=VECTOR('',#445056,1.); #135058=VECTOR('',#445063,1.); #135059=VECTOR('',#445068,1.); #135060=VECTOR('',#445069,1.); #135061=VECTOR('',#445070,1.); #135062=VECTOR('',#445071,1.); #135063=VECTOR('',#445074,1.); #135064=VECTOR('',#445077,1.); #135065=VECTOR('',#445078,0.999999999999929); #135066=VECTOR('',#445079,0.999999999999929); #135067=VECTOR('',#445082,0.999999999999786); #135068=VECTOR('',#445083,1.); #135069=VECTOR('',#445084,0.999999999999786); #135070=VECTOR('',#445087,1.); #135071=VECTOR('',#445090,1.); #135072=VECTOR('',#445093,1.); #135073=VECTOR('',#445096,1.); #135074=VECTOR('',#445099,1.); #135075=VECTOR('',#445102,0.999999999999929); #135076=VECTOR('',#445103,1.); #135077=VECTOR('',#445104,0.999999999999929); #135078=VECTOR('',#445107,1.); #135079=VECTOR('',#445108,0.999999999999786); #135080=VECTOR('',#445109,0.999999999999786); #135081=VECTOR('',#445112,0.999999999999929); #135082=VECTOR('',#445115,0.999999999999786); #135083=VECTOR('',#445122,0.999999999999786); #135084=VECTOR('',#445127,0.999999999999929); #135085=VECTOR('',#445130,0.999999999999786); #135086=VECTOR('',#445133,0.999999999999786); #135087=VECTOR('',#445154,0.15); #135088=VECTOR('',#445161,10.); #135089=VECTOR('',#445162,10.); #135090=VECTOR('',#445163,10.); #135091=VECTOR('',#445164,10.); #135092=VECTOR('',#445167,10.); #135093=VECTOR('',#445168,10.); #135094=VECTOR('',#445169,10.); #135095=VECTOR('',#445172,10.); #135096=VECTOR('',#445173,10.); #135097=VECTOR('',#445174,10.); #135098=VECTOR('',#445177,10.); #135099=VECTOR('',#445178,10.); #135100=VECTOR('',#445181,10.); #135101=VECTOR('',#445182,10.); #135102=VECTOR('',#445183,10.); #135103=VECTOR('',#445186,10.); #135104=VECTOR('',#445187,10.); #135105=VECTOR('',#445192,10.); #135106=VECTOR('',#445193,10.); #135107=VECTOR('',#445196,10.); #135108=VECTOR('',#445203,10.); #135109=VECTOR('',#445204,10.); #135110=VECTOR('',#445205,10.); #135111=VECTOR('',#445208,10.); #135112=VECTOR('',#445211,10.); #135113=VECTOR('',#445214,10.); #135114=VECTOR('',#445215,10.); #135115=VECTOR('',#445216,10.); #135116=VECTOR('',#445217,10.); #135117=VECTOR('',#445220,10.); #135118=VECTOR('',#445221,10.); #135119=VECTOR('',#445224,10.); #135120=VECTOR('',#445225,10.); #135121=VECTOR('',#445226,10.); #135122=VECTOR('',#445229,10.); #135123=VECTOR('',#445230,10.); #135124=VECTOR('',#445239,10.); #135125=VECTOR('',#445240,10.); #135126=VECTOR('',#445241,10.); #135127=VECTOR('',#445244,10.); #135128=VECTOR('',#445247,10.); #135129=VECTOR('',#445250,10.); #135130=VECTOR('',#445251,10.); #135131=VECTOR('',#445252,10.); #135132=VECTOR('',#445253,10.); #135133=VECTOR('',#445256,10.); #135134=VECTOR('',#445257,10.); #135135=VECTOR('',#445260,10.); #135136=VECTOR('',#445261,10.); #135137=VECTOR('',#445262,10.); #135138=VECTOR('',#445265,10.); #135139=VECTOR('',#445266,10.); #135140=VECTOR('',#445275,10.); #135141=VECTOR('',#445276,10.); #135142=VECTOR('',#445277,10.); #135143=VECTOR('',#445280,10.); #135144=VECTOR('',#445283,10.); #135145=VECTOR('',#445286,10.); #135146=VECTOR('',#445287,10.); #135147=VECTOR('',#445288,10.); #135148=VECTOR('',#445289,10.); #135149=VECTOR('',#445292,10.); #135150=VECTOR('',#445293,10.); #135151=VECTOR('',#445296,10.); #135152=VECTOR('',#445297,10.); #135153=VECTOR('',#445298,10.); #135154=VECTOR('',#445301,10.); #135155=VECTOR('',#445302,10.); #135156=VECTOR('',#445311,10.); #135157=VECTOR('',#445312,10.); #135158=VECTOR('',#445313,10.); #135159=VECTOR('',#445316,10.); #135160=VECTOR('',#445319,10.); #135161=VECTOR('',#445322,10.); #135162=VECTOR('',#445323,10.); #135163=VECTOR('',#445324,10.); #135164=VECTOR('',#445325,10.); #135165=VECTOR('',#445328,10.); #135166=VECTOR('',#445329,10.); #135167=VECTOR('',#445332,10.); #135168=VECTOR('',#445333,10.); #135169=VECTOR('',#445334,10.); #135170=VECTOR('',#445337,10.); #135171=VECTOR('',#445338,10.); #135172=VECTOR('',#445347,10.); #135173=VECTOR('',#445348,10.); #135174=VECTOR('',#445349,10.); #135175=VECTOR('',#445352,10.); #135176=VECTOR('',#445355,10.); #135177=VECTOR('',#445358,10.); #135178=VECTOR('',#445359,10.); #135179=VECTOR('',#445360,10.); #135180=VECTOR('',#445361,10.); #135181=VECTOR('',#445364,10.); #135182=VECTOR('',#445365,10.); #135183=VECTOR('',#445368,10.); #135184=VECTOR('',#445369,10.); #135185=VECTOR('',#445370,10.); #135186=VECTOR('',#445373,10.); #135187=VECTOR('',#445374,10.); #135188=VECTOR('',#445383,10.); #135189=VECTOR('',#445384,10.); #135190=VECTOR('',#445385,10.); #135191=VECTOR('',#445388,10.); #135192=VECTOR('',#445391,10.); #135193=VECTOR('',#445394,10.); #135194=VECTOR('',#445395,10.); #135195=VECTOR('',#445396,10.); #135196=VECTOR('',#445397,10.); #135197=VECTOR('',#445400,10.); #135198=VECTOR('',#445401,10.); #135199=VECTOR('',#445404,10.); #135200=VECTOR('',#445405,10.); #135201=VECTOR('',#445406,10.); #135202=VECTOR('',#445409,10.); #135203=VECTOR('',#445410,10.); #135204=VECTOR('',#445419,10.); #135205=VECTOR('',#445420,10.); #135206=VECTOR('',#445421,10.); #135207=VECTOR('',#445424,10.); #135208=VECTOR('',#445427,10.); #135209=VECTOR('',#445430,10.); #135210=VECTOR('',#445431,10.); #135211=VECTOR('',#445432,10.); #135212=VECTOR('',#445433,10.); #135213=VECTOR('',#445436,10.); #135214=VECTOR('',#445437,10.); #135215=VECTOR('',#445440,10.); #135216=VECTOR('',#445441,10.); #135217=VECTOR('',#445442,10.); #135218=VECTOR('',#445445,10.); #135219=VECTOR('',#445446,10.); #135220=VECTOR('',#445455,10.); #135221=VECTOR('',#445456,10.); #135222=VECTOR('',#445457,10.); #135223=VECTOR('',#445460,10.); #135224=VECTOR('',#445463,10.); #135225=VECTOR('',#445466,10.); #135226=VECTOR('',#445467,10.); #135227=VECTOR('',#445468,10.); #135228=VECTOR('',#445469,10.); #135229=VECTOR('',#445472,10.); #135230=VECTOR('',#445473,10.); #135231=VECTOR('',#445476,10.); #135232=VECTOR('',#445477,10.); #135233=VECTOR('',#445478,10.); #135234=VECTOR('',#445481,10.); #135235=VECTOR('',#445482,10.); #135236=VECTOR('',#445491,10.); #135237=VECTOR('',#445492,10.); #135238=VECTOR('',#445493,10.); #135239=VECTOR('',#445496,10.); #135240=VECTOR('',#445499,10.); #135241=VECTOR('',#445502,10.); #135242=VECTOR('',#445503,10.); #135243=VECTOR('',#445504,10.); #135244=VECTOR('',#445505,10.); #135245=VECTOR('',#445508,10.); #135246=VECTOR('',#445509,10.); #135247=VECTOR('',#445512,10.); #135248=VECTOR('',#445513,10.); #135249=VECTOR('',#445514,10.); #135250=VECTOR('',#445517,10.); #135251=VECTOR('',#445518,10.); #135252=VECTOR('',#445527,10.); #135253=VECTOR('',#445528,10.); #135254=VECTOR('',#445529,10.); #135255=VECTOR('',#445532,10.); #135256=VECTOR('',#445535,10.); #135257=VECTOR('',#445538,10.); #135258=VECTOR('',#445539,10.); #135259=VECTOR('',#445540,10.); #135260=VECTOR('',#445541,10.); #135261=VECTOR('',#445544,10.); #135262=VECTOR('',#445545,10.); #135263=VECTOR('',#445548,10.); #135264=VECTOR('',#445549,10.); #135265=VECTOR('',#445550,10.); #135266=VECTOR('',#445553,10.); #135267=VECTOR('',#445554,10.); #135268=VECTOR('',#445563,10.); #135269=VECTOR('',#445564,10.); #135270=VECTOR('',#445565,10.); #135271=VECTOR('',#445568,10.); #135272=VECTOR('',#445571,10.); #135273=VECTOR('',#445574,10.); #135274=VECTOR('',#445575,10.); #135275=VECTOR('',#445576,10.); #135276=VECTOR('',#445577,10.); #135277=VECTOR('',#445580,10.); #135278=VECTOR('',#445581,10.); #135279=VECTOR('',#445584,10.); #135280=VECTOR('',#445585,10.); #135281=VECTOR('',#445586,10.); #135282=VECTOR('',#445589,10.); #135283=VECTOR('',#445590,10.); #135284=VECTOR('',#445599,10.); #135285=VECTOR('',#445600,10.); #135286=VECTOR('',#445601,10.); #135287=VECTOR('',#445604,10.); #135288=VECTOR('',#445607,10.); #135289=VECTOR('',#445610,10.); #135290=VECTOR('',#445611,10.); #135291=VECTOR('',#445612,10.); #135292=VECTOR('',#445613,10.); #135293=VECTOR('',#445616,10.); #135294=VECTOR('',#445617,10.); #135295=VECTOR('',#445620,10.); #135296=VECTOR('',#445621,10.); #135297=VECTOR('',#445622,10.); #135298=VECTOR('',#445625,10.); #135299=VECTOR('',#445626,10.); #135300=VECTOR('',#445635,10.); #135301=VECTOR('',#445636,10.); #135302=VECTOR('',#445637,10.); #135303=VECTOR('',#445640,10.); #135304=VECTOR('',#445643,10.); #135305=VECTOR('',#445646,10.); #135306=VECTOR('',#445647,10.); #135307=VECTOR('',#445648,10.); #135308=VECTOR('',#445649,10.); #135309=VECTOR('',#445652,10.); #135310=VECTOR('',#445653,10.); #135311=VECTOR('',#445656,10.); #135312=VECTOR('',#445657,10.); #135313=VECTOR('',#445658,10.); #135314=VECTOR('',#445661,10.); #135315=VECTOR('',#445662,10.); #135316=VECTOR('',#445671,10.); #135317=VECTOR('',#445672,10.); #135318=VECTOR('',#445673,10.); #135319=VECTOR('',#445676,10.); #135320=VECTOR('',#445679,10.); #135321=VECTOR('',#445682,10.); #135322=VECTOR('',#445683,10.); #135323=VECTOR('',#445684,10.); #135324=VECTOR('',#445685,10.); #135325=VECTOR('',#445688,10.); #135326=VECTOR('',#445689,10.); #135327=VECTOR('',#445692,10.); #135328=VECTOR('',#445693,10.); #135329=VECTOR('',#445694,10.); #135330=VECTOR('',#445697,10.); #135331=VECTOR('',#445698,10.); #135332=VECTOR('',#445707,10.); #135333=VECTOR('',#445708,10.); #135334=VECTOR('',#445709,10.); #135335=VECTOR('',#445712,10.); #135336=VECTOR('',#445715,10.); #135337=VECTOR('',#445718,10.); #135338=VECTOR('',#445719,10.); #135339=VECTOR('',#445720,10.); #135340=VECTOR('',#445721,10.); #135341=VECTOR('',#445724,10.); #135342=VECTOR('',#445725,10.); #135343=VECTOR('',#445728,10.); #135344=VECTOR('',#445729,10.); #135345=VECTOR('',#445730,10.); #135346=VECTOR('',#445733,10.); #135347=VECTOR('',#445734,10.); #135348=VECTOR('',#445743,10.); #135349=VECTOR('',#445744,10.); #135350=VECTOR('',#445745,10.); #135351=VECTOR('',#445748,10.); #135352=VECTOR('',#445751,10.); #135353=VECTOR('',#445754,10.); #135354=VECTOR('',#445755,10.); #135355=VECTOR('',#445756,10.); #135356=VECTOR('',#445757,10.); #135357=VECTOR('',#445760,10.); #135358=VECTOR('',#445761,10.); #135359=VECTOR('',#445764,10.); #135360=VECTOR('',#445765,10.); #135361=VECTOR('',#445766,10.); #135362=VECTOR('',#445769,10.); #135363=VECTOR('',#445770,10.); #135364=VECTOR('',#445779,10.); #135365=VECTOR('',#445780,10.); #135366=VECTOR('',#445781,10.); #135367=VECTOR('',#445784,10.); #135368=VECTOR('',#445787,10.); #135369=VECTOR('',#445790,10.); #135370=VECTOR('',#445791,10.); #135371=VECTOR('',#445792,10.); #135372=VECTOR('',#445793,10.); #135373=VECTOR('',#445796,10.); #135374=VECTOR('',#445797,10.); #135375=VECTOR('',#445800,10.); #135376=VECTOR('',#445801,10.); #135377=VECTOR('',#445802,10.); #135378=VECTOR('',#445805,10.); #135379=VECTOR('',#445806,10.); #135380=VECTOR('',#445815,10.); #135381=VECTOR('',#445816,10.); #135382=VECTOR('',#445817,10.); #135383=VECTOR('',#445820,10.); #135384=VECTOR('',#445823,10.); #135385=VECTOR('',#445826,10.); #135386=VECTOR('',#445827,10.); #135387=VECTOR('',#445828,10.); #135388=VECTOR('',#445829,10.); #135389=VECTOR('',#445832,10.); #135390=VECTOR('',#445833,10.); #135391=VECTOR('',#445836,10.); #135392=VECTOR('',#445837,10.); #135393=VECTOR('',#445838,10.); #135394=VECTOR('',#445841,10.); #135395=VECTOR('',#445842,10.); #135396=VECTOR('',#445851,10.); #135397=VECTOR('',#445852,10.); #135398=VECTOR('',#445853,10.); #135399=VECTOR('',#445856,10.); #135400=VECTOR('',#445859,10.); #135401=VECTOR('',#445862,10.); #135402=VECTOR('',#445863,10.); #135403=VECTOR('',#445864,10.); #135404=VECTOR('',#445865,10.); #135405=VECTOR('',#445868,10.); #135406=VECTOR('',#445869,10.); #135407=VECTOR('',#445872,10.); #135408=VECTOR('',#445873,10.); #135409=VECTOR('',#445874,10.); #135410=VECTOR('',#445877,10.); #135411=VECTOR('',#445878,10.); #135412=VECTOR('',#445887,10.); #135413=VECTOR('',#445888,10.); #135414=VECTOR('',#445889,10.); #135415=VECTOR('',#445892,10.); #135416=VECTOR('',#445895,10.); #135417=VECTOR('',#445898,10.); #135418=VECTOR('',#445899,10.); #135419=VECTOR('',#445900,10.); #135420=VECTOR('',#445901,10.); #135421=VECTOR('',#445904,10.); #135422=VECTOR('',#445905,10.); #135423=VECTOR('',#445908,10.); #135424=VECTOR('',#445909,10.); #135425=VECTOR('',#445910,10.); #135426=VECTOR('',#445913,10.); #135427=VECTOR('',#445914,10.); #135428=VECTOR('',#445923,10.); #135429=VECTOR('',#445924,10.); #135430=VECTOR('',#445925,10.); #135431=VECTOR('',#445928,10.); #135432=VECTOR('',#445931,10.); #135433=VECTOR('',#445934,10.); #135434=VECTOR('',#445935,10.); #135435=VECTOR('',#445936,10.); #135436=VECTOR('',#445937,10.); #135437=VECTOR('',#445940,10.); #135438=VECTOR('',#445941,10.); #135439=VECTOR('',#445944,10.); #135440=VECTOR('',#445945,10.); #135441=VECTOR('',#445946,10.); #135442=VECTOR('',#445949,10.); #135443=VECTOR('',#445950,10.); #135444=VECTOR('',#445959,10.); #135445=VECTOR('',#445960,10.); #135446=VECTOR('',#445961,10.); #135447=VECTOR('',#445964,10.); #135448=VECTOR('',#445967,10.); #135449=VECTOR('',#445970,10.); #135450=VECTOR('',#445971,10.); #135451=VECTOR('',#445972,10.); #135452=VECTOR('',#445973,10.); #135453=VECTOR('',#445976,10.); #135454=VECTOR('',#445977,10.); #135455=VECTOR('',#445980,10.); #135456=VECTOR('',#445981,10.); #135457=VECTOR('',#445982,10.); #135458=VECTOR('',#445985,10.); #135459=VECTOR('',#445986,10.); #135460=VECTOR('',#445995,10.); #135461=VECTOR('',#445996,10.); #135462=VECTOR('',#445997,10.); #135463=VECTOR('',#446000,10.); #135464=VECTOR('',#446003,10.); #135465=VECTOR('',#446006,10.); #135466=VECTOR('',#446007,10.); #135467=VECTOR('',#446008,10.); #135468=VECTOR('',#446009,10.); #135469=VECTOR('',#446012,10.); #135470=VECTOR('',#446013,10.); #135471=VECTOR('',#446016,10.); #135472=VECTOR('',#446017,10.); #135473=VECTOR('',#446018,10.); #135474=VECTOR('',#446021,10.); #135475=VECTOR('',#446022,10.); #135476=VECTOR('',#446031,10.); #135477=VECTOR('',#446032,10.); #135478=VECTOR('',#446033,10.); #135479=VECTOR('',#446036,10.); #135480=VECTOR('',#446039,10.); #135481=VECTOR('',#446042,10.); #135482=VECTOR('',#446043,10.); #135483=VECTOR('',#446044,10.); #135484=VECTOR('',#446045,10.); #135485=VECTOR('',#446048,10.); #135486=VECTOR('',#446049,10.); #135487=VECTOR('',#446052,10.); #135488=VECTOR('',#446053,10.); #135489=VECTOR('',#446054,10.); #135490=VECTOR('',#446057,10.); #135491=VECTOR('',#446058,10.); #135492=VECTOR('',#446067,10.); #135493=VECTOR('',#446068,10.); #135494=VECTOR('',#446069,10.); #135495=VECTOR('',#446074,10.); #135496=VECTOR('',#446075,10.); #135497=VECTOR('',#446078,10.); #135498=VECTOR('',#446079,10.); #135499=VECTOR('',#446080,10.); #135500=VECTOR('',#446083,10.); #135501=VECTOR('',#446084,10.); #135502=VECTOR('',#446085,10.); #135503=VECTOR('',#446088,10.); #135504=VECTOR('',#446089,10.); #135505=VECTOR('',#446090,10.); #135506=VECTOR('',#446093,10.); #135507=VECTOR('',#446094,10.); #135508=VECTOR('',#446103,10.); #135509=VECTOR('',#446104,10.); #135510=VECTOR('',#446105,10.); #135511=VECTOR('',#446110,10.); #135512=VECTOR('',#446111,10.); #135513=VECTOR('',#446114,10.); #135514=VECTOR('',#446115,10.); #135515=VECTOR('',#446116,10.); #135516=VECTOR('',#446119,10.); #135517=VECTOR('',#446120,10.); #135518=VECTOR('',#446121,10.); #135519=VECTOR('',#446124,10.); #135520=VECTOR('',#446125,10.); #135521=VECTOR('',#446126,10.); #135522=VECTOR('',#446129,10.); #135523=VECTOR('',#446130,10.); #135524=VECTOR('',#446139,10.); #135525=VECTOR('',#446140,10.); #135526=VECTOR('',#446141,10.); #135527=VECTOR('',#446146,10.); #135528=VECTOR('',#446147,10.); #135529=VECTOR('',#446150,10.); #135530=VECTOR('',#446151,10.); #135531=VECTOR('',#446152,10.); #135532=VECTOR('',#446155,10.); #135533=VECTOR('',#446156,10.); #135534=VECTOR('',#446157,10.); #135535=VECTOR('',#446160,10.); #135536=VECTOR('',#446161,10.); #135537=VECTOR('',#446162,10.); #135538=VECTOR('',#446165,10.); #135539=VECTOR('',#446166,10.); #135540=VECTOR('',#446175,10.); #135541=VECTOR('',#446176,10.); #135542=VECTOR('',#446177,10.); #135543=VECTOR('',#446182,10.); #135544=VECTOR('',#446183,10.); #135545=VECTOR('',#446186,10.); #135546=VECTOR('',#446187,10.); #135547=VECTOR('',#446188,10.); #135548=VECTOR('',#446191,10.); #135549=VECTOR('',#446192,10.); #135550=VECTOR('',#446193,10.); #135551=VECTOR('',#446196,10.); #135552=VECTOR('',#446197,10.); #135553=VECTOR('',#446198,10.); #135554=VECTOR('',#446201,10.); #135555=VECTOR('',#446202,10.); #135556=VECTOR('',#446211,10.); #135557=VECTOR('',#446212,10.); #135558=VECTOR('',#446213,10.); #135559=VECTOR('',#446218,10.); #135560=VECTOR('',#446219,10.); #135561=VECTOR('',#446222,10.); #135562=VECTOR('',#446223,10.); #135563=VECTOR('',#446224,10.); #135564=VECTOR('',#446227,10.); #135565=VECTOR('',#446228,10.); #135566=VECTOR('',#446229,10.); #135567=VECTOR('',#446232,10.); #135568=VECTOR('',#446233,10.); #135569=VECTOR('',#446234,10.); #135570=VECTOR('',#446237,10.); #135571=VECTOR('',#446238,10.); #135572=VECTOR('',#446247,10.); #135573=VECTOR('',#446248,10.); #135574=VECTOR('',#446249,10.); #135575=VECTOR('',#446254,10.); #135576=VECTOR('',#446255,10.); #135577=VECTOR('',#446258,10.); #135578=VECTOR('',#446259,10.); #135579=VECTOR('',#446260,10.); #135580=VECTOR('',#446263,10.); #135581=VECTOR('',#446264,10.); #135582=VECTOR('',#446265,10.); #135583=VECTOR('',#446268,10.); #135584=VECTOR('',#446269,10.); #135585=VECTOR('',#446270,10.); #135586=VECTOR('',#446273,10.); #135587=VECTOR('',#446274,10.); #135588=VECTOR('',#446283,10.); #135589=VECTOR('',#446284,10.); #135590=VECTOR('',#446285,10.); #135591=VECTOR('',#446290,10.); #135592=VECTOR('',#446291,10.); #135593=VECTOR('',#446294,10.); #135594=VECTOR('',#446295,10.); #135595=VECTOR('',#446296,10.); #135596=VECTOR('',#446299,10.); #135597=VECTOR('',#446300,10.); #135598=VECTOR('',#446301,10.); #135599=VECTOR('',#446304,10.); #135600=VECTOR('',#446305,10.); #135601=VECTOR('',#446306,10.); #135602=VECTOR('',#446309,10.); #135603=VECTOR('',#446310,10.); #135604=VECTOR('',#446319,10.); #135605=VECTOR('',#446320,10.); #135606=VECTOR('',#446321,10.); #135607=VECTOR('',#446326,10.); #135608=VECTOR('',#446327,10.); #135609=VECTOR('',#446330,10.); #135610=VECTOR('',#446331,10.); #135611=VECTOR('',#446332,10.); #135612=VECTOR('',#446335,10.); #135613=VECTOR('',#446336,10.); #135614=VECTOR('',#446337,10.); #135615=VECTOR('',#446340,10.); #135616=VECTOR('',#446341,10.); #135617=VECTOR('',#446342,10.); #135618=VECTOR('',#446345,10.); #135619=VECTOR('',#446346,10.); #135620=VECTOR('',#446355,10.); #135621=VECTOR('',#446356,10.); #135622=VECTOR('',#446357,10.); #135623=VECTOR('',#446362,10.); #135624=VECTOR('',#446363,10.); #135625=VECTOR('',#446366,10.); #135626=VECTOR('',#446367,10.); #135627=VECTOR('',#446368,10.); #135628=VECTOR('',#446371,10.); #135629=VECTOR('',#446372,10.); #135630=VECTOR('',#446373,10.); #135631=VECTOR('',#446376,10.); #135632=VECTOR('',#446377,10.); #135633=VECTOR('',#446378,10.); #135634=VECTOR('',#446381,10.); #135635=VECTOR('',#446382,10.); #135636=VECTOR('',#446391,10.); #135637=VECTOR('',#446392,10.); #135638=VECTOR('',#446393,10.); #135639=VECTOR('',#446398,10.); #135640=VECTOR('',#446399,10.); #135641=VECTOR('',#446402,10.); #135642=VECTOR('',#446403,10.); #135643=VECTOR('',#446404,10.); #135644=VECTOR('',#446407,10.); #135645=VECTOR('',#446408,10.); #135646=VECTOR('',#446409,10.); #135647=VECTOR('',#446412,10.); #135648=VECTOR('',#446413,10.); #135649=VECTOR('',#446414,10.); #135650=VECTOR('',#446417,10.); #135651=VECTOR('',#446418,10.); #135652=VECTOR('',#446427,10.); #135653=VECTOR('',#446428,10.); #135654=VECTOR('',#446429,10.); #135655=VECTOR('',#446434,10.); #135656=VECTOR('',#446435,10.); #135657=VECTOR('',#446438,10.); #135658=VECTOR('',#446439,10.); #135659=VECTOR('',#446440,10.); #135660=VECTOR('',#446443,10.); #135661=VECTOR('',#446444,10.); #135662=VECTOR('',#446445,10.); #135663=VECTOR('',#446448,10.); #135664=VECTOR('',#446449,10.); #135665=VECTOR('',#446450,10.); #135666=VECTOR('',#446453,10.); #135667=VECTOR('',#446454,10.); #135668=VECTOR('',#446463,10.); #135669=VECTOR('',#446464,10.); #135670=VECTOR('',#446465,10.); #135671=VECTOR('',#446470,10.); #135672=VECTOR('',#446471,10.); #135673=VECTOR('',#446474,10.); #135674=VECTOR('',#446475,10.); #135675=VECTOR('',#446476,10.); #135676=VECTOR('',#446479,10.); #135677=VECTOR('',#446480,10.); #135678=VECTOR('',#446481,10.); #135679=VECTOR('',#446484,10.); #135680=VECTOR('',#446485,10.); #135681=VECTOR('',#446486,10.); #135682=VECTOR('',#446489,10.); #135683=VECTOR('',#446490,10.); #135684=VECTOR('',#446499,10.); #135685=VECTOR('',#446500,10.); #135686=VECTOR('',#446501,10.); #135687=VECTOR('',#446506,10.); #135688=VECTOR('',#446507,10.); #135689=VECTOR('',#446510,10.); #135690=VECTOR('',#446511,10.); #135691=VECTOR('',#446512,10.); #135692=VECTOR('',#446515,10.); #135693=VECTOR('',#446516,10.); #135694=VECTOR('',#446517,10.); #135695=VECTOR('',#446520,10.); #135696=VECTOR('',#446521,10.); #135697=VECTOR('',#446522,10.); #135698=VECTOR('',#446525,10.); #135699=VECTOR('',#446526,10.); #135700=VECTOR('',#446535,10.); #135701=VECTOR('',#446536,10.); #135702=VECTOR('',#446537,10.); #135703=VECTOR('',#446542,10.); #135704=VECTOR('',#446543,10.); #135705=VECTOR('',#446546,10.); #135706=VECTOR('',#446547,10.); #135707=VECTOR('',#446548,10.); #135708=VECTOR('',#446551,10.); #135709=VECTOR('',#446552,10.); #135710=VECTOR('',#446553,10.); #135711=VECTOR('',#446556,10.); #135712=VECTOR('',#446557,10.); #135713=VECTOR('',#446558,10.); #135714=VECTOR('',#446561,10.); #135715=VECTOR('',#446562,10.); #135716=VECTOR('',#446571,10.); #135717=VECTOR('',#446572,10.); #135718=VECTOR('',#446573,10.); #135719=VECTOR('',#446578,10.); #135720=VECTOR('',#446579,10.); #135721=VECTOR('',#446582,10.); #135722=VECTOR('',#446583,10.); #135723=VECTOR('',#446584,10.); #135724=VECTOR('',#446587,10.); #135725=VECTOR('',#446588,10.); #135726=VECTOR('',#446589,10.); #135727=VECTOR('',#446592,10.); #135728=VECTOR('',#446593,10.); #135729=VECTOR('',#446594,10.); #135730=VECTOR('',#446597,10.); #135731=VECTOR('',#446598,10.); #135732=VECTOR('',#446607,10.); #135733=VECTOR('',#446608,10.); #135734=VECTOR('',#446609,10.); #135735=VECTOR('',#446614,10.); #135736=VECTOR('',#446615,10.); #135737=VECTOR('',#446618,10.); #135738=VECTOR('',#446619,10.); #135739=VECTOR('',#446620,10.); #135740=VECTOR('',#446623,10.); #135741=VECTOR('',#446624,10.); #135742=VECTOR('',#446625,10.); #135743=VECTOR('',#446628,10.); #135744=VECTOR('',#446629,10.); #135745=VECTOR('',#446630,10.); #135746=VECTOR('',#446633,10.); #135747=VECTOR('',#446634,10.); #135748=VECTOR('',#446643,10.); #135749=VECTOR('',#446644,10.); #135750=VECTOR('',#446645,10.); #135751=VECTOR('',#446650,10.); #135752=VECTOR('',#446651,10.); #135753=VECTOR('',#446654,10.); #135754=VECTOR('',#446655,10.); #135755=VECTOR('',#446656,10.); #135756=VECTOR('',#446659,10.); #135757=VECTOR('',#446660,10.); #135758=VECTOR('',#446661,10.); #135759=VECTOR('',#446664,10.); #135760=VECTOR('',#446665,10.); #135761=VECTOR('',#446666,10.); #135762=VECTOR('',#446669,10.); #135763=VECTOR('',#446670,10.); #135764=VECTOR('',#446679,10.); #135765=VECTOR('',#446680,10.); #135766=VECTOR('',#446681,10.); #135767=VECTOR('',#446686,10.); #135768=VECTOR('',#446687,10.); #135769=VECTOR('',#446690,10.); #135770=VECTOR('',#446691,10.); #135771=VECTOR('',#446692,10.); #135772=VECTOR('',#446695,10.); #135773=VECTOR('',#446696,10.); #135774=VECTOR('',#446697,10.); #135775=VECTOR('',#446700,10.); #135776=VECTOR('',#446701,10.); #135777=VECTOR('',#446702,10.); #135778=VECTOR('',#446705,10.); #135779=VECTOR('',#446706,10.); #135780=VECTOR('',#446715,10.); #135781=VECTOR('',#446716,10.); #135782=VECTOR('',#446717,10.); #135783=VECTOR('',#446722,10.); #135784=VECTOR('',#446723,10.); #135785=VECTOR('',#446726,10.); #135786=VECTOR('',#446727,10.); #135787=VECTOR('',#446728,10.); #135788=VECTOR('',#446731,10.); #135789=VECTOR('',#446732,10.); #135790=VECTOR('',#446733,10.); #135791=VECTOR('',#446736,10.); #135792=VECTOR('',#446737,10.); #135793=VECTOR('',#446738,10.); #135794=VECTOR('',#446741,10.); #135795=VECTOR('',#446742,10.); #135796=VECTOR('',#446751,10.); #135797=VECTOR('',#446752,10.); #135798=VECTOR('',#446753,10.); #135799=VECTOR('',#446758,10.); #135800=VECTOR('',#446759,10.); #135801=VECTOR('',#446762,10.); #135802=VECTOR('',#446763,10.); #135803=VECTOR('',#446764,10.); #135804=VECTOR('',#446767,10.); #135805=VECTOR('',#446768,10.); #135806=VECTOR('',#446769,10.); #135807=VECTOR('',#446772,10.); #135808=VECTOR('',#446773,10.); #135809=VECTOR('',#446774,10.); #135810=VECTOR('',#446777,10.); #135811=VECTOR('',#446778,10.); #135812=VECTOR('',#446787,10.); #135813=VECTOR('',#446788,10.); #135814=VECTOR('',#446789,10.); #135815=VECTOR('',#446794,10.); #135816=VECTOR('',#446795,10.); #135817=VECTOR('',#446798,10.); #135818=VECTOR('',#446799,10.); #135819=VECTOR('',#446800,10.); #135820=VECTOR('',#446803,10.); #135821=VECTOR('',#446804,10.); #135822=VECTOR('',#446805,10.); #135823=VECTOR('',#446808,10.); #135824=VECTOR('',#446809,10.); #135825=VECTOR('',#446810,10.); #135826=VECTOR('',#446813,10.); #135827=VECTOR('',#446814,10.); #135828=VECTOR('',#446823,10.); #135829=VECTOR('',#446824,10.); #135830=VECTOR('',#446825,10.); #135831=VECTOR('',#446830,10.); #135832=VECTOR('',#446831,10.); #135833=VECTOR('',#446834,10.); #135834=VECTOR('',#446835,10.); #135835=VECTOR('',#446836,10.); #135836=VECTOR('',#446839,10.); #135837=VECTOR('',#446840,10.); #135838=VECTOR('',#446841,10.); #135839=VECTOR('',#446844,10.); #135840=VECTOR('',#446845,10.); #135841=VECTOR('',#446846,10.); #135842=VECTOR('',#446849,10.); #135843=VECTOR('',#446850,10.); #135844=VECTOR('',#446859,10.); #135845=VECTOR('',#446860,10.); #135846=VECTOR('',#446861,10.); #135847=VECTOR('',#446866,10.); #135848=VECTOR('',#446867,10.); #135849=VECTOR('',#446870,10.); #135850=VECTOR('',#446871,10.); #135851=VECTOR('',#446872,10.); #135852=VECTOR('',#446875,10.); #135853=VECTOR('',#446876,10.); #135854=VECTOR('',#446877,10.); #135855=VECTOR('',#446880,10.); #135856=VECTOR('',#446881,10.); #135857=VECTOR('',#446882,10.); #135858=VECTOR('',#446885,10.); #135859=VECTOR('',#446886,10.); #135860=VECTOR('',#446895,10.); #135861=VECTOR('',#446896,10.); #135862=VECTOR('',#446897,10.); #135863=VECTOR('',#446902,10.); #135864=VECTOR('',#446903,10.); #135865=VECTOR('',#446906,10.); #135866=VECTOR('',#446907,10.); #135867=VECTOR('',#446908,10.); #135868=VECTOR('',#446911,10.); #135869=VECTOR('',#446912,10.); #135870=VECTOR('',#446913,10.); #135871=VECTOR('',#446916,10.); #135872=VECTOR('',#446917,10.); #135873=VECTOR('',#446918,10.); #135874=VECTOR('',#446921,10.); #135875=VECTOR('',#446922,10.); #135876=VECTOR('',#446929,10.); #135877=VECTOR('',#446930,10.); #135878=VECTOR('',#446931,10.); #135879=VECTOR('',#446932,10.); #135880=VECTOR('',#446935,10.); #135881=VECTOR('',#446936,10.); #135882=VECTOR('',#446937,10.); #135883=VECTOR('',#446940,10.); #135884=VECTOR('',#446941,10.); #135885=VECTOR('',#446942,10.); #135886=VECTOR('',#446945,10.); #135887=VECTOR('',#446946,10.); #135888=VECTOR('',#446999,10.); #135889=VECTOR('',#447000,10.); #135890=VECTOR('',#447019,10.); #135891=VECTOR('',#447020,10.); #135892=VECTOR('',#447023,10.); #135893=VECTOR('',#447024,10.); #135894=VECTOR('',#447035,10.); #135895=VECTOR('',#447036,10.); #135896=VECTOR('',#447039,10.); #135897=VECTOR('',#447040,10.); #135898=VECTOR('',#447043,10.); #135899=VECTOR('',#447044,10.); #135900=VECTOR('',#447047,10.); #135901=VECTOR('',#447048,10.); #135902=VECTOR('',#447051,10.); #135903=VECTOR('',#447052,10.); #135904=VECTOR('',#447055,10.); #135905=VECTOR('',#447056,10.); #135906=VECTOR('',#447059,10.); #135907=VECTOR('',#447060,10.); #135908=VECTOR('',#447063,10.); #135909=VECTOR('',#447064,10.); #135910=VECTOR('',#447067,10.); #135911=VECTOR('',#447068,10.); #135912=VECTOR('',#447123,10.); #135913=VECTOR('',#447124,10.); #135914=VECTOR('',#447143,10.); #135915=VECTOR('',#447144,10.); #135916=VECTOR('',#447147,10.); #135917=VECTOR('',#447148,10.); #135918=VECTOR('',#447159,10.); #135919=VECTOR('',#447160,10.); #135920=VECTOR('',#447163,10.); #135921=VECTOR('',#447164,10.); #135922=VECTOR('',#447167,10.); #135923=VECTOR('',#447168,10.); #135924=VECTOR('',#447171,10.); #135925=VECTOR('',#447172,10.); #135926=VECTOR('',#447175,10.); #135927=VECTOR('',#447176,10.); #135928=VECTOR('',#447179,10.); #135929=VECTOR('',#447180,10.); #135930=VECTOR('',#447183,10.); #135931=VECTOR('',#447184,10.); #135932=VECTOR('',#447187,10.); #135933=VECTOR('',#447188,10.); #135934=VECTOR('',#447191,10.); #135935=VECTOR('',#447192,10.); #135936=VECTOR('',#447207,10.); #135937=VECTOR('',#447208,10.); #135938=VECTOR('',#447209,10.); #135939=VECTOR('',#447210,10.); #135940=VECTOR('',#447213,10.); #135941=VECTOR('',#447214,10.); #135942=VECTOR('',#447215,10.); #135943=VECTOR('',#447218,10.); #135944=VECTOR('',#447219,10.); #135945=VECTOR('',#447220,10.); #135946=VECTOR('',#447223,10.); #135947=VECTOR('',#447224,10.); #135948=VECTOR('',#447237,10.); #135949=VECTOR('',#447238,10.); #135950=VECTOR('',#447239,10.); #135951=VECTOR('',#447240,10.); #135952=VECTOR('',#447243,10.); #135953=VECTOR('',#447244,10.); #135954=VECTOR('',#447245,10.); #135955=VECTOR('',#447248,10.); #135956=VECTOR('',#447249,10.); #135957=VECTOR('',#447250,10.); #135958=VECTOR('',#447253,10.); #135959=VECTOR('',#447254,10.); #135960=VECTOR('',#447257,10.); #135961=VECTOR('',#447258,10.); #135962=VECTOR('',#447259,10.); #135963=VECTOR('',#447262,10.); #135964=VECTOR('',#447263,10.); #135965=VECTOR('',#447268,10.); #135966=VECTOR('',#447269,10.); #135967=VECTOR('',#447272,10.); #135968=VECTOR('',#447277,10.); #135969=VECTOR('',#447278,10.); #135970=VECTOR('',#447279,10.); #135971=VECTOR('',#447280,10.); #135972=VECTOR('',#447283,10.); #135973=VECTOR('',#447284,10.); #135974=VECTOR('',#447285,10.); #135975=VECTOR('',#447288,10.); #135976=VECTOR('',#447289,10.); #135977=VECTOR('',#447290,10.); #135978=VECTOR('',#447293,10.); #135979=VECTOR('',#447294,10.); #135980=VECTOR('',#447301,10.); #135981=VECTOR('',#447302,10.); #135982=VECTOR('',#447303,10.); #135983=VECTOR('',#447304,10.); #135984=VECTOR('',#447307,10.); #135985=VECTOR('',#447308,10.); #135986=VECTOR('',#447309,10.); #135987=VECTOR('',#447312,10.); #135988=VECTOR('',#447313,10.); #135989=VECTOR('',#447314,10.); #135990=VECTOR('',#447317,10.); #135991=VECTOR('',#447318,10.); #135992=VECTOR('',#447325,10.); #135993=VECTOR('',#447326,10.); #135994=VECTOR('',#447327,10.); #135995=VECTOR('',#447328,10.); #135996=VECTOR('',#447331,10.); #135997=VECTOR('',#447332,10.); #135998=VECTOR('',#447333,10.); #135999=VECTOR('',#447336,10.); #136000=VECTOR('',#447337,10.); #136001=VECTOR('',#447338,10.); #136002=VECTOR('',#447341,10.); #136003=VECTOR('',#447342,10.); #136004=VECTOR('',#447349,10.); #136005=VECTOR('',#447350,10.); #136006=VECTOR('',#447351,10.); #136007=VECTOR('',#447352,10.); #136008=VECTOR('',#447355,10.); #136009=VECTOR('',#447356,10.); #136010=VECTOR('',#447357,10.); #136011=VECTOR('',#447360,10.); #136012=VECTOR('',#447361,10.); #136013=VECTOR('',#447362,10.); #136014=VECTOR('',#447365,10.); #136015=VECTOR('',#447366,10.); #136016=VECTOR('',#447373,10.); #136017=VECTOR('',#447374,10.); #136018=VECTOR('',#447375,10.); #136019=VECTOR('',#447376,10.); #136020=VECTOR('',#447379,10.); #136021=VECTOR('',#447380,10.); #136022=VECTOR('',#447381,10.); #136023=VECTOR('',#447384,10.); #136024=VECTOR('',#447385,10.); #136025=VECTOR('',#447386,10.); #136026=VECTOR('',#447389,10.); #136027=VECTOR('',#447390,10.); #136028=VECTOR('',#447405,0.1995); #136029=VECTOR('',#447412,10.); #136030=VECTOR('',#447413,10.); #136031=VECTOR('',#447414,10.); #136032=VECTOR('',#447415,10.); #136033=VECTOR('',#447418,10.); #136034=VECTOR('',#447419,10.); #136035=VECTOR('',#447420,10.); #136036=VECTOR('',#447423,10.); #136037=VECTOR('',#447424,10.); #136038=VECTOR('',#447425,10.); #136039=VECTOR('',#447428,10.); #136040=VECTOR('',#447429,10.); #136041=VECTOR('',#447432,10.); #136042=VECTOR('',#447433,10.); #136043=VECTOR('',#447434,10.); #136044=VECTOR('',#447437,10.); #136045=VECTOR('',#447438,10.); #136046=VECTOR('',#447443,10.); #136047=VECTOR('',#447444,10.); #136048=VECTOR('',#447445,10.); #136049=VECTOR('',#447446,10.); #136050=VECTOR('',#447449,10.); #136051=VECTOR('',#447450,10.); #136052=VECTOR('',#447453,10.); #136053=VECTOR('',#447454,10.); #136054=VECTOR('',#447455,10.); #136055=VECTOR('',#447458,10.); #136056=VECTOR('',#447461,10.); #136057=VECTOR('',#447470,10.); #136058=VECTOR('',#447473,10.); #136059=VECTOR('',#447474,10.); #136060=VECTOR('',#447475,10.); #136061=VECTOR('',#447478,10.); #136062=VECTOR('',#447481,10.); #136063=VECTOR('',#447482,10.); #136064=VECTOR('',#447483,10.); #136065=VECTOR('',#447486,10.); #136066=VECTOR('',#447487,10.); #136067=VECTOR('',#447488,10.); #136068=VECTOR('',#447493,10.); #136069=VECTOR('',#447496,10.); #136070=VECTOR('',#447497,10.); #136071=VECTOR('',#447500,10.); #136072=VECTOR('',#447501,10.); #136073=VECTOR('',#447504,10.); #136074=VECTOR('',#447505,10.); #136075=VECTOR('',#447510,10.); #136076=VECTOR('',#447513,10.); #136077=VECTOR('',#447514,10.); #136078=VECTOR('',#447519,10.); #136079=VECTOR('',#447522,10.); #136080=VECTOR('',#447523,10.); #136081=VECTOR('',#447526,10.); #136082=VECTOR('',#447527,10.); #136083=VECTOR('',#447530,10.); #136084=VECTOR('',#447531,10.); #136085=VECTOR('',#447542,10.); #136086=VECTOR('',#447543,10.); #136087=VECTOR('',#447544,10.); #136088=VECTOR('',#447547,10.); #136089=VECTOR('',#447550,10.); #136090=VECTOR('',#447551,10.); #136091=VECTOR('',#447552,10.); #136092=VECTOR('',#447555,10.); #136093=VECTOR('',#447558,10.); #136094=VECTOR('',#447559,10.); #136095=VECTOR('',#447560,10.); #136096=VECTOR('',#447563,10.); #136097=VECTOR('',#447568,10.); #136098=VECTOR('',#447569,10.); #136099=VECTOR('',#447572,10.); #136100=VECTOR('',#447573,10.); #136101=VECTOR('',#447576,10.); #136102=VECTOR('',#447577,10.); #136103=VECTOR('',#447580,10.); #136104=VECTOR('',#447585,10.); #136105=VECTOR('',#447586,10.); #136106=VECTOR('',#447589,10.); #136107=VECTOR('',#447594,10.); #136108=VECTOR('',#447595,10.); #136109=VECTOR('',#447598,10.); #136110=VECTOR('',#447599,10.); #136111=VECTOR('',#447602,10.); #136112=VECTOR('',#447603,10.); #136113=VECTOR('',#447614,10.); #136114=VECTOR('',#447617,10.); #136115=VECTOR('',#447618,10.); #136116=VECTOR('',#447619,10.); #136117=VECTOR('',#447622,10.); #136118=VECTOR('',#447625,10.); #136119=VECTOR('',#447626,10.); #136120=VECTOR('',#447627,10.); #136121=VECTOR('',#447630,10.); #136122=VECTOR('',#447631,10.); #136123=VECTOR('',#447632,10.); #136124=VECTOR('',#447637,10.); #136125=VECTOR('',#447640,10.); #136126=VECTOR('',#447641,10.); #136127=VECTOR('',#447644,10.); #136128=VECTOR('',#447645,10.); #136129=VECTOR('',#447648,10.); #136130=VECTOR('',#447649,10.); #136131=VECTOR('',#447654,10.); #136132=VECTOR('',#447657,10.); #136133=VECTOR('',#447658,10.); #136134=VECTOR('',#447663,10.); #136135=VECTOR('',#447666,10.); #136136=VECTOR('',#447667,10.); #136137=VECTOR('',#447670,10.); #136138=VECTOR('',#447671,10.); #136139=VECTOR('',#447674,10.); #136140=VECTOR('',#447675,10.); #136141=VECTOR('',#447686,10.); #136142=VECTOR('',#447689,10.); #136143=VECTOR('',#447690,10.); #136144=VECTOR('',#447691,10.); #136145=VECTOR('',#447694,10.); #136146=VECTOR('',#447697,10.); #136147=VECTOR('',#447698,10.); #136148=VECTOR('',#447699,10.); #136149=VECTOR('',#447702,10.); #136150=VECTOR('',#447703,10.); #136151=VECTOR('',#447704,10.); #136152=VECTOR('',#447709,10.); #136153=VECTOR('',#447712,10.); #136154=VECTOR('',#447713,10.); #136155=VECTOR('',#447716,10.); #136156=VECTOR('',#447717,10.); #136157=VECTOR('',#447720,10.); #136158=VECTOR('',#447721,10.); #136159=VECTOR('',#447726,10.); #136160=VECTOR('',#447729,10.); #136161=VECTOR('',#447730,10.); #136162=VECTOR('',#447735,10.); #136163=VECTOR('',#447738,10.); #136164=VECTOR('',#447739,10.); #136165=VECTOR('',#447742,10.); #136166=VECTOR('',#447743,10.); #136167=VECTOR('',#447746,10.); #136168=VECTOR('',#447747,10.); #136169=VECTOR('',#447758,10.); #136170=VECTOR('',#447761,10.); #136171=VECTOR('',#447762,10.); #136172=VECTOR('',#447763,10.); #136173=VECTOR('',#447766,10.); #136174=VECTOR('',#447769,10.); #136175=VECTOR('',#447770,10.); #136176=VECTOR('',#447771,10.); #136177=VECTOR('',#447774,10.); #136178=VECTOR('',#447775,10.); #136179=VECTOR('',#447776,10.); #136180=VECTOR('',#447781,10.); #136181=VECTOR('',#447784,10.); #136182=VECTOR('',#447785,10.); #136183=VECTOR('',#447788,10.); #136184=VECTOR('',#447789,10.); #136185=VECTOR('',#447792,10.); #136186=VECTOR('',#447793,10.); #136187=VECTOR('',#447798,10.); #136188=VECTOR('',#447801,10.); #136189=VECTOR('',#447802,10.); #136190=VECTOR('',#447807,10.); #136191=VECTOR('',#447810,10.); #136192=VECTOR('',#447811,10.); #136193=VECTOR('',#447814,10.); #136194=VECTOR('',#447815,10.); #136195=VECTOR('',#447818,10.); #136196=VECTOR('',#447819,10.); #136197=VECTOR('',#447830,10.); #136198=VECTOR('',#447831,10.); #136199=VECTOR('',#447832,10.); #136200=VECTOR('',#447835,10.); #136201=VECTOR('',#447838,10.); #136202=VECTOR('',#447839,10.); #136203=VECTOR('',#447840,10.); #136204=VECTOR('',#447843,10.); #136205=VECTOR('',#447846,10.); #136206=VECTOR('',#447847,10.); #136207=VECTOR('',#447848,10.); #136208=VECTOR('',#447851,10.); #136209=VECTOR('',#447856,10.); #136210=VECTOR('',#447857,10.); #136211=VECTOR('',#447860,10.); #136212=VECTOR('',#447861,10.); #136213=VECTOR('',#447864,10.); #136214=VECTOR('',#447865,10.); #136215=VECTOR('',#447868,10.); #136216=VECTOR('',#447873,10.); #136217=VECTOR('',#447874,10.); #136218=VECTOR('',#447877,10.); #136219=VECTOR('',#447882,10.); #136220=VECTOR('',#447883,10.); #136221=VECTOR('',#447886,10.); #136222=VECTOR('',#447887,10.); #136223=VECTOR('',#447890,10.); #136224=VECTOR('',#447891,10.); #136225=VECTOR('',#447902,10.); #136226=VECTOR('',#447903,10.); #136227=VECTOR('',#447904,10.); #136228=VECTOR('',#447907,10.); #136229=VECTOR('',#447910,10.); #136230=VECTOR('',#447911,10.); #136231=VECTOR('',#447912,10.); #136232=VECTOR('',#447915,10.); #136233=VECTOR('',#447918,10.); #136234=VECTOR('',#447919,10.); #136235=VECTOR('',#447920,10.); #136236=VECTOR('',#447923,10.); #136237=VECTOR('',#447928,10.); #136238=VECTOR('',#447929,10.); #136239=VECTOR('',#447932,10.); #136240=VECTOR('',#447933,10.); #136241=VECTOR('',#447936,10.); #136242=VECTOR('',#447937,10.); #136243=VECTOR('',#447940,10.); #136244=VECTOR('',#447945,10.); #136245=VECTOR('',#447946,10.); #136246=VECTOR('',#447949,10.); #136247=VECTOR('',#447954,10.); #136248=VECTOR('',#447955,10.); #136249=VECTOR('',#447958,10.); #136250=VECTOR('',#447959,10.); #136251=VECTOR('',#447962,10.); #136252=VECTOR('',#447963,10.); #136253=VECTOR('',#447974,10.); #136254=VECTOR('',#447975,10.); #136255=VECTOR('',#447976,10.); #136256=VECTOR('',#447979,10.); #136257=VECTOR('',#447982,10.); #136258=VECTOR('',#447983,10.); #136259=VECTOR('',#447984,10.); #136260=VECTOR('',#447987,10.); #136261=VECTOR('',#447990,10.); #136262=VECTOR('',#447991,10.); #136263=VECTOR('',#447992,10.); #136264=VECTOR('',#447995,10.); #136265=VECTOR('',#448000,10.); #136266=VECTOR('',#448001,10.); #136267=VECTOR('',#448004,10.); #136268=VECTOR('',#448005,10.); #136269=VECTOR('',#448008,10.); #136270=VECTOR('',#448009,10.); #136271=VECTOR('',#448012,10.); #136272=VECTOR('',#448017,10.); #136273=VECTOR('',#448018,10.); #136274=VECTOR('',#448021,10.); #136275=VECTOR('',#448026,10.); #136276=VECTOR('',#448027,10.); #136277=VECTOR('',#448030,10.); #136278=VECTOR('',#448031,10.); #136279=VECTOR('',#448034,10.); #136280=VECTOR('',#448035,10.); #136281=VECTOR('',#448052,0.085); #136282=VECTOR('',#448059,10.); #136283=VECTOR('',#448060,10.); #136284=VECTOR('',#448061,10.); #136285=VECTOR('',#448062,10.); #136286=VECTOR('',#448065,10.); #136287=VECTOR('',#448066,10.); #136288=VECTOR('',#448067,10.); #136289=VECTOR('',#448070,10.); #136290=VECTOR('',#448071,10.); #136291=VECTOR('',#448072,10.); #136292=VECTOR('',#448075,10.); #136293=VECTOR('',#448076,10.); #136294=VECTOR('',#448079,10.); #136295=VECTOR('',#448080,10.); #136296=VECTOR('',#448081,10.); #136297=VECTOR('',#448084,10.); #136298=VECTOR('',#448085,10.); #136299=VECTOR('',#448090,10.); #136300=VECTOR('',#448091,10.); #136301=VECTOR('',#448092,10.); #136302=VECTOR('',#448093,10.); #136303=VECTOR('',#448096,10.); #136304=VECTOR('',#448097,10.); #136305=VECTOR('',#448100,10.); #136306=VECTOR('',#448101,10.); #136307=VECTOR('',#448102,10.); #136308=VECTOR('',#448105,10.); #136309=VECTOR('',#448108,10.); #136310=VECTOR('',#448117,10.); #136311=VECTOR('',#448118,10.); #136312=VECTOR('',#448119,10.); #136313=VECTOR('',#448122,10.); #136314=VECTOR('',#448125,10.); #136315=VECTOR('',#448126,10.); #136316=VECTOR('',#448127,10.); #136317=VECTOR('',#448130,10.); #136318=VECTOR('',#448133,10.); #136319=VECTOR('',#448134,10.); #136320=VECTOR('',#448135,10.); #136321=VECTOR('',#448138,10.); #136322=VECTOR('',#448139,10.); #136323=VECTOR('',#448142,10.); #136324=VECTOR('',#448143,10.); #136325=VECTOR('',#448148,10.); #136326=VECTOR('',#448151,10.); #136327=VECTOR('',#448152,10.); #136328=VECTOR('',#448157,10.); #136329=VECTOR('',#448160,10.); #136330=VECTOR('',#448161,10.); #136331=VECTOR('',#448164,10.); #136332=VECTOR('',#448165,10.); #136333=VECTOR('',#448168,10.); #136334=VECTOR('',#448169,10.); #136335=VECTOR('',#448174,10.); #136336=VECTOR('',#448177,10.); #136337=VECTOR('',#448178,10.); #136338=VECTOR('',#448189,10.); #136339=VECTOR('',#448190,10.); #136340=VECTOR('',#448191,10.); #136341=VECTOR('',#448194,10.); #136342=VECTOR('',#448197,10.); #136343=VECTOR('',#448198,10.); #136344=VECTOR('',#448199,10.); #136345=VECTOR('',#448202,10.); #136346=VECTOR('',#448205,10.); #136347=VECTOR('',#448206,10.); #136348=VECTOR('',#448207,10.); #136349=VECTOR('',#448210,10.); #136350=VECTOR('',#448211,10.); #136351=VECTOR('',#448214,10.); #136352=VECTOR('',#448215,10.); #136353=VECTOR('',#448220,10.); #136354=VECTOR('',#448223,10.); #136355=VECTOR('',#448224,10.); #136356=VECTOR('',#448229,10.); #136357=VECTOR('',#448232,10.); #136358=VECTOR('',#448233,10.); #136359=VECTOR('',#448236,10.); #136360=VECTOR('',#448237,10.); #136361=VECTOR('',#448240,10.); #136362=VECTOR('',#448241,10.); #136363=VECTOR('',#448246,10.); #136364=VECTOR('',#448249,10.); #136365=VECTOR('',#448250,10.); #136366=VECTOR('',#448261,10.); #136367=VECTOR('',#448262,10.); #136368=VECTOR('',#448263,10.); #136369=VECTOR('',#448266,10.); #136370=VECTOR('',#448269,10.); #136371=VECTOR('',#448270,10.); #136372=VECTOR('',#448271,10.); #136373=VECTOR('',#448274,10.); #136374=VECTOR('',#448277,10.); #136375=VECTOR('',#448278,10.); #136376=VECTOR('',#448279,10.); #136377=VECTOR('',#448282,10.); #136378=VECTOR('',#448283,10.); #136379=VECTOR('',#448286,10.); #136380=VECTOR('',#448287,10.); #136381=VECTOR('',#448292,10.); #136382=VECTOR('',#448295,10.); #136383=VECTOR('',#448296,10.); #136384=VECTOR('',#448301,10.); #136385=VECTOR('',#448304,10.); #136386=VECTOR('',#448305,10.); #136387=VECTOR('',#448308,10.); #136388=VECTOR('',#448309,10.); #136389=VECTOR('',#448312,10.); #136390=VECTOR('',#448313,10.); #136391=VECTOR('',#448318,10.); #136392=VECTOR('',#448321,10.); #136393=VECTOR('',#448322,10.); #136394=VECTOR('',#448333,10.); #136395=VECTOR('',#448334,10.); #136396=VECTOR('',#448335,10.); #136397=VECTOR('',#448338,10.); #136398=VECTOR('',#448341,10.); #136399=VECTOR('',#448342,10.); #136400=VECTOR('',#448343,10.); #136401=VECTOR('',#448346,10.); #136402=VECTOR('',#448349,10.); #136403=VECTOR('',#448350,10.); #136404=VECTOR('',#448351,10.); #136405=VECTOR('',#448354,10.); #136406=VECTOR('',#448355,10.); #136407=VECTOR('',#448358,10.); #136408=VECTOR('',#448359,10.); #136409=VECTOR('',#448364,10.); #136410=VECTOR('',#448367,10.); #136411=VECTOR('',#448368,10.); #136412=VECTOR('',#448373,10.); #136413=VECTOR('',#448376,10.); #136414=VECTOR('',#448377,10.); #136415=VECTOR('',#448380,10.); #136416=VECTOR('',#448381,10.); #136417=VECTOR('',#448384,10.); #136418=VECTOR('',#448385,10.); #136419=VECTOR('',#448390,10.); #136420=VECTOR('',#448393,10.); #136421=VECTOR('',#448394,10.); #136422=VECTOR('',#448405,10.); #136423=VECTOR('',#448406,10.); #136424=VECTOR('',#448407,10.); #136425=VECTOR('',#448410,10.); #136426=VECTOR('',#448413,10.); #136427=VECTOR('',#448414,10.); #136428=VECTOR('',#448415,10.); #136429=VECTOR('',#448418,10.); #136430=VECTOR('',#448421,10.); #136431=VECTOR('',#448422,10.); #136432=VECTOR('',#448423,10.); #136433=VECTOR('',#448426,10.); #136434=VECTOR('',#448427,10.); #136435=VECTOR('',#448430,10.); #136436=VECTOR('',#448431,10.); #136437=VECTOR('',#448436,10.); #136438=VECTOR('',#448439,10.); #136439=VECTOR('',#448440,10.); #136440=VECTOR('',#448445,10.); #136441=VECTOR('',#448448,10.); #136442=VECTOR('',#448449,10.); #136443=VECTOR('',#448452,10.); #136444=VECTOR('',#448453,10.); #136445=VECTOR('',#448456,10.); #136446=VECTOR('',#448457,10.); #136447=VECTOR('',#448462,10.); #136448=VECTOR('',#448465,10.); #136449=VECTOR('',#448466,10.); #136450=VECTOR('',#448521,10.); #136451=VECTOR('',#448522,10.); #136452=VECTOR('',#448541,10.); #136453=VECTOR('',#448542,10.); #136454=VECTOR('',#448545,10.); #136455=VECTOR('',#448546,10.); #136456=VECTOR('',#448557,10.); #136457=VECTOR('',#448558,10.); #136458=VECTOR('',#448561,10.); #136459=VECTOR('',#448562,10.); #136460=VECTOR('',#448565,10.); #136461=VECTOR('',#448566,10.); #136462=VECTOR('',#448569,10.); #136463=VECTOR('',#448570,10.); #136464=VECTOR('',#448573,10.); #136465=VECTOR('',#448574,10.); #136466=VECTOR('',#448577,10.); #136467=VECTOR('',#448578,10.); #136468=VECTOR('',#448581,10.); #136469=VECTOR('',#448582,10.); #136470=VECTOR('',#448585,10.); #136471=VECTOR('',#448586,10.); #136472=VECTOR('',#448589,10.); #136473=VECTOR('',#448590,10.); #136474=VECTOR('',#448645,10.); #136475=VECTOR('',#448646,10.); #136476=VECTOR('',#448665,10.); #136477=VECTOR('',#448666,10.); #136478=VECTOR('',#448669,10.); #136479=VECTOR('',#448670,10.); #136480=VECTOR('',#448681,10.); #136481=VECTOR('',#448682,10.); #136482=VECTOR('',#448685,10.); #136483=VECTOR('',#448686,10.); #136484=VECTOR('',#448689,10.); #136485=VECTOR('',#448690,10.); #136486=VECTOR('',#448693,10.); #136487=VECTOR('',#448694,10.); #136488=VECTOR('',#448697,10.); #136489=VECTOR('',#448698,10.); #136490=VECTOR('',#448701,10.); #136491=VECTOR('',#448702,10.); #136492=VECTOR('',#448705,10.); #136493=VECTOR('',#448706,10.); #136494=VECTOR('',#448709,10.); #136495=VECTOR('',#448710,10.); #136496=VECTOR('',#448713,10.); #136497=VECTOR('',#448714,10.); #136498=VECTOR('',#448729,10.); #136499=VECTOR('',#448730,10.); #136500=VECTOR('',#448731,10.); #136501=VECTOR('',#448732,10.); #136502=VECTOR('',#448735,10.); #136503=VECTOR('',#448736,10.); #136504=VECTOR('',#448737,10.); #136505=VECTOR('',#448740,10.); #136506=VECTOR('',#448741,10.); #136507=VECTOR('',#448742,10.); #136508=VECTOR('',#448745,10.); #136509=VECTOR('',#448746,10.); #136510=VECTOR('',#448759,1.); #136511=VECTOR('',#448760,1.00000000000065); #136512=VECTOR('',#448761,1.); #136513=VECTOR('',#448762,1.00000000000065); #136514=VECTOR('',#448765,1.); #136515=VECTOR('',#448766,1.00000000000065); #136516=VECTOR('',#448767,1.); #136517=VECTOR('',#448770,1.); #136518=VECTOR('',#448771,1.00000000000065); #136519=VECTOR('',#448772,1.); #136520=VECTOR('',#448775,1.); #136521=VECTOR('',#448776,1.); #136522=VECTOR('',#448779,1.); #136523=VECTOR('',#448780,1.); #136524=VECTOR('',#448781,1.); #136525=VECTOR('',#448784,1.); #136526=VECTOR('',#448785,1.); #136527=VECTOR('',#448790,1.); #136528=VECTOR('',#448791,1.00000000000065); #136529=VECTOR('',#448792,1.); #136530=VECTOR('',#448793,1.00000000000065); #136531=VECTOR('',#448796,1.); #136532=VECTOR('',#448797,1.00000000000065); #136533=VECTOR('',#448798,1.); #136534=VECTOR('',#448801,1.); #136535=VECTOR('',#448802,1.00000000000065); #136536=VECTOR('',#448803,1.); #136537=VECTOR('',#448806,1.); #136538=VECTOR('',#448807,1.); #136539=VECTOR('',#448810,1.); #136540=VECTOR('',#448811,1.); #136541=VECTOR('',#448812,1.); #136542=VECTOR('',#448815,1.); #136543=VECTOR('',#448816,1.); #136544=VECTOR('',#448821,1.); #136545=VECTOR('',#448822,1.); #136546=VECTOR('',#448825,1.); #136547=VECTOR('',#448828,1.); #136548=VECTOR('',#448829,1.); #136549=VECTOR('',#448832,1.); #136550=VECTOR('',#448835,1.00000000000064); #136551=VECTOR('',#448836,1.); #136552=VECTOR('',#448837,1.00000000000064); #136553=VECTOR('',#448838,1.); #136554=VECTOR('',#448841,1.); #136555=VECTOR('',#448842,1.); #136556=VECTOR('',#448843,1.); #136557=VECTOR('',#448846,1.00000000000064); #136558=VECTOR('',#448847,1.); #136559=VECTOR('',#448848,1.00000000000064); #136560=VECTOR('',#448849,1.); #136561=VECTOR('',#448852,1.00000000000064); #136562=VECTOR('',#448853,1.); #136563=VECTOR('',#448854,1.00000000000064); #136564=VECTOR('',#448855,1.); #136565=VECTOR('',#448858,1.00000000000064); #136566=VECTOR('',#448859,1.00000000000064); #136567=VECTOR('',#448860,1.); #136568=VECTOR('',#448863,1.); #136569=VECTOR('',#448864,1.); #136570=VECTOR('',#448867,1.); #136571=VECTOR('',#448868,1.00000000000065); #136572=VECTOR('',#448869,1.); #136573=VECTOR('',#448870,1.00000000000065); #136574=VECTOR('',#448873,1.); #136575=VECTOR('',#448874,1.00000000000065); #136576=VECTOR('',#448875,1.); #136577=VECTOR('',#448878,1.); #136578=VECTOR('',#448879,1.00000000000065); #136579=VECTOR('',#448880,1.); #136580=VECTOR('',#448883,1.); #136581=VECTOR('',#448884,1.); #136582=VECTOR('',#448887,1.); #136583=VECTOR('',#448888,1.); #136584=VECTOR('',#448889,1.); #136585=VECTOR('',#448892,1.); #136586=VECTOR('',#448893,1.); #136587=VECTOR('',#448898,1.); #136588=VECTOR('',#448899,1.00000000000065); #136589=VECTOR('',#448900,1.); #136590=VECTOR('',#448901,1.00000000000065); #136591=VECTOR('',#448904,1.); #136592=VECTOR('',#448905,1.00000000000065); #136593=VECTOR('',#448906,1.); #136594=VECTOR('',#448909,1.); #136595=VECTOR('',#448910,1.00000000000065); #136596=VECTOR('',#448911,1.); #136597=VECTOR('',#448914,1.); #136598=VECTOR('',#448915,1.); #136599=VECTOR('',#448918,1.); #136600=VECTOR('',#448919,1.); #136601=VECTOR('',#448920,1.); #136602=VECTOR('',#448923,1.); #136603=VECTOR('',#448924,1.); #136604=VECTOR('',#448929,1.); #136605=VECTOR('',#448930,1.); #136606=VECTOR('',#448933,1.); #136607=VECTOR('',#448936,1.); #136608=VECTOR('',#448937,1.); #136609=VECTOR('',#448940,1.); #136610=VECTOR('',#448943,1.00000000000064); #136611=VECTOR('',#448944,1.); #136612=VECTOR('',#448945,1.00000000000064); #136613=VECTOR('',#448948,1.00000000000064); #136614=VECTOR('',#448949,1.); #136615=VECTOR('',#448950,1.00000000000064); #136616=VECTOR('',#448951,1.); #136617=VECTOR('',#448954,1.); #136618=VECTOR('',#448955,1.); #136619=VECTOR('',#448956,1.); #136620=VECTOR('',#448959,1.00000000000064); #136621=VECTOR('',#448960,1.00000000000064); #136622=VECTOR('',#448961,1.); #136623=VECTOR('',#448964,1.00000000000064); #136624=VECTOR('',#448965,1.00000000000064); #136625=VECTOR('',#448966,1.); #136626=VECTOR('',#448969,1.); #136627=VECTOR('',#448970,1.); #136628=VECTOR('',#448973,1.); #136629=VECTOR('',#448974,1.00000000000065); #136630=VECTOR('',#448975,1.); #136631=VECTOR('',#448976,1.00000000000065); #136632=VECTOR('',#448979,1.); #136633=VECTOR('',#448980,1.00000000000065); #136634=VECTOR('',#448981,1.); #136635=VECTOR('',#448984,1.); #136636=VECTOR('',#448985,1.00000000000065); #136637=VECTOR('',#448986,1.); #136638=VECTOR('',#448989,1.); #136639=VECTOR('',#448990,1.); #136640=VECTOR('',#448993,1.); #136641=VECTOR('',#448994,1.); #136642=VECTOR('',#448995,1.); #136643=VECTOR('',#448998,1.); #136644=VECTOR('',#448999,1.); #136645=VECTOR('',#449004,1.); #136646=VECTOR('',#449005,1.00000000000065); #136647=VECTOR('',#449006,1.); #136648=VECTOR('',#449007,1.00000000000065); #136649=VECTOR('',#449010,1.); #136650=VECTOR('',#449011,1.00000000000065); #136651=VECTOR('',#449012,1.); #136652=VECTOR('',#449015,1.); #136653=VECTOR('',#449016,1.00000000000065); #136654=VECTOR('',#449017,1.); #136655=VECTOR('',#449020,1.); #136656=VECTOR('',#449021,1.); #136657=VECTOR('',#449024,1.); #136658=VECTOR('',#449025,1.); #136659=VECTOR('',#449026,1.); #136660=VECTOR('',#449029,1.); #136661=VECTOR('',#449030,1.); #136662=VECTOR('',#449035,1.); #136663=VECTOR('',#449036,1.); #136664=VECTOR('',#449039,1.); #136665=VECTOR('',#449042,1.); #136666=VECTOR('',#449043,1.); #136667=VECTOR('',#449046,1.); #136668=VECTOR('',#449049,1.00000000000064); #136669=VECTOR('',#449050,1.00000000000064); #136670=VECTOR('',#449051,1.); #136671=VECTOR('',#449054,1.); #136672=VECTOR('',#449055,1.); #136673=VECTOR('',#449056,1.); #136674=VECTOR('',#449059,1.00000000000064); #136675=VECTOR('',#449060,1.00000000000064); #136676=VECTOR('',#449061,1.); #136677=VECTOR('',#449064,1.00000000000064); #136678=VECTOR('',#449065,1.); #136679=VECTOR('',#449066,1.00000000000064); #136680=VECTOR('',#449067,1.); #136681=VECTOR('',#449070,1.00000000000064); #136682=VECTOR('',#449071,1.00000000000064); #136683=VECTOR('',#449072,1.); #136684=VECTOR('',#449075,1.); #136685=VECTOR('',#449076,1.); #136686=VECTOR('',#449079,1.); #136687=VECTOR('',#449080,1.00000000000065); #136688=VECTOR('',#449081,1.); #136689=VECTOR('',#449082,1.00000000000065); #136690=VECTOR('',#449085,1.); #136691=VECTOR('',#449086,1.00000000000065); #136692=VECTOR('',#449087,1.); #136693=VECTOR('',#449090,1.); #136694=VECTOR('',#449091,1.00000000000065); #136695=VECTOR('',#449092,1.); #136696=VECTOR('',#449095,1.); #136697=VECTOR('',#449096,1.); #136698=VECTOR('',#449099,1.); #136699=VECTOR('',#449100,1.); #136700=VECTOR('',#449101,1.); #136701=VECTOR('',#449104,1.); #136702=VECTOR('',#449105,1.); #136703=VECTOR('',#449110,1.); #136704=VECTOR('',#449111,1.00000000000065); #136705=VECTOR('',#449112,1.); #136706=VECTOR('',#449113,1.00000000000065); #136707=VECTOR('',#449116,1.); #136708=VECTOR('',#449117,1.00000000000065); #136709=VECTOR('',#449118,1.); #136710=VECTOR('',#449121,1.); #136711=VECTOR('',#449122,1.00000000000065); #136712=VECTOR('',#449123,1.); #136713=VECTOR('',#449126,1.); #136714=VECTOR('',#449127,1.); #136715=VECTOR('',#449130,1.); #136716=VECTOR('',#449131,1.); #136717=VECTOR('',#449132,1.); #136718=VECTOR('',#449135,1.); #136719=VECTOR('',#449136,1.); #136720=VECTOR('',#449141,1.); #136721=VECTOR('',#449142,1.); #136722=VECTOR('',#449145,1.); #136723=VECTOR('',#449148,1.); #136724=VECTOR('',#449149,1.); #136725=VECTOR('',#449152,1.); #136726=VECTOR('',#449155,1.00000000000064); #136727=VECTOR('',#449156,1.00000000000064); #136728=VECTOR('',#449159,1.00000000000064); #136729=VECTOR('',#449160,1.); #136730=VECTOR('',#449161,1.00000000000064); #136731=VECTOR('',#449162,1.); #136732=VECTOR('',#449163,1.00000000000064); #136733=VECTOR('',#449166,1.00000000000064); #136734=VECTOR('',#449167,1.); #136735=VECTOR('',#449170,1.); #136736=VECTOR('',#449171,1.); #136737=VECTOR('',#449174,1.00000000000064); #136738=VECTOR('',#449175,1.); #136739=VECTOR('',#449178,1.00000000000064); #136740=VECTOR('',#449179,1.); #136741=VECTOR('',#449182,1.); #136742=VECTOR('',#449195,0.5775); #136743=VECTOR('',#449206,0.5775); #136744=VECTOR('',#449213,0.6); #136745=VECTOR('',#449224,0.75); #136746=VECTOR('',#449235,0.75); #136747=VECTOR('',#449246,0.579); #136748=CIRCLE('',#335174,0.325); #136749=CIRCLE('',#335175,0.325); #136750=CIRCLE('',#335177,0.325); #136751=CIRCLE('',#335178,0.325); #136752=CIRCLE('',#335180,0.600000000000001); #136753=CIRCLE('',#335181,0.600000000000001); #136754=CIRCLE('',#335183,0.175000000000001); #136755=CIRCLE('',#335184,0.175000000000001); #136756=CIRCLE('',#335186,0.175000000000001); #136757=CIRCLE('',#335187,0.175000000000001); #136758=CIRCLE('',#335189,0.175000000000001); #136759=CIRCLE('',#335190,0.175000000000001); #136760=CIRCLE('',#335192,0.175000000000001); #136761=CIRCLE('',#335193,0.175000000000001); #136762=CIRCLE('',#335195,0.175000000000001); #136763=CIRCLE('',#335196,0.175000000000001); #136764=CIRCLE('',#335198,0.175000000000001); #136765=CIRCLE('',#335199,0.175000000000001); #136766=CIRCLE('',#335201,0.175000000000001); #136767=CIRCLE('',#335202,0.175000000000001); #136768=CIRCLE('',#335204,0.175000000000001); #136769=CIRCLE('',#335205,0.175000000000001); #136770=CIRCLE('',#335207,0.175000000000001); #136771=CIRCLE('',#335208,0.175000000000001); #136772=CIRCLE('',#335210,0.175000000000001); #136773=CIRCLE('',#335211,0.175000000000001); #136774=CIRCLE('',#335213,0.175000000000001); #136775=CIRCLE('',#335214,0.175000000000001); #136776=CIRCLE('',#335216,0.175000000000001); #136777=CIRCLE('',#335217,0.175000000000001); #136778=CIRCLE('',#335219,0.175000000000001); #136779=CIRCLE('',#335220,0.175000000000001); #136780=CIRCLE('',#335222,0.175000000000001); #136781=CIRCLE('',#335223,0.175000000000001); #136782=CIRCLE('',#335225,0.175000000000001); #136783=CIRCLE('',#335226,0.175000000000001); #136784=CIRCLE('',#335228,0.499999999999998); #136785=CIRCLE('',#335229,0.499999999999998); #136786=CIRCLE('',#335231,0.175000000000001); #136787=CIRCLE('',#335232,0.175000000000001); #136788=CIRCLE('',#335234,0.175000000000001); #136789=CIRCLE('',#335235,0.175000000000001); #136790=CIRCLE('',#335237,0.175000000000001); #136791=CIRCLE('',#335238,0.175000000000001); #136792=CIRCLE('',#335240,0.175000000000001); #136793=CIRCLE('',#335241,0.175000000000001); #136794=CIRCLE('',#335243,0.175000000000001); #136795=CIRCLE('',#335244,0.175000000000001); #136796=CIRCLE('',#335246,0.175000000000001); #136797=CIRCLE('',#335247,0.175000000000001); #136798=CIRCLE('',#335249,0.175000000000001); #136799=CIRCLE('',#335250,0.175000000000001); #136800=CIRCLE('',#335252,0.175000000000001); #136801=CIRCLE('',#335253,0.175000000000001); #136802=CIRCLE('',#335255,0.175000000000001); #136803=CIRCLE('',#335256,0.175000000000001); #136804=CIRCLE('',#335258,0.175000000000001); #136805=CIRCLE('',#335259,0.175000000000001); #136806=CIRCLE('',#335261,0.175000000000001); #136807=CIRCLE('',#335262,0.175000000000001); #136808=CIRCLE('',#335264,0.175000000000001); #136809=CIRCLE('',#335265,0.175000000000001); #136810=CIRCLE('',#335267,0.175000000000001); #136811=CIRCLE('',#335268,0.175000000000001); #136812=CIRCLE('',#335270,0.175000000000001); #136813=CIRCLE('',#335271,0.175000000000001); #136814=CIRCLE('',#335273,0.175000000000001); #136815=CIRCLE('',#335274,0.175000000000001); #136816=CIRCLE('',#335276,0.550000000000002); #136817=CIRCLE('',#335277,0.550000000000002); #136818=CIRCLE('',#335279,0.175000000000001); #136819=CIRCLE('',#335280,0.175000000000001); #136820=CIRCLE('',#335282,0.175000000000001); #136821=CIRCLE('',#335283,0.175000000000001); #136822=CIRCLE('',#335285,0.175000000000001); #136823=CIRCLE('',#335286,0.175000000000001); #136824=CIRCLE('',#335288,0.175000000000001); #136825=CIRCLE('',#335289,0.175000000000001); #136826=CIRCLE('',#335292,0.35); #136827=CIRCLE('',#335293,0.35); #136828=CIRCLE('',#335296,0.35); #136829=CIRCLE('',#335297,0.35); #136830=CIRCLE('',#335299,0.175000000000001); #136831=CIRCLE('',#335300,0.175000000000001); #136832=CIRCLE('',#335303,0.35); #136833=CIRCLE('',#335304,0.35); #136834=CIRCLE('',#335307,0.35); #136835=CIRCLE('',#335308,0.35); #136836=CIRCLE('',#335310,0.175000000000001); #136837=CIRCLE('',#335311,0.175000000000001); #136838=CIRCLE('',#335313,0.175000000000001); #136839=CIRCLE('',#335314,0.175000000000001); #136840=CIRCLE('',#335316,0.175000000000001); #136841=CIRCLE('',#335317,0.175000000000001); #136842=CIRCLE('',#335319,0.150000000000001); #136843=CIRCLE('',#335320,0.150000000000001); #136844=CIRCLE('',#335322,0.175000000000001); #136845=CIRCLE('',#335323,0.175000000000001); #136846=CIRCLE('',#335325,0.150000000000001); #136847=CIRCLE('',#335326,0.150000000000001); #136848=CIRCLE('',#335328,0.175000000000001); #136849=CIRCLE('',#335329,0.175000000000001); #136850=CIRCLE('',#335331,0.150000000000001); #136851=CIRCLE('',#335332,0.150000000000001); #136852=CIRCLE('',#335334,0.175000000000001); #136853=CIRCLE('',#335335,0.175000000000001); #136854=CIRCLE('',#335337,0.150000000000001); #136855=CIRCLE('',#335338,0.150000000000001); #136856=CIRCLE('',#335340,0.175000000000001); #136857=CIRCLE('',#335341,0.175000000000001); #136858=CIRCLE('',#335344,0.6); #136859=CIRCLE('',#335345,0.6); #136860=CIRCLE('',#335348,0.6); #136861=CIRCLE('',#335349,0.6); #136862=CIRCLE('',#335351,0.175000000000001); #136863=CIRCLE('',#335352,0.175000000000001); #136864=CIRCLE('',#335355,0.6); #136865=CIRCLE('',#335356,0.6); #136866=CIRCLE('',#335359,0.6); #136867=CIRCLE('',#335360,0.6); #136868=CIRCLE('',#335362,0.175000000000001); #136869=CIRCLE('',#335363,0.175000000000001); #136870=CIRCLE('',#335366,0.35); #136871=CIRCLE('',#335367,0.35); #136872=CIRCLE('',#335370,0.35); #136873=CIRCLE('',#335371,0.35); #136874=CIRCLE('',#335373,0.175000000000001); #136875=CIRCLE('',#335374,0.175000000000001); #136876=CIRCLE('',#335377,0.35); #136877=CIRCLE('',#335378,0.35); #136878=CIRCLE('',#335381,0.35); #136879=CIRCLE('',#335382,0.35); #136880=CIRCLE('',#335384,0.499999999999998); #136881=CIRCLE('',#335385,0.499999999999998); #136882=CIRCLE('',#335387,0.175000000000001); #136883=CIRCLE('',#335388,0.175000000000001); #136884=CIRCLE('',#335390,0.175000000000001); #136885=CIRCLE('',#335391,0.175000000000001); #136886=CIRCLE('',#335393,0.175000000000001); #136887=CIRCLE('',#335394,0.175000000000001); #136888=CIRCLE('',#335396,0.175000000000001); #136889=CIRCLE('',#335397,0.175000000000001); #136890=CIRCLE('',#335399,0.175000000000001); #136891=CIRCLE('',#335400,0.175000000000001); #136892=CIRCLE('',#335402,0.175000000000001); #136893=CIRCLE('',#335403,0.175000000000001); #136894=CIRCLE('',#335405,0.175000000000001); #136895=CIRCLE('',#335406,0.175000000000001); #136896=CIRCLE('',#335408,0.175000000000001); #136897=CIRCLE('',#335409,0.175000000000001); #136898=CIRCLE('',#335411,0.175000000000001); #136899=CIRCLE('',#335412,0.175000000000001); #136900=CIRCLE('',#335414,0.175000000000001); #136901=CIRCLE('',#335415,0.175000000000001); #136902=CIRCLE('',#335417,0.175000000000001); #136903=CIRCLE('',#335418,0.175000000000001); #136904=CIRCLE('',#335420,0.175000000000001); #136905=CIRCLE('',#335421,0.175000000000001); #136906=CIRCLE('',#335423,0.175000000000001); #136907=CIRCLE('',#335424,0.175000000000001); #136908=CIRCLE('',#335426,0.175000000000001); #136909=CIRCLE('',#335427,0.175000000000001); #136910=CIRCLE('',#335429,0.175000000000001); #136911=CIRCLE('',#335430,0.175000000000001); #136912=CIRCLE('',#335432,0.600000000000001); #136913=CIRCLE('',#335433,0.600000000000001); #136914=CIRCLE('',#335435,0.175000000000001); #136915=CIRCLE('',#335436,0.175000000000001); #136916=CIRCLE('',#335438,0.175); #136917=CIRCLE('',#335439,0.175); #136918=CIRCLE('',#335441,0.175000000000001); #136919=CIRCLE('',#335442,0.175000000000001); #136920=CIRCLE('',#335444,0.175000000000001); #136921=CIRCLE('',#335445,0.175000000000001); #136922=CIRCLE('',#335447,0.175000000000001); #136923=CIRCLE('',#335448,0.175000000000001); #136924=CIRCLE('',#335450,0.175000000000001); #136925=CIRCLE('',#335451,0.175000000000001); #136926=CIRCLE('',#335453,0.175000000000001); #136927=CIRCLE('',#335454,0.175000000000001); #136928=CIRCLE('',#335456,0.175000000000001); #136929=CIRCLE('',#335457,0.175000000000001); #136930=CIRCLE('',#335459,0.175000000000001); #136931=CIRCLE('',#335460,0.175000000000001); #136932=CIRCLE('',#335462,0.175000000000001); #136933=CIRCLE('',#335463,0.175000000000001); #136934=CIRCLE('',#335465,0.175000000000001); #136935=CIRCLE('',#335466,0.175000000000001); #136936=CIRCLE('',#335468,0.175000000000001); #136937=CIRCLE('',#335469,0.175000000000001); #136938=CIRCLE('',#335471,0.175000000000001); #136939=CIRCLE('',#335472,0.175000000000001); #136940=CIRCLE('',#335474,0.175000000000001); #136941=CIRCLE('',#335475,0.175000000000001); #136942=CIRCLE('',#335477,0.175000000000001); #136943=CIRCLE('',#335478,0.175000000000001); #136944=CIRCLE('',#335480,0.499999999999998); #136945=CIRCLE('',#335481,0.499999999999998); #136946=CIRCLE('',#335483,0.175000000000001); #136947=CIRCLE('',#335484,0.175000000000001); #136948=CIRCLE('',#335486,0.175000000000001); #136949=CIRCLE('',#335487,0.175000000000001); #136950=CIRCLE('',#335489,0.175000000000001); #136951=CIRCLE('',#335490,0.175000000000001); #136952=CIRCLE('',#335492,0.175000000000001); #136953=CIRCLE('',#335493,0.175000000000001); #136954=CIRCLE('',#335495,0.175000000000001); #136955=CIRCLE('',#335496,0.175000000000001); #136956=CIRCLE('',#335498,0.175000000000001); #136957=CIRCLE('',#335499,0.175000000000001); #136958=CIRCLE('',#335501,0.175000000000001); #136959=CIRCLE('',#335502,0.175000000000001); #136960=CIRCLE('',#335504,0.175000000000001); #136961=CIRCLE('',#335505,0.175000000000001); #136962=CIRCLE('',#335507,0.175000000000001); #136963=CIRCLE('',#335508,0.175000000000001); #136964=CIRCLE('',#335510,0.175000000000001); #136965=CIRCLE('',#335511,0.175000000000001); #136966=CIRCLE('',#335513,0.175000000000001); #136967=CIRCLE('',#335514,0.175000000000001); #136968=CIRCLE('',#335516,0.175000000000001); #136969=CIRCLE('',#335517,0.175000000000001); #136970=CIRCLE('',#335519,0.175000000000001); #136971=CIRCLE('',#335520,0.175000000000001); #136972=CIRCLE('',#335522,0.175000000000001); #136973=CIRCLE('',#335523,0.175000000000001); #136974=CIRCLE('',#335525,0.175000000000001); #136975=CIRCLE('',#335526,0.175000000000001); #136976=CIRCLE('',#335528,0.507999999999997); #136977=CIRCLE('',#335529,0.507999999999997); #136978=CIRCLE('',#335531,0.175000000000001); #136979=CIRCLE('',#335532,0.175000000000001); #136980=CIRCLE('',#335534,0.175000000000001); #136981=CIRCLE('',#335535,0.175000000000001); #136982=CIRCLE('',#335537,0.175000000000001); #136983=CIRCLE('',#335538,0.175000000000001); #136984=CIRCLE('',#335540,0.175000000000001); #136985=CIRCLE('',#335541,0.175000000000001); #136986=CIRCLE('',#335543,0.175000000000001); #136987=CIRCLE('',#335544,0.175000000000001); #136988=CIRCLE('',#335546,0.175000000000001); #136989=CIRCLE('',#335547,0.175000000000001); #136990=CIRCLE('',#335549,0.175000000000001); #136991=CIRCLE('',#335550,0.175000000000001); #136992=CIRCLE('',#335552,0.175000000000001); #136993=CIRCLE('',#335553,0.175000000000001); #136994=CIRCLE('',#335555,0.175000000000001); #136995=CIRCLE('',#335556,0.175000000000001); #136996=CIRCLE('',#335558,0.175000000000001); #136997=CIRCLE('',#335559,0.175000000000001); #136998=CIRCLE('',#335561,0.175000000000001); #136999=CIRCLE('',#335562,0.175000000000001); #137000=CIRCLE('',#335564,0.175000000000001); #137001=CIRCLE('',#335565,0.175000000000001); #137002=CIRCLE('',#335567,0.175000000000001); #137003=CIRCLE('',#335568,0.175000000000001); #137004=CIRCLE('',#335570,0.175000000000001); #137005=CIRCLE('',#335571,0.175000000000001); #137006=CIRCLE('',#335573,0.175000000000001); #137007=CIRCLE('',#335574,0.175000000000001); #137008=CIRCLE('',#335576,0.499999999999998); #137009=CIRCLE('',#335577,0.499999999999998); #137010=CIRCLE('',#335579,0.175000000000001); #137011=CIRCLE('',#335580,0.175000000000001); #137012=CIRCLE('',#335582,0.175000000000001); #137013=CIRCLE('',#335583,0.175000000000001); #137014=CIRCLE('',#335585,0.175000000000001); #137015=CIRCLE('',#335586,0.175000000000001); #137016=CIRCLE('',#335588,0.175000000000001); #137017=CIRCLE('',#335589,0.175000000000001); #137018=CIRCLE('',#335591,0.175000000000001); #137019=CIRCLE('',#335592,0.175000000000001); #137020=CIRCLE('',#335594,0.175000000000001); #137021=CIRCLE('',#335595,0.175000000000001); #137022=CIRCLE('',#335597,0.175000000000001); #137023=CIRCLE('',#335598,0.175000000000001); #137024=CIRCLE('',#335600,0.175000000000001); #137025=CIRCLE('',#335601,0.175000000000001); #137026=CIRCLE('',#335603,0.175000000000001); #137027=CIRCLE('',#335604,0.175000000000001); #137028=CIRCLE('',#335606,0.175000000000001); #137029=CIRCLE('',#335607,0.175000000000001); #137030=CIRCLE('',#335609,0.175000000000001); #137031=CIRCLE('',#335610,0.175000000000001); #137032=CIRCLE('',#335612,0.175000000000001); #137033=CIRCLE('',#335613,0.175000000000001); #137034=CIRCLE('',#335615,0.175000000000001); #137035=CIRCLE('',#335616,0.175000000000001); #137036=CIRCLE('',#335618,0.175000000000001); #137037=CIRCLE('',#335619,0.175000000000001); #137038=CIRCLE('',#335621,0.175000000000001); #137039=CIRCLE('',#335622,0.175000000000001); #137040=CIRCLE('',#335624,0.550000000000002); #137041=CIRCLE('',#335625,0.550000000000002); #137042=CIRCLE('',#335627,0.175000000000001); #137043=CIRCLE('',#335628,0.175000000000001); #137044=CIRCLE('',#335630,0.175); #137045=CIRCLE('',#335631,0.175); #137046=CIRCLE('',#335633,0.175000000000001); #137047=CIRCLE('',#335634,0.175000000000001); #137048=CIRCLE('',#335636,0.175000000000001); #137049=CIRCLE('',#335637,0.175000000000001); #137050=CIRCLE('',#335639,0.175000000000001); #137051=CIRCLE('',#335640,0.175000000000001); #137052=CIRCLE('',#335642,0.175); #137053=CIRCLE('',#335643,0.175); #137054=CIRCLE('',#335645,0.175000000000001); #137055=CIRCLE('',#335646,0.175000000000001); #137056=CIRCLE('',#335648,0.175000000000001); #137057=CIRCLE('',#335649,0.175000000000001); #137058=CIRCLE('',#335651,0.175000000000001); #137059=CIRCLE('',#335652,0.175000000000001); #137060=CIRCLE('',#335654,0.175); #137061=CIRCLE('',#335655,0.175); #137062=CIRCLE('',#335657,0.175000000000001); #137063=CIRCLE('',#335658,0.175000000000001); #137064=CIRCLE('',#335660,0.175000000000001); #137065=CIRCLE('',#335661,0.175000000000001); #137066=CIRCLE('',#335663,0.175000000000001); #137067=CIRCLE('',#335664,0.175000000000001); #137068=CIRCLE('',#335666,0.175); #137069=CIRCLE('',#335667,0.175); #137070=CIRCLE('',#335669,0.175000000000001); #137071=CIRCLE('',#335670,0.175000000000001); #137072=CIRCLE('',#335672,0.499999999999998); #137073=CIRCLE('',#335673,0.499999999999998); #137074=CIRCLE('',#335675,0.175000000000001); #137075=CIRCLE('',#335676,0.175000000000001); #137076=CIRCLE('',#335678,0.175000000000001); #137077=CIRCLE('',#335679,0.175000000000001); #137078=CIRCLE('',#335681,0.175000000000001); #137079=CIRCLE('',#335682,0.175000000000001); #137080=CIRCLE('',#335684,0.175000000000001); #137081=CIRCLE('',#335685,0.175000000000001); #137082=CIRCLE('',#335687,0.175000000000001); #137083=CIRCLE('',#335688,0.175000000000001); #137084=CIRCLE('',#335690,0.175); #137085=CIRCLE('',#335691,0.175); #137086=CIRCLE('',#335693,0.175000000000001); #137087=CIRCLE('',#335694,0.175000000000001); #137088=CIRCLE('',#335696,0.175000000000001); #137089=CIRCLE('',#335697,0.175000000000001); #137090=CIRCLE('',#335699,0.175000000000001); #137091=CIRCLE('',#335700,0.175000000000001); #137092=CIRCLE('',#335702,0.175000000000001); #137093=CIRCLE('',#335703,0.175000000000001); #137094=CIRCLE('',#335705,0.175000000000001); #137095=CIRCLE('',#335706,0.175000000000001); #137096=CIRCLE('',#335708,0.175000000000001); #137097=CIRCLE('',#335709,0.175000000000001); #137098=CIRCLE('',#335711,0.175000000000001); #137099=CIRCLE('',#335712,0.175000000000001); #137100=CIRCLE('',#335714,0.175); #137101=CIRCLE('',#335715,0.175); #137102=CIRCLE('',#335717,0.175000000000001); #137103=CIRCLE('',#335718,0.175000000000001); #137104=CIRCLE('',#335720,0.507999999999997); #137105=CIRCLE('',#335721,0.507999999999997); #137106=CIRCLE('',#335723,0.175000000000001); #137107=CIRCLE('',#335724,0.175000000000001); #137108=CIRCLE('',#335726,0.175000000000001); #137109=CIRCLE('',#335727,0.175000000000001); #137110=CIRCLE('',#335729,0.175000000000001); #137111=CIRCLE('',#335730,0.175000000000001); #137112=CIRCLE('',#335732,0.175000000000001); #137113=CIRCLE('',#335733,0.175000000000001); #137114=CIRCLE('',#335735,0.175000000000001); #137115=CIRCLE('',#335736,0.175000000000001); #137116=CIRCLE('',#335738,0.175000000000001); #137117=CIRCLE('',#335739,0.175000000000001); #137118=CIRCLE('',#335741,0.175000000000001); #137119=CIRCLE('',#335742,0.175000000000001); #137120=CIRCLE('',#335744,0.175000000000001); #137121=CIRCLE('',#335745,0.175000000000001); #137122=CIRCLE('',#335747,0.175000000000001); #137123=CIRCLE('',#335748,0.175000000000001); #137124=CIRCLE('',#335750,0.175); #137125=CIRCLE('',#335751,0.175); #137126=CIRCLE('',#335753,0.175000000000001); #137127=CIRCLE('',#335754,0.175000000000001); #137128=CIRCLE('',#335756,0.175000000000001); #137129=CIRCLE('',#335757,0.175000000000001); #137130=CIRCLE('',#335759,0.175000000000001); #137131=CIRCLE('',#335760,0.175000000000001); #137132=CIRCLE('',#335762,0.175); #137133=CIRCLE('',#335763,0.175); #137134=CIRCLE('',#335765,0.175000000000001); #137135=CIRCLE('',#335766,0.175000000000001); #137136=CIRCLE('',#335768,0.175000000000001); #137137=CIRCLE('',#335769,0.175000000000001); #137138=CIRCLE('',#335771,0.175000000000001); #137139=CIRCLE('',#335772,0.175000000000001); #137140=CIRCLE('',#335774,0.175000000000001); #137141=CIRCLE('',#335775,0.175000000000001); #137142=CIRCLE('',#335777,0.175000000000001); #137143=CIRCLE('',#335778,0.175000000000001); #137144=CIRCLE('',#335780,0.175000000000001); #137145=CIRCLE('',#335781,0.175000000000001); #137146=CIRCLE('',#335783,0.175000000000001); #137147=CIRCLE('',#335784,0.175000000000001); #137148=CIRCLE('',#335786,0.175000000000001); #137149=CIRCLE('',#335787,0.175000000000001); #137150=CIRCLE('',#335789,0.175000000000001); #137151=CIRCLE('',#335790,0.175000000000001); #137152=CIRCLE('',#335792,0.175000000000001); #137153=CIRCLE('',#335793,0.175000000000001); #137154=CIRCLE('',#335795,0.150000000000001); #137155=CIRCLE('',#335796,0.150000000000001); #137156=CIRCLE('',#335798,0.175000000000001); #137157=CIRCLE('',#335799,0.175000000000001); #137158=CIRCLE('',#335801,0.150000000000001); #137159=CIRCLE('',#335802,0.150000000000001); #137160=CIRCLE('',#335804,0.175000000000001); #137161=CIRCLE('',#335805,0.175000000000001); #137162=CIRCLE('',#335807,0.150000000000001); #137163=CIRCLE('',#335808,0.150000000000001); #137164=CIRCLE('',#335810,0.175000000000001); #137165=CIRCLE('',#335811,0.175000000000001); #137166=CIRCLE('',#335813,0.150000000000001); #137167=CIRCLE('',#335814,0.150000000000001); #137168=CIRCLE('',#335816,0.600000000000001); #137169=CIRCLE('',#335817,0.600000000000001); #137170=CIRCLE('',#335819,0.175000000000001); #137171=CIRCLE('',#335820,0.175000000000001); #137172=CIRCLE('',#335822,0.175000000000001); #137173=CIRCLE('',#335823,0.175000000000001); #137174=CIRCLE('',#335825,0.175000000000001); #137175=CIRCLE('',#335826,0.175000000000001); #137176=CIRCLE('',#335828,0.175000000000001); #137177=CIRCLE('',#335829,0.175000000000001); #137178=CIRCLE('',#335831,0.175000000000001); #137179=CIRCLE('',#335832,0.175000000000001); #137180=CIRCLE('',#335834,0.175000000000001); #137181=CIRCLE('',#335835,0.175000000000001); #137182=CIRCLE('',#335837,0.175000000000001); #137183=CIRCLE('',#335838,0.175000000000001); #137184=CIRCLE('',#335840,0.175000000000001); #137185=CIRCLE('',#335841,0.175000000000001); #137186=CIRCLE('',#335843,0.175000000000001); #137187=CIRCLE('',#335844,0.175000000000001); #137188=CIRCLE('',#335846,0.175000000000001); #137189=CIRCLE('',#335847,0.175000000000001); #137190=CIRCLE('',#335849,0.175000000000001); #137191=CIRCLE('',#335850,0.175000000000001); #137192=CIRCLE('',#335852,0.175000000000001); #137193=CIRCLE('',#335853,0.175000000000001); #137194=CIRCLE('',#335855,0.175000000000001); #137195=CIRCLE('',#335856,0.175000000000001); #137196=CIRCLE('',#335858,0.175000000000001); #137197=CIRCLE('',#335859,0.175000000000001); #137198=CIRCLE('',#335861,0.175000000000001); #137199=CIRCLE('',#335862,0.175000000000001); #137200=CIRCLE('',#335864,0.5); #137201=CIRCLE('',#335865,0.5); #137202=CIRCLE('',#335867,0.175000000000001); #137203=CIRCLE('',#335868,0.175000000000001); #137204=CIRCLE('',#335870,0.175000000000001); #137205=CIRCLE('',#335871,0.175000000000001); #137206=CIRCLE('',#335873,0.175000000000001); #137207=CIRCLE('',#335874,0.175000000000001); #137208=CIRCLE('',#335876,0.175000000000001); #137209=CIRCLE('',#335877,0.175000000000001); #137210=CIRCLE('',#335879,0.175000000000001); #137211=CIRCLE('',#335880,0.175000000000001); #137212=CIRCLE('',#335882,0.175000000000001); #137213=CIRCLE('',#335883,0.175000000000001); #137214=CIRCLE('',#335885,0.175000000000001); #137215=CIRCLE('',#335886,0.175000000000001); #137216=CIRCLE('',#335888,0.175000000000001); #137217=CIRCLE('',#335889,0.175000000000001); #137218=CIRCLE('',#335891,0.175000000000001); #137219=CIRCLE('',#335892,0.175000000000001); #137220=CIRCLE('',#335894,0.175000000000001); #137221=CIRCLE('',#335895,0.175000000000001); #137222=CIRCLE('',#335897,0.175000000000001); #137223=CIRCLE('',#335898,0.175000000000001); #137224=CIRCLE('',#335900,0.175000000000001); #137225=CIRCLE('',#335901,0.175000000000001); #137226=CIRCLE('',#335903,0.175000000000001); #137227=CIRCLE('',#335904,0.175000000000001); #137228=CIRCLE('',#335906,0.175000000000001); #137229=CIRCLE('',#335907,0.175000000000001); #137230=CIRCLE('',#335909,0.175000000000001); #137231=CIRCLE('',#335910,0.175000000000001); #137232=CIRCLE('',#335912,0.507999999999997); #137233=CIRCLE('',#335913,0.507999999999997); #137234=CIRCLE('',#335915,0.175000000000001); #137235=CIRCLE('',#335916,0.175000000000001); #137236=CIRCLE('',#335918,0.175000000000001); #137237=CIRCLE('',#335919,0.175000000000001); #137238=CIRCLE('',#335921,0.175000000000001); #137239=CIRCLE('',#335922,0.175000000000001); #137240=CIRCLE('',#335924,0.175000000000001); #137241=CIRCLE('',#335925,0.175000000000001); #137242=CIRCLE('',#335927,0.175000000000001); #137243=CIRCLE('',#335928,0.175000000000001); #137244=CIRCLE('',#335930,0.175000000000001); #137245=CIRCLE('',#335931,0.175000000000001); #137246=CIRCLE('',#335933,0.175000000000001); #137247=CIRCLE('',#335934,0.175000000000001); #137248=CIRCLE('',#335936,0.175000000000001); #137249=CIRCLE('',#335937,0.175000000000001); #137250=CIRCLE('',#335939,0.175000000000001); #137251=CIRCLE('',#335940,0.175000000000001); #137252=CIRCLE('',#335942,0.175000000000001); #137253=CIRCLE('',#335943,0.175000000000001); #137254=CIRCLE('',#335945,0.175000000000001); #137255=CIRCLE('',#335946,0.175000000000001); #137256=CIRCLE('',#335948,0.175000000000001); #137257=CIRCLE('',#335949,0.175000000000001); #137258=CIRCLE('',#335951,0.175000000000001); #137259=CIRCLE('',#335952,0.175000000000001); #137260=CIRCLE('',#335954,0.175000000000001); #137261=CIRCLE('',#335955,0.175000000000001); #137262=CIRCLE('',#335957,0.175000000000001); #137263=CIRCLE('',#335958,0.175000000000001); #137264=CIRCLE('',#335960,0.5); #137265=CIRCLE('',#335961,0.5); #137266=CIRCLE('',#335963,0.175000000000001); #137267=CIRCLE('',#335964,0.175000000000001); #137268=CIRCLE('',#335966,0.175000000000001); #137269=CIRCLE('',#335967,0.175000000000001); #137270=CIRCLE('',#335969,0.175000000000001); #137271=CIRCLE('',#335970,0.175000000000001); #137272=CIRCLE('',#335972,0.175000000000001); #137273=CIRCLE('',#335973,0.175000000000001); #137274=CIRCLE('',#335975,0.175000000000001); #137275=CIRCLE('',#335976,0.175000000000001); #137276=CIRCLE('',#335978,0.175000000000001); #137277=CIRCLE('',#335979,0.175000000000001); #137278=CIRCLE('',#335981,0.175000000000001); #137279=CIRCLE('',#335982,0.175000000000001); #137280=CIRCLE('',#335984,0.175000000000001); #137281=CIRCLE('',#335985,0.175000000000001); #137282=CIRCLE('',#335987,0.175000000000001); #137283=CIRCLE('',#335988,0.175000000000001); #137284=CIRCLE('',#335990,0.175000000000001); #137285=CIRCLE('',#335991,0.175000000000001); #137286=CIRCLE('',#335993,0.175000000000001); #137287=CIRCLE('',#335994,0.175000000000001); #137288=CIRCLE('',#335996,0.175000000000001); #137289=CIRCLE('',#335997,0.175000000000001); #137290=CIRCLE('',#335999,0.175000000000001); #137291=CIRCLE('',#336000,0.175000000000001); #137292=CIRCLE('',#336002,0.175000000000001); #137293=CIRCLE('',#336003,0.175000000000001); #137294=CIRCLE('',#336005,0.175000000000001); #137295=CIRCLE('',#336006,0.175000000000001); #137296=CIRCLE('',#336008,0.600000000000001); #137297=CIRCLE('',#336009,0.600000000000001); #137298=CIRCLE('',#336011,0.175000000000001); #137299=CIRCLE('',#336012,0.175000000000001); #137300=CIRCLE('',#336014,0.175000000000001); #137301=CIRCLE('',#336015,0.175000000000001); #137302=CIRCLE('',#336017,0.175000000000001); #137303=CIRCLE('',#336018,0.175000000000001); #137304=CIRCLE('',#336020,0.175000000000001); #137305=CIRCLE('',#336021,0.175000000000001); #137306=CIRCLE('',#336023,0.175000000000001); #137307=CIRCLE('',#336024,0.175000000000001); #137308=CIRCLE('',#336026,0.175000000000001); #137309=CIRCLE('',#336027,0.175000000000001); #137310=CIRCLE('',#336029,0.175000000000001); #137311=CIRCLE('',#336030,0.175000000000001); #137312=CIRCLE('',#336032,0.175000000000001); #137313=CIRCLE('',#336033,0.175000000000001); #137314=CIRCLE('',#336035,0.175000000000001); #137315=CIRCLE('',#336036,0.175000000000001); #137316=CIRCLE('',#336038,0.175000000000001); #137317=CIRCLE('',#336039,0.175000000000001); #137318=CIRCLE('',#336041,0.175000000000001); #137319=CIRCLE('',#336042,0.175000000000001); #137320=CIRCLE('',#336044,0.175000000000001); #137321=CIRCLE('',#336045,0.175000000000001); #137322=CIRCLE('',#336047,0.175000000000001); #137323=CIRCLE('',#336048,0.175000000000001); #137324=CIRCLE('',#336050,0.175000000000001); #137325=CIRCLE('',#336051,0.175000000000001); #137326=CIRCLE('',#336053,0.175000000000001); #137327=CIRCLE('',#336054,0.175000000000001); #137328=CIRCLE('',#336056,0.499999999999998); #137329=CIRCLE('',#336057,0.499999999999998); #137330=CIRCLE('',#336059,0.175000000000001); #137331=CIRCLE('',#336060,0.175000000000001); #137332=CIRCLE('',#336062,0.175000000000001); #137333=CIRCLE('',#336063,0.175000000000001); #137334=CIRCLE('',#336065,0.175000000000001); #137335=CIRCLE('',#336066,0.175000000000001); #137336=CIRCLE('',#336068,0.175000000000001); #137337=CIRCLE('',#336069,0.175000000000001); #137338=CIRCLE('',#336071,0.175000000000001); #137339=CIRCLE('',#336072,0.175000000000001); #137340=CIRCLE('',#336074,0.175000000000001); #137341=CIRCLE('',#336075,0.175000000000001); #137342=CIRCLE('',#336077,0.175000000000001); #137343=CIRCLE('',#336078,0.175000000000001); #137344=CIRCLE('',#336080,0.175000000000001); #137345=CIRCLE('',#336081,0.175000000000001); #137346=CIRCLE('',#336083,0.175000000000001); #137347=CIRCLE('',#336084,0.175000000000001); #137348=CIRCLE('',#336086,0.175000000000001); #137349=CIRCLE('',#336087,0.175000000000001); #137350=CIRCLE('',#336089,0.175000000000001); #137351=CIRCLE('',#336090,0.175000000000001); #137352=CIRCLE('',#336092,0.175000000000001); #137353=CIRCLE('',#336093,0.175000000000001); #137354=CIRCLE('',#336095,0.175000000000001); #137355=CIRCLE('',#336096,0.175000000000001); #137356=CIRCLE('',#336098,0.175000000000001); #137357=CIRCLE('',#336099,0.175000000000001); #137358=CIRCLE('',#336101,0.175000000000001); #137359=CIRCLE('',#336102,0.175000000000001); #137360=CIRCLE('',#336104,0.507999999999997); #137361=CIRCLE('',#336105,0.507999999999997); #137362=CIRCLE('',#336107,0.175000000000001); #137363=CIRCLE('',#336108,0.175000000000001); #137364=CIRCLE('',#336110,0.175000000000001); #137365=CIRCLE('',#336111,0.175000000000001); #137366=CIRCLE('',#336113,0.175000000000001); #137367=CIRCLE('',#336114,0.175000000000001); #137368=CIRCLE('',#336116,0.175000000000001); #137369=CIRCLE('',#336117,0.175000000000001); #137370=CIRCLE('',#336119,0.175000000000001); #137371=CIRCLE('',#336120,0.175000000000001); #137372=CIRCLE('',#336122,0.175000000000001); #137373=CIRCLE('',#336123,0.175000000000001); #137374=CIRCLE('',#336125,0.175000000000001); #137375=CIRCLE('',#336126,0.175000000000001); #137376=CIRCLE('',#336128,0.175000000000001); #137377=CIRCLE('',#336129,0.175000000000001); #137378=CIRCLE('',#336131,0.175000000000001); #137379=CIRCLE('',#336132,0.175000000000001); #137380=CIRCLE('',#336134,0.175000000000001); #137381=CIRCLE('',#336135,0.175000000000001); #137382=CIRCLE('',#336137,0.175000000000001); #137383=CIRCLE('',#336138,0.175000000000001); #137384=CIRCLE('',#336140,0.175000000000001); #137385=CIRCLE('',#336141,0.175000000000001); #137386=CIRCLE('',#336143,0.175000000000001); #137387=CIRCLE('',#336144,0.175000000000001); #137388=CIRCLE('',#336146,0.175000000000001); #137389=CIRCLE('',#336147,0.175000000000001); #137390=CIRCLE('',#336149,0.175000000000001); #137391=CIRCLE('',#336150,0.175000000000001); #137392=CIRCLE('',#336152,0.499999999999998); #137393=CIRCLE('',#336153,0.499999999999998); #137394=CIRCLE('',#336155,0.175000000000001); #137395=CIRCLE('',#336156,0.175000000000001); #137396=CIRCLE('',#336158,0.175000000000001); #137397=CIRCLE('',#336159,0.175000000000001); #137398=CIRCLE('',#336161,0.175000000000001); #137399=CIRCLE('',#336162,0.175000000000001); #137400=CIRCLE('',#336164,0.175000000000001); #137401=CIRCLE('',#336165,0.175000000000001); #137402=CIRCLE('',#336167,0.175000000000001); #137403=CIRCLE('',#336168,0.175000000000001); #137404=CIRCLE('',#336170,0.175000000000001); #137405=CIRCLE('',#336171,0.175000000000001); #137406=CIRCLE('',#336173,0.175000000000001); #137407=CIRCLE('',#336174,0.175000000000001); #137408=CIRCLE('',#336176,0.175000000000001); #137409=CIRCLE('',#336177,0.175000000000001); #137410=CIRCLE('',#336179,0.175000000000001); #137411=CIRCLE('',#336180,0.175000000000001); #137412=CIRCLE('',#336182,0.175000000000001); #137413=CIRCLE('',#336183,0.175000000000001); #137414=CIRCLE('',#336185,0.175000000000001); #137415=CIRCLE('',#336186,0.175000000000001); #137416=CIRCLE('',#336188,0.175000000000001); #137417=CIRCLE('',#336189,0.175000000000001); #137418=CIRCLE('',#336191,0.175000000000001); #137419=CIRCLE('',#336192,0.175000000000001); #137420=CIRCLE('',#336194,0.175000000000001); #137421=CIRCLE('',#336195,0.175000000000001); #137422=CIRCLE('',#336197,0.175000000000001); #137423=CIRCLE('',#336198,0.175000000000001); #137424=CIRCLE('',#336200,34.); #137425=CIRCLE('',#336201,34.); #137426=CIRCLE('',#336205,0.140000000000002); #137427=CIRCLE('',#336206,0.140000000000002); #137428=CIRCLE('',#336208,0.175000000000001); #137429=CIRCLE('',#336209,0.175000000000001); #137430=CIRCLE('',#336213,0.14); #137431=CIRCLE('',#336214,0.14); #137432=CIRCLE('',#336216,0.175000000000001); #137433=CIRCLE('',#336217,0.175000000000001); #137434=CIRCLE('',#336221,0.139999999999998); #137435=CIRCLE('',#336222,0.139999999999998); #137436=CIRCLE('',#336224,0.175000000000001); #137437=CIRCLE('',#336225,0.175000000000001); #137438=CIRCLE('',#336229,0.139999999999998); #137439=CIRCLE('',#336230,0.139999999999998); #137440=CIRCLE('',#336232,0.175000000000001); #137441=CIRCLE('',#336233,0.175000000000001); #137442=CIRCLE('',#336237,0.140000000000002); #137443=CIRCLE('',#336238,0.140000000000002); #137444=CIRCLE('',#336240,0.175000000000001); #137445=CIRCLE('',#336241,0.175000000000001); #137446=CIRCLE('',#336245,0.14); #137447=CIRCLE('',#336246,0.14); #137448=CIRCLE('',#336248,0.175000000000001); #137449=CIRCLE('',#336249,0.175000000000001); #137450=CIRCLE('',#336253,0.139999999999998); #137451=CIRCLE('',#336254,0.139999999999998); #137452=CIRCLE('',#336256,0.175000000000001); #137453=CIRCLE('',#336257,0.175000000000001); #137454=CIRCLE('',#336261,0.139999999999998); #137455=CIRCLE('',#336262,0.139999999999998); #137456=CIRCLE('',#336264,0.175000000000001); #137457=CIRCLE('',#336265,0.175000000000001); #137458=CIRCLE('',#336269,0.139999999999998); #137459=CIRCLE('',#336270,0.139999999999998); #137460=CIRCLE('',#336272,0.175000000000001); #137461=CIRCLE('',#336273,0.175000000000001); #137462=CIRCLE('',#336277,0.14); #137463=CIRCLE('',#336278,0.14); #137464=CIRCLE('',#336280,0.175000000000001); #137465=CIRCLE('',#336281,0.175000000000001); #137466=CIRCLE('',#336285,0.139999999999998); #137467=CIRCLE('',#336286,0.139999999999998); #137468=CIRCLE('',#336288,0.175000000000001); #137469=CIRCLE('',#336289,0.175000000000001); #137470=CIRCLE('',#336293,0.139999999999998); #137471=CIRCLE('',#336294,0.139999999999998); #137472=CIRCLE('',#336296,0.175000000000001); #137473=CIRCLE('',#336297,0.175000000000001); #137474=CIRCLE('',#336301,0.139999999999998); #137475=CIRCLE('',#336302,0.139999999999998); #137476=CIRCLE('',#336304,0.175000000000001); #137477=CIRCLE('',#336305,0.175000000000001); #137478=CIRCLE('',#336309,0.14); #137479=CIRCLE('',#336310,0.14); #137480=CIRCLE('',#336312,0.175000000000001); #137481=CIRCLE('',#336313,0.175000000000001); #137482=CIRCLE('',#336317,0.139999999999998); #137483=CIRCLE('',#336318,0.139999999999998); #137484=CIRCLE('',#336320,0.175000000000001); #137485=CIRCLE('',#336321,0.175000000000001); #137486=CIRCLE('',#336325,0.465); #137487=CIRCLE('',#336326,0.465); #137488=CIRCLE('',#336328,0.499999999999998); #137489=CIRCLE('',#336329,0.499999999999998); #137490=CIRCLE('',#336333,0.139999999999998); #137491=CIRCLE('',#336334,0.139999999999998); #137492=CIRCLE('',#336336,0.175000000000001); #137493=CIRCLE('',#336337,0.175000000000001); #137494=CIRCLE('',#336341,0.14); #137495=CIRCLE('',#336342,0.14); #137496=CIRCLE('',#336344,0.175000000000001); #137497=CIRCLE('',#336345,0.175000000000001); #137498=CIRCLE('',#336349,0.139999999999998); #137499=CIRCLE('',#336350,0.139999999999998); #137500=CIRCLE('',#336352,0.175000000000001); #137501=CIRCLE('',#336353,0.175000000000001); #137502=CIRCLE('',#336357,0.139999999999998); #137503=CIRCLE('',#336358,0.139999999999998); #137504=CIRCLE('',#336360,0.175000000000001); #137505=CIRCLE('',#336361,0.175000000000001); #137506=CIRCLE('',#336365,0.139999999999998); #137507=CIRCLE('',#336366,0.139999999999998); #137508=CIRCLE('',#336368,0.175000000000001); #137509=CIRCLE('',#336369,0.175000000000001); #137510=CIRCLE('',#336373,0.140000000000002); #137511=CIRCLE('',#336374,0.140000000000002); #137512=CIRCLE('',#336376,0.175000000000001); #137513=CIRCLE('',#336377,0.175000000000001); #137514=CIRCLE('',#336381,0.139999999999998); #137515=CIRCLE('',#336382,0.139999999999998); #137516=CIRCLE('',#336384,0.175000000000001); #137517=CIRCLE('',#336385,0.175000000000001); #137518=CIRCLE('',#336389,0.139999999999998); #137519=CIRCLE('',#336390,0.139999999999998); #137520=CIRCLE('',#336392,0.175000000000001); #137521=CIRCLE('',#336393,0.175000000000001); #137522=CIRCLE('',#336397,0.139999999999998); #137523=CIRCLE('',#336398,0.139999999999998); #137524=CIRCLE('',#336400,0.175000000000001); #137525=CIRCLE('',#336401,0.175000000000001); #137526=CIRCLE('',#336405,0.14); #137527=CIRCLE('',#336406,0.14); #137528=CIRCLE('',#336408,0.175000000000001); #137529=CIRCLE('',#336409,0.175000000000001); #137530=CIRCLE('',#336413,0.139999999999998); #137531=CIRCLE('',#336414,0.139999999999998); #137532=CIRCLE('',#336416,0.175000000000001); #137533=CIRCLE('',#336417,0.175000000000001); #137534=CIRCLE('',#336421,0.139999999999998); #137535=CIRCLE('',#336422,0.139999999999998); #137536=CIRCLE('',#336424,0.175000000000001); #137537=CIRCLE('',#336425,0.175000000000001); #137538=CIRCLE('',#336429,0.139999999999998); #137539=CIRCLE('',#336430,0.139999999999998); #137540=CIRCLE('',#336432,0.175000000000001); #137541=CIRCLE('',#336433,0.175000000000001); #137542=CIRCLE('',#336437,0.14); #137543=CIRCLE('',#336438,0.14); #137544=CIRCLE('',#336440,0.175000000000001); #137545=CIRCLE('',#336441,0.175000000000001); #137546=CIRCLE('',#336445,0.139999999999998); #137547=CIRCLE('',#336446,0.139999999999998); #137548=CIRCLE('',#336448,0.175000000000001); #137549=CIRCLE('',#336449,0.175000000000001); #137550=CIRCLE('',#336453,0.472999999999999); #137551=CIRCLE('',#336454,0.472999999999999); #137552=CIRCLE('',#336456,0.507999999999997); #137553=CIRCLE('',#336457,0.507999999999997); #137554=CIRCLE('',#336461,0.140000000000002); #137555=CIRCLE('',#336462,0.140000000000002); #137556=CIRCLE('',#336464,0.175000000000001); #137557=CIRCLE('',#336465,0.175000000000001); #137558=CIRCLE('',#336469,0.140000000000002); #137559=CIRCLE('',#336470,0.140000000000002); #137560=CIRCLE('',#336472,0.175000000000001); #137561=CIRCLE('',#336473,0.175000000000001); #137562=CIRCLE('',#336477,0.140000000000002); #137563=CIRCLE('',#336478,0.140000000000002); #137564=CIRCLE('',#336480,0.175000000000001); #137565=CIRCLE('',#336481,0.175000000000001); #137566=CIRCLE('',#336485,0.139999999999998); #137567=CIRCLE('',#336486,0.139999999999998); #137568=CIRCLE('',#336488,0.175000000000001); #137569=CIRCLE('',#336489,0.175000000000001); #137570=CIRCLE('',#336493,0.140000000000002); #137571=CIRCLE('',#336494,0.140000000000002); #137572=CIRCLE('',#336496,0.175000000000001); #137573=CIRCLE('',#336497,0.175000000000001); #137574=CIRCLE('',#336501,0.140000000000002); #137575=CIRCLE('',#336502,0.140000000000002); #137576=CIRCLE('',#336504,0.175000000000001); #137577=CIRCLE('',#336505,0.175000000000001); #137578=CIRCLE('',#336509,0.140000000000002); #137579=CIRCLE('',#336510,0.140000000000002); #137580=CIRCLE('',#336512,0.175000000000001); #137581=CIRCLE('',#336513,0.175000000000001); #137582=CIRCLE('',#336517,0.139999999999998); #137583=CIRCLE('',#336518,0.139999999999998); #137584=CIRCLE('',#336520,0.175000000000001); #137585=CIRCLE('',#336521,0.175000000000001); #137586=CIRCLE('',#336525,0.140000000000002); #137587=CIRCLE('',#336526,0.140000000000002); #137588=CIRCLE('',#336528,0.175000000000001); #137589=CIRCLE('',#336529,0.175000000000001); #137590=CIRCLE('',#336533,0.140000000000002); #137591=CIRCLE('',#336534,0.140000000000002); #137592=CIRCLE('',#336536,0.175000000000001); #137593=CIRCLE('',#336537,0.175000000000001); #137594=CIRCLE('',#336541,0.140000000000002); #137595=CIRCLE('',#336542,0.140000000000002); #137596=CIRCLE('',#336544,0.175000000000001); #137597=CIRCLE('',#336545,0.175000000000001); #137598=CIRCLE('',#336549,0.139999999999998); #137599=CIRCLE('',#336550,0.139999999999998); #137600=CIRCLE('',#336552,0.175000000000001); #137601=CIRCLE('',#336553,0.175000000000001); #137602=CIRCLE('',#336557,0.140000000000002); #137603=CIRCLE('',#336558,0.140000000000002); #137604=CIRCLE('',#336560,0.175000000000001); #137605=CIRCLE('',#336561,0.175000000000001); #137606=CIRCLE('',#336565,0.140000000000002); #137607=CIRCLE('',#336566,0.140000000000002); #137608=CIRCLE('',#336568,0.175000000000001); #137609=CIRCLE('',#336569,0.175000000000001); #137610=CIRCLE('',#336573,0.140000000000002); #137611=CIRCLE('',#336574,0.140000000000002); #137612=CIRCLE('',#336576,0.175000000000001); #137613=CIRCLE('',#336577,0.175000000000001); #137614=CIRCLE('',#336581,0.465); #137615=CIRCLE('',#336582,0.465); #137616=CIRCLE('',#336584,0.499999999999998); #137617=CIRCLE('',#336585,0.499999999999998); #137618=CIRCLE('',#336589,0.139999999999998); #137619=CIRCLE('',#336590,0.139999999999998); #137620=CIRCLE('',#336592,0.175000000000001); #137621=CIRCLE('',#336593,0.175000000000001); #137622=CIRCLE('',#336597,0.140000000000002); #137623=CIRCLE('',#336598,0.140000000000002); #137624=CIRCLE('',#336600,0.175000000000001); #137625=CIRCLE('',#336601,0.175000000000001); #137626=CIRCLE('',#336605,0.139999999999998); #137627=CIRCLE('',#336606,0.139999999999998); #137628=CIRCLE('',#336608,0.175000000000001); #137629=CIRCLE('',#336609,0.175000000000001); #137630=CIRCLE('',#336613,0.139999999999998); #137631=CIRCLE('',#336614,0.139999999999998); #137632=CIRCLE('',#336616,0.175000000000001); #137633=CIRCLE('',#336617,0.175000000000001); #137634=CIRCLE('',#336621,0.139999999999998); #137635=CIRCLE('',#336622,0.139999999999998); #137636=CIRCLE('',#336624,0.175000000000001); #137637=CIRCLE('',#336625,0.175000000000001); #137638=CIRCLE('',#336629,0.140000000000002); #137639=CIRCLE('',#336630,0.140000000000002); #137640=CIRCLE('',#336632,0.175000000000001); #137641=CIRCLE('',#336633,0.175000000000001); #137642=CIRCLE('',#336637,0.139999999999998); #137643=CIRCLE('',#336638,0.139999999999998); #137644=CIRCLE('',#336640,0.175000000000001); #137645=CIRCLE('',#336641,0.175000000000001); #137646=CIRCLE('',#336645,0.139999999999998); #137647=CIRCLE('',#336646,0.139999999999998); #137648=CIRCLE('',#336648,0.175000000000001); #137649=CIRCLE('',#336649,0.175000000000001); #137650=CIRCLE('',#336653,0.139999999999998); #137651=CIRCLE('',#336654,0.139999999999998); #137652=CIRCLE('',#336656,0.175000000000001); #137653=CIRCLE('',#336657,0.175000000000001); #137654=CIRCLE('',#336661,0.140000000000002); #137655=CIRCLE('',#336662,0.140000000000002); #137656=CIRCLE('',#336664,0.175000000000001); #137657=CIRCLE('',#336665,0.175000000000001); #137658=CIRCLE('',#336669,0.139999999999998); #137659=CIRCLE('',#336670,0.139999999999998); #137660=CIRCLE('',#336672,0.175000000000001); #137661=CIRCLE('',#336673,0.175000000000001); #137662=CIRCLE('',#336677,0.139999999999998); #137663=CIRCLE('',#336678,0.139999999999998); #137664=CIRCLE('',#336680,0.175000000000001); #137665=CIRCLE('',#336681,0.175000000000001); #137666=CIRCLE('',#336685,0.139999999999998); #137667=CIRCLE('',#336686,0.139999999999998); #137668=CIRCLE('',#336688,0.175000000000001); #137669=CIRCLE('',#336689,0.175000000000001); #137670=CIRCLE('',#336693,0.140000000000002); #137671=CIRCLE('',#336694,0.140000000000002); #137672=CIRCLE('',#336696,0.175000000000001); #137673=CIRCLE('',#336697,0.175000000000001); #137674=CIRCLE('',#336701,0.139999999999998); #137675=CIRCLE('',#336702,0.139999999999998); #137676=CIRCLE('',#336704,0.175000000000001); #137677=CIRCLE('',#336705,0.175000000000001); #137678=CIRCLE('',#336709,0.564999999999998); #137679=CIRCLE('',#336710,0.564999999999998); #137680=CIRCLE('',#336712,0.600000000000001); #137681=CIRCLE('',#336713,0.600000000000001); #137682=CIRCLE('',#336717,0.140000000000002); #137683=CIRCLE('',#336718,0.140000000000002); #137684=CIRCLE('',#336720,0.175000000000001); #137685=CIRCLE('',#336721,0.175000000000001); #137686=CIRCLE('',#336725,0.140000000000002); #137687=CIRCLE('',#336726,0.140000000000002); #137688=CIRCLE('',#336728,0.175000000000001); #137689=CIRCLE('',#336729,0.175000000000001); #137690=CIRCLE('',#336733,0.140000000000002); #137691=CIRCLE('',#336734,0.140000000000002); #137692=CIRCLE('',#336736,0.175000000000001); #137693=CIRCLE('',#336737,0.175000000000001); #137694=CIRCLE('',#336741,0.139999999999998); #137695=CIRCLE('',#336742,0.139999999999998); #137696=CIRCLE('',#336744,0.175000000000001); #137697=CIRCLE('',#336745,0.175000000000001); #137698=CIRCLE('',#336749,0.140000000000002); #137699=CIRCLE('',#336750,0.140000000000002); #137700=CIRCLE('',#336752,0.175000000000001); #137701=CIRCLE('',#336753,0.175000000000001); #137702=CIRCLE('',#336757,0.140000000000002); #137703=CIRCLE('',#336758,0.140000000000002); #137704=CIRCLE('',#336760,0.175000000000001); #137705=CIRCLE('',#336761,0.175000000000001); #137706=CIRCLE('',#336765,0.140000000000002); #137707=CIRCLE('',#336766,0.140000000000002); #137708=CIRCLE('',#336768,0.175000000000001); #137709=CIRCLE('',#336769,0.175000000000001); #137710=CIRCLE('',#336773,0.139999999999998); #137711=CIRCLE('',#336774,0.139999999999998); #137712=CIRCLE('',#336776,0.175000000000001); #137713=CIRCLE('',#336777,0.175000000000001); #137714=CIRCLE('',#336781,0.140000000000002); #137715=CIRCLE('',#336782,0.140000000000002); #137716=CIRCLE('',#336784,0.175000000000001); #137717=CIRCLE('',#336785,0.175000000000001); #137718=CIRCLE('',#336789,0.140000000000002); #137719=CIRCLE('',#336790,0.140000000000002); #137720=CIRCLE('',#336792,0.175000000000001); #137721=CIRCLE('',#336793,0.175000000000001); #137722=CIRCLE('',#336797,0.140000000000002); #137723=CIRCLE('',#336798,0.140000000000002); #137724=CIRCLE('',#336800,0.175000000000001); #137725=CIRCLE('',#336801,0.175000000000001); #137726=CIRCLE('',#336805,0.139999999999998); #137727=CIRCLE('',#336806,0.139999999999998); #137728=CIRCLE('',#336808,0.175000000000001); #137729=CIRCLE('',#336809,0.175000000000001); #137730=CIRCLE('',#336813,0.140000000000002); #137731=CIRCLE('',#336814,0.140000000000002); #137732=CIRCLE('',#336816,0.175000000000001); #137733=CIRCLE('',#336817,0.175000000000001); #137734=CIRCLE('',#336821,0.140000000000002); #137735=CIRCLE('',#336822,0.140000000000002); #137736=CIRCLE('',#336824,0.175000000000001); #137737=CIRCLE('',#336825,0.175000000000001); #137738=CIRCLE('',#336829,0.140000000000002); #137739=CIRCLE('',#336830,0.140000000000002); #137740=CIRCLE('',#336832,0.175000000000001); #137741=CIRCLE('',#336833,0.175000000000001); #137742=CIRCLE('',#336837,0.465); #137743=CIRCLE('',#336838,0.465); #137744=CIRCLE('',#336840,0.5); #137745=CIRCLE('',#336841,0.5); #137746=CIRCLE('',#336845,0.140000000000002); #137747=CIRCLE('',#336846,0.140000000000002); #137748=CIRCLE('',#336848,0.175000000000001); #137749=CIRCLE('',#336849,0.175000000000001); #137750=CIRCLE('',#336853,0.140000000000002); #137751=CIRCLE('',#336854,0.140000000000002); #137752=CIRCLE('',#336856,0.175000000000001); #137753=CIRCLE('',#336857,0.175000000000001); #137754=CIRCLE('',#336861,0.140000000000002); #137755=CIRCLE('',#336862,0.140000000000002); #137756=CIRCLE('',#336864,0.175000000000001); #137757=CIRCLE('',#336865,0.175000000000001); #137758=CIRCLE('',#336869,0.139999999999998); #137759=CIRCLE('',#336870,0.139999999999998); #137760=CIRCLE('',#336872,0.175000000000001); #137761=CIRCLE('',#336873,0.175000000000001); #137762=CIRCLE('',#336877,0.140000000000002); #137763=CIRCLE('',#336878,0.140000000000002); #137764=CIRCLE('',#336880,0.175000000000001); #137765=CIRCLE('',#336881,0.175000000000001); #137766=CIRCLE('',#336885,0.139999999999998); #137767=CIRCLE('',#336886,0.139999999999998); #137768=CIRCLE('',#336888,0.175000000000001); #137769=CIRCLE('',#336889,0.175000000000001); #137770=CIRCLE('',#336893,0.140000000000002); #137771=CIRCLE('',#336894,0.140000000000002); #137772=CIRCLE('',#336896,0.175000000000001); #137773=CIRCLE('',#336897,0.175000000000001); #137774=CIRCLE('',#336901,0.139999999999998); #137775=CIRCLE('',#336902,0.139999999999998); #137776=CIRCLE('',#336904,0.175000000000001); #137777=CIRCLE('',#336905,0.175000000000001); #137778=CIRCLE('',#336909,0.140000000000002); #137779=CIRCLE('',#336910,0.140000000000002); #137780=CIRCLE('',#336912,0.175000000000001); #137781=CIRCLE('',#336913,0.175000000000001); #137782=CIRCLE('',#336917,0.140000000000002); #137783=CIRCLE('',#336918,0.140000000000002); #137784=CIRCLE('',#336920,0.175000000000001); #137785=CIRCLE('',#336921,0.175000000000001); #137786=CIRCLE('',#336925,0.140000000000002); #137787=CIRCLE('',#336926,0.140000000000002); #137788=CIRCLE('',#336928,0.175000000000001); #137789=CIRCLE('',#336929,0.175000000000001); #137790=CIRCLE('',#336933,0.139999999999998); #137791=CIRCLE('',#336934,0.139999999999998); #137792=CIRCLE('',#336936,0.175000000000001); #137793=CIRCLE('',#336937,0.175000000000001); #137794=CIRCLE('',#336941,0.140000000000002); #137795=CIRCLE('',#336942,0.140000000000002); #137796=CIRCLE('',#336944,0.175000000000001); #137797=CIRCLE('',#336945,0.175000000000001); #137798=CIRCLE('',#336949,0.140000000000002); #137799=CIRCLE('',#336950,0.140000000000002); #137800=CIRCLE('',#336952,0.175000000000001); #137801=CIRCLE('',#336953,0.175000000000001); #137802=CIRCLE('',#336957,0.140000000000002); #137803=CIRCLE('',#336958,0.140000000000002); #137804=CIRCLE('',#336960,0.175000000000001); #137805=CIRCLE('',#336961,0.175000000000001); #137806=CIRCLE('',#336965,0.472999999999999); #137807=CIRCLE('',#336966,0.472999999999999); #137808=CIRCLE('',#336968,0.507999999999997); #137809=CIRCLE('',#336969,0.507999999999997); #137810=CIRCLE('',#336973,0.140000000000002); #137811=CIRCLE('',#336974,0.140000000000002); #137812=CIRCLE('',#336976,0.175000000000001); #137813=CIRCLE('',#336977,0.175000000000001); #137814=CIRCLE('',#336981,0.139999999999998); #137815=CIRCLE('',#336982,0.139999999999998); #137816=CIRCLE('',#336984,0.175000000000001); #137817=CIRCLE('',#336985,0.175000000000001); #137818=CIRCLE('',#336989,0.140000000000002); #137819=CIRCLE('',#336990,0.140000000000002); #137820=CIRCLE('',#336992,0.175000000000001); #137821=CIRCLE('',#336993,0.175000000000001); #137822=CIRCLE('',#336997,0.139999999999998); #137823=CIRCLE('',#336998,0.139999999999998); #137824=CIRCLE('',#337000,0.175000000000001); #137825=CIRCLE('',#337001,0.175000000000001); #137826=CIRCLE('',#337005,0.140000000000002); #137827=CIRCLE('',#337006,0.140000000000002); #137828=CIRCLE('',#337008,0.175000000000001); #137829=CIRCLE('',#337009,0.175000000000001); #137830=CIRCLE('',#337013,0.139999999999998); #137831=CIRCLE('',#337014,0.139999999999998); #137832=CIRCLE('',#337016,0.175000000000001); #137833=CIRCLE('',#337017,0.175000000000001); #137834=CIRCLE('',#337021,0.140000000000002); #137835=CIRCLE('',#337022,0.140000000000002); #137836=CIRCLE('',#337024,0.175000000000001); #137837=CIRCLE('',#337025,0.175000000000001); #137838=CIRCLE('',#337029,0.139999999999998); #137839=CIRCLE('',#337030,0.139999999999998); #137840=CIRCLE('',#337032,0.175000000000001); #137841=CIRCLE('',#337033,0.175000000000001); #137842=CIRCLE('',#337037,0.140000000000002); #137843=CIRCLE('',#337038,0.140000000000002); #137844=CIRCLE('',#337040,0.175000000000001); #137845=CIRCLE('',#337041,0.175000000000001); #137846=CIRCLE('',#337045,0.140000000000002); #137847=CIRCLE('',#337046,0.140000000000002); #137848=CIRCLE('',#337048,0.175000000000001); #137849=CIRCLE('',#337049,0.175000000000001); #137850=CIRCLE('',#337053,0.140000000000002); #137851=CIRCLE('',#337054,0.140000000000002); #137852=CIRCLE('',#337056,0.175000000000001); #137853=CIRCLE('',#337057,0.175000000000001); #137854=CIRCLE('',#337061,0.139999999999998); #137855=CIRCLE('',#337062,0.139999999999998); #137856=CIRCLE('',#337064,0.175000000000001); #137857=CIRCLE('',#337065,0.175000000000001); #137858=CIRCLE('',#337069,0.140000000000002); #137859=CIRCLE('',#337070,0.140000000000002); #137860=CIRCLE('',#337072,0.175000000000001); #137861=CIRCLE('',#337073,0.175000000000001); #137862=CIRCLE('',#337077,0.140000000000002); #137863=CIRCLE('',#337078,0.140000000000002); #137864=CIRCLE('',#337080,0.175000000000001); #137865=CIRCLE('',#337081,0.175000000000001); #137866=CIRCLE('',#337085,0.140000000000002); #137867=CIRCLE('',#337086,0.140000000000002); #137868=CIRCLE('',#337088,0.175000000000001); #137869=CIRCLE('',#337089,0.175000000000001); #137870=CIRCLE('',#337093,0.465); #137871=CIRCLE('',#337094,0.465); #137872=CIRCLE('',#337096,0.5); #137873=CIRCLE('',#337097,0.5); #137874=CIRCLE('',#337101,0.140000000000002); #137875=CIRCLE('',#337102,0.140000000000002); #137876=CIRCLE('',#337104,0.175000000000001); #137877=CIRCLE('',#337105,0.175000000000001); #137878=CIRCLE('',#337109,0.140000000000002); #137879=CIRCLE('',#337110,0.140000000000002); #137880=CIRCLE('',#337112,0.175000000000001); #137881=CIRCLE('',#337113,0.175000000000001); #137882=CIRCLE('',#337117,0.140000000000002); #137883=CIRCLE('',#337118,0.140000000000002); #137884=CIRCLE('',#337120,0.175000000000001); #137885=CIRCLE('',#337121,0.175000000000001); #137886=CIRCLE('',#337125,0.139999999999998); #137887=CIRCLE('',#337126,0.139999999999998); #137888=CIRCLE('',#337128,0.175000000000001); #137889=CIRCLE('',#337129,0.175000000000001); #137890=CIRCLE('',#337133,0.140000000000002); #137891=CIRCLE('',#337134,0.140000000000002); #137892=CIRCLE('',#337136,0.175000000000001); #137893=CIRCLE('',#337137,0.175000000000001); #137894=CIRCLE('',#337141,0.139999999999998); #137895=CIRCLE('',#337142,0.139999999999998); #137896=CIRCLE('',#337144,0.175000000000001); #137897=CIRCLE('',#337145,0.175000000000001); #137898=CIRCLE('',#337149,0.140000000000002); #137899=CIRCLE('',#337150,0.140000000000002); #137900=CIRCLE('',#337152,0.175000000000001); #137901=CIRCLE('',#337153,0.175000000000001); #137902=CIRCLE('',#337157,0.139999999999998); #137903=CIRCLE('',#337158,0.139999999999998); #137904=CIRCLE('',#337160,0.175000000000001); #137905=CIRCLE('',#337161,0.175000000000001); #137906=CIRCLE('',#337165,0.140000000000002); #137907=CIRCLE('',#337166,0.140000000000002); #137908=CIRCLE('',#337168,0.175000000000001); #137909=CIRCLE('',#337169,0.175000000000001); #137910=CIRCLE('',#337173,0.140000000000002); #137911=CIRCLE('',#337174,0.140000000000002); #137912=CIRCLE('',#337176,0.175000000000001); #137913=CIRCLE('',#337177,0.175000000000001); #137914=CIRCLE('',#337181,0.140000000000002); #137915=CIRCLE('',#337182,0.140000000000002); #137916=CIRCLE('',#337184,0.175000000000001); #137917=CIRCLE('',#337185,0.175000000000001); #137918=CIRCLE('',#337189,0.139999999999998); #137919=CIRCLE('',#337190,0.139999999999998); #137920=CIRCLE('',#337192,0.175000000000001); #137921=CIRCLE('',#337193,0.175000000000001); #137922=CIRCLE('',#337197,0.140000000000002); #137923=CIRCLE('',#337198,0.140000000000002); #137924=CIRCLE('',#337200,0.175000000000001); #137925=CIRCLE('',#337201,0.175000000000001); #137926=CIRCLE('',#337205,0.140000000000002); #137927=CIRCLE('',#337206,0.140000000000002); #137928=CIRCLE('',#337208,0.175000000000001); #137929=CIRCLE('',#337209,0.175000000000001); #137930=CIRCLE('',#337213,0.140000000000002); #137931=CIRCLE('',#337214,0.140000000000002); #137932=CIRCLE('',#337216,0.175000000000001); #137933=CIRCLE('',#337217,0.175000000000001); #137934=CIRCLE('',#337221,0.564999999999998); #137935=CIRCLE('',#337222,0.564999999999998); #137936=CIRCLE('',#337224,0.600000000000001); #137937=CIRCLE('',#337225,0.600000000000001); #137938=CIRCLE('',#337229,0.114999999999998); #137939=CIRCLE('',#337230,0.114999999999998); #137940=CIRCLE('',#337232,0.150000000000001); #137941=CIRCLE('',#337233,0.150000000000001); #137942=CIRCLE('',#337237,0.139999999999998); #137943=CIRCLE('',#337238,0.139999999999998); #137944=CIRCLE('',#337240,0.175000000000001); #137945=CIRCLE('',#337241,0.175000000000001); #137946=CIRCLE('',#337245,0.114999999999998); #137947=CIRCLE('',#337246,0.114999999999998); #137948=CIRCLE('',#337248,0.150000000000001); #137949=CIRCLE('',#337249,0.150000000000001); #137950=CIRCLE('',#337253,0.139999999999998); #137951=CIRCLE('',#337254,0.139999999999998); #137952=CIRCLE('',#337256,0.175000000000001); #137953=CIRCLE('',#337257,0.175000000000001); #137954=CIRCLE('',#337261,0.114999999999998); #137955=CIRCLE('',#337262,0.114999999999998); #137956=CIRCLE('',#337264,0.150000000000001); #137957=CIRCLE('',#337265,0.150000000000001); #137958=CIRCLE('',#337269,0.139999999999998); #137959=CIRCLE('',#337270,0.139999999999998); #137960=CIRCLE('',#337272,0.175000000000001); #137961=CIRCLE('',#337273,0.175000000000001); #137962=CIRCLE('',#337277,0.114999999999998); #137963=CIRCLE('',#337278,0.114999999999998); #137964=CIRCLE('',#337280,0.150000000000001); #137965=CIRCLE('',#337281,0.150000000000001); #137966=CIRCLE('',#337285,0.139999999999998); #137967=CIRCLE('',#337286,0.139999999999998); #137968=CIRCLE('',#337288,0.175000000000001); #137969=CIRCLE('',#337289,0.175000000000001); #137970=CIRCLE('',#337293,0.140000000000002); #137971=CIRCLE('',#337294,0.140000000000002); #137972=CIRCLE('',#337296,0.175000000000001); #137973=CIRCLE('',#337297,0.175000000000001); #137974=CIRCLE('',#337301,0.139999999999998); #137975=CIRCLE('',#337302,0.139999999999998); #137976=CIRCLE('',#337304,0.175000000000001); #137977=CIRCLE('',#337305,0.175000000000001); #137978=CIRCLE('',#337309,0.140000000000002); #137979=CIRCLE('',#337310,0.140000000000002); #137980=CIRCLE('',#337312,0.175000000000001); #137981=CIRCLE('',#337313,0.175000000000001); #137982=CIRCLE('',#337317,0.139999999999998); #137983=CIRCLE('',#337318,0.139999999999998); #137984=CIRCLE('',#337320,0.175000000000001); #137985=CIRCLE('',#337321,0.175000000000001); #137986=CIRCLE('',#337325,0.140000000000002); #137987=CIRCLE('',#337326,0.140000000000002); #137988=CIRCLE('',#337328,0.175000000000001); #137989=CIRCLE('',#337329,0.175000000000001); #137990=CIRCLE('',#337333,0.139999999999998); #137991=CIRCLE('',#337334,0.139999999999998); #137992=CIRCLE('',#337336,0.175000000000001); #137993=CIRCLE('',#337337,0.175000000000001); #137994=CIRCLE('',#337341,0.140000000000002); #137995=CIRCLE('',#337342,0.140000000000002); #137996=CIRCLE('',#337344,0.175000000000001); #137997=CIRCLE('',#337345,0.175000000000001); #137998=CIRCLE('',#337349,0.139999999999998); #137999=CIRCLE('',#337350,0.139999999999998); #138000=CIRCLE('',#337352,0.175000000000001); #138001=CIRCLE('',#337353,0.175000000000001); #138002=CIRCLE('',#337357,0.139999999999998); #138003=CIRCLE('',#337358,0.139999999999998); #138004=CIRCLE('',#337360,0.175000000000001); #138005=CIRCLE('',#337361,0.175000000000001); #138006=CIRCLE('',#337365,0.14); #138007=CIRCLE('',#337366,0.14); #138008=CIRCLE('',#337368,0.175); #138009=CIRCLE('',#337369,0.175); #138010=CIRCLE('',#337373,0.139999999999998); #138011=CIRCLE('',#337374,0.139999999999998); #138012=CIRCLE('',#337376,0.175000000000001); #138013=CIRCLE('',#337377,0.175000000000001); #138014=CIRCLE('',#337381,0.139999999999998); #138015=CIRCLE('',#337382,0.139999999999998); #138016=CIRCLE('',#337384,0.175000000000001); #138017=CIRCLE('',#337385,0.175000000000001); #138018=CIRCLE('',#337389,0.139999999999998); #138019=CIRCLE('',#337390,0.139999999999998); #138020=CIRCLE('',#337392,0.175000000000001); #138021=CIRCLE('',#337393,0.175000000000001); #138022=CIRCLE('',#337397,0.14); #138023=CIRCLE('',#337398,0.14); #138024=CIRCLE('',#337400,0.175); #138025=CIRCLE('',#337401,0.175); #138026=CIRCLE('',#337405,0.139999999999998); #138027=CIRCLE('',#337406,0.139999999999998); #138028=CIRCLE('',#337408,0.175000000000001); #138029=CIRCLE('',#337409,0.175000000000001); #138030=CIRCLE('',#337413,0.139999999999998); #138031=CIRCLE('',#337414,0.139999999999998); #138032=CIRCLE('',#337416,0.175000000000001); #138033=CIRCLE('',#337417,0.175000000000001); #138034=CIRCLE('',#337421,0.139999999999998); #138035=CIRCLE('',#337422,0.139999999999998); #138036=CIRCLE('',#337424,0.175000000000001); #138037=CIRCLE('',#337425,0.175000000000001); #138038=CIRCLE('',#337429,0.139999999999998); #138039=CIRCLE('',#337430,0.139999999999998); #138040=CIRCLE('',#337432,0.175000000000001); #138041=CIRCLE('',#337433,0.175000000000001); #138042=CIRCLE('',#337437,0.139999999999998); #138043=CIRCLE('',#337438,0.139999999999998); #138044=CIRCLE('',#337440,0.175000000000001); #138045=CIRCLE('',#337441,0.175000000000001); #138046=CIRCLE('',#337445,0.139999999999998); #138047=CIRCLE('',#337446,0.139999999999998); #138048=CIRCLE('',#337448,0.175000000000001); #138049=CIRCLE('',#337449,0.175000000000001); #138050=CIRCLE('',#337453,0.140000000000002); #138051=CIRCLE('',#337454,0.140000000000002); #138052=CIRCLE('',#337456,0.175000000000001); #138053=CIRCLE('',#337457,0.175000000000001); #138054=CIRCLE('',#337461,0.139999999999998); #138055=CIRCLE('',#337462,0.139999999999998); #138056=CIRCLE('',#337464,0.175000000000001); #138057=CIRCLE('',#337465,0.175000000000001); #138058=CIRCLE('',#337469,0.140000000000002); #138059=CIRCLE('',#337470,0.140000000000002); #138060=CIRCLE('',#337472,0.175000000000001); #138061=CIRCLE('',#337473,0.175000000000001); #138062=CIRCLE('',#337477,0.472999999999999); #138063=CIRCLE('',#337478,0.472999999999999); #138064=CIRCLE('',#337480,0.507999999999997); #138065=CIRCLE('',#337481,0.507999999999997); #138066=CIRCLE('',#337485,0.139999999999998); #138067=CIRCLE('',#337486,0.139999999999998); #138068=CIRCLE('',#337488,0.175000000000001); #138069=CIRCLE('',#337489,0.175000000000001); #138070=CIRCLE('',#337493,0.14); #138071=CIRCLE('',#337494,0.14); #138072=CIRCLE('',#337496,0.175); #138073=CIRCLE('',#337497,0.175); #138074=CIRCLE('',#337501,0.139999999999998); #138075=CIRCLE('',#337502,0.139999999999998); #138076=CIRCLE('',#337504,0.175000000000001); #138077=CIRCLE('',#337505,0.175000000000001); #138078=CIRCLE('',#337509,0.139999999999998); #138079=CIRCLE('',#337510,0.139999999999998); #138080=CIRCLE('',#337512,0.175000000000001); #138081=CIRCLE('',#337513,0.175000000000001); #138082=CIRCLE('',#337517,0.139999999999998); #138083=CIRCLE('',#337518,0.139999999999998); #138084=CIRCLE('',#337520,0.175000000000001); #138085=CIRCLE('',#337521,0.175000000000001); #138086=CIRCLE('',#337525,0.14); #138087=CIRCLE('',#337526,0.14); #138088=CIRCLE('',#337528,0.175000000000001); #138089=CIRCLE('',#337529,0.175000000000001); #138090=CIRCLE('',#337533,0.139999999999998); #138091=CIRCLE('',#337534,0.139999999999998); #138092=CIRCLE('',#337536,0.175000000000001); #138093=CIRCLE('',#337537,0.175000000000001); #138094=CIRCLE('',#337541,0.139999999999998); #138095=CIRCLE('',#337542,0.139999999999998); #138096=CIRCLE('',#337544,0.175000000000001); #138097=CIRCLE('',#337545,0.175000000000001); #138098=CIRCLE('',#337549,0.139999999999998); #138099=CIRCLE('',#337550,0.139999999999998); #138100=CIRCLE('',#337552,0.175000000000001); #138101=CIRCLE('',#337553,0.175000000000001); #138102=CIRCLE('',#337557,0.14); #138103=CIRCLE('',#337558,0.14); #138104=CIRCLE('',#337560,0.175); #138105=CIRCLE('',#337561,0.175); #138106=CIRCLE('',#337565,0.139999999999998); #138107=CIRCLE('',#337566,0.139999999999998); #138108=CIRCLE('',#337568,0.175000000000001); #138109=CIRCLE('',#337569,0.175000000000001); #138110=CIRCLE('',#337573,0.139999999999998); #138111=CIRCLE('',#337574,0.139999999999998); #138112=CIRCLE('',#337576,0.175000000000001); #138113=CIRCLE('',#337577,0.175000000000001); #138114=CIRCLE('',#337581,0.139999999999998); #138115=CIRCLE('',#337582,0.139999999999998); #138116=CIRCLE('',#337584,0.175000000000001); #138117=CIRCLE('',#337585,0.175000000000001); #138118=CIRCLE('',#337589,0.14); #138119=CIRCLE('',#337590,0.14); #138120=CIRCLE('',#337592,0.175000000000001); #138121=CIRCLE('',#337593,0.175000000000001); #138122=CIRCLE('',#337597,0.139999999999998); #138123=CIRCLE('',#337598,0.139999999999998); #138124=CIRCLE('',#337600,0.175000000000001); #138125=CIRCLE('',#337601,0.175000000000001); #138126=CIRCLE('',#337605,0.465); #138127=CIRCLE('',#337606,0.465); #138128=CIRCLE('',#337608,0.499999999999998); #138129=CIRCLE('',#337609,0.499999999999998); #138130=CIRCLE('',#337613,0.140000000000002); #138131=CIRCLE('',#337614,0.140000000000002); #138132=CIRCLE('',#337616,0.175000000000001); #138133=CIRCLE('',#337617,0.175000000000001); #138134=CIRCLE('',#337621,0.14); #138135=CIRCLE('',#337622,0.14); #138136=CIRCLE('',#337624,0.175); #138137=CIRCLE('',#337625,0.175); #138138=CIRCLE('',#337629,0.139999999999998); #138139=CIRCLE('',#337630,0.139999999999998); #138140=CIRCLE('',#337632,0.175000000000001); #138141=CIRCLE('',#337633,0.175000000000001); #138142=CIRCLE('',#337637,0.139999999999998); #138143=CIRCLE('',#337638,0.139999999999998); #138144=CIRCLE('',#337640,0.175000000000001); #138145=CIRCLE('',#337641,0.175000000000001); #138146=CIRCLE('',#337645,0.139999999999998); #138147=CIRCLE('',#337646,0.139999999999998); #138148=CIRCLE('',#337648,0.175000000000001); #138149=CIRCLE('',#337649,0.175000000000001); #138150=CIRCLE('',#337653,0.14); #138151=CIRCLE('',#337654,0.14); #138152=CIRCLE('',#337656,0.175); #138153=CIRCLE('',#337657,0.175); #138154=CIRCLE('',#337661,0.139999999999998); #138155=CIRCLE('',#337662,0.139999999999998); #138156=CIRCLE('',#337664,0.175000000000001); #138157=CIRCLE('',#337665,0.175000000000001); #138158=CIRCLE('',#337669,0.139999999999998); #138159=CIRCLE('',#337670,0.139999999999998); #138160=CIRCLE('',#337672,0.175000000000001); #138161=CIRCLE('',#337673,0.175000000000001); #138162=CIRCLE('',#337677,0.139999999999998); #138163=CIRCLE('',#337678,0.139999999999998); #138164=CIRCLE('',#337680,0.175000000000001); #138165=CIRCLE('',#337681,0.175000000000001); #138166=CIRCLE('',#337685,0.14); #138167=CIRCLE('',#337686,0.14); #138168=CIRCLE('',#337688,0.175); #138169=CIRCLE('',#337689,0.175); #138170=CIRCLE('',#337693,0.140000000000002); #138171=CIRCLE('',#337694,0.140000000000002); #138172=CIRCLE('',#337696,0.175000000000001); #138173=CIRCLE('',#337697,0.175000000000001); #138174=CIRCLE('',#337701,0.139999999999998); #138175=CIRCLE('',#337702,0.139999999999998); #138176=CIRCLE('',#337704,0.175000000000001); #138177=CIRCLE('',#337705,0.175000000000001); #138178=CIRCLE('',#337709,0.139999999999998); #138179=CIRCLE('',#337710,0.139999999999998); #138180=CIRCLE('',#337712,0.175000000000001); #138181=CIRCLE('',#337713,0.175000000000001); #138182=CIRCLE('',#337717,0.14); #138183=CIRCLE('',#337718,0.14); #138184=CIRCLE('',#337720,0.175); #138185=CIRCLE('',#337721,0.175); #138186=CIRCLE('',#337725,0.139999999999998); #138187=CIRCLE('',#337726,0.139999999999998); #138188=CIRCLE('',#337728,0.175000000000001); #138189=CIRCLE('',#337729,0.175000000000001); #138190=CIRCLE('',#337733,0.514999999999999); #138191=CIRCLE('',#337734,0.514999999999999); #138192=CIRCLE('',#337736,0.550000000000002); #138193=CIRCLE('',#337737,0.550000000000002); #138194=CIRCLE('',#337741,0.139999999999998); #138195=CIRCLE('',#337742,0.139999999999998); #138196=CIRCLE('',#337744,0.175000000000001); #138197=CIRCLE('',#337745,0.175000000000001); #138198=CIRCLE('',#337749,0.140000000000002); #138199=CIRCLE('',#337750,0.140000000000002); #138200=CIRCLE('',#337752,0.175000000000001); #138201=CIRCLE('',#337753,0.175000000000001); #138202=CIRCLE('',#337757,0.139999999999998); #138203=CIRCLE('',#337758,0.139999999999998); #138204=CIRCLE('',#337760,0.175000000000001); #138205=CIRCLE('',#337761,0.175000000000001); #138206=CIRCLE('',#337765,0.139999999999998); #138207=CIRCLE('',#337766,0.139999999999998); #138208=CIRCLE('',#337768,0.175000000000001); #138209=CIRCLE('',#337769,0.175000000000001); #138210=CIRCLE('',#337773,0.139999999999998); #138211=CIRCLE('',#337774,0.139999999999998); #138212=CIRCLE('',#337776,0.175000000000001); #138213=CIRCLE('',#337777,0.175000000000001); #138214=CIRCLE('',#337781,0.140000000000002); #138215=CIRCLE('',#337782,0.140000000000002); #138216=CIRCLE('',#337784,0.175000000000001); #138217=CIRCLE('',#337785,0.175000000000001); #138218=CIRCLE('',#337789,0.139999999999998); #138219=CIRCLE('',#337790,0.139999999999998); #138220=CIRCLE('',#337792,0.175000000000001); #138221=CIRCLE('',#337793,0.175000000000001); #138222=CIRCLE('',#337797,0.139999999999998); #138223=CIRCLE('',#337798,0.139999999999998); #138224=CIRCLE('',#337800,0.175000000000001); #138225=CIRCLE('',#337801,0.175000000000001); #138226=CIRCLE('',#337805,0.139999999999998); #138227=CIRCLE('',#337806,0.139999999999998); #138228=CIRCLE('',#337808,0.175000000000001); #138229=CIRCLE('',#337809,0.175000000000001); #138230=CIRCLE('',#337813,0.140000000000002); #138231=CIRCLE('',#337814,0.140000000000002); #138232=CIRCLE('',#337816,0.175000000000001); #138233=CIRCLE('',#337817,0.175000000000001); #138234=CIRCLE('',#337821,0.139999999999998); #138235=CIRCLE('',#337822,0.139999999999998); #138236=CIRCLE('',#337824,0.175000000000001); #138237=CIRCLE('',#337825,0.175000000000001); #138238=CIRCLE('',#337829,0.139999999999998); #138239=CIRCLE('',#337830,0.139999999999998); #138240=CIRCLE('',#337832,0.175000000000001); #138241=CIRCLE('',#337833,0.175000000000001); #138242=CIRCLE('',#337837,0.139999999999998); #138243=CIRCLE('',#337838,0.139999999999998); #138244=CIRCLE('',#337840,0.175000000000001); #138245=CIRCLE('',#337841,0.175000000000001); #138246=CIRCLE('',#337845,0.140000000000002); #138247=CIRCLE('',#337846,0.140000000000002); #138248=CIRCLE('',#337848,0.175000000000001); #138249=CIRCLE('',#337849,0.175000000000001); #138250=CIRCLE('',#337853,0.139999999999998); #138251=CIRCLE('',#337854,0.139999999999998); #138252=CIRCLE('',#337856,0.175000000000001); #138253=CIRCLE('',#337857,0.175000000000001); #138254=CIRCLE('',#337861,0.465); #138255=CIRCLE('',#337862,0.465); #138256=CIRCLE('',#337864,0.499999999999998); #138257=CIRCLE('',#337865,0.499999999999998); #138258=CIRCLE('',#337869,0.139999999999998); #138259=CIRCLE('',#337870,0.139999999999998); #138260=CIRCLE('',#337872,0.175000000000001); #138261=CIRCLE('',#337873,0.175000000000001); #138262=CIRCLE('',#337877,0.14); #138263=CIRCLE('',#337878,0.14); #138264=CIRCLE('',#337880,0.175000000000001); #138265=CIRCLE('',#337881,0.175000000000001); #138266=CIRCLE('',#337885,0.14); #138267=CIRCLE('',#337886,0.14); #138268=CIRCLE('',#337888,0.175000000000001); #138269=CIRCLE('',#337889,0.175000000000001); #138270=CIRCLE('',#337893,0.139999999999998); #138271=CIRCLE('',#337894,0.139999999999998); #138272=CIRCLE('',#337896,0.175000000000001); #138273=CIRCLE('',#337897,0.175000000000001); #138274=CIRCLE('',#337901,0.14); #138275=CIRCLE('',#337902,0.14); #138276=CIRCLE('',#337904,0.175000000000001); #138277=CIRCLE('',#337905,0.175000000000001); #138278=CIRCLE('',#337909,0.14); #138279=CIRCLE('',#337910,0.14); #138280=CIRCLE('',#337912,0.175000000000001); #138281=CIRCLE('',#337913,0.175000000000001); #138282=CIRCLE('',#337917,0.14); #138283=CIRCLE('',#337918,0.14); #138284=CIRCLE('',#337920,0.175000000000001); #138285=CIRCLE('',#337921,0.175000000000001); #138286=CIRCLE('',#337925,0.139999999999998); #138287=CIRCLE('',#337926,0.139999999999998); #138288=CIRCLE('',#337928,0.175000000000001); #138289=CIRCLE('',#337929,0.175000000000001); #138290=CIRCLE('',#337933,0.139999999999998); #138291=CIRCLE('',#337934,0.139999999999998); #138292=CIRCLE('',#337936,0.175000000000001); #138293=CIRCLE('',#337937,0.175000000000001); #138294=CIRCLE('',#337941,0.140000000000002); #138295=CIRCLE('',#337942,0.140000000000002); #138296=CIRCLE('',#337944,0.175000000000001); #138297=CIRCLE('',#337945,0.175000000000001); #138298=CIRCLE('',#337949,0.139999999999998); #138299=CIRCLE('',#337950,0.139999999999998); #138300=CIRCLE('',#337952,0.175000000000001); #138301=CIRCLE('',#337953,0.175000000000001); #138302=CIRCLE('',#337957,0.139999999999998); #138303=CIRCLE('',#337958,0.139999999999998); #138304=CIRCLE('',#337960,0.175000000000001); #138305=CIRCLE('',#337961,0.175000000000001); #138306=CIRCLE('',#337965,0.139999999999998); #138307=CIRCLE('',#337966,0.139999999999998); #138308=CIRCLE('',#337968,0.175000000000001); #138309=CIRCLE('',#337969,0.175000000000001); #138310=CIRCLE('',#337973,0.140000000000002); #138311=CIRCLE('',#337974,0.140000000000002); #138312=CIRCLE('',#337976,0.175000000000001); #138313=CIRCLE('',#337977,0.175000000000001); #138314=CIRCLE('',#337981,0.139999999999998); #138315=CIRCLE('',#337982,0.139999999999998); #138316=CIRCLE('',#337984,0.175000000000001); #138317=CIRCLE('',#337985,0.175000000000001); #138318=CIRCLE('',#337989,0.472999999999999); #138319=CIRCLE('',#337990,0.472999999999999); #138320=CIRCLE('',#337992,0.507999999999997); #138321=CIRCLE('',#337993,0.507999999999997); #138322=CIRCLE('',#337997,0.14); #138323=CIRCLE('',#337998,0.14); #138324=CIRCLE('',#338000,0.175000000000001); #138325=CIRCLE('',#338001,0.175000000000001); #138326=CIRCLE('',#338005,0.140000000000002); #138327=CIRCLE('',#338006,0.140000000000002); #138328=CIRCLE('',#338008,0.175000000000001); #138329=CIRCLE('',#338009,0.175000000000001); #138330=CIRCLE('',#338013,0.14); #138331=CIRCLE('',#338014,0.14); #138332=CIRCLE('',#338016,0.175000000000001); #138333=CIRCLE('',#338017,0.175000000000001); #138334=CIRCLE('',#338021,0.139999999999998); #138335=CIRCLE('',#338022,0.139999999999998); #138336=CIRCLE('',#338024,0.175000000000001); #138337=CIRCLE('',#338025,0.175000000000001); #138338=CIRCLE('',#338029,0.14); #138339=CIRCLE('',#338030,0.14); #138340=CIRCLE('',#338032,0.175000000000001); #138341=CIRCLE('',#338033,0.175000000000001); #138342=CIRCLE('',#338037,0.140000000000002); #138343=CIRCLE('',#338038,0.140000000000002); #138344=CIRCLE('',#338040,0.175000000000001); #138345=CIRCLE('',#338041,0.175000000000001); #138346=CIRCLE('',#338045,0.14); #138347=CIRCLE('',#338046,0.14); #138348=CIRCLE('',#338048,0.175000000000001); #138349=CIRCLE('',#338049,0.175000000000001); #138350=CIRCLE('',#338053,0.139999999999998); #138351=CIRCLE('',#338054,0.139999999999998); #138352=CIRCLE('',#338056,0.175000000000001); #138353=CIRCLE('',#338057,0.175000000000001); #138354=CIRCLE('',#338061,0.139999999999998); #138355=CIRCLE('',#338062,0.139999999999998); #138356=CIRCLE('',#338064,0.175000000000001); #138357=CIRCLE('',#338065,0.175000000000001); #138358=CIRCLE('',#338069,0.140000000000002); #138359=CIRCLE('',#338070,0.140000000000002); #138360=CIRCLE('',#338072,0.175000000000001); #138361=CIRCLE('',#338073,0.175000000000001); #138362=CIRCLE('',#338077,0.139999999999998); #138363=CIRCLE('',#338078,0.139999999999998); #138364=CIRCLE('',#338080,0.175000000000001); #138365=CIRCLE('',#338081,0.175000000000001); #138366=CIRCLE('',#338085,0.139999999999998); #138367=CIRCLE('',#338086,0.139999999999998); #138368=CIRCLE('',#338088,0.175000000000001); #138369=CIRCLE('',#338089,0.175000000000001); #138370=CIRCLE('',#338093,0.14); #138371=CIRCLE('',#338094,0.14); #138372=CIRCLE('',#338096,0.175000000000001); #138373=CIRCLE('',#338097,0.175000000000001); #138374=CIRCLE('',#338101,0.140000000000002); #138375=CIRCLE('',#338102,0.140000000000002); #138376=CIRCLE('',#338104,0.175000000000001); #138377=CIRCLE('',#338105,0.175000000000001); #138378=CIRCLE('',#338109,0.14); #138379=CIRCLE('',#338110,0.14); #138380=CIRCLE('',#338112,0.175000000000001); #138381=CIRCLE('',#338113,0.175000000000001); #138382=CIRCLE('',#338117,0.465); #138383=CIRCLE('',#338118,0.465); #138384=CIRCLE('',#338120,0.499999999999998); #138385=CIRCLE('',#338121,0.499999999999998); #138386=CIRCLE('',#338125,0.14); #138387=CIRCLE('',#338126,0.14); #138388=CIRCLE('',#338128,0.175000000000001); #138389=CIRCLE('',#338129,0.175000000000001); #138390=CIRCLE('',#338133,0.140000000000002); #138391=CIRCLE('',#338134,0.140000000000002); #138392=CIRCLE('',#338136,0.175000000000001); #138393=CIRCLE('',#338137,0.175000000000001); #138394=CIRCLE('',#338141,0.14); #138395=CIRCLE('',#338142,0.14); #138396=CIRCLE('',#338144,0.175000000000001); #138397=CIRCLE('',#338145,0.175000000000001); #138398=CIRCLE('',#338149,0.139999999999998); #138399=CIRCLE('',#338150,0.139999999999998); #138400=CIRCLE('',#338152,0.175000000000001); #138401=CIRCLE('',#338153,0.175000000000001); #138402=CIRCLE('',#338157,0.14); #138403=CIRCLE('',#338158,0.14); #138404=CIRCLE('',#338160,0.175000000000001); #138405=CIRCLE('',#338161,0.175000000000001); #138406=CIRCLE('',#338165,0.140000000000002); #138407=CIRCLE('',#338166,0.140000000000002); #138408=CIRCLE('',#338168,0.175000000000001); #138409=CIRCLE('',#338169,0.175000000000001); #138410=CIRCLE('',#338173,0.14); #138411=CIRCLE('',#338174,0.14); #138412=CIRCLE('',#338176,0.175000000000001); #138413=CIRCLE('',#338177,0.175000000000001); #138414=CIRCLE('',#338181,0.139999999999998); #138415=CIRCLE('',#338182,0.139999999999998); #138416=CIRCLE('',#338184,0.175000000000001); #138417=CIRCLE('',#338185,0.175000000000001); #138418=CIRCLE('',#338189,0.14); #138419=CIRCLE('',#338190,0.14); #138420=CIRCLE('',#338192,0.175000000000001); #138421=CIRCLE('',#338193,0.175000000000001); #138422=CIRCLE('',#338197,0.14); #138423=CIRCLE('',#338198,0.14); #138424=CIRCLE('',#338200,0.175000000000001); #138425=CIRCLE('',#338201,0.175000000000001); #138426=CIRCLE('',#338205,0.14); #138427=CIRCLE('',#338206,0.14); #138428=CIRCLE('',#338208,0.175000000000001); #138429=CIRCLE('',#338209,0.175000000000001); #138430=CIRCLE('',#338213,0.139999999999998); #138431=CIRCLE('',#338214,0.139999999999998); #138432=CIRCLE('',#338216,0.175000000000001); #138433=CIRCLE('',#338217,0.175000000000001); #138434=CIRCLE('',#338221,0.139999999999998); #138435=CIRCLE('',#338222,0.139999999999998); #138436=CIRCLE('',#338224,0.175000000000001); #138437=CIRCLE('',#338225,0.175000000000001); #138438=CIRCLE('',#338229,0.14); #138439=CIRCLE('',#338230,0.14); #138440=CIRCLE('',#338232,0.175); #138441=CIRCLE('',#338233,0.175); #138442=CIRCLE('',#338237,0.139999999999998); #138443=CIRCLE('',#338238,0.139999999999998); #138444=CIRCLE('',#338240,0.175000000000001); #138445=CIRCLE('',#338241,0.175000000000001); #138446=CIRCLE('',#338245,0.565000000000002); #138447=CIRCLE('',#338246,0.565000000000002); #138448=CIRCLE('',#338248,0.600000000000001); #138449=CIRCLE('',#338249,0.600000000000001); #138450=CIRCLE('',#338253,0.14); #138451=CIRCLE('',#338254,0.14); #138452=CIRCLE('',#338256,0.175000000000001); #138453=CIRCLE('',#338257,0.175000000000001); #138454=CIRCLE('',#338261,0.139999999999998); #138455=CIRCLE('',#338262,0.139999999999998); #138456=CIRCLE('',#338264,0.175000000000001); #138457=CIRCLE('',#338265,0.175000000000001); #138458=CIRCLE('',#338269,0.14); #138459=CIRCLE('',#338270,0.14); #138460=CIRCLE('',#338272,0.175000000000001); #138461=CIRCLE('',#338273,0.175000000000001); #138462=CIRCLE('',#338277,0.139999999999998); #138463=CIRCLE('',#338278,0.139999999999998); #138464=CIRCLE('',#338280,0.175000000000001); #138465=CIRCLE('',#338281,0.175000000000001); #138466=CIRCLE('',#338285,0.14); #138467=CIRCLE('',#338286,0.14); #138468=CIRCLE('',#338288,0.175000000000001); #138469=CIRCLE('',#338289,0.175000000000001); #138470=CIRCLE('',#338293,0.139999999999998); #138471=CIRCLE('',#338294,0.139999999999998); #138472=CIRCLE('',#338296,0.175000000000001); #138473=CIRCLE('',#338297,0.175000000000001); #138474=CIRCLE('',#338301,0.14); #138475=CIRCLE('',#338302,0.14); #138476=CIRCLE('',#338304,0.175000000000001); #138477=CIRCLE('',#338305,0.175000000000001); #138478=CIRCLE('',#338309,0.140000000000002); #138479=CIRCLE('',#338310,0.140000000000002); #138480=CIRCLE('',#338312,0.175000000000001); #138481=CIRCLE('',#338313,0.175000000000001); #138482=CIRCLE('',#338317,0.139999999999998); #138483=CIRCLE('',#338318,0.139999999999998); #138484=CIRCLE('',#338320,0.175000000000001); #138485=CIRCLE('',#338321,0.175000000000001); #138486=CIRCLE('',#338325,0.140000000000002); #138487=CIRCLE('',#338326,0.140000000000002); #138488=CIRCLE('',#338328,0.175000000000001); #138489=CIRCLE('',#338329,0.175000000000001); #138490=CIRCLE('',#338333,0.139999999999998); #138491=CIRCLE('',#338334,0.139999999999998); #138492=CIRCLE('',#338336,0.175000000000001); #138493=CIRCLE('',#338337,0.175000000000001); #138494=CIRCLE('',#338341,0.139999999999998); #138495=CIRCLE('',#338342,0.139999999999998); #138496=CIRCLE('',#338344,0.175000000000001); #138497=CIRCLE('',#338345,0.175000000000001); #138498=CIRCLE('',#338349,0.139999999999998); #138499=CIRCLE('',#338350,0.139999999999998); #138500=CIRCLE('',#338352,0.175000000000001); #138501=CIRCLE('',#338353,0.175000000000001); #138502=CIRCLE('',#338357,0.139999999999998); #138503=CIRCLE('',#338358,0.139999999999998); #138504=CIRCLE('',#338360,0.175000000000001); #138505=CIRCLE('',#338361,0.175000000000001); #138506=CIRCLE('',#338365,0.14); #138507=CIRCLE('',#338366,0.14); #138508=CIRCLE('',#338368,0.175000000000001); #138509=CIRCLE('',#338369,0.175000000000001); #138510=CIRCLE('',#338373,0.465); #138511=CIRCLE('',#338374,0.465); #138512=CIRCLE('',#338376,0.499999999999998); #138513=CIRCLE('',#338377,0.499999999999998); #138514=CIRCLE('',#338382,0.315); #138515=CIRCLE('',#338383,0.315); #138516=CIRCLE('',#338386,0.315); #138517=CIRCLE('',#338387,0.315); #138518=CIRCLE('',#338390,0.35); #138519=CIRCLE('',#338391,0.35); #138520=CIRCLE('',#338394,0.35); #138521=CIRCLE('',#338395,0.35); #138522=CIRCLE('',#338399,0.139999999999998); #138523=CIRCLE('',#338400,0.139999999999998); #138524=CIRCLE('',#338402,0.175000000000001); #138525=CIRCLE('',#338403,0.175000000000001); #138526=CIRCLE('',#338408,0.315); #138527=CIRCLE('',#338409,0.315); #138528=CIRCLE('',#338412,0.315); #138529=CIRCLE('',#338413,0.315); #138530=CIRCLE('',#338416,0.35); #138531=CIRCLE('',#338417,0.35); #138532=CIRCLE('',#338420,0.35); #138533=CIRCLE('',#338421,0.35); #138534=CIRCLE('',#338425,0.139999999999998); #138535=CIRCLE('',#338426,0.139999999999998); #138536=CIRCLE('',#338428,0.175000000000001); #138537=CIRCLE('',#338429,0.175000000000001); #138538=CIRCLE('',#338434,0.565); #138539=CIRCLE('',#338435,0.565); #138540=CIRCLE('',#338438,0.565); #138541=CIRCLE('',#338439,0.565); #138542=CIRCLE('',#338442,0.6); #138543=CIRCLE('',#338443,0.6); #138544=CIRCLE('',#338446,0.6); #138545=CIRCLE('',#338447,0.6); #138546=CIRCLE('',#338451,0.139999999999998); #138547=CIRCLE('',#338452,0.139999999999998); #138548=CIRCLE('',#338454,0.175000000000001); #138549=CIRCLE('',#338455,0.175000000000001); #138550=CIRCLE('',#338460,0.565); #138551=CIRCLE('',#338461,0.565); #138552=CIRCLE('',#338464,0.565); #138553=CIRCLE('',#338465,0.565); #138554=CIRCLE('',#338468,0.6); #138555=CIRCLE('',#338469,0.6); #138556=CIRCLE('',#338472,0.6); #138557=CIRCLE('',#338473,0.6); #138558=CIRCLE('',#338477,0.139999999999998); #138559=CIRCLE('',#338478,0.139999999999998); #138560=CIRCLE('',#338480,0.175000000000001); #138561=CIRCLE('',#338481,0.175000000000001); #138562=CIRCLE('',#338485,0.114999999999998); #138563=CIRCLE('',#338486,0.114999999999998); #138564=CIRCLE('',#338488,0.150000000000001); #138565=CIRCLE('',#338489,0.150000000000001); #138566=CIRCLE('',#338493,0.139999999999998); #138567=CIRCLE('',#338494,0.139999999999998); #138568=CIRCLE('',#338496,0.175000000000001); #138569=CIRCLE('',#338497,0.175000000000001); #138570=CIRCLE('',#338501,0.114999999999998); #138571=CIRCLE('',#338502,0.114999999999998); #138572=CIRCLE('',#338504,0.150000000000001); #138573=CIRCLE('',#338505,0.150000000000001); #138574=CIRCLE('',#338509,0.139999999999998); #138575=CIRCLE('',#338510,0.139999999999998); #138576=CIRCLE('',#338512,0.175000000000001); #138577=CIRCLE('',#338513,0.175000000000001); #138578=CIRCLE('',#338517,0.114999999999998); #138579=CIRCLE('',#338518,0.114999999999998); #138580=CIRCLE('',#338520,0.150000000000001); #138581=CIRCLE('',#338521,0.150000000000001); #138582=CIRCLE('',#338525,0.139999999999998); #138583=CIRCLE('',#338526,0.139999999999998); #138584=CIRCLE('',#338528,0.175000000000001); #138585=CIRCLE('',#338529,0.175000000000001); #138586=CIRCLE('',#338533,0.114999999999998); #138587=CIRCLE('',#338534,0.114999999999998); #138588=CIRCLE('',#338536,0.150000000000001); #138589=CIRCLE('',#338537,0.150000000000001); #138590=CIRCLE('',#338541,0.140000000000002); #138591=CIRCLE('',#338542,0.140000000000002); #138592=CIRCLE('',#338544,0.175000000000001); #138593=CIRCLE('',#338545,0.175000000000001); #138594=CIRCLE('',#338549,0.139999999999998); #138595=CIRCLE('',#338550,0.139999999999998); #138596=CIRCLE('',#338552,0.175000000000001); #138597=CIRCLE('',#338553,0.175000000000001); #138598=CIRCLE('',#338557,0.139999999999998); #138599=CIRCLE('',#338558,0.139999999999998); #138600=CIRCLE('',#338560,0.175000000000001); #138601=CIRCLE('',#338561,0.175000000000001); #138602=CIRCLE('',#338566,0.315); #138603=CIRCLE('',#338567,0.315); #138604=CIRCLE('',#338570,0.315); #138605=CIRCLE('',#338571,0.315); #138606=CIRCLE('',#338574,0.35); #138607=CIRCLE('',#338575,0.35); #138608=CIRCLE('',#338578,0.35); #138609=CIRCLE('',#338579,0.35); #138610=CIRCLE('',#338583,0.139999999999998); #138611=CIRCLE('',#338584,0.139999999999998); #138612=CIRCLE('',#338586,0.175000000000001); #138613=CIRCLE('',#338587,0.175000000000001); #138614=CIRCLE('',#338592,0.315); #138615=CIRCLE('',#338593,0.315); #138616=CIRCLE('',#338596,0.315); #138617=CIRCLE('',#338597,0.315); #138618=CIRCLE('',#338600,0.35); #138619=CIRCLE('',#338601,0.35); #138620=CIRCLE('',#338604,0.35); #138621=CIRCLE('',#338605,0.35); #138622=CIRCLE('',#338609,0.139999999999998); #138623=CIRCLE('',#338610,0.139999999999998); #138624=CIRCLE('',#338612,0.175000000000001); #138625=CIRCLE('',#338613,0.175000000000001); #138626=CIRCLE('',#338617,0.139999999999998); #138627=CIRCLE('',#338618,0.139999999999998); #138628=CIRCLE('',#338620,0.175000000000001); #138629=CIRCLE('',#338621,0.175000000000001); #138630=CIRCLE('',#338625,0.139999999999998); #138631=CIRCLE('',#338626,0.139999999999998); #138632=CIRCLE('',#338628,0.175000000000001); #138633=CIRCLE('',#338629,0.175000000000001); #138634=CIRCLE('',#338633,0.139999999999998); #138635=CIRCLE('',#338634,0.139999999999998); #138636=CIRCLE('',#338636,0.175000000000001); #138637=CIRCLE('',#338637,0.175000000000001); #138638=CIRCLE('',#338641,0.514999999999999); #138639=CIRCLE('',#338642,0.514999999999999); #138640=CIRCLE('',#338644,0.550000000000002); #138641=CIRCLE('',#338645,0.550000000000002); #138642=CIRCLE('',#338649,0.140000000000002); #138643=CIRCLE('',#338650,0.140000000000002); #138644=CIRCLE('',#338652,0.175000000000001); #138645=CIRCLE('',#338653,0.175000000000001); #138646=CIRCLE('',#338657,0.139999999999998); #138647=CIRCLE('',#338658,0.139999999999998); #138648=CIRCLE('',#338660,0.175000000000001); #138649=CIRCLE('',#338661,0.175000000000001); #138650=CIRCLE('',#338665,0.140000000000002); #138651=CIRCLE('',#338666,0.140000000000002); #138652=CIRCLE('',#338668,0.175000000000001); #138653=CIRCLE('',#338669,0.175000000000001); #138654=CIRCLE('',#338673,0.139999999999998); #138655=CIRCLE('',#338674,0.139999999999998); #138656=CIRCLE('',#338676,0.175000000000001); #138657=CIRCLE('',#338677,0.175000000000001); #138658=CIRCLE('',#338681,0.139999999999998); #138659=CIRCLE('',#338682,0.139999999999998); #138660=CIRCLE('',#338684,0.175000000000001); #138661=CIRCLE('',#338685,0.175000000000001); #138662=CIRCLE('',#338689,0.139999999999998); #138663=CIRCLE('',#338690,0.139999999999998); #138664=CIRCLE('',#338692,0.175000000000001); #138665=CIRCLE('',#338693,0.175000000000001); #138666=CIRCLE('',#338697,0.140000000000002); #138667=CIRCLE('',#338698,0.140000000000002); #138668=CIRCLE('',#338700,0.175000000000001); #138669=CIRCLE('',#338701,0.175000000000001); #138670=CIRCLE('',#338705,0.140000000000002); #138671=CIRCLE('',#338706,0.140000000000002); #138672=CIRCLE('',#338708,0.175000000000001); #138673=CIRCLE('',#338709,0.175000000000001); #138674=CIRCLE('',#338713,0.14); #138675=CIRCLE('',#338714,0.14); #138676=CIRCLE('',#338716,0.175000000000001); #138677=CIRCLE('',#338717,0.175000000000001); #138678=CIRCLE('',#338721,0.139999999999998); #138679=CIRCLE('',#338722,0.139999999999998); #138680=CIRCLE('',#338724,0.175000000000001); #138681=CIRCLE('',#338725,0.175000000000001); #138682=CIRCLE('',#338729,0.14); #138683=CIRCLE('',#338730,0.14); #138684=CIRCLE('',#338732,0.175000000000001); #138685=CIRCLE('',#338733,0.175000000000001); #138686=CIRCLE('',#338737,0.139999999999998); #138687=CIRCLE('',#338738,0.139999999999998); #138688=CIRCLE('',#338740,0.175000000000001); #138689=CIRCLE('',#338741,0.175000000000001); #138690=CIRCLE('',#338745,0.14); #138691=CIRCLE('',#338746,0.14); #138692=CIRCLE('',#338748,0.175000000000001); #138693=CIRCLE('',#338749,0.175000000000001); #138694=CIRCLE('',#338753,0.139999999999998); #138695=CIRCLE('',#338754,0.139999999999998); #138696=CIRCLE('',#338756,0.175000000000001); #138697=CIRCLE('',#338757,0.175000000000001); #138698=CIRCLE('',#338761,0.14); #138699=CIRCLE('',#338762,0.14); #138700=CIRCLE('',#338764,0.175000000000001); #138701=CIRCLE('',#338765,0.175000000000001); #138702=CIRCLE('',#338769,0.465); #138703=CIRCLE('',#338770,0.465); #138704=CIRCLE('',#338772,0.499999999999998); #138705=CIRCLE('',#338773,0.499999999999998); #138706=CIRCLE('',#338777,0.140000000000002); #138707=CIRCLE('',#338778,0.140000000000002); #138708=CIRCLE('',#338780,0.175000000000001); #138709=CIRCLE('',#338781,0.175000000000001); #138710=CIRCLE('',#338785,0.139999999999998); #138711=CIRCLE('',#338786,0.139999999999998); #138712=CIRCLE('',#338788,0.175000000000001); #138713=CIRCLE('',#338789,0.175000000000001); #138714=CIRCLE('',#338793,0.140000000000002); #138715=CIRCLE('',#338794,0.140000000000002); #138716=CIRCLE('',#338796,0.175000000000001); #138717=CIRCLE('',#338797,0.175000000000001); #138718=CIRCLE('',#338801,0.139999999999998); #138719=CIRCLE('',#338802,0.139999999999998); #138720=CIRCLE('',#338804,0.175000000000001); #138721=CIRCLE('',#338805,0.175000000000001); #138722=CIRCLE('',#338809,0.140000000000002); #138723=CIRCLE('',#338810,0.140000000000002); #138724=CIRCLE('',#338812,0.175000000000001); #138725=CIRCLE('',#338813,0.175000000000001); #138726=CIRCLE('',#338817,0.139999999999998); #138727=CIRCLE('',#338818,0.139999999999998); #138728=CIRCLE('',#338820,0.175000000000001); #138729=CIRCLE('',#338821,0.175000000000001); #138730=CIRCLE('',#338825,0.140000000000002); #138731=CIRCLE('',#338826,0.140000000000002); #138732=CIRCLE('',#338828,0.175000000000001); #138733=CIRCLE('',#338829,0.175000000000001); #138734=CIRCLE('',#338833,0.139999999999998); #138735=CIRCLE('',#338834,0.139999999999998); #138736=CIRCLE('',#338836,0.175000000000001); #138737=CIRCLE('',#338837,0.175000000000001); #138738=CIRCLE('',#338841,0.140000000000002); #138739=CIRCLE('',#338842,0.140000000000002); #138740=CIRCLE('',#338844,0.175000000000001); #138741=CIRCLE('',#338845,0.175000000000001); #138742=CIRCLE('',#338849,0.139999999999998); #138743=CIRCLE('',#338850,0.139999999999998); #138744=CIRCLE('',#338852,0.175000000000001); #138745=CIRCLE('',#338853,0.175000000000001); #138746=CIRCLE('',#338857,0.140000000000002); #138747=CIRCLE('',#338858,0.140000000000002); #138748=CIRCLE('',#338860,0.175000000000001); #138749=CIRCLE('',#338861,0.175000000000001); #138750=CIRCLE('',#338865,0.139999999999998); #138751=CIRCLE('',#338866,0.139999999999998); #138752=CIRCLE('',#338868,0.175000000000001); #138753=CIRCLE('',#338869,0.175000000000001); #138754=CIRCLE('',#338873,0.140000000000002); #138755=CIRCLE('',#338874,0.140000000000002); #138756=CIRCLE('',#338876,0.175000000000001); #138757=CIRCLE('',#338877,0.175000000000001); #138758=CIRCLE('',#338881,0.139999999999998); #138759=CIRCLE('',#338882,0.139999999999998); #138760=CIRCLE('',#338884,0.175000000000001); #138761=CIRCLE('',#338885,0.175000000000001); #138762=CIRCLE('',#338889,0.140000000000002); #138763=CIRCLE('',#338890,0.140000000000002); #138764=CIRCLE('',#338892,0.175000000000001); #138765=CIRCLE('',#338893,0.175000000000001); #138766=CIRCLE('',#338897,0.564999999999998); #138767=CIRCLE('',#338898,0.564999999999998); #138768=CIRCLE('',#338900,0.600000000000001); #138769=CIRCLE('',#338901,0.600000000000001); #138770=CIRCLE('',#338906,0.564999999999998); #138771=CIRCLE('',#338907,0.564999999999998); #138772=CIRCLE('',#338910,0.900857088405025); #138773=CIRCLE('',#338911,0.900857088405025); #138774=CIRCLE('',#338913,0.900856769723134); #138775=CIRCLE('',#338914,0.900856769723134); #138776=CIRCLE('',#338984,0.139999999999998); #138777=CIRCLE('',#338985,0.139999999999998); #138778=CIRCLE('',#338988,0.275430523299251); #138779=CIRCLE('',#338989,0.275430523299251); #138780=CIRCLE('',#338992,0.127001515838141); #138781=CIRCLE('',#338993,0.127001515838141); #138782=CIRCLE('',#339002,0.275430756167228); #138783=CIRCLE('',#339003,0.275430756167228); #138784=CIRCLE('',#339005,0.275850020106942); #138785=CIRCLE('',#339006,0.275850020106942); #138786=CIRCLE('',#339010,0.139999999999998); #138787=CIRCLE('',#339011,0.139999999999998); #138788=CIRCLE('',#339014,0.275429677195186); #138789=CIRCLE('',#339015,0.275429677195186); #138790=CIRCLE('',#339024,0.275429442438526); #138791=CIRCLE('',#339025,0.275429442438526); #138792=CIRCLE('',#339037,0.127001515838136); #138793=CIRCLE('',#339038,0.127001515838136); #138794=CIRCLE('',#339041,0.127001515838139); #138795=CIRCLE('',#339042,0.127001515838139); #138796=CIRCLE('',#339051,0.127000308727438); #138797=CIRCLE('',#339052,0.127000308727438); #138798=CIRCLE('',#339062,0.127000308727433); #138799=CIRCLE('',#339063,0.127000308727433); #138800=CIRCLE('',#339072,0.127000308727435); #138801=CIRCLE('',#339073,0.127000308727435); #138802=CIRCLE('',#339077,0.127000308727438); #138803=CIRCLE('',#339078,0.127000308727438); #138804=CIRCLE('',#339087,0.127001515838141); #138805=CIRCLE('',#339088,0.127001515838141); #138806=CIRCLE('',#339095,0.127000308727433); #138807=CIRCLE('',#339096,0.127000308727433); #138808=CIRCLE('',#339099,0.127000308727433); #138809=CIRCLE('',#339100,0.127000308727433); #138810=CIRCLE('',#339113,0.127000308727433); #138811=CIRCLE('',#339114,0.127000308727433); #138812=CIRCLE('',#339117,0.127000308727433); #138813=CIRCLE('',#339118,0.127000308727433); #138814=CIRCLE('',#339125,0.127000308727425); #138815=CIRCLE('',#339126,0.127000308727425); #138816=CIRCLE('',#339135,0.127000308727441); #138817=CIRCLE('',#339136,0.127000308727441); #138818=CIRCLE('',#339140,0.127000308727431); #138819=CIRCLE('',#339141,0.127000308727431); #138820=CIRCLE('',#339150,0.127000308727431); #138821=CIRCLE('',#339151,0.127000308727431); #138822=CIRCLE('',#339157,0.139999999999998); #138823=CIRCLE('',#339158,0.139999999999998); #138824=CIRCLE('',#339161,0.275429726247122); #138825=CIRCLE('',#339162,0.275429726247122); #138826=CIRCLE('',#339166,0.127000429438417); #138827=CIRCLE('',#339167,0.127000429438417); #138828=CIRCLE('',#339171,0.127000308727438); #138829=CIRCLE('',#339172,0.127000308727438); #138830=CIRCLE('',#339182,0.127000308727435); #138831=CIRCLE('',#339183,0.127000308727435); #138832=CIRCLE('',#339187,0.127000429438417); #138833=CIRCLE('',#339188,0.127000429438417); #138834=CIRCLE('',#339191,0.27542954841201); #138835=CIRCLE('',#339192,0.27542954841201); #138836=CIRCLE('',#339196,0.139999999999998); #138837=CIRCLE('',#339197,0.139999999999998); #138838=CIRCLE('',#339200,0.275429726247122); #138839=CIRCLE('',#339201,0.275429726247122); #138840=CIRCLE('',#339205,0.127001515838136); #138841=CIRCLE('',#339206,0.127001515838136); #138842=CIRCLE('',#339216,0.127000308727438); #138843=CIRCLE('',#339217,0.127000308727438); #138844=CIRCLE('',#339220,0.275429548412009); #138845=CIRCLE('',#339221,0.275429548412009); #138846=CIRCLE('',#339225,0.139999999999998); #138847=CIRCLE('',#339226,0.139999999999998); #138848=CIRCLE('',#339229,0.275429677195182); #138849=CIRCLE('',#339230,0.275429677195182); #138850=CIRCLE('',#339239,0.127000308727438); #138851=CIRCLE('',#339240,0.127000308727438); #138852=CIRCLE('',#339243,0.275429442438522); #138853=CIRCLE('',#339244,0.275429442438522); #138854=CIRCLE('',#339248,0.139999999999998); #138855=CIRCLE('',#339249,0.139999999999998); #138856=CIRCLE('',#339252,0.275430525995305); #138857=CIRCLE('',#339253,0.275430525995305); #138858=CIRCLE('',#339256,0.127000308727436); #138859=CIRCLE('',#339257,0.127000308727436); #138860=CIRCLE('',#339268,0.275850020106943); #138861=CIRCLE('',#339269,0.275850020106943); #138862=CIRCLE('',#339273,0.140000000000002); #138863=CIRCLE('',#339274,0.140000000000002); #138864=CIRCLE('',#339276,0.140000000000002); #138865=CIRCLE('',#339277,0.140000000000002); #138866=CIRCLE('',#339280,0.275850031150944); #138867=CIRCLE('',#339281,0.275850031150944); #138868=CIRCLE('',#339283,0.275430734847662); #138869=CIRCLE('',#339284,0.275430734847662); #138870=CIRCLE('',#339287,0.275446747951507); #138871=CIRCLE('',#339288,0.275446747951507); #138872=CIRCLE('',#339290,0.275424633936642); #138873=CIRCLE('',#339291,0.275424633936642); #138874=CIRCLE('',#339294,0.275430284536491); #138875=CIRCLE('',#339295,0.275430284536491); #138876=CIRCLE('',#339299,0.139999999999998); #138877=CIRCLE('',#339300,0.139999999999998); #138878=CIRCLE('',#339303,0.275429726247122); #138879=CIRCLE('',#339304,0.275429726247122); #138880=CIRCLE('',#339308,0.127001515838133); #138881=CIRCLE('',#339309,0.127001515838133); #138882=CIRCLE('',#339319,0.127000308727435); #138883=CIRCLE('',#339320,0.127000308727435); #138884=CIRCLE('',#339323,0.275429548412009); #138885=CIRCLE('',#339324,0.275429548412009); #138886=CIRCLE('',#339328,0.139999999999998); #138887=CIRCLE('',#339329,0.139999999999998); #138888=CIRCLE('',#339332,0.275429726247122); #138889=CIRCLE('',#339333,0.275429726247122); #138890=CIRCLE('',#339337,0.127000429438417); #138891=CIRCLE('',#339338,0.127000429438417); #138892=CIRCLE('',#339342,0.127000308727435); #138893=CIRCLE('',#339343,0.127000308727435); #138894=CIRCLE('',#339353,0.127000308727433); #138895=CIRCLE('',#339354,0.127000308727433); #138896=CIRCLE('',#339358,0.127000429438417); #138897=CIRCLE('',#339359,0.127000429438417); #138898=CIRCLE('',#339362,0.27542954841201); #138899=CIRCLE('',#339363,0.27542954841201); #138900=CIRCLE('',#339371,0.127000308727439); #138901=CIRCLE('',#339372,0.127000308727439); #138902=CIRCLE('',#339375,0.127000308727438); #138903=CIRCLE('',#339376,0.127000308727438); #138904=CIRCLE('',#339381,0.127000308727438); #138905=CIRCLE('',#339382,0.127000308727438); #138906=CIRCLE('',#339391,0.127000308727433); #138907=CIRCLE('',#339392,0.127000308727433); #138908=CIRCLE('',#339395,0.127000308727435); #138909=CIRCLE('',#339396,0.127000308727435); #138910=CIRCLE('',#339405,0.139999999999998); #138911=CIRCLE('',#339406,0.139999999999998); #138912=CIRCLE('',#339409,0.275429677195182); #138913=CIRCLE('',#339410,0.275429677195182); #138914=CIRCLE('',#339414,0.127000308727438); #138915=CIRCLE('',#339415,0.127000308727438); #138916=CIRCLE('',#339418,0.127000308727438); #138917=CIRCLE('',#339419,0.127000308727438); #138918=CIRCLE('',#339422,0.127000308727446); #138919=CIRCLE('',#339423,0.127000308727446); #138920=CIRCLE('',#339432,0.127000308727441); #138921=CIRCLE('',#339433,0.127000308727441); #138922=CIRCLE('',#339439,0.127000308727436); #138923=CIRCLE('',#339440,0.127000308727436); #138924=CIRCLE('',#339443,0.275429442438521); #138925=CIRCLE('',#339444,0.275429442438521); #138926=CIRCLE('',#339448,0.139999999999998); #138927=CIRCLE('',#339449,0.139999999999998); #138928=CIRCLE('',#339452,0.27543052329925); #138929=CIRCLE('',#339453,0.27543052329925); #138930=CIRCLE('',#339462,0.275430756167224); #138931=CIRCLE('',#339463,0.275430756167224); #138932=CIRCLE('',#339465,0.275850020106942); #138933=CIRCLE('',#339466,0.275850020106942); #138934=CIRCLE('',#339475,0.127000308727433); #138935=CIRCLE('',#339476,0.127000308727433); #138936=CIRCLE('',#339481,0.127000308727444); #138937=CIRCLE('',#339482,0.127000308727444); #138938=CIRCLE('',#339485,0.127000308727438); #138939=CIRCLE('',#339486,0.127000308727438); #138940=CIRCLE('',#339489,0.127000308727436); #138941=CIRCLE('',#339490,0.127000308727436); #138942=CIRCLE('',#339494,0.127000308727438); #138943=CIRCLE('',#339495,0.127000308727438); #138944=CIRCLE('',#339504,0.127000308727443); #138945=CIRCLE('',#339505,0.127000308727443); #138946=CIRCLE('',#339509,0.127000308727427); #138947=CIRCLE('',#339510,0.127000308727427); #138948=CIRCLE('',#339516,0.127000308727438); #138949=CIRCLE('',#339517,0.127000308727438); #138950=CIRCLE('',#339520,0.127001515838136); #138951=CIRCLE('',#339521,0.127001515838136); #138952=CIRCLE('',#339534,0.127001515838136); #138953=CIRCLE('',#339535,0.127001515838136); #138954=CIRCLE('',#339538,0.127000308727433); #138955=CIRCLE('',#339539,0.127000308727433); #138956=CIRCLE('',#339545,0.127000308727433); #138957=CIRCLE('',#339546,0.127000308727433); #138958=CIRCLE('',#339550,0.127000308727443); #138959=CIRCLE('',#339551,0.127000308727443); #138960=CIRCLE('',#339560,0.127000308727438); #138961=CIRCLE('',#339561,0.127000308727438); #138962=CIRCLE('',#339565,0.127000308727436); #138963=CIRCLE('',#339566,0.127000308727436); #138964=CIRCLE('',#339569,0.127000308727438); #138965=CIRCLE('',#339570,0.127000308727438); #138966=CIRCLE('',#339573,0.127000308727444); #138967=CIRCLE('',#339574,0.127000308727444); #138968=CIRCLE('',#339579,0.127000308727433); #138969=CIRCLE('',#339580,0.127000308727433); #138970=CIRCLE('',#339590,0.127000308727438); #138971=CIRCLE('',#339591,0.127000308727438); #138972=CIRCLE('',#339595,0.127000308727438); #138973=CIRCLE('',#339596,0.127000308727438); #138974=CIRCLE('',#339605,0.127000308727438); #138975=CIRCLE('',#339606,0.127000308727438); #138976=CIRCLE('',#339614,0.139999999999998); #138977=CIRCLE('',#339615,0.139999999999998); #138978=CIRCLE('',#339618,0.27543052329925); #138979=CIRCLE('',#339619,0.27543052329925); #138980=CIRCLE('',#339622,0.127000308727434); #138981=CIRCLE('',#339623,0.127000308727434); #138982=CIRCLE('',#339627,0.127000308727438); #138983=CIRCLE('',#339628,0.127000308727438); #138984=CIRCLE('',#339637,0.127000308727439); #138985=CIRCLE('',#339638,0.127000308727439); #138986=CIRCLE('',#339642,0.275430756167228); #138987=CIRCLE('',#339643,0.275430756167228); #138988=CIRCLE('',#339645,0.275850020106943); #138989=CIRCLE('',#339646,0.275850020106943); #138990=CIRCLE('',#339650,0.139999999999998); #138991=CIRCLE('',#339651,0.139999999999998); #138992=CIRCLE('',#339654,0.275429677195186); #138993=CIRCLE('',#339655,0.275429677195186); #138994=CIRCLE('',#339659,0.127000308727435); #138995=CIRCLE('',#339660,0.127000308727435); #138996=CIRCLE('',#339670,0.127000308727435); #138997=CIRCLE('',#339671,0.127000308727435); #138998=CIRCLE('',#339674,0.275429442438527); #138999=CIRCLE('',#339675,0.275429442438527); #139000=CIRCLE('',#339679,0.139999999999998); #139001=CIRCLE('',#339680,0.139999999999998); #139002=CIRCLE('',#339683,0.275850826114455); #139003=CIRCLE('',#339684,0.275850826114455); #139004=CIRCLE('',#339686,0.275429566248993); #139005=CIRCLE('',#339687,0.275429566248993); #139006=CIRCLE('',#339696,0.127000308727425); #139007=CIRCLE('',#339697,0.127000308727425); #139008=CIRCLE('',#339700,0.275430756167224); #139009=CIRCLE('',#339701,0.275430756167224); #139010=CIRCLE('',#339705,0.139999999999998); #139011=CIRCLE('',#339706,0.139999999999998); #139012=CIRCLE('',#339713,0.127000308727433); #139013=CIRCLE('',#339714,0.127000308727433); #139014=CIRCLE('',#339718,0.127000308727438); #139015=CIRCLE('',#339719,0.127000308727438); #139016=CIRCLE('',#339722,0.275430616157731); #139017=CIRCLE('',#339723,0.275430616157731); #139018=CIRCLE('',#339725,0.275430435747982); #139019=CIRCLE('',#339726,0.275430435747982); #139020=CIRCLE('',#339730,0.127000308727436); #139021=CIRCLE('',#339731,0.127000308727436); #139022=CIRCLE('',#339738,0.139999999999998); #139023=CIRCLE('',#339739,0.139999999999998); #139024=CIRCLE('',#339742,0.275430523299249); #139025=CIRCLE('',#339743,0.275430523299249); #139026=CIRCLE('',#339746,0.127001515838139); #139027=CIRCLE('',#339747,0.127001515838139); #139028=CIRCLE('',#339756,0.275430756167228); #139029=CIRCLE('',#339757,0.275430756167228); #139030=CIRCLE('',#339759,0.275850020106943); #139031=CIRCLE('',#339760,0.275850020106943); #139032=CIRCLE('',#339764,0.139999999999998); #139033=CIRCLE('',#339765,0.139999999999998); #139034=CIRCLE('',#339773,0.127000308727438); #139035=CIRCLE('',#339774,0.127000308727438); #139036=CIRCLE('',#339778,0.275430616157734); #139037=CIRCLE('',#339779,0.275430616157734); #139038=CIRCLE('',#339781,0.275430906036581); #139039=CIRCLE('',#339782,0.275430906036581); #139040=CIRCLE('',#339785,0.127000308727438); #139041=CIRCLE('',#339786,0.127000308727438); #139042=CIRCLE('',#339790,0.127000308727433); #139043=CIRCLE('',#339791,0.127000308727433); #139044=CIRCLE('',#339797,0.139999999999998); #139045=CIRCLE('',#339798,0.139999999999998); #139046=CIRCLE('',#339801,0.27543052329925); #139047=CIRCLE('',#339802,0.27543052329925); #139048=CIRCLE('',#339811,0.275430756167225); #139049=CIRCLE('',#339812,0.275430756167225); #139050=CIRCLE('',#339814,0.275850020106942); #139051=CIRCLE('',#339815,0.275850020106942); #139052=CIRCLE('',#339819,0.140000000000002); #139053=CIRCLE('',#339820,0.140000000000002); #139054=CIRCLE('',#339823,0.275850826114459); #139055=CIRCLE('',#339824,0.275850826114459); #139056=CIRCLE('',#339826,0.275429566248995); #139057=CIRCLE('',#339827,0.275429566248995); #139058=CIRCLE('',#339836,0.275430756167224); #139059=CIRCLE('',#339837,0.275430756167224); #139060=CIRCLE('',#339841,0.139999999999998); #139061=CIRCLE('',#339842,0.139999999999998); #139062=CIRCLE('',#339849,0.12700030872744); #139063=CIRCLE('',#339850,0.12700030872744); #139064=CIRCLE('',#339857,0.275429728561975); #139065=CIRCLE('',#339858,0.275429728561975); #139066=CIRCLE('',#339860,0.275429566248996); #139067=CIRCLE('',#339861,0.275429566248996); #139068=CIRCLE('',#339864,0.127000308727436); #139069=CIRCLE('',#339865,0.127000308727436); #139070=CIRCLE('',#339868,0.127000308727438); #139071=CIRCLE('',#339869,0.127000308727438); #139072=CIRCLE('',#339872,0.127000308727438); #139073=CIRCLE('',#339873,0.127000308727438); #139074=CIRCLE('',#339876,0.127000308727431); #139075=CIRCLE('',#339877,0.127000308727431); #139076=CIRCLE('',#339883,0.139999999999998); #139077=CIRCLE('',#339884,0.139999999999998); #139078=CIRCLE('',#339894,0.275429728561975); #139079=CIRCLE('',#339895,0.275429728561975); #139080=CIRCLE('',#339897,0.275429566248998); #139081=CIRCLE('',#339898,0.275429566248998); #139082=CIRCLE('',#339901,0.127000308727436); #139083=CIRCLE('',#339902,0.127000308727436); #139084=CIRCLE('',#339905,0.127000308727438); #139085=CIRCLE('',#339906,0.127000308727438); #139086=CIRCLE('',#339909,0.12700151583812); #139087=CIRCLE('',#339910,0.12700151583812); #139088=CIRCLE('',#339915,0.140000000000002); #139089=CIRCLE('',#339916,0.140000000000002); #139090=CIRCLE('',#339923,0.127000308727436); #139091=CIRCLE('',#339924,0.127000308727436); #139092=CIRCLE('',#339927,0.127000308727436); #139093=CIRCLE('',#339928,0.127000308727436); #139094=CIRCLE('',#339931,0.127000308727436); #139095=CIRCLE('',#339932,0.127000308727436); #139096=CIRCLE('',#339935,0.127000308727447); #139097=CIRCLE('',#339936,0.127000308727447); #139098=CIRCLE('',#339939,0.275429704872057); #139099=CIRCLE('',#339940,0.275429704872057); #139100=CIRCLE('',#339942,0.275429777742636); #139101=CIRCLE('',#339943,0.275429777742636); #139102=CIRCLE('',#339950,0.12700030872743); #139103=CIRCLE('',#339951,0.12700030872743); #139104=CIRCLE('',#339961,0.127000308727437); #139105=CIRCLE('',#339962,0.127000308727437); #139106=CIRCLE('',#339965,0.127000308727438); #139107=CIRCLE('',#339966,0.127000308727438); #139108=CIRCLE('',#339977,0.127000308727433); #139109=CIRCLE('',#339978,0.127000308727433); #139110=CIRCLE('',#339981,0.127000308727438); #139111=CIRCLE('',#339982,0.127000308727438); #139112=CIRCLE('',#339991,0.139999999999998); #139113=CIRCLE('',#339992,0.139999999999998); #139114=CIRCLE('',#339994,0.139999999999998); #139115=CIRCLE('',#339995,0.139999999999998); #139116=CIRCLE('',#339998,0.275850826114455); #139117=CIRCLE('',#339999,0.275850826114455); #139118=CIRCLE('',#340001,0.275429566248994); #139119=CIRCLE('',#340002,0.275429566248994); #139120=CIRCLE('',#340005,0.275429704872052); #139121=CIRCLE('',#340006,0.275429704872052); #139122=CIRCLE('',#340008,0.275429777742632); #139123=CIRCLE('',#340009,0.275429777742632); #139124=CIRCLE('',#340012,0.275430756167228); #139125=CIRCLE('',#340013,0.275430756167228); #139126=CIRCLE('',#340017,0.139999999999998); #139127=CIRCLE('',#340018,0.139999999999998); #139128=CIRCLE('',#340026,0.139999999999998); #139129=CIRCLE('',#340027,0.139999999999998); #139130=CIRCLE('',#340035,0.140000000000002); #139131=CIRCLE('',#340036,0.140000000000002); #139132=CIRCLE('',#340048,0.127000308727447); #139133=CIRCLE('',#340049,0.127000308727447); #139134=CIRCLE('',#340052,0.127000308727447); #139135=CIRCLE('',#340053,0.127000308727447); #139136=CIRCLE('',#340058,0.127001515838128); #139137=CIRCLE('',#340059,0.127001515838128); #139138=CIRCLE('',#340062,0.127000308727427); #139139=CIRCLE('',#340063,0.127000308727427); #139140=CIRCLE('',#340068,0.275429704872049); #139141=CIRCLE('',#340069,0.275429704872049); #139142=CIRCLE('',#340071,0.27542977774263); #139143=CIRCLE('',#340072,0.27542977774263); #139144=CIRCLE('',#340075,0.127000308727435); #139145=CIRCLE('',#340076,0.127000308727435); #139146=CIRCLE('',#340079,0.127000308727427); #139147=CIRCLE('',#340080,0.127000308727427); #139148=CIRCLE('',#340085,0.12700030872744); #139149=CIRCLE('',#340086,0.12700030872744); #139150=CIRCLE('',#340089,0.127000308727436); #139151=CIRCLE('',#340090,0.127000308727436); #139152=CIRCLE('',#340103,0.140000000000002); #139153=CIRCLE('',#340104,0.140000000000002); #139154=CIRCLE('',#340111,0.127000308727433); #139155=CIRCLE('',#340112,0.127000308727433); #139156=CIRCLE('',#340116,0.127000308727438); #139157=CIRCLE('',#340117,0.127000308727438); #139158=CIRCLE('',#340121,0.275429728561973); #139159=CIRCLE('',#340122,0.275429728561973); #139160=CIRCLE('',#340124,0.275429566248996); #139161=CIRCLE('',#340125,0.275429566248996); #139162=CIRCLE('',#340128,0.127001515838138); #139163=CIRCLE('',#340129,0.127001515838138); #139164=CIRCLE('',#340133,0.127001515838136); #139165=CIRCLE('',#340134,0.127001515838136); #139166=CIRCLE('',#340141,0.139999999999998); #139167=CIRCLE('',#340142,0.139999999999998); #139168=CIRCLE('',#340150,0.139999999999998); #139169=CIRCLE('',#340151,0.139999999999998); #139170=CIRCLE('',#340159,0.139999999999998); #139171=CIRCLE('',#340160,0.139999999999998); #139172=CIRCLE('',#340163,0.275430525995305); #139173=CIRCLE('',#340164,0.275430525995305); #139174=CIRCLE('',#340167,0.127000308727435); #139175=CIRCLE('',#340168,0.127000308727435); #139176=CIRCLE('',#340179,0.275850020106943); #139177=CIRCLE('',#340180,0.275850020106943); #139178=CIRCLE('',#340184,0.465); #139179=CIRCLE('',#340185,0.465); #139180=CIRCLE('',#340188,0.750904962541172); #139181=CIRCLE('',#340189,0.750904962541172); #139182=CIRCLE('',#340191,0.750905460124644); #139183=CIRCLE('',#340192,0.750905460124644); #139184=CIRCLE('',#340196,0.139999999999998); #139185=CIRCLE('',#340197,0.139999999999998); #139186=CIRCLE('',#340199,0.465); #139187=CIRCLE('',#340200,0.465); #139188=CIRCLE('',#340203,0.275429677195186); #139189=CIRCLE('',#340204,0.275429677195186); #139190=CIRCLE('',#340207,0.750581792116793); #139191=CIRCLE('',#340208,0.750581792116793); #139192=CIRCLE('',#340210,0.750581774250364); #139193=CIRCLE('',#340211,0.750581774250364); #139194=CIRCLE('',#340214,0.275429442438529); #139195=CIRCLE('',#340215,0.275429442438529); #139196=CIRCLE('',#340219,0.465); #139197=CIRCLE('',#340220,0.465); #139198=CIRCLE('',#340223,0.750904962541172); #139199=CIRCLE('',#340224,0.750904962541172); #139200=CIRCLE('',#340226,0.750905460124643); #139201=CIRCLE('',#340227,0.750905460124643); #139202=CIRCLE('',#340231,0.564999999999998); #139203=CIRCLE('',#340232,0.564999999999998); #139204=CIRCLE('',#340240,0.472999999999999); #139205=CIRCLE('',#340241,0.472999999999999); #139206=CIRCLE('',#340253,0.465); #139207=CIRCLE('',#340254,0.465); #139208=CIRCLE('',#340257,0.750904962541172); #139209=CIRCLE('',#340258,0.750904962541172); #139210=CIRCLE('',#340260,0.750905460124643); #139211=CIRCLE('',#340261,0.750905460124643); #139212=CIRCLE('',#340265,0.139999999999998); #139213=CIRCLE('',#340266,0.139999999999998); #139214=CIRCLE('',#340280,0.127000308727433); #139215=CIRCLE('',#340281,0.127000308727433); #139216=CIRCLE('',#340284,0.127000308727437); #139217=CIRCLE('',#340285,0.127000308727437); #139218=CIRCLE('',#340290,0.127000308727438); #139219=CIRCLE('',#340291,0.127000308727438); #139220=CIRCLE('',#340294,0.127000308727433); #139221=CIRCLE('',#340295,0.127000308727433); #139222=CIRCLE('',#340298,0.275429704872049); #139223=CIRCLE('',#340299,0.275429704872049); #139224=CIRCLE('',#340301,0.27542977774263); #139225=CIRCLE('',#340302,0.27542977774263); #139226=CIRCLE('',#340307,0.127001515838136); #139227=CIRCLE('',#340308,0.127001515838136); #139228=CIRCLE('',#340311,0.127001515838129); #139229=CIRCLE('',#340312,0.127001515838129); #139230=CIRCLE('',#340317,0.127000308727433); #139231=CIRCLE('',#340318,0.127000308727433); #139232=CIRCLE('',#340321,0.127000308727449); #139233=CIRCLE('',#340322,0.127000308727449); #139234=CIRCLE('',#340333,0.139999999999998); #139235=CIRCLE('',#340334,0.139999999999998); #139236=CIRCLE('',#340340,0.127000308727433); #139237=CIRCLE('',#340341,0.127000308727433); #139238=CIRCLE('',#340344,0.127000308727438); #139239=CIRCLE('',#340345,0.127000308727438); #139240=CIRCLE('',#340349,0.127001515838136); #139241=CIRCLE('',#340350,0.127001515838136); #139242=CIRCLE('',#340353,0.275429728561973); #139243=CIRCLE('',#340354,0.275429728561973); #139244=CIRCLE('',#340356,0.275429566248995); #139245=CIRCLE('',#340357,0.275429566248995); #139246=CIRCLE('',#340361,0.127000308727438); #139247=CIRCLE('',#340362,0.127000308727438); #139248=CIRCLE('',#340399,0.140000000000002); #139249=CIRCLE('',#340400,0.140000000000002); #139250=CIRCLE('',#340406,0.127001515838122); #139251=CIRCLE('',#340407,0.127001515838122); #139252=CIRCLE('',#340410,0.12700030872744); #139253=CIRCLE('',#340411,0.12700030872744); #139254=CIRCLE('',#340414,0.127000308727447); #139255=CIRCLE('',#340415,0.127000308727447); #139256=CIRCLE('',#340418,0.275429704872057); #139257=CIRCLE('',#340419,0.275429704872057); #139258=CIRCLE('',#340421,0.275429777742636); #139259=CIRCLE('',#340422,0.275429777742636); #139260=CIRCLE('',#340431,0.139999999999998); #139261=CIRCLE('',#340432,0.139999999999998); #139262=CIRCLE('',#340435,0.275429677195186); #139263=CIRCLE('',#340436,0.275429677195186); #139264=CIRCLE('',#340439,0.127000308727433); #139265=CIRCLE('',#340440,0.127000308727433); #139266=CIRCLE('',#340443,0.127000308727444); #139267=CIRCLE('',#340444,0.127000308727444); #139268=CIRCLE('',#340455,0.12700030872744); #139269=CIRCLE('',#340456,0.12700030872744); #139270=CIRCLE('',#340459,0.127000308727438); #139271=CIRCLE('',#340460,0.127000308727438); #139272=CIRCLE('',#340465,0.275429442438529); #139273=CIRCLE('',#340466,0.275429442438529); #139274=CIRCLE('',#340470,0.139999999999998); #139275=CIRCLE('',#340471,0.139999999999998); #139276=CIRCLE('',#340474,0.275850031150926); #139277=CIRCLE('',#340475,0.275850031150926); #139278=CIRCLE('',#340477,0.27543073484766); #139279=CIRCLE('',#340478,0.27543073484766); #139280=CIRCLE('',#340486,0.127000308727438); #139281=CIRCLE('',#340487,0.127000308727438); #139282=CIRCLE('',#340490,0.275430620370238); #139283=CIRCLE('',#340491,0.275430620370238); #139284=CIRCLE('',#340499,0.127001515838141); #139285=CIRCLE('',#340500,0.127001515838141); #139286=CIRCLE('',#340503,0.127001515838136); #139287=CIRCLE('',#340504,0.127001515838136); #139288=CIRCLE('',#340509,0.127000308727438); #139289=CIRCLE('',#340510,0.127000308727438); #139290=CIRCLE('',#340513,0.127000308727438); #139291=CIRCLE('',#340514,0.127000308727438); #139292=CIRCLE('',#340525,0.127000308727438); #139293=CIRCLE('',#340526,0.127000308727438); #139294=CIRCLE('',#340529,0.127000308727438); #139295=CIRCLE('',#340530,0.127000308727438); #139296=CIRCLE('',#340535,0.127000308727438); #139297=CIRCLE('',#340536,0.127000308727438); #139298=CIRCLE('',#340539,0.127000308727438); #139299=CIRCLE('',#340540,0.127000308727438); #139300=CIRCLE('',#340549,0.139999999999998); #139301=CIRCLE('',#340550,0.139999999999998); #139302=CIRCLE('',#340553,0.275429677195185); #139303=CIRCLE('',#340554,0.275429677195185); #139304=CIRCLE('',#340563,0.275429442438528); #139305=CIRCLE('',#340564,0.275429442438528); #139306=CIRCLE('',#340568,0.139999999999998); #139307=CIRCLE('',#340569,0.139999999999998); #139308=CIRCLE('',#340571,0.139999999999998); #139309=CIRCLE('',#340572,0.139999999999998); #139310=CIRCLE('',#340576,0.275429728561973); #139311=CIRCLE('',#340577,0.275429728561973); #139312=CIRCLE('',#340579,0.275429566248995); #139313=CIRCLE('',#340580,0.275429566248995); #139314=CIRCLE('',#340584,0.275640885203079); #139315=CIRCLE('',#340585,0.275640885203079); #139316=CIRCLE('',#340587,0.275640720012506); #139317=CIRCLE('',#340588,0.275640720012506); #139318=CIRCLE('',#340600,0.127000308727432); #139319=CIRCLE('',#340601,0.127000308727432); #139320=CIRCLE('',#340604,0.126758017197154); #139321=CIRCLE('',#340605,0.126758017197154); #139322=CIRCLE('',#340613,0.127001515838137); #139323=CIRCLE('',#340614,0.127001515838137); #139324=CIRCLE('',#340627,0.139999999999998); #139325=CIRCLE('',#340628,0.139999999999998); #139326=CIRCLE('',#340630,0.139999999999998); #139327=CIRCLE('',#340631,0.139999999999998); #139328=CIRCLE('',#340633,0.139999999999998); #139329=CIRCLE('',#340634,0.139999999999998); #139330=CIRCLE('',#340636,0.139999999999998); #139331=CIRCLE('',#340637,0.139999999999998); #139332=CIRCLE('',#340639,0.139999999999998); #139333=CIRCLE('',#340640,0.139999999999998); #139334=CIRCLE('',#340642,0.139999999999998); #139335=CIRCLE('',#340643,0.139999999999998); #139336=CIRCLE('',#340645,0.139999999999998); #139337=CIRCLE('',#340646,0.139999999999998); #139338=CIRCLE('',#340648,0.139999999999998); #139339=CIRCLE('',#340649,0.139999999999998); #139340=CIRCLE('',#340651,0.139999999999998); #139341=CIRCLE('',#340652,0.139999999999998); #139342=CIRCLE('',#340654,0.139999999999998); #139343=CIRCLE('',#340655,0.139999999999998); #139344=CIRCLE('',#340657,0.139999999999998); #139345=CIRCLE('',#340658,0.139999999999998); #139346=CIRCLE('',#340660,0.565000000000002); #139347=CIRCLE('',#340661,0.565000000000002); #139348=CIRCLE('',#340663,0.139999999999998); #139349=CIRCLE('',#340664,0.139999999999998); #139350=CIRCLE('',#340766,0.465); #139351=CIRCLE('',#340767,0.465); #139352=CIRCLE('',#340770,0.750904962541172); #139353=CIRCLE('',#340771,0.750904962541172); #139354=CIRCLE('',#340773,0.750905460124644); #139355=CIRCLE('',#340774,0.750905460124644); #139356=CIRCLE('',#340778,0.14); #139357=CIRCLE('',#340779,0.14); #139358=CIRCLE('',#340781,0.14); #139359=CIRCLE('',#340782,0.14); #139360=CIRCLE('',#340784,0.14); #139361=CIRCLE('',#340785,0.14); #139362=CIRCLE('',#340787,0.14); #139363=CIRCLE('',#340788,0.14); #139364=CIRCLE('',#340790,0.14); #139365=CIRCLE('',#340791,0.14); #139366=CIRCLE('',#340793,0.14); #139367=CIRCLE('',#340794,0.14); #139368=CIRCLE('',#340796,0.14); #139369=CIRCLE('',#340797,0.14); #139370=CIRCLE('',#340799,0.14); #139371=CIRCLE('',#340800,0.14); #139372=CIRCLE('',#340802,0.14); #139373=CIRCLE('',#340803,0.14); #139374=CIRCLE('',#340805,0.514999999999999); #139375=CIRCLE('',#340806,0.514999999999999); #139376=CIRCLE('',#340808,0.465); #139377=CIRCLE('',#340809,0.465); #139378=CIRCLE('',#340815,0.127001515838136); #139379=CIRCLE('',#340816,0.127001515838136); #139380=CIRCLE('',#340819,0.127001515838141); #139381=CIRCLE('',#340820,0.127001515838141); #139382=CIRCLE('',#340940,0.127000308727438); #139383=CIRCLE('',#340941,0.127000308727438); #139384=CIRCLE('',#340944,0.127000308727438); #139385=CIRCLE('',#340945,0.127000308727438); #139386=CIRCLE('',#340954,0.472999999999999); #139387=CIRCLE('',#340955,0.472999999999999); #139388=CIRCLE('',#341056,0.140000000000002); #139389=CIRCLE('',#341057,0.140000000000002); #139390=CIRCLE('',#341059,0.140000000000002); #139391=CIRCLE('',#341060,0.140000000000002); #139392=CIRCLE('',#341062,0.140000000000002); #139393=CIRCLE('',#341063,0.140000000000002); #139394=CIRCLE('',#341157,0.139999999999998); #139395=CIRCLE('',#341158,0.139999999999998); #139396=CIRCLE('',#341160,0.139999999999998); #139397=CIRCLE('',#341161,0.139999999999998); #139398=CIRCLE('',#341163,0.139999999999998); #139399=CIRCLE('',#341164,0.139999999999998); #139400=CIRCLE('',#341166,0.139999999999998); #139401=CIRCLE('',#341167,0.139999999999998); #139402=CIRCLE('',#341169,0.465); #139403=CIRCLE('',#341170,0.465); #139404=CIRCLE('',#341172,0.139999999999998); #139405=CIRCLE('',#341173,0.139999999999998); #139406=CIRCLE('',#341175,0.139999999999998); #139407=CIRCLE('',#341176,0.139999999999998); #139408=CIRCLE('',#341178,0.139999999999998); #139409=CIRCLE('',#341179,0.139999999999998); #139410=CIRCLE('',#341181,0.139999999999998); #139411=CIRCLE('',#341182,0.139999999999998); #139412=CIRCLE('',#341185,0.315); #139413=CIRCLE('',#341186,0.315); #139414=CIRCLE('',#341189,0.315); #139415=CIRCLE('',#341190,0.315); #139416=CIRCLE('',#341193,0.315); #139417=CIRCLE('',#341194,0.315); #139418=CIRCLE('',#341197,0.315); #139419=CIRCLE('',#341198,0.315); #139420=CIRCLE('',#341200,0.114999999999998); #139421=CIRCLE('',#341201,0.114999999999998); #139422=CIRCLE('',#341203,0.114999999999998); #139423=CIRCLE('',#341204,0.114999999999998); #139424=CIRCLE('',#341206,0.114999999999998); #139425=CIRCLE('',#341207,0.114999999999998); #139426=CIRCLE('',#341209,0.114999999999998); #139427=CIRCLE('',#341210,0.114999999999998); #139428=CIRCLE('',#341213,0.315); #139429=CIRCLE('',#341214,0.315); #139430=CIRCLE('',#341217,0.315); #139431=CIRCLE('',#341218,0.315); #139432=CIRCLE('',#341221,0.315); #139433=CIRCLE('',#341222,0.315); #139434=CIRCLE('',#341225,0.315); #139435=CIRCLE('',#341226,0.315); #139436=CIRCLE('',#341228,0.14); #139437=CIRCLE('',#341229,0.14); #139438=CIRCLE('',#341231,0.14); #139439=CIRCLE('',#341232,0.14); #139440=CIRCLE('',#341234,0.140000000000002); #139441=CIRCLE('',#341235,0.140000000000002); #139442=CIRCLE('',#341237,0.140000000000002); #139443=CIRCLE('',#341238,0.140000000000002); #139444=CIRCLE('',#341240,0.140000000000002); #139445=CIRCLE('',#341241,0.140000000000002); #139446=CIRCLE('',#341243,0.140000000000002); #139447=CIRCLE('',#341244,0.140000000000002); #139448=CIRCLE('',#341246,0.140000000000002); #139449=CIRCLE('',#341247,0.140000000000002); #139450=CIRCLE('',#341249,0.140000000000002); #139451=CIRCLE('',#341250,0.140000000000002); #139452=CIRCLE('',#341252,0.140000000000002); #139453=CIRCLE('',#341253,0.140000000000002); #139454=CIRCLE('',#341255,0.140000000000002); #139455=CIRCLE('',#341256,0.140000000000002); #139456=CIRCLE('',#341258,0.14); #139457=CIRCLE('',#341259,0.14); #139458=CIRCLE('',#341261,0.14); #139459=CIRCLE('',#341262,0.14); #139460=CIRCLE('',#341264,0.140000000000002); #139461=CIRCLE('',#341265,0.140000000000002); #139462=CIRCLE('',#341267,0.140000000000002); #139463=CIRCLE('',#341268,0.140000000000002); #139464=CIRCLE('',#341270,0.140000000000002); #139465=CIRCLE('',#341271,0.140000000000002); #139466=CIRCLE('',#341273,0.140000000000002); #139467=CIRCLE('',#341274,0.140000000000002); #139468=CIRCLE('',#341276,0.14); #139469=CIRCLE('',#341277,0.14); #139470=CIRCLE('',#341279,0.14); #139471=CIRCLE('',#341280,0.14); #139472=CIRCLE('',#341282,0.14); #139473=CIRCLE('',#341283,0.14); #139474=CIRCLE('',#341285,0.14); #139475=CIRCLE('',#341286,0.14); #139476=CIRCLE('',#341288,0.14); #139477=CIRCLE('',#341289,0.14); #139478=CIRCLE('',#341291,0.14); #139479=CIRCLE('',#341292,0.14); #139480=CIRCLE('',#341294,0.14); #139481=CIRCLE('',#341295,0.14); #139482=CIRCLE('',#341297,0.14); #139483=CIRCLE('',#341298,0.14); #139484=CIRCLE('',#341300,0.14); #139485=CIRCLE('',#341301,0.14); #139486=CIRCLE('',#341303,0.14); #139487=CIRCLE('',#341304,0.14); #139488=CIRCLE('',#341306,0.465); #139489=CIRCLE('',#341307,0.465); #139490=CIRCLE('',#341309,0.139999999999998); #139491=CIRCLE('',#341310,0.139999999999998); #139492=CIRCLE('',#341312,0.564999999999998); #139493=CIRCLE('',#341313,0.564999999999998); #139494=CIRCLE('',#341315,0.140000000000002); #139495=CIRCLE('',#341316,0.140000000000002); #139496=CIRCLE('',#341318,0.140000000000002); #139497=CIRCLE('',#341319,0.140000000000002); #139498=CIRCLE('',#341321,0.140000000000002); #139499=CIRCLE('',#341322,0.140000000000002); #139500=CIRCLE('',#341324,0.140000000000002); #139501=CIRCLE('',#341325,0.140000000000002); #139502=CIRCLE('',#341327,0.140000000000002); #139503=CIRCLE('',#341328,0.140000000000002); #139504=CIRCLE('',#341330,0.140000000000002); #139505=CIRCLE('',#341331,0.140000000000002); #139506=CIRCLE('',#341333,0.140000000000002); #139507=CIRCLE('',#341334,0.140000000000002); #139508=CIRCLE('',#341336,0.140000000000002); #139509=CIRCLE('',#341337,0.140000000000002); #139510=CIRCLE('',#341339,0.14); #139511=CIRCLE('',#341340,0.14); #139512=CIRCLE('',#341342,0.14); #139513=CIRCLE('',#341343,0.14); #139514=CIRCLE('',#341345,0.140000000000002); #139515=CIRCLE('',#341346,0.140000000000002); #139516=CIRCLE('',#341348,0.14); #139517=CIRCLE('',#341349,0.14); #139518=CIRCLE('',#341351,0.14); #139519=CIRCLE('',#341352,0.14); #139520=CIRCLE('',#341354,0.14); #139521=CIRCLE('',#341355,0.14); #139522=CIRCLE('',#341357,0.14); #139523=CIRCLE('',#341358,0.14); #139524=CIRCLE('',#341360,0.14); #139525=CIRCLE('',#341361,0.14); #139526=CIRCLE('',#342330,0.565); #139527=CIRCLE('',#342331,0.565); #139528=CIRCLE('',#342334,0.565); #139529=CIRCLE('',#342335,0.565); #139530=CIRCLE('',#342337,0.140000000000002); #139531=CIRCLE('',#342338,0.140000000000002); #139532=CIRCLE('',#342340,0.140000000000002); #139533=CIRCLE('',#342341,0.140000000000002); #139534=CIRCLE('',#342343,0.472999999999999); #139535=CIRCLE('',#342344,0.472999999999999); #139536=CIRCLE('',#342346,0.140000000000002); #139537=CIRCLE('',#342347,0.140000000000002); #139538=CIRCLE('',#342349,0.140000000000002); #139539=CIRCLE('',#342350,0.140000000000002); #139540=CIRCLE('',#342352,0.140000000000002); #139541=CIRCLE('',#342353,0.140000000000002); #139542=CIRCLE('',#342355,0.140000000000002); #139543=CIRCLE('',#342356,0.140000000000002); #139544=CIRCLE('',#342358,0.140000000000002); #139545=CIRCLE('',#342359,0.140000000000002); #139546=CIRCLE('',#342361,0.140000000000002); #139547=CIRCLE('',#342362,0.140000000000002); #139548=CIRCLE('',#342364,0.140000000000002); #139549=CIRCLE('',#342365,0.140000000000002); #139550=CIRCLE('',#342367,0.472999999999999); #139551=CIRCLE('',#342368,0.472999999999999); #139552=CIRCLE('',#342616,0.139999999999998); #139553=CIRCLE('',#342617,0.139999999999998); #139554=CIRCLE('',#342619,0.139999999999998); #139555=CIRCLE('',#342620,0.139999999999998); #139556=CIRCLE('',#342622,0.139999999999998); #139557=CIRCLE('',#342623,0.139999999999998); #139558=CIRCLE('',#342625,0.139999999999998); #139559=CIRCLE('',#342626,0.139999999999998); #139560=CIRCLE('',#342628,0.139999999999998); #139561=CIRCLE('',#342629,0.139999999999998); #139562=CIRCLE('',#342631,0.139999999999998); #139563=CIRCLE('',#342632,0.139999999999998); #139564=CIRCLE('',#342634,0.139999999999998); #139565=CIRCLE('',#342635,0.139999999999998); #139566=CIRCLE('',#342637,0.139999999999998); #139567=CIRCLE('',#342638,0.139999999999998); #139568=CIRCLE('',#342640,0.139999999999998); #139569=CIRCLE('',#342641,0.139999999999998); #139570=CIRCLE('',#342643,0.139999999999998); #139571=CIRCLE('',#342644,0.139999999999998); #139572=CIRCLE('',#342646,0.139999999999998); #139573=CIRCLE('',#342647,0.139999999999998); #139574=CIRCLE('',#342649,0.139999999999998); #139575=CIRCLE('',#342650,0.139999999999998); #139576=CIRCLE('',#342652,0.139999999999998); #139577=CIRCLE('',#342653,0.139999999999998); #139578=CIRCLE('',#342655,0.139999999999998); #139579=CIRCLE('',#342656,0.139999999999998); #139580=CIRCLE('',#342658,0.139999999999998); #139581=CIRCLE('',#342659,0.139999999999998); #139582=CIRCLE('',#342661,0.139999999999998); #139583=CIRCLE('',#342662,0.139999999999998); #139584=CIRCLE('',#342664,0.139999999999998); #139585=CIRCLE('',#342665,0.139999999999998); #139586=CIRCLE('',#342667,0.139999999999998); #139587=CIRCLE('',#342668,0.139999999999998); #139588=CIRCLE('',#342670,0.139999999999998); #139589=CIRCLE('',#342671,0.139999999999998); #139590=CIRCLE('',#342673,0.139999999999998); #139591=CIRCLE('',#342674,0.139999999999998); #139592=CIRCLE('',#342676,0.139999999999998); #139593=CIRCLE('',#342677,0.139999999999998); #139594=CIRCLE('',#342679,0.139999999999998); #139595=CIRCLE('',#342680,0.139999999999998); #139596=CIRCLE('',#342682,0.139999999999998); #139597=CIRCLE('',#342683,0.139999999999998); #139598=CIRCLE('',#342685,0.139999999999998); #139599=CIRCLE('',#342686,0.139999999999998); #139600=CIRCLE('',#342688,0.139999999999998); #139601=CIRCLE('',#342689,0.139999999999998); #139602=CIRCLE('',#342691,0.139999999999998); #139603=CIRCLE('',#342692,0.139999999999998); #139604=CIRCLE('',#342694,0.139999999999998); #139605=CIRCLE('',#342695,0.139999999999998); #139606=CIRCLE('',#342697,0.139999999999998); #139607=CIRCLE('',#342698,0.139999999999998); #139608=CIRCLE('',#342700,0.139999999999998); #139609=CIRCLE('',#342701,0.139999999999998); #139610=CIRCLE('',#342703,0.139999999999998); #139611=CIRCLE('',#342704,0.139999999999998); #139612=CIRCLE('',#342706,0.139999999999998); #139613=CIRCLE('',#342707,0.139999999999998); #139614=CIRCLE('',#342709,0.139999999999998); #139615=CIRCLE('',#342710,0.139999999999998); #139616=CIRCLE('',#342712,0.139999999999998); #139617=CIRCLE('',#342713,0.139999999999998); #139618=CIRCLE('',#342715,0.139999999999998); #139619=CIRCLE('',#342716,0.139999999999998); #139620=CIRCLE('',#342718,0.139999999999998); #139621=CIRCLE('',#342719,0.139999999999998); #139622=CIRCLE('',#342721,0.139999999999998); #139623=CIRCLE('',#342722,0.139999999999998); #139624=CIRCLE('',#342724,0.139999999999998); #139625=CIRCLE('',#342725,0.139999999999998); #139626=CIRCLE('',#342727,0.139999999999998); #139627=CIRCLE('',#342728,0.139999999999998); #139628=CIRCLE('',#342730,0.139999999999998); #139629=CIRCLE('',#342731,0.139999999999998); #139630=CIRCLE('',#342733,0.139999999999998); #139631=CIRCLE('',#342734,0.139999999999998); #139632=CIRCLE('',#342736,0.139999999999998); #139633=CIRCLE('',#342737,0.139999999999998); #139634=CIRCLE('',#342739,0.139999999999998); #139635=CIRCLE('',#342740,0.139999999999998); #139636=CIRCLE('',#342742,0.139999999999998); #139637=CIRCLE('',#342743,0.139999999999998); #139638=CIRCLE('',#342745,0.139999999999998); #139639=CIRCLE('',#342746,0.139999999999998); #139640=CIRCLE('',#342748,0.139999999999998); #139641=CIRCLE('',#342749,0.139999999999998); #139642=CIRCLE('',#342751,0.139999999999998); #139643=CIRCLE('',#342752,0.139999999999998); #139644=CIRCLE('',#342754,0.139999999999998); #139645=CIRCLE('',#342755,0.139999999999998); #139646=CIRCLE('',#342757,0.139999999999998); #139647=CIRCLE('',#342758,0.139999999999998); #139648=CIRCLE('',#342760,0.139999999999998); #139649=CIRCLE('',#342761,0.139999999999998); #139650=CIRCLE('',#343216,0.139999999999998); #139651=CIRCLE('',#343217,0.139999999999998); #139652=CIRCLE('',#343219,0.139999999999998); #139653=CIRCLE('',#343220,0.139999999999998); #139654=CIRCLE('',#343222,0.139999999999998); #139655=CIRCLE('',#343223,0.139999999999998); #139656=CIRCLE('',#343893,0.514999999999999); #139657=CIRCLE('',#343894,0.514999999999999); #139658=CIRCLE('',#343897,0.565); #139659=CIRCLE('',#343898,0.565); #139660=CIRCLE('',#343901,0.565); #139661=CIRCLE('',#343902,0.565); #139662=CIRCLE('',#344031,0.140000000000002); #139663=CIRCLE('',#344032,0.140000000000002); #139664=CIRCLE('',#344034,0.140000000000002); #139665=CIRCLE('',#344035,0.140000000000002); #139666=CIRCLE('',#344037,0.140000000000002); #139667=CIRCLE('',#344038,0.140000000000002); #139668=CIRCLE('',#344040,0.140000000000002); #139669=CIRCLE('',#344041,0.140000000000002); #139670=CIRCLE('',#344043,0.140000000000002); #139671=CIRCLE('',#344044,0.140000000000002); #139672=CIRCLE('',#344046,0.140000000000002); #139673=CIRCLE('',#344047,0.140000000000002); #139674=CIRCLE('',#344049,0.140000000000002); #139675=CIRCLE('',#344050,0.140000000000002); #139676=CIRCLE('',#344052,0.140000000000002); #139677=CIRCLE('',#344053,0.140000000000002); #139678=CIRCLE('',#344055,0.140000000000002); #139679=CIRCLE('',#344056,0.140000000000002); #139680=CIRCLE('',#344058,0.140000000000002); #139681=CIRCLE('',#344059,0.140000000000002); #139682=CIRCLE('',#344061,0.140000000000002); #139683=CIRCLE('',#344062,0.140000000000002); #139684=CIRCLE('',#344064,0.140000000000002); #139685=CIRCLE('',#344065,0.140000000000002); #139686=CIRCLE('',#344067,0.140000000000002); #139687=CIRCLE('',#344068,0.140000000000002); #139688=CIRCLE('',#344070,0.140000000000002); #139689=CIRCLE('',#344071,0.140000000000002); #139690=CIRCLE('',#344073,0.140000000000002); #139691=CIRCLE('',#344074,0.140000000000002); #139692=CIRCLE('',#344119,0.140000000000002); #139693=CIRCLE('',#344120,0.140000000000002); #139694=CIRCLE('',#344127,0.127000308727436); #139695=CIRCLE('',#344128,0.127000308727436); #139696=CIRCLE('',#344132,0.127000308727438); #139697=CIRCLE('',#344133,0.127000308727438); #139698=CIRCLE('',#344151,0.127000308727436); #139699=CIRCLE('',#344152,0.127000308727436); #139700=CIRCLE('',#344155,0.127000308727436); #139701=CIRCLE('',#344156,0.127000308727436); #139702=CIRCLE('',#344159,0.126951739765291); #139703=CIRCLE('',#344160,0.126951739765291); #139704=CIRCLE('',#344165,0.127000308727438); #139705=CIRCLE('',#344166,0.127000308727438); #139706=CIRCLE('',#344169,0.127000308727433); #139707=CIRCLE('',#344170,0.127000308727433); #139708=CIRCLE('',#344174,0.127001515838136); #139709=CIRCLE('',#344175,0.127001515838136); #139710=CIRCLE('',#344182,0.139999999999998); #139711=CIRCLE('',#344183,0.139999999999998); #139712=CIRCLE('',#344185,0.139999999999998); #139713=CIRCLE('',#344186,0.139999999999998); #139714=CIRCLE('',#344188,0.139999999999998); #139715=CIRCLE('',#344189,0.139999999999998); #139716=CIRCLE('',#344191,0.139999999999998); #139717=CIRCLE('',#344192,0.139999999999998); #139718=CIRCLE('',#344194,0.139999999999998); #139719=CIRCLE('',#344195,0.139999999999998); #139720=CIRCLE('',#344197,0.139999999999998); #139721=CIRCLE('',#344198,0.139999999999998); #139722=CIRCLE('',#344200,0.139999999999998); #139723=CIRCLE('',#344201,0.139999999999998); #139724=CIRCLE('',#344203,0.139999999999998); #139725=CIRCLE('',#344204,0.139999999999998); #139726=CIRCLE('',#344206,0.139999999999998); #139727=CIRCLE('',#344207,0.139999999999998); #139728=CIRCLE('',#344209,0.139999999999998); #139729=CIRCLE('',#344210,0.139999999999998); #139730=CIRCLE('',#344212,0.139999999999998); #139731=CIRCLE('',#344213,0.139999999999998); #139732=CIRCLE('',#344215,0.139999999999998); #139733=CIRCLE('',#344216,0.139999999999998); #139734=CIRCLE('',#344218,0.139999999999998); #139735=CIRCLE('',#344219,0.139999999999998); #139736=CIRCLE('',#344221,0.139999999999998); #139737=CIRCLE('',#344222,0.139999999999998); #139738=CIRCLE('',#344224,0.139999999999998); #139739=CIRCLE('',#344225,0.139999999999998); #139740=CIRCLE('',#344278,0.139999999999998); #139741=CIRCLE('',#344279,0.139999999999998); #139742=CIRCLE('',#344287,0.127001515838136); #139743=CIRCLE('',#344288,0.127001515838136); #139744=CIRCLE('',#344292,0.127000308727433); #139745=CIRCLE('',#344293,0.127000308727433); #139746=CIRCLE('',#344296,0.127000308727438); #139747=CIRCLE('',#344297,0.127000308727438); #139748=CIRCLE('',#344302,0.127000308727447); #139749=CIRCLE('',#344303,0.127000308727447); #139750=CIRCLE('',#344306,0.127000308727436); #139751=CIRCLE('',#344307,0.127000308727436); #139752=CIRCLE('',#344310,0.127000308727436); #139753=CIRCLE('',#344311,0.127000308727436); #139754=CIRCLE('',#344329,0.127000308727436); #139755=CIRCLE('',#344330,0.127000308727436); #139756=CIRCLE('',#344334,0.127000308727438); #139757=CIRCLE('',#344335,0.127000308727438); #139758=CIRCLE('',#344341,0.139999999999998); #139759=CIRCLE('',#344342,0.139999999999998); #139760=CIRCLE('',#344344,0.14); #139761=CIRCLE('',#344345,0.14); #139762=CIRCLE('',#344347,0.14); #139763=CIRCLE('',#344348,0.14); #139764=CIRCLE('',#344350,0.14); #139765=CIRCLE('',#344351,0.14); #139766=CIRCLE('',#344353,0.14); #139767=CIRCLE('',#344354,0.14); #139768=CIRCLE('',#344356,0.14); #139769=CIRCLE('',#344357,0.14); #139770=CIRCLE('',#344359,0.14); #139771=CIRCLE('',#344360,0.14); #139772=CIRCLE('',#344362,0.14); #139773=CIRCLE('',#344363,0.14); #139774=CIRCLE('',#344365,0.14); #139775=CIRCLE('',#344366,0.14); #139776=CIRCLE('',#344368,0.139999999999998); #139777=CIRCLE('',#344369,0.139999999999998); #139778=CIRCLE('',#344371,0.139999999999998); #139779=CIRCLE('',#344372,0.139999999999998); #139780=CIRCLE('',#344374,0.14); #139781=CIRCLE('',#344375,0.14); #139782=CIRCLE('',#344377,0.14); #139783=CIRCLE('',#344378,0.14); #139784=CIRCLE('',#344380,0.14); #139785=CIRCLE('',#344381,0.14); #139786=CIRCLE('',#344383,0.14); #139787=CIRCLE('',#344384,0.14); #139788=CIRCLE('',#344386,0.139999999999998); #139789=CIRCLE('',#344387,0.139999999999998); #139790=CIRCLE('',#344389,0.139999999999998); #139791=CIRCLE('',#344390,0.139999999999998); #139792=CIRCLE('',#344392,0.139999999999998); #139793=CIRCLE('',#344393,0.139999999999998); #139794=CIRCLE('',#344395,0.139999999999998); #139795=CIRCLE('',#344396,0.139999999999998); #139796=CIRCLE('',#344398,0.14); #139797=CIRCLE('',#344399,0.14); #139798=CIRCLE('',#344401,0.14); #139799=CIRCLE('',#344402,0.14); #139800=CIRCLE('',#344404,0.14); #139801=CIRCLE('',#344405,0.14); #139802=CIRCLE('',#344407,0.139999999999998); #139803=CIRCLE('',#344408,0.139999999999998); #139804=CIRCLE('',#344410,0.139999999999998); #139805=CIRCLE('',#344411,0.139999999999998); #139806=CIRCLE('',#344413,0.139999999999998); #139807=CIRCLE('',#344414,0.139999999999998); #139808=CIRCLE('',#344416,0.139999999999998); #139809=CIRCLE('',#344417,0.139999999999998); #139810=CIRCLE('',#344419,0.139999999999998); #139811=CIRCLE('',#344420,0.139999999999998); #139812=CIRCLE('',#344422,0.139999999999998); #139813=CIRCLE('',#344423,0.139999999999998); #139814=CIRCLE('',#344425,0.139999999999998); #139815=CIRCLE('',#344426,0.139999999999998); #139816=CIRCLE('',#344428,0.139999999999998); #139817=CIRCLE('',#344429,0.139999999999998); #139818=CIRCLE('',#344431,0.139999999999998); #139819=CIRCLE('',#344432,0.139999999999998); #139820=CIRCLE('',#344435,0.275429677195184); #139821=CIRCLE('',#344436,0.275429677195184); #139822=CIRCLE('',#344441,0.127000308727435); #139823=CIRCLE('',#344442,0.127000308727435); #139824=CIRCLE('',#344445,0.127000308727438); #139825=CIRCLE('',#344446,0.127000308727438); #139826=CIRCLE('',#344520,0.127000308727436); #139827=CIRCLE('',#344521,0.127000308727436); #139828=CIRCLE('',#344524,0.127000308727427); #139829=CIRCLE('',#344525,0.127000308727427); #139830=CIRCLE('',#344528,0.275429442438526); #139831=CIRCLE('',#344529,0.275429442438526); #139832=CIRCLE('',#344533,0.139999999999998); #139833=CIRCLE('',#344534,0.139999999999998); #139834=CIRCLE('',#344542,0.127000308727436); #139835=CIRCLE('',#344543,0.127000308727436); #139836=CIRCLE('',#344547,0.127000308727438); #139837=CIRCLE('',#344548,0.127000308727438); #139838=CIRCLE('',#344551,0.275429728561973); #139839=CIRCLE('',#344552,0.275429728561973); #139840=CIRCLE('',#344554,0.275429566248994); #139841=CIRCLE('',#344555,0.275429566248994); #139842=CIRCLE('',#344559,0.127000308727431); #139843=CIRCLE('',#344560,0.127000308727431); #139844=CIRCLE('',#344564,0.127000308727438); #139845=CIRCLE('',#344565,0.127000308727438); #139846=CIRCLE('',#344571,0.140000000000002); #139847=CIRCLE('',#344572,0.140000000000002); #139848=CIRCLE('',#344574,0.140000000000002); #139849=CIRCLE('',#344575,0.140000000000002); #139850=CIRCLE('',#344577,0.140000000000002); #139851=CIRCLE('',#344578,0.140000000000002); #139852=CIRCLE('',#344580,0.140000000000002); #139853=CIRCLE('',#344581,0.140000000000002); #139854=CIRCLE('',#344583,0.140000000000002); #139855=CIRCLE('',#344584,0.140000000000002); #139856=CIRCLE('',#344586,0.140000000000002); #139857=CIRCLE('',#344587,0.140000000000002); #139858=CIRCLE('',#344589,0.140000000000002); #139859=CIRCLE('',#344590,0.140000000000002); #139860=CIRCLE('',#344592,0.140000000000002); #139861=CIRCLE('',#344593,0.140000000000002); #139862=CIRCLE('',#344595,0.140000000000002); #139863=CIRCLE('',#344596,0.140000000000002); #139864=CIRCLE('',#344598,0.140000000000002); #139865=CIRCLE('',#344599,0.140000000000002); #139866=CIRCLE('',#344601,0.140000000000002); #139867=CIRCLE('',#344602,0.140000000000002); #139868=CIRCLE('',#344604,0.140000000000002); #139869=CIRCLE('',#344605,0.140000000000002); #139870=CIRCLE('',#344607,0.140000000000002); #139871=CIRCLE('',#344608,0.140000000000002); #139872=CIRCLE('',#344610,0.140000000000002); #139873=CIRCLE('',#344611,0.140000000000002); #139874=CIRCLE('',#344613,0.140000000000002); #139875=CIRCLE('',#344614,0.140000000000002); #139876=CIRCLE('',#344616,0.140000000000002); #139877=CIRCLE('',#344617,0.140000000000002); #139878=CIRCLE('',#344619,0.140000000000002); #139879=CIRCLE('',#344620,0.140000000000002); #139880=CIRCLE('',#344622,0.140000000000002); #139881=CIRCLE('',#344623,0.140000000000002); #139882=CIRCLE('',#344625,0.140000000000002); #139883=CIRCLE('',#344626,0.140000000000002); #139884=CIRCLE('',#344628,0.140000000000002); #139885=CIRCLE('',#344629,0.140000000000002); #139886=CIRCLE('',#344631,0.140000000000002); #139887=CIRCLE('',#344632,0.140000000000002); #139888=CIRCLE('',#344634,0.140000000000002); #139889=CIRCLE('',#344635,0.140000000000002); #139890=CIRCLE('',#344637,0.140000000000002); #139891=CIRCLE('',#344638,0.140000000000002); #139892=CIRCLE('',#344640,0.140000000000002); #139893=CIRCLE('',#344641,0.140000000000002); #139894=CIRCLE('',#344643,0.140000000000002); #139895=CIRCLE('',#344644,0.140000000000002); #139896=CIRCLE('',#344646,0.140000000000002); #139897=CIRCLE('',#344647,0.140000000000002); #139898=CIRCLE('',#344649,0.140000000000002); #139899=CIRCLE('',#344650,0.140000000000002); #139900=CIRCLE('',#344652,0.140000000000002); #139901=CIRCLE('',#344653,0.140000000000002); #139902=CIRCLE('',#344655,0.140000000000002); #139903=CIRCLE('',#344656,0.140000000000002); #139904=CIRCLE('',#344658,0.140000000000002); #139905=CIRCLE('',#344659,0.140000000000002); #139906=CIRCLE('',#344661,0.140000000000002); #139907=CIRCLE('',#344662,0.140000000000002); #139908=CIRCLE('',#344665,0.275429677195184); #139909=CIRCLE('',#344666,0.275429677195184); #139910=CIRCLE('',#344669,0.127000308727425); #139911=CIRCLE('',#344670,0.127000308727425); #139912=CIRCLE('',#344673,0.127001515838118); #139913=CIRCLE('',#344674,0.127001515838118); #139914=CIRCLE('',#344743,0.127000308727436); #139915=CIRCLE('',#344744,0.127000308727436); #139916=CIRCLE('',#344747,0.127000308727438); #139917=CIRCLE('',#344748,0.127000308727438); #139918=CIRCLE('',#344753,0.275429442438526); #139919=CIRCLE('',#344754,0.275429442438526); #139920=CIRCLE('',#344758,0.140000000000002); #139921=CIRCLE('',#344759,0.140000000000002); #139922=CIRCLE('',#344766,0.127000308727438); #139923=CIRCLE('',#344767,0.127000308727438); #139924=CIRCLE('',#344771,0.127000308727433); #139925=CIRCLE('',#344772,0.127000308727433); #139926=CIRCLE('',#344776,0.275429728561973); #139927=CIRCLE('',#344777,0.275429728561973); #139928=CIRCLE('',#344779,0.275429566248996); #139929=CIRCLE('',#344780,0.275429566248996); #139930=CIRCLE('',#344783,0.12700030872744); #139931=CIRCLE('',#344784,0.12700030872744); #139932=CIRCLE('',#344788,0.127000308727436); #139933=CIRCLE('',#344789,0.127000308727436); #139934=CIRCLE('',#344796,0.139999999999998); #139935=CIRCLE('',#344797,0.139999999999998); #139936=CIRCLE('',#344799,0.139999999999998); #139937=CIRCLE('',#344800,0.139999999999998); #139938=CIRCLE('',#344802,0.139999999999998); #139939=CIRCLE('',#344803,0.139999999999998); #139940=CIRCLE('',#344805,0.139999999999998); #139941=CIRCLE('',#344806,0.139999999999998); #139942=CIRCLE('',#344808,0.114999999999998); #139943=CIRCLE('',#344809,0.114999999999998); #139944=CIRCLE('',#344811,0.114999999999998); #139945=CIRCLE('',#344812,0.114999999999998); #139946=CIRCLE('',#344814,0.114999999999998); #139947=CIRCLE('',#344815,0.114999999999998); #139948=CIRCLE('',#344817,0.114999999999998); #139949=CIRCLE('',#344818,0.114999999999998); #139950=CIRCLE('',#344820,0.139999999999998); #139951=CIRCLE('',#344821,0.139999999999998); #139952=CIRCLE('',#344823,0.139999999999998); #139953=CIRCLE('',#344824,0.139999999999998); #139954=CIRCLE('',#344826,0.139999999999998); #139955=CIRCLE('',#344827,0.139999999999998); #139956=CIRCLE('',#344829,0.139999999999998); #139957=CIRCLE('',#344830,0.139999999999998); #139958=CIRCLE('',#344832,0.139999999999998); #139959=CIRCLE('',#344833,0.139999999999998); #139960=CIRCLE('',#344835,0.139999999999998); #139961=CIRCLE('',#344836,0.139999999999998); #139962=CIRCLE('',#344838,0.139999999999998); #139963=CIRCLE('',#344839,0.139999999999998); #139964=CIRCLE('',#344841,0.139999999999998); #139965=CIRCLE('',#344842,0.139999999999998); #139966=CIRCLE('',#345060,0.2750043822149); #139967=CIRCLE('',#345061,0.2750043822149); #139968=CIRCLE('',#345064,0.127000308727437); #139969=CIRCLE('',#345065,0.127000308727437); #139970=CIRCLE('',#345075,0.127000308727438); #139971=CIRCLE('',#345076,0.127000308727438); #139972=CIRCLE('',#345080,0.275180228450174); #139973=CIRCLE('',#345081,0.275180228450174); #139974=CIRCLE('',#345305,0.139999999999998); #139975=CIRCLE('',#345306,0.139999999999998); #139976=CIRCLE('',#345309,0.275429677195182); #139977=CIRCLE('',#345310,0.275429677195182); #139978=CIRCLE('',#345313,0.127000308727438); #139979=CIRCLE('',#345314,0.127000308727438); #139980=CIRCLE('',#345320,0.127000308727436); #139981=CIRCLE('',#345321,0.127000308727436); #139982=CIRCLE('',#345330,0.12700030872744); #139983=CIRCLE('',#345331,0.12700030872744); #139984=CIRCLE('',#345334,0.127000308727438); #139985=CIRCLE('',#345335,0.127000308727438); #139986=CIRCLE('',#345338,0.127000308727438); #139987=CIRCLE('',#345339,0.127000308727438); #139988=CIRCLE('',#345343,0.275429442438521); #139989=CIRCLE('',#345344,0.275429442438521); #139990=CIRCLE('',#345348,0.139999999999998); #139991=CIRCLE('',#345349,0.139999999999998); #139992=CIRCLE('',#345351,0.140000000000002); #139993=CIRCLE('',#345352,0.140000000000002); #139994=CIRCLE('',#345354,0.140000000000002); #139995=CIRCLE('',#345355,0.140000000000002); #139996=CIRCLE('',#345357,0.465); #139997=CIRCLE('',#345358,0.465); #139998=CIRCLE('',#345360,0.140000000000002); #139999=CIRCLE('',#345361,0.140000000000002); #140000=CIRCLE('',#345363,0.140000000000002); #140001=CIRCLE('',#345364,0.140000000000002); #140002=CIRCLE('',#345366,0.140000000000002); #140003=CIRCLE('',#345367,0.140000000000002); #140004=CIRCLE('',#345369,0.140000000000002); #140005=CIRCLE('',#345370,0.140000000000002); #140006=CIRCLE('',#345372,0.140000000000002); #140007=CIRCLE('',#345373,0.140000000000002); #140008=CIRCLE('',#345375,0.140000000000002); #140009=CIRCLE('',#345376,0.140000000000002); #140010=CIRCLE('',#345378,0.140000000000002); #140011=CIRCLE('',#345379,0.140000000000002); #140012=CIRCLE('',#345381,0.140000000000002); #140013=CIRCLE('',#345382,0.140000000000002); #140014=CIRCLE('',#345408,0.275170203557187); #140015=CIRCLE('',#345409,0.275170203557187); #140016=CIRCLE('',#345413,0.127000308727437); #140017=CIRCLE('',#345414,0.127000308727437); #140018=CIRCLE('',#345424,0.127000308727438); #140019=CIRCLE('',#345425,0.127000308727438); #140020=CIRCLE('',#345428,0.275004382214899); #140021=CIRCLE('',#345429,0.275004382214899); #140022=CIRCLE('',#345446,0.127000308727435); #140023=CIRCLE('',#345447,0.127000308727435); #140024=CIRCLE('',#345450,0.127000308727438); #140025=CIRCLE('',#345451,0.127000308727438); #140026=CIRCLE('',#345522,0.564999999999998); #140027=CIRCLE('',#345523,0.564999999999998); #140028=CIRCLE('',#345526,0.900857088405025); #140029=CIRCLE('',#345527,0.900857088405025); #140030=CIRCLE('',#345529,0.900856769723134); #140031=CIRCLE('',#345530,0.900856769723134); #140032=CIRCLE('',#345534,0.565000000000002); #140033=CIRCLE('',#345535,0.565000000000002); #140034=CIRCLE('',#345538,0.900857088405025); #140035=CIRCLE('',#345539,0.900857088405025); #140036=CIRCLE('',#345541,0.900856769723134); #140037=CIRCLE('',#345542,0.900856769723134); #140038=CIRCLE('',#345546,0.465); #140039=CIRCLE('',#345547,0.465); #140040=CIRCLE('',#345550,0.750904962541172); #140041=CIRCLE('',#345551,0.750904962541172); #140042=CIRCLE('',#345553,0.750905460124644); #140043=CIRCLE('',#345554,0.750905460124644); #140044=CIRCLE('',#345558,0.472999999999999); #140045=CIRCLE('',#345559,0.472999999999999); #140046=CIRCLE('',#345571,0.465); #140047=CIRCLE('',#345572,0.465); #140048=CIRCLE('',#345575,0.750904962541172); #140049=CIRCLE('',#345576,0.750904962541172); #140050=CIRCLE('',#345578,0.750905460124644); #140051=CIRCLE('',#345579,0.750905460124644); #140052=CIRCLE('',#345583,0.514999999999999); #140053=CIRCLE('',#345584,0.514999999999999); #140054=CIRCLE('',#345592,0.564999999999998); #140055=CIRCLE('',#345593,0.564999999999998); #140056=CIRCLE('',#345596,0.900857088405025); #140057=CIRCLE('',#345597,0.900857088405025); #140058=CIRCLE('',#345599,0.900856769723134); #140059=CIRCLE('',#345600,0.900856769723134); #140060=CIRCLE('',#345605,0.315); #140061=CIRCLE('',#345606,0.315); #140062=CIRCLE('',#345609,0.315); #140063=CIRCLE('',#345610,0.315); #140064=CIRCLE('',#345670,0.465); #140065=CIRCLE('',#345671,0.465); #140066=CIRCLE('',#345674,0.750904962541171); #140067=CIRCLE('',#345675,0.750904962541171); #140068=CIRCLE('',#345677,0.750905460124643); #140069=CIRCLE('',#345678,0.750905460124643); #140070=CIRCLE('',#345683,0.315); #140071=CIRCLE('',#345684,0.315); #140072=CIRCLE('',#345687,0.315); #140073=CIRCLE('',#345688,0.315); #140074=CIRCLE('',#345749,0.565); #140075=CIRCLE('',#345750,0.565); #140076=CIRCLE('',#345753,0.565); #140077=CIRCLE('',#345754,0.565); #140078=CIRCLE('',#345831,0.315); #140079=CIRCLE('',#345832,0.315); #140080=CIRCLE('',#345835,0.315); #140081=CIRCLE('',#345836,0.315); #140082=CIRCLE('',#345896,0.514999999999999); #140083=CIRCLE('',#345897,0.514999999999999); #140084=CIRCLE('',#345900,0.825995597015952); #140085=CIRCLE('',#345901,0.825995597015952); #140086=CIRCLE('',#345903,0.825996336030615); #140087=CIRCLE('',#345904,0.825996336030615); #140088=CIRCLE('',#345909,0.315); #140089=CIRCLE('',#345910,0.315); #140090=CIRCLE('',#345913,0.315); #140091=CIRCLE('',#345914,0.315); #140092=CIRCLE('',#345974,0.472999999999999); #140093=CIRCLE('',#345975,0.472999999999999); #140094=CIRCLE('',#345987,0.465); #140095=CIRCLE('',#345988,0.465); #140096=CIRCLE('',#345991,0.750904962541172); #140097=CIRCLE('',#345992,0.750904962541172); #140098=CIRCLE('',#345994,0.750905460124644); #140099=CIRCLE('',#345995,0.750905460124644); #140100=CIRCLE('',#346000,0.565); #140101=CIRCLE('',#346001,0.565); #140102=CIRCLE('',#346004,0.565); #140103=CIRCLE('',#346005,0.565); #140104=CIRCLE('',#346081,0.472999999999999); #140105=CIRCLE('',#346082,0.472999999999999); #140106=CIRCLE('',#346094,0.465); #140107=CIRCLE('',#346095,0.465); #140108=CIRCLE('',#346098,0.750904962541172); #140109=CIRCLE('',#346099,0.750904962541172); #140110=CIRCLE('',#346101,0.750905460124644); #140111=CIRCLE('',#346102,0.750905460124644); #140112=CIRCLE('',#346106,0.465); #140113=CIRCLE('',#346107,0.465); #140114=CIRCLE('',#346110,0.750904962541172); #140115=CIRCLE('',#346111,0.750904962541172); #140116=CIRCLE('',#346113,0.750905460124643); #140117=CIRCLE('',#346114,0.750905460124643); #140118=CIRCLE('',#346118,0.465); #140119=CIRCLE('',#346119,0.465); #140120=CIRCLE('',#346122,0.750904962541172); #140121=CIRCLE('',#346123,0.750904962541172); #140122=CIRCLE('',#346125,0.750905460124643); #140123=CIRCLE('',#346126,0.750905460124643); #140124=CIRCLE('',#346130,0.564999999999998); #140125=CIRCLE('',#346131,0.564999999999998); #140126=CIRCLE('',#346139,0.472999999999999); #140127=CIRCLE('',#346140,0.472999999999999); #140128=CIRCLE('',#346152,0.465); #140129=CIRCLE('',#346153,0.465); #140130=CIRCLE('',#346156,0.750904962541172); #140131=CIRCLE('',#346157,0.750904962541172); #140132=CIRCLE('',#346159,0.750905460124643); #140133=CIRCLE('',#346160,0.750905460124643); #140134=CIRCLE('',#346164,0.465); #140135=CIRCLE('',#346165,0.465); #140136=CIRCLE('',#346168,0.750904962541172); #140137=CIRCLE('',#346169,0.750904962541172); #140138=CIRCLE('',#346171,0.750905460124643); #140139=CIRCLE('',#346172,0.750905460124643); #140140=CIRCLE('',#346260,0.14); #140141=CIRCLE('',#346261,0.14); #140142=CIRCLE('',#346263,0.14); #140143=CIRCLE('',#346264,0.14); #140144=CIRCLE('',#346266,0.14); #140145=CIRCLE('',#346267,0.14); #140146=CIRCLE('',#346269,0.14); #140147=CIRCLE('',#346270,0.14); #140148=CIRCLE('',#346272,0.14); #140149=CIRCLE('',#346273,0.14); #140150=CIRCLE('',#346275,0.14); #140151=CIRCLE('',#346276,0.14); #140152=CIRCLE('',#346422,0.14); #140153=CIRCLE('',#346423,0.14); #140154=CIRCLE('',#346425,0.14); #140155=CIRCLE('',#346426,0.14); #140156=CIRCLE('',#346428,0.14); #140157=CIRCLE('',#346429,0.14); #140158=CIRCLE('',#346431,0.14); #140159=CIRCLE('',#346432,0.14); #140160=CIRCLE('',#346434,0.14); #140161=CIRCLE('',#346435,0.14); #140162=CIRCLE('',#346437,0.14); #140163=CIRCLE('',#346438,0.14); #140164=CIRCLE('',#346458,0.140000000000002); #140165=CIRCLE('',#346459,0.140000000000002); #140166=CIRCLE('',#346461,0.140000000000002); #140167=CIRCLE('',#346462,0.140000000000002); #140168=CIRCLE('',#346464,0.140000000000002); #140169=CIRCLE('',#346465,0.140000000000002); #140170=CIRCLE('',#346467,0.140000000000002); #140171=CIRCLE('',#346468,0.140000000000002); #140172=CIRCLE('',#346470,0.140000000000002); #140173=CIRCLE('',#346471,0.140000000000002); #140174=CIRCLE('',#346473,0.140000000000002); #140175=CIRCLE('',#346474,0.140000000000002); #140176=CIRCLE('',#346566,0.139999999999998); #140177=CIRCLE('',#346567,0.139999999999998); #140178=CIRCLE('',#346569,0.139999999999998); #140179=CIRCLE('',#346570,0.139999999999998); #140180=CIRCLE('',#346572,0.139999999999998); #140181=CIRCLE('',#346573,0.139999999999998); #140182=CIRCLE('',#346575,0.139999999999998); #140183=CIRCLE('',#346576,0.139999999999998); #140184=CIRCLE('',#346578,0.139999999999998); #140185=CIRCLE('',#346579,0.139999999999998); #140186=CIRCLE('',#346581,0.139999999999998); #140187=CIRCLE('',#346582,0.139999999999998); #140188=CIRCLE('',#346584,0.139999999999998); #140189=CIRCLE('',#346585,0.139999999999998); #140190=CIRCLE('',#346587,0.139999999999998); #140191=CIRCLE('',#346588,0.139999999999998); #140192=CIRCLE('',#346590,0.139999999999998); #140193=CIRCLE('',#346591,0.139999999999998); #140194=CIRCLE('',#346593,0.139999999999998); #140195=CIRCLE('',#346594,0.139999999999998); #140196=CIRCLE('',#346596,0.139999999999998); #140197=CIRCLE('',#346597,0.139999999999998); #140198=CIRCLE('',#346599,0.139999999999998); #140199=CIRCLE('',#346600,0.139999999999998); #140200=CIRCLE('',#346602,0.139999999999998); #140201=CIRCLE('',#346603,0.139999999999998); #140202=CIRCLE('',#346605,0.139999999999998); #140203=CIRCLE('',#346606,0.139999999999998); #140204=CIRCLE('',#346608,0.139999999999998); #140205=CIRCLE('',#346609,0.139999999999998); #140206=CIRCLE('',#346611,0.139999999999998); #140207=CIRCLE('',#346612,0.139999999999998); #140208=CIRCLE('',#346614,0.139999999999998); #140209=CIRCLE('',#346615,0.139999999999998); #140210=CIRCLE('',#346617,0.139999999999998); #140211=CIRCLE('',#346618,0.139999999999998); #140212=CIRCLE('',#346620,0.139999999999998); #140213=CIRCLE('',#346621,0.139999999999998); #140214=CIRCLE('',#346623,0.139999999999998); #140215=CIRCLE('',#346624,0.139999999999998); #140216=CIRCLE('',#346626,0.139999999999998); #140217=CIRCLE('',#346627,0.139999999999998); #140218=CIRCLE('',#346629,0.139999999999998); #140219=CIRCLE('',#346630,0.139999999999998); #140220=CIRCLE('',#346632,0.139999999999998); #140221=CIRCLE('',#346633,0.139999999999998); #140222=CIRCLE('',#346635,0.139999999999998); #140223=CIRCLE('',#346636,0.139999999999998); #140224=CIRCLE('',#346638,0.139999999999998); #140225=CIRCLE('',#346639,0.139999999999998); #140226=CIRCLE('',#346641,0.139999999999998); #140227=CIRCLE('',#346642,0.139999999999998); #140228=CIRCLE('',#346644,0.139999999999998); #140229=CIRCLE('',#346645,0.139999999999998); #140230=CIRCLE('',#346647,0.139999999999998); #140231=CIRCLE('',#346648,0.139999999999998); #140232=CIRCLE('',#346650,0.139999999999998); #140233=CIRCLE('',#346651,0.139999999999998); #140234=CIRCLE('',#346653,0.139999999999998); #140235=CIRCLE('',#346654,0.139999999999998); #140236=CIRCLE('',#346656,0.139999999999998); #140237=CIRCLE('',#346657,0.139999999999998); #140238=CIRCLE('',#346659,0.139999999999998); #140239=CIRCLE('',#346660,0.139999999999998); #140240=CIRCLE('',#346662,0.139999999999998); #140241=CIRCLE('',#346663,0.139999999999998); #140242=CIRCLE('',#346665,0.139999999999998); #140243=CIRCLE('',#346666,0.139999999999998); #140244=CIRCLE('',#346668,0.139999999999998); #140245=CIRCLE('',#346669,0.139999999999998); #140246=CIRCLE('',#346671,0.139999999999998); #140247=CIRCLE('',#346672,0.139999999999998); #140248=CIRCLE('',#346674,0.139999999999998); #140249=CIRCLE('',#346675,0.139999999999998); #140250=CIRCLE('',#346677,0.139999999999998); #140251=CIRCLE('',#346678,0.139999999999998); #140252=CIRCLE('',#346680,0.139999999999998); #140253=CIRCLE('',#346681,0.139999999999998); #140254=CIRCLE('',#346683,0.139999999999998); #140255=CIRCLE('',#346684,0.139999999999998); #140256=CIRCLE('',#346686,0.139999999999998); #140257=CIRCLE('',#346687,0.139999999999998); #140258=CIRCLE('',#346689,0.139999999999998); #140259=CIRCLE('',#346690,0.139999999999998); #140260=CIRCLE('',#346692,0.139999999999998); #140261=CIRCLE('',#346693,0.139999999999998); #140262=CIRCLE('',#346695,0.139999999999998); #140263=CIRCLE('',#346696,0.139999999999998); #140264=CIRCLE('',#346698,0.139999999999998); #140265=CIRCLE('',#346699,0.139999999999998); #140266=CIRCLE('',#346701,0.139999999999998); #140267=CIRCLE('',#346702,0.139999999999998); #140268=CIRCLE('',#346704,0.139999999999998); #140269=CIRCLE('',#346705,0.139999999999998); #140270=CIRCLE('',#346707,0.139999999999998); #140271=CIRCLE('',#346708,0.139999999999998); #140272=CIRCLE('',#346710,0.139999999999998); #140273=CIRCLE('',#346711,0.139999999999998); #140274=CIRCLE('',#346803,0.140000000000002); #140275=CIRCLE('',#346804,0.140000000000002); #140276=CIRCLE('',#346824,0.139999999999998); #140277=CIRCLE('',#346825,0.139999999999998); #140278=CIRCLE('',#346899,0.14); #140279=CIRCLE('',#346900,0.14); #140280=CIRCLE('',#346902,0.14); #140281=CIRCLE('',#346903,0.14); #140282=CIRCLE('',#346905,0.14); #140283=CIRCLE('',#346906,0.14); #140284=CIRCLE('',#346908,0.14); #140285=CIRCLE('',#346909,0.14); #140286=CIRCLE('',#346911,0.14); #140287=CIRCLE('',#346912,0.14); #140288=CIRCLE('',#346914,0.14); #140289=CIRCLE('',#346915,0.14); #140290=CIRCLE('',#346917,0.14); #140291=CIRCLE('',#346918,0.14); #140292=CIRCLE('',#346920,0.14); #140293=CIRCLE('',#346921,0.14); #140294=CIRCLE('',#346923,0.14); #140295=CIRCLE('',#346924,0.14); #140296=CIRCLE('',#346944,0.139999999999998); #140297=CIRCLE('',#346945,0.139999999999998); #140298=CIRCLE('',#346971,0.139999999999998); #140299=CIRCLE('',#346972,0.139999999999998); #140300=CIRCLE('',#346980,0.139999999999998); #140301=CIRCLE('',#346981,0.139999999999998); #140302=CIRCLE('',#347007,0.140000000000002); #140303=CIRCLE('',#347008,0.140000000000002); #140304=CIRCLE('',#347010,0.140000000000002); #140305=CIRCLE('',#347011,0.140000000000002); #140306=CIRCLE('',#347013,0.140000000000002); #140307=CIRCLE('',#347014,0.140000000000002); #140308=CIRCLE('',#347016,0.140000000000002); #140309=CIRCLE('',#347017,0.140000000000002); #140310=CIRCLE('',#347019,0.140000000000002); #140311=CIRCLE('',#347020,0.140000000000002); #140312=CIRCLE('',#347022,0.140000000000002); #140313=CIRCLE('',#347023,0.140000000000002); #140314=CIRCLE('',#347185,0.140000000000002); #140315=CIRCLE('',#347186,0.140000000000002); #140316=CIRCLE('',#347188,0.140000000000002); #140317=CIRCLE('',#347189,0.140000000000002); #140318=CIRCLE('',#347191,0.140000000000002); #140319=CIRCLE('',#347192,0.140000000000002); #140320=CIRCLE('',#347194,0.140000000000002); #140321=CIRCLE('',#347195,0.140000000000002); #140322=CIRCLE('',#347197,0.140000000000002); #140323=CIRCLE('',#347198,0.140000000000002); #140324=CIRCLE('',#347200,0.140000000000002); #140325=CIRCLE('',#347201,0.140000000000002); #140326=CIRCLE('',#347258,0.564999999999998); #140327=CIRCLE('',#347259,0.564999999999998); #140328=CIRCLE('',#347262,0.900857088405025); #140329=CIRCLE('',#347263,0.900857088405025); #140330=CIRCLE('',#347265,0.900856769723134); #140331=CIRCLE('',#347266,0.900856769723134); #140332=CIRCLE('',#347282,0.114999999999998); #140333=CIRCLE('',#347283,0.114999999999998); #140334=CIRCLE('',#347285,0.114999999999998); #140335=CIRCLE('',#347286,0.114999999999998); #140336=CIRCLE('',#347300,0.114999999999998); #140337=CIRCLE('',#347301,0.114999999999998); #140338=CIRCLE('',#347303,0.114999999999998); #140339=CIRCLE('',#347304,0.114999999999998); #140340=CIRCLE('',#347318,0.114999999999998); #140341=CIRCLE('',#347319,0.114999999999998); #140342=CIRCLE('',#347321,0.114999999999998); #140343=CIRCLE('',#347322,0.114999999999998); #140344=CIRCLE('',#347336,0.114999999999998); #140345=CIRCLE('',#347337,0.114999999999998); #140346=CIRCLE('',#347339,0.114999999999998); #140347=CIRCLE('',#347340,0.114999999999998); #140348=CIRCLE('',#347360,0.127000308727433); #140349=CIRCLE('',#347361,0.127000308727433); #140350=CIRCLE('',#347368,0.126999843437875); #140351=CIRCLE('',#347369,0.126999843437875); #140352=CIRCLE('',#347373,0.126998775938454); #140353=CIRCLE('',#347374,0.126998775938454); #140354=CIRCLE('',#347383,0.126999785858201); #140355=CIRCLE('',#347384,0.126999785858201); #140356=CIRCLE('',#347387,0.127000308727438); #140357=CIRCLE('',#347388,0.127000308727438); #140358=CIRCLE('',#347398,0.127000308727436); #140359=CIRCLE('',#347399,0.127000308727436); #140360=CIRCLE('',#347402,0.127000308727441); #140361=CIRCLE('',#347403,0.127000308727441); #140362=CIRCLE('',#347408,0.127001478143445); #140363=CIRCLE('',#347409,0.127001478143445); #140364=CIRCLE('',#347412,0.127000248685803); #140365=CIRCLE('',#347413,0.127000248685803); #140366=CIRCLE('',#347420,0.127000023435621); #140367=CIRCLE('',#347421,0.127000023435621); #140368=CIRCLE('',#347429,0.127000308727437); #140369=CIRCLE('',#347430,0.127000308727437); #140370=CIRCLE('',#347436,0.139999999999998); #140371=CIRCLE('',#347437,0.139999999999998); #140372=CIRCLE('',#347439,0.139999999999998); #140373=CIRCLE('',#347440,0.139999999999998); #140374=CIRCLE('',#347443,0.275850826114455); #140375=CIRCLE('',#347444,0.275850826114455); #140376=CIRCLE('',#347446,0.275429566248996); #140377=CIRCLE('',#347447,0.275429566248996); #140378=CIRCLE('',#347450,0.275429704872049); #140379=CIRCLE('',#347451,0.275429704872049); #140380=CIRCLE('',#347453,0.27542977774263); #140381=CIRCLE('',#347454,0.27542977774263); #140382=CIRCLE('',#347457,0.275430756167224); #140383=CIRCLE('',#347458,0.275430756167224); #140384=CIRCLE('',#347462,0.139999999999998); #140385=CIRCLE('',#347463,0.139999999999998); #140386=CIRCLE('',#347466,0.275429726247122); #140387=CIRCLE('',#347467,0.275429726247122); #140388=CIRCLE('',#347476,0.27542954841201); #140389=CIRCLE('',#347477,0.27542954841201); #140390=CIRCLE('',#347481,0.139999999999998); #140391=CIRCLE('',#347482,0.139999999999998); #140392=CIRCLE('',#347489,0.127000429438416); #140393=CIRCLE('',#347490,0.127000429438416); #140394=CIRCLE('',#347493,0.127073045682421); #140395=CIRCLE('',#347494,0.127073045682421); #140396=CIRCLE('',#347496,0.275429182716805); #140397=CIRCLE('',#347497,0.275429182716805); #140398=CIRCLE('',#347499,0.275466854775623); #140399=CIRCLE('',#347500,0.275466854775623); #140400=CIRCLE('',#347507,0.139999999999998); #140401=CIRCLE('',#347508,0.139999999999998); #140402=CIRCLE('',#347510,0.140000000000002); #140403=CIRCLE('',#347511,0.140000000000002); #140404=CIRCLE('',#347514,0.275850826114459); #140405=CIRCLE('',#347515,0.275850826114459); #140406=CIRCLE('',#347517,0.275429566248996); #140407=CIRCLE('',#347518,0.275429566248996); #140408=CIRCLE('',#347525,0.127000308727436); #140409=CIRCLE('',#347526,0.127000308727436); #140410=CIRCLE('',#347530,0.127000308727436); #140411=CIRCLE('',#347531,0.127000308727436); #140412=CIRCLE('',#347534,0.127000308727436); #140413=CIRCLE('',#347535,0.127000308727436); #140414=CIRCLE('',#347540,0.127000308727441); #140415=CIRCLE('',#347541,0.127000308727441); #140416=CIRCLE('',#347544,0.127000308727438); #140417=CIRCLE('',#347545,0.127000308727438); #140418=CIRCLE('',#347548,0.275429728561968); #140419=CIRCLE('',#347549,0.275429728561968); #140420=CIRCLE('',#347551,0.275429566248991); #140421=CIRCLE('',#347552,0.275429566248991); #140422=CIRCLE('',#347557,0.127000308727436); #140423=CIRCLE('',#347558,0.127000308727436); #140424=CIRCLE('',#347561,0.127000308727436); #140425=CIRCLE('',#347562,0.127000308727436); #140426=CIRCLE('',#347567,0.12700030872744); #140427=CIRCLE('',#347568,0.12700030872744); #140428=CIRCLE('',#347572,0.127000308727436); #140429=CIRCLE('',#347573,0.127000308727436); #140430=CIRCLE('',#347576,0.127000308727441); #140431=CIRCLE('',#347577,0.127000308727441); #140432=CIRCLE('',#347580,0.127000308727433); #140433=CIRCLE('',#347581,0.127000308727433); #140434=CIRCLE('',#347584,0.127000308727438); #140435=CIRCLE('',#347585,0.127000308727438); #140436=CIRCLE('',#347588,0.275430756167224); #140437=CIRCLE('',#347589,0.275430756167224); #140438=CIRCLE('',#347593,0.140000000000002); #140439=CIRCLE('',#347594,0.140000000000002); #140440=CIRCLE('',#347596,0.139999999999998); #140441=CIRCLE('',#347597,0.139999999999998); #140442=CIRCLE('',#347600,0.275850826114452); #140443=CIRCLE('',#347601,0.275850826114452); #140444=CIRCLE('',#347603,0.275429566248992); #140445=CIRCLE('',#347604,0.275429566248992); #140446=CIRCLE('',#347608,0.275430616157734); #140447=CIRCLE('',#347609,0.275430616157734); #140448=CIRCLE('',#347611,0.275430435747982); #140449=CIRCLE('',#347612,0.275430435747982); #140450=CIRCLE('',#347615,0.127000308727439); #140451=CIRCLE('',#347616,0.127000308727439); #140452=CIRCLE('',#347619,0.275430756167224); #140453=CIRCLE('',#347620,0.275430756167224); #140454=CIRCLE('',#347624,0.139999999999998); #140455=CIRCLE('',#347625,0.139999999999998); #140456=CIRCLE('',#347632,0.127000429438416); #140457=CIRCLE('',#347633,0.127000429438416); #140458=CIRCLE('',#347636,0.127073045682421); #140459=CIRCLE('',#347637,0.127073045682421); #140460=CIRCLE('',#347639,0.275008823028508); #140461=CIRCLE('',#347640,0.275008823028508); #140462=CIRCLE('',#347642,0.127073045682415); #140463=CIRCLE('',#347643,0.127073045682415); #140464=CIRCLE('',#347646,0.127000429438414); #140465=CIRCLE('',#347647,0.127000429438414); #140466=CIRCLE('',#347657,0.275084370745809); #140467=CIRCLE('',#347658,0.275084370745809); #140468=CIRCLE('',#347665,0.139999999999998); #140469=CIRCLE('',#347666,0.139999999999998); #140470=CIRCLE('',#347669,0.275429773854103); #140471=CIRCLE('',#347670,0.275429773854103); #140472=CIRCLE('',#347672,0.12691207986283); #140473=CIRCLE('',#347673,0.12691207986283); #140474=CIRCLE('',#347676,0.127000429438417); #140475=CIRCLE('',#347677,0.127000429438417); #140476=CIRCLE('',#347687,0.275006306563116); #140477=CIRCLE('',#347688,0.275006306563116); #140478=CIRCLE('',#347698,0.127000429438417); #140479=CIRCLE('',#347699,0.127000429438417); #140480=CIRCLE('',#347702,0.126926612717977); #140481=CIRCLE('',#347703,0.126926612717977); #140482=CIRCLE('',#347705,0.275430611325914); #140483=CIRCLE('',#347706,0.275430611325914); #140484=CIRCLE('',#347710,0.139999999999998); #140485=CIRCLE('',#347711,0.139999999999998); #140486=CIRCLE('',#347713,0.139999999999998); #140487=CIRCLE('',#347714,0.139999999999998); #140488=CIRCLE('',#347718,0.127000308727438); #140489=CIRCLE('',#347719,0.127000308727438); #140490=CIRCLE('',#347723,0.27542972856197); #140491=CIRCLE('',#347724,0.27542972856197); #140492=CIRCLE('',#347726,0.275429566248993); #140493=CIRCLE('',#347727,0.275429566248993); #140494=CIRCLE('',#347730,0.127000308727433); #140495=CIRCLE('',#347731,0.127000308727433); #140496=CIRCLE('',#347736,0.127000308727449); #140497=CIRCLE('',#347737,0.127000308727449); #140498=CIRCLE('',#347744,0.127000308727451); #140499=CIRCLE('',#347745,0.127000308727451); #140500=CIRCLE('',#347749,0.127000308727436); #140501=CIRCLE('',#347750,0.127000308727436); #140502=CIRCLE('',#347753,0.12700030872744); #140503=CIRCLE('',#347754,0.12700030872744); #140504=CIRCLE('',#347759,0.12700030872744); #140505=CIRCLE('',#347760,0.12700030872744); #140506=CIRCLE('',#347763,0.127000308727449); #140507=CIRCLE('',#347764,0.127000308727449); #140508=CIRCLE('',#347767,0.127000308727438); #140509=CIRCLE('',#347768,0.127000308727438); #140510=CIRCLE('',#347772,0.275429728561968); #140511=CIRCLE('',#347773,0.275429728561968); #140512=CIRCLE('',#347775,0.275429566248991); #140513=CIRCLE('',#347776,0.275429566248991); #140514=CIRCLE('',#347779,0.127000308727436); #140515=CIRCLE('',#347780,0.127000308727436); #140516=CIRCLE('',#347786,0.127000308727425); #140517=CIRCLE('',#347787,0.127000308727425); #140518=CIRCLE('',#347790,0.127000308727425); #140519=CIRCLE('',#347791,0.127000308727425); #140520=CIRCLE('',#347796,0.127000308727436); #140521=CIRCLE('',#347797,0.127000308727436); #140522=CIRCLE('',#347801,0.127001515838133); #140523=CIRCLE('',#347802,0.127001515838133); #140524=CIRCLE('',#347805,0.127001515838138); #140525=CIRCLE('',#347806,0.127001515838138); #140526=CIRCLE('',#347809,0.127001515838138); #140527=CIRCLE('',#347810,0.127001515838138); #140528=CIRCLE('',#347813,0.127001515838131); #140529=CIRCLE('',#347814,0.127001515838131); #140530=CIRCLE('',#347818,0.126758017197157); #140531=CIRCLE('',#347819,0.126758017197157); #140532=CIRCLE('',#347823,0.139999999999998); #140533=CIRCLE('',#347824,0.139999999999998); #140534=CIRCLE('',#347826,0.139999999999998); #140535=CIRCLE('',#347827,0.139999999999998); #140536=CIRCLE('',#347830,0.275850826114455); #140537=CIRCLE('',#347831,0.275850826114455); #140538=CIRCLE('',#347833,0.275429566248996); #140539=CIRCLE('',#347834,0.275429566248996); #140540=CIRCLE('',#347837,0.275429704872049); #140541=CIRCLE('',#347838,0.275429704872049); #140542=CIRCLE('',#347840,0.27542977774263); #140543=CIRCLE('',#347841,0.27542977774263); #140544=CIRCLE('',#347844,0.275430756167224); #140545=CIRCLE('',#347845,0.275430756167224); #140546=CIRCLE('',#347849,0.139999999999998); #140547=CIRCLE('',#347850,0.139999999999998); #140548=CIRCLE('',#347852,0.139999999999998); #140549=CIRCLE('',#347853,0.139999999999998); #140550=CIRCLE('',#347856,0.275850826114455); #140551=CIRCLE('',#347857,0.275850826114455); #140552=CIRCLE('',#347859,0.275429566248993); #140553=CIRCLE('',#347860,0.275429566248993); #140554=CIRCLE('',#347863,0.275429704872047); #140555=CIRCLE('',#347864,0.275429704872047); #140556=CIRCLE('',#347866,0.275429777742628); #140557=CIRCLE('',#347867,0.275429777742628); #140558=CIRCLE('',#347870,0.275430756167224); #140559=CIRCLE('',#347871,0.275430756167224); #140560=CIRCLE('',#347875,0.139999999999998); #140561=CIRCLE('',#347876,0.139999999999998); #140562=CIRCLE('',#347878,0.139999999999998); #140563=CIRCLE('',#347879,0.139999999999998); #140564=CIRCLE('',#347882,0.275850826114455); #140565=CIRCLE('',#347883,0.275850826114455); #140566=CIRCLE('',#347885,0.275429566248995); #140567=CIRCLE('',#347886,0.275429566248995); #140568=CIRCLE('',#347889,0.275429704872054); #140569=CIRCLE('',#347890,0.275429704872054); #140570=CIRCLE('',#347892,0.275429777742634); #140571=CIRCLE('',#347893,0.275429777742634); #140572=CIRCLE('',#347896,0.275430756167224); #140573=CIRCLE('',#347897,0.275430756167224); #140574=CIRCLE('',#347901,0.140000000000002); #140575=CIRCLE('',#347902,0.140000000000002); #140576=CIRCLE('',#347904,0.139999999999998); #140577=CIRCLE('',#347905,0.139999999999998); #140578=CIRCLE('',#347908,0.275429677195186); #140579=CIRCLE('',#347909,0.275429677195186); #140580=CIRCLE('',#347914,0.27542972856197); #140581=CIRCLE('',#347915,0.27542972856197); #140582=CIRCLE('',#347917,0.275429566248992); #140583=CIRCLE('',#347918,0.275429566248992); #140584=CIRCLE('',#347921,0.127000308727437); #140585=CIRCLE('',#347922,0.127000308727437); #140586=CIRCLE('',#347925,0.127000308727438); #140587=CIRCLE('',#347926,0.127000308727438); #140588=CIRCLE('',#347929,0.275429442438527); #140589=CIRCLE('',#347930,0.275429442438527); #140590=CIRCLE('',#347934,0.139999999999998); #140591=CIRCLE('',#347935,0.139999999999998); #140592=CIRCLE('',#347943,0.139999999999998); #140593=CIRCLE('',#347944,0.139999999999998); #140594=CIRCLE('',#347952,0.140000000000002); #140595=CIRCLE('',#347953,0.140000000000002); #140596=CIRCLE('',#347961,0.139999999999998); #140597=CIRCLE('',#347962,0.139999999999998); #140598=CIRCLE('',#347965,0.275429677195181); #140599=CIRCLE('',#347966,0.275429677195181); #140600=CIRCLE('',#347975,0.275429442438526); #140601=CIRCLE('',#347976,0.275429442438526); #140602=CIRCLE('',#347980,0.139999999999998); #140603=CIRCLE('',#347981,0.139999999999998); #140604=CIRCLE('',#347983,0.139999999999998); #140605=CIRCLE('',#347984,0.139999999999998); #140606=CIRCLE('',#347987,0.275429677195185); #140607=CIRCLE('',#347988,0.275429677195185); #140608=CIRCLE('',#347991,0.275429704872052); #140609=CIRCLE('',#347992,0.275429704872052); #140610=CIRCLE('',#347994,0.275429777742632); #140611=CIRCLE('',#347995,0.275429777742632); #140612=CIRCLE('',#347998,0.275429442438526); #140613=CIRCLE('',#347999,0.275429442438526); #140614=CIRCLE('',#348003,0.139999999999998); #140615=CIRCLE('',#348004,0.139999999999998); #140616=CIRCLE('',#348007,0.275429677195181); #140617=CIRCLE('',#348008,0.275429677195181); #140618=CIRCLE('',#348017,0.275429442438521); #140619=CIRCLE('',#348018,0.275429442438521); #140620=CIRCLE('',#348022,0.140000000000002); #140621=CIRCLE('',#348023,0.140000000000002); #140622=CIRCLE('',#348025,0.140000000000002); #140623=CIRCLE('',#348026,0.140000000000002); #140624=CIRCLE('',#348029,0.275429677195186); #140625=CIRCLE('',#348030,0.275429677195186); #140626=CIRCLE('',#348033,0.275429728561971); #140627=CIRCLE('',#348034,0.275429728561971); #140628=CIRCLE('',#348036,0.275429566248994); #140629=CIRCLE('',#348037,0.275429566248994); #140630=CIRCLE('',#348040,0.275429442438529); #140631=CIRCLE('',#348041,0.275429442438529); #140632=CIRCLE('',#348045,0.139999999999998); #140633=CIRCLE('',#348046,0.139999999999998); #140634=CIRCLE('',#348049,0.275429677195182); #140635=CIRCLE('',#348050,0.275429677195182); #140636=CIRCLE('',#348059,0.275429442438522); #140637=CIRCLE('',#348060,0.275429442438522); #140638=CIRCLE('',#348064,0.139999999999998); #140639=CIRCLE('',#348065,0.139999999999998); #140640=CIRCLE('',#348068,0.275429677195182); #140641=CIRCLE('',#348069,0.275429677195182); #140642=CIRCLE('',#348078,0.275429442438521); #140643=CIRCLE('',#348079,0.275429442438521); #140644=CIRCLE('',#348083,0.139999999999998); #140645=CIRCLE('',#348084,0.139999999999998); #140646=CIRCLE('',#348087,0.275430523299247); #140647=CIRCLE('',#348088,0.275430523299247); #140648=CIRCLE('',#348091,0.127001515838137); #140649=CIRCLE('',#348092,0.127001515838137); #140650=CIRCLE('',#348095,0.127001515838134); #140651=CIRCLE('',#348096,0.127001515838134); #140652=CIRCLE('',#348107,0.275430756167224); #140653=CIRCLE('',#348108,0.275430756167224); #140654=CIRCLE('',#348110,0.275850020106943); #140655=CIRCLE('',#348111,0.275850020106943); #140656=CIRCLE('',#348115,0.465); #140657=CIRCLE('',#348116,0.465); #140658=CIRCLE('',#348126,0.750581792116793); #140659=CIRCLE('',#348127,0.750581792116793); #140660=CIRCLE('',#348129,0.750581774250364); #140661=CIRCLE('',#348130,0.750581774250364); #140662=CIRCLE('',#348133,0.127000308727438); #140663=CIRCLE('',#348134,0.127000308727438); #140664=CIRCLE('',#348137,0.127000308727433); #140665=CIRCLE('',#348138,0.127000308727433); #140666=CIRCLE('',#348143,0.465); #140667=CIRCLE('',#348144,0.465); #140668=CIRCLE('',#348147,0.750904962541172); #140669=CIRCLE('',#348148,0.750904962541172); #140670=CIRCLE('',#348150,0.750905460124643); #140671=CIRCLE('',#348151,0.750905460124643); #140672=CIRCLE('',#348155,0.139999999999998); #140673=CIRCLE('',#348156,0.139999999999998); #140674=CIRCLE('',#348165,0.127000308727438); #140675=CIRCLE('',#348166,0.127000308727438); #140676=CIRCLE('',#348170,0.275429728561968); #140677=CIRCLE('',#348171,0.275429728561968); #140678=CIRCLE('',#348173,0.275429566248991); #140679=CIRCLE('',#348174,0.275429566248991); #140680=CIRCLE('',#348177,0.127000308727444); #140681=CIRCLE('',#348178,0.127000308727444); #140682=CIRCLE('',#348182,0.127000308727438); #140683=CIRCLE('',#348183,0.127000308727438); #140684=CIRCLE('',#348186,0.127000308727435); #140685=CIRCLE('',#348187,0.127000308727435); #140686=CIRCLE('',#348193,0.465); #140687=CIRCLE('',#348194,0.465); #140688=CIRCLE('',#348200,0.127000308727438); #140689=CIRCLE('',#348201,0.127000308727438); #140690=CIRCLE('',#348204,0.127000308727438); #140691=CIRCLE('',#348205,0.127000308727438); #140692=CIRCLE('',#348208,0.750581792116793); #140693=CIRCLE('',#348209,0.750581792116793); #140694=CIRCLE('',#348211,0.750581774250364); #140695=CIRCLE('',#348212,0.750581774250364); #140696=CIRCLE('',#348226,0.127000308727435); #140697=CIRCLE('',#348227,0.127000308727435); #140698=CIRCLE('',#348250,0.127000308727435); #140699=CIRCLE('',#348251,0.127000308727435); #140700=CIRCLE('',#348257,0.564999999999998); #140701=CIRCLE('',#348258,0.564999999999998); #140702=CIRCLE('',#348265,0.127000308727436); #140703=CIRCLE('',#348266,0.127000308727436); #140704=CIRCLE('',#348272,0.127000308727438); #140705=CIRCLE('',#348273,0.127000308727438); #140706=CIRCLE('',#348276,0.12700030872744); #140707=CIRCLE('',#348277,0.12700030872744); #140708=CIRCLE('',#348288,0.127000308727438); #140709=CIRCLE('',#348289,0.127000308727438); #140710=CIRCLE('',#348292,0.12700030872744); #140711=CIRCLE('',#348293,0.12700030872744); #140712=CIRCLE('',#348296,0.127000308727435); #140713=CIRCLE('',#348297,0.127000308727435); #140714=CIRCLE('',#348312,0.139999999999998); #140715=CIRCLE('',#348313,0.139999999999998); #140716=CIRCLE('',#348315,0.139999999999998); #140717=CIRCLE('',#348316,0.139999999999998); #140718=CIRCLE('',#348319,0.275429677195185); #140719=CIRCLE('',#348320,0.275429677195185); #140720=CIRCLE('',#348323,0.27542972856197); #140721=CIRCLE('',#348324,0.27542972856197); #140722=CIRCLE('',#348326,0.275429566248993); #140723=CIRCLE('',#348327,0.275429566248993); #140724=CIRCLE('',#348330,0.275429442438528); #140725=CIRCLE('',#348331,0.275429442438528); #140726=CIRCLE('',#348335,0.140000000000002); #140727=CIRCLE('',#348336,0.140000000000002); #140728=CIRCLE('',#348344,0.139999999999998); #140729=CIRCLE('',#348345,0.139999999999998); #140730=CIRCLE('',#348347,0.139999999999998); #140731=CIRCLE('',#348348,0.139999999999998); #140732=CIRCLE('',#348351,0.275430523299248); #140733=CIRCLE('',#348352,0.275430523299248); #140734=CIRCLE('',#348355,0.127000308727438); #140735=CIRCLE('',#348356,0.127000308727438); #140736=CIRCLE('',#348359,0.127000308727433); #140737=CIRCLE('',#348360,0.127000308727433); #140738=CIRCLE('',#348363,0.127000308727436); #140739=CIRCLE('',#348364,0.127000308727436); #140740=CIRCLE('',#348367,0.127000308727438); #140741=CIRCLE('',#348368,0.127000308727438); #140742=CIRCLE('',#348371,0.127000308727444); #140743=CIRCLE('',#348372,0.127000308727444); #140744=CIRCLE('',#348375,0.275430209658209); #140745=CIRCLE('',#348376,0.275430209658209); #140746=CIRCLE('',#348378,0.275430264558768); #140747=CIRCLE('',#348379,0.275430264558768); #140748=CIRCLE('',#348387,0.275430756167224); #140749=CIRCLE('',#348388,0.275430756167224); #140750=CIRCLE('',#348390,0.275850020106942); #140751=CIRCLE('',#348391,0.275850020106942); #140752=CIRCLE('',#348395,0.139999999999998); #140753=CIRCLE('',#348396,0.139999999999998); #140754=CIRCLE('',#348398,0.139999999999998); #140755=CIRCLE('',#348399,0.139999999999998); #140756=CIRCLE('',#348402,0.275430525995305); #140757=CIRCLE('',#348403,0.275430525995305); #140758=CIRCLE('',#348406,0.275430616157734); #140759=CIRCLE('',#348407,0.275430616157734); #140760=CIRCLE('',#348409,0.27543090603658); #140761=CIRCLE('',#348410,0.27543090603658); #140762=CIRCLE('',#348414,0.275850020106941); #140763=CIRCLE('',#348415,0.275850020106941); #140764=CIRCLE('',#348419,0.139999999999998); #140765=CIRCLE('',#348420,0.139999999999998); #140766=CIRCLE('',#348428,0.565000000000002); #140767=CIRCLE('',#348429,0.565000000000002); #140768=CIRCLE('',#348432,0.900857088405025); #140769=CIRCLE('',#348433,0.900857088405025); #140770=CIRCLE('',#348435,0.900856769723134); #140771=CIRCLE('',#348436,0.900856769723134); #140772=CIRCLE('',#348440,0.139999999999998); #140773=CIRCLE('',#348441,0.139999999999998); #140774=CIRCLE('',#348443,0.139999999999998); #140775=CIRCLE('',#348444,0.139999999999998); #140776=CIRCLE('',#348446,0.139999999999998); #140777=CIRCLE('',#348447,0.139999999999998); #140778=CIRCLE('',#348449,0.139999999999998); #140779=CIRCLE('',#348450,0.139999999999998); #140780=CIRCLE('',#348452,0.139999999999998); #140781=CIRCLE('',#348453,0.139999999999998); #140782=CIRCLE('',#348455,0.139999999999998); #140783=CIRCLE('',#348456,0.139999999999998); #140784=CIRCLE('',#348458,0.139999999999998); #140785=CIRCLE('',#348459,0.139999999999998); #140786=CIRCLE('',#348461,0.139999999999998); #140787=CIRCLE('',#348462,0.139999999999998); #140788=CIRCLE('',#348464,0.139999999999998); #140789=CIRCLE('',#348465,0.139999999999998); #140790=CIRCLE('',#348467,0.139999999999998); #140791=CIRCLE('',#348468,0.139999999999998); #140792=CIRCLE('',#348470,0.139999999999998); #140793=CIRCLE('',#348471,0.139999999999998); #140794=CIRCLE('',#348473,0.465); #140795=CIRCLE('',#348474,0.465); #140796=CIRCLE('',#348476,0.139999999999998); #140797=CIRCLE('',#348477,0.139999999999998); #140798=CIRCLE('',#348497,0.126999756401237); #140799=CIRCLE('',#348498,0.126999756401237); #140800=CIRCLE('',#348520,0.127000308727433); #140801=CIRCLE('',#348521,0.127000308727433); #140802=CIRCLE('',#348571,0.127000308727438); #140803=CIRCLE('',#348572,0.127000308727438); #140804=CIRCLE('',#348575,0.127000308727433); #140805=CIRCLE('',#348576,0.127000308727433); #140806=CIRCLE('',#348583,0.126735934237225); #140807=CIRCLE('',#348584,0.126735934237225); #140808=CIRCLE('',#348588,0.14); #140809=CIRCLE('',#348589,0.14); #140810=CIRCLE('',#348591,0.14); #140811=CIRCLE('',#348592,0.14); #140812=CIRCLE('',#348594,0.14); #140813=CIRCLE('',#348595,0.14); #140814=CIRCLE('',#348597,0.14); #140815=CIRCLE('',#348598,0.14); #140816=CIRCLE('',#348600,0.14); #140817=CIRCLE('',#348601,0.14); #140818=CIRCLE('',#348603,0.14); #140819=CIRCLE('',#348604,0.14); #140820=CIRCLE('',#348606,0.14); #140821=CIRCLE('',#348607,0.14); #140822=CIRCLE('',#348609,0.14); #140823=CIRCLE('',#348610,0.14); #140824=CIRCLE('',#348612,0.14); #140825=CIRCLE('',#348613,0.14); #140826=CIRCLE('',#348615,0.514999999999999); #140827=CIRCLE('',#348616,0.514999999999999); #140828=CIRCLE('',#348618,0.472999999999999); #140829=CIRCLE('',#348619,0.472999999999999); #140830=CIRCLE('',#348621,0.465); #140831=CIRCLE('',#348622,0.465); #140832=CIRCLE('',#348944,0.565); #140833=CIRCLE('',#348945,0.565); #140834=CIRCLE('',#348948,0.565); #140835=CIRCLE('',#348949,0.565); #140836=CIRCLE('',#349061,0.472999999999999); #140837=CIRCLE('',#349062,0.472999999999999); #140838=CIRCLE('',#349074,0.139999999999998); #140839=CIRCLE('',#349075,0.139999999999998); #140840=CIRCLE('',#349077,0.139999999999998); #140841=CIRCLE('',#349078,0.139999999999998); #140842=CIRCLE('',#349080,0.139999999999998); #140843=CIRCLE('',#349081,0.139999999999998); #140844=CIRCLE('',#349083,0.139999999999998); #140845=CIRCLE('',#349084,0.139999999999998); #140846=CIRCLE('',#349086,0.139999999999998); #140847=CIRCLE('',#349087,0.139999999999998); #140848=CIRCLE('',#349089,0.139999999999998); #140849=CIRCLE('',#349090,0.139999999999998); #140850=CIRCLE('',#349092,0.465); #140851=CIRCLE('',#349093,0.465); #140852=CIRCLE('',#349095,0.139999999999998); #140853=CIRCLE('',#349096,0.139999999999998); #140854=CIRCLE('',#349098,0.139999999999998); #140855=CIRCLE('',#349099,0.139999999999998); #140856=CIRCLE('',#349101,0.139999999999998); #140857=CIRCLE('',#349102,0.139999999999998); #140858=CIRCLE('',#349104,0.139999999999998); #140859=CIRCLE('',#349105,0.139999999999998); #140860=CIRCLE('',#349107,0.139999999999998); #140861=CIRCLE('',#349108,0.139999999999998); #140862=CIRCLE('',#349110,0.139999999999998); #140863=CIRCLE('',#349111,0.139999999999998); #140864=CIRCLE('',#349113,0.514999999999999); #140865=CIRCLE('',#349114,0.514999999999999); #140866=CIRCLE('',#349116,0.139999999999998); #140867=CIRCLE('',#349117,0.139999999999998); #140868=CIRCLE('',#349119,0.139999999999998); #140869=CIRCLE('',#349120,0.139999999999998); #140870=CIRCLE('',#349123,0.315); #140871=CIRCLE('',#349124,0.315); #140872=CIRCLE('',#349127,0.315); #140873=CIRCLE('',#349128,0.315); #140874=CIRCLE('',#349131,0.315); #140875=CIRCLE('',#349132,0.315); #140876=CIRCLE('',#349135,0.315); #140877=CIRCLE('',#349136,0.315); #140878=CIRCLE('',#349138,0.139999999999998); #140879=CIRCLE('',#349139,0.139999999999998); #140880=CIRCLE('',#349141,0.114999999999998); #140881=CIRCLE('',#349142,0.114999999999998); #140882=CIRCLE('',#349144,0.114999999999998); #140883=CIRCLE('',#349145,0.114999999999998); #140884=CIRCLE('',#349147,0.139999999999998); #140885=CIRCLE('',#349148,0.139999999999998); #140886=CIRCLE('',#349150,0.114999999999998); #140887=CIRCLE('',#349151,0.114999999999998); #140888=CIRCLE('',#349153,0.114999999999998); #140889=CIRCLE('',#349154,0.114999999999998); #140890=CIRCLE('',#349156,0.139999999999998); #140891=CIRCLE('',#349157,0.139999999999998); #140892=CIRCLE('',#349159,0.139999999999998); #140893=CIRCLE('',#349160,0.139999999999998); #140894=CIRCLE('',#349163,0.315); #140895=CIRCLE('',#349164,0.315); #140896=CIRCLE('',#349167,0.315); #140897=CIRCLE('',#349168,0.315); #140898=CIRCLE('',#349171,0.315); #140899=CIRCLE('',#349172,0.315); #140900=CIRCLE('',#349175,0.315); #140901=CIRCLE('',#349176,0.315); #140902=CIRCLE('',#349178,0.139999999999998); #140903=CIRCLE('',#349179,0.139999999999998); #140904=CIRCLE('',#349181,0.139999999999998); #140905=CIRCLE('',#349182,0.139999999999998); #140906=CIRCLE('',#349184,0.14); #140907=CIRCLE('',#349185,0.14); #140908=CIRCLE('',#349187,0.139999999999998); #140909=CIRCLE('',#349188,0.139999999999998); #140910=CIRCLE('',#349190,0.14); #140911=CIRCLE('',#349191,0.14); #140912=CIRCLE('',#349193,0.139999999999998); #140913=CIRCLE('',#349194,0.139999999999998); #140914=CIRCLE('',#349196,0.140000000000002); #140915=CIRCLE('',#349197,0.140000000000002); #140916=CIRCLE('',#349199,0.139999999999998); #140917=CIRCLE('',#349200,0.139999999999998); #140918=CIRCLE('',#349202,0.140000000000002); #140919=CIRCLE('',#349203,0.140000000000002); #140920=CIRCLE('',#349205,0.140000000000002); #140921=CIRCLE('',#349206,0.140000000000002); #140922=CIRCLE('',#349208,0.139999999999998); #140923=CIRCLE('',#349209,0.139999999999998); #140924=CIRCLE('',#349211,0.140000000000002); #140925=CIRCLE('',#349212,0.140000000000002); #140926=CIRCLE('',#349214,0.140000000000002); #140927=CIRCLE('',#349215,0.140000000000002); #140928=CIRCLE('',#349217,0.139999999999998); #140929=CIRCLE('',#349218,0.139999999999998); #140930=CIRCLE('',#349220,0.140000000000002); #140931=CIRCLE('',#349221,0.140000000000002); #140932=CIRCLE('',#349223,0.140000000000002); #140933=CIRCLE('',#349224,0.140000000000002); #140934=CIRCLE('',#349226,0.139999999999998); #140935=CIRCLE('',#349227,0.139999999999998); #140936=CIRCLE('',#349229,0.140000000000002); #140937=CIRCLE('',#349230,0.140000000000002); #140938=CIRCLE('',#349232,0.14); #140939=CIRCLE('',#349233,0.14); #140940=CIRCLE('',#349235,0.139999999999998); #140941=CIRCLE('',#349236,0.139999999999998); #140942=CIRCLE('',#349238,0.14); #140943=CIRCLE('',#349239,0.14); #140944=CIRCLE('',#349241,0.140000000000002); #140945=CIRCLE('',#349242,0.140000000000002); #140946=CIRCLE('',#349244,0.139999999999998); #140947=CIRCLE('',#349245,0.139999999999998); #140948=CIRCLE('',#349247,0.140000000000002); #140949=CIRCLE('',#349248,0.140000000000002); #140950=CIRCLE('',#349250,0.140000000000002); #140951=CIRCLE('',#349251,0.140000000000002); #140952=CIRCLE('',#349253,0.139999999999998); #140953=CIRCLE('',#349254,0.139999999999998); #140954=CIRCLE('',#349256,0.140000000000002); #140955=CIRCLE('',#349257,0.140000000000002); #140956=CIRCLE('',#349259,0.14); #140957=CIRCLE('',#349260,0.14); #140958=CIRCLE('',#349262,0.139999999999998); #140959=CIRCLE('',#349263,0.139999999999998); #140960=CIRCLE('',#349265,0.14); #140961=CIRCLE('',#349266,0.14); #140962=CIRCLE('',#349268,0.139999999999998); #140963=CIRCLE('',#349269,0.139999999999998); #140964=CIRCLE('',#349271,0.14); #140965=CIRCLE('',#349272,0.14); #140966=CIRCLE('',#349274,0.139999999999998); #140967=CIRCLE('',#349275,0.139999999999998); #140968=CIRCLE('',#349277,0.14); #140969=CIRCLE('',#349278,0.14); #140970=CIRCLE('',#349280,0.14); #140971=CIRCLE('',#349281,0.14); #140972=CIRCLE('',#349283,0.139999999999998); #140973=CIRCLE('',#349284,0.139999999999998); #140974=CIRCLE('',#349286,0.14); #140975=CIRCLE('',#349287,0.14); #140976=CIRCLE('',#349289,0.139999999999998); #140977=CIRCLE('',#349290,0.139999999999998); #140978=CIRCLE('',#349292,0.14); #140979=CIRCLE('',#349293,0.14); #140980=CIRCLE('',#349295,0.139999999999998); #140981=CIRCLE('',#349296,0.139999999999998); #140982=CIRCLE('',#349298,0.14); #140983=CIRCLE('',#349299,0.14); #140984=CIRCLE('',#349301,0.139999999999998); #140985=CIRCLE('',#349302,0.139999999999998); #140986=CIRCLE('',#349304,0.139999999999998); #140987=CIRCLE('',#349305,0.139999999999998); #140988=CIRCLE('',#349307,0.14); #140989=CIRCLE('',#349308,0.14); #140990=CIRCLE('',#349310,0.139999999999998); #140991=CIRCLE('',#349311,0.139999999999998); #140992=CIRCLE('',#349313,0.14); #140993=CIRCLE('',#349314,0.14); #140994=CIRCLE('',#349316,0.139999999999998); #140995=CIRCLE('',#349317,0.139999999999998); #140996=CIRCLE('',#349319,0.139999999999998); #140997=CIRCLE('',#349320,0.139999999999998); #140998=CIRCLE('',#349322,0.139999999999998); #140999=CIRCLE('',#349323,0.139999999999998); #141000=CIRCLE('',#349325,0.140000000000002); #141001=CIRCLE('',#349326,0.140000000000002); #141002=CIRCLE('',#349328,0.139999999999998); #141003=CIRCLE('',#349329,0.139999999999998); #141004=CIRCLE('',#349331,0.140000000000002); #141005=CIRCLE('',#349332,0.140000000000002); #141006=CIRCLE('',#349334,0.139999999999998); #141007=CIRCLE('',#349335,0.139999999999998); #141008=CIRCLE('',#349337,0.139999999999998); #141009=CIRCLE('',#349338,0.139999999999998); #141010=CIRCLE('',#349340,0.140000000000002); #141011=CIRCLE('',#349341,0.140000000000002); #141012=CIRCLE('',#349343,0.465); #141013=CIRCLE('',#349344,0.465); #141014=CIRCLE('',#349346,0.140000000000002); #141015=CIRCLE('',#349347,0.140000000000002); #141016=CIRCLE('',#349349,0.139999999999998); #141017=CIRCLE('',#349350,0.139999999999998); #141018=CIRCLE('',#349352,0.140000000000002); #141019=CIRCLE('',#349353,0.140000000000002); #141020=CIRCLE('',#349355,0.139999999999998); #141021=CIRCLE('',#349356,0.139999999999998); #141022=CIRCLE('',#349358,0.139999999999998); #141023=CIRCLE('',#349359,0.139999999999998); #141024=CIRCLE('',#349361,0.139999999999998); #141025=CIRCLE('',#349362,0.139999999999998); #141026=CIRCLE('',#349364,0.140000000000002); #141027=CIRCLE('',#349365,0.140000000000002); #141028=CIRCLE('',#349367,0.139999999999998); #141029=CIRCLE('',#349368,0.139999999999998); #141030=CIRCLE('',#349370,0.140000000000002); #141031=CIRCLE('',#349371,0.140000000000002); #141032=CIRCLE('',#349373,0.139999999999998); #141033=CIRCLE('',#349374,0.139999999999998); #141034=CIRCLE('',#349376,0.139999999999998); #141035=CIRCLE('',#349377,0.139999999999998); #141036=CIRCLE('',#349379,0.140000000000002); #141037=CIRCLE('',#349380,0.140000000000002); #141038=CIRCLE('',#349382,0.140000000000002); #141039=CIRCLE('',#349383,0.140000000000002); #141040=CIRCLE('',#349385,0.139999999999998); #141041=CIRCLE('',#349386,0.139999999999998); #141042=CIRCLE('',#349388,0.140000000000002); #141043=CIRCLE('',#349389,0.140000000000002); #141044=CIRCLE('',#349391,0.140000000000002); #141045=CIRCLE('',#349392,0.140000000000002); #141046=CIRCLE('',#349394,0.139999999999998); #141047=CIRCLE('',#349395,0.139999999999998); #141048=CIRCLE('',#349397,0.140000000000002); #141049=CIRCLE('',#349398,0.140000000000002); #141050=CIRCLE('',#349400,0.564999999999998); #141051=CIRCLE('',#349401,0.564999999999998); #141052=CIRCLE('',#349403,0.140000000000002); #141053=CIRCLE('',#349404,0.140000000000002); #141054=CIRCLE('',#349406,0.139999999999998); #141055=CIRCLE('',#349407,0.139999999999998); #141056=CIRCLE('',#349409,0.140000000000002); #141057=CIRCLE('',#349410,0.140000000000002); #141058=CIRCLE('',#349412,0.140000000000002); #141059=CIRCLE('',#349413,0.140000000000002); #141060=CIRCLE('',#349415,0.139999999999998); #141061=CIRCLE('',#349416,0.139999999999998); #141062=CIRCLE('',#349418,0.140000000000002); #141063=CIRCLE('',#349419,0.140000000000002); #141064=CIRCLE('',#349421,0.140000000000002); #141065=CIRCLE('',#349422,0.140000000000002); #141066=CIRCLE('',#349424,0.139999999999998); #141067=CIRCLE('',#349425,0.139999999999998); #141068=CIRCLE('',#349427,0.140000000000002); #141069=CIRCLE('',#349428,0.140000000000002); #141070=CIRCLE('',#349430,0.140000000000002); #141071=CIRCLE('',#349431,0.140000000000002); #141072=CIRCLE('',#349433,0.139999999999998); #141073=CIRCLE('',#349434,0.139999999999998); #141074=CIRCLE('',#349436,0.140000000000002); #141075=CIRCLE('',#349437,0.140000000000002); #141076=CIRCLE('',#349439,0.14); #141077=CIRCLE('',#349440,0.14); #141078=CIRCLE('',#349442,0.139999999999998); #141079=CIRCLE('',#349443,0.139999999999998); #141080=CIRCLE('',#349445,0.14); #141081=CIRCLE('',#349446,0.14); #141082=CIRCLE('',#349448,0.140000000000002); #141083=CIRCLE('',#349449,0.140000000000002); #141084=CIRCLE('',#349451,0.139999999999998); #141085=CIRCLE('',#349452,0.139999999999998); #141086=CIRCLE('',#349454,0.14); #141087=CIRCLE('',#349455,0.14); #141088=CIRCLE('',#349457,0.14); #141089=CIRCLE('',#349458,0.14); #141090=CIRCLE('',#349460,0.139999999999998); #141091=CIRCLE('',#349461,0.139999999999998); #141092=CIRCLE('',#349463,0.14); #141093=CIRCLE('',#349464,0.14); #141094=CIRCLE('',#349466,0.14); #141095=CIRCLE('',#349467,0.14); #141096=CIRCLE('',#349469,0.139999999999998); #141097=CIRCLE('',#349470,0.139999999999998); #141098=CIRCLE('',#349472,0.14); #141099=CIRCLE('',#349473,0.14); #141100=CIRCLE('',#350977,0.565); #141101=CIRCLE('',#350978,0.565); #141102=CIRCLE('',#350981,0.565); #141103=CIRCLE('',#350982,0.565); #141104=CIRCLE('',#351132,0.472999999999999); #141105=CIRCLE('',#351133,0.472999999999999); #141106=CIRCLE('',#351293,0.140000000000002); #141107=CIRCLE('',#351294,0.140000000000002); #141108=CIRCLE('',#351296,0.140000000000002); #141109=CIRCLE('',#351297,0.140000000000002); #141110=CIRCLE('',#351299,0.140000000000002); #141111=CIRCLE('',#351300,0.140000000000002); #141112=CIRCLE('',#351302,0.140000000000002); #141113=CIRCLE('',#351303,0.140000000000002); #141114=CIRCLE('',#351305,0.140000000000002); #141115=CIRCLE('',#351306,0.140000000000002); #141116=CIRCLE('',#351308,0.140000000000002); #141117=CIRCLE('',#351309,0.140000000000002); #141118=CIRCLE('',#351311,0.140000000000002); #141119=CIRCLE('',#351312,0.140000000000002); #141120=CIRCLE('',#351314,0.140000000000002); #141121=CIRCLE('',#351315,0.140000000000002); #141122=CIRCLE('',#351317,0.140000000000002); #141123=CIRCLE('',#351318,0.140000000000002); #141124=CIRCLE('',#351320,0.140000000000002); #141125=CIRCLE('',#351321,0.140000000000002); #141126=CIRCLE('',#351323,0.140000000000002); #141127=CIRCLE('',#351324,0.140000000000002); #141128=CIRCLE('',#351326,0.140000000000002); #141129=CIRCLE('',#351327,0.140000000000002); #141130=CIRCLE('',#351329,0.140000000000002); #141131=CIRCLE('',#351330,0.140000000000002); #141132=CIRCLE('',#351332,0.140000000000002); #141133=CIRCLE('',#351333,0.140000000000002); #141134=CIRCLE('',#351335,0.140000000000002); #141135=CIRCLE('',#351336,0.140000000000002); #141136=CIRCLE('',#351338,0.140000000000002); #141137=CIRCLE('',#351339,0.140000000000002); #141138=CIRCLE('',#351417,0.139999999999998); #141139=CIRCLE('',#351418,0.139999999999998); #141140=CIRCLE('',#351420,0.139999999999998); #141141=CIRCLE('',#351421,0.139999999999998); #141142=CIRCLE('',#351423,0.139999999999998); #141143=CIRCLE('',#351424,0.139999999999998); #141144=CIRCLE('',#351426,0.139999999999998); #141145=CIRCLE('',#351427,0.139999999999998); #141146=CIRCLE('',#351429,0.139999999999998); #141147=CIRCLE('',#351430,0.139999999999998); #141148=CIRCLE('',#351432,0.139999999999998); #141149=CIRCLE('',#351433,0.139999999999998); #141150=CIRCLE('',#351435,0.139999999999998); #141151=CIRCLE('',#351436,0.139999999999998); #141152=CIRCLE('',#351438,0.139999999999998); #141153=CIRCLE('',#351439,0.139999999999998); #141154=CIRCLE('',#351441,0.139999999999998); #141155=CIRCLE('',#351442,0.139999999999998); #141156=CIRCLE('',#351444,0.139999999999998); #141157=CIRCLE('',#351445,0.139999999999998); #141158=CIRCLE('',#351447,0.139999999999998); #141159=CIRCLE('',#351448,0.139999999999998); #141160=CIRCLE('',#351450,0.139999999999998); #141161=CIRCLE('',#351451,0.139999999999998); #141162=CIRCLE('',#351453,0.139999999999998); #141163=CIRCLE('',#351454,0.139999999999998); #141164=CIRCLE('',#351456,0.139999999999998); #141165=CIRCLE('',#351457,0.139999999999998); #141166=CIRCLE('',#351459,0.139999999999998); #141167=CIRCLE('',#351460,0.139999999999998); #141168=CIRCLE('',#351462,0.139999999999998); #141169=CIRCLE('',#351463,0.139999999999998); #141170=CIRCLE('',#351537,0.14); #141171=CIRCLE('',#351538,0.14); #141172=CIRCLE('',#351540,0.14); #141173=CIRCLE('',#351541,0.14); #141174=CIRCLE('',#351543,0.14); #141175=CIRCLE('',#351544,0.14); #141176=CIRCLE('',#351546,0.14); #141177=CIRCLE('',#351547,0.14); #141178=CIRCLE('',#351549,0.14); #141179=CIRCLE('',#351550,0.14); #141180=CIRCLE('',#351552,0.14); #141181=CIRCLE('',#351553,0.14); #141182=CIRCLE('',#351555,0.14); #141183=CIRCLE('',#351556,0.14); #141184=CIRCLE('',#351558,0.14); #141185=CIRCLE('',#351559,0.14); #141186=CIRCLE('',#351561,0.139999999999998); #141187=CIRCLE('',#351562,0.139999999999998); #141188=CIRCLE('',#351564,0.139999999999998); #141189=CIRCLE('',#351565,0.139999999999998); #141190=CIRCLE('',#351567,0.14); #141191=CIRCLE('',#351568,0.14); #141192=CIRCLE('',#351570,0.14); #141193=CIRCLE('',#351571,0.14); #141194=CIRCLE('',#351573,0.14); #141195=CIRCLE('',#351574,0.14); #141196=CIRCLE('',#351576,0.14); #141197=CIRCLE('',#351577,0.14); #141198=CIRCLE('',#351579,0.139999999999998); #141199=CIRCLE('',#351580,0.139999999999998); #141200=CIRCLE('',#351582,0.139999999999998); #141201=CIRCLE('',#351583,0.139999999999998); #141202=CIRCLE('',#351585,0.139999999999998); #141203=CIRCLE('',#351586,0.139999999999998); #141204=CIRCLE('',#351588,0.139999999999998); #141205=CIRCLE('',#351589,0.139999999999998); #141206=CIRCLE('',#351591,0.14); #141207=CIRCLE('',#351592,0.14); #141208=CIRCLE('',#351594,0.14); #141209=CIRCLE('',#351595,0.14); #141210=CIRCLE('',#351597,0.14); #141211=CIRCLE('',#351598,0.14); #141212=CIRCLE('',#351600,0.139999999999998); #141213=CIRCLE('',#351601,0.139999999999998); #141214=CIRCLE('',#351603,0.139999999999998); #141215=CIRCLE('',#351604,0.139999999999998); #141216=CIRCLE('',#351606,0.139999999999998); #141217=CIRCLE('',#351607,0.139999999999998); #141218=CIRCLE('',#351609,0.139999999999998); #141219=CIRCLE('',#351610,0.139999999999998); #141220=CIRCLE('',#351612,0.139999999999998); #141221=CIRCLE('',#351613,0.139999999999998); #141222=CIRCLE('',#351615,0.139999999999998); #141223=CIRCLE('',#351616,0.139999999999998); #141224=CIRCLE('',#351618,0.139999999999998); #141225=CIRCLE('',#351619,0.139999999999998); #141226=CIRCLE('',#351621,0.139999999999998); #141227=CIRCLE('',#351622,0.139999999999998); #141228=CIRCLE('',#351624,0.139999999999998); #141229=CIRCLE('',#351625,0.139999999999998); #141230=CIRCLE('',#351674,0.139999999999998); #141231=CIRCLE('',#351675,0.139999999999998); #141232=CIRCLE('',#351677,0.139999999999998); #141233=CIRCLE('',#351678,0.139999999999998); #141234=CIRCLE('',#351681,0.275429677195186); #141235=CIRCLE('',#351682,0.275429677195186); #141236=CIRCLE('',#351685,0.27542972856197); #141237=CIRCLE('',#351686,0.27542972856197); #141238=CIRCLE('',#351688,0.275429566248992); #141239=CIRCLE('',#351689,0.275429566248992); #141240=CIRCLE('',#351692,0.275429442438528); #141241=CIRCLE('',#351693,0.275429442438528); #141242=CIRCLE('',#351697,0.140000000000002); #141243=CIRCLE('',#351698,0.140000000000002); #141244=CIRCLE('',#351700,0.140000000000002); #141245=CIRCLE('',#351701,0.140000000000002); #141246=CIRCLE('',#351703,0.140000000000002); #141247=CIRCLE('',#351704,0.140000000000002); #141248=CIRCLE('',#351706,0.140000000000002); #141249=CIRCLE('',#351707,0.140000000000002); #141250=CIRCLE('',#351709,0.140000000000002); #141251=CIRCLE('',#351710,0.140000000000002); #141252=CIRCLE('',#351712,0.140000000000002); #141253=CIRCLE('',#351713,0.140000000000002); #141254=CIRCLE('',#351715,0.140000000000002); #141255=CIRCLE('',#351716,0.140000000000002); #141256=CIRCLE('',#351718,0.140000000000002); #141257=CIRCLE('',#351719,0.140000000000002); #141258=CIRCLE('',#351721,0.140000000000002); #141259=CIRCLE('',#351722,0.140000000000002); #141260=CIRCLE('',#351724,0.140000000000002); #141261=CIRCLE('',#351725,0.140000000000002); #141262=CIRCLE('',#351727,0.140000000000002); #141263=CIRCLE('',#351728,0.140000000000002); #141264=CIRCLE('',#351730,0.140000000000002); #141265=CIRCLE('',#351731,0.140000000000002); #141266=CIRCLE('',#351733,0.140000000000002); #141267=CIRCLE('',#351734,0.140000000000002); #141268=CIRCLE('',#351736,0.140000000000002); #141269=CIRCLE('',#351737,0.140000000000002); #141270=CIRCLE('',#351739,0.140000000000002); #141271=CIRCLE('',#351740,0.140000000000002); #141272=CIRCLE('',#351742,0.140000000000002); #141273=CIRCLE('',#351743,0.140000000000002); #141274=CIRCLE('',#351745,0.140000000000002); #141275=CIRCLE('',#351746,0.140000000000002); #141276=CIRCLE('',#351748,0.140000000000002); #141277=CIRCLE('',#351749,0.140000000000002); #141278=CIRCLE('',#351751,0.140000000000002); #141279=CIRCLE('',#351752,0.140000000000002); #141280=CIRCLE('',#351754,0.140000000000002); #141281=CIRCLE('',#351755,0.140000000000002); #141282=CIRCLE('',#351757,0.140000000000002); #141283=CIRCLE('',#351758,0.140000000000002); #141284=CIRCLE('',#351760,0.140000000000002); #141285=CIRCLE('',#351761,0.140000000000002); #141286=CIRCLE('',#351763,0.140000000000002); #141287=CIRCLE('',#351764,0.140000000000002); #141288=CIRCLE('',#351766,0.140000000000002); #141289=CIRCLE('',#351767,0.140000000000002); #141290=CIRCLE('',#351769,0.140000000000002); #141291=CIRCLE('',#351770,0.140000000000002); #141292=CIRCLE('',#351772,0.140000000000002); #141293=CIRCLE('',#351773,0.140000000000002); #141294=CIRCLE('',#351775,0.140000000000002); #141295=CIRCLE('',#351776,0.140000000000002); #141296=CIRCLE('',#351778,0.140000000000002); #141297=CIRCLE('',#351779,0.140000000000002); #141298=CIRCLE('',#351781,0.140000000000002); #141299=CIRCLE('',#351782,0.140000000000002); #141300=CIRCLE('',#351784,0.140000000000002); #141301=CIRCLE('',#351785,0.140000000000002); #141302=CIRCLE('',#351834,0.140000000000002); #141303=CIRCLE('',#351835,0.140000000000002); #141304=CIRCLE('',#351837,0.140000000000002); #141305=CIRCLE('',#351838,0.140000000000002); #141306=CIRCLE('',#351841,0.275429677195186); #141307=CIRCLE('',#351842,0.275429677195186); #141308=CIRCLE('',#351845,0.27542972856197); #141309=CIRCLE('',#351846,0.27542972856197); #141310=CIRCLE('',#351848,0.275429566248994); #141311=CIRCLE('',#351849,0.275429566248994); #141312=CIRCLE('',#351852,0.275429442438528); #141313=CIRCLE('',#351853,0.275429442438528); #141314=CIRCLE('',#351857,0.465); #141315=CIRCLE('',#351858,0.465); #141316=CIRCLE('',#351860,0.472999999999999); #141317=CIRCLE('',#351861,0.472999999999999); #141318=CIRCLE('',#351966,0.139999999999998); #141319=CIRCLE('',#351967,0.139999999999998); #141320=CIRCLE('',#351970,0.27585023155909); #141321=CIRCLE('',#351971,0.27585023155909); #141322=CIRCLE('',#351973,0.275850020106942); #141323=CIRCLE('',#351974,0.275850020106942); #141324=CIRCLE('',#351978,0.139999999999998); #141325=CIRCLE('',#351979,0.139999999999998); #141326=CIRCLE('',#351981,0.139999999999998); #141327=CIRCLE('',#351982,0.139999999999998); #141328=CIRCLE('',#351984,0.139999999999998); #141329=CIRCLE('',#351985,0.139999999999998); #141330=CIRCLE('',#351987,0.114999999999998); #141331=CIRCLE('',#351988,0.114999999999998); #141332=CIRCLE('',#351990,0.114999999999998); #141333=CIRCLE('',#351991,0.114999999999998); #141334=CIRCLE('',#351993,0.114999999999998); #141335=CIRCLE('',#351994,0.114999999999998); #141336=CIRCLE('',#351996,0.114999999999998); #141337=CIRCLE('',#351997,0.114999999999998); #141338=CIRCLE('',#351999,0.139999999999998); #141339=CIRCLE('',#352000,0.139999999999998); #141340=CIRCLE('',#352002,0.139999999999998); #141341=CIRCLE('',#352003,0.139999999999998); #141342=CIRCLE('',#352005,0.139999999999998); #141343=CIRCLE('',#352006,0.139999999999998); #141344=CIRCLE('',#352008,0.139999999999998); #141345=CIRCLE('',#352009,0.139999999999998); #141346=CIRCLE('',#352011,0.139999999999998); #141347=CIRCLE('',#352012,0.139999999999998); #141348=CIRCLE('',#352014,0.139999999999998); #141349=CIRCLE('',#352015,0.139999999999998); #141350=CIRCLE('',#352017,0.139999999999998); #141351=CIRCLE('',#352018,0.139999999999998); #141352=CIRCLE('',#352020,0.139999999999998); #141353=CIRCLE('',#352021,0.139999999999998); #141354=CIRCLE('',#352192,0.140000000000002); #141355=CIRCLE('',#352193,0.140000000000002); #141356=CIRCLE('',#352195,0.139999999999998); #141357=CIRCLE('',#352196,0.139999999999998); #141358=CIRCLE('',#352199,0.275430525995306); #141359=CIRCLE('',#352200,0.275430525995306); #141360=CIRCLE('',#352204,0.127000308727438); #141361=CIRCLE('',#352205,0.127000308727438); #141362=CIRCLE('',#352209,0.12700030872744); #141363=CIRCLE('',#352210,0.12700030872744); #141364=CIRCLE('',#352213,0.12700030872744); #141365=CIRCLE('',#352214,0.12700030872744); #141366=CIRCLE('',#352219,0.12700030872744); #141367=CIRCLE('',#352220,0.12700030872744); #141368=CIRCLE('',#352223,0.127000308727436); #141369=CIRCLE('',#352224,0.127000308727436); #141370=CIRCLE('',#352228,0.127000308727438); #141371=CIRCLE('',#352229,0.127000308727438); #141372=CIRCLE('',#352232,0.275429728561968); #141373=CIRCLE('',#352233,0.275429728561968); #141374=CIRCLE('',#352235,0.275429566248991); #141375=CIRCLE('',#352236,0.275429566248991); #141376=CIRCLE('',#352240,0.127000308727438); #141377=CIRCLE('',#352241,0.127000308727438); #141378=CIRCLE('',#352246,0.12700030872744); #141379=CIRCLE('',#352247,0.12700030872744); #141380=CIRCLE('',#352250,0.12700030872744); #141381=CIRCLE('',#352251,0.12700030872744); #141382=CIRCLE('',#352256,0.127000308727447); #141383=CIRCLE('',#352257,0.127000308727447); #141384=CIRCLE('',#352261,0.12700030872744); #141385=CIRCLE('',#352262,0.12700030872744); #141386=CIRCLE('',#352266,0.275850020106941); #141387=CIRCLE('',#352267,0.275850020106941); #141388=CIRCLE('',#352271,0.139999999999998); #141389=CIRCLE('',#352272,0.139999999999998); #141390=CIRCLE('',#352275,0.275850231559089); #141391=CIRCLE('',#352276,0.275850231559089); #141392=CIRCLE('',#352278,0.275850020106942); #141393=CIRCLE('',#352279,0.275850020106942); #141394=CIRCLE('',#352283,0.140000000000002); #141395=CIRCLE('',#352284,0.140000000000002); #141396=CIRCLE('',#352286,0.140000000000002); #141397=CIRCLE('',#352287,0.140000000000002); #141398=CIRCLE('',#352289,0.465); #141399=CIRCLE('',#352290,0.465); #141400=CIRCLE('',#352292,0.140000000000002); #141401=CIRCLE('',#352293,0.140000000000002); #141402=CIRCLE('',#352295,0.140000000000002); #141403=CIRCLE('',#352296,0.140000000000002); #141404=CIRCLE('',#352298,0.140000000000002); #141405=CIRCLE('',#352299,0.140000000000002); #141406=CIRCLE('',#352301,0.140000000000002); #141407=CIRCLE('',#352302,0.140000000000002); #141408=CIRCLE('',#352304,0.140000000000002); #141409=CIRCLE('',#352305,0.140000000000002); #141410=CIRCLE('',#352307,0.140000000000002); #141411=CIRCLE('',#352308,0.140000000000002); #141412=CIRCLE('',#352310,0.140000000000002); #141413=CIRCLE('',#352311,0.140000000000002); #141414=CIRCLE('',#352339,0.564999999999998); #141415=CIRCLE('',#352340,0.564999999999998); #141416=CIRCLE('',#352343,0.900857088405025); #141417=CIRCLE('',#352344,0.900857088405025); #141418=CIRCLE('',#352346,0.900856769723134); #141419=CIRCLE('',#352347,0.900856769723134); #141420=CIRCLE('',#352351,0.565000000000002); #141421=CIRCLE('',#352352,0.565000000000002); #141422=CIRCLE('',#352355,0.900857088405025); #141423=CIRCLE('',#352356,0.900857088405025); #141424=CIRCLE('',#352358,0.900856769723134); #141425=CIRCLE('',#352359,0.900856769723134); #141426=CIRCLE('',#352363,0.465); #141427=CIRCLE('',#352364,0.465); #141428=CIRCLE('',#352367,0.750904962541172); #141429=CIRCLE('',#352368,0.750904962541172); #141430=CIRCLE('',#352370,0.750905460124644); #141431=CIRCLE('',#352371,0.750905460124644); #141432=CIRCLE('',#352375,0.472999999999999); #141433=CIRCLE('',#352376,0.472999999999999); #141434=CIRCLE('',#352388,0.465); #141435=CIRCLE('',#352389,0.465); #141436=CIRCLE('',#352392,0.750904962541172); #141437=CIRCLE('',#352393,0.750904962541172); #141438=CIRCLE('',#352395,0.750905460124644); #141439=CIRCLE('',#352396,0.750905460124644); #141440=CIRCLE('',#352400,0.514999999999999); #141441=CIRCLE('',#352401,0.514999999999999); #141442=CIRCLE('',#352409,0.564999999999998); #141443=CIRCLE('',#352410,0.564999999999998); #141444=CIRCLE('',#352413,0.900857088405025); #141445=CIRCLE('',#352414,0.900857088405025); #141446=CIRCLE('',#352416,0.900856769723134); #141447=CIRCLE('',#352417,0.900856769723134); #141448=CIRCLE('',#352422,0.315); #141449=CIRCLE('',#352423,0.315); #141450=CIRCLE('',#352426,0.315); #141451=CIRCLE('',#352427,0.315); #141452=CIRCLE('',#352487,0.465); #141453=CIRCLE('',#352488,0.465); #141454=CIRCLE('',#352491,0.750904962541171); #141455=CIRCLE('',#352492,0.750904962541171); #141456=CIRCLE('',#352494,0.750905460124643); #141457=CIRCLE('',#352495,0.750905460124643); #141458=CIRCLE('',#352500,0.315); #141459=CIRCLE('',#352501,0.315); #141460=CIRCLE('',#352504,0.315); #141461=CIRCLE('',#352505,0.315); #141462=CIRCLE('',#352566,0.565); #141463=CIRCLE('',#352567,0.565); #141464=CIRCLE('',#352570,0.565); #141465=CIRCLE('',#352571,0.565); #141466=CIRCLE('',#352648,0.315); #141467=CIRCLE('',#352649,0.315); #141468=CIRCLE('',#352652,0.315); #141469=CIRCLE('',#352653,0.315); #141470=CIRCLE('',#352713,0.514999999999999); #141471=CIRCLE('',#352714,0.514999999999999); #141472=CIRCLE('',#352717,0.825995597015952); #141473=CIRCLE('',#352718,0.825995597015952); #141474=CIRCLE('',#352720,0.825996336030615); #141475=CIRCLE('',#352721,0.825996336030615); #141476=CIRCLE('',#352726,0.315); #141477=CIRCLE('',#352727,0.315); #141478=CIRCLE('',#352730,0.315); #141479=CIRCLE('',#352731,0.315); #141480=CIRCLE('',#352791,0.472999999999999); #141481=CIRCLE('',#352792,0.472999999999999); #141482=CIRCLE('',#352804,0.465); #141483=CIRCLE('',#352805,0.465); #141484=CIRCLE('',#352808,0.750904962541172); #141485=CIRCLE('',#352809,0.750904962541172); #141486=CIRCLE('',#352811,0.750905460124644); #141487=CIRCLE('',#352812,0.750905460124644); #141488=CIRCLE('',#352817,0.565); #141489=CIRCLE('',#352818,0.565); #141490=CIRCLE('',#352821,0.565); #141491=CIRCLE('',#352822,0.565); #141492=CIRCLE('',#352898,0.472999999999999); #141493=CIRCLE('',#352899,0.472999999999999); #141494=CIRCLE('',#352911,0.465); #141495=CIRCLE('',#352912,0.465); #141496=CIRCLE('',#352915,0.750904962541172); #141497=CIRCLE('',#352916,0.750904962541172); #141498=CIRCLE('',#352918,0.750905460124644); #141499=CIRCLE('',#352919,0.750905460124644); #141500=CIRCLE('',#352923,0.465); #141501=CIRCLE('',#352924,0.465); #141502=CIRCLE('',#352927,0.750904962541172); #141503=CIRCLE('',#352928,0.750904962541172); #141504=CIRCLE('',#352930,0.750905460124643); #141505=CIRCLE('',#352931,0.750905460124643); #141506=CIRCLE('',#352935,0.465); #141507=CIRCLE('',#352936,0.465); #141508=CIRCLE('',#352939,0.750904962541172); #141509=CIRCLE('',#352940,0.750904962541172); #141510=CIRCLE('',#352942,0.750905460124643); #141511=CIRCLE('',#352943,0.750905460124643); #141512=CIRCLE('',#352947,0.564999999999998); #141513=CIRCLE('',#352948,0.564999999999998); #141514=CIRCLE('',#352956,0.472999999999999); #141515=CIRCLE('',#352957,0.472999999999999); #141516=CIRCLE('',#352969,0.465); #141517=CIRCLE('',#352970,0.465); #141518=CIRCLE('',#352973,0.750904962541172); #141519=CIRCLE('',#352974,0.750904962541172); #141520=CIRCLE('',#352976,0.750905460124643); #141521=CIRCLE('',#352977,0.750905460124643); #141522=CIRCLE('',#352981,0.465); #141523=CIRCLE('',#352982,0.465); #141524=CIRCLE('',#352985,0.750904962541172); #141525=CIRCLE('',#352986,0.750904962541172); #141526=CIRCLE('',#352988,0.750905460124643); #141527=CIRCLE('',#352989,0.750905460124643); #141528=CIRCLE('',#353131,0.114999999999998); #141529=CIRCLE('',#353132,0.114999999999998); #141530=CIRCLE('',#353134,0.114999999999998); #141531=CIRCLE('',#353135,0.114999999999998); #141532=CIRCLE('',#353191,0.114999999999998); #141533=CIRCLE('',#353192,0.114999999999998); #141534=CIRCLE('',#353194,0.114999999999998); #141535=CIRCLE('',#353195,0.114999999999998); #141536=CIRCLE('',#353251,0.140000000000002); #141537=CIRCLE('',#353252,0.140000000000002); #141538=CIRCLE('',#353284,0.140000000000002); #141539=CIRCLE('',#353285,0.140000000000002); #141540=CIRCLE('',#353287,0.140000000000002); #141541=CIRCLE('',#353288,0.140000000000002); #141542=CIRCLE('',#353290,0.140000000000002); #141543=CIRCLE('',#353291,0.140000000000002); #141544=CIRCLE('',#353293,0.140000000000002); #141545=CIRCLE('',#353294,0.140000000000002); #141546=CIRCLE('',#353296,0.140000000000002); #141547=CIRCLE('',#353297,0.140000000000002); #141548=CIRCLE('',#353299,0.140000000000002); #141549=CIRCLE('',#353300,0.140000000000002); #141550=CIRCLE('',#353302,0.140000000000002); #141551=CIRCLE('',#353303,0.140000000000002); #141552=CIRCLE('',#353305,0.140000000000002); #141553=CIRCLE('',#353306,0.140000000000002); #141554=CIRCLE('',#353308,0.140000000000002); #141555=CIRCLE('',#353309,0.140000000000002); #141556=CIRCLE('',#353311,0.140000000000002); #141557=CIRCLE('',#353312,0.140000000000002); #141558=CIRCLE('',#353314,0.140000000000002); #141559=CIRCLE('',#353315,0.140000000000002); #141560=CIRCLE('',#353317,0.140000000000002); #141561=CIRCLE('',#353318,0.140000000000002); #141562=CIRCLE('',#353320,0.140000000000002); #141563=CIRCLE('',#353321,0.140000000000002); #141564=CIRCLE('',#353323,0.140000000000002); #141565=CIRCLE('',#353324,0.140000000000002); #141566=CIRCLE('',#353326,0.140000000000002); #141567=CIRCLE('',#353327,0.140000000000002); #141568=CIRCLE('',#353353,0.139999999999998); #141569=CIRCLE('',#353354,0.139999999999998); #141570=CIRCLE('',#353386,0.139999999999998); #141571=CIRCLE('',#353387,0.139999999999998); #141572=CIRCLE('',#353389,0.139999999999998); #141573=CIRCLE('',#353390,0.139999999999998); #141574=CIRCLE('',#353392,0.139999999999998); #141575=CIRCLE('',#353393,0.139999999999998); #141576=CIRCLE('',#353395,0.139999999999998); #141577=CIRCLE('',#353396,0.139999999999998); #141578=CIRCLE('',#353398,0.139999999999998); #141579=CIRCLE('',#353399,0.139999999999998); #141580=CIRCLE('',#353401,0.139999999999998); #141581=CIRCLE('',#353402,0.139999999999998); #141582=CIRCLE('',#353404,0.139999999999998); #141583=CIRCLE('',#353405,0.139999999999998); #141584=CIRCLE('',#353407,0.139999999999998); #141585=CIRCLE('',#353408,0.139999999999998); #141586=CIRCLE('',#353410,0.139999999999998); #141587=CIRCLE('',#353411,0.139999999999998); #141588=CIRCLE('',#353413,0.139999999999998); #141589=CIRCLE('',#353414,0.139999999999998); #141590=CIRCLE('',#353416,0.139999999999998); #141591=CIRCLE('',#353417,0.139999999999998); #141592=CIRCLE('',#353419,0.139999999999998); #141593=CIRCLE('',#353420,0.139999999999998); #141594=CIRCLE('',#353422,0.139999999999998); #141595=CIRCLE('',#353423,0.139999999999998); #141596=CIRCLE('',#353425,0.139999999999998); #141597=CIRCLE('',#353426,0.139999999999998); #141598=CIRCLE('',#353428,0.139999999999998); #141599=CIRCLE('',#353429,0.139999999999998); #141600=CIRCLE('',#353455,0.139999999999998); #141601=CIRCLE('',#353456,0.139999999999998); #141602=CIRCLE('',#353464,0.139999999999998); #141603=CIRCLE('',#353465,0.139999999999998); #141604=CIRCLE('',#353473,0.140000000000002); #141605=CIRCLE('',#353474,0.140000000000002); #141606=CIRCLE('',#353482,0.139999999999998); #141607=CIRCLE('',#353483,0.139999999999998); #141608=CIRCLE('',#353485,0.139999999999998); #141609=CIRCLE('',#353486,0.139999999999998); #141610=CIRCLE('',#353488,0.139999999999998); #141611=CIRCLE('',#353489,0.139999999999998); #141612=CIRCLE('',#353491,0.139999999999998); #141613=CIRCLE('',#353492,0.139999999999998); #141614=CIRCLE('',#353494,0.139999999999998); #141615=CIRCLE('',#353495,0.139999999999998); #141616=CIRCLE('',#353497,0.139999999999998); #141617=CIRCLE('',#353498,0.139999999999998); #141618=CIRCLE('',#353500,0.139999999999998); #141619=CIRCLE('',#353501,0.139999999999998); #141620=CIRCLE('',#353503,0.139999999999998); #141621=CIRCLE('',#353504,0.139999999999998); #141622=CIRCLE('',#353506,0.139999999999998); #141623=CIRCLE('',#353507,0.139999999999998); #141624=CIRCLE('',#353509,0.139999999999998); #141625=CIRCLE('',#353510,0.139999999999998); #141626=CIRCLE('',#353512,0.139999999999998); #141627=CIRCLE('',#353513,0.139999999999998); #141628=CIRCLE('',#353515,0.139999999999998); #141629=CIRCLE('',#353516,0.139999999999998); #141630=CIRCLE('',#353518,0.139999999999998); #141631=CIRCLE('',#353519,0.139999999999998); #141632=CIRCLE('',#353521,0.139999999999998); #141633=CIRCLE('',#353522,0.139999999999998); #141634=CIRCLE('',#353524,0.139999999999998); #141635=CIRCLE('',#353525,0.139999999999998); #141636=CIRCLE('',#353653,0.140000000000002); #141637=CIRCLE('',#353654,0.140000000000002); #141638=CIRCLE('',#353656,0.140000000000002); #141639=CIRCLE('',#353657,0.140000000000002); #141640=CIRCLE('',#353659,0.140000000000002); #141641=CIRCLE('',#353660,0.140000000000002); #141642=CIRCLE('',#353662,0.140000000000002); #141643=CIRCLE('',#353663,0.140000000000002); #141644=CIRCLE('',#353665,0.140000000000002); #141645=CIRCLE('',#353666,0.140000000000002); #141646=CIRCLE('',#353668,0.140000000000002); #141647=CIRCLE('',#353669,0.140000000000002); #141648=CIRCLE('',#353671,0.140000000000002); #141649=CIRCLE('',#353672,0.140000000000002); #141650=CIRCLE('',#353674,0.140000000000002); #141651=CIRCLE('',#353675,0.140000000000002); #141652=CIRCLE('',#353677,0.140000000000002); #141653=CIRCLE('',#353678,0.140000000000002); #141654=CIRCLE('',#353680,0.140000000000002); #141655=CIRCLE('',#353681,0.140000000000002); #141656=CIRCLE('',#353683,0.140000000000002); #141657=CIRCLE('',#353684,0.140000000000002); #141658=CIRCLE('',#353686,0.140000000000002); #141659=CIRCLE('',#353687,0.140000000000002); #141660=CIRCLE('',#353689,0.140000000000002); #141661=CIRCLE('',#353690,0.140000000000002); #141662=CIRCLE('',#353692,0.140000000000002); #141663=CIRCLE('',#353693,0.140000000000002); #141664=CIRCLE('',#353695,0.140000000000002); #141665=CIRCLE('',#353696,0.140000000000002); #141666=CIRCLE('',#353740,0.140000000000002); #141667=CIRCLE('',#353741,0.140000000000002); #141668=CIRCLE('',#353749,0.114999999999998); #141669=CIRCLE('',#353750,0.114999999999998); #141670=CIRCLE('',#353752,0.114999999999998); #141671=CIRCLE('',#353753,0.114999999999998); #141672=CIRCLE('',#353761,0.114999999999998); #141673=CIRCLE('',#353762,0.114999999999998); #141674=CIRCLE('',#353764,0.114999999999998); #141675=CIRCLE('',#353765,0.114999999999998); #141676=CIRCLE('',#353773,0.139999999999998); #141677=CIRCLE('',#353774,0.139999999999998); #141678=CIRCLE('',#353789,0.325); #141679=CIRCLE('',#353790,0.325); #141680=CIRCLE('',#353792,0.325); #141681=CIRCLE('',#353793,0.325); #141682=CIRCLE('',#353795,0.600000000000001); #141683=CIRCLE('',#353796,0.600000000000001); #141684=CIRCLE('',#353798,0.175000000000001); #141685=CIRCLE('',#353799,0.175000000000001); #141686=CIRCLE('',#353801,0.175000000000001); #141687=CIRCLE('',#353802,0.175000000000001); #141688=CIRCLE('',#353804,0.175000000000001); #141689=CIRCLE('',#353805,0.175000000000001); #141690=CIRCLE('',#353807,0.175000000000001); #141691=CIRCLE('',#353808,0.175000000000001); #141692=CIRCLE('',#353810,0.175000000000001); #141693=CIRCLE('',#353811,0.175000000000001); #141694=CIRCLE('',#353813,0.175000000000001); #141695=CIRCLE('',#353814,0.175000000000001); #141696=CIRCLE('',#353816,0.175000000000001); #141697=CIRCLE('',#353817,0.175000000000001); #141698=CIRCLE('',#353819,0.175000000000001); #141699=CIRCLE('',#353820,0.175000000000001); #141700=CIRCLE('',#353822,0.175000000000001); #141701=CIRCLE('',#353823,0.175000000000001); #141702=CIRCLE('',#353825,0.175000000000001); #141703=CIRCLE('',#353826,0.175000000000001); #141704=CIRCLE('',#353828,0.175000000000001); #141705=CIRCLE('',#353829,0.175000000000001); #141706=CIRCLE('',#353831,0.175000000000001); #141707=CIRCLE('',#353832,0.175000000000001); #141708=CIRCLE('',#353834,0.175000000000001); #141709=CIRCLE('',#353835,0.175000000000001); #141710=CIRCLE('',#353837,0.175000000000001); #141711=CIRCLE('',#353838,0.175000000000001); #141712=CIRCLE('',#353840,0.175000000000001); #141713=CIRCLE('',#353841,0.175000000000001); #141714=CIRCLE('',#353843,0.499999999999998); #141715=CIRCLE('',#353844,0.499999999999998); #141716=CIRCLE('',#353846,0.175000000000001); #141717=CIRCLE('',#353847,0.175000000000001); #141718=CIRCLE('',#353849,0.175000000000001); #141719=CIRCLE('',#353850,0.175000000000001); #141720=CIRCLE('',#353852,0.175000000000001); #141721=CIRCLE('',#353853,0.175000000000001); #141722=CIRCLE('',#353855,0.175000000000001); #141723=CIRCLE('',#353856,0.175000000000001); #141724=CIRCLE('',#353858,0.175000000000001); #141725=CIRCLE('',#353859,0.175000000000001); #141726=CIRCLE('',#353861,0.175000000000001); #141727=CIRCLE('',#353862,0.175000000000001); #141728=CIRCLE('',#353864,0.175000000000001); #141729=CIRCLE('',#353865,0.175000000000001); #141730=CIRCLE('',#353867,0.175000000000001); #141731=CIRCLE('',#353868,0.175000000000001); #141732=CIRCLE('',#353870,0.175000000000001); #141733=CIRCLE('',#353871,0.175000000000001); #141734=CIRCLE('',#353873,0.175000000000001); #141735=CIRCLE('',#353874,0.175000000000001); #141736=CIRCLE('',#353876,0.175000000000001); #141737=CIRCLE('',#353877,0.175000000000001); #141738=CIRCLE('',#353879,0.175000000000001); #141739=CIRCLE('',#353880,0.175000000000001); #141740=CIRCLE('',#353882,0.175000000000001); #141741=CIRCLE('',#353883,0.175000000000001); #141742=CIRCLE('',#353885,0.175000000000001); #141743=CIRCLE('',#353886,0.175000000000001); #141744=CIRCLE('',#353888,0.175000000000001); #141745=CIRCLE('',#353889,0.175000000000001); #141746=CIRCLE('',#353891,0.550000000000002); #141747=CIRCLE('',#353892,0.550000000000002); #141748=CIRCLE('',#353894,0.175000000000001); #141749=CIRCLE('',#353895,0.175000000000001); #141750=CIRCLE('',#353897,0.175000000000001); #141751=CIRCLE('',#353898,0.175000000000001); #141752=CIRCLE('',#353900,0.175000000000001); #141753=CIRCLE('',#353901,0.175000000000001); #141754=CIRCLE('',#353903,0.175000000000001); #141755=CIRCLE('',#353904,0.175000000000001); #141756=CIRCLE('',#353907,0.35); #141757=CIRCLE('',#353908,0.35); #141758=CIRCLE('',#353911,0.35); #141759=CIRCLE('',#353912,0.35); #141760=CIRCLE('',#353914,0.175000000000001); #141761=CIRCLE('',#353915,0.175000000000001); #141762=CIRCLE('',#353918,0.35); #141763=CIRCLE('',#353919,0.35); #141764=CIRCLE('',#353922,0.35); #141765=CIRCLE('',#353923,0.35); #141766=CIRCLE('',#353925,0.175000000000001); #141767=CIRCLE('',#353926,0.175000000000001); #141768=CIRCLE('',#353928,0.175000000000001); #141769=CIRCLE('',#353929,0.175000000000001); #141770=CIRCLE('',#353931,0.175000000000001); #141771=CIRCLE('',#353932,0.175000000000001); #141772=CIRCLE('',#353934,0.150000000000001); #141773=CIRCLE('',#353935,0.150000000000001); #141774=CIRCLE('',#353937,0.175000000000001); #141775=CIRCLE('',#353938,0.175000000000001); #141776=CIRCLE('',#353940,0.150000000000001); #141777=CIRCLE('',#353941,0.150000000000001); #141778=CIRCLE('',#353943,0.175000000000001); #141779=CIRCLE('',#353944,0.175000000000001); #141780=CIRCLE('',#353946,0.150000000000001); #141781=CIRCLE('',#353947,0.150000000000001); #141782=CIRCLE('',#353949,0.175000000000001); #141783=CIRCLE('',#353950,0.175000000000001); #141784=CIRCLE('',#353952,0.150000000000001); #141785=CIRCLE('',#353953,0.150000000000001); #141786=CIRCLE('',#353955,0.175000000000001); #141787=CIRCLE('',#353956,0.175000000000001); #141788=CIRCLE('',#353959,0.6); #141789=CIRCLE('',#353960,0.6); #141790=CIRCLE('',#353963,0.6); #141791=CIRCLE('',#353964,0.6); #141792=CIRCLE('',#353966,0.175000000000001); #141793=CIRCLE('',#353967,0.175000000000001); #141794=CIRCLE('',#353970,0.6); #141795=CIRCLE('',#353971,0.6); #141796=CIRCLE('',#353974,0.6); #141797=CIRCLE('',#353975,0.6); #141798=CIRCLE('',#353977,0.175000000000001); #141799=CIRCLE('',#353978,0.175000000000001); #141800=CIRCLE('',#353981,0.35); #141801=CIRCLE('',#353982,0.35); #141802=CIRCLE('',#353985,0.35); #141803=CIRCLE('',#353986,0.35); #141804=CIRCLE('',#353988,0.175000000000001); #141805=CIRCLE('',#353989,0.175000000000001); #141806=CIRCLE('',#353992,0.35); #141807=CIRCLE('',#353993,0.35); #141808=CIRCLE('',#353996,0.35); #141809=CIRCLE('',#353997,0.35); #141810=CIRCLE('',#353999,0.499999999999998); #141811=CIRCLE('',#354000,0.499999999999998); #141812=CIRCLE('',#354002,0.175000000000001); #141813=CIRCLE('',#354003,0.175000000000001); #141814=CIRCLE('',#354005,0.175000000000001); #141815=CIRCLE('',#354006,0.175000000000001); #141816=CIRCLE('',#354008,0.175000000000001); #141817=CIRCLE('',#354009,0.175000000000001); #141818=CIRCLE('',#354011,0.175000000000001); #141819=CIRCLE('',#354012,0.175000000000001); #141820=CIRCLE('',#354014,0.175000000000001); #141821=CIRCLE('',#354015,0.175000000000001); #141822=CIRCLE('',#354017,0.175000000000001); #141823=CIRCLE('',#354018,0.175000000000001); #141824=CIRCLE('',#354020,0.175000000000001); #141825=CIRCLE('',#354021,0.175000000000001); #141826=CIRCLE('',#354023,0.175000000000001); #141827=CIRCLE('',#354024,0.175000000000001); #141828=CIRCLE('',#354026,0.175000000000001); #141829=CIRCLE('',#354027,0.175000000000001); #141830=CIRCLE('',#354029,0.175000000000001); #141831=CIRCLE('',#354030,0.175000000000001); #141832=CIRCLE('',#354032,0.175000000000001); #141833=CIRCLE('',#354033,0.175000000000001); #141834=CIRCLE('',#354035,0.175000000000001); #141835=CIRCLE('',#354036,0.175000000000001); #141836=CIRCLE('',#354038,0.175000000000001); #141837=CIRCLE('',#354039,0.175000000000001); #141838=CIRCLE('',#354041,0.175000000000001); #141839=CIRCLE('',#354042,0.175000000000001); #141840=CIRCLE('',#354044,0.175000000000001); #141841=CIRCLE('',#354045,0.175000000000001); #141842=CIRCLE('',#354047,0.600000000000001); #141843=CIRCLE('',#354048,0.600000000000001); #141844=CIRCLE('',#354050,0.175000000000001); #141845=CIRCLE('',#354051,0.175000000000001); #141846=CIRCLE('',#354053,0.175); #141847=CIRCLE('',#354054,0.175); #141848=CIRCLE('',#354056,0.175000000000001); #141849=CIRCLE('',#354057,0.175000000000001); #141850=CIRCLE('',#354059,0.175000000000001); #141851=CIRCLE('',#354060,0.175000000000001); #141852=CIRCLE('',#354062,0.175000000000001); #141853=CIRCLE('',#354063,0.175000000000001); #141854=CIRCLE('',#354065,0.175000000000001); #141855=CIRCLE('',#354066,0.175000000000001); #141856=CIRCLE('',#354068,0.175000000000001); #141857=CIRCLE('',#354069,0.175000000000001); #141858=CIRCLE('',#354071,0.175000000000001); #141859=CIRCLE('',#354072,0.175000000000001); #141860=CIRCLE('',#354074,0.175000000000001); #141861=CIRCLE('',#354075,0.175000000000001); #141862=CIRCLE('',#354077,0.175000000000001); #141863=CIRCLE('',#354078,0.175000000000001); #141864=CIRCLE('',#354080,0.175000000000001); #141865=CIRCLE('',#354081,0.175000000000001); #141866=CIRCLE('',#354083,0.175000000000001); #141867=CIRCLE('',#354084,0.175000000000001); #141868=CIRCLE('',#354086,0.175000000000001); #141869=CIRCLE('',#354087,0.175000000000001); #141870=CIRCLE('',#354089,0.175000000000001); #141871=CIRCLE('',#354090,0.175000000000001); #141872=CIRCLE('',#354092,0.175000000000001); #141873=CIRCLE('',#354093,0.175000000000001); #141874=CIRCLE('',#354095,0.499999999999998); #141875=CIRCLE('',#354096,0.499999999999998); #141876=CIRCLE('',#354098,0.175000000000001); #141877=CIRCLE('',#354099,0.175000000000001); #141878=CIRCLE('',#354101,0.175000000000001); #141879=CIRCLE('',#354102,0.175000000000001); #141880=CIRCLE('',#354104,0.175000000000001); #141881=CIRCLE('',#354105,0.175000000000001); #141882=CIRCLE('',#354107,0.175000000000001); #141883=CIRCLE('',#354108,0.175000000000001); #141884=CIRCLE('',#354110,0.175000000000001); #141885=CIRCLE('',#354111,0.175000000000001); #141886=CIRCLE('',#354113,0.175000000000001); #141887=CIRCLE('',#354114,0.175000000000001); #141888=CIRCLE('',#354116,0.175000000000001); #141889=CIRCLE('',#354117,0.175000000000001); #141890=CIRCLE('',#354119,0.175000000000001); #141891=CIRCLE('',#354120,0.175000000000001); #141892=CIRCLE('',#354122,0.175000000000001); #141893=CIRCLE('',#354123,0.175000000000001); #141894=CIRCLE('',#354125,0.175000000000001); #141895=CIRCLE('',#354126,0.175000000000001); #141896=CIRCLE('',#354128,0.175000000000001); #141897=CIRCLE('',#354129,0.175000000000001); #141898=CIRCLE('',#354131,0.175000000000001); #141899=CIRCLE('',#354132,0.175000000000001); #141900=CIRCLE('',#354134,0.175000000000001); #141901=CIRCLE('',#354135,0.175000000000001); #141902=CIRCLE('',#354137,0.175000000000001); #141903=CIRCLE('',#354138,0.175000000000001); #141904=CIRCLE('',#354140,0.175000000000001); #141905=CIRCLE('',#354141,0.175000000000001); #141906=CIRCLE('',#354143,0.507999999999997); #141907=CIRCLE('',#354144,0.507999999999997); #141908=CIRCLE('',#354146,0.175000000000001); #141909=CIRCLE('',#354147,0.175000000000001); #141910=CIRCLE('',#354149,0.175000000000001); #141911=CIRCLE('',#354150,0.175000000000001); #141912=CIRCLE('',#354152,0.175000000000001); #141913=CIRCLE('',#354153,0.175000000000001); #141914=CIRCLE('',#354155,0.175000000000001); #141915=CIRCLE('',#354156,0.175000000000001); #141916=CIRCLE('',#354158,0.175000000000001); #141917=CIRCLE('',#354159,0.175000000000001); #141918=CIRCLE('',#354161,0.175000000000001); #141919=CIRCLE('',#354162,0.175000000000001); #141920=CIRCLE('',#354164,0.175000000000001); #141921=CIRCLE('',#354165,0.175000000000001); #141922=CIRCLE('',#354167,0.175000000000001); #141923=CIRCLE('',#354168,0.175000000000001); #141924=CIRCLE('',#354170,0.175000000000001); #141925=CIRCLE('',#354171,0.175000000000001); #141926=CIRCLE('',#354173,0.175000000000001); #141927=CIRCLE('',#354174,0.175000000000001); #141928=CIRCLE('',#354176,0.175000000000001); #141929=CIRCLE('',#354177,0.175000000000001); #141930=CIRCLE('',#354179,0.175000000000001); #141931=CIRCLE('',#354180,0.175000000000001); #141932=CIRCLE('',#354182,0.175000000000001); #141933=CIRCLE('',#354183,0.175000000000001); #141934=CIRCLE('',#354185,0.175000000000001); #141935=CIRCLE('',#354186,0.175000000000001); #141936=CIRCLE('',#354188,0.175000000000001); #141937=CIRCLE('',#354189,0.175000000000001); #141938=CIRCLE('',#354191,0.499999999999998); #141939=CIRCLE('',#354192,0.499999999999998); #141940=CIRCLE('',#354194,0.175000000000001); #141941=CIRCLE('',#354195,0.175000000000001); #141942=CIRCLE('',#354197,0.175000000000001); #141943=CIRCLE('',#354198,0.175000000000001); #141944=CIRCLE('',#354200,0.175000000000001); #141945=CIRCLE('',#354201,0.175000000000001); #141946=CIRCLE('',#354203,0.175000000000001); #141947=CIRCLE('',#354204,0.175000000000001); #141948=CIRCLE('',#354206,0.175000000000001); #141949=CIRCLE('',#354207,0.175000000000001); #141950=CIRCLE('',#354209,0.175000000000001); #141951=CIRCLE('',#354210,0.175000000000001); #141952=CIRCLE('',#354212,0.175000000000001); #141953=CIRCLE('',#354213,0.175000000000001); #141954=CIRCLE('',#354215,0.175000000000001); #141955=CIRCLE('',#354216,0.175000000000001); #141956=CIRCLE('',#354218,0.175000000000001); #141957=CIRCLE('',#354219,0.175000000000001); #141958=CIRCLE('',#354221,0.175000000000001); #141959=CIRCLE('',#354222,0.175000000000001); #141960=CIRCLE('',#354224,0.175000000000001); #141961=CIRCLE('',#354225,0.175000000000001); #141962=CIRCLE('',#354227,0.175000000000001); #141963=CIRCLE('',#354228,0.175000000000001); #141964=CIRCLE('',#354230,0.175000000000001); #141965=CIRCLE('',#354231,0.175000000000001); #141966=CIRCLE('',#354233,0.175000000000001); #141967=CIRCLE('',#354234,0.175000000000001); #141968=CIRCLE('',#354236,0.175000000000001); #141969=CIRCLE('',#354237,0.175000000000001); #141970=CIRCLE('',#354239,0.550000000000002); #141971=CIRCLE('',#354240,0.550000000000002); #141972=CIRCLE('',#354242,0.175000000000001); #141973=CIRCLE('',#354243,0.175000000000001); #141974=CIRCLE('',#354245,0.175); #141975=CIRCLE('',#354246,0.175); #141976=CIRCLE('',#354248,0.175000000000001); #141977=CIRCLE('',#354249,0.175000000000001); #141978=CIRCLE('',#354251,0.175000000000001); #141979=CIRCLE('',#354252,0.175000000000001); #141980=CIRCLE('',#354254,0.175000000000001); #141981=CIRCLE('',#354255,0.175000000000001); #141982=CIRCLE('',#354257,0.175); #141983=CIRCLE('',#354258,0.175); #141984=CIRCLE('',#354260,0.175000000000001); #141985=CIRCLE('',#354261,0.175000000000001); #141986=CIRCLE('',#354263,0.175000000000001); #141987=CIRCLE('',#354264,0.175000000000001); #141988=CIRCLE('',#354266,0.175000000000001); #141989=CIRCLE('',#354267,0.175000000000001); #141990=CIRCLE('',#354269,0.175); #141991=CIRCLE('',#354270,0.175); #141992=CIRCLE('',#354272,0.175000000000001); #141993=CIRCLE('',#354273,0.175000000000001); #141994=CIRCLE('',#354275,0.175000000000001); #141995=CIRCLE('',#354276,0.175000000000001); #141996=CIRCLE('',#354278,0.175000000000001); #141997=CIRCLE('',#354279,0.175000000000001); #141998=CIRCLE('',#354281,0.175); #141999=CIRCLE('',#354282,0.175); #142000=CIRCLE('',#354284,0.175000000000001); #142001=CIRCLE('',#354285,0.175000000000001); #142002=CIRCLE('',#354287,0.499999999999998); #142003=CIRCLE('',#354288,0.499999999999998); #142004=CIRCLE('',#354290,0.175000000000001); #142005=CIRCLE('',#354291,0.175000000000001); #142006=CIRCLE('',#354293,0.175000000000001); #142007=CIRCLE('',#354294,0.175000000000001); #142008=CIRCLE('',#354296,0.175000000000001); #142009=CIRCLE('',#354297,0.175000000000001); #142010=CIRCLE('',#354299,0.175000000000001); #142011=CIRCLE('',#354300,0.175000000000001); #142012=CIRCLE('',#354302,0.175000000000001); #142013=CIRCLE('',#354303,0.175000000000001); #142014=CIRCLE('',#354305,0.175); #142015=CIRCLE('',#354306,0.175); #142016=CIRCLE('',#354308,0.175000000000001); #142017=CIRCLE('',#354309,0.175000000000001); #142018=CIRCLE('',#354311,0.175000000000001); #142019=CIRCLE('',#354312,0.175000000000001); #142020=CIRCLE('',#354314,0.175000000000001); #142021=CIRCLE('',#354315,0.175000000000001); #142022=CIRCLE('',#354317,0.175000000000001); #142023=CIRCLE('',#354318,0.175000000000001); #142024=CIRCLE('',#354320,0.175000000000001); #142025=CIRCLE('',#354321,0.175000000000001); #142026=CIRCLE('',#354323,0.175000000000001); #142027=CIRCLE('',#354324,0.175000000000001); #142028=CIRCLE('',#354326,0.175000000000001); #142029=CIRCLE('',#354327,0.175000000000001); #142030=CIRCLE('',#354329,0.175); #142031=CIRCLE('',#354330,0.175); #142032=CIRCLE('',#354332,0.175000000000001); #142033=CIRCLE('',#354333,0.175000000000001); #142034=CIRCLE('',#354335,0.507999999999997); #142035=CIRCLE('',#354336,0.507999999999997); #142036=CIRCLE('',#354338,0.175000000000001); #142037=CIRCLE('',#354339,0.175000000000001); #142038=CIRCLE('',#354341,0.175000000000001); #142039=CIRCLE('',#354342,0.175000000000001); #142040=CIRCLE('',#354344,0.175000000000001); #142041=CIRCLE('',#354345,0.175000000000001); #142042=CIRCLE('',#354347,0.175000000000001); #142043=CIRCLE('',#354348,0.175000000000001); #142044=CIRCLE('',#354350,0.175000000000001); #142045=CIRCLE('',#354351,0.175000000000001); #142046=CIRCLE('',#354353,0.175000000000001); #142047=CIRCLE('',#354354,0.175000000000001); #142048=CIRCLE('',#354356,0.175000000000001); #142049=CIRCLE('',#354357,0.175000000000001); #142050=CIRCLE('',#354359,0.175000000000001); #142051=CIRCLE('',#354360,0.175000000000001); #142052=CIRCLE('',#354362,0.175000000000001); #142053=CIRCLE('',#354363,0.175000000000001); #142054=CIRCLE('',#354365,0.175); #142055=CIRCLE('',#354366,0.175); #142056=CIRCLE('',#354368,0.175000000000001); #142057=CIRCLE('',#354369,0.175000000000001); #142058=CIRCLE('',#354371,0.175000000000001); #142059=CIRCLE('',#354372,0.175000000000001); #142060=CIRCLE('',#354374,0.175000000000001); #142061=CIRCLE('',#354375,0.175000000000001); #142062=CIRCLE('',#354377,0.175); #142063=CIRCLE('',#354378,0.175); #142064=CIRCLE('',#354380,0.175000000000001); #142065=CIRCLE('',#354381,0.175000000000001); #142066=CIRCLE('',#354383,0.175000000000001); #142067=CIRCLE('',#354384,0.175000000000001); #142068=CIRCLE('',#354386,0.175000000000001); #142069=CIRCLE('',#354387,0.175000000000001); #142070=CIRCLE('',#354389,0.175000000000001); #142071=CIRCLE('',#354390,0.175000000000001); #142072=CIRCLE('',#354392,0.175000000000001); #142073=CIRCLE('',#354393,0.175000000000001); #142074=CIRCLE('',#354395,0.175000000000001); #142075=CIRCLE('',#354396,0.175000000000001); #142076=CIRCLE('',#354398,0.175000000000001); #142077=CIRCLE('',#354399,0.175000000000001); #142078=CIRCLE('',#354401,0.175000000000001); #142079=CIRCLE('',#354402,0.175000000000001); #142080=CIRCLE('',#354404,0.175000000000001); #142081=CIRCLE('',#354405,0.175000000000001); #142082=CIRCLE('',#354407,0.175000000000001); #142083=CIRCLE('',#354408,0.175000000000001); #142084=CIRCLE('',#354410,0.150000000000001); #142085=CIRCLE('',#354411,0.150000000000001); #142086=CIRCLE('',#354413,0.175000000000001); #142087=CIRCLE('',#354414,0.175000000000001); #142088=CIRCLE('',#354416,0.150000000000001); #142089=CIRCLE('',#354417,0.150000000000001); #142090=CIRCLE('',#354419,0.175000000000001); #142091=CIRCLE('',#354420,0.175000000000001); #142092=CIRCLE('',#354422,0.150000000000001); #142093=CIRCLE('',#354423,0.150000000000001); #142094=CIRCLE('',#354425,0.175000000000001); #142095=CIRCLE('',#354426,0.175000000000001); #142096=CIRCLE('',#354428,0.150000000000001); #142097=CIRCLE('',#354429,0.150000000000001); #142098=CIRCLE('',#354431,0.600000000000001); #142099=CIRCLE('',#354432,0.600000000000001); #142100=CIRCLE('',#354434,0.175000000000001); #142101=CIRCLE('',#354435,0.175000000000001); #142102=CIRCLE('',#354437,0.175000000000001); #142103=CIRCLE('',#354438,0.175000000000001); #142104=CIRCLE('',#354440,0.175000000000001); #142105=CIRCLE('',#354441,0.175000000000001); #142106=CIRCLE('',#354443,0.175000000000001); #142107=CIRCLE('',#354444,0.175000000000001); #142108=CIRCLE('',#354446,0.175000000000001); #142109=CIRCLE('',#354447,0.175000000000001); #142110=CIRCLE('',#354449,0.175000000000001); #142111=CIRCLE('',#354450,0.175000000000001); #142112=CIRCLE('',#354452,0.175000000000001); #142113=CIRCLE('',#354453,0.175000000000001); #142114=CIRCLE('',#354455,0.175000000000001); #142115=CIRCLE('',#354456,0.175000000000001); #142116=CIRCLE('',#354458,0.175000000000001); #142117=CIRCLE('',#354459,0.175000000000001); #142118=CIRCLE('',#354461,0.175000000000001); #142119=CIRCLE('',#354462,0.175000000000001); #142120=CIRCLE('',#354464,0.175000000000001); #142121=CIRCLE('',#354465,0.175000000000001); #142122=CIRCLE('',#354467,0.175000000000001); #142123=CIRCLE('',#354468,0.175000000000001); #142124=CIRCLE('',#354470,0.175000000000001); #142125=CIRCLE('',#354471,0.175000000000001); #142126=CIRCLE('',#354473,0.175000000000001); #142127=CIRCLE('',#354474,0.175000000000001); #142128=CIRCLE('',#354476,0.175000000000001); #142129=CIRCLE('',#354477,0.175000000000001); #142130=CIRCLE('',#354479,0.5); #142131=CIRCLE('',#354480,0.5); #142132=CIRCLE('',#354482,0.175000000000001); #142133=CIRCLE('',#354483,0.175000000000001); #142134=CIRCLE('',#354485,0.175000000000001); #142135=CIRCLE('',#354486,0.175000000000001); #142136=CIRCLE('',#354488,0.175000000000001); #142137=CIRCLE('',#354489,0.175000000000001); #142138=CIRCLE('',#354491,0.175000000000001); #142139=CIRCLE('',#354492,0.175000000000001); #142140=CIRCLE('',#354494,0.175000000000001); #142141=CIRCLE('',#354495,0.175000000000001); #142142=CIRCLE('',#354497,0.175000000000001); #142143=CIRCLE('',#354498,0.175000000000001); #142144=CIRCLE('',#354500,0.175000000000001); #142145=CIRCLE('',#354501,0.175000000000001); #142146=CIRCLE('',#354503,0.175000000000001); #142147=CIRCLE('',#354504,0.175000000000001); #142148=CIRCLE('',#354506,0.175000000000001); #142149=CIRCLE('',#354507,0.175000000000001); #142150=CIRCLE('',#354509,0.175000000000001); #142151=CIRCLE('',#354510,0.175000000000001); #142152=CIRCLE('',#354512,0.175000000000001); #142153=CIRCLE('',#354513,0.175000000000001); #142154=CIRCLE('',#354515,0.175000000000001); #142155=CIRCLE('',#354516,0.175000000000001); #142156=CIRCLE('',#354518,0.175000000000001); #142157=CIRCLE('',#354519,0.175000000000001); #142158=CIRCLE('',#354521,0.175000000000001); #142159=CIRCLE('',#354522,0.175000000000001); #142160=CIRCLE('',#354524,0.175000000000001); #142161=CIRCLE('',#354525,0.175000000000001); #142162=CIRCLE('',#354527,0.507999999999997); #142163=CIRCLE('',#354528,0.507999999999997); #142164=CIRCLE('',#354530,0.175000000000001); #142165=CIRCLE('',#354531,0.175000000000001); #142166=CIRCLE('',#354533,0.175000000000001); #142167=CIRCLE('',#354534,0.175000000000001); #142168=CIRCLE('',#354536,0.175000000000001); #142169=CIRCLE('',#354537,0.175000000000001); #142170=CIRCLE('',#354539,0.175000000000001); #142171=CIRCLE('',#354540,0.175000000000001); #142172=CIRCLE('',#354542,0.175000000000001); #142173=CIRCLE('',#354543,0.175000000000001); #142174=CIRCLE('',#354545,0.175000000000001); #142175=CIRCLE('',#354546,0.175000000000001); #142176=CIRCLE('',#354548,0.175000000000001); #142177=CIRCLE('',#354549,0.175000000000001); #142178=CIRCLE('',#354551,0.175000000000001); #142179=CIRCLE('',#354552,0.175000000000001); #142180=CIRCLE('',#354554,0.175000000000001); #142181=CIRCLE('',#354555,0.175000000000001); #142182=CIRCLE('',#354557,0.175000000000001); #142183=CIRCLE('',#354558,0.175000000000001); #142184=CIRCLE('',#354560,0.175000000000001); #142185=CIRCLE('',#354561,0.175000000000001); #142186=CIRCLE('',#354563,0.175000000000001); #142187=CIRCLE('',#354564,0.175000000000001); #142188=CIRCLE('',#354566,0.175000000000001); #142189=CIRCLE('',#354567,0.175000000000001); #142190=CIRCLE('',#354569,0.175000000000001); #142191=CIRCLE('',#354570,0.175000000000001); #142192=CIRCLE('',#354572,0.175000000000001); #142193=CIRCLE('',#354573,0.175000000000001); #142194=CIRCLE('',#354575,0.5); #142195=CIRCLE('',#354576,0.5); #142196=CIRCLE('',#354578,0.175000000000001); #142197=CIRCLE('',#354579,0.175000000000001); #142198=CIRCLE('',#354581,0.175000000000001); #142199=CIRCLE('',#354582,0.175000000000001); #142200=CIRCLE('',#354584,0.175000000000001); #142201=CIRCLE('',#354585,0.175000000000001); #142202=CIRCLE('',#354587,0.175000000000001); #142203=CIRCLE('',#354588,0.175000000000001); #142204=CIRCLE('',#354590,0.175000000000001); #142205=CIRCLE('',#354591,0.175000000000001); #142206=CIRCLE('',#354593,0.175000000000001); #142207=CIRCLE('',#354594,0.175000000000001); #142208=CIRCLE('',#354596,0.175000000000001); #142209=CIRCLE('',#354597,0.175000000000001); #142210=CIRCLE('',#354599,0.175000000000001); #142211=CIRCLE('',#354600,0.175000000000001); #142212=CIRCLE('',#354602,0.175000000000001); #142213=CIRCLE('',#354603,0.175000000000001); #142214=CIRCLE('',#354605,0.175000000000001); #142215=CIRCLE('',#354606,0.175000000000001); #142216=CIRCLE('',#354608,0.175000000000001); #142217=CIRCLE('',#354609,0.175000000000001); #142218=CIRCLE('',#354611,0.175000000000001); #142219=CIRCLE('',#354612,0.175000000000001); #142220=CIRCLE('',#354614,0.175000000000001); #142221=CIRCLE('',#354615,0.175000000000001); #142222=CIRCLE('',#354617,0.175000000000001); #142223=CIRCLE('',#354618,0.175000000000001); #142224=CIRCLE('',#354620,0.175000000000001); #142225=CIRCLE('',#354621,0.175000000000001); #142226=CIRCLE('',#354623,0.600000000000001); #142227=CIRCLE('',#354624,0.600000000000001); #142228=CIRCLE('',#354626,0.175000000000001); #142229=CIRCLE('',#354627,0.175000000000001); #142230=CIRCLE('',#354629,0.175000000000001); #142231=CIRCLE('',#354630,0.175000000000001); #142232=CIRCLE('',#354632,0.175000000000001); #142233=CIRCLE('',#354633,0.175000000000001); #142234=CIRCLE('',#354635,0.175000000000001); #142235=CIRCLE('',#354636,0.175000000000001); #142236=CIRCLE('',#354638,0.175000000000001); #142237=CIRCLE('',#354639,0.175000000000001); #142238=CIRCLE('',#354641,0.175000000000001); #142239=CIRCLE('',#354642,0.175000000000001); #142240=CIRCLE('',#354644,0.175000000000001); #142241=CIRCLE('',#354645,0.175000000000001); #142242=CIRCLE('',#354647,0.175000000000001); #142243=CIRCLE('',#354648,0.175000000000001); #142244=CIRCLE('',#354650,0.175000000000001); #142245=CIRCLE('',#354651,0.175000000000001); #142246=CIRCLE('',#354653,0.175000000000001); #142247=CIRCLE('',#354654,0.175000000000001); #142248=CIRCLE('',#354656,0.175000000000001); #142249=CIRCLE('',#354657,0.175000000000001); #142250=CIRCLE('',#354659,0.175000000000001); #142251=CIRCLE('',#354660,0.175000000000001); #142252=CIRCLE('',#354662,0.175000000000001); #142253=CIRCLE('',#354663,0.175000000000001); #142254=CIRCLE('',#354665,0.175000000000001); #142255=CIRCLE('',#354666,0.175000000000001); #142256=CIRCLE('',#354668,0.175000000000001); #142257=CIRCLE('',#354669,0.175000000000001); #142258=CIRCLE('',#354671,0.499999999999998); #142259=CIRCLE('',#354672,0.499999999999998); #142260=CIRCLE('',#354674,0.175000000000001); #142261=CIRCLE('',#354675,0.175000000000001); #142262=CIRCLE('',#354677,0.175000000000001); #142263=CIRCLE('',#354678,0.175000000000001); #142264=CIRCLE('',#354680,0.175000000000001); #142265=CIRCLE('',#354681,0.175000000000001); #142266=CIRCLE('',#354683,0.175000000000001); #142267=CIRCLE('',#354684,0.175000000000001); #142268=CIRCLE('',#354686,0.175000000000001); #142269=CIRCLE('',#354687,0.175000000000001); #142270=CIRCLE('',#354689,0.175000000000001); #142271=CIRCLE('',#354690,0.175000000000001); #142272=CIRCLE('',#354692,0.175000000000001); #142273=CIRCLE('',#354693,0.175000000000001); #142274=CIRCLE('',#354695,0.175000000000001); #142275=CIRCLE('',#354696,0.175000000000001); #142276=CIRCLE('',#354698,0.175000000000001); #142277=CIRCLE('',#354699,0.175000000000001); #142278=CIRCLE('',#354701,0.175000000000001); #142279=CIRCLE('',#354702,0.175000000000001); #142280=CIRCLE('',#354704,0.175000000000001); #142281=CIRCLE('',#354705,0.175000000000001); #142282=CIRCLE('',#354707,0.175000000000001); #142283=CIRCLE('',#354708,0.175000000000001); #142284=CIRCLE('',#354710,0.175000000000001); #142285=CIRCLE('',#354711,0.175000000000001); #142286=CIRCLE('',#354713,0.175000000000001); #142287=CIRCLE('',#354714,0.175000000000001); #142288=CIRCLE('',#354716,0.175000000000001); #142289=CIRCLE('',#354717,0.175000000000001); #142290=CIRCLE('',#354719,0.507999999999997); #142291=CIRCLE('',#354720,0.507999999999997); #142292=CIRCLE('',#354722,0.175000000000001); #142293=CIRCLE('',#354723,0.175000000000001); #142294=CIRCLE('',#354725,0.175000000000001); #142295=CIRCLE('',#354726,0.175000000000001); #142296=CIRCLE('',#354728,0.175000000000001); #142297=CIRCLE('',#354729,0.175000000000001); #142298=CIRCLE('',#354731,0.175000000000001); #142299=CIRCLE('',#354732,0.175000000000001); #142300=CIRCLE('',#354734,0.175000000000001); #142301=CIRCLE('',#354735,0.175000000000001); #142302=CIRCLE('',#354737,0.175000000000001); #142303=CIRCLE('',#354738,0.175000000000001); #142304=CIRCLE('',#354740,0.175000000000001); #142305=CIRCLE('',#354741,0.175000000000001); #142306=CIRCLE('',#354743,0.175000000000001); #142307=CIRCLE('',#354744,0.175000000000001); #142308=CIRCLE('',#354746,0.175000000000001); #142309=CIRCLE('',#354747,0.175000000000001); #142310=CIRCLE('',#354749,0.175000000000001); #142311=CIRCLE('',#354750,0.175000000000001); #142312=CIRCLE('',#354752,0.175000000000001); #142313=CIRCLE('',#354753,0.175000000000001); #142314=CIRCLE('',#354755,0.175000000000001); #142315=CIRCLE('',#354756,0.175000000000001); #142316=CIRCLE('',#354758,0.175000000000001); #142317=CIRCLE('',#354759,0.175000000000001); #142318=CIRCLE('',#354761,0.175000000000001); #142319=CIRCLE('',#354762,0.175000000000001); #142320=CIRCLE('',#354764,0.175000000000001); #142321=CIRCLE('',#354765,0.175000000000001); #142322=CIRCLE('',#354767,0.499999999999998); #142323=CIRCLE('',#354768,0.499999999999998); #142324=CIRCLE('',#354770,0.175000000000001); #142325=CIRCLE('',#354771,0.175000000000001); #142326=CIRCLE('',#354773,0.175000000000001); #142327=CIRCLE('',#354774,0.175000000000001); #142328=CIRCLE('',#354776,0.175000000000001); #142329=CIRCLE('',#354777,0.175000000000001); #142330=CIRCLE('',#354779,0.175000000000001); #142331=CIRCLE('',#354780,0.175000000000001); #142332=CIRCLE('',#354782,0.175000000000001); #142333=CIRCLE('',#354783,0.175000000000001); #142334=CIRCLE('',#354785,0.175000000000001); #142335=CIRCLE('',#354786,0.175000000000001); #142336=CIRCLE('',#354788,0.175000000000001); #142337=CIRCLE('',#354789,0.175000000000001); #142338=CIRCLE('',#354791,0.175000000000001); #142339=CIRCLE('',#354792,0.175000000000001); #142340=CIRCLE('',#354794,0.175000000000001); #142341=CIRCLE('',#354795,0.175000000000001); #142342=CIRCLE('',#354797,0.175000000000001); #142343=CIRCLE('',#354798,0.175000000000001); #142344=CIRCLE('',#354800,0.175000000000001); #142345=CIRCLE('',#354801,0.175000000000001); #142346=CIRCLE('',#354803,0.175000000000001); #142347=CIRCLE('',#354804,0.175000000000001); #142348=CIRCLE('',#354806,0.175000000000001); #142349=CIRCLE('',#354807,0.175000000000001); #142350=CIRCLE('',#354809,0.175000000000001); #142351=CIRCLE('',#354810,0.175000000000001); #142352=CIRCLE('',#354812,0.175000000000001); #142353=CIRCLE('',#354813,0.175000000000001); #142354=CIRCLE('',#354815,34.); #142355=CIRCLE('',#354816,34.); #142356=CIRCLE('',#354821,0.325); #142357=CIRCLE('',#354822,0.325); #142358=CIRCLE('',#354824,0.325); #142359=CIRCLE('',#354825,0.325); #142360=CIRCLE('',#354827,0.600000000000001); #142361=CIRCLE('',#354828,0.600000000000001); #142362=CIRCLE('',#354830,0.175000000000001); #142363=CIRCLE('',#354831,0.175000000000001); #142364=CIRCLE('',#354833,0.175000000000001); #142365=CIRCLE('',#354834,0.175000000000001); #142366=CIRCLE('',#354836,0.175000000000001); #142367=CIRCLE('',#354837,0.175000000000001); #142368=CIRCLE('',#354839,0.175000000000001); #142369=CIRCLE('',#354840,0.175000000000001); #142370=CIRCLE('',#354842,0.175000000000001); #142371=CIRCLE('',#354843,0.175000000000001); #142372=CIRCLE('',#354845,0.175000000000001); #142373=CIRCLE('',#354846,0.175000000000001); #142374=CIRCLE('',#354848,0.175000000000001); #142375=CIRCLE('',#354849,0.175000000000001); #142376=CIRCLE('',#354851,0.175000000000001); #142377=CIRCLE('',#354852,0.175000000000001); #142378=CIRCLE('',#354854,0.175000000000001); #142379=CIRCLE('',#354855,0.175000000000001); #142380=CIRCLE('',#354857,0.175000000000001); #142381=CIRCLE('',#354858,0.175000000000001); #142382=CIRCLE('',#354860,0.175000000000001); #142383=CIRCLE('',#354861,0.175000000000001); #142384=CIRCLE('',#354863,0.175000000000001); #142385=CIRCLE('',#354864,0.175000000000001); #142386=CIRCLE('',#354866,0.175000000000001); #142387=CIRCLE('',#354867,0.175000000000001); #142388=CIRCLE('',#354869,0.175000000000001); #142389=CIRCLE('',#354870,0.175000000000001); #142390=CIRCLE('',#354872,0.175000000000001); #142391=CIRCLE('',#354873,0.175000000000001); #142392=CIRCLE('',#354875,0.499999999999998); #142393=CIRCLE('',#354876,0.499999999999998); #142394=CIRCLE('',#354878,0.175000000000001); #142395=CIRCLE('',#354879,0.175000000000001); #142396=CIRCLE('',#354881,0.175000000000001); #142397=CIRCLE('',#354882,0.175000000000001); #142398=CIRCLE('',#354884,0.175000000000001); #142399=CIRCLE('',#354885,0.175000000000001); #142400=CIRCLE('',#354887,0.175000000000001); #142401=CIRCLE('',#354888,0.175000000000001); #142402=CIRCLE('',#354890,0.175000000000001); #142403=CIRCLE('',#354891,0.175000000000001); #142404=CIRCLE('',#354893,0.175000000000001); #142405=CIRCLE('',#354894,0.175000000000001); #142406=CIRCLE('',#354896,0.175000000000001); #142407=CIRCLE('',#354897,0.175000000000001); #142408=CIRCLE('',#354899,0.175000000000001); #142409=CIRCLE('',#354900,0.175000000000001); #142410=CIRCLE('',#354902,0.175000000000001); #142411=CIRCLE('',#354903,0.175000000000001); #142412=CIRCLE('',#354905,0.175000000000001); #142413=CIRCLE('',#354906,0.175000000000001); #142414=CIRCLE('',#354908,0.175000000000001); #142415=CIRCLE('',#354909,0.175000000000001); #142416=CIRCLE('',#354911,0.175000000000001); #142417=CIRCLE('',#354912,0.175000000000001); #142418=CIRCLE('',#354914,0.175000000000001); #142419=CIRCLE('',#354915,0.175000000000001); #142420=CIRCLE('',#354917,0.175000000000001); #142421=CIRCLE('',#354918,0.175000000000001); #142422=CIRCLE('',#354920,0.175000000000001); #142423=CIRCLE('',#354921,0.175000000000001); #142424=CIRCLE('',#354923,0.550000000000002); #142425=CIRCLE('',#354924,0.550000000000002); #142426=CIRCLE('',#354926,0.175000000000001); #142427=CIRCLE('',#354927,0.175000000000001); #142428=CIRCLE('',#354929,0.175000000000001); #142429=CIRCLE('',#354930,0.175000000000001); #142430=CIRCLE('',#354932,0.175000000000001); #142431=CIRCLE('',#354933,0.175000000000001); #142432=CIRCLE('',#354935,0.175000000000001); #142433=CIRCLE('',#354936,0.175000000000001); #142434=CIRCLE('',#354939,0.35); #142435=CIRCLE('',#354940,0.35); #142436=CIRCLE('',#354943,0.35); #142437=CIRCLE('',#354944,0.35); #142438=CIRCLE('',#354946,0.175000000000001); #142439=CIRCLE('',#354947,0.175000000000001); #142440=CIRCLE('',#354950,0.35); #142441=CIRCLE('',#354951,0.35); #142442=CIRCLE('',#354954,0.35); #142443=CIRCLE('',#354955,0.35); #142444=CIRCLE('',#354957,0.175000000000001); #142445=CIRCLE('',#354958,0.175000000000001); #142446=CIRCLE('',#354960,0.175000000000001); #142447=CIRCLE('',#354961,0.175000000000001); #142448=CIRCLE('',#354963,0.175000000000001); #142449=CIRCLE('',#354964,0.175000000000001); #142450=CIRCLE('',#354966,0.150000000000001); #142451=CIRCLE('',#354967,0.150000000000001); #142452=CIRCLE('',#354969,0.175000000000001); #142453=CIRCLE('',#354970,0.175000000000001); #142454=CIRCLE('',#354972,0.150000000000001); #142455=CIRCLE('',#354973,0.150000000000001); #142456=CIRCLE('',#354975,0.175000000000001); #142457=CIRCLE('',#354976,0.175000000000001); #142458=CIRCLE('',#354978,0.150000000000001); #142459=CIRCLE('',#354979,0.150000000000001); #142460=CIRCLE('',#354981,0.175000000000001); #142461=CIRCLE('',#354982,0.175000000000001); #142462=CIRCLE('',#354984,0.150000000000001); #142463=CIRCLE('',#354985,0.150000000000001); #142464=CIRCLE('',#354987,0.175000000000001); #142465=CIRCLE('',#354988,0.175000000000001); #142466=CIRCLE('',#354991,0.6); #142467=CIRCLE('',#354992,0.6); #142468=CIRCLE('',#354995,0.6); #142469=CIRCLE('',#354996,0.6); #142470=CIRCLE('',#354998,0.175000000000001); #142471=CIRCLE('',#354999,0.175000000000001); #142472=CIRCLE('',#355002,0.6); #142473=CIRCLE('',#355003,0.6); #142474=CIRCLE('',#355006,0.6); #142475=CIRCLE('',#355007,0.6); #142476=CIRCLE('',#355009,0.175000000000001); #142477=CIRCLE('',#355010,0.175000000000001); #142478=CIRCLE('',#355013,0.35); #142479=CIRCLE('',#355014,0.35); #142480=CIRCLE('',#355017,0.35); #142481=CIRCLE('',#355018,0.35); #142482=CIRCLE('',#355020,0.175000000000001); #142483=CIRCLE('',#355021,0.175000000000001); #142484=CIRCLE('',#355024,0.35); #142485=CIRCLE('',#355025,0.35); #142486=CIRCLE('',#355028,0.35); #142487=CIRCLE('',#355029,0.35); #142488=CIRCLE('',#355031,0.499999999999998); #142489=CIRCLE('',#355032,0.499999999999998); #142490=CIRCLE('',#355034,0.175000000000001); #142491=CIRCLE('',#355035,0.175000000000001); #142492=CIRCLE('',#355037,0.175000000000001); #142493=CIRCLE('',#355038,0.175000000000001); #142494=CIRCLE('',#355040,0.175000000000001); #142495=CIRCLE('',#355041,0.175000000000001); #142496=CIRCLE('',#355043,0.175000000000001); #142497=CIRCLE('',#355044,0.175000000000001); #142498=CIRCLE('',#355046,0.175000000000001); #142499=CIRCLE('',#355047,0.175000000000001); #142500=CIRCLE('',#355049,0.175000000000001); #142501=CIRCLE('',#355050,0.175000000000001); #142502=CIRCLE('',#355052,0.175000000000001); #142503=CIRCLE('',#355053,0.175000000000001); #142504=CIRCLE('',#355055,0.175000000000001); #142505=CIRCLE('',#355056,0.175000000000001); #142506=CIRCLE('',#355058,0.175000000000001); #142507=CIRCLE('',#355059,0.175000000000001); #142508=CIRCLE('',#355061,0.175000000000001); #142509=CIRCLE('',#355062,0.175000000000001); #142510=CIRCLE('',#355064,0.175000000000001); #142511=CIRCLE('',#355065,0.175000000000001); #142512=CIRCLE('',#355067,0.175000000000001); #142513=CIRCLE('',#355068,0.175000000000001); #142514=CIRCLE('',#355070,0.175000000000001); #142515=CIRCLE('',#355071,0.175000000000001); #142516=CIRCLE('',#355073,0.175000000000001); #142517=CIRCLE('',#355074,0.175000000000001); #142518=CIRCLE('',#355076,0.175000000000001); #142519=CIRCLE('',#355077,0.175000000000001); #142520=CIRCLE('',#355079,0.600000000000001); #142521=CIRCLE('',#355080,0.600000000000001); #142522=CIRCLE('',#355082,0.175000000000001); #142523=CIRCLE('',#355083,0.175000000000001); #142524=CIRCLE('',#355085,0.175); #142525=CIRCLE('',#355086,0.175); #142526=CIRCLE('',#355088,0.175000000000001); #142527=CIRCLE('',#355089,0.175000000000001); #142528=CIRCLE('',#355091,0.175000000000001); #142529=CIRCLE('',#355092,0.175000000000001); #142530=CIRCLE('',#355094,0.175000000000001); #142531=CIRCLE('',#355095,0.175000000000001); #142532=CIRCLE('',#355097,0.175000000000001); #142533=CIRCLE('',#355098,0.175000000000001); #142534=CIRCLE('',#355100,0.175000000000001); #142535=CIRCLE('',#355101,0.175000000000001); #142536=CIRCLE('',#355103,0.175000000000001); #142537=CIRCLE('',#355104,0.175000000000001); #142538=CIRCLE('',#355106,0.175000000000001); #142539=CIRCLE('',#355107,0.175000000000001); #142540=CIRCLE('',#355109,0.175000000000001); #142541=CIRCLE('',#355110,0.175000000000001); #142542=CIRCLE('',#355112,0.175000000000001); #142543=CIRCLE('',#355113,0.175000000000001); #142544=CIRCLE('',#355115,0.175000000000001); #142545=CIRCLE('',#355116,0.175000000000001); #142546=CIRCLE('',#355118,0.175000000000001); #142547=CIRCLE('',#355119,0.175000000000001); #142548=CIRCLE('',#355121,0.175000000000001); #142549=CIRCLE('',#355122,0.175000000000001); #142550=CIRCLE('',#355124,0.175000000000001); #142551=CIRCLE('',#355125,0.175000000000001); #142552=CIRCLE('',#355127,0.499999999999998); #142553=CIRCLE('',#355128,0.499999999999998); #142554=CIRCLE('',#355130,0.175000000000001); #142555=CIRCLE('',#355131,0.175000000000001); #142556=CIRCLE('',#355133,0.175000000000001); #142557=CIRCLE('',#355134,0.175000000000001); #142558=CIRCLE('',#355136,0.175000000000001); #142559=CIRCLE('',#355137,0.175000000000001); #142560=CIRCLE('',#355139,0.175000000000001); #142561=CIRCLE('',#355140,0.175000000000001); #142562=CIRCLE('',#355142,0.175000000000001); #142563=CIRCLE('',#355143,0.175000000000001); #142564=CIRCLE('',#355145,0.175000000000001); #142565=CIRCLE('',#355146,0.175000000000001); #142566=CIRCLE('',#355148,0.175000000000001); #142567=CIRCLE('',#355149,0.175000000000001); #142568=CIRCLE('',#355151,0.175000000000001); #142569=CIRCLE('',#355152,0.175000000000001); #142570=CIRCLE('',#355154,0.175000000000001); #142571=CIRCLE('',#355155,0.175000000000001); #142572=CIRCLE('',#355157,0.175000000000001); #142573=CIRCLE('',#355158,0.175000000000001); #142574=CIRCLE('',#355160,0.175000000000001); #142575=CIRCLE('',#355161,0.175000000000001); #142576=CIRCLE('',#355163,0.175000000000001); #142577=CIRCLE('',#355164,0.175000000000001); #142578=CIRCLE('',#355166,0.175000000000001); #142579=CIRCLE('',#355167,0.175000000000001); #142580=CIRCLE('',#355169,0.175000000000001); #142581=CIRCLE('',#355170,0.175000000000001); #142582=CIRCLE('',#355172,0.175000000000001); #142583=CIRCLE('',#355173,0.175000000000001); #142584=CIRCLE('',#355175,0.507999999999997); #142585=CIRCLE('',#355176,0.507999999999997); #142586=CIRCLE('',#355178,0.175000000000001); #142587=CIRCLE('',#355179,0.175000000000001); #142588=CIRCLE('',#355181,0.175000000000001); #142589=CIRCLE('',#355182,0.175000000000001); #142590=CIRCLE('',#355184,0.175000000000001); #142591=CIRCLE('',#355185,0.175000000000001); #142592=CIRCLE('',#355187,0.175000000000001); #142593=CIRCLE('',#355188,0.175000000000001); #142594=CIRCLE('',#355190,0.175000000000001); #142595=CIRCLE('',#355191,0.175000000000001); #142596=CIRCLE('',#355193,0.175000000000001); #142597=CIRCLE('',#355194,0.175000000000001); #142598=CIRCLE('',#355196,0.175000000000001); #142599=CIRCLE('',#355197,0.175000000000001); #142600=CIRCLE('',#355199,0.175000000000001); #142601=CIRCLE('',#355200,0.175000000000001); #142602=CIRCLE('',#355202,0.175000000000001); #142603=CIRCLE('',#355203,0.175000000000001); #142604=CIRCLE('',#355205,0.175000000000001); #142605=CIRCLE('',#355206,0.175000000000001); #142606=CIRCLE('',#355208,0.175000000000001); #142607=CIRCLE('',#355209,0.175000000000001); #142608=CIRCLE('',#355211,0.175000000000001); #142609=CIRCLE('',#355212,0.175000000000001); #142610=CIRCLE('',#355214,0.175000000000001); #142611=CIRCLE('',#355215,0.175000000000001); #142612=CIRCLE('',#355217,0.175000000000001); #142613=CIRCLE('',#355218,0.175000000000001); #142614=CIRCLE('',#355220,0.175000000000001); #142615=CIRCLE('',#355221,0.175000000000001); #142616=CIRCLE('',#355223,0.499999999999998); #142617=CIRCLE('',#355224,0.499999999999998); #142618=CIRCLE('',#355226,0.175000000000001); #142619=CIRCLE('',#355227,0.175000000000001); #142620=CIRCLE('',#355229,0.175000000000001); #142621=CIRCLE('',#355230,0.175000000000001); #142622=CIRCLE('',#355232,0.175000000000001); #142623=CIRCLE('',#355233,0.175000000000001); #142624=CIRCLE('',#355235,0.175000000000001); #142625=CIRCLE('',#355236,0.175000000000001); #142626=CIRCLE('',#355238,0.175000000000001); #142627=CIRCLE('',#355239,0.175000000000001); #142628=CIRCLE('',#355241,0.175000000000001); #142629=CIRCLE('',#355242,0.175000000000001); #142630=CIRCLE('',#355244,0.175000000000001); #142631=CIRCLE('',#355245,0.175000000000001); #142632=CIRCLE('',#355247,0.175000000000001); #142633=CIRCLE('',#355248,0.175000000000001); #142634=CIRCLE('',#355250,0.175000000000001); #142635=CIRCLE('',#355251,0.175000000000001); #142636=CIRCLE('',#355253,0.175000000000001); #142637=CIRCLE('',#355254,0.175000000000001); #142638=CIRCLE('',#355256,0.175000000000001); #142639=CIRCLE('',#355257,0.175000000000001); #142640=CIRCLE('',#355259,0.175000000000001); #142641=CIRCLE('',#355260,0.175000000000001); #142642=CIRCLE('',#355262,0.175000000000001); #142643=CIRCLE('',#355263,0.175000000000001); #142644=CIRCLE('',#355265,0.175000000000001); #142645=CIRCLE('',#355266,0.175000000000001); #142646=CIRCLE('',#355268,0.175000000000001); #142647=CIRCLE('',#355269,0.175000000000001); #142648=CIRCLE('',#355271,0.550000000000002); #142649=CIRCLE('',#355272,0.550000000000002); #142650=CIRCLE('',#355274,0.175000000000001); #142651=CIRCLE('',#355275,0.175000000000001); #142652=CIRCLE('',#355277,0.175); #142653=CIRCLE('',#355278,0.175); #142654=CIRCLE('',#355280,0.175000000000001); #142655=CIRCLE('',#355281,0.175000000000001); #142656=CIRCLE('',#355283,0.175000000000001); #142657=CIRCLE('',#355284,0.175000000000001); #142658=CIRCLE('',#355286,0.175000000000001); #142659=CIRCLE('',#355287,0.175000000000001); #142660=CIRCLE('',#355289,0.175); #142661=CIRCLE('',#355290,0.175); #142662=CIRCLE('',#355292,0.175000000000001); #142663=CIRCLE('',#355293,0.175000000000001); #142664=CIRCLE('',#355295,0.175000000000001); #142665=CIRCLE('',#355296,0.175000000000001); #142666=CIRCLE('',#355298,0.175000000000001); #142667=CIRCLE('',#355299,0.175000000000001); #142668=CIRCLE('',#355301,0.175); #142669=CIRCLE('',#355302,0.175); #142670=CIRCLE('',#355304,0.175000000000001); #142671=CIRCLE('',#355305,0.175000000000001); #142672=CIRCLE('',#355307,0.175000000000001); #142673=CIRCLE('',#355308,0.175000000000001); #142674=CIRCLE('',#355310,0.175000000000001); #142675=CIRCLE('',#355311,0.175000000000001); #142676=CIRCLE('',#355313,0.175); #142677=CIRCLE('',#355314,0.175); #142678=CIRCLE('',#355316,0.175000000000001); #142679=CIRCLE('',#355317,0.175000000000001); #142680=CIRCLE('',#355319,0.499999999999998); #142681=CIRCLE('',#355320,0.499999999999998); #142682=CIRCLE('',#355322,0.175000000000001); #142683=CIRCLE('',#355323,0.175000000000001); #142684=CIRCLE('',#355325,0.175000000000001); #142685=CIRCLE('',#355326,0.175000000000001); #142686=CIRCLE('',#355328,0.175000000000001); #142687=CIRCLE('',#355329,0.175000000000001); #142688=CIRCLE('',#355331,0.175000000000001); #142689=CIRCLE('',#355332,0.175000000000001); #142690=CIRCLE('',#355334,0.175000000000001); #142691=CIRCLE('',#355335,0.175000000000001); #142692=CIRCLE('',#355337,0.175); #142693=CIRCLE('',#355338,0.175); #142694=CIRCLE('',#355340,0.175000000000001); #142695=CIRCLE('',#355341,0.175000000000001); #142696=CIRCLE('',#355343,0.175000000000001); #142697=CIRCLE('',#355344,0.175000000000001); #142698=CIRCLE('',#355346,0.175000000000001); #142699=CIRCLE('',#355347,0.175000000000001); #142700=CIRCLE('',#355349,0.175000000000001); #142701=CIRCLE('',#355350,0.175000000000001); #142702=CIRCLE('',#355352,0.175000000000001); #142703=CIRCLE('',#355353,0.175000000000001); #142704=CIRCLE('',#355355,0.175000000000001); #142705=CIRCLE('',#355356,0.175000000000001); #142706=CIRCLE('',#355358,0.175000000000001); #142707=CIRCLE('',#355359,0.175000000000001); #142708=CIRCLE('',#355361,0.175); #142709=CIRCLE('',#355362,0.175); #142710=CIRCLE('',#355364,0.175000000000001); #142711=CIRCLE('',#355365,0.175000000000001); #142712=CIRCLE('',#355367,0.507999999999997); #142713=CIRCLE('',#355368,0.507999999999997); #142714=CIRCLE('',#355370,0.175000000000001); #142715=CIRCLE('',#355371,0.175000000000001); #142716=CIRCLE('',#355373,0.175000000000001); #142717=CIRCLE('',#355374,0.175000000000001); #142718=CIRCLE('',#355376,0.175000000000001); #142719=CIRCLE('',#355377,0.175000000000001); #142720=CIRCLE('',#355379,0.175000000000001); #142721=CIRCLE('',#355380,0.175000000000001); #142722=CIRCLE('',#355382,0.175000000000001); #142723=CIRCLE('',#355383,0.175000000000001); #142724=CIRCLE('',#355385,0.175000000000001); #142725=CIRCLE('',#355386,0.175000000000001); #142726=CIRCLE('',#355388,0.175000000000001); #142727=CIRCLE('',#355389,0.175000000000001); #142728=CIRCLE('',#355391,0.175000000000001); #142729=CIRCLE('',#355392,0.175000000000001); #142730=CIRCLE('',#355394,0.175000000000001); #142731=CIRCLE('',#355395,0.175000000000001); #142732=CIRCLE('',#355397,0.175); #142733=CIRCLE('',#355398,0.175); #142734=CIRCLE('',#355400,0.175000000000001); #142735=CIRCLE('',#355401,0.175000000000001); #142736=CIRCLE('',#355403,0.175000000000001); #142737=CIRCLE('',#355404,0.175000000000001); #142738=CIRCLE('',#355406,0.175000000000001); #142739=CIRCLE('',#355407,0.175000000000001); #142740=CIRCLE('',#355409,0.175); #142741=CIRCLE('',#355410,0.175); #142742=CIRCLE('',#355412,0.175000000000001); #142743=CIRCLE('',#355413,0.175000000000001); #142744=CIRCLE('',#355415,0.175000000000001); #142745=CIRCLE('',#355416,0.175000000000001); #142746=CIRCLE('',#355418,0.175000000000001); #142747=CIRCLE('',#355419,0.175000000000001); #142748=CIRCLE('',#355421,0.175000000000001); #142749=CIRCLE('',#355422,0.175000000000001); #142750=CIRCLE('',#355424,0.175000000000001); #142751=CIRCLE('',#355425,0.175000000000001); #142752=CIRCLE('',#355427,0.175000000000001); #142753=CIRCLE('',#355428,0.175000000000001); #142754=CIRCLE('',#355430,0.175000000000001); #142755=CIRCLE('',#355431,0.175000000000001); #142756=CIRCLE('',#355433,0.175000000000001); #142757=CIRCLE('',#355434,0.175000000000001); #142758=CIRCLE('',#355436,0.175000000000001); #142759=CIRCLE('',#355437,0.175000000000001); #142760=CIRCLE('',#355439,0.175000000000001); #142761=CIRCLE('',#355440,0.175000000000001); #142762=CIRCLE('',#355442,0.150000000000001); #142763=CIRCLE('',#355443,0.150000000000001); #142764=CIRCLE('',#355445,0.175000000000001); #142765=CIRCLE('',#355446,0.175000000000001); #142766=CIRCLE('',#355448,0.150000000000001); #142767=CIRCLE('',#355449,0.150000000000001); #142768=CIRCLE('',#355451,0.175000000000001); #142769=CIRCLE('',#355452,0.175000000000001); #142770=CIRCLE('',#355454,0.150000000000001); #142771=CIRCLE('',#355455,0.150000000000001); #142772=CIRCLE('',#355457,0.175000000000001); #142773=CIRCLE('',#355458,0.175000000000001); #142774=CIRCLE('',#355460,0.150000000000001); #142775=CIRCLE('',#355461,0.150000000000001); #142776=CIRCLE('',#355463,0.600000000000001); #142777=CIRCLE('',#355464,0.600000000000001); #142778=CIRCLE('',#355466,0.175000000000001); #142779=CIRCLE('',#355467,0.175000000000001); #142780=CIRCLE('',#355469,0.175000000000001); #142781=CIRCLE('',#355470,0.175000000000001); #142782=CIRCLE('',#355472,0.175000000000001); #142783=CIRCLE('',#355473,0.175000000000001); #142784=CIRCLE('',#355475,0.175000000000001); #142785=CIRCLE('',#355476,0.175000000000001); #142786=CIRCLE('',#355478,0.175000000000001); #142787=CIRCLE('',#355479,0.175000000000001); #142788=CIRCLE('',#355481,0.175000000000001); #142789=CIRCLE('',#355482,0.175000000000001); #142790=CIRCLE('',#355484,0.175000000000001); #142791=CIRCLE('',#355485,0.175000000000001); #142792=CIRCLE('',#355487,0.175000000000001); #142793=CIRCLE('',#355488,0.175000000000001); #142794=CIRCLE('',#355490,0.175000000000001); #142795=CIRCLE('',#355491,0.175000000000001); #142796=CIRCLE('',#355493,0.175000000000001); #142797=CIRCLE('',#355494,0.175000000000001); #142798=CIRCLE('',#355496,0.175000000000001); #142799=CIRCLE('',#355497,0.175000000000001); #142800=CIRCLE('',#355499,0.175000000000001); #142801=CIRCLE('',#355500,0.175000000000001); #142802=CIRCLE('',#355502,0.175000000000001); #142803=CIRCLE('',#355503,0.175000000000001); #142804=CIRCLE('',#355505,0.175000000000001); #142805=CIRCLE('',#355506,0.175000000000001); #142806=CIRCLE('',#355508,0.175000000000001); #142807=CIRCLE('',#355509,0.175000000000001); #142808=CIRCLE('',#355511,0.5); #142809=CIRCLE('',#355512,0.5); #142810=CIRCLE('',#355514,0.175000000000001); #142811=CIRCLE('',#355515,0.175000000000001); #142812=CIRCLE('',#355517,0.175000000000001); #142813=CIRCLE('',#355518,0.175000000000001); #142814=CIRCLE('',#355520,0.175000000000001); #142815=CIRCLE('',#355521,0.175000000000001); #142816=CIRCLE('',#355523,0.175000000000001); #142817=CIRCLE('',#355524,0.175000000000001); #142818=CIRCLE('',#355526,0.175000000000001); #142819=CIRCLE('',#355527,0.175000000000001); #142820=CIRCLE('',#355529,0.175000000000001); #142821=CIRCLE('',#355530,0.175000000000001); #142822=CIRCLE('',#355532,0.175000000000001); #142823=CIRCLE('',#355533,0.175000000000001); #142824=CIRCLE('',#355535,0.175000000000001); #142825=CIRCLE('',#355536,0.175000000000001); #142826=CIRCLE('',#355538,0.175000000000001); #142827=CIRCLE('',#355539,0.175000000000001); #142828=CIRCLE('',#355541,0.175000000000001); #142829=CIRCLE('',#355542,0.175000000000001); #142830=CIRCLE('',#355544,0.175000000000001); #142831=CIRCLE('',#355545,0.175000000000001); #142832=CIRCLE('',#355547,0.175000000000001); #142833=CIRCLE('',#355548,0.175000000000001); #142834=CIRCLE('',#355550,0.175000000000001); #142835=CIRCLE('',#355551,0.175000000000001); #142836=CIRCLE('',#355553,0.175000000000001); #142837=CIRCLE('',#355554,0.175000000000001); #142838=CIRCLE('',#355556,0.175000000000001); #142839=CIRCLE('',#355557,0.175000000000001); #142840=CIRCLE('',#355559,0.507999999999997); #142841=CIRCLE('',#355560,0.507999999999997); #142842=CIRCLE('',#355562,0.175000000000001); #142843=CIRCLE('',#355563,0.175000000000001); #142844=CIRCLE('',#355565,0.175000000000001); #142845=CIRCLE('',#355566,0.175000000000001); #142846=CIRCLE('',#355568,0.175000000000001); #142847=CIRCLE('',#355569,0.175000000000001); #142848=CIRCLE('',#355571,0.175000000000001); #142849=CIRCLE('',#355572,0.175000000000001); #142850=CIRCLE('',#355574,0.175000000000001); #142851=CIRCLE('',#355575,0.175000000000001); #142852=CIRCLE('',#355577,0.175000000000001); #142853=CIRCLE('',#355578,0.175000000000001); #142854=CIRCLE('',#355580,0.175000000000001); #142855=CIRCLE('',#355581,0.175000000000001); #142856=CIRCLE('',#355583,0.175000000000001); #142857=CIRCLE('',#355584,0.175000000000001); #142858=CIRCLE('',#355586,0.175000000000001); #142859=CIRCLE('',#355587,0.175000000000001); #142860=CIRCLE('',#355589,0.175000000000001); #142861=CIRCLE('',#355590,0.175000000000001); #142862=CIRCLE('',#355592,0.175000000000001); #142863=CIRCLE('',#355593,0.175000000000001); #142864=CIRCLE('',#355595,0.175000000000001); #142865=CIRCLE('',#355596,0.175000000000001); #142866=CIRCLE('',#355598,0.175000000000001); #142867=CIRCLE('',#355599,0.175000000000001); #142868=CIRCLE('',#355601,0.175000000000001); #142869=CIRCLE('',#355602,0.175000000000001); #142870=CIRCLE('',#355604,0.175000000000001); #142871=CIRCLE('',#355605,0.175000000000001); #142872=CIRCLE('',#355607,0.5); #142873=CIRCLE('',#355608,0.5); #142874=CIRCLE('',#355610,0.175000000000001); #142875=CIRCLE('',#355611,0.175000000000001); #142876=CIRCLE('',#355613,0.175000000000001); #142877=CIRCLE('',#355614,0.175000000000001); #142878=CIRCLE('',#355616,0.175000000000001); #142879=CIRCLE('',#355617,0.175000000000001); #142880=CIRCLE('',#355619,0.175000000000001); #142881=CIRCLE('',#355620,0.175000000000001); #142882=CIRCLE('',#355622,0.175000000000001); #142883=CIRCLE('',#355623,0.175000000000001); #142884=CIRCLE('',#355625,0.175000000000001); #142885=CIRCLE('',#355626,0.175000000000001); #142886=CIRCLE('',#355628,0.175000000000001); #142887=CIRCLE('',#355629,0.175000000000001); #142888=CIRCLE('',#355631,0.175000000000001); #142889=CIRCLE('',#355632,0.175000000000001); #142890=CIRCLE('',#355634,0.175000000000001); #142891=CIRCLE('',#355635,0.175000000000001); #142892=CIRCLE('',#355637,0.175000000000001); #142893=CIRCLE('',#355638,0.175000000000001); #142894=CIRCLE('',#355640,0.175000000000001); #142895=CIRCLE('',#355641,0.175000000000001); #142896=CIRCLE('',#355643,0.175000000000001); #142897=CIRCLE('',#355644,0.175000000000001); #142898=CIRCLE('',#355646,0.175000000000001); #142899=CIRCLE('',#355647,0.175000000000001); #142900=CIRCLE('',#355649,0.175000000000001); #142901=CIRCLE('',#355650,0.175000000000001); #142902=CIRCLE('',#355652,0.175000000000001); #142903=CIRCLE('',#355653,0.175000000000001); #142904=CIRCLE('',#355655,0.600000000000001); #142905=CIRCLE('',#355656,0.600000000000001); #142906=CIRCLE('',#355658,0.175000000000001); #142907=CIRCLE('',#355659,0.175000000000001); #142908=CIRCLE('',#355661,0.175000000000001); #142909=CIRCLE('',#355662,0.175000000000001); #142910=CIRCLE('',#355664,0.175000000000001); #142911=CIRCLE('',#355665,0.175000000000001); #142912=CIRCLE('',#355667,0.175000000000001); #142913=CIRCLE('',#355668,0.175000000000001); #142914=CIRCLE('',#355670,0.175000000000001); #142915=CIRCLE('',#355671,0.175000000000001); #142916=CIRCLE('',#355673,0.175000000000001); #142917=CIRCLE('',#355674,0.175000000000001); #142918=CIRCLE('',#355676,0.175000000000001); #142919=CIRCLE('',#355677,0.175000000000001); #142920=CIRCLE('',#355679,0.175000000000001); #142921=CIRCLE('',#355680,0.175000000000001); #142922=CIRCLE('',#355682,0.175000000000001); #142923=CIRCLE('',#355683,0.175000000000001); #142924=CIRCLE('',#355685,0.175000000000001); #142925=CIRCLE('',#355686,0.175000000000001); #142926=CIRCLE('',#355688,0.175000000000001); #142927=CIRCLE('',#355689,0.175000000000001); #142928=CIRCLE('',#355691,0.175000000000001); #142929=CIRCLE('',#355692,0.175000000000001); #142930=CIRCLE('',#355694,0.175000000000001); #142931=CIRCLE('',#355695,0.175000000000001); #142932=CIRCLE('',#355697,0.175000000000001); #142933=CIRCLE('',#355698,0.175000000000001); #142934=CIRCLE('',#355700,0.175000000000001); #142935=CIRCLE('',#355701,0.175000000000001); #142936=CIRCLE('',#355703,0.499999999999998); #142937=CIRCLE('',#355704,0.499999999999998); #142938=CIRCLE('',#355706,0.175000000000001); #142939=CIRCLE('',#355707,0.175000000000001); #142940=CIRCLE('',#355709,0.175000000000001); #142941=CIRCLE('',#355710,0.175000000000001); #142942=CIRCLE('',#355712,0.175000000000001); #142943=CIRCLE('',#355713,0.175000000000001); #142944=CIRCLE('',#355715,0.175000000000001); #142945=CIRCLE('',#355716,0.175000000000001); #142946=CIRCLE('',#355718,0.175000000000001); #142947=CIRCLE('',#355719,0.175000000000001); #142948=CIRCLE('',#355721,0.175000000000001); #142949=CIRCLE('',#355722,0.175000000000001); #142950=CIRCLE('',#355724,0.175000000000001); #142951=CIRCLE('',#355725,0.175000000000001); #142952=CIRCLE('',#355727,0.175000000000001); #142953=CIRCLE('',#355728,0.175000000000001); #142954=CIRCLE('',#355730,0.175000000000001); #142955=CIRCLE('',#355731,0.175000000000001); #142956=CIRCLE('',#355733,0.175000000000001); #142957=CIRCLE('',#355734,0.175000000000001); #142958=CIRCLE('',#355736,0.175000000000001); #142959=CIRCLE('',#355737,0.175000000000001); #142960=CIRCLE('',#355739,0.175000000000001); #142961=CIRCLE('',#355740,0.175000000000001); #142962=CIRCLE('',#355742,0.175000000000001); #142963=CIRCLE('',#355743,0.175000000000001); #142964=CIRCLE('',#355745,0.175000000000001); #142965=CIRCLE('',#355746,0.175000000000001); #142966=CIRCLE('',#355748,0.175000000000001); #142967=CIRCLE('',#355749,0.175000000000001); #142968=CIRCLE('',#355751,0.507999999999997); #142969=CIRCLE('',#355752,0.507999999999997); #142970=CIRCLE('',#355754,0.175000000000001); #142971=CIRCLE('',#355755,0.175000000000001); #142972=CIRCLE('',#355757,0.175000000000001); #142973=CIRCLE('',#355758,0.175000000000001); #142974=CIRCLE('',#355760,0.175000000000001); #142975=CIRCLE('',#355761,0.175000000000001); #142976=CIRCLE('',#355763,0.175000000000001); #142977=CIRCLE('',#355764,0.175000000000001); #142978=CIRCLE('',#355766,0.175000000000001); #142979=CIRCLE('',#355767,0.175000000000001); #142980=CIRCLE('',#355769,0.175000000000001); #142981=CIRCLE('',#355770,0.175000000000001); #142982=CIRCLE('',#355772,0.175000000000001); #142983=CIRCLE('',#355773,0.175000000000001); #142984=CIRCLE('',#355775,0.175000000000001); #142985=CIRCLE('',#355776,0.175000000000001); #142986=CIRCLE('',#355778,0.175000000000001); #142987=CIRCLE('',#355779,0.175000000000001); #142988=CIRCLE('',#355781,0.175000000000001); #142989=CIRCLE('',#355782,0.175000000000001); #142990=CIRCLE('',#355784,0.175000000000001); #142991=CIRCLE('',#355785,0.175000000000001); #142992=CIRCLE('',#355787,0.175000000000001); #142993=CIRCLE('',#355788,0.175000000000001); #142994=CIRCLE('',#355790,0.175000000000001); #142995=CIRCLE('',#355791,0.175000000000001); #142996=CIRCLE('',#355793,0.175000000000001); #142997=CIRCLE('',#355794,0.175000000000001); #142998=CIRCLE('',#355796,0.175000000000001); #142999=CIRCLE('',#355797,0.175000000000001); #143000=CIRCLE('',#355799,0.499999999999998); #143001=CIRCLE('',#355800,0.499999999999998); #143002=CIRCLE('',#355802,0.175000000000001); #143003=CIRCLE('',#355803,0.175000000000001); #143004=CIRCLE('',#355805,0.175000000000001); #143005=CIRCLE('',#355806,0.175000000000001); #143006=CIRCLE('',#355808,0.175000000000001); #143007=CIRCLE('',#355809,0.175000000000001); #143008=CIRCLE('',#355811,0.175000000000001); #143009=CIRCLE('',#355812,0.175000000000001); #143010=CIRCLE('',#355814,0.175000000000001); #143011=CIRCLE('',#355815,0.175000000000001); #143012=CIRCLE('',#355817,0.175000000000001); #143013=CIRCLE('',#355818,0.175000000000001); #143014=CIRCLE('',#355820,0.175000000000001); #143015=CIRCLE('',#355821,0.175000000000001); #143016=CIRCLE('',#355823,0.175000000000001); #143017=CIRCLE('',#355824,0.175000000000001); #143018=CIRCLE('',#355826,0.175000000000001); #143019=CIRCLE('',#355827,0.175000000000001); #143020=CIRCLE('',#355829,0.175000000000001); #143021=CIRCLE('',#355830,0.175000000000001); #143022=CIRCLE('',#355832,0.175000000000001); #143023=CIRCLE('',#355833,0.175000000000001); #143024=CIRCLE('',#355835,0.175000000000001); #143025=CIRCLE('',#355836,0.175000000000001); #143026=CIRCLE('',#355838,0.175000000000001); #143027=CIRCLE('',#355839,0.175000000000001); #143028=CIRCLE('',#355841,0.175000000000001); #143029=CIRCLE('',#355842,0.175000000000001); #143030=CIRCLE('',#355844,0.175000000000001); #143031=CIRCLE('',#355845,0.175000000000001); #143032=CIRCLE('',#355847,34.); #143033=CIRCLE('',#355848,34.); #143034=CIRCLE('',#355962,0.016); #143035=CIRCLE('',#355963,0.016); #143036=CIRCLE('',#355964,0.016); #143037=CIRCLE('',#355966,0.016); #143038=CIRCLE('',#355967,0.016); #143039=CIRCLE('',#355968,0.016); #143040=CIRCLE('',#355970,0.016); #143041=CIRCLE('',#355971,0.016); #143042=CIRCLE('',#355972,0.016); #143043=CIRCLE('',#355974,0.016); #143044=CIRCLE('',#355975,0.016); #143045=CIRCLE('',#355976,0.016); #143046=CIRCLE('',#355978,0.016); #143047=CIRCLE('',#355979,0.016); #143048=CIRCLE('',#355980,0.016); #143049=CIRCLE('',#355983,0.016); #143050=CIRCLE('',#355984,0.016); #143051=CIRCLE('',#355985,0.016); #143052=CIRCLE('',#355987,0.016); #143053=CIRCLE('',#355988,0.016); #143054=CIRCLE('',#355989,0.016); #143055=CIRCLE('',#355993,0.016); #143056=CIRCLE('',#355994,0.016); #143057=CIRCLE('',#355995,0.016); #143058=CIRCLE('',#356012,0.016); #143059=CIRCLE('',#356013,0.016); #143060=CIRCLE('',#356014,0.016); #143061=CIRCLE('',#356016,0.016); #143062=CIRCLE('',#356017,0.016); #143063=CIRCLE('',#356018,0.016); #143064=CIRCLE('',#356020,0.016); #143065=CIRCLE('',#356021,0.016); #143066=CIRCLE('',#356022,0.016); #143067=CIRCLE('',#356024,0.016); #143068=CIRCLE('',#356025,0.016); #143069=CIRCLE('',#356026,0.016); #143070=CIRCLE('',#356028,0.016); #143071=CIRCLE('',#356029,0.016); #143072=CIRCLE('',#356030,0.016); #143073=CIRCLE('',#356033,0.016); #143074=CIRCLE('',#356034,0.016); #143075=CIRCLE('',#356035,0.016); #143076=CIRCLE('',#356037,0.016); #143077=CIRCLE('',#356038,0.0159999999999999); #143078=CIRCLE('',#356039,0.016); #143079=CIRCLE('',#356043,0.016); #143080=CIRCLE('',#356044,0.016); #143081=CIRCLE('',#356045,0.016); #143082=CIRCLE('',#356087,0.45); #143083=CIRCLE('',#356088,0.45); #143084=CIRCLE('',#356089,0.45); #143085=CIRCLE('',#356090,0.45); #143086=CIRCLE('',#356092,0.45); #143087=CIRCLE('',#356093,0.45); #143088=CIRCLE('',#356094,0.45); #143089=CIRCLE('',#356095,0.45); #143090=CIRCLE('',#356102,0.76); #143091=CIRCLE('',#356104,0.76); #143092=CIRCLE('',#356106,0.825); #143093=CIRCLE('',#356107,0.96); #143094=CIRCLE('',#356108,0.71); #143095=CIRCLE('',#356176,0.96); #143096=CIRCLE('',#356177,0.96); #143097=CIRCLE('',#356184,0.81); #143098=CIRCLE('',#356185,0.81); #143099=CIRCLE('',#356186,0.45); #143100=CIRCLE('',#356187,0.45); #143101=CIRCLE('',#356191,0.81); #143102=CIRCLE('',#356192,0.81); #143103=CIRCLE('',#356198,0.96); #143104=CIRCLE('',#356199,0.96); #143105=CIRCLE('',#356202,0.96); #143106=CIRCLE('',#356203,0.96); #143107=CIRCLE('',#356205,0.96); #143108=CIRCLE('',#356209,0.45); #143109=CIRCLE('',#356210,0.45); #143110=CIRCLE('',#356211,0.45); #143111=CIRCLE('',#356214,0.45); #143112=CIRCLE('',#356215,0.45); #143113=CIRCLE('',#356216,0.45); #143114=CIRCLE('',#356219,0.22); #143115=CIRCLE('',#356220,0.22); #143116=CIRCLE('',#356221,0.22); #143117=CIRCLE('',#356222,0.22); #143118=CIRCLE('',#356226,0.250000000000004); #143119=CIRCLE('',#356227,0.250000000000004); #143120=CIRCLE('',#356234,0.250000000000002); #143121=CIRCLE('',#356235,0.250000000000002); #143122=CIRCLE('',#356286,0.25); #143123=CIRCLE('',#356287,0.25); #143124=CIRCLE('',#356289,0.25); #143125=CIRCLE('',#356291,0.15); #143126=CIRCLE('',#356293,0.25); #143127=CIRCLE('',#356295,0.15); #143128=CIRCLE('',#356301,0.825); #143129=CIRCLE('',#356302,0.71); #143130=CIRCLE('',#356392,0.22); #143131=CIRCLE('',#356393,0.08); #143132=CIRCLE('',#356394,0.2); #143133=CIRCLE('',#356395,0.1); #143134=CIRCLE('',#356397,0.1); #143135=CIRCLE('',#356398,0.2); #143136=CIRCLE('',#356399,0.08); #143137=CIRCLE('',#356400,0.22); #143138=CIRCLE('',#356421,0.22); #143139=CIRCLE('',#356422,0.08); #143140=CIRCLE('',#356423,0.2); #143141=CIRCLE('',#356424,0.1); #143142=CIRCLE('',#356426,0.1); #143143=CIRCLE('',#356427,0.2); #143144=CIRCLE('',#356428,0.08); #143145=CIRCLE('',#356429,0.22); #143146=CIRCLE('',#356450,0.22); #143147=CIRCLE('',#356451,0.08); #143148=CIRCLE('',#356452,0.2); #143149=CIRCLE('',#356453,0.1); #143150=CIRCLE('',#356455,0.1); #143151=CIRCLE('',#356456,0.2); #143152=CIRCLE('',#356457,0.08); #143153=CIRCLE('',#356458,0.22); #143154=CIRCLE('',#356479,0.22); #143155=CIRCLE('',#356480,0.08); #143156=CIRCLE('',#356481,0.2); #143157=CIRCLE('',#356482,0.1); #143158=CIRCLE('',#356484,0.1); #143159=CIRCLE('',#356485,0.2); #143160=CIRCLE('',#356486,0.08); #143161=CIRCLE('',#356487,0.22); #143162=CIRCLE('',#356508,0.22); #143163=CIRCLE('',#356509,0.08); #143164=CIRCLE('',#356510,0.2); #143165=CIRCLE('',#356511,0.1); #143166=CIRCLE('',#356513,0.1); #143167=CIRCLE('',#356514,0.2); #143168=CIRCLE('',#356515,0.08); #143169=CIRCLE('',#356516,0.22); #143170=CIRCLE('',#356537,0.22); #143171=CIRCLE('',#356538,0.08); #143172=CIRCLE('',#356539,0.2); #143173=CIRCLE('',#356540,0.1); #143174=CIRCLE('',#356542,0.1); #143175=CIRCLE('',#356543,0.2); #143176=CIRCLE('',#356544,0.08); #143177=CIRCLE('',#356545,0.22); #143178=CIRCLE('',#356566,0.22); #143179=CIRCLE('',#356567,0.08); #143180=CIRCLE('',#356568,0.2); #143181=CIRCLE('',#356569,0.1); #143182=CIRCLE('',#356571,0.1); #143183=CIRCLE('',#356572,0.2); #143184=CIRCLE('',#356573,0.08); #143185=CIRCLE('',#356574,0.22); #143186=CIRCLE('',#356595,0.1); #143187=CIRCLE('',#356596,0.2); #143188=CIRCLE('',#356597,0.08); #143189=CIRCLE('',#356598,0.22); #143190=CIRCLE('',#356600,0.22); #143191=CIRCLE('',#356601,0.08); #143192=CIRCLE('',#356602,0.2); #143193=CIRCLE('',#356603,0.1); #143194=CIRCLE('',#356624,0.1); #143195=CIRCLE('',#356625,0.2); #143196=CIRCLE('',#356626,0.08); #143197=CIRCLE('',#356627,0.22); #143198=CIRCLE('',#356629,0.22); #143199=CIRCLE('',#356630,0.08); #143200=CIRCLE('',#356631,0.2); #143201=CIRCLE('',#356632,0.1); #143202=CIRCLE('',#356653,0.1); #143203=CIRCLE('',#356654,0.2); #143204=CIRCLE('',#356655,0.08); #143205=CIRCLE('',#356656,0.22); #143206=CIRCLE('',#356658,0.22); #143207=CIRCLE('',#356659,0.08); #143208=CIRCLE('',#356660,0.2); #143209=CIRCLE('',#356661,0.1); #143210=CIRCLE('',#356682,0.1); #143211=CIRCLE('',#356683,0.2); #143212=CIRCLE('',#356684,0.08); #143213=CIRCLE('',#356685,0.22); #143214=CIRCLE('',#356687,0.22); #143215=CIRCLE('',#356688,0.08); #143216=CIRCLE('',#356689,0.2); #143217=CIRCLE('',#356690,0.1); #143218=CIRCLE('',#356711,0.1); #143219=CIRCLE('',#356712,0.2); #143220=CIRCLE('',#356713,0.08); #143221=CIRCLE('',#356714,0.22); #143222=CIRCLE('',#356716,0.22); #143223=CIRCLE('',#356717,0.08); #143224=CIRCLE('',#356718,0.2); #143225=CIRCLE('',#356719,0.1); #143226=CIRCLE('',#356740,0.1); #143227=CIRCLE('',#356741,0.2); #143228=CIRCLE('',#356742,0.08); #143229=CIRCLE('',#356743,0.22); #143230=CIRCLE('',#356745,0.22); #143231=CIRCLE('',#356746,0.08); #143232=CIRCLE('',#356747,0.2); #143233=CIRCLE('',#356748,0.1); #143234=CIRCLE('',#356769,0.1); #143235=CIRCLE('',#356770,0.2); #143236=CIRCLE('',#356771,0.08); #143237=CIRCLE('',#356772,0.22); #143238=CIRCLE('',#356774,0.22); #143239=CIRCLE('',#356775,0.08); #143240=CIRCLE('',#356776,0.2); #143241=CIRCLE('',#356777,0.1); #143242=CIRCLE('',#356801,0.099340716866279); #143243=CIRCLE('',#356802,0.099340716866279); #143244=CIRCLE('',#356807,0.2); #143245=CIRCLE('',#356808,0.2); #143246=CIRCLE('',#356811,0.07); #143247=CIRCLE('',#356812,0.07); #143248=CIRCLE('',#356814,0.07); #143249=CIRCLE('',#356815,0.07); #143250=CIRCLE('',#356818,0.0810588235294118); #143251=CIRCLE('',#356819,0.0810588235294118); #143252=CIRCLE('',#356822,0.0810588235294118); #143253=CIRCLE('',#356823,0.0810588235294118); #143254=CIRCLE('',#356832,0.0810588235294118); #143255=CIRCLE('',#356833,0.0810588235294118); #143256=CIRCLE('',#356836,0.0810588235294118); #143257=CIRCLE('',#356837,0.0810588235294118); #143258=CIRCLE('',#356840,0.07); #143259=CIRCLE('',#356841,0.07); #143260=CIRCLE('',#356843,0.07); #143261=CIRCLE('',#356844,0.07); #143262=CIRCLE('',#356847,0.2); #143263=CIRCLE('',#356848,0.2); #143264=CIRCLE('',#356853,0.099340716866279); #143265=CIRCLE('',#356854,0.099340716866279); #143266=CIRCLE('',#356862,0.14); #143267=CIRCLE('',#356863,0.14); #143268=CIRCLE('',#356864,0.14); #143269=CIRCLE('',#356865,0.14); #143270=CIRCLE('',#356866,0.14); #143271=CIRCLE('',#356867,0.14); #143272=CIRCLE('',#356868,0.14); #143273=CIRCLE('',#356869,0.14); #143274=CIRCLE('',#356870,0.2); #143275=CIRCLE('',#356871,0.15); #143276=CIRCLE('',#356872,0.2); #143277=CIRCLE('',#356873,0.2); #143278=CIRCLE('',#356874,0.2); #143279=CIRCLE('',#356875,0.2); #143280=CIRCLE('',#356876,0.203418496667774); #143281=CIRCLE('',#356877,0.203418496667774); #143282=CIRCLE('',#356878,0.15); #143283=CIRCLE('',#356880,0.14); #143284=CIRCLE('',#356881,0.15); #143285=CIRCLE('',#356882,0.203418496667774); #143286=CIRCLE('',#356883,0.203418496667774); #143287=CIRCLE('',#356884,0.2); #143288=CIRCLE('',#356885,0.2); #143289=CIRCLE('',#356886,0.2); #143290=CIRCLE('',#356887,0.2); #143291=CIRCLE('',#356888,0.15); #143292=CIRCLE('',#356889,0.2); #143293=CIRCLE('',#356890,0.14); #143294=CIRCLE('',#356891,0.14); #143295=CIRCLE('',#356892,0.14); #143296=CIRCLE('',#356893,0.14); #143297=CIRCLE('',#356894,0.14); #143298=CIRCLE('',#356895,0.14); #143299=CIRCLE('',#356896,0.14); #143300=CIRCLE('',#356900,0.15); #143301=CIRCLE('',#356901,0.15); #143302=CIRCLE('',#356903,0.15); #143303=CIRCLE('',#356904,0.15); #143304=CIRCLE('',#356906,0.15); #143305=CIRCLE('',#356907,0.15); #143306=CIRCLE('',#356909,0.2); #143307=CIRCLE('',#356910,0.2); #143308=CIRCLE('',#356911,0.2); #143309=CIRCLE('',#356912,0.143940075739726); #143310=CIRCLE('',#356913,0.199862831858373); #143311=CIRCLE('',#356914,0.199862831858373); #143312=CIRCLE('',#356915,0.143940075739726); #143313=CIRCLE('',#356916,0.200511249999998); #143314=CIRCLE('',#356917,0.200511249999998); #143315=CIRCLE('',#356918,0.2); #143316=CIRCLE('',#356919,0.156782950094636); #143317=CIRCLE('',#356920,0.196634615384615); #143318=CIRCLE('',#356921,0.196634615384615); #143319=CIRCLE('',#356922,0.15678295009463); #143320=CIRCLE('',#356923,0.1425625); #143321=CIRCLE('',#356924,0.0999670307511854); #143322=CIRCLE('',#356925,0.146360174065558); #143323=CIRCLE('',#356926,0.15); #143324=CIRCLE('',#356927,0.2); #143325=CIRCLE('',#356929,0.15); #143326=CIRCLE('',#356930,0.45); #143327=CIRCLE('',#356932,0.15); #143328=CIRCLE('',#356933,0.203418496667774); #143329=CIRCLE('',#356934,0.203418496667774); #143330=CIRCLE('',#356935,0.2); #143331=CIRCLE('',#356936,0.2); #143332=CIRCLE('',#356937,0.2); #143333=CIRCLE('',#356938,0.2); #143334=CIRCLE('',#356939,0.15); #143335=CIRCLE('',#356940,0.2); #143336=CIRCLE('',#356941,0.15); #143337=CIRCLE('',#356942,0.13); #143338=CIRCLE('',#356943,0.13); #143339=CIRCLE('',#356944,0.15); #143340=CIRCLE('',#356945,0.15); #143341=CIRCLE('',#356946,0.13); #143342=CIRCLE('',#356947,0.13); #143343=CIRCLE('',#356948,0.15); #143344=CIRCLE('',#356958,1.28); #143345=CIRCLE('',#356959,0.98); #143346=CIRCLE('',#356961,0.200511249999998); #143347=CIRCLE('',#356962,0.200511249999998); #143348=CIRCLE('',#356963,0.143940075739726); #143349=CIRCLE('',#356964,0.199862831858373); #143350=CIRCLE('',#356965,0.199862831858373); #143351=CIRCLE('',#356966,0.143940075739726); #143352=CIRCLE('',#356967,0.2); #143353=CIRCLE('',#356968,0.2); #143354=CIRCLE('',#356969,0.2); #143355=CIRCLE('',#356970,0.2); #143356=CIRCLE('',#356971,0.15); #143357=CIRCLE('',#356972,0.146360174065558); #143358=CIRCLE('',#356973,0.0999670307511854); #143359=CIRCLE('',#356974,0.1425625); #143360=CIRCLE('',#356975,0.15678295009463); #143361=CIRCLE('',#356976,0.196634615384615); #143362=CIRCLE('',#356977,0.196634615384615); #143363=CIRCLE('',#356978,0.156782950094636); #143364=CIRCLE('',#356979,0.2); #143365=CIRCLE('',#356986,0.2); #143366=CIRCLE('',#356987,0.156782950094636); #143367=CIRCLE('',#356988,0.196634615384615); #143368=CIRCLE('',#356989,0.196634615384615); #143369=CIRCLE('',#356990,0.15678295009463); #143370=CIRCLE('',#356991,0.1425625); #143371=CIRCLE('',#356992,0.0999670307511854); #143372=CIRCLE('',#356993,0.146360174065558); #143373=CIRCLE('',#356994,0.15); #143374=CIRCLE('',#356995,0.2); #143375=CIRCLE('',#356996,0.2); #143376=CIRCLE('',#356997,0.2); #143377=CIRCLE('',#356998,0.2); #143378=CIRCLE('',#356999,0.143940075739726); #143379=CIRCLE('',#357000,0.199862831858373); #143380=CIRCLE('',#357001,0.199862831858373); #143381=CIRCLE('',#357002,0.143940075739726); #143382=CIRCLE('',#357003,0.15); #143383=CIRCLE('',#357004,0.15); #143384=CIRCLE('',#357010,0.15); #143385=CIRCLE('',#357011,0.15); #143386=CIRCLE('',#357012,0.13); #143387=CIRCLE('',#357013,0.13); #143388=CIRCLE('',#357014,0.15); #143389=CIRCLE('',#357015,0.15); #143390=CIRCLE('',#357016,0.13); #143391=CIRCLE('',#357017,0.13); #143392=CIRCLE('',#357018,0.15); #143393=CIRCLE('',#357019,0.2); #143394=CIRCLE('',#357020,0.15); #143395=CIRCLE('',#357021,0.2); #143396=CIRCLE('',#357022,0.2); #143397=CIRCLE('',#357023,0.2); #143398=CIRCLE('',#357024,0.2); #143399=CIRCLE('',#357025,0.203418496667774); #143400=CIRCLE('',#357026,0.203418496667774); #143401=CIRCLE('',#357028,0.98); #143402=CIRCLE('',#357029,0.98); #143403=CIRCLE('',#357031,0.98); #143404=CIRCLE('',#357032,0.98); #143405=CIRCLE('',#357034,1.28); #143406=CIRCLE('',#357035,1.28); #143407=CIRCLE('',#357037,1.28); #143408=CIRCLE('',#357038,1.28); #143409=CIRCLE('',#357040,0.98); #143410=CIRCLE('',#357041,0.98); #143411=CIRCLE('',#357043,1.28); #143412=CIRCLE('',#357044,1.28); #143413=CIRCLE('',#357048,0.98); #143414=CIRCLE('',#357049,1.28); #143415=CIRCLE('',#357052,1.08); #143416=CIRCLE('',#357053,1.08); #143417=CIRCLE('',#357054,1.08); #143418=CIRCLE('',#357055,1.08); #143419=CIRCLE('',#357056,1.28); #143420=CIRCLE('',#357057,1.28); #143421=CIRCLE('',#357059,0.98); #143422=CIRCLE('',#357061,0.98); #143423=CIRCLE('',#357063,0.98); #143424=CIRCLE('',#357064,0.98); #143425=CIRCLE('',#357066,0.143940075739726); #143426=CIRCLE('',#357067,0.199862831858373); #143427=CIRCLE('',#357068,0.199862831858373); #143428=CIRCLE('',#357069,0.143940075739726); #143429=CIRCLE('',#357070,0.2); #143430=CIRCLE('',#357071,0.2); #143431=CIRCLE('',#357072,0.2); #143432=CIRCLE('',#357073,0.2); #143433=CIRCLE('',#357074,0.15); #143434=CIRCLE('',#357075,0.146360174065558); #143435=CIRCLE('',#357076,0.0999670307511854); #143436=CIRCLE('',#357077,0.1425625); #143437=CIRCLE('',#357078,0.15678295009463); #143438=CIRCLE('',#357079,0.196634615384615); #143439=CIRCLE('',#357080,0.196634615384615); #143440=CIRCLE('',#357081,0.156782950094636); #143441=CIRCLE('',#357082,0.2); #143442=CIRCLE('',#357083,0.15); #143443=CIRCLE('',#357084,0.15); #143444=CIRCLE('',#357087,0.15); #143445=CIRCLE('',#357088,0.15); #143446=CIRCLE('',#357091,1.28); #143447=CIRCLE('',#357092,1.28); #143448=CIRCLE('',#357098,0.45); #143449=CIRCLE('',#357099,0.15); #143450=CIRCLE('',#357186,0.410719203494586); #143451=CIRCLE('',#357187,0.103126984126984); #143452=CIRCLE('',#357188,0.103126984126984); #143453=CIRCLE('',#357189,0.410719203494586); #143454=CIRCLE('',#357190,0.110719203494586); #143455=CIRCLE('',#357191,0.403126984126984); #143456=CIRCLE('',#357192,0.403126984126984); #143457=CIRCLE('',#357193,0.110719203494586); #143458=CIRCLE('',#357195,0.403126984126984); #143459=CIRCLE('',#357196,0.403126984126984); #143460=CIRCLE('',#357197,0.110719203494586); #143461=CIRCLE('',#357198,0.410719203494586); #143462=CIRCLE('',#357199,0.103126984126984); #143463=CIRCLE('',#357200,0.103126984126984); #143464=CIRCLE('',#357201,0.410719203494586); #143465=CIRCLE('',#357202,0.110719203494586); #143466=CIRCLE('',#357222,0.410719203494586); #143467=CIRCLE('',#357223,0.103126984126984); #143468=CIRCLE('',#357224,0.103126984126984); #143469=CIRCLE('',#357225,0.410719203494586); #143470=CIRCLE('',#357226,0.110719203494586); #143471=CIRCLE('',#357227,0.403126984126984); #143472=CIRCLE('',#357228,0.403126984126984); #143473=CIRCLE('',#357229,0.110719203494586); #143474=CIRCLE('',#357231,0.403126984126984); #143475=CIRCLE('',#357232,0.403126984126984); #143476=CIRCLE('',#357233,0.110719203494586); #143477=CIRCLE('',#357234,0.410719203494586); #143478=CIRCLE('',#357235,0.103126984126984); #143479=CIRCLE('',#357236,0.103126984126984); #143480=CIRCLE('',#357237,0.410719203494586); #143481=CIRCLE('',#357238,0.110719203494586); #143482=CIRCLE('',#357255,0.124613333333333); #143483=CIRCLE('',#357256,0.124613333333333); #143484=CIRCLE('',#357259,0.124613333333333); #143485=CIRCLE('',#357260,0.124613333333333); #143486=CIRCLE('',#357262,0.15); #143487=CIRCLE('',#357264,0.15); #143488=CIRCLE('',#357269,0.15); #143489=CIRCLE('',#357270,0.15); #143490=CIRCLE('',#357273,0.15); #143491=CIRCLE('',#357274,0.15); #143492=CIRCLE('',#357277,0.15); #143493=CIRCLE('',#357279,0.15); #143494=CIRCLE('',#357326,0.410719203494586); #143495=CIRCLE('',#357327,0.103126984126984); #143496=CIRCLE('',#357328,0.103126984126984); #143497=CIRCLE('',#357329,0.410719203494586); #143498=CIRCLE('',#357330,0.110719203494586); #143499=CIRCLE('',#357331,0.403126984126984); #143500=CIRCLE('',#357332,0.403126984126984); #143501=CIRCLE('',#357333,0.110719203494586); #143502=CIRCLE('',#357335,0.403126984126984); #143503=CIRCLE('',#357336,0.403126984126984); #143504=CIRCLE('',#357337,0.110719203494586); #143505=CIRCLE('',#357338,0.410719203494586); #143506=CIRCLE('',#357339,0.103126984126984); #143507=CIRCLE('',#357340,0.103126984126984); #143508=CIRCLE('',#357341,0.410719203494586); #143509=CIRCLE('',#357342,0.110719203494586); #143510=CIRCLE('',#357362,0.410719203494586); #143511=CIRCLE('',#357363,0.103126984126984); #143512=CIRCLE('',#357364,0.103126984126984); #143513=CIRCLE('',#357365,0.410719203494586); #143514=CIRCLE('',#357366,0.110719203494586); #143515=CIRCLE('',#357367,0.403126984126984); #143516=CIRCLE('',#357368,0.403126984126984); #143517=CIRCLE('',#357369,0.110719203494586); #143518=CIRCLE('',#357371,0.403126984126984); #143519=CIRCLE('',#357372,0.403126984126984); #143520=CIRCLE('',#357373,0.110719203494586); #143521=CIRCLE('',#357374,0.410719203494586); #143522=CIRCLE('',#357375,0.103126984126984); #143523=CIRCLE('',#357376,0.103126984126984); #143524=CIRCLE('',#357377,0.410719203494586); #143525=CIRCLE('',#357378,0.110719203494586); #143526=CIRCLE('',#357394,0.124613333333333); #143527=CIRCLE('',#357395,0.124613333333333); #143528=CIRCLE('',#357398,0.124613333333333); #143529=CIRCLE('',#357399,0.124613333333333); #143530=CIRCLE('',#357402,0.15); #143531=CIRCLE('',#357403,0.15); #143532=CIRCLE('',#357409,0.15); #143533=CIRCLE('',#357410,0.15); #143534=CIRCLE('',#357412,0.15); #143535=CIRCLE('',#357413,0.15); #143536=CIRCLE('',#357417,0.15); #143537=CIRCLE('',#357419,0.15); #143538=CIRCLE('',#357429,0.2); #143539=CIRCLE('',#357432,0.132018518518511); #143540=CIRCLE('',#357433,0.132018518518511); #143541=CIRCLE('',#357435,0.148012635933508); #143542=CIRCLE('',#357436,0.148012635933508); #143543=CIRCLE('',#357438,0.11087204124655); #143544=CIRCLE('',#357439,0.11087204124655); #143545=CIRCLE('',#357442,0.11087204124655); #143546=CIRCLE('',#357443,0.11087204124655); #143547=CIRCLE('',#357445,0.148012635933508); #143548=CIRCLE('',#357446,0.148012635933508); #143549=CIRCLE('',#357448,0.132018518518511); #143550=CIRCLE('',#357449,0.132018518518511); #143551=CIRCLE('',#357452,0.15); #143552=CIRCLE('',#357453,0.15); #143553=CIRCLE('',#357456,0.15); #143554=CIRCLE('',#357457,0.15); #143555=CIRCLE('',#357460,0.132018518518511); #143556=CIRCLE('',#357461,0.132018518518511); #143557=CIRCLE('',#357463,0.148012635933508); #143558=CIRCLE('',#357464,0.148012635933508); #143559=CIRCLE('',#357466,0.11087204124655); #143560=CIRCLE('',#357467,0.11087204124655); #143561=CIRCLE('',#357470,0.11087204124655); #143562=CIRCLE('',#357471,0.11087204124655); #143563=CIRCLE('',#357473,0.148012635933508); #143564=CIRCLE('',#357474,0.148012635933508); #143565=CIRCLE('',#357476,0.132018518518511); #143566=CIRCLE('',#357477,0.132018518518511); #143567=CIRCLE('',#357480,0.15); #143568=CIRCLE('',#357481,0.15); #143569=CIRCLE('',#357484,0.15); #143570=CIRCLE('',#357485,0.15); #143571=CIRCLE('',#357489,0.2); #143572=CIRCLE('',#357491,0.15); #143573=CIRCLE('',#357492,0.45); #143574=CIRCLE('',#357494,0.2); #143575=CIRCLE('',#357503,0.2); #143576=CIRCLE('',#357506,0.45); #143577=CIRCLE('',#357507,0.15); #143578=CIRCLE('',#357509,0.15); #143579=CIRCLE('',#357513,0.15); #143580=CIRCLE('',#357516,0.148); #143581=CIRCLE('',#357517,0.148); #143582=CIRCLE('',#357519,0.292004733994588); #143583=CIRCLE('',#357520,0.292004733994588); #143584=CIRCLE('',#357522,1.15304609186865); #143585=CIRCLE('',#357523,1.15304609186865); #143586=CIRCLE('',#357525,0.45); #143587=CIRCLE('',#357528,0.14737794976545); #143588=CIRCLE('',#357529,0.14737794976545); #143589=CIRCLE('',#357532,0.14737794976545); #143590=CIRCLE('',#357533,0.14737794976545); #143591=CIRCLE('',#357536,0.144226016739943); #143592=CIRCLE('',#357537,0.144226016739943); #143593=CIRCLE('',#357539,0.176676870506421); #143594=CIRCLE('',#357541,0.176676870506421); #143595=CIRCLE('',#357545,0.176676870506421); #143596=CIRCLE('',#357546,0.176676870506421); #143597=CIRCLE('',#357548,0.144226016739943); #143598=CIRCLE('',#357549,0.144226016739943); #143599=CIRCLE('',#357552,0.144226016739943); #143600=CIRCLE('',#357553,0.144226016739943); #143601=CIRCLE('',#357555,0.176676870506421); #143602=CIRCLE('',#357557,0.176676870506421); #143603=CIRCLE('',#357561,0.176676870506421); #143604=CIRCLE('',#357562,0.176676870506421); #143605=CIRCLE('',#357564,0.144226016739943); #143606=CIRCLE('',#357565,0.144226016739943); #143607=CIRCLE('',#357568,0.14737794976545); #143608=CIRCLE('',#357569,0.14737794976545); #143609=CIRCLE('',#357572,0.14737794976545); #143610=CIRCLE('',#357573,0.14737794976545); #143611=CIRCLE('',#357575,0.45); #143612=CIRCLE('',#357578,1.15304609186865); #143613=CIRCLE('',#357579,1.15304609186865); #143614=CIRCLE('',#357581,0.292004733994588); #143615=CIRCLE('',#357582,0.292004733994588); #143616=CIRCLE('',#357584,0.148); #143617=CIRCLE('',#357585,0.148); #143618=CIRCLE('',#357589,0.15); #143619=CIRCLE('',#357590,0.15); #143620=CIRCLE('',#357592,0.15); #143621=CIRCLE('',#357593,0.15); #143622=CIRCLE('',#357595,0.15); #143623=CIRCLE('',#357596,0.45); #143624=CIRCLE('',#357598,0.15); #143625=CIRCLE('',#357599,0.15); #143626=CIRCLE('',#357601,0.15); #143627=CIRCLE('',#357603,0.15); #143628=CIRCLE('',#357606,0.45); #143629=CIRCLE('',#357607,0.15); #143630=CIRCLE('',#357611,0.15); #143631=CIRCLE('',#357612,0.45); #143632=CIRCLE('',#357616,0.15); #143633=CIRCLE('',#357617,0.45); #143634=CIRCLE('',#357653,0.22); #143635=CIRCLE('',#357654,0.08); #143636=CIRCLE('',#357655,0.2); #143637=CIRCLE('',#357656,0.1); #143638=CIRCLE('',#357658,0.1); #143639=CIRCLE('',#357659,0.2); #143640=CIRCLE('',#357660,0.08); #143641=CIRCLE('',#357661,0.22); #143642=CIRCLE('',#357716,0.499999992739); #143643=CIRCLE('',#357718,0.499999992739); #143644=CIRCLE('',#357720,0.999999985477); #143645=CIRCLE('',#357721,0.999999985477); #143646=CIRCLE('',#357724,0.999999985477); #143647=CIRCLE('',#357725,0.999999985477); #143648=CIRCLE('',#357727,0.499999992739); #143649=CIRCLE('',#357730,0.499999992739); #143650=CIRCLE('',#357795,0.04); #143651=CIRCLE('',#357796,0.04); #143652=CIRCLE('',#357797,0.04); #143653=CIRCLE('',#357799,0.04); #143654=CIRCLE('',#357800,0.04); #143655=CIRCLE('',#357801,0.04); #143656=CIRCLE('',#357803,0.04); #143657=CIRCLE('',#357804,0.04); #143658=CIRCLE('',#357805,0.04); #143659=CIRCLE('',#357807,0.04); #143660=CIRCLE('',#357808,0.04); #143661=CIRCLE('',#357809,0.04); #143662=CIRCLE('',#357811,0.04); #143663=CIRCLE('',#357812,0.04); #143664=CIRCLE('',#357813,0.04); #143665=CIRCLE('',#357816,0.04); #143666=CIRCLE('',#357817,0.04); #143667=CIRCLE('',#357818,0.04); #143668=CIRCLE('',#357820,0.04); #143669=CIRCLE('',#357821,0.04); #143670=CIRCLE('',#357822,0.04); #143671=CIRCLE('',#357826,0.04); #143672=CIRCLE('',#357827,0.04); #143673=CIRCLE('',#357828,0.04); #143674=CIRCLE('',#357845,0.04); #143675=CIRCLE('',#357846,0.04); #143676=CIRCLE('',#357847,0.04); #143677=CIRCLE('',#357849,0.04); #143678=CIRCLE('',#357850,0.04); #143679=CIRCLE('',#357851,0.04); #143680=CIRCLE('',#357853,0.04); #143681=CIRCLE('',#357854,0.04); #143682=CIRCLE('',#357855,0.04); #143683=CIRCLE('',#357857,0.04); #143684=CIRCLE('',#357858,0.04); #143685=CIRCLE('',#357859,0.04); #143686=CIRCLE('',#357861,0.04); #143687=CIRCLE('',#357862,0.04); #143688=CIRCLE('',#357863,0.04); #143689=CIRCLE('',#357866,0.04); #143690=CIRCLE('',#357867,0.04); #143691=CIRCLE('',#357868,0.04); #143692=CIRCLE('',#357870,0.04); #143693=CIRCLE('',#357871,0.04); #143694=CIRCLE('',#357872,0.04); #143695=CIRCLE('',#357876,0.04); #143696=CIRCLE('',#357877,0.04); #143697=CIRCLE('',#357878,0.04); #143698=CIRCLE('',#357904,0.0319999999999999); #143699=CIRCLE('',#357905,0.032); #143700=CIRCLE('',#357906,0.0319999999999999); #143701=CIRCLE('',#357908,0.032); #143702=CIRCLE('',#357909,0.032); #143703=CIRCLE('',#357910,0.0319999999999999); #143704=CIRCLE('',#357912,0.0319999999999999); #143705=CIRCLE('',#357913,0.032); #143706=CIRCLE('',#357914,0.032); #143707=CIRCLE('',#357916,0.032); #143708=CIRCLE('',#357917,0.0319999999999999); #143709=CIRCLE('',#357918,0.0319999999999999); #143710=CIRCLE('',#357920,0.032); #143711=CIRCLE('',#357921,0.032); #143712=CIRCLE('',#357922,0.032); #143713=CIRCLE('',#357925,0.032); #143714=CIRCLE('',#357926,0.032); #143715=CIRCLE('',#357927,0.0319999999999999); #143716=CIRCLE('',#357929,0.032); #143717=CIRCLE('',#357930,0.0319999999999999); #143718=CIRCLE('',#357931,0.032); #143719=CIRCLE('',#357935,0.0320000000000001); #143720=CIRCLE('',#357936,0.032); #143721=CIRCLE('',#357937,0.032); #143722=CIRCLE('',#357954,0.032); #143723=CIRCLE('',#357955,0.032); #143724=CIRCLE('',#357956,0.0319999999999999); #143725=CIRCLE('',#357958,0.032); #143726=CIRCLE('',#357959,0.0319999999999999); #143727=CIRCLE('',#357960,0.0319999999999999); #143728=CIRCLE('',#357962,0.0320000000000001); #143729=CIRCLE('',#357963,0.032); #143730=CIRCLE('',#357964,0.032); #143731=CIRCLE('',#357966,0.032); #143732=CIRCLE('',#357967,0.032); #143733=CIRCLE('',#357968,0.0319999999999999); #143734=CIRCLE('',#357970,0.032); #143735=CIRCLE('',#357971,0.032); #143736=CIRCLE('',#357972,0.0319999999999999); #143737=CIRCLE('',#357975,0.0319999999999999); #143738=CIRCLE('',#357976,0.032); #143739=CIRCLE('',#357977,0.0319999999999999); #143740=CIRCLE('',#357979,0.032); #143741=CIRCLE('',#357980,0.0319999999999999); #143742=CIRCLE('',#357981,0.032); #143743=CIRCLE('',#357985,0.0319999999999999); #143744=CIRCLE('',#357986,0.032); #143745=CIRCLE('',#357987,0.032); #143746=CIRCLE('',#358013,0.04); #143747=CIRCLE('',#358014,0.04); #143748=CIRCLE('',#358015,0.04); #143749=CIRCLE('',#358017,0.04); #143750=CIRCLE('',#358018,0.04); #143751=CIRCLE('',#358019,0.04); #143752=CIRCLE('',#358021,0.04); #143753=CIRCLE('',#358022,0.04); #143754=CIRCLE('',#358023,0.04); #143755=CIRCLE('',#358025,0.04); #143756=CIRCLE('',#358026,0.04); #143757=CIRCLE('',#358027,0.04); #143758=CIRCLE('',#358029,0.04); #143759=CIRCLE('',#358030,0.04); #143760=CIRCLE('',#358031,0.04); #143761=CIRCLE('',#358034,0.04); #143762=CIRCLE('',#358035,0.04); #143763=CIRCLE('',#358036,0.04); #143764=CIRCLE('',#358038,0.04); #143765=CIRCLE('',#358039,0.0399999999999998); #143766=CIRCLE('',#358040,0.04); #143767=CIRCLE('',#358044,0.0399999999999999); #143768=CIRCLE('',#358045,0.04); #143769=CIRCLE('',#358046,0.04); #143770=CIRCLE('',#358063,0.04); #143771=CIRCLE('',#358064,0.04); #143772=CIRCLE('',#358065,0.04); #143773=CIRCLE('',#358067,0.04); #143774=CIRCLE('',#358068,0.04); #143775=CIRCLE('',#358069,0.04); #143776=CIRCLE('',#358071,0.0399999999999999); #143777=CIRCLE('',#358072,0.04); #143778=CIRCLE('',#358073,0.04); #143779=CIRCLE('',#358075,0.04); #143780=CIRCLE('',#358076,0.04); #143781=CIRCLE('',#358077,0.04); #143782=CIRCLE('',#358079,0.04); #143783=CIRCLE('',#358080,0.04); #143784=CIRCLE('',#358081,0.0399999999999998); #143785=CIRCLE('',#358084,0.04); #143786=CIRCLE('',#358085,0.04); #143787=CIRCLE('',#358086,0.04); #143788=CIRCLE('',#358088,0.04); #143789=CIRCLE('',#358089,0.0400000000000002); #143790=CIRCLE('',#358090,0.04); #143791=CIRCLE('',#358094,0.04); #143792=CIRCLE('',#358095,0.04); #143793=CIRCLE('',#358096,0.04); #143794=CIRCLE('',#358122,3.11666666666667); #143795=CIRCLE('',#358123,3.11666666666667); #143796=CIRCLE('',#358126,4.10833333333333); #143797=CIRCLE('',#358128,4.25); #143798=CIRCLE('',#358129,0.141666666666667); #143799=CIRCLE('',#358131,4.25); #143800=CIRCLE('',#358133,4.14375); #143801=CIRCLE('',#358134,0.141666666666667); #143802=CIRCLE('',#358136,0.425000000000001); #143803=CIRCLE('',#358137,4.14375); #143804=CIRCLE('',#358139,4.25); #143805=CIRCLE('',#358140,0.141666666666667); #143806=CIRCLE('',#358142,4.25); #143807=CIRCLE('',#358144,4.10833333333333); #143808=CIRCLE('',#358145,0.141666666666667); #143809=CIRCLE('',#358148,0.141666666666666); #143810=CIRCLE('',#358149,0.415); #143811=CIRCLE('',#358150,0.141666666666667); #143812=CIRCLE('',#358151,0.141666666666667); #143813=CIRCLE('',#358152,0.141666666666667); #143814=CIRCLE('',#358153,0.141666666666667); #143815=CIRCLE('',#358154,0.425000000000001); #143816=CIRCLE('',#358155,0.141666666666667); #143817=CIRCLE('',#358156,0.141666666666667); #143818=CIRCLE('',#358157,0.141666666666667); #143819=CIRCLE('',#358159,4.16438622754498); #143820=CIRCLE('',#358160,0.415); #143821=CIRCLE('',#358161,4.16438622754498); #143822=CIRCLE('',#358163,0.141666666666667); #143823=CIRCLE('',#358164,4.26999999999998); #143824=CIRCLE('',#358166,4.26999999999998); #143825=CIRCLE('',#358168,0.141666666666667); #143826=CIRCLE('',#358169,4.12833333333331); #143827=CIRCLE('',#358171,4.10833333333342); #143828=CIRCLE('',#358173,0.141666666666667); #143829=CIRCLE('',#358174,4.25); #143830=CIRCLE('',#358176,4.25); #143831=CIRCLE('',#358178,0.141666666666667); #143832=CIRCLE('',#358179,4.14374999999993); #143833=CIRCLE('',#358181,0.425000000000001); #143834=CIRCLE('',#358182,4.14374999999993); #143835=CIRCLE('',#358184,0.141666666666667); #143836=CIRCLE('',#358185,4.25); #143837=CIRCLE('',#358187,4.25); #143838=CIRCLE('',#358189,0.141666666666667); #143839=CIRCLE('',#358190,4.10833333333342); #143840=CIRCLE('',#358192,4.12833333333331); #143841=CIRCLE('',#358194,0.141666666666667); #143842=CIRCLE('',#358195,4.26999999999997); #143843=CIRCLE('',#358197,4.26999999999997); #143844=CIRCLE('',#358199,0.141666666666666); #143845=CIRCLE('',#358202,0.325); #143846=CIRCLE('',#358203,0.325); #143847=CIRCLE('',#358207,0.325); #143848=CIRCLE('',#358208,0.325); #143849=CIRCLE('',#358213,4.27050000000048); #143850=CIRCLE('',#358214,4.27150000000091); #143851=CIRCLE('',#358216,4.27150000000091); #143852=CIRCLE('',#358218,4.27050000000048); #143853=CIRCLE('',#358223,4.27050000000048); #143854=CIRCLE('',#358224,4.27150000000091); #143855=CIRCLE('',#358226,4.27150000000091); #143856=CIRCLE('',#358228,4.27050000000048); #143857=CIRCLE('',#358233,4.27050000000048); #143858=CIRCLE('',#358234,4.27150000000091); #143859=CIRCLE('',#358236,4.27150000000091); #143860=CIRCLE('',#358238,4.27050000000048); #143861=CIRCLE('',#358243,4.27050000000048); #143862=CIRCLE('',#358244,4.27150000000091); #143863=CIRCLE('',#358246,4.27150000000091); #143864=CIRCLE('',#358248,4.27050000000048); #143865=CIRCLE('',#358253,4.27050000000048); #143866=CIRCLE('',#358254,4.27150000000091); #143867=CIRCLE('',#358256,4.27150000000091); #143868=CIRCLE('',#358258,4.27050000000048); #143869=CIRCLE('',#358263,4.27050000000048); #143870=CIRCLE('',#358264,4.27150000000091); #143871=CIRCLE('',#358266,4.27150000000091); #143872=CIRCLE('',#358268,4.27050000000048); #143873=CIRCLE('',#358273,4.27050000000048); #143874=CIRCLE('',#358274,4.27150000000091); #143875=CIRCLE('',#358276,4.27150000000091); #143876=CIRCLE('',#358278,4.27050000000048); #143877=CIRCLE('',#358283,4.27050000000048); #143878=CIRCLE('',#358284,4.27150000000091); #143879=CIRCLE('',#358286,4.27150000000091); #143880=CIRCLE('',#358288,4.27050000000048); #143881=CIRCLE('',#358293,4.27050000000048); #143882=CIRCLE('',#358294,4.27150000000091); #143883=CIRCLE('',#358296,4.27150000000091); #143884=CIRCLE('',#358298,4.27050000000048); #143885=CIRCLE('',#358305,0.0750000000000001); #143886=CIRCLE('',#358306,0.0750000000000001); #143887=CIRCLE('',#358327,0.175); #143888=CIRCLE('',#358328,0.175); #143889=CIRCLE('',#358331,0.175); #143890=CIRCLE('',#358332,0.175); #143891=CIRCLE('',#358339,0.175); #143892=CIRCLE('',#358340,0.175); #143893=CIRCLE('',#358343,0.175); #143894=CIRCLE('',#358344,0.175); #143895=CIRCLE('',#358351,0.175); #143896=CIRCLE('',#358352,0.175); #143897=CIRCLE('',#358355,0.175); #143898=CIRCLE('',#358356,0.175); #143899=CIRCLE('',#358363,0.175); #143900=CIRCLE('',#358364,0.175); #143901=CIRCLE('',#358367,0.175); #143902=CIRCLE('',#358368,0.175); #143903=CIRCLE('',#358375,0.175); #143904=CIRCLE('',#358376,0.175); #143905=CIRCLE('',#358379,0.175); #143906=CIRCLE('',#358380,0.175); #143907=CIRCLE('',#358387,0.175); #143908=CIRCLE('',#358388,0.175); #143909=CIRCLE('',#358391,0.175); #143910=CIRCLE('',#358392,0.175); #143911=CIRCLE('',#358399,0.175); #143912=CIRCLE('',#358400,0.175); #143913=CIRCLE('',#358403,0.175); #143914=CIRCLE('',#358404,0.175); #143915=CIRCLE('',#358411,0.175); #143916=CIRCLE('',#358412,0.175); #143917=CIRCLE('',#358415,0.175); #143918=CIRCLE('',#358416,0.175); #143919=CIRCLE('',#358472,0.0750000000000001); #143920=CIRCLE('',#358473,0.0750000000000001); #143921=CIRCLE('',#358494,0.25); #143922=CIRCLE('',#358495,0.25); #143923=CIRCLE('',#358498,0.25); #143924=CIRCLE('',#358499,0.25); #143925=CIRCLE('',#358506,0.25); #143926=CIRCLE('',#358507,0.25); #143927=CIRCLE('',#358510,0.25); #143928=CIRCLE('',#358511,0.25); #143929=CIRCLE('',#358518,0.25); #143930=CIRCLE('',#358519,0.25); #143931=CIRCLE('',#358522,0.25); #143932=CIRCLE('',#358523,0.25); #143933=CIRCLE('',#358530,0.25); #143934=CIRCLE('',#358531,0.25); #143935=CIRCLE('',#358534,0.25); #143936=CIRCLE('',#358535,0.25); #143937=CIRCLE('',#358542,0.25); #143938=CIRCLE('',#358543,0.25); #143939=CIRCLE('',#358546,0.25); #143940=CIRCLE('',#358547,0.25); #143941=CIRCLE('',#358554,0.25); #143942=CIRCLE('',#358555,0.25); #143943=CIRCLE('',#358558,0.25); #143944=CIRCLE('',#358559,0.25); #143945=CIRCLE('',#358566,0.25); #143946=CIRCLE('',#358567,0.25); #143947=CIRCLE('',#358570,0.25); #143948=CIRCLE('',#358571,0.25); #143949=CIRCLE('',#358578,0.25); #143950=CIRCLE('',#358579,0.25); #143951=CIRCLE('',#358582,0.25); #143952=CIRCLE('',#358583,0.25); #143953=CIRCLE('',#358676,3.595); #143954=CIRCLE('',#358677,3.595); #143955=CIRCLE('',#358679,5.76); #143956=CIRCLE('',#358680,5.76); #143957=CIRCLE('',#358686,3.5); #143958=CIRCLE('',#358688,3.14155); #143959=CIRCLE('',#358701,3.454); #143960=CIRCLE('',#358709,3.02375); #143961=CIRCLE('',#358711,3.02375); #143962=CIRCLE('',#358727,3.); #143963=CIRCLE('',#358728,3.); #143964=CIRCLE('',#358729,3.); #143965=CIRCLE('',#358750,2.49999999999999); #143966=CIRCLE('',#358751,3.); #143967=CIRCLE('',#358753,2.49999999999999); #143968=CIRCLE('',#358754,2.49999999999999); #143969=CIRCLE('',#358755,2.49999999999999); #143970=CIRCLE('',#358756,2.49999999999999); #143971=CIRCLE('',#358757,2.49999999999999); #143972=CIRCLE('',#358758,2.49999999999999); #143973=CIRCLE('',#358759,2.49999999999999); #143974=CIRCLE('',#358761,3.); #143975=CIRCLE('',#358763,3.); #143976=CIRCLE('',#358765,3.); #143977=CIRCLE('',#358767,3.); #143978=CIRCLE('',#358769,3.); #143979=CIRCLE('',#358771,3.); #143980=CIRCLE('',#358773,3.); #143981=CIRCLE('',#358775,3.); #143982=CIRCLE('',#358777,3.); #143983=CIRCLE('',#358779,3.); #143984=CIRCLE('',#358781,3.); #143985=CIRCLE('',#358783,3.); #143986=CIRCLE('',#358785,3.); #143987=CIRCLE('',#358795,2.49999999999999); #143988=CIRCLE('',#358796,3.); #143989=CIRCLE('',#358798,2.49999999999999); #143990=CIRCLE('',#358799,3.); #143991=CIRCLE('',#358801,2.49999999999999); #143992=CIRCLE('',#358802,3.); #143993=CIRCLE('',#358804,3.); #143994=CIRCLE('',#358805,2.49999999999999); #143995=CIRCLE('',#358807,2.49999999999999); #143996=CIRCLE('',#358808,3.); #143997=CIRCLE('',#358810,2.49999999999999); #143998=CIRCLE('',#358811,3.); #143999=CIRCLE('',#358813,2.49999999999999); #144000=CIRCLE('',#358814,3.); #144001=CIRCLE('',#358816,2.49999999999999); #144002=CIRCLE('',#358818,3.); #144003=CIRCLE('',#358828,3.); #144004=CIRCLE('',#358830,3.); #144005=CIRCLE('',#358832,3.); #144006=CIRCLE('',#358834,3.); #144007=CIRCLE('',#358836,3.); #144008=CIRCLE('',#358838,3.); #144009=CIRCLE('',#358840,3.); #144010=CIRCLE('',#358842,3.); #144011=CIRCLE('',#358844,3.); #144012=CIRCLE('',#358848,0.150000000181); #144013=CIRCLE('',#358849,0.150000000181); #144014=CIRCLE('',#358851,0.400000000483); #144015=CIRCLE('',#358852,0.400000000483); #144016=CIRCLE('',#358854,0.1); #144017=CIRCLE('',#358855,0.3); #144018=CIRCLE('',#358856,0.3); #144019=CIRCLE('',#358857,0.1); #144020=CIRCLE('',#358863,0.1); #144021=CIRCLE('',#358866,0.1); #144022=CIRCLE('',#358868,0.3); #144023=CIRCLE('',#358871,0.3); #144024=CIRCLE('',#358875,0.1); #144025=CIRCLE('',#358876,0.3); #144026=CIRCLE('',#358877,0.3); #144027=CIRCLE('',#358878,0.1); #144028=CIRCLE('',#358881,0.1); #144029=CIRCLE('',#358882,0.3); #144030=CIRCLE('',#358883,0.3); #144031=CIRCLE('',#358884,0.1); #144032=CIRCLE('',#358897,0.400000000483); #144033=CIRCLE('',#358898,0.400000000483); #144034=CIRCLE('',#358900,0.150000000181); #144035=CIRCLE('',#358901,0.150000000181); #144036=CIRCLE('',#358904,0.1); #144037=CIRCLE('',#358905,0.3); #144038=CIRCLE('',#358906,0.3); #144039=CIRCLE('',#358907,0.1); #144040=CIRCLE('',#358910,0.3); #144041=CIRCLE('',#358912,0.1); #144042=CIRCLE('',#358913,0.3); #144043=CIRCLE('',#358914,0.1); #144044=CIRCLE('',#358927,0.150000000181); #144045=CIRCLE('',#358928,0.150000000181); #144046=CIRCLE('',#358930,0.400000000483); #144047=CIRCLE('',#358931,0.400000000483); #144048=CIRCLE('',#358933,0.1); #144049=CIRCLE('',#358934,0.3); #144050=CIRCLE('',#358935,0.3); #144051=CIRCLE('',#358936,0.1); #144052=CIRCLE('',#358942,0.1); #144053=CIRCLE('',#358945,0.1); #144054=CIRCLE('',#358947,0.3); #144055=CIRCLE('',#358950,0.3); #144056=CIRCLE('',#358954,0.1); #144057=CIRCLE('',#358955,0.3); #144058=CIRCLE('',#358956,0.3); #144059=CIRCLE('',#358957,0.1); #144060=CIRCLE('',#358960,0.1); #144061=CIRCLE('',#358961,0.3); #144062=CIRCLE('',#358962,0.3); #144063=CIRCLE('',#358963,0.1); #144064=CIRCLE('',#358975,0.300000000363); #144065=CIRCLE('',#358976,0.3); #144066=CIRCLE('',#358979,0.3); #144067=CIRCLE('',#358980,0.300000000363); #144068=CIRCLE('',#358982,0.3); #144069=CIRCLE('',#358983,0.300000000363); #144070=CIRCLE('',#358985,0.3); #144071=CIRCLE('',#358986,0.3); #144072=CIRCLE('',#358987,0.3); #144073=CIRCLE('',#358988,0.3); #144074=CIRCLE('',#358990,3.02375); #144075=CIRCLE('',#358992,3.454); #144076=CIRCLE('',#359008,4.5); #144077=CIRCLE('',#359009,4.5); #144078=CIRCLE('',#359012,0.3); #144079=CIRCLE('',#359013,4.5); #144080=CIRCLE('',#359018,4.5); #144081=CIRCLE('',#359021,4.5); #144082=CIRCLE('',#359022,4.5); #144083=CIRCLE('',#359028,4.5); #144084=CIRCLE('',#359029,4.5); #144085=CIRCLE('',#359032,5.000000006042); #144086=CIRCLE('',#359033,5.000000006042); #144087=CIRCLE('',#359035,0.150000000181); #144088=CIRCLE('',#359036,0.150000000181); #144089=CIRCLE('',#359040,0.3); #144090=CIRCLE('',#359041,0.3); #144091=CIRCLE('',#359044,0.3); #144092=CIRCLE('',#359045,0.3); #144093=CIRCLE('',#359049,0.150000000181); #144094=CIRCLE('',#359050,0.150000000181); #144095=CIRCLE('',#359053,0.150000000181); #144096=CIRCLE('',#359054,0.150000000181); #144097=CIRCLE('',#359062,0.150000000181); #144098=CIRCLE('',#359065,0.150000000181); #144099=CIRCLE('',#359069,0.3); #144100=CIRCLE('',#359073,0.3); #144101=CIRCLE('',#359075,0.3); #144102=CIRCLE('',#359077,0.3); #144103=CIRCLE('',#359079,0.3); #144104=CIRCLE('',#359081,0.3); #144105=CIRCLE('',#359086,0.3); #144106=CIRCLE('',#359090,0.3); #144107=CIRCLE('',#359093,0.300000000363); #144108=CIRCLE('',#359094,0.3); #144109=CIRCLE('',#359096,0.3); #144110=CIRCLE('',#359099,0.3); #144111=CIRCLE('',#359101,0.3); #144112=CIRCLE('',#359102,0.3); #144113=CIRCLE('',#359103,0.300000000363); #144114=CIRCLE('',#359104,0.3); #144115=CIRCLE('',#359105,0.3); #144116=CIRCLE('',#359106,0.300000000363); #144117=CIRCLE('',#359107,0.3); #144118=CIRCLE('',#359108,0.300000000363); #144119=CIRCLE('',#359109,0.3); #144120=CIRCLE('',#359110,0.3); #144121=CIRCLE('',#359111,0.300000000363); #144122=CIRCLE('',#359128,0.150000000181); #144123=CIRCLE('',#359129,0.150000000181); #144124=CIRCLE('',#359131,0.350000000423); #144125=CIRCLE('',#359132,0.350000000423); #144126=CIRCLE('',#359137,0.3); #144127=CIRCLE('',#359138,0.3); #144128=CIRCLE('',#359140,0.3); #144129=CIRCLE('',#359142,0.3); #144130=CIRCLE('',#359150,0.150000000181); #144131=CIRCLE('',#359151,0.150000000181); #144132=CIRCLE('',#359153,0.350000000423); #144133=CIRCLE('',#359154,0.350000000423); #144134=CIRCLE('',#359159,0.3); #144135=CIRCLE('',#359160,0.3); #144136=CIRCLE('',#359162,0.3); #144137=CIRCLE('',#359164,0.3); #144138=CIRCLE('',#359174,0.350000000423); #144139=CIRCLE('',#359175,0.150000000181); #144140=CIRCLE('',#359177,0.3); #144141=CIRCLE('',#359178,0.3); #144142=CIRCLE('',#359181,0.3); #144143=CIRCLE('',#359184,0.150000000181); #144144=CIRCLE('',#359185,0.350000000423); #144145=CIRCLE('',#359187,0.3); #144146=CIRCLE('',#359190,0.3); #144147=CIRCLE('',#359191,0.3); #144148=CIRCLE('',#359193,0.3); #144149=CIRCLE('',#359195,0.350000000423); #144150=CIRCLE('',#359196,0.150000000181); #144151=CIRCLE('',#359199,0.3); #144152=CIRCLE('',#359202,0.150000000181); #144153=CIRCLE('',#359203,0.350000000423); #144154=CIRCLE('',#359224,0.300000000363); #144155=CIRCLE('',#359225,0.300000000363); #144156=CIRCLE('',#359227,0.300000000363); #144157=CIRCLE('',#359228,0.300000000363); #144158=CIRCLE('',#359230,0.350000000423); #144159=CIRCLE('',#359231,0.150000000181); #144160=CIRCLE('',#359233,0.150000000181); #144161=CIRCLE('',#359234,0.350000000423); #144162=CIRCLE('',#359236,0.350000000423); #144163=CIRCLE('',#359237,0.350000000423); #144164=CIRCLE('',#359239,0.150000000181); #144165=CIRCLE('',#359240,0.150000000181); #144166=CIRCLE('',#359245,0.150000000181); #144167=CIRCLE('',#359246,0.150000000181); #144168=CIRCLE('',#359255,0.350000000423); #144169=CIRCLE('',#359256,0.350000000423); #144170=CIRCLE('',#359267,0.300000000363); #144171=CIRCLE('',#359268,0.300000000363); #144172=CIRCLE('',#359270,0.300000000363); #144173=CIRCLE('',#359271,0.300000000363); #144174=CIRCLE('',#359273,0.150000000181); #144175=CIRCLE('',#359274,0.350000000423); #144176=CIRCLE('',#359276,0.350000000423); #144177=CIRCLE('',#359277,0.150000000181); #144178=CIRCLE('',#359279,0.350000000423); #144179=CIRCLE('',#359280,0.350000000423); #144180=CIRCLE('',#359282,0.150000000181); #144181=CIRCLE('',#359283,0.150000000181); #144182=CIRCLE('',#359297,0.150000000181); #144183=CIRCLE('',#359298,0.350000000423); #144184=CIRCLE('',#359305,0.350000000423); #144185=CIRCLE('',#359307,0.150000000181); #144186=CIRCLE('',#359313,0.15); #144187=CIRCLE('',#359314,0.15); #144188=CIRCLE('',#359327,0.15); #144189=CIRCLE('',#359329,0.15); #144190=CIRCLE('',#359337,0.15); #144191=CIRCLE('',#359339,0.15); #144192=CIRCLE('',#359347,0.15); #144193=CIRCLE('',#359349,0.15); #144194=CIRCLE('',#359357,0.15); #144195=CIRCLE('',#359359,0.15); #144196=CIRCLE('',#359367,0.15); #144197=CIRCLE('',#359369,0.15); #144198=CIRCLE('',#359377,0.15); #144199=CIRCLE('',#359379,0.15); #144200=CIRCLE('',#359387,0.15); #144201=CIRCLE('',#359389,0.15); #144202=CIRCLE('',#359397,0.15); #144203=CIRCLE('',#359399,0.15); #144204=CIRCLE('',#359407,0.15); #144205=CIRCLE('',#359409,0.15); #144206=CIRCLE('',#359417,0.15); #144207=CIRCLE('',#359419,0.15); #144208=CIRCLE('',#359427,0.15); #144209=CIRCLE('',#359429,0.15); #144210=CIRCLE('',#359437,0.15); #144211=CIRCLE('',#359439,0.15); #144212=CIRCLE('',#359447,0.15); #144213=CIRCLE('',#359449,0.15); #144214=CIRCLE('',#359457,0.15); #144215=CIRCLE('',#359459,0.15); #144216=CIRCLE('',#359467,0.15); #144217=CIRCLE('',#359469,0.15); #144218=CIRCLE('',#359477,0.15); #144219=CIRCLE('',#359479,0.15); #144220=CIRCLE('',#359487,0.15); #144221=CIRCLE('',#359489,0.15); #144222=CIRCLE('',#359497,0.15); #144223=CIRCLE('',#359499,0.15); #144224=CIRCLE('',#359507,0.15); #144225=CIRCLE('',#359509,0.15); #144226=CIRCLE('',#359517,0.15); #144227=CIRCLE('',#359519,0.15); #144228=CIRCLE('',#359527,0.15); #144229=CIRCLE('',#359529,0.15); #144230=CIRCLE('',#359537,0.15); #144231=CIRCLE('',#359539,0.15); #144232=CIRCLE('',#359547,0.15); #144233=CIRCLE('',#359549,0.15); #144234=CIRCLE('',#359557,0.15); #144235=CIRCLE('',#359559,0.15); #144236=CIRCLE('',#359567,0.15); #144237=CIRCLE('',#359569,0.15); #144238=CIRCLE('',#359577,0.15); #144239=CIRCLE('',#359579,0.15); #144240=CIRCLE('',#359587,0.15); #144241=CIRCLE('',#359589,0.15); #144242=CIRCLE('',#359597,0.15); #144243=CIRCLE('',#359599,0.15); #144244=CIRCLE('',#359607,0.15); #144245=CIRCLE('',#359609,0.15); #144246=CIRCLE('',#359617,0.15); #144247=CIRCLE('',#359619,0.15); #144248=CIRCLE('',#359627,0.15); #144249=CIRCLE('',#359629,0.15); #144250=CIRCLE('',#359637,0.15); #144251=CIRCLE('',#359639,0.15); #144252=CIRCLE('',#359647,0.15); #144253=CIRCLE('',#359649,0.15); #144254=CIRCLE('',#359657,0.15); #144255=CIRCLE('',#359659,0.15); #144256=CIRCLE('',#359667,0.15); #144257=CIRCLE('',#359669,0.15); #144258=CIRCLE('',#359677,0.15); #144259=CIRCLE('',#359679,0.15); #144260=CIRCLE('',#359687,0.15); #144261=CIRCLE('',#359689,0.15); #144262=CIRCLE('',#359697,0.15); #144263=CIRCLE('',#359699,0.15); #144264=CIRCLE('',#359707,0.15); #144265=CIRCLE('',#359709,0.15); #144266=CIRCLE('',#359717,0.15); #144267=CIRCLE('',#359719,0.15); #144268=CIRCLE('',#359727,0.15); #144269=CIRCLE('',#359729,0.15); #144270=CIRCLE('',#359737,0.15); #144271=CIRCLE('',#359739,0.15); #144272=CIRCLE('',#359747,0.15); #144273=CIRCLE('',#359749,0.15); #144274=CIRCLE('',#359757,0.15); #144275=CIRCLE('',#359759,0.15); #144276=CIRCLE('',#359767,0.15); #144277=CIRCLE('',#359769,0.15); #144278=CIRCLE('',#359777,0.15); #144279=CIRCLE('',#359779,0.15); #144280=CIRCLE('',#359787,0.15); #144281=CIRCLE('',#359789,0.15); #144282=CIRCLE('',#359797,0.15); #144283=CIRCLE('',#359799,0.15); #144284=CIRCLE('',#359816,0.04); #144285=CIRCLE('',#359817,0.04); #144286=CIRCLE('',#359818,0.04); #144287=CIRCLE('',#359820,0.04); #144288=CIRCLE('',#359821,0.04); #144289=CIRCLE('',#359822,0.04); #144290=CIRCLE('',#359824,0.04); #144291=CIRCLE('',#359825,0.04); #144292=CIRCLE('',#359826,0.04); #144293=CIRCLE('',#359828,0.04); #144294=CIRCLE('',#359829,0.04); #144295=CIRCLE('',#359830,0.04); #144296=CIRCLE('',#359832,0.04); #144297=CIRCLE('',#359833,0.04); #144298=CIRCLE('',#359834,0.04); #144299=CIRCLE('',#359837,0.04); #144300=CIRCLE('',#359838,0.04); #144301=CIRCLE('',#359839,0.04); #144302=CIRCLE('',#359841,0.04); #144303=CIRCLE('',#359842,0.04); #144304=CIRCLE('',#359843,0.04); #144305=CIRCLE('',#359847,0.04); #144306=CIRCLE('',#359848,0.04); #144307=CIRCLE('',#359849,0.04); #144308=CIRCLE('',#359866,0.04); #144309=CIRCLE('',#359867,0.04); #144310=CIRCLE('',#359868,0.04); #144311=CIRCLE('',#359870,0.04); #144312=CIRCLE('',#359871,0.04); #144313=CIRCLE('',#359872,0.04); #144314=CIRCLE('',#359874,0.04); #144315=CIRCLE('',#359875,0.04); #144316=CIRCLE('',#359876,0.04); #144317=CIRCLE('',#359878,0.04); #144318=CIRCLE('',#359879,0.04); #144319=CIRCLE('',#359880,0.04); #144320=CIRCLE('',#359882,0.04); #144321=CIRCLE('',#359883,0.04); #144322=CIRCLE('',#359884,0.04); #144323=CIRCLE('',#359887,0.04); #144324=CIRCLE('',#359888,0.04); #144325=CIRCLE('',#359889,0.04); #144326=CIRCLE('',#359891,0.04); #144327=CIRCLE('',#359892,0.04); #144328=CIRCLE('',#359893,0.04); #144329=CIRCLE('',#359897,0.04); #144330=CIRCLE('',#359898,0.04); #144331=CIRCLE('',#359899,0.04); #144332=CIRCLE('',#359968,0.1995); #144333=CIRCLE('',#359969,0.1995); #144334=CIRCLE('',#359986,0.200000000000001); #144335=CIRCLE('',#359987,0.0499999999991504); #144336=CIRCLE('',#359988,0.200000000000034); #144337=CIRCLE('',#359989,0.0500000000000014); #144338=CIRCLE('',#359992,0.0499999999991504); #144339=CIRCLE('',#359997,0.200000000000034); #144340=CIRCLE('',#360000,0.0500000000000014); #144341=CIRCLE('',#360005,0.200000000000001); #144342=CIRCLE('',#360008,0.200000000000001); #144343=CIRCLE('',#360009,0.0500000000000014); #144344=CIRCLE('',#360010,0.200000000000034); #144345=CIRCLE('',#360011,0.0499999999991504); #144346=CIRCLE('',#360014,0.0499999999991504); #144347=CIRCLE('',#360019,0.200000000000034); #144348=CIRCLE('',#360022,0.0500000000000014); #144349=CIRCLE('',#360027,0.200000000000001); #144350=CIRCLE('',#360030,0.200000000000001); #144351=CIRCLE('',#360031,0.0499999999991504); #144352=CIRCLE('',#360032,0.200000000000034); #144353=CIRCLE('',#360033,0.0500000000000014); #144354=CIRCLE('',#360036,0.0499999999991504); #144355=CIRCLE('',#360041,0.200000000000034); #144356=CIRCLE('',#360044,0.0500000000000014); #144357=CIRCLE('',#360049,0.200000000000001); #144358=CIRCLE('',#360052,0.200000000000001); #144359=CIRCLE('',#360053,0.0499999999991504); #144360=CIRCLE('',#360054,0.200000000000034); #144361=CIRCLE('',#360055,0.0500000000000014); #144362=CIRCLE('',#360058,0.0499999999991504); #144363=CIRCLE('',#360063,0.200000000000034); #144364=CIRCLE('',#360066,0.0500000000000014); #144365=CIRCLE('',#360071,0.200000000000001); #144366=CIRCLE('',#360074,0.200000000000001); #144367=CIRCLE('',#360075,0.0499999999991504); #144368=CIRCLE('',#360076,0.200000000000034); #144369=CIRCLE('',#360077,0.0500000000000014); #144370=CIRCLE('',#360080,0.0499999999991504); #144371=CIRCLE('',#360085,0.200000000000034); #144372=CIRCLE('',#360088,0.0500000000000014); #144373=CIRCLE('',#360093,0.200000000000001); #144374=CIRCLE('',#360096,0.200000000000001); #144375=CIRCLE('',#360097,0.0500000000000014); #144376=CIRCLE('',#360098,0.200000000000034); #144377=CIRCLE('',#360099,0.0499999999991504); #144378=CIRCLE('',#360102,0.0499999999991504); #144379=CIRCLE('',#360107,0.200000000000034); #144380=CIRCLE('',#360110,0.0500000000000014); #144381=CIRCLE('',#360115,0.200000000000001); #144382=CIRCLE('',#360118,0.200000000000001); #144383=CIRCLE('',#360119,0.0500000000000014); #144384=CIRCLE('',#360120,0.200000000000034); #144385=CIRCLE('',#360121,0.0499999999991504); #144386=CIRCLE('',#360124,0.0499999999991504); #144387=CIRCLE('',#360129,0.200000000000034); #144388=CIRCLE('',#360132,0.0500000000000014); #144389=CIRCLE('',#360137,0.200000000000001); #144390=CIRCLE('',#360140,0.200000000000001); #144391=CIRCLE('',#360141,0.0500000000000014); #144392=CIRCLE('',#360142,0.200000000000034); #144393=CIRCLE('',#360143,0.0499999999991504); #144394=CIRCLE('',#360146,0.0499999999991504); #144395=CIRCLE('',#360151,0.200000000000034); #144396=CIRCLE('',#360154,0.0500000000000014); #144397=CIRCLE('',#360159,0.200000000000001); #144398=CIRCLE('',#360165,0.085); #144399=CIRCLE('',#360166,0.085); #144400=CIRCLE('',#360183,0.13); #144401=CIRCLE('',#360184,0.0325); #144402=CIRCLE('',#360185,0.13); #144403=CIRCLE('',#360186,0.0325); #144404=CIRCLE('',#360191,0.0325); #144405=CIRCLE('',#360194,0.13); #144406=CIRCLE('',#360199,0.0325); #144407=CIRCLE('',#360202,0.13); #144408=CIRCLE('',#360205,0.13); #144409=CIRCLE('',#360206,0.0325); #144410=CIRCLE('',#360207,0.13); #144411=CIRCLE('',#360208,0.0325); #144412=CIRCLE('',#360213,0.0325); #144413=CIRCLE('',#360216,0.13); #144414=CIRCLE('',#360221,0.0325); #144415=CIRCLE('',#360224,0.13); #144416=CIRCLE('',#360227,0.13); #144417=CIRCLE('',#360228,0.0325); #144418=CIRCLE('',#360229,0.13); #144419=CIRCLE('',#360230,0.0325); #144420=CIRCLE('',#360235,0.0325); #144421=CIRCLE('',#360238,0.13); #144422=CIRCLE('',#360243,0.0325); #144423=CIRCLE('',#360246,0.13); #144424=CIRCLE('',#360249,0.13); #144425=CIRCLE('',#360250,0.0325); #144426=CIRCLE('',#360251,0.13); #144427=CIRCLE('',#360252,0.0325); #144428=CIRCLE('',#360257,0.0325); #144429=CIRCLE('',#360260,0.13); #144430=CIRCLE('',#360265,0.0325); #144431=CIRCLE('',#360268,0.13); #144432=CIRCLE('',#360271,0.13); #144433=CIRCLE('',#360272,0.0325); #144434=CIRCLE('',#360273,0.13); #144435=CIRCLE('',#360274,0.0325); #144436=CIRCLE('',#360279,0.0325); #144437=CIRCLE('',#360282,0.13); #144438=CIRCLE('',#360287,0.0325); #144439=CIRCLE('',#360290,0.13); #144440=CIRCLE('',#360296,0.04); #144441=CIRCLE('',#360297,0.04); #144442=CIRCLE('',#360298,0.04); #144443=CIRCLE('',#360300,0.04); #144444=CIRCLE('',#360301,0.04); #144445=CIRCLE('',#360302,0.04); #144446=CIRCLE('',#360304,0.04); #144447=CIRCLE('',#360305,0.04); #144448=CIRCLE('',#360306,0.04); #144449=CIRCLE('',#360308,0.04); #144450=CIRCLE('',#360309,0.04); #144451=CIRCLE('',#360310,0.04); #144452=CIRCLE('',#360312,0.04); #144453=CIRCLE('',#360313,0.04); #144454=CIRCLE('',#360314,0.04); #144455=CIRCLE('',#360317,0.04); #144456=CIRCLE('',#360318,0.04); #144457=CIRCLE('',#360319,0.04); #144458=CIRCLE('',#360321,0.04); #144459=CIRCLE('',#360322,0.0399999999999998); #144460=CIRCLE('',#360323,0.04); #144461=CIRCLE('',#360327,0.0399999999999999); #144462=CIRCLE('',#360328,0.04); #144463=CIRCLE('',#360329,0.04); #144464=CIRCLE('',#360346,0.04); #144465=CIRCLE('',#360347,0.04); #144466=CIRCLE('',#360348,0.04); #144467=CIRCLE('',#360350,0.04); #144468=CIRCLE('',#360351,0.04); #144469=CIRCLE('',#360352,0.04); #144470=CIRCLE('',#360354,0.0399999999999999); #144471=CIRCLE('',#360355,0.04); #144472=CIRCLE('',#360356,0.04); #144473=CIRCLE('',#360358,0.04); #144474=CIRCLE('',#360359,0.04); #144475=CIRCLE('',#360360,0.04); #144476=CIRCLE('',#360362,0.04); #144477=CIRCLE('',#360363,0.04); #144478=CIRCLE('',#360364,0.0399999999999998); #144479=CIRCLE('',#360367,0.04); #144480=CIRCLE('',#360368,0.04); #144481=CIRCLE('',#360369,0.04); #144482=CIRCLE('',#360371,0.04); #144483=CIRCLE('',#360372,0.0400000000000002); #144484=CIRCLE('',#360373,0.04); #144485=CIRCLE('',#360377,0.04); #144486=CIRCLE('',#360378,0.04); #144487=CIRCLE('',#360379,0.04); #144488=CIRCLE('',#360506,0.5775); #144489=CIRCLE('',#360507,0.5775); #144490=CIRCLE('',#360511,0.5775); #144491=CIRCLE('',#360512,0.5775); #144492=CIRCLE('',#360514,0.6); #144493=CIRCLE('',#360515,0.6); #144494=CIRCLE('',#360519,0.75); #144495=CIRCLE('',#360520,0.75); #144496=CIRCLE('',#360524,0.75); #144497=CIRCLE('',#360525,0.75); #144498=CIRCLE('',#360529,0.579); #144499=CIRCLE('',#360530,0.579); #144500=VERTEX_POINT('',#449410); #144501=VERTEX_POINT('',#449412); #144502=VERTEX_POINT('',#449416); #144503=VERTEX_POINT('',#449418); #144504=VERTEX_POINT('',#449422); #144505=VERTEX_POINT('',#449424); #144506=VERTEX_POINT('',#449428); #144507=VERTEX_POINT('',#449430); #144508=VERTEX_POINT('',#449434); #144509=VERTEX_POINT('',#449436); #144510=VERTEX_POINT('',#449440); #144511=VERTEX_POINT('',#449442); #144512=VERTEX_POINT('',#449446); #144513=VERTEX_POINT('',#449448); #144514=VERTEX_POINT('',#449452); #144515=VERTEX_POINT('',#449454); #144516=VERTEX_POINT('',#449458); #144517=VERTEX_POINT('',#449460); #144518=VERTEX_POINT('',#449464); #144519=VERTEX_POINT('',#449466); #144520=VERTEX_POINT('',#449470); #144521=VERTEX_POINT('',#449472); #144522=VERTEX_POINT('',#449476); #144523=VERTEX_POINT('',#449478); #144524=VERTEX_POINT('',#449482); #144525=VERTEX_POINT('',#449484); #144526=VERTEX_POINT('',#449488); #144527=VERTEX_POINT('',#449490); #144528=VERTEX_POINT('',#449494); #144529=VERTEX_POINT('',#449496); #144530=VERTEX_POINT('',#449500); #144531=VERTEX_POINT('',#449502); #144532=VERTEX_POINT('',#449506); #144533=VERTEX_POINT('',#449508); #144534=VERTEX_POINT('',#449512); #144535=VERTEX_POINT('',#449514); #144536=VERTEX_POINT('',#449518); #144537=VERTEX_POINT('',#449520); #144538=VERTEX_POINT('',#449524); #144539=VERTEX_POINT('',#449526); #144540=VERTEX_POINT('',#449530); #144541=VERTEX_POINT('',#449532); #144542=VERTEX_POINT('',#449536); #144543=VERTEX_POINT('',#449538); #144544=VERTEX_POINT('',#449542); #144545=VERTEX_POINT('',#449544); #144546=VERTEX_POINT('',#449548); #144547=VERTEX_POINT('',#449550); #144548=VERTEX_POINT('',#449554); #144549=VERTEX_POINT('',#449556); #144550=VERTEX_POINT('',#449560); #144551=VERTEX_POINT('',#449562); #144552=VERTEX_POINT('',#449566); #144553=VERTEX_POINT('',#449568); #144554=VERTEX_POINT('',#449572); #144555=VERTEX_POINT('',#449574); #144556=VERTEX_POINT('',#449578); #144557=VERTEX_POINT('',#449580); #144558=VERTEX_POINT('',#449584); #144559=VERTEX_POINT('',#449586); #144560=VERTEX_POINT('',#449590); #144561=VERTEX_POINT('',#449592); #144562=VERTEX_POINT('',#449596); #144563=VERTEX_POINT('',#449598); #144564=VERTEX_POINT('',#449602); #144565=VERTEX_POINT('',#449604); #144566=VERTEX_POINT('',#449608); #144567=VERTEX_POINT('',#449610); #144568=VERTEX_POINT('',#449614); #144569=VERTEX_POINT('',#449616); #144570=VERTEX_POINT('',#449620); #144571=VERTEX_POINT('',#449622); #144572=VERTEX_POINT('',#449626); #144573=VERTEX_POINT('',#449628); #144574=VERTEX_POINT('',#449632); #144575=VERTEX_POINT('',#449634); #144576=VERTEX_POINT('',#449638); #144577=VERTEX_POINT('',#449640); #144578=VERTEX_POINT('',#449644); #144579=VERTEX_POINT('',#449645); #144580=VERTEX_POINT('',#449647); #144581=VERTEX_POINT('',#449649); #144582=VERTEX_POINT('',#449653); #144583=VERTEX_POINT('',#449655); #144584=VERTEX_POINT('',#449659); #144585=VERTEX_POINT('',#449661); #144586=VERTEX_POINT('',#449668); #144587=VERTEX_POINT('',#449670); #144588=VERTEX_POINT('',#449674); #144589=VERTEX_POINT('',#449675); #144590=VERTEX_POINT('',#449677); #144591=VERTEX_POINT('',#449679); #144592=VERTEX_POINT('',#449683); #144593=VERTEX_POINT('',#449685); #144594=VERTEX_POINT('',#449689); #144595=VERTEX_POINT('',#449691); #144596=VERTEX_POINT('',#449698); #144597=VERTEX_POINT('',#449700); #144598=VERTEX_POINT('',#449704); #144599=VERTEX_POINT('',#449706); #144600=VERTEX_POINT('',#449710); #144601=VERTEX_POINT('',#449712); #144602=VERTEX_POINT('',#449716); #144603=VERTEX_POINT('',#449718); #144604=VERTEX_POINT('',#449722); #144605=VERTEX_POINT('',#449724); #144606=VERTEX_POINT('',#449728); #144607=VERTEX_POINT('',#449730); #144608=VERTEX_POINT('',#449734); #144609=VERTEX_POINT('',#449736); #144610=VERTEX_POINT('',#449740); #144611=VERTEX_POINT('',#449742); #144612=VERTEX_POINT('',#449746); #144613=VERTEX_POINT('',#449748); #144614=VERTEX_POINT('',#449752); #144615=VERTEX_POINT('',#449754); #144616=VERTEX_POINT('',#449758); #144617=VERTEX_POINT('',#449760); #144618=VERTEX_POINT('',#449764); #144619=VERTEX_POINT('',#449765); #144620=VERTEX_POINT('',#449767); #144621=VERTEX_POINT('',#449769); #144622=VERTEX_POINT('',#449773); #144623=VERTEX_POINT('',#449775); #144624=VERTEX_POINT('',#449779); #144625=VERTEX_POINT('',#449781); #144626=VERTEX_POINT('',#449788); #144627=VERTEX_POINT('',#449790); #144628=VERTEX_POINT('',#449794); #144629=VERTEX_POINT('',#449795); #144630=VERTEX_POINT('',#449797); #144631=VERTEX_POINT('',#449799); #144632=VERTEX_POINT('',#449803); #144633=VERTEX_POINT('',#449805); #144634=VERTEX_POINT('',#449809); #144635=VERTEX_POINT('',#449811); #144636=VERTEX_POINT('',#449818); #144637=VERTEX_POINT('',#449820); #144638=VERTEX_POINT('',#449824); #144639=VERTEX_POINT('',#449825); #144640=VERTEX_POINT('',#449827); #144641=VERTEX_POINT('',#449829); #144642=VERTEX_POINT('',#449833); #144643=VERTEX_POINT('',#449835); #144644=VERTEX_POINT('',#449839); #144645=VERTEX_POINT('',#449841); #144646=VERTEX_POINT('',#449848); #144647=VERTEX_POINT('',#449850); #144648=VERTEX_POINT('',#449854); #144649=VERTEX_POINT('',#449855); #144650=VERTEX_POINT('',#449857); #144651=VERTEX_POINT('',#449859); #144652=VERTEX_POINT('',#449863); #144653=VERTEX_POINT('',#449865); #144654=VERTEX_POINT('',#449869); #144655=VERTEX_POINT('',#449871); #144656=VERTEX_POINT('',#449878); #144657=VERTEX_POINT('',#449880); #144658=VERTEX_POINT('',#449884); #144659=VERTEX_POINT('',#449886); #144660=VERTEX_POINT('',#449890); #144661=VERTEX_POINT('',#449892); #144662=VERTEX_POINT('',#449896); #144663=VERTEX_POINT('',#449898); #144664=VERTEX_POINT('',#449902); #144665=VERTEX_POINT('',#449904); #144666=VERTEX_POINT('',#449908); #144667=VERTEX_POINT('',#449910); #144668=VERTEX_POINT('',#449914); #144669=VERTEX_POINT('',#449916); #144670=VERTEX_POINT('',#449920); #144671=VERTEX_POINT('',#449922); #144672=VERTEX_POINT('',#449926); #144673=VERTEX_POINT('',#449928); #144674=VERTEX_POINT('',#449932); #144675=VERTEX_POINT('',#449934); #144676=VERTEX_POINT('',#449938); #144677=VERTEX_POINT('',#449940); #144678=VERTEX_POINT('',#449944); #144679=VERTEX_POINT('',#449946); #144680=VERTEX_POINT('',#449950); #144681=VERTEX_POINT('',#449952); #144682=VERTEX_POINT('',#449956); #144683=VERTEX_POINT('',#449958); #144684=VERTEX_POINT('',#449962); #144685=VERTEX_POINT('',#449964); #144686=VERTEX_POINT('',#449968); #144687=VERTEX_POINT('',#449970); #144688=VERTEX_POINT('',#449974); #144689=VERTEX_POINT('',#449976); #144690=VERTEX_POINT('',#449980); #144691=VERTEX_POINT('',#449982); #144692=VERTEX_POINT('',#449986); #144693=VERTEX_POINT('',#449988); #144694=VERTEX_POINT('',#449992); #144695=VERTEX_POINT('',#449994); #144696=VERTEX_POINT('',#449998); #144697=VERTEX_POINT('',#450000); #144698=VERTEX_POINT('',#450004); #144699=VERTEX_POINT('',#450006); #144700=VERTEX_POINT('',#450010); #144701=VERTEX_POINT('',#450012); #144702=VERTEX_POINT('',#450016); #144703=VERTEX_POINT('',#450018); #144704=VERTEX_POINT('',#450022); #144705=VERTEX_POINT('',#450024); #144706=VERTEX_POINT('',#450028); #144707=VERTEX_POINT('',#450030); #144708=VERTEX_POINT('',#450034); #144709=VERTEX_POINT('',#450036); #144710=VERTEX_POINT('',#450040); #144711=VERTEX_POINT('',#450042); #144712=VERTEX_POINT('',#450046); #144713=VERTEX_POINT('',#450048); #144714=VERTEX_POINT('',#450052); #144715=VERTEX_POINT('',#450054); #144716=VERTEX_POINT('',#450058); #144717=VERTEX_POINT('',#450060); #144718=VERTEX_POINT('',#450064); #144719=VERTEX_POINT('',#450066); #144720=VERTEX_POINT('',#450070); #144721=VERTEX_POINT('',#450072); #144722=VERTEX_POINT('',#450076); #144723=VERTEX_POINT('',#450078); #144724=VERTEX_POINT('',#450082); #144725=VERTEX_POINT('',#450084); #144726=VERTEX_POINT('',#450088); #144727=VERTEX_POINT('',#450090); #144728=VERTEX_POINT('',#450094); #144729=VERTEX_POINT('',#450096); #144730=VERTEX_POINT('',#450100); #144731=VERTEX_POINT('',#450102); #144732=VERTEX_POINT('',#450106); #144733=VERTEX_POINT('',#450108); #144734=VERTEX_POINT('',#450112); #144735=VERTEX_POINT('',#450114); #144736=VERTEX_POINT('',#450118); #144737=VERTEX_POINT('',#450120); #144738=VERTEX_POINT('',#450124); #144739=VERTEX_POINT('',#450126); #144740=VERTEX_POINT('',#450130); #144741=VERTEX_POINT('',#450132); #144742=VERTEX_POINT('',#450136); #144743=VERTEX_POINT('',#450138); #144744=VERTEX_POINT('',#450142); #144745=VERTEX_POINT('',#450144); #144746=VERTEX_POINT('',#450148); #144747=VERTEX_POINT('',#450150); #144748=VERTEX_POINT('',#450154); #144749=VERTEX_POINT('',#450156); #144750=VERTEX_POINT('',#450160); #144751=VERTEX_POINT('',#450162); #144752=VERTEX_POINT('',#450166); #144753=VERTEX_POINT('',#450168); #144754=VERTEX_POINT('',#450172); #144755=VERTEX_POINT('',#450174); #144756=VERTEX_POINT('',#450178); #144757=VERTEX_POINT('',#450180); #144758=VERTEX_POINT('',#450184); #144759=VERTEX_POINT('',#450186); #144760=VERTEX_POINT('',#450190); #144761=VERTEX_POINT('',#450192); #144762=VERTEX_POINT('',#450196); #144763=VERTEX_POINT('',#450198); #144764=VERTEX_POINT('',#450202); #144765=VERTEX_POINT('',#450204); #144766=VERTEX_POINT('',#450208); #144767=VERTEX_POINT('',#450210); #144768=VERTEX_POINT('',#450214); #144769=VERTEX_POINT('',#450216); #144770=VERTEX_POINT('',#450220); #144771=VERTEX_POINT('',#450222); #144772=VERTEX_POINT('',#450226); #144773=VERTEX_POINT('',#450228); #144774=VERTEX_POINT('',#450232); #144775=VERTEX_POINT('',#450234); #144776=VERTEX_POINT('',#450238); #144777=VERTEX_POINT('',#450240); #144778=VERTEX_POINT('',#450244); #144779=VERTEX_POINT('',#450246); #144780=VERTEX_POINT('',#450250); #144781=VERTEX_POINT('',#450252); #144782=VERTEX_POINT('',#450256); #144783=VERTEX_POINT('',#450258); #144784=VERTEX_POINT('',#450262); #144785=VERTEX_POINT('',#450264); #144786=VERTEX_POINT('',#450268); #144787=VERTEX_POINT('',#450270); #144788=VERTEX_POINT('',#450274); #144789=VERTEX_POINT('',#450276); #144790=VERTEX_POINT('',#450280); #144791=VERTEX_POINT('',#450282); #144792=VERTEX_POINT('',#450286); #144793=VERTEX_POINT('',#450288); #144794=VERTEX_POINT('',#450292); #144795=VERTEX_POINT('',#450294); #144796=VERTEX_POINT('',#450298); #144797=VERTEX_POINT('',#450300); #144798=VERTEX_POINT('',#450304); #144799=VERTEX_POINT('',#450306); #144800=VERTEX_POINT('',#450310); #144801=VERTEX_POINT('',#450312); #144802=VERTEX_POINT('',#450316); #144803=VERTEX_POINT('',#450318); #144804=VERTEX_POINT('',#450322); #144805=VERTEX_POINT('',#450324); #144806=VERTEX_POINT('',#450328); #144807=VERTEX_POINT('',#450330); #144808=VERTEX_POINT('',#450334); #144809=VERTEX_POINT('',#450336); #144810=VERTEX_POINT('',#450340); #144811=VERTEX_POINT('',#450342); #144812=VERTEX_POINT('',#450346); #144813=VERTEX_POINT('',#450348); #144814=VERTEX_POINT('',#450352); #144815=VERTEX_POINT('',#450354); #144816=VERTEX_POINT('',#450358); #144817=VERTEX_POINT('',#450360); #144818=VERTEX_POINT('',#450364); #144819=VERTEX_POINT('',#450366); #144820=VERTEX_POINT('',#450370); #144821=VERTEX_POINT('',#450372); #144822=VERTEX_POINT('',#450376); #144823=VERTEX_POINT('',#450378); #144824=VERTEX_POINT('',#450382); #144825=VERTEX_POINT('',#450384); #144826=VERTEX_POINT('',#450388); #144827=VERTEX_POINT('',#450390); #144828=VERTEX_POINT('',#450394); #144829=VERTEX_POINT('',#450396); #144830=VERTEX_POINT('',#450400); #144831=VERTEX_POINT('',#450402); #144832=VERTEX_POINT('',#450406); #144833=VERTEX_POINT('',#450408); #144834=VERTEX_POINT('',#450412); #144835=VERTEX_POINT('',#450414); #144836=VERTEX_POINT('',#450418); #144837=VERTEX_POINT('',#450420); #144838=VERTEX_POINT('',#450424); #144839=VERTEX_POINT('',#450426); #144840=VERTEX_POINT('',#450430); #144841=VERTEX_POINT('',#450432); #144842=VERTEX_POINT('',#450436); #144843=VERTEX_POINT('',#450438); #144844=VERTEX_POINT('',#450442); #144845=VERTEX_POINT('',#450444); #144846=VERTEX_POINT('',#450448); #144847=VERTEX_POINT('',#450450); #144848=VERTEX_POINT('',#450454); #144849=VERTEX_POINT('',#450456); #144850=VERTEX_POINT('',#450460); #144851=VERTEX_POINT('',#450462); #144852=VERTEX_POINT('',#450466); #144853=VERTEX_POINT('',#450468); #144854=VERTEX_POINT('',#450472); #144855=VERTEX_POINT('',#450474); #144856=VERTEX_POINT('',#450478); #144857=VERTEX_POINT('',#450480); #144858=VERTEX_POINT('',#450484); #144859=VERTEX_POINT('',#450486); #144860=VERTEX_POINT('',#450490); #144861=VERTEX_POINT('',#450492); #144862=VERTEX_POINT('',#450496); #144863=VERTEX_POINT('',#450498); #144864=VERTEX_POINT('',#450502); #144865=VERTEX_POINT('',#450504); #144866=VERTEX_POINT('',#450508); #144867=VERTEX_POINT('',#450510); #144868=VERTEX_POINT('',#450514); #144869=VERTEX_POINT('',#450516); #144870=VERTEX_POINT('',#450520); #144871=VERTEX_POINT('',#450522); #144872=VERTEX_POINT('',#450526); #144873=VERTEX_POINT('',#450528); #144874=VERTEX_POINT('',#450532); #144875=VERTEX_POINT('',#450534); #144876=VERTEX_POINT('',#450538); #144877=VERTEX_POINT('',#450540); #144878=VERTEX_POINT('',#450544); #144879=VERTEX_POINT('',#450546); #144880=VERTEX_POINT('',#450550); #144881=VERTEX_POINT('',#450552); #144882=VERTEX_POINT('',#450556); #144883=VERTEX_POINT('',#450558); #144884=VERTEX_POINT('',#450562); #144885=VERTEX_POINT('',#450564); #144886=VERTEX_POINT('',#450568); #144887=VERTEX_POINT('',#450570); #144888=VERTEX_POINT('',#450574); #144889=VERTEX_POINT('',#450576); #144890=VERTEX_POINT('',#450580); #144891=VERTEX_POINT('',#450582); #144892=VERTEX_POINT('',#450586); #144893=VERTEX_POINT('',#450588); #144894=VERTEX_POINT('',#450592); #144895=VERTEX_POINT('',#450594); #144896=VERTEX_POINT('',#450598); #144897=VERTEX_POINT('',#450600); #144898=VERTEX_POINT('',#450604); #144899=VERTEX_POINT('',#450606); #144900=VERTEX_POINT('',#450610); #144901=VERTEX_POINT('',#450612); #144902=VERTEX_POINT('',#450616); #144903=VERTEX_POINT('',#450618); #144904=VERTEX_POINT('',#450622); #144905=VERTEX_POINT('',#450624); #144906=VERTEX_POINT('',#450628); #144907=VERTEX_POINT('',#450630); #144908=VERTEX_POINT('',#450634); #144909=VERTEX_POINT('',#450636); #144910=VERTEX_POINT('',#450640); #144911=VERTEX_POINT('',#450642); #144912=VERTEX_POINT('',#450646); #144913=VERTEX_POINT('',#450648); #144914=VERTEX_POINT('',#450652); #144915=VERTEX_POINT('',#450654); #144916=VERTEX_POINT('',#450658); #144917=VERTEX_POINT('',#450660); #144918=VERTEX_POINT('',#450664); #144919=VERTEX_POINT('',#450666); #144920=VERTEX_POINT('',#450670); #144921=VERTEX_POINT('',#450672); #144922=VERTEX_POINT('',#450676); #144923=VERTEX_POINT('',#450678); #144924=VERTEX_POINT('',#450682); #144925=VERTEX_POINT('',#450684); #144926=VERTEX_POINT('',#450688); #144927=VERTEX_POINT('',#450690); #144928=VERTEX_POINT('',#450694); #144929=VERTEX_POINT('',#450696); #144930=VERTEX_POINT('',#450700); #144931=VERTEX_POINT('',#450702); #144932=VERTEX_POINT('',#450706); #144933=VERTEX_POINT('',#450708); #144934=VERTEX_POINT('',#450712); #144935=VERTEX_POINT('',#450714); #144936=VERTEX_POINT('',#450718); #144937=VERTEX_POINT('',#450720); #144938=VERTEX_POINT('',#450724); #144939=VERTEX_POINT('',#450726); #144940=VERTEX_POINT('',#450730); #144941=VERTEX_POINT('',#450732); #144942=VERTEX_POINT('',#450736); #144943=VERTEX_POINT('',#450738); #144944=VERTEX_POINT('',#450742); #144945=VERTEX_POINT('',#450744); #144946=VERTEX_POINT('',#450748); #144947=VERTEX_POINT('',#450750); #144948=VERTEX_POINT('',#450754); #144949=VERTEX_POINT('',#450756); #144950=VERTEX_POINT('',#450760); #144951=VERTEX_POINT('',#450762); #144952=VERTEX_POINT('',#450766); #144953=VERTEX_POINT('',#450768); #144954=VERTEX_POINT('',#450772); #144955=VERTEX_POINT('',#450774); #144956=VERTEX_POINT('',#450778); #144957=VERTEX_POINT('',#450780); #144958=VERTEX_POINT('',#450784); #144959=VERTEX_POINT('',#450786); #144960=VERTEX_POINT('',#450790); #144961=VERTEX_POINT('',#450792); #144962=VERTEX_POINT('',#450796); #144963=VERTEX_POINT('',#450798); #144964=VERTEX_POINT('',#450802); #144965=VERTEX_POINT('',#450804); #144966=VERTEX_POINT('',#450808); #144967=VERTEX_POINT('',#450810); #144968=VERTEX_POINT('',#450814); #144969=VERTEX_POINT('',#450816); #144970=VERTEX_POINT('',#450820); #144971=VERTEX_POINT('',#450822); #144972=VERTEX_POINT('',#450826); #144973=VERTEX_POINT('',#450828); #144974=VERTEX_POINT('',#450832); #144975=VERTEX_POINT('',#450834); #144976=VERTEX_POINT('',#450838); #144977=VERTEX_POINT('',#450840); #144978=VERTEX_POINT('',#450844); #144979=VERTEX_POINT('',#450846); #144980=VERTEX_POINT('',#450850); #144981=VERTEX_POINT('',#450852); #144982=VERTEX_POINT('',#450856); #144983=VERTEX_POINT('',#450858); #144984=VERTEX_POINT('',#450862); #144985=VERTEX_POINT('',#450864); #144986=VERTEX_POINT('',#450868); #144987=VERTEX_POINT('',#450870); #144988=VERTEX_POINT('',#450874); #144989=VERTEX_POINT('',#450876); #144990=VERTEX_POINT('',#450880); #144991=VERTEX_POINT('',#450882); #144992=VERTEX_POINT('',#450886); #144993=VERTEX_POINT('',#450888); #144994=VERTEX_POINT('',#450892); #144995=VERTEX_POINT('',#450894); #144996=VERTEX_POINT('',#450898); #144997=VERTEX_POINT('',#450900); #144998=VERTEX_POINT('',#450904); #144999=VERTEX_POINT('',#450906); #145000=VERTEX_POINT('',#450910); #145001=VERTEX_POINT('',#450912); #145002=VERTEX_POINT('',#450916); #145003=VERTEX_POINT('',#450918); #145004=VERTEX_POINT('',#450922); #145005=VERTEX_POINT('',#450924); #145006=VERTEX_POINT('',#450928); #145007=VERTEX_POINT('',#450930); #145008=VERTEX_POINT('',#450934); #145009=VERTEX_POINT('',#450936); #145010=VERTEX_POINT('',#450940); #145011=VERTEX_POINT('',#450942); #145012=VERTEX_POINT('',#450946); #145013=VERTEX_POINT('',#450948); #145014=VERTEX_POINT('',#450952); #145015=VERTEX_POINT('',#450954); #145016=VERTEX_POINT('',#450958); #145017=VERTEX_POINT('',#450960); #145018=VERTEX_POINT('',#450964); #145019=VERTEX_POINT('',#450966); #145020=VERTEX_POINT('',#450970); #145021=VERTEX_POINT('',#450972); #145022=VERTEX_POINT('',#450976); #145023=VERTEX_POINT('',#450978); #145024=VERTEX_POINT('',#450982); #145025=VERTEX_POINT('',#450984); #145026=VERTEX_POINT('',#450988); #145027=VERTEX_POINT('',#450990); #145028=VERTEX_POINT('',#450994); #145029=VERTEX_POINT('',#450996); #145030=VERTEX_POINT('',#451000); #145031=VERTEX_POINT('',#451002); #145032=VERTEX_POINT('',#451006); #145033=VERTEX_POINT('',#451008); #145034=VERTEX_POINT('',#451012); #145035=VERTEX_POINT('',#451014); #145036=VERTEX_POINT('',#451018); #145037=VERTEX_POINT('',#451020); #145038=VERTEX_POINT('',#451024); #145039=VERTEX_POINT('',#451026); #145040=VERTEX_POINT('',#451030); #145041=VERTEX_POINT('',#451032); #145042=VERTEX_POINT('',#451036); #145043=VERTEX_POINT('',#451038); #145044=VERTEX_POINT('',#451042); #145045=VERTEX_POINT('',#451044); #145046=VERTEX_POINT('',#451048); #145047=VERTEX_POINT('',#451050); #145048=VERTEX_POINT('',#451054); #145049=VERTEX_POINT('',#451056); #145050=VERTEX_POINT('',#451060); #145051=VERTEX_POINT('',#451062); #145052=VERTEX_POINT('',#451066); #145053=VERTEX_POINT('',#451068); #145054=VERTEX_POINT('',#451072); #145055=VERTEX_POINT('',#451074); #145056=VERTEX_POINT('',#451078); #145057=VERTEX_POINT('',#451080); #145058=VERTEX_POINT('',#451084); #145059=VERTEX_POINT('',#451086); #145060=VERTEX_POINT('',#451090); #145061=VERTEX_POINT('',#451092); #145062=VERTEX_POINT('',#451096); #145063=VERTEX_POINT('',#451098); #145064=VERTEX_POINT('',#451102); #145065=VERTEX_POINT('',#451104); #145066=VERTEX_POINT('',#451108); #145067=VERTEX_POINT('',#451110); #145068=VERTEX_POINT('',#451114); #145069=VERTEX_POINT('',#451116); #145070=VERTEX_POINT('',#451120); #145071=VERTEX_POINT('',#451122); #145072=VERTEX_POINT('',#451126); #145073=VERTEX_POINT('',#451128); #145074=VERTEX_POINT('',#451132); #145075=VERTEX_POINT('',#451134); #145076=VERTEX_POINT('',#451138); #145077=VERTEX_POINT('',#451140); #145078=VERTEX_POINT('',#451144); #145079=VERTEX_POINT('',#451146); #145080=VERTEX_POINT('',#451150); #145081=VERTEX_POINT('',#451152); #145082=VERTEX_POINT('',#451156); #145083=VERTEX_POINT('',#451158); #145084=VERTEX_POINT('',#451162); #145085=VERTEX_POINT('',#451164); #145086=VERTEX_POINT('',#451168); #145087=VERTEX_POINT('',#451170); #145088=VERTEX_POINT('',#451174); #145089=VERTEX_POINT('',#451176); #145090=VERTEX_POINT('',#451180); #145091=VERTEX_POINT('',#451182); #145092=VERTEX_POINT('',#451186); #145093=VERTEX_POINT('',#451188); #145094=VERTEX_POINT('',#451192); #145095=VERTEX_POINT('',#451194); #145096=VERTEX_POINT('',#451198); #145097=VERTEX_POINT('',#451200); #145098=VERTEX_POINT('',#451204); #145099=VERTEX_POINT('',#451206); #145100=VERTEX_POINT('',#451210); #145101=VERTEX_POINT('',#451212); #145102=VERTEX_POINT('',#451216); #145103=VERTEX_POINT('',#451218); #145104=VERTEX_POINT('',#451222); #145105=VERTEX_POINT('',#451224); #145106=VERTEX_POINT('',#451228); #145107=VERTEX_POINT('',#451230); #145108=VERTEX_POINT('',#451234); #145109=VERTEX_POINT('',#451236); #145110=VERTEX_POINT('',#451240); #145111=VERTEX_POINT('',#451242); #145112=VERTEX_POINT('',#451246); #145113=VERTEX_POINT('',#451248); #145114=VERTEX_POINT('',#451252); #145115=VERTEX_POINT('',#451254); #145116=VERTEX_POINT('',#451258); #145117=VERTEX_POINT('',#451260); #145118=VERTEX_POINT('',#451264); #145119=VERTEX_POINT('',#451266); #145120=VERTEX_POINT('',#451270); #145121=VERTEX_POINT('',#451272); #145122=VERTEX_POINT('',#451276); #145123=VERTEX_POINT('',#451278); #145124=VERTEX_POINT('',#451282); #145125=VERTEX_POINT('',#451284); #145126=VERTEX_POINT('',#451288); #145127=VERTEX_POINT('',#451290); #145128=VERTEX_POINT('',#451294); #145129=VERTEX_POINT('',#451296); #145130=VERTEX_POINT('',#451300); #145131=VERTEX_POINT('',#451302); #145132=VERTEX_POINT('',#451306); #145133=VERTEX_POINT('',#451308); #145134=VERTEX_POINT('',#451312); #145135=VERTEX_POINT('',#451314); #145136=VERTEX_POINT('',#451318); #145137=VERTEX_POINT('',#451320); #145138=VERTEX_POINT('',#451324); #145139=VERTEX_POINT('',#451326); #145140=VERTEX_POINT('',#451330); #145141=VERTEX_POINT('',#451332); #145142=VERTEX_POINT('',#451336); #145143=VERTEX_POINT('',#451338); #145144=VERTEX_POINT('',#451342); #145145=VERTEX_POINT('',#451344); #145146=VERTEX_POINT('',#451348); #145147=VERTEX_POINT('',#451350); #145148=VERTEX_POINT('',#451354); #145149=VERTEX_POINT('',#451356); #145150=VERTEX_POINT('',#451360); #145151=VERTEX_POINT('',#451362); #145152=VERTEX_POINT('',#451366); #145153=VERTEX_POINT('',#451368); #145154=VERTEX_POINT('',#451372); #145155=VERTEX_POINT('',#451374); #145156=VERTEX_POINT('',#451378); #145157=VERTEX_POINT('',#451380); #145158=VERTEX_POINT('',#451384); #145159=VERTEX_POINT('',#451386); #145160=VERTEX_POINT('',#451390); #145161=VERTEX_POINT('',#451392); #145162=VERTEX_POINT('',#451396); #145163=VERTEX_POINT('',#451398); #145164=VERTEX_POINT('',#451402); #145165=VERTEX_POINT('',#451404); #145166=VERTEX_POINT('',#451408); #145167=VERTEX_POINT('',#451410); #145168=VERTEX_POINT('',#451414); #145169=VERTEX_POINT('',#451416); #145170=VERTEX_POINT('',#451420); #145171=VERTEX_POINT('',#451422); #145172=VERTEX_POINT('',#451426); #145173=VERTEX_POINT('',#451428); #145174=VERTEX_POINT('',#451432); #145175=VERTEX_POINT('',#451434); #145176=VERTEX_POINT('',#451438); #145177=VERTEX_POINT('',#451440); #145178=VERTEX_POINT('',#451444); #145179=VERTEX_POINT('',#451446); #145180=VERTEX_POINT('',#451450); #145181=VERTEX_POINT('',#451452); #145182=VERTEX_POINT('',#451456); #145183=VERTEX_POINT('',#451458); #145184=VERTEX_POINT('',#451462); #145185=VERTEX_POINT('',#451464); #145186=VERTEX_POINT('',#451468); #145187=VERTEX_POINT('',#451470); #145188=VERTEX_POINT('',#451474); #145189=VERTEX_POINT('',#451476); #145190=VERTEX_POINT('',#451480); #145191=VERTEX_POINT('',#451482); #145192=VERTEX_POINT('',#451486); #145193=VERTEX_POINT('',#451488); #145194=VERTEX_POINT('',#451492); #145195=VERTEX_POINT('',#451494); #145196=VERTEX_POINT('',#451498); #145197=VERTEX_POINT('',#451500); #145198=VERTEX_POINT('',#451504); #145199=VERTEX_POINT('',#451506); #145200=VERTEX_POINT('',#451510); #145201=VERTEX_POINT('',#451512); #145202=VERTEX_POINT('',#451518); #145203=VERTEX_POINT('',#451520); #145204=VERTEX_POINT('',#451524); #145205=VERTEX_POINT('',#451526); #145206=VERTEX_POINT('',#451532); #145207=VERTEX_POINT('',#451534); #145208=VERTEX_POINT('',#451538); #145209=VERTEX_POINT('',#451540); #145210=VERTEX_POINT('',#451546); #145211=VERTEX_POINT('',#451548); #145212=VERTEX_POINT('',#451552); #145213=VERTEX_POINT('',#451554); #145214=VERTEX_POINT('',#451560); #145215=VERTEX_POINT('',#451562); #145216=VERTEX_POINT('',#451566); #145217=VERTEX_POINT('',#451568); #145218=VERTEX_POINT('',#451574); #145219=VERTEX_POINT('',#451576); #145220=VERTEX_POINT('',#451580); #145221=VERTEX_POINT('',#451582); #145222=VERTEX_POINT('',#451588); #145223=VERTEX_POINT('',#451590); #145224=VERTEX_POINT('',#451594); #145225=VERTEX_POINT('',#451596); #145226=VERTEX_POINT('',#451602); #145227=VERTEX_POINT('',#451604); #145228=VERTEX_POINT('',#451608); #145229=VERTEX_POINT('',#451610); #145230=VERTEX_POINT('',#451616); #145231=VERTEX_POINT('',#451618); #145232=VERTEX_POINT('',#451622); #145233=VERTEX_POINT('',#451624); #145234=VERTEX_POINT('',#451630); #145235=VERTEX_POINT('',#451632); #145236=VERTEX_POINT('',#451636); #145237=VERTEX_POINT('',#451638); #145238=VERTEX_POINT('',#451644); #145239=VERTEX_POINT('',#451646); #145240=VERTEX_POINT('',#451650); #145241=VERTEX_POINT('',#451652); #145242=VERTEX_POINT('',#451658); #145243=VERTEX_POINT('',#451660); #145244=VERTEX_POINT('',#451664); #145245=VERTEX_POINT('',#451666); #145246=VERTEX_POINT('',#451672); #145247=VERTEX_POINT('',#451674); #145248=VERTEX_POINT('',#451678); #145249=VERTEX_POINT('',#451680); #145250=VERTEX_POINT('',#451686); #145251=VERTEX_POINT('',#451688); #145252=VERTEX_POINT('',#451692); #145253=VERTEX_POINT('',#451694); #145254=VERTEX_POINT('',#451700); #145255=VERTEX_POINT('',#451702); #145256=VERTEX_POINT('',#451706); #145257=VERTEX_POINT('',#451708); #145258=VERTEX_POINT('',#451714); #145259=VERTEX_POINT('',#451716); #145260=VERTEX_POINT('',#451720); #145261=VERTEX_POINT('',#451722); #145262=VERTEX_POINT('',#451728); #145263=VERTEX_POINT('',#451730); #145264=VERTEX_POINT('',#451734); #145265=VERTEX_POINT('',#451736); #145266=VERTEX_POINT('',#451742); #145267=VERTEX_POINT('',#451744); #145268=VERTEX_POINT('',#451748); #145269=VERTEX_POINT('',#451750); #145270=VERTEX_POINT('',#451756); #145271=VERTEX_POINT('',#451758); #145272=VERTEX_POINT('',#451762); #145273=VERTEX_POINT('',#451764); #145274=VERTEX_POINT('',#451770); #145275=VERTEX_POINT('',#451772); #145276=VERTEX_POINT('',#451776); #145277=VERTEX_POINT('',#451778); #145278=VERTEX_POINT('',#451784); #145279=VERTEX_POINT('',#451786); #145280=VERTEX_POINT('',#451790); #145281=VERTEX_POINT('',#451792); #145282=VERTEX_POINT('',#451798); #145283=VERTEX_POINT('',#451800); #145284=VERTEX_POINT('',#451804); #145285=VERTEX_POINT('',#451806); #145286=VERTEX_POINT('',#451812); #145287=VERTEX_POINT('',#451814); #145288=VERTEX_POINT('',#451818); #145289=VERTEX_POINT('',#451820); #145290=VERTEX_POINT('',#451826); #145291=VERTEX_POINT('',#451828); #145292=VERTEX_POINT('',#451832); #145293=VERTEX_POINT('',#451834); #145294=VERTEX_POINT('',#451840); #145295=VERTEX_POINT('',#451842); #145296=VERTEX_POINT('',#451846); #145297=VERTEX_POINT('',#451848); #145298=VERTEX_POINT('',#451854); #145299=VERTEX_POINT('',#451856); #145300=VERTEX_POINT('',#451860); #145301=VERTEX_POINT('',#451862); #145302=VERTEX_POINT('',#451868); #145303=VERTEX_POINT('',#451870); #145304=VERTEX_POINT('',#451874); #145305=VERTEX_POINT('',#451876); #145306=VERTEX_POINT('',#451882); #145307=VERTEX_POINT('',#451884); #145308=VERTEX_POINT('',#451888); #145309=VERTEX_POINT('',#451890); #145310=VERTEX_POINT('',#451896); #145311=VERTEX_POINT('',#451898); #145312=VERTEX_POINT('',#451902); #145313=VERTEX_POINT('',#451904); #145314=VERTEX_POINT('',#451910); #145315=VERTEX_POINT('',#451912); #145316=VERTEX_POINT('',#451916); #145317=VERTEX_POINT('',#451918); #145318=VERTEX_POINT('',#451924); #145319=VERTEX_POINT('',#451926); #145320=VERTEX_POINT('',#451930); #145321=VERTEX_POINT('',#451932); #145322=VERTEX_POINT('',#451938); #145323=VERTEX_POINT('',#451940); #145324=VERTEX_POINT('',#451944); #145325=VERTEX_POINT('',#451946); #145326=VERTEX_POINT('',#451952); #145327=VERTEX_POINT('',#451954); #145328=VERTEX_POINT('',#451958); #145329=VERTEX_POINT('',#451960); #145330=VERTEX_POINT('',#451966); #145331=VERTEX_POINT('',#451968); #145332=VERTEX_POINT('',#451972); #145333=VERTEX_POINT('',#451974); #145334=VERTEX_POINT('',#451980); #145335=VERTEX_POINT('',#451982); #145336=VERTEX_POINT('',#451986); #145337=VERTEX_POINT('',#451988); #145338=VERTEX_POINT('',#451994); #145339=VERTEX_POINT('',#451996); #145340=VERTEX_POINT('',#452000); #145341=VERTEX_POINT('',#452002); #145342=VERTEX_POINT('',#452008); #145343=VERTEX_POINT('',#452010); #145344=VERTEX_POINT('',#452014); #145345=VERTEX_POINT('',#452016); #145346=VERTEX_POINT('',#452022); #145347=VERTEX_POINT('',#452024); #145348=VERTEX_POINT('',#452028); #145349=VERTEX_POINT('',#452030); #145350=VERTEX_POINT('',#452036); #145351=VERTEX_POINT('',#452038); #145352=VERTEX_POINT('',#452042); #145353=VERTEX_POINT('',#452044); #145354=VERTEX_POINT('',#452050); #145355=VERTEX_POINT('',#452052); #145356=VERTEX_POINT('',#452056); #145357=VERTEX_POINT('',#452058); #145358=VERTEX_POINT('',#452064); #145359=VERTEX_POINT('',#452066); #145360=VERTEX_POINT('',#452070); #145361=VERTEX_POINT('',#452072); #145362=VERTEX_POINT('',#452078); #145363=VERTEX_POINT('',#452080); #145364=VERTEX_POINT('',#452084); #145365=VERTEX_POINT('',#452086); #145366=VERTEX_POINT('',#452092); #145367=VERTEX_POINT('',#452094); #145368=VERTEX_POINT('',#452098); #145369=VERTEX_POINT('',#452100); #145370=VERTEX_POINT('',#452106); #145371=VERTEX_POINT('',#452108); #145372=VERTEX_POINT('',#452112); #145373=VERTEX_POINT('',#452114); #145374=VERTEX_POINT('',#452120); #145375=VERTEX_POINT('',#452122); #145376=VERTEX_POINT('',#452126); #145377=VERTEX_POINT('',#452128); #145378=VERTEX_POINT('',#452134); #145379=VERTEX_POINT('',#452136); #145380=VERTEX_POINT('',#452140); #145381=VERTEX_POINT('',#452142); #145382=VERTEX_POINT('',#452148); #145383=VERTEX_POINT('',#452150); #145384=VERTEX_POINT('',#452154); #145385=VERTEX_POINT('',#452156); #145386=VERTEX_POINT('',#452162); #145387=VERTEX_POINT('',#452164); #145388=VERTEX_POINT('',#452168); #145389=VERTEX_POINT('',#452170); #145390=VERTEX_POINT('',#452176); #145391=VERTEX_POINT('',#452178); #145392=VERTEX_POINT('',#452182); #145393=VERTEX_POINT('',#452184); #145394=VERTEX_POINT('',#452190); #145395=VERTEX_POINT('',#452192); #145396=VERTEX_POINT('',#452196); #145397=VERTEX_POINT('',#452198); #145398=VERTEX_POINT('',#452204); #145399=VERTEX_POINT('',#452206); #145400=VERTEX_POINT('',#452210); #145401=VERTEX_POINT('',#452212); #145402=VERTEX_POINT('',#452218); #145403=VERTEX_POINT('',#452220); #145404=VERTEX_POINT('',#452224); #145405=VERTEX_POINT('',#452226); #145406=VERTEX_POINT('',#452232); #145407=VERTEX_POINT('',#452234); #145408=VERTEX_POINT('',#452238); #145409=VERTEX_POINT('',#452240); #145410=VERTEX_POINT('',#452246); #145411=VERTEX_POINT('',#452248); #145412=VERTEX_POINT('',#452252); #145413=VERTEX_POINT('',#452254); #145414=VERTEX_POINT('',#452260); #145415=VERTEX_POINT('',#452262); #145416=VERTEX_POINT('',#452266); #145417=VERTEX_POINT('',#452268); #145418=VERTEX_POINT('',#452274); #145419=VERTEX_POINT('',#452276); #145420=VERTEX_POINT('',#452280); #145421=VERTEX_POINT('',#452282); #145422=VERTEX_POINT('',#452288); #145423=VERTEX_POINT('',#452290); #145424=VERTEX_POINT('',#452294); #145425=VERTEX_POINT('',#452296); #145426=VERTEX_POINT('',#452302); #145427=VERTEX_POINT('',#452304); #145428=VERTEX_POINT('',#452308); #145429=VERTEX_POINT('',#452310); #145430=VERTEX_POINT('',#452316); #145431=VERTEX_POINT('',#452318); #145432=VERTEX_POINT('',#452322); #145433=VERTEX_POINT('',#452324); #145434=VERTEX_POINT('',#452330); #145435=VERTEX_POINT('',#452332); #145436=VERTEX_POINT('',#452336); #145437=VERTEX_POINT('',#452338); #145438=VERTEX_POINT('',#452344); #145439=VERTEX_POINT('',#452346); #145440=VERTEX_POINT('',#452350); #145441=VERTEX_POINT('',#452352); #145442=VERTEX_POINT('',#452358); #145443=VERTEX_POINT('',#452360); #145444=VERTEX_POINT('',#452364); #145445=VERTEX_POINT('',#452366); #145446=VERTEX_POINT('',#452372); #145447=VERTEX_POINT('',#452374); #145448=VERTEX_POINT('',#452378); #145449=VERTEX_POINT('',#452380); #145450=VERTEX_POINT('',#452386); #145451=VERTEX_POINT('',#452388); #145452=VERTEX_POINT('',#452392); #145453=VERTEX_POINT('',#452394); #145454=VERTEX_POINT('',#452400); #145455=VERTEX_POINT('',#452402); #145456=VERTEX_POINT('',#452406); #145457=VERTEX_POINT('',#452408); #145458=VERTEX_POINT('',#452414); #145459=VERTEX_POINT('',#452416); #145460=VERTEX_POINT('',#452420); #145461=VERTEX_POINT('',#452422); #145462=VERTEX_POINT('',#452428); #145463=VERTEX_POINT('',#452430); #145464=VERTEX_POINT('',#452434); #145465=VERTEX_POINT('',#452436); #145466=VERTEX_POINT('',#452442); #145467=VERTEX_POINT('',#452444); #145468=VERTEX_POINT('',#452448); #145469=VERTEX_POINT('',#452450); #145470=VERTEX_POINT('',#452456); #145471=VERTEX_POINT('',#452458); #145472=VERTEX_POINT('',#452462); #145473=VERTEX_POINT('',#452464); #145474=VERTEX_POINT('',#452470); #145475=VERTEX_POINT('',#452472); #145476=VERTEX_POINT('',#452476); #145477=VERTEX_POINT('',#452478); #145478=VERTEX_POINT('',#452484); #145479=VERTEX_POINT('',#452486); #145480=VERTEX_POINT('',#452490); #145481=VERTEX_POINT('',#452492); #145482=VERTEX_POINT('',#452498); #145483=VERTEX_POINT('',#452500); #145484=VERTEX_POINT('',#452504); #145485=VERTEX_POINT('',#452506); #145486=VERTEX_POINT('',#452512); #145487=VERTEX_POINT('',#452514); #145488=VERTEX_POINT('',#452518); #145489=VERTEX_POINT('',#452520); #145490=VERTEX_POINT('',#452526); #145491=VERTEX_POINT('',#452528); #145492=VERTEX_POINT('',#452532); #145493=VERTEX_POINT('',#452534); #145494=VERTEX_POINT('',#452540); #145495=VERTEX_POINT('',#452542); #145496=VERTEX_POINT('',#452546); #145497=VERTEX_POINT('',#452548); #145498=VERTEX_POINT('',#452554); #145499=VERTEX_POINT('',#452556); #145500=VERTEX_POINT('',#452560); #145501=VERTEX_POINT('',#452562); #145502=VERTEX_POINT('',#452568); #145503=VERTEX_POINT('',#452570); #145504=VERTEX_POINT('',#452574); #145505=VERTEX_POINT('',#452576); #145506=VERTEX_POINT('',#452582); #145507=VERTEX_POINT('',#452584); #145508=VERTEX_POINT('',#452588); #145509=VERTEX_POINT('',#452590); #145510=VERTEX_POINT('',#452596); #145511=VERTEX_POINT('',#452598); #145512=VERTEX_POINT('',#452602); #145513=VERTEX_POINT('',#452604); #145514=VERTEX_POINT('',#452610); #145515=VERTEX_POINT('',#452612); #145516=VERTEX_POINT('',#452616); #145517=VERTEX_POINT('',#452618); #145518=VERTEX_POINT('',#452624); #145519=VERTEX_POINT('',#452626); #145520=VERTEX_POINT('',#452630); #145521=VERTEX_POINT('',#452632); #145522=VERTEX_POINT('',#452638); #145523=VERTEX_POINT('',#452640); #145524=VERTEX_POINT('',#452644); #145525=VERTEX_POINT('',#452646); #145526=VERTEX_POINT('',#452652); #145527=VERTEX_POINT('',#452654); #145528=VERTEX_POINT('',#452658); #145529=VERTEX_POINT('',#452660); #145530=VERTEX_POINT('',#452666); #145531=VERTEX_POINT('',#452668); #145532=VERTEX_POINT('',#452672); #145533=VERTEX_POINT('',#452674); #145534=VERTEX_POINT('',#452680); #145535=VERTEX_POINT('',#452682); #145536=VERTEX_POINT('',#452686); #145537=VERTEX_POINT('',#452688); #145538=VERTEX_POINT('',#452694); #145539=VERTEX_POINT('',#452696); #145540=VERTEX_POINT('',#452700); #145541=VERTEX_POINT('',#452702); #145542=VERTEX_POINT('',#452708); #145543=VERTEX_POINT('',#452710); #145544=VERTEX_POINT('',#452714); #145545=VERTEX_POINT('',#452716); #145546=VERTEX_POINT('',#452722); #145547=VERTEX_POINT('',#452724); #145548=VERTEX_POINT('',#452728); #145549=VERTEX_POINT('',#452730); #145550=VERTEX_POINT('',#452736); #145551=VERTEX_POINT('',#452738); #145552=VERTEX_POINT('',#452742); #145553=VERTEX_POINT('',#452744); #145554=VERTEX_POINT('',#452750); #145555=VERTEX_POINT('',#452752); #145556=VERTEX_POINT('',#452756); #145557=VERTEX_POINT('',#452758); #145558=VERTEX_POINT('',#452764); #145559=VERTEX_POINT('',#452766); #145560=VERTEX_POINT('',#452770); #145561=VERTEX_POINT('',#452772); #145562=VERTEX_POINT('',#452778); #145563=VERTEX_POINT('',#452780); #145564=VERTEX_POINT('',#452784); #145565=VERTEX_POINT('',#452786); #145566=VERTEX_POINT('',#452792); #145567=VERTEX_POINT('',#452794); #145568=VERTEX_POINT('',#452798); #145569=VERTEX_POINT('',#452800); #145570=VERTEX_POINT('',#452806); #145571=VERTEX_POINT('',#452808); #145572=VERTEX_POINT('',#452812); #145573=VERTEX_POINT('',#452814); #145574=VERTEX_POINT('',#452820); #145575=VERTEX_POINT('',#452822); #145576=VERTEX_POINT('',#452826); #145577=VERTEX_POINT('',#452828); #145578=VERTEX_POINT('',#452834); #145579=VERTEX_POINT('',#452836); #145580=VERTEX_POINT('',#452840); #145581=VERTEX_POINT('',#452842); #145582=VERTEX_POINT('',#452848); #145583=VERTEX_POINT('',#452850); #145584=VERTEX_POINT('',#452854); #145585=VERTEX_POINT('',#452856); #145586=VERTEX_POINT('',#452862); #145587=VERTEX_POINT('',#452864); #145588=VERTEX_POINT('',#452868); #145589=VERTEX_POINT('',#452870); #145590=VERTEX_POINT('',#452876); #145591=VERTEX_POINT('',#452878); #145592=VERTEX_POINT('',#452882); #145593=VERTEX_POINT('',#452884); #145594=VERTEX_POINT('',#452890); #145595=VERTEX_POINT('',#452892); #145596=VERTEX_POINT('',#452896); #145597=VERTEX_POINT('',#452898); #145598=VERTEX_POINT('',#452904); #145599=VERTEX_POINT('',#452906); #145600=VERTEX_POINT('',#452910); #145601=VERTEX_POINT('',#452912); #145602=VERTEX_POINT('',#452918); #145603=VERTEX_POINT('',#452920); #145604=VERTEX_POINT('',#452924); #145605=VERTEX_POINT('',#452926); #145606=VERTEX_POINT('',#452932); #145607=VERTEX_POINT('',#452934); #145608=VERTEX_POINT('',#452938); #145609=VERTEX_POINT('',#452940); #145610=VERTEX_POINT('',#452946); #145611=VERTEX_POINT('',#452948); #145612=VERTEX_POINT('',#452952); #145613=VERTEX_POINT('',#452954); #145614=VERTEX_POINT('',#452960); #145615=VERTEX_POINT('',#452962); #145616=VERTEX_POINT('',#452966); #145617=VERTEX_POINT('',#452968); #145618=VERTEX_POINT('',#452974); #145619=VERTEX_POINT('',#452976); #145620=VERTEX_POINT('',#452980); #145621=VERTEX_POINT('',#452982); #145622=VERTEX_POINT('',#452988); #145623=VERTEX_POINT('',#452990); #145624=VERTEX_POINT('',#452994); #145625=VERTEX_POINT('',#452996); #145626=VERTEX_POINT('',#453002); #145627=VERTEX_POINT('',#453004); #145628=VERTEX_POINT('',#453008); #145629=VERTEX_POINT('',#453010); #145630=VERTEX_POINT('',#453016); #145631=VERTEX_POINT('',#453018); #145632=VERTEX_POINT('',#453022); #145633=VERTEX_POINT('',#453024); #145634=VERTEX_POINT('',#453030); #145635=VERTEX_POINT('',#453032); #145636=VERTEX_POINT('',#453036); #145637=VERTEX_POINT('',#453038); #145638=VERTEX_POINT('',#453044); #145639=VERTEX_POINT('',#453046); #145640=VERTEX_POINT('',#453050); #145641=VERTEX_POINT('',#453052); #145642=VERTEX_POINT('',#453058); #145643=VERTEX_POINT('',#453060); #145644=VERTEX_POINT('',#453064); #145645=VERTEX_POINT('',#453066); #145646=VERTEX_POINT('',#453072); #145647=VERTEX_POINT('',#453074); #145648=VERTEX_POINT('',#453078); #145649=VERTEX_POINT('',#453080); #145650=VERTEX_POINT('',#453086); #145651=VERTEX_POINT('',#453088); #145652=VERTEX_POINT('',#453092); #145653=VERTEX_POINT('',#453094); #145654=VERTEX_POINT('',#453100); #145655=VERTEX_POINT('',#453102); #145656=VERTEX_POINT('',#453106); #145657=VERTEX_POINT('',#453108); #145658=VERTEX_POINT('',#453114); #145659=VERTEX_POINT('',#453116); #145660=VERTEX_POINT('',#453120); #145661=VERTEX_POINT('',#453122); #145662=VERTEX_POINT('',#453128); #145663=VERTEX_POINT('',#453130); #145664=VERTEX_POINT('',#453134); #145665=VERTEX_POINT('',#453136); #145666=VERTEX_POINT('',#453142); #145667=VERTEX_POINT('',#453144); #145668=VERTEX_POINT('',#453148); #145669=VERTEX_POINT('',#453150); #145670=VERTEX_POINT('',#453156); #145671=VERTEX_POINT('',#453158); #145672=VERTEX_POINT('',#453162); #145673=VERTEX_POINT('',#453164); #145674=VERTEX_POINT('',#453170); #145675=VERTEX_POINT('',#453172); #145676=VERTEX_POINT('',#453176); #145677=VERTEX_POINT('',#453178); #145678=VERTEX_POINT('',#453184); #145679=VERTEX_POINT('',#453186); #145680=VERTEX_POINT('',#453190); #145681=VERTEX_POINT('',#453192); #145682=VERTEX_POINT('',#453198); #145683=VERTEX_POINT('',#453200); #145684=VERTEX_POINT('',#453204); #145685=VERTEX_POINT('',#453206); #145686=VERTEX_POINT('',#453212); #145687=VERTEX_POINT('',#453214); #145688=VERTEX_POINT('',#453218); #145689=VERTEX_POINT('',#453220); #145690=VERTEX_POINT('',#453226); #145691=VERTEX_POINT('',#453228); #145692=VERTEX_POINT('',#453232); #145693=VERTEX_POINT('',#453234); #145694=VERTEX_POINT('',#453240); #145695=VERTEX_POINT('',#453242); #145696=VERTEX_POINT('',#453246); #145697=VERTEX_POINT('',#453248); #145698=VERTEX_POINT('',#453254); #145699=VERTEX_POINT('',#453256); #145700=VERTEX_POINT('',#453260); #145701=VERTEX_POINT('',#453262); #145702=VERTEX_POINT('',#453268); #145703=VERTEX_POINT('',#453270); #145704=VERTEX_POINT('',#453274); #145705=VERTEX_POINT('',#453276); #145706=VERTEX_POINT('',#453282); #145707=VERTEX_POINT('',#453284); #145708=VERTEX_POINT('',#453288); #145709=VERTEX_POINT('',#453290); #145710=VERTEX_POINT('',#453296); #145711=VERTEX_POINT('',#453298); #145712=VERTEX_POINT('',#453302); #145713=VERTEX_POINT('',#453304); #145714=VERTEX_POINT('',#453310); #145715=VERTEX_POINT('',#453312); #145716=VERTEX_POINT('',#453316); #145717=VERTEX_POINT('',#453318); #145718=VERTEX_POINT('',#453324); #145719=VERTEX_POINT('',#453326); #145720=VERTEX_POINT('',#453330); #145721=VERTEX_POINT('',#453332); #145722=VERTEX_POINT('',#453338); #145723=VERTEX_POINT('',#453340); #145724=VERTEX_POINT('',#453344); #145725=VERTEX_POINT('',#453346); #145726=VERTEX_POINT('',#453352); #145727=VERTEX_POINT('',#453354); #145728=VERTEX_POINT('',#453358); #145729=VERTEX_POINT('',#453360); #145730=VERTEX_POINT('',#453366); #145731=VERTEX_POINT('',#453368); #145732=VERTEX_POINT('',#453372); #145733=VERTEX_POINT('',#453374); #145734=VERTEX_POINT('',#453380); #145735=VERTEX_POINT('',#453382); #145736=VERTEX_POINT('',#453386); #145737=VERTEX_POINT('',#453388); #145738=VERTEX_POINT('',#453394); #145739=VERTEX_POINT('',#453396); #145740=VERTEX_POINT('',#453400); #145741=VERTEX_POINT('',#453402); #145742=VERTEX_POINT('',#453408); #145743=VERTEX_POINT('',#453410); #145744=VERTEX_POINT('',#453414); #145745=VERTEX_POINT('',#453416); #145746=VERTEX_POINT('',#453422); #145747=VERTEX_POINT('',#453424); #145748=VERTEX_POINT('',#453428); #145749=VERTEX_POINT('',#453430); #145750=VERTEX_POINT('',#453436); #145751=VERTEX_POINT('',#453438); #145752=VERTEX_POINT('',#453442); #145753=VERTEX_POINT('',#453444); #145754=VERTEX_POINT('',#453450); #145755=VERTEX_POINT('',#453452); #145756=VERTEX_POINT('',#453456); #145757=VERTEX_POINT('',#453458); #145758=VERTEX_POINT('',#453464); #145759=VERTEX_POINT('',#453466); #145760=VERTEX_POINT('',#453470); #145761=VERTEX_POINT('',#453472); #145762=VERTEX_POINT('',#453478); #145763=VERTEX_POINT('',#453480); #145764=VERTEX_POINT('',#453484); #145765=VERTEX_POINT('',#453486); #145766=VERTEX_POINT('',#453492); #145767=VERTEX_POINT('',#453494); #145768=VERTEX_POINT('',#453498); #145769=VERTEX_POINT('',#453500); #145770=VERTEX_POINT('',#453506); #145771=VERTEX_POINT('',#453508); #145772=VERTEX_POINT('',#453512); #145773=VERTEX_POINT('',#453514); #145774=VERTEX_POINT('',#453520); #145775=VERTEX_POINT('',#453522); #145776=VERTEX_POINT('',#453526); #145777=VERTEX_POINT('',#453528); #145778=VERTEX_POINT('',#453534); #145779=VERTEX_POINT('',#453536); #145780=VERTEX_POINT('',#453540); #145781=VERTEX_POINT('',#453542); #145782=VERTEX_POINT('',#453548); #145783=VERTEX_POINT('',#453550); #145784=VERTEX_POINT('',#453554); #145785=VERTEX_POINT('',#453556); #145786=VERTEX_POINT('',#453562); #145787=VERTEX_POINT('',#453564); #145788=VERTEX_POINT('',#453568); #145789=VERTEX_POINT('',#453570); #145790=VERTEX_POINT('',#453576); #145791=VERTEX_POINT('',#453578); #145792=VERTEX_POINT('',#453582); #145793=VERTEX_POINT('',#453584); #145794=VERTEX_POINT('',#453590); #145795=VERTEX_POINT('',#453592); #145796=VERTEX_POINT('',#453596); #145797=VERTEX_POINT('',#453598); #145798=VERTEX_POINT('',#453604); #145799=VERTEX_POINT('',#453606); #145800=VERTEX_POINT('',#453610); #145801=VERTEX_POINT('',#453612); #145802=VERTEX_POINT('',#453618); #145803=VERTEX_POINT('',#453620); #145804=VERTEX_POINT('',#453624); #145805=VERTEX_POINT('',#453626); #145806=VERTEX_POINT('',#453632); #145807=VERTEX_POINT('',#453634); #145808=VERTEX_POINT('',#453638); #145809=VERTEX_POINT('',#453640); #145810=VERTEX_POINT('',#453646); #145811=VERTEX_POINT('',#453648); #145812=VERTEX_POINT('',#453652); #145813=VERTEX_POINT('',#453654); #145814=VERTEX_POINT('',#453660); #145815=VERTEX_POINT('',#453662); #145816=VERTEX_POINT('',#453666); #145817=VERTEX_POINT('',#453668); #145818=VERTEX_POINT('',#453674); #145819=VERTEX_POINT('',#453676); #145820=VERTEX_POINT('',#453680); #145821=VERTEX_POINT('',#453682); #145822=VERTEX_POINT('',#453688); #145823=VERTEX_POINT('',#453690); #145824=VERTEX_POINT('',#453694); #145825=VERTEX_POINT('',#453696); #145826=VERTEX_POINT('',#453702); #145827=VERTEX_POINT('',#453704); #145828=VERTEX_POINT('',#453708); #145829=VERTEX_POINT('',#453710); #145830=VERTEX_POINT('',#453716); #145831=VERTEX_POINT('',#453718); #145832=VERTEX_POINT('',#453722); #145833=VERTEX_POINT('',#453724); #145834=VERTEX_POINT('',#453730); #145835=VERTEX_POINT('',#453732); #145836=VERTEX_POINT('',#453736); #145837=VERTEX_POINT('',#453738); #145838=VERTEX_POINT('',#453744); #145839=VERTEX_POINT('',#453746); #145840=VERTEX_POINT('',#453750); #145841=VERTEX_POINT('',#453752); #145842=VERTEX_POINT('',#453758); #145843=VERTEX_POINT('',#453760); #145844=VERTEX_POINT('',#453764); #145845=VERTEX_POINT('',#453766); #145846=VERTEX_POINT('',#453772); #145847=VERTEX_POINT('',#453774); #145848=VERTEX_POINT('',#453778); #145849=VERTEX_POINT('',#453780); #145850=VERTEX_POINT('',#453786); #145851=VERTEX_POINT('',#453788); #145852=VERTEX_POINT('',#453792); #145853=VERTEX_POINT('',#453794); #145854=VERTEX_POINT('',#453800); #145855=VERTEX_POINT('',#453802); #145856=VERTEX_POINT('',#453806); #145857=VERTEX_POINT('',#453808); #145858=VERTEX_POINT('',#453814); #145859=VERTEX_POINT('',#453816); #145860=VERTEX_POINT('',#453820); #145861=VERTEX_POINT('',#453822); #145862=VERTEX_POINT('',#453828); #145863=VERTEX_POINT('',#453830); #145864=VERTEX_POINT('',#453834); #145865=VERTEX_POINT('',#453836); #145866=VERTEX_POINT('',#453842); #145867=VERTEX_POINT('',#453844); #145868=VERTEX_POINT('',#453848); #145869=VERTEX_POINT('',#453850); #145870=VERTEX_POINT('',#453856); #145871=VERTEX_POINT('',#453858); #145872=VERTEX_POINT('',#453862); #145873=VERTEX_POINT('',#453864); #145874=VERTEX_POINT('',#453870); #145875=VERTEX_POINT('',#453872); #145876=VERTEX_POINT('',#453876); #145877=VERTEX_POINT('',#453878); #145878=VERTEX_POINT('',#453884); #145879=VERTEX_POINT('',#453886); #145880=VERTEX_POINT('',#453890); #145881=VERTEX_POINT('',#453892); #145882=VERTEX_POINT('',#453898); #145883=VERTEX_POINT('',#453900); #145884=VERTEX_POINT('',#453904); #145885=VERTEX_POINT('',#453906); #145886=VERTEX_POINT('',#453912); #145887=VERTEX_POINT('',#453914); #145888=VERTEX_POINT('',#453918); #145889=VERTEX_POINT('',#453920); #145890=VERTEX_POINT('',#453926); #145891=VERTEX_POINT('',#453928); #145892=VERTEX_POINT('',#453932); #145893=VERTEX_POINT('',#453934); #145894=VERTEX_POINT('',#453940); #145895=VERTEX_POINT('',#453942); #145896=VERTEX_POINT('',#453946); #145897=VERTEX_POINT('',#453948); #145898=VERTEX_POINT('',#453954); #145899=VERTEX_POINT('',#453956); #145900=VERTEX_POINT('',#453960); #145901=VERTEX_POINT('',#453962); #145902=VERTEX_POINT('',#453968); #145903=VERTEX_POINT('',#453970); #145904=VERTEX_POINT('',#453974); #145905=VERTEX_POINT('',#453976); #145906=VERTEX_POINT('',#453982); #145907=VERTEX_POINT('',#453984); #145908=VERTEX_POINT('',#453988); #145909=VERTEX_POINT('',#453990); #145910=VERTEX_POINT('',#453996); #145911=VERTEX_POINT('',#453998); #145912=VERTEX_POINT('',#454002); #145913=VERTEX_POINT('',#454004); #145914=VERTEX_POINT('',#454010); #145915=VERTEX_POINT('',#454012); #145916=VERTEX_POINT('',#454016); #145917=VERTEX_POINT('',#454018); #145918=VERTEX_POINT('',#454024); #145919=VERTEX_POINT('',#454026); #145920=VERTEX_POINT('',#454030); #145921=VERTEX_POINT('',#454032); #145922=VERTEX_POINT('',#454038); #145923=VERTEX_POINT('',#454040); #145924=VERTEX_POINT('',#454044); #145925=VERTEX_POINT('',#454046); #145926=VERTEX_POINT('',#454052); #145927=VERTEX_POINT('',#454054); #145928=VERTEX_POINT('',#454058); #145929=VERTEX_POINT('',#454060); #145930=VERTEX_POINT('',#454066); #145931=VERTEX_POINT('',#454068); #145932=VERTEX_POINT('',#454072); #145933=VERTEX_POINT('',#454074); #145934=VERTEX_POINT('',#454080); #145935=VERTEX_POINT('',#454082); #145936=VERTEX_POINT('',#454086); #145937=VERTEX_POINT('',#454088); #145938=VERTEX_POINT('',#454094); #145939=VERTEX_POINT('',#454096); #145940=VERTEX_POINT('',#454100); #145941=VERTEX_POINT('',#454102); #145942=VERTEX_POINT('',#454108); #145943=VERTEX_POINT('',#454110); #145944=VERTEX_POINT('',#454114); #145945=VERTEX_POINT('',#454116); #145946=VERTEX_POINT('',#454122); #145947=VERTEX_POINT('',#454124); #145948=VERTEX_POINT('',#454128); #145949=VERTEX_POINT('',#454130); #145950=VERTEX_POINT('',#454136); #145951=VERTEX_POINT('',#454138); #145952=VERTEX_POINT('',#454142); #145953=VERTEX_POINT('',#454144); #145954=VERTEX_POINT('',#454150); #145955=VERTEX_POINT('',#454152); #145956=VERTEX_POINT('',#454156); #145957=VERTEX_POINT('',#454158); #145958=VERTEX_POINT('',#454164); #145959=VERTEX_POINT('',#454166); #145960=VERTEX_POINT('',#454170); #145961=VERTEX_POINT('',#454172); #145962=VERTEX_POINT('',#454178); #145963=VERTEX_POINT('',#454180); #145964=VERTEX_POINT('',#454184); #145965=VERTEX_POINT('',#454186); #145966=VERTEX_POINT('',#454192); #145967=VERTEX_POINT('',#454194); #145968=VERTEX_POINT('',#454198); #145969=VERTEX_POINT('',#454200); #145970=VERTEX_POINT('',#454206); #145971=VERTEX_POINT('',#454208); #145972=VERTEX_POINT('',#454212); #145973=VERTEX_POINT('',#454214); #145974=VERTEX_POINT('',#454220); #145975=VERTEX_POINT('',#454222); #145976=VERTEX_POINT('',#454226); #145977=VERTEX_POINT('',#454228); #145978=VERTEX_POINT('',#454234); #145979=VERTEX_POINT('',#454236); #145980=VERTEX_POINT('',#454240); #145981=VERTEX_POINT('',#454242); #145982=VERTEX_POINT('',#454248); #145983=VERTEX_POINT('',#454250); #145984=VERTEX_POINT('',#454254); #145985=VERTEX_POINT('',#454256); #145986=VERTEX_POINT('',#454262); #145987=VERTEX_POINT('',#454264); #145988=VERTEX_POINT('',#454268); #145989=VERTEX_POINT('',#454270); #145990=VERTEX_POINT('',#454276); #145991=VERTEX_POINT('',#454278); #145992=VERTEX_POINT('',#454282); #145993=VERTEX_POINT('',#454284); #145994=VERTEX_POINT('',#454290); #145995=VERTEX_POINT('',#454292); #145996=VERTEX_POINT('',#454296); #145997=VERTEX_POINT('',#454298); #145998=VERTEX_POINT('',#454304); #145999=VERTEX_POINT('',#454306); #146000=VERTEX_POINT('',#454310); #146001=VERTEX_POINT('',#454312); #146002=VERTEX_POINT('',#454318); #146003=VERTEX_POINT('',#454320); #146004=VERTEX_POINT('',#454324); #146005=VERTEX_POINT('',#454326); #146006=VERTEX_POINT('',#454332); #146007=VERTEX_POINT('',#454334); #146008=VERTEX_POINT('',#454338); #146009=VERTEX_POINT('',#454340); #146010=VERTEX_POINT('',#454346); #146011=VERTEX_POINT('',#454348); #146012=VERTEX_POINT('',#454352); #146013=VERTEX_POINT('',#454354); #146014=VERTEX_POINT('',#454360); #146015=VERTEX_POINT('',#454362); #146016=VERTEX_POINT('',#454366); #146017=VERTEX_POINT('',#454368); #146018=VERTEX_POINT('',#454374); #146019=VERTEX_POINT('',#454376); #146020=VERTEX_POINT('',#454380); #146021=VERTEX_POINT('',#454382); #146022=VERTEX_POINT('',#454388); #146023=VERTEX_POINT('',#454390); #146024=VERTEX_POINT('',#454394); #146025=VERTEX_POINT('',#454396); #146026=VERTEX_POINT('',#454402); #146027=VERTEX_POINT('',#454404); #146028=VERTEX_POINT('',#454408); #146029=VERTEX_POINT('',#454410); #146030=VERTEX_POINT('',#454416); #146031=VERTEX_POINT('',#454418); #146032=VERTEX_POINT('',#454422); #146033=VERTEX_POINT('',#454424); #146034=VERTEX_POINT('',#454430); #146035=VERTEX_POINT('',#454432); #146036=VERTEX_POINT('',#454436); #146037=VERTEX_POINT('',#454438); #146038=VERTEX_POINT('',#454444); #146039=VERTEX_POINT('',#454446); #146040=VERTEX_POINT('',#454450); #146041=VERTEX_POINT('',#454452); #146042=VERTEX_POINT('',#454458); #146043=VERTEX_POINT('',#454460); #146044=VERTEX_POINT('',#454464); #146045=VERTEX_POINT('',#454466); #146046=VERTEX_POINT('',#454472); #146047=VERTEX_POINT('',#454474); #146048=VERTEX_POINT('',#454478); #146049=VERTEX_POINT('',#454480); #146050=VERTEX_POINT('',#454486); #146051=VERTEX_POINT('',#454488); #146052=VERTEX_POINT('',#454492); #146053=VERTEX_POINT('',#454494); #146054=VERTEX_POINT('',#454500); #146055=VERTEX_POINT('',#454502); #146056=VERTEX_POINT('',#454506); #146057=VERTEX_POINT('',#454508); #146058=VERTEX_POINT('',#454514); #146059=VERTEX_POINT('',#454516); #146060=VERTEX_POINT('',#454520); #146061=VERTEX_POINT('',#454522); #146062=VERTEX_POINT('',#454528); #146063=VERTEX_POINT('',#454530); #146064=VERTEX_POINT('',#454534); #146065=VERTEX_POINT('',#454536); #146066=VERTEX_POINT('',#454542); #146067=VERTEX_POINT('',#454544); #146068=VERTEX_POINT('',#454548); #146069=VERTEX_POINT('',#454550); #146070=VERTEX_POINT('',#454556); #146071=VERTEX_POINT('',#454558); #146072=VERTEX_POINT('',#454562); #146073=VERTEX_POINT('',#454564); #146074=VERTEX_POINT('',#454570); #146075=VERTEX_POINT('',#454572); #146076=VERTEX_POINT('',#454576); #146077=VERTEX_POINT('',#454578); #146078=VERTEX_POINT('',#454584); #146079=VERTEX_POINT('',#454586); #146080=VERTEX_POINT('',#454590); #146081=VERTEX_POINT('',#454592); #146082=VERTEX_POINT('',#454598); #146083=VERTEX_POINT('',#454600); #146084=VERTEX_POINT('',#454604); #146085=VERTEX_POINT('',#454606); #146086=VERTEX_POINT('',#454612); #146087=VERTEX_POINT('',#454614); #146088=VERTEX_POINT('',#454618); #146089=VERTEX_POINT('',#454620); #146090=VERTEX_POINT('',#454626); #146091=VERTEX_POINT('',#454628); #146092=VERTEX_POINT('',#454632); #146093=VERTEX_POINT('',#454634); #146094=VERTEX_POINT('',#454640); #146095=VERTEX_POINT('',#454642); #146096=VERTEX_POINT('',#454646); #146097=VERTEX_POINT('',#454648); #146098=VERTEX_POINT('',#454654); #146099=VERTEX_POINT('',#454656); #146100=VERTEX_POINT('',#454660); #146101=VERTEX_POINT('',#454662); #146102=VERTEX_POINT('',#454668); #146103=VERTEX_POINT('',#454670); #146104=VERTEX_POINT('',#454674); #146105=VERTEX_POINT('',#454676); #146106=VERTEX_POINT('',#454682); #146107=VERTEX_POINT('',#454684); #146108=VERTEX_POINT('',#454688); #146109=VERTEX_POINT('',#454690); #146110=VERTEX_POINT('',#454696); #146111=VERTEX_POINT('',#454698); #146112=VERTEX_POINT('',#454702); #146113=VERTEX_POINT('',#454704); #146114=VERTEX_POINT('',#454710); #146115=VERTEX_POINT('',#454712); #146116=VERTEX_POINT('',#454716); #146117=VERTEX_POINT('',#454718); #146118=VERTEX_POINT('',#454724); #146119=VERTEX_POINT('',#454726); #146120=VERTEX_POINT('',#454730); #146121=VERTEX_POINT('',#454732); #146122=VERTEX_POINT('',#454738); #146123=VERTEX_POINT('',#454740); #146124=VERTEX_POINT('',#454744); #146125=VERTEX_POINT('',#454746); #146126=VERTEX_POINT('',#454752); #146127=VERTEX_POINT('',#454754); #146128=VERTEX_POINT('',#454758); #146129=VERTEX_POINT('',#454760); #146130=VERTEX_POINT('',#454766); #146131=VERTEX_POINT('',#454768); #146132=VERTEX_POINT('',#454772); #146133=VERTEX_POINT('',#454774); #146134=VERTEX_POINT('',#454780); #146135=VERTEX_POINT('',#454782); #146136=VERTEX_POINT('',#454786); #146137=VERTEX_POINT('',#454788); #146138=VERTEX_POINT('',#454794); #146139=VERTEX_POINT('',#454796); #146140=VERTEX_POINT('',#454800); #146141=VERTEX_POINT('',#454802); #146142=VERTEX_POINT('',#454808); #146143=VERTEX_POINT('',#454810); #146144=VERTEX_POINT('',#454814); #146145=VERTEX_POINT('',#454816); #146146=VERTEX_POINT('',#454822); #146147=VERTEX_POINT('',#454824); #146148=VERTEX_POINT('',#454828); #146149=VERTEX_POINT('',#454830); #146150=VERTEX_POINT('',#454836); #146151=VERTEX_POINT('',#454838); #146152=VERTEX_POINT('',#454842); #146153=VERTEX_POINT('',#454844); #146154=VERTEX_POINT('',#454850); #146155=VERTEX_POINT('',#454852); #146156=VERTEX_POINT('',#454856); #146157=VERTEX_POINT('',#454858); #146158=VERTEX_POINT('',#454864); #146159=VERTEX_POINT('',#454866); #146160=VERTEX_POINT('',#454870); #146161=VERTEX_POINT('',#454872); #146162=VERTEX_POINT('',#454878); #146163=VERTEX_POINT('',#454880); #146164=VERTEX_POINT('',#454884); #146165=VERTEX_POINT('',#454886); #146166=VERTEX_POINT('',#454892); #146167=VERTEX_POINT('',#454894); #146168=VERTEX_POINT('',#454898); #146169=VERTEX_POINT('',#454900); #146170=VERTEX_POINT('',#454906); #146171=VERTEX_POINT('',#454908); #146172=VERTEX_POINT('',#454912); #146173=VERTEX_POINT('',#454914); #146174=VERTEX_POINT('',#454920); #146175=VERTEX_POINT('',#454922); #146176=VERTEX_POINT('',#454926); #146177=VERTEX_POINT('',#454928); #146178=VERTEX_POINT('',#454934); #146179=VERTEX_POINT('',#454936); #146180=VERTEX_POINT('',#454940); #146181=VERTEX_POINT('',#454942); #146182=VERTEX_POINT('',#454948); #146183=VERTEX_POINT('',#454950); #146184=VERTEX_POINT('',#454954); #146185=VERTEX_POINT('',#454956); #146186=VERTEX_POINT('',#454962); #146187=VERTEX_POINT('',#454964); #146188=VERTEX_POINT('',#454968); #146189=VERTEX_POINT('',#454970); #146190=VERTEX_POINT('',#454976); #146191=VERTEX_POINT('',#454978); #146192=VERTEX_POINT('',#454982); #146193=VERTEX_POINT('',#454984); #146194=VERTEX_POINT('',#454990); #146195=VERTEX_POINT('',#454992); #146196=VERTEX_POINT('',#454996); #146197=VERTEX_POINT('',#454998); #146198=VERTEX_POINT('',#455004); #146199=VERTEX_POINT('',#455006); #146200=VERTEX_POINT('',#455010); #146201=VERTEX_POINT('',#455012); #146202=VERTEX_POINT('',#455018); #146203=VERTEX_POINT('',#455020); #146204=VERTEX_POINT('',#455024); #146205=VERTEX_POINT('',#455026); #146206=VERTEX_POINT('',#455032); #146207=VERTEX_POINT('',#455034); #146208=VERTEX_POINT('',#455038); #146209=VERTEX_POINT('',#455040); #146210=VERTEX_POINT('',#455046); #146211=VERTEX_POINT('',#455048); #146212=VERTEX_POINT('',#455052); #146213=VERTEX_POINT('',#455054); #146214=VERTEX_POINT('',#455060); #146215=VERTEX_POINT('',#455062); #146216=VERTEX_POINT('',#455066); #146217=VERTEX_POINT('',#455068); #146218=VERTEX_POINT('',#455074); #146219=VERTEX_POINT('',#455076); #146220=VERTEX_POINT('',#455080); #146221=VERTEX_POINT('',#455082); #146222=VERTEX_POINT('',#455088); #146223=VERTEX_POINT('',#455090); #146224=VERTEX_POINT('',#455094); #146225=VERTEX_POINT('',#455096); #146226=VERTEX_POINT('',#455102); #146227=VERTEX_POINT('',#455104); #146228=VERTEX_POINT('',#455108); #146229=VERTEX_POINT('',#455110); #146230=VERTEX_POINT('',#455116); #146231=VERTEX_POINT('',#455118); #146232=VERTEX_POINT('',#455122); #146233=VERTEX_POINT('',#455124); #146234=VERTEX_POINT('',#455130); #146235=VERTEX_POINT('',#455132); #146236=VERTEX_POINT('',#455136); #146237=VERTEX_POINT('',#455138); #146238=VERTEX_POINT('',#455144); #146239=VERTEX_POINT('',#455146); #146240=VERTEX_POINT('',#455150); #146241=VERTEX_POINT('',#455152); #146242=VERTEX_POINT('',#455158); #146243=VERTEX_POINT('',#455160); #146244=VERTEX_POINT('',#455164); #146245=VERTEX_POINT('',#455166); #146246=VERTEX_POINT('',#455172); #146247=VERTEX_POINT('',#455174); #146248=VERTEX_POINT('',#455178); #146249=VERTEX_POINT('',#455180); #146250=VERTEX_POINT('',#455186); #146251=VERTEX_POINT('',#455188); #146252=VERTEX_POINT('',#455192); #146253=VERTEX_POINT('',#455194); #146254=VERTEX_POINT('',#455200); #146255=VERTEX_POINT('',#455202); #146256=VERTEX_POINT('',#455206); #146257=VERTEX_POINT('',#455208); #146258=VERTEX_POINT('',#455214); #146259=VERTEX_POINT('',#455216); #146260=VERTEX_POINT('',#455220); #146261=VERTEX_POINT('',#455222); #146262=VERTEX_POINT('',#455228); #146263=VERTEX_POINT('',#455230); #146264=VERTEX_POINT('',#455234); #146265=VERTEX_POINT('',#455236); #146266=VERTEX_POINT('',#455242); #146267=VERTEX_POINT('',#455244); #146268=VERTEX_POINT('',#455248); #146269=VERTEX_POINT('',#455250); #146270=VERTEX_POINT('',#455256); #146271=VERTEX_POINT('',#455258); #146272=VERTEX_POINT('',#455262); #146273=VERTEX_POINT('',#455264); #146274=VERTEX_POINT('',#455270); #146275=VERTEX_POINT('',#455272); #146276=VERTEX_POINT('',#455276); #146277=VERTEX_POINT('',#455278); #146278=VERTEX_POINT('',#455284); #146279=VERTEX_POINT('',#455286); #146280=VERTEX_POINT('',#455290); #146281=VERTEX_POINT('',#455292); #146282=VERTEX_POINT('',#455298); #146283=VERTEX_POINT('',#455300); #146284=VERTEX_POINT('',#455304); #146285=VERTEX_POINT('',#455306); #146286=VERTEX_POINT('',#455312); #146287=VERTEX_POINT('',#455314); #146288=VERTEX_POINT('',#455318); #146289=VERTEX_POINT('',#455320); #146290=VERTEX_POINT('',#455326); #146291=VERTEX_POINT('',#455327); #146292=VERTEX_POINT('',#455329); #146293=VERTEX_POINT('',#455331); #146294=VERTEX_POINT('',#455335); #146295=VERTEX_POINT('',#455337); #146296=VERTEX_POINT('',#455341); #146297=VERTEX_POINT('',#455343); #146298=VERTEX_POINT('',#455350); #146299=VERTEX_POINT('',#455351); #146300=VERTEX_POINT('',#455353); #146301=VERTEX_POINT('',#455355); #146302=VERTEX_POINT('',#455359); #146303=VERTEX_POINT('',#455361); #146304=VERTEX_POINT('',#455365); #146305=VERTEX_POINT('',#455367); #146306=VERTEX_POINT('',#455376); #146307=VERTEX_POINT('',#455378); #146308=VERTEX_POINT('',#455382); #146309=VERTEX_POINT('',#455384); #146310=VERTEX_POINT('',#455390); #146311=VERTEX_POINT('',#455391); #146312=VERTEX_POINT('',#455393); #146313=VERTEX_POINT('',#455395); #146314=VERTEX_POINT('',#455399); #146315=VERTEX_POINT('',#455401); #146316=VERTEX_POINT('',#455405); #146317=VERTEX_POINT('',#455407); #146318=VERTEX_POINT('',#455414); #146319=VERTEX_POINT('',#455415); #146320=VERTEX_POINT('',#455417); #146321=VERTEX_POINT('',#455419); #146322=VERTEX_POINT('',#455423); #146323=VERTEX_POINT('',#455425); #146324=VERTEX_POINT('',#455429); #146325=VERTEX_POINT('',#455431); #146326=VERTEX_POINT('',#455440); #146327=VERTEX_POINT('',#455442); #146328=VERTEX_POINT('',#455446); #146329=VERTEX_POINT('',#455448); #146330=VERTEX_POINT('',#455454); #146331=VERTEX_POINT('',#455455); #146332=VERTEX_POINT('',#455457); #146333=VERTEX_POINT('',#455459); #146334=VERTEX_POINT('',#455463); #146335=VERTEX_POINT('',#455465); #146336=VERTEX_POINT('',#455469); #146337=VERTEX_POINT('',#455471); #146338=VERTEX_POINT('',#455478); #146339=VERTEX_POINT('',#455479); #146340=VERTEX_POINT('',#455481); #146341=VERTEX_POINT('',#455483); #146342=VERTEX_POINT('',#455487); #146343=VERTEX_POINT('',#455489); #146344=VERTEX_POINT('',#455493); #146345=VERTEX_POINT('',#455495); #146346=VERTEX_POINT('',#455504); #146347=VERTEX_POINT('',#455506); #146348=VERTEX_POINT('',#455510); #146349=VERTEX_POINT('',#455512); #146350=VERTEX_POINT('',#455518); #146351=VERTEX_POINT('',#455519); #146352=VERTEX_POINT('',#455521); #146353=VERTEX_POINT('',#455523); #146354=VERTEX_POINT('',#455527); #146355=VERTEX_POINT('',#455529); #146356=VERTEX_POINT('',#455533); #146357=VERTEX_POINT('',#455535); #146358=VERTEX_POINT('',#455542); #146359=VERTEX_POINT('',#455543); #146360=VERTEX_POINT('',#455545); #146361=VERTEX_POINT('',#455547); #146362=VERTEX_POINT('',#455551); #146363=VERTEX_POINT('',#455553); #146364=VERTEX_POINT('',#455557); #146365=VERTEX_POINT('',#455559); #146366=VERTEX_POINT('',#455568); #146367=VERTEX_POINT('',#455570); #146368=VERTEX_POINT('',#455574); #146369=VERTEX_POINT('',#455576); #146370=VERTEX_POINT('',#455582); #146371=VERTEX_POINT('',#455584); #146372=VERTEX_POINT('',#455588); #146373=VERTEX_POINT('',#455590); #146374=VERTEX_POINT('',#455596); #146375=VERTEX_POINT('',#455598); #146376=VERTEX_POINT('',#455602); #146377=VERTEX_POINT('',#455604); #146378=VERTEX_POINT('',#455610); #146379=VERTEX_POINT('',#455612); #146380=VERTEX_POINT('',#455616); #146381=VERTEX_POINT('',#455618); #146382=VERTEX_POINT('',#455624); #146383=VERTEX_POINT('',#455626); #146384=VERTEX_POINT('',#455630); #146385=VERTEX_POINT('',#455632); #146386=VERTEX_POINT('',#455638); #146387=VERTEX_POINT('',#455640); #146388=VERTEX_POINT('',#455644); #146389=VERTEX_POINT('',#455646); #146390=VERTEX_POINT('',#455652); #146391=VERTEX_POINT('',#455654); #146392=VERTEX_POINT('',#455658); #146393=VERTEX_POINT('',#455660); #146394=VERTEX_POINT('',#455666); #146395=VERTEX_POINT('',#455668); #146396=VERTEX_POINT('',#455672); #146397=VERTEX_POINT('',#455674); #146398=VERTEX_POINT('',#455680); #146399=VERTEX_POINT('',#455682); #146400=VERTEX_POINT('',#455686); #146401=VERTEX_POINT('',#455688); #146402=VERTEX_POINT('',#455694); #146403=VERTEX_POINT('',#455696); #146404=VERTEX_POINT('',#455700); #146405=VERTEX_POINT('',#455702); #146406=VERTEX_POINT('',#455708); #146407=VERTEX_POINT('',#455710); #146408=VERTEX_POINT('',#455714); #146409=VERTEX_POINT('',#455716); #146410=VERTEX_POINT('',#455722); #146411=VERTEX_POINT('',#455723); #146412=VERTEX_POINT('',#455725); #146413=VERTEX_POINT('',#455727); #146414=VERTEX_POINT('',#455731); #146415=VERTEX_POINT('',#455733); #146416=VERTEX_POINT('',#455737); #146417=VERTEX_POINT('',#455739); #146418=VERTEX_POINT('',#455746); #146419=VERTEX_POINT('',#455747); #146420=VERTEX_POINT('',#455749); #146421=VERTEX_POINT('',#455751); #146422=VERTEX_POINT('',#455755); #146423=VERTEX_POINT('',#455757); #146424=VERTEX_POINT('',#455761); #146425=VERTEX_POINT('',#455763); #146426=VERTEX_POINT('',#455772); #146427=VERTEX_POINT('',#455774); #146428=VERTEX_POINT('',#455778); #146429=VERTEX_POINT('',#455780); #146430=VERTEX_POINT('',#455786); #146431=VERTEX_POINT('',#455787); #146432=VERTEX_POINT('',#455789); #146433=VERTEX_POINT('',#455791); #146434=VERTEX_POINT('',#455795); #146435=VERTEX_POINT('',#455797); #146436=VERTEX_POINT('',#455801); #146437=VERTEX_POINT('',#455803); #146438=VERTEX_POINT('',#455810); #146439=VERTEX_POINT('',#455811); #146440=VERTEX_POINT('',#455813); #146441=VERTEX_POINT('',#455815); #146442=VERTEX_POINT('',#455819); #146443=VERTEX_POINT('',#455821); #146444=VERTEX_POINT('',#455825); #146445=VERTEX_POINT('',#455827); #146446=VERTEX_POINT('',#455836); #146447=VERTEX_POINT('',#455838); #146448=VERTEX_POINT('',#455842); #146449=VERTEX_POINT('',#455844); #146450=VERTEX_POINT('',#455850); #146451=VERTEX_POINT('',#455852); #146452=VERTEX_POINT('',#455856); #146453=VERTEX_POINT('',#455858); #146454=VERTEX_POINT('',#455864); #146455=VERTEX_POINT('',#455866); #146456=VERTEX_POINT('',#455870); #146457=VERTEX_POINT('',#455872); #146458=VERTEX_POINT('',#455878); #146459=VERTEX_POINT('',#455880); #146460=VERTEX_POINT('',#455884); #146461=VERTEX_POINT('',#455886); #146462=VERTEX_POINT('',#455892); #146463=VERTEX_POINT('',#455894); #146464=VERTEX_POINT('',#455898); #146465=VERTEX_POINT('',#455900); #146466=VERTEX_POINT('',#455906); #146467=VERTEX_POINT('',#455908); #146468=VERTEX_POINT('',#455912); #146469=VERTEX_POINT('',#455914); #146470=VERTEX_POINT('',#455920); #146471=VERTEX_POINT('',#455922); #146472=VERTEX_POINT('',#455926); #146473=VERTEX_POINT('',#455928); #146474=VERTEX_POINT('',#455934); #146475=VERTEX_POINT('',#455936); #146476=VERTEX_POINT('',#455940); #146477=VERTEX_POINT('',#455942); #146478=VERTEX_POINT('',#455948); #146479=VERTEX_POINT('',#455950); #146480=VERTEX_POINT('',#455954); #146481=VERTEX_POINT('',#455956); #146482=VERTEX_POINT('',#455962); #146483=VERTEX_POINT('',#455964); #146484=VERTEX_POINT('',#455968); #146485=VERTEX_POINT('',#455970); #146486=VERTEX_POINT('',#455976); #146487=VERTEX_POINT('',#455978); #146488=VERTEX_POINT('',#455982); #146489=VERTEX_POINT('',#455984); #146490=VERTEX_POINT('',#455990); #146491=VERTEX_POINT('',#455992); #146492=VERTEX_POINT('',#455996); #146493=VERTEX_POINT('',#455998); #146494=VERTEX_POINT('',#456004); #146495=VERTEX_POINT('',#456006); #146496=VERTEX_POINT('',#456010); #146497=VERTEX_POINT('',#456012); #146498=VERTEX_POINT('',#456018); #146499=VERTEX_POINT('',#456020); #146500=VERTEX_POINT('',#456024); #146501=VERTEX_POINT('',#456026); #146502=VERTEX_POINT('',#456032); #146503=VERTEX_POINT('',#456034); #146504=VERTEX_POINT('',#456038); #146505=VERTEX_POINT('',#456040); #146506=VERTEX_POINT('',#456046); #146507=VERTEX_POINT('',#456048); #146508=VERTEX_POINT('',#456052); #146509=VERTEX_POINT('',#456054); #146510=VERTEX_POINT('',#456060); #146511=VERTEX_POINT('',#456062); #146512=VERTEX_POINT('',#456066); #146513=VERTEX_POINT('',#456068); #146514=VERTEX_POINT('',#456074); #146515=VERTEX_POINT('',#456076); #146516=VERTEX_POINT('',#456080); #146517=VERTEX_POINT('',#456082); #146518=VERTEX_POINT('',#456088); #146519=VERTEX_POINT('',#456090); #146520=VERTEX_POINT('',#456094); #146521=VERTEX_POINT('',#456096); #146522=VERTEX_POINT('',#456102); #146523=VERTEX_POINT('',#456104); #146524=VERTEX_POINT('',#456108); #146525=VERTEX_POINT('',#456110); #146526=VERTEX_POINT('',#456116); #146527=VERTEX_POINT('',#456118); #146528=VERTEX_POINT('',#456122); #146529=VERTEX_POINT('',#456124); #146530=VERTEX_POINT('',#456130); #146531=VERTEX_POINT('',#456132); #146532=VERTEX_POINT('',#456136); #146533=VERTEX_POINT('',#456138); #146534=VERTEX_POINT('',#456144); #146535=VERTEX_POINT('',#456146); #146536=VERTEX_POINT('',#456150); #146537=VERTEX_POINT('',#456152); #146538=VERTEX_POINT('',#456158); #146539=VERTEX_POINT('',#456160); #146540=VERTEX_POINT('',#456164); #146541=VERTEX_POINT('',#456166); #146542=VERTEX_POINT('',#456172); #146543=VERTEX_POINT('',#456174); #146544=VERTEX_POINT('',#456178); #146545=VERTEX_POINT('',#456180); #146546=VERTEX_POINT('',#456186); #146547=VERTEX_POINT('',#456188); #146548=VERTEX_POINT('',#456192); #146549=VERTEX_POINT('',#456194); #146550=VERTEX_POINT('',#456200); #146551=VERTEX_POINT('',#456202); #146552=VERTEX_POINT('',#456206); #146553=VERTEX_POINT('',#456208); #146554=VERTEX_POINT('',#456214); #146555=VERTEX_POINT('',#456216); #146556=VERTEX_POINT('',#456220); #146557=VERTEX_POINT('',#456222); #146558=VERTEX_POINT('',#456228); #146559=VERTEX_POINT('',#456230); #146560=VERTEX_POINT('',#456234); #146561=VERTEX_POINT('',#456236); #146562=VERTEX_POINT('',#456242); #146563=VERTEX_POINT('',#456244); #146564=VERTEX_POINT('',#456248); #146565=VERTEX_POINT('',#456250); #146566=VERTEX_POINT('',#456256); #146567=VERTEX_POINT('',#456258); #146568=VERTEX_POINT('',#456262); #146569=VERTEX_POINT('',#456264); #146570=VERTEX_POINT('',#456270); #146571=VERTEX_POINT('',#456272); #146572=VERTEX_POINT('',#456276); #146573=VERTEX_POINT('',#456278); #146574=VERTEX_POINT('',#456284); #146575=VERTEX_POINT('',#456286); #146576=VERTEX_POINT('',#456290); #146577=VERTEX_POINT('',#456292); #146578=VERTEX_POINT('',#456298); #146579=VERTEX_POINT('',#456300); #146580=VERTEX_POINT('',#456304); #146581=VERTEX_POINT('',#456306); #146582=VERTEX_POINT('',#456312); #146583=VERTEX_POINT('',#456314); #146584=VERTEX_POINT('',#456318); #146585=VERTEX_POINT('',#456320); #146586=VERTEX_POINT('',#456326); #146587=VERTEX_POINT('',#456328); #146588=VERTEX_POINT('',#456332); #146589=VERTEX_POINT('',#456334); #146590=VERTEX_POINT('',#456340); #146591=VERTEX_POINT('',#456342); #146592=VERTEX_POINT('',#456346); #146593=VERTEX_POINT('',#456348); #146594=VERTEX_POINT('',#456355); #146595=VERTEX_POINT('',#456357); #146596=VERTEX_POINT('',#456361); #146597=VERTEX_POINT('',#456362); #146598=VERTEX_POINT('',#456364); #146599=VERTEX_POINT('',#456366); #146600=VERTEX_POINT('',#456370); #146601=VERTEX_POINT('',#456372); #146602=VERTEX_POINT('',#456381); #146603=VERTEX_POINT('',#456382); #146604=VERTEX_POINT('',#456384); #146605=VERTEX_POINT('',#456386); #146606=VERTEX_POINT('',#456390); #146607=VERTEX_POINT('',#456392); #146608=VERTEX_POINT('',#456396); #146609=VERTEX_POINT('',#456398); #146610=VERTEX_POINT('',#456407); #146611=VERTEX_POINT('',#456408); #146612=VERTEX_POINT('',#456410); #146613=VERTEX_POINT('',#456412); #146614=VERTEX_POINT('',#456416); #146615=VERTEX_POINT('',#456418); #146616=VERTEX_POINT('',#456422); #146617=VERTEX_POINT('',#456424); #146618=VERTEX_POINT('',#456433); #146619=VERTEX_POINT('',#456434); #146620=VERTEX_POINT('',#456436); #146621=VERTEX_POINT('',#456438); #146622=VERTEX_POINT('',#456442); #146623=VERTEX_POINT('',#456444); #146624=VERTEX_POINT('',#456448); #146625=VERTEX_POINT('',#456450); #146626=VERTEX_POINT('',#456459); #146627=VERTEX_POINT('',#456460); #146628=VERTEX_POINT('',#456462); #146629=VERTEX_POINT('',#456464); #146630=VERTEX_POINT('',#456468); #146631=VERTEX_POINT('',#456470); #146632=VERTEX_POINT('',#456474); #146633=VERTEX_POINT('',#456476); #146634=VERTEX_POINT('',#456485); #146635=VERTEX_POINT('',#456486); #146636=VERTEX_POINT('',#456488); #146637=VERTEX_POINT('',#456490); #146638=VERTEX_POINT('',#456494); #146639=VERTEX_POINT('',#456496); #146640=VERTEX_POINT('',#456500); #146641=VERTEX_POINT('',#456502); #146642=VERTEX_POINT('',#456511); #146643=VERTEX_POINT('',#456512); #146644=VERTEX_POINT('',#456514); #146645=VERTEX_POINT('',#456516); #146646=VERTEX_POINT('',#456520); #146647=VERTEX_POINT('',#456522); #146648=VERTEX_POINT('',#456526); #146649=VERTEX_POINT('',#456528); #146650=VERTEX_POINT('',#456537); #146651=VERTEX_POINT('',#456538); #146652=VERTEX_POINT('',#456540); #146653=VERTEX_POINT('',#456542); #146654=VERTEX_POINT('',#456546); #146655=VERTEX_POINT('',#456548); #146656=VERTEX_POINT('',#456552); #146657=VERTEX_POINT('',#456554); #146658=VERTEX_POINT('',#456563); #146659=VERTEX_POINT('',#456564); #146660=VERTEX_POINT('',#456566); #146661=VERTEX_POINT('',#456568); #146662=VERTEX_POINT('',#456572); #146663=VERTEX_POINT('',#456574); #146664=VERTEX_POINT('',#456578); #146665=VERTEX_POINT('',#456580); #146666=VERTEX_POINT('',#456589); #146667=VERTEX_POINT('',#456590); #146668=VERTEX_POINT('',#456592); #146669=VERTEX_POINT('',#456594); #146670=VERTEX_POINT('',#456598); #146671=VERTEX_POINT('',#456600); #146672=VERTEX_POINT('',#456604); #146673=VERTEX_POINT('',#456606); #146674=VERTEX_POINT('',#456615); #146675=VERTEX_POINT('',#456616); #146676=VERTEX_POINT('',#456618); #146677=VERTEX_POINT('',#456620); #146678=VERTEX_POINT('',#456624); #146679=VERTEX_POINT('',#456626); #146680=VERTEX_POINT('',#456630); #146681=VERTEX_POINT('',#456632); #146682=VERTEX_POINT('',#456641); #146683=VERTEX_POINT('',#456642); #146684=VERTEX_POINT('',#456644); #146685=VERTEX_POINT('',#456646); #146686=VERTEX_POINT('',#456650); #146687=VERTEX_POINT('',#456652); #146688=VERTEX_POINT('',#456656); #146689=VERTEX_POINT('',#456658); #146690=VERTEX_POINT('',#456667); #146691=VERTEX_POINT('',#456669); #146692=VERTEX_POINT('',#456673); #146693=VERTEX_POINT('',#456674); #146694=VERTEX_POINT('',#456676); #146695=VERTEX_POINT('',#456678); #146696=VERTEX_POINT('',#456682); #146697=VERTEX_POINT('',#456684); #146698=VERTEX_POINT('',#456688); #146699=VERTEX_POINT('',#456690); #146700=VERTEX_POINT('',#456694); #146701=VERTEX_POINT('',#456696); #146702=VERTEX_POINT('',#456700); #146703=VERTEX_POINT('',#456702); #146704=VERTEX_POINT('',#456706); #146705=VERTEX_POINT('',#456708); #146706=VERTEX_POINT('',#456712); #146707=VERTEX_POINT('',#456714); #146708=VERTEX_POINT('',#456718); #146709=VERTEX_POINT('',#456720); #146710=VERTEX_POINT('',#456724); #146711=VERTEX_POINT('',#456726); #146712=VERTEX_POINT('',#456730); #146713=VERTEX_POINT('',#456732); #146714=VERTEX_POINT('',#456736); #146715=VERTEX_POINT('',#456738); #146716=VERTEX_POINT('',#456742); #146717=VERTEX_POINT('',#456744); #146718=VERTEX_POINT('',#456753); #146719=VERTEX_POINT('',#456755); #146720=VERTEX_POINT('',#456759); #146721=VERTEX_POINT('',#456760); #146722=VERTEX_POINT('',#456762); #146723=VERTEX_POINT('',#456764); #146724=VERTEX_POINT('',#456768); #146725=VERTEX_POINT('',#456770); #146726=VERTEX_POINT('',#456774); #146727=VERTEX_POINT('',#456776); #146728=VERTEX_POINT('',#456780); #146729=VERTEX_POINT('',#456782); #146730=VERTEX_POINT('',#456786); #146731=VERTEX_POINT('',#456788); #146732=VERTEX_POINT('',#456792); #146733=VERTEX_POINT('',#456794); #146734=VERTEX_POINT('',#456798); #146735=VERTEX_POINT('',#456800); #146736=VERTEX_POINT('',#456804); #146737=VERTEX_POINT('',#456806); #146738=VERTEX_POINT('',#456810); #146739=VERTEX_POINT('',#456812); #146740=VERTEX_POINT('',#456821); #146741=VERTEX_POINT('',#456822); #146742=VERTEX_POINT('',#456824); #146743=VERTEX_POINT('',#456826); #146744=VERTEX_POINT('',#456830); #146745=VERTEX_POINT('',#456832); #146746=VERTEX_POINT('',#456836); #146747=VERTEX_POINT('',#456838); #146748=VERTEX_POINT('',#456842); #146749=VERTEX_POINT('',#456844); #146750=VERTEX_POINT('',#456848); #146751=VERTEX_POINT('',#456850); #146752=VERTEX_POINT('',#456854); #146753=VERTEX_POINT('',#456856); #146754=VERTEX_POINT('',#456860); #146755=VERTEX_POINT('',#456862); #146756=VERTEX_POINT('',#456866); #146757=VERTEX_POINT('',#456868); #146758=VERTEX_POINT('',#456872); #146759=VERTEX_POINT('',#456874); #146760=VERTEX_POINT('',#456878); #146761=VERTEX_POINT('',#456880); #146762=VERTEX_POINT('',#456884); #146763=VERTEX_POINT('',#456886); #146764=VERTEX_POINT('',#456890); #146765=VERTEX_POINT('',#456892); #146766=VERTEX_POINT('',#456896); #146767=VERTEX_POINT('',#456898); #146768=VERTEX_POINT('',#456902); #146769=VERTEX_POINT('',#456904); #146770=VERTEX_POINT('',#456908); #146771=VERTEX_POINT('',#456910); #146772=VERTEX_POINT('',#456914); #146773=VERTEX_POINT('',#456916); #146774=VERTEX_POINT('',#456920); #146775=VERTEX_POINT('',#456922); #146776=VERTEX_POINT('',#456926); #146777=VERTEX_POINT('',#456928); #146778=VERTEX_POINT('',#456932); #146779=VERTEX_POINT('',#456934); #146780=VERTEX_POINT('',#456943); #146781=VERTEX_POINT('',#456944); #146782=VERTEX_POINT('',#456946); #146783=VERTEX_POINT('',#456948); #146784=VERTEX_POINT('',#456952); #146785=VERTEX_POINT('',#456954); #146786=VERTEX_POINT('',#456958); #146787=VERTEX_POINT('',#456960); #146788=VERTEX_POINT('',#456964); #146789=VERTEX_POINT('',#456966); #146790=VERTEX_POINT('',#456970); #146791=VERTEX_POINT('',#456972); #146792=VERTEX_POINT('',#456976); #146793=VERTEX_POINT('',#456978); #146794=VERTEX_POINT('',#456982); #146795=VERTEX_POINT('',#456984); #146796=VERTEX_POINT('',#456988); #146797=VERTEX_POINT('',#456990); #146798=VERTEX_POINT('',#456994); #146799=VERTEX_POINT('',#456996); #146800=VERTEX_POINT('',#457000); #146801=VERTEX_POINT('',#457002); #146802=VERTEX_POINT('',#457006); #146803=VERTEX_POINT('',#457008); #146804=VERTEX_POINT('',#457012); #146805=VERTEX_POINT('',#457014); #146806=VERTEX_POINT('',#457018); #146807=VERTEX_POINT('',#457020); #146808=VERTEX_POINT('',#457024); #146809=VERTEX_POINT('',#457026); #146810=VERTEX_POINT('',#457030); #146811=VERTEX_POINT('',#457032); #146812=VERTEX_POINT('',#457036); #146813=VERTEX_POINT('',#457038); #146814=VERTEX_POINT('',#457042); #146815=VERTEX_POINT('',#457044); #146816=VERTEX_POINT('',#457048); #146817=VERTEX_POINT('',#457050); #146818=VERTEX_POINT('',#457054); #146819=VERTEX_POINT('',#457056); #146820=VERTEX_POINT('',#457060); #146821=VERTEX_POINT('',#457062); #146822=VERTEX_POINT('',#457066); #146823=VERTEX_POINT('',#457068); #146824=VERTEX_POINT('',#457072); #146825=VERTEX_POINT('',#457074); #146826=VERTEX_POINT('',#457078); #146827=VERTEX_POINT('',#457080); #146828=VERTEX_POINT('',#457084); #146829=VERTEX_POINT('',#457086); #146830=VERTEX_POINT('',#457090); #146831=VERTEX_POINT('',#457092); #146832=VERTEX_POINT('',#457096); #146833=VERTEX_POINT('',#457098); #146834=VERTEX_POINT('',#457102); #146835=VERTEX_POINT('',#457104); #146836=VERTEX_POINT('',#457108); #146837=VERTEX_POINT('',#457110); #146838=VERTEX_POINT('',#457114); #146839=VERTEX_POINT('',#457116); #146840=VERTEX_POINT('',#457120); #146841=VERTEX_POINT('',#457122); #146842=VERTEX_POINT('',#457126); #146843=VERTEX_POINT('',#457128); #146844=VERTEX_POINT('',#457132); #146845=VERTEX_POINT('',#457134); #146846=VERTEX_POINT('',#457138); #146847=VERTEX_POINT('',#457140); #146848=VERTEX_POINT('',#457144); #146849=VERTEX_POINT('',#457146); #146850=VERTEX_POINT('',#457150); #146851=VERTEX_POINT('',#457152); #146852=VERTEX_POINT('',#457161); #146853=VERTEX_POINT('',#457162); #146854=VERTEX_POINT('',#457164); #146855=VERTEX_POINT('',#457166); #146856=VERTEX_POINT('',#457170); #146857=VERTEX_POINT('',#457172); #146858=VERTEX_POINT('',#457176); #146859=VERTEX_POINT('',#457178); #146860=VERTEX_POINT('',#457182); #146861=VERTEX_POINT('',#457184); #146862=VERTEX_POINT('',#457188); #146863=VERTEX_POINT('',#457190); #146864=VERTEX_POINT('',#457194); #146865=VERTEX_POINT('',#457196); #146866=VERTEX_POINT('',#457200); #146867=VERTEX_POINT('',#457202); #146868=VERTEX_POINT('',#457206); #146869=VERTEX_POINT('',#457208); #146870=VERTEX_POINT('',#457212); #146871=VERTEX_POINT('',#457214); #146872=VERTEX_POINT('',#457218); #146873=VERTEX_POINT('',#457220); #146874=VERTEX_POINT('',#457224); #146875=VERTEX_POINT('',#457226); #146876=VERTEX_POINT('',#457230); #146877=VERTEX_POINT('',#457232); #146878=VERTEX_POINT('',#457236); #146879=VERTEX_POINT('',#457238); #146880=VERTEX_POINT('',#457242); #146881=VERTEX_POINT('',#457244); #146882=VERTEX_POINT('',#457248); #146883=VERTEX_POINT('',#457250); #146884=VERTEX_POINT('',#457254); #146885=VERTEX_POINT('',#457256); #146886=VERTEX_POINT('',#457260); #146887=VERTEX_POINT('',#457262); #146888=VERTEX_POINT('',#457266); #146889=VERTEX_POINT('',#457268); #146890=VERTEX_POINT('',#457272); #146891=VERTEX_POINT('',#457274); #146892=VERTEX_POINT('',#457278); #146893=VERTEX_POINT('',#457280); #146894=VERTEX_POINT('',#457284); #146895=VERTEX_POINT('',#457286); #146896=VERTEX_POINT('',#457290); #146897=VERTEX_POINT('',#457292); #146898=VERTEX_POINT('',#457296); #146899=VERTEX_POINT('',#457298); #146900=VERTEX_POINT('',#457302); #146901=VERTEX_POINT('',#457304); #146902=VERTEX_POINT('',#457308); #146903=VERTEX_POINT('',#457310); #146904=VERTEX_POINT('',#457314); #146905=VERTEX_POINT('',#457316); #146906=VERTEX_POINT('',#457320); #146907=VERTEX_POINT('',#457322); #146908=VERTEX_POINT('',#457326); #146909=VERTEX_POINT('',#457328); #146910=VERTEX_POINT('',#457332); #146911=VERTEX_POINT('',#457334); #146912=VERTEX_POINT('',#457338); #146913=VERTEX_POINT('',#457340); #146914=VERTEX_POINT('',#457344); #146915=VERTEX_POINT('',#457346); #146916=VERTEX_POINT('',#457350); #146917=VERTEX_POINT('',#457352); #146918=VERTEX_POINT('',#457356); #146919=VERTEX_POINT('',#457358); #146920=VERTEX_POINT('',#457362); #146921=VERTEX_POINT('',#457364); #146922=VERTEX_POINT('',#457368); #146923=VERTEX_POINT('',#457370); #146924=VERTEX_POINT('',#457379); #146925=VERTEX_POINT('',#457381); #146926=VERTEX_POINT('',#457385); #146927=VERTEX_POINT('',#457386); #146928=VERTEX_POINT('',#457388); #146929=VERTEX_POINT('',#457390); #146930=VERTEX_POINT('',#457394); #146931=VERTEX_POINT('',#457396); #146932=VERTEX_POINT('',#457400); #146933=VERTEX_POINT('',#457402); #146934=VERTEX_POINT('',#457406); #146935=VERTEX_POINT('',#457408); #146936=VERTEX_POINT('',#457412); #146937=VERTEX_POINT('',#457414); #146938=VERTEX_POINT('',#457418); #146939=VERTEX_POINT('',#457420); #146940=VERTEX_POINT('',#457424); #146941=VERTEX_POINT('',#457426); #146942=VERTEX_POINT('',#457430); #146943=VERTEX_POINT('',#457432); #146944=VERTEX_POINT('',#457436); #146945=VERTEX_POINT('',#457438); #146946=VERTEX_POINT('',#457442); #146947=VERTEX_POINT('',#457444); #146948=VERTEX_POINT('',#457448); #146949=VERTEX_POINT('',#457450); #146950=VERTEX_POINT('',#457454); #146951=VERTEX_POINT('',#457456); #146952=VERTEX_POINT('',#457460); #146953=VERTEX_POINT('',#457462); #146954=VERTEX_POINT('',#457466); #146955=VERTEX_POINT('',#457468); #146956=VERTEX_POINT('',#457472); #146957=VERTEX_POINT('',#457474); #146958=VERTEX_POINT('',#457478); #146959=VERTEX_POINT('',#457480); #146960=VERTEX_POINT('',#457484); #146961=VERTEX_POINT('',#457486); #146962=VERTEX_POINT('',#457490); #146963=VERTEX_POINT('',#457492); #146964=VERTEX_POINT('',#457496); #146965=VERTEX_POINT('',#457498); #146966=VERTEX_POINT('',#457502); #146967=VERTEX_POINT('',#457504); #146968=VERTEX_POINT('',#457508); #146969=VERTEX_POINT('',#457510); #146970=VERTEX_POINT('',#457519); #146971=VERTEX_POINT('',#457521); #146972=VERTEX_POINT('',#457525); #146973=VERTEX_POINT('',#457526); #146974=VERTEX_POINT('',#457528); #146975=VERTEX_POINT('',#457530); #146976=VERTEX_POINT('',#457534); #146977=VERTEX_POINT('',#457536); #146978=VERTEX_POINT('',#457540); #146979=VERTEX_POINT('',#457542); #146980=VERTEX_POINT('',#457546); #146981=VERTEX_POINT('',#457548); #146982=VERTEX_POINT('',#457552); #146983=VERTEX_POINT('',#457554); #146984=VERTEX_POINT('',#457558); #146985=VERTEX_POINT('',#457560); #146986=VERTEX_POINT('',#457564); #146987=VERTEX_POINT('',#457566); #146988=VERTEX_POINT('',#457570); #146989=VERTEX_POINT('',#457572); #146990=VERTEX_POINT('',#457576); #146991=VERTEX_POINT('',#457578); #146992=VERTEX_POINT('',#457582); #146993=VERTEX_POINT('',#457584); #146994=VERTEX_POINT('',#457588); #146995=VERTEX_POINT('',#457590); #146996=VERTEX_POINT('',#457594); #146997=VERTEX_POINT('',#457596); #146998=VERTEX_POINT('',#457600); #146999=VERTEX_POINT('',#457602); #147000=VERTEX_POINT('',#457606); #147001=VERTEX_POINT('',#457608); #147002=VERTEX_POINT('',#457612); #147003=VERTEX_POINT('',#457614); #147004=VERTEX_POINT('',#457623); #147005=VERTEX_POINT('',#457625); #147006=VERTEX_POINT('',#457629); #147007=VERTEX_POINT('',#457630); #147008=VERTEX_POINT('',#457632); #147009=VERTEX_POINT('',#457634); #147010=VERTEX_POINT('',#457638); #147011=VERTEX_POINT('',#457640); #147012=VERTEX_POINT('',#457644); #147013=VERTEX_POINT('',#457646); #147014=VERTEX_POINT('',#457650); #147015=VERTEX_POINT('',#457652); #147016=VERTEX_POINT('',#457656); #147017=VERTEX_POINT('',#457658); #147018=VERTEX_POINT('',#457662); #147019=VERTEX_POINT('',#457664); #147020=VERTEX_POINT('',#457668); #147021=VERTEX_POINT('',#457670); #147022=VERTEX_POINT('',#457674); #147023=VERTEX_POINT('',#457676); #147024=VERTEX_POINT('',#457680); #147025=VERTEX_POINT('',#457682); #147026=VERTEX_POINT('',#457686); #147027=VERTEX_POINT('',#457688); #147028=VERTEX_POINT('',#457692); #147029=VERTEX_POINT('',#457694); #147030=VERTEX_POINT('',#457703); #147031=VERTEX_POINT('',#457705); #147032=VERTEX_POINT('',#457709); #147033=VERTEX_POINT('',#457710); #147034=VERTEX_POINT('',#457712); #147035=VERTEX_POINT('',#457714); #147036=VERTEX_POINT('',#457718); #147037=VERTEX_POINT('',#457720); #147038=VERTEX_POINT('',#457724); #147039=VERTEX_POINT('',#457726); #147040=VERTEX_POINT('',#457730); #147041=VERTEX_POINT('',#457732); #147042=VERTEX_POINT('',#457736); #147043=VERTEX_POINT('',#457738); #147044=VERTEX_POINT('',#457742); #147045=VERTEX_POINT('',#457744); #147046=VERTEX_POINT('',#457748); #147047=VERTEX_POINT('',#457750); #147048=VERTEX_POINT('',#457754); #147049=VERTEX_POINT('',#457756); #147050=VERTEX_POINT('',#457760); #147051=VERTEX_POINT('',#457762); #147052=VERTEX_POINT('',#457766); #147053=VERTEX_POINT('',#457768); #147054=VERTEX_POINT('',#457772); #147055=VERTEX_POINT('',#457774); #147056=VERTEX_POINT('',#457778); #147057=VERTEX_POINT('',#457780); #147058=VERTEX_POINT('',#457784); #147059=VERTEX_POINT('',#457786); #147060=VERTEX_POINT('',#457795); #147061=VERTEX_POINT('',#457797); #147062=VERTEX_POINT('',#457801); #147063=VERTEX_POINT('',#457803); #147064=VERTEX_POINT('',#457807); #147065=VERTEX_POINT('',#457808); #147066=VERTEX_POINT('',#457810); #147067=VERTEX_POINT('',#457812); #147068=VERTEX_POINT('',#457816); #147069=VERTEX_POINT('',#457818); #147070=VERTEX_POINT('',#457822); #147071=VERTEX_POINT('',#457824); #147072=VERTEX_POINT('',#457828); #147073=VERTEX_POINT('',#457830); #147074=VERTEX_POINT('',#457834); #147075=VERTEX_POINT('',#457836); #147076=VERTEX_POINT('',#457840); #147077=VERTEX_POINT('',#457842); #147078=VERTEX_POINT('',#457846); #147079=VERTEX_POINT('',#457848); #147080=VERTEX_POINT('',#457857); #147081=VERTEX_POINT('',#457859); #147082=VERTEX_POINT('',#457863); #147083=VERTEX_POINT('',#457864); #147084=VERTEX_POINT('',#457866); #147085=VERTEX_POINT('',#457868); #147086=VERTEX_POINT('',#457872); #147087=VERTEX_POINT('',#457874); #147088=VERTEX_POINT('',#457878); #147089=VERTEX_POINT('',#457880); #147090=VERTEX_POINT('',#457884); #147091=VERTEX_POINT('',#457886); #147092=VERTEX_POINT('',#457890); #147093=VERTEX_POINT('',#457892); #147094=VERTEX_POINT('',#457896); #147095=VERTEX_POINT('',#457898); #147096=VERTEX_POINT('',#457902); #147097=VERTEX_POINT('',#457904); #147098=VERTEX_POINT('',#457908); #147099=VERTEX_POINT('',#457910); #147100=VERTEX_POINT('',#457914); #147101=VERTEX_POINT('',#457916); #147102=VERTEX_POINT('',#457920); #147103=VERTEX_POINT('',#457922); #147104=VERTEX_POINT('',#457926); #147105=VERTEX_POINT('',#457928); #147106=VERTEX_POINT('',#457932); #147107=VERTEX_POINT('',#457934); #147108=VERTEX_POINT('',#457938); #147109=VERTEX_POINT('',#457940); #147110=VERTEX_POINT('',#457944); #147111=VERTEX_POINT('',#457946); #147112=VERTEX_POINT('',#457950); #147113=VERTEX_POINT('',#457952); #147114=VERTEX_POINT('',#457961); #147115=VERTEX_POINT('',#457963); #147116=VERTEX_POINT('',#457967); #147117=VERTEX_POINT('',#457968); #147118=VERTEX_POINT('',#457970); #147119=VERTEX_POINT('',#457972); #147120=VERTEX_POINT('',#457976); #147121=VERTEX_POINT('',#457978); #147122=VERTEX_POINT('',#457982); #147123=VERTEX_POINT('',#457984); #147124=VERTEX_POINT('',#457988); #147125=VERTEX_POINT('',#457990); #147126=VERTEX_POINT('',#457994); #147127=VERTEX_POINT('',#457996); #147128=VERTEX_POINT('',#458000); #147129=VERTEX_POINT('',#458002); #147130=VERTEX_POINT('',#458006); #147131=VERTEX_POINT('',#458008); #147132=VERTEX_POINT('',#458012); #147133=VERTEX_POINT('',#458014); #147134=VERTEX_POINT('',#458018); #147135=VERTEX_POINT('',#458020); #147136=VERTEX_POINT('',#458024); #147137=VERTEX_POINT('',#458026); #147138=VERTEX_POINT('',#458030); #147139=VERTEX_POINT('',#458032); #147140=VERTEX_POINT('',#458036); #147141=VERTEX_POINT('',#458038); #147142=VERTEX_POINT('',#458042); #147143=VERTEX_POINT('',#458044); #147144=VERTEX_POINT('',#458048); #147145=VERTEX_POINT('',#458050); #147146=VERTEX_POINT('',#458054); #147147=VERTEX_POINT('',#458056); #147148=VERTEX_POINT('',#458060); #147149=VERTEX_POINT('',#458062); #147150=VERTEX_POINT('',#458066); #147151=VERTEX_POINT('',#458068); #147152=VERTEX_POINT('',#458072); #147153=VERTEX_POINT('',#458074); #147154=VERTEX_POINT('',#458078); #147155=VERTEX_POINT('',#458080); #147156=VERTEX_POINT('',#458084); #147157=VERTEX_POINT('',#458086); #147158=VERTEX_POINT('',#458090); #147159=VERTEX_POINT('',#458092); #147160=VERTEX_POINT('',#458101); #147161=VERTEX_POINT('',#458102); #147162=VERTEX_POINT('',#458104); #147163=VERTEX_POINT('',#458106); #147164=VERTEX_POINT('',#458110); #147165=VERTEX_POINT('',#458112); #147166=VERTEX_POINT('',#458116); #147167=VERTEX_POINT('',#458118); #147168=VERTEX_POINT('',#458122); #147169=VERTEX_POINT('',#458124); #147170=VERTEX_POINT('',#458128); #147171=VERTEX_POINT('',#458130); #147172=VERTEX_POINT('',#458134); #147173=VERTEX_POINT('',#458136); #147174=VERTEX_POINT('',#458140); #147175=VERTEX_POINT('',#458142); #147176=VERTEX_POINT('',#458146); #147177=VERTEX_POINT('',#458148); #147178=VERTEX_POINT('',#458152); #147179=VERTEX_POINT('',#458154); #147180=VERTEX_POINT('',#458158); #147181=VERTEX_POINT('',#458160); #147182=VERTEX_POINT('',#458164); #147183=VERTEX_POINT('',#458166); #147184=VERTEX_POINT('',#458170); #147185=VERTEX_POINT('',#458172); #147186=VERTEX_POINT('',#458176); #147187=VERTEX_POINT('',#458178); #147188=VERTEX_POINT('',#458182); #147189=VERTEX_POINT('',#458184); #147190=VERTEX_POINT('',#458188); #147191=VERTEX_POINT('',#458190); #147192=VERTEX_POINT('',#458194); #147193=VERTEX_POINT('',#458196); #147194=VERTEX_POINT('',#458200); #147195=VERTEX_POINT('',#458202); #147196=VERTEX_POINT('',#458206); #147197=VERTEX_POINT('',#458208); #147198=VERTEX_POINT('',#458212); #147199=VERTEX_POINT('',#458214); #147200=VERTEX_POINT('',#458218); #147201=VERTEX_POINT('',#458220); #147202=VERTEX_POINT('',#458224); #147203=VERTEX_POINT('',#458226); #147204=VERTEX_POINT('',#458230); #147205=VERTEX_POINT('',#458232); #147206=VERTEX_POINT('',#458236); #147207=VERTEX_POINT('',#458238); #147208=VERTEX_POINT('',#458242); #147209=VERTEX_POINT('',#458244); #147210=VERTEX_POINT('',#458248); #147211=VERTEX_POINT('',#458250); #147212=VERTEX_POINT('',#458259); #147213=VERTEX_POINT('',#458261); #147214=VERTEX_POINT('',#458265); #147215=VERTEX_POINT('',#458266); #147216=VERTEX_POINT('',#458268); #147217=VERTEX_POINT('',#458270); #147218=VERTEX_POINT('',#458274); #147219=VERTEX_POINT('',#458276); #147220=VERTEX_POINT('',#458280); #147221=VERTEX_POINT('',#458282); #147222=VERTEX_POINT('',#458286); #147223=VERTEX_POINT('',#458288); #147224=VERTEX_POINT('',#458292); #147225=VERTEX_POINT('',#458294); #147226=VERTEX_POINT('',#458298); #147227=VERTEX_POINT('',#458300); #147228=VERTEX_POINT('',#458304); #147229=VERTEX_POINT('',#458306); #147230=VERTEX_POINT('',#458310); #147231=VERTEX_POINT('',#458312); #147232=VERTEX_POINT('',#458316); #147233=VERTEX_POINT('',#458318); #147234=VERTEX_POINT('',#458322); #147235=VERTEX_POINT('',#458324); #147236=VERTEX_POINT('',#458328); #147237=VERTEX_POINT('',#458330); #147238=VERTEX_POINT('',#458334); #147239=VERTEX_POINT('',#458336); #147240=VERTEX_POINT('',#458340); #147241=VERTEX_POINT('',#458342); #147242=VERTEX_POINT('',#458346); #147243=VERTEX_POINT('',#458348); #147244=VERTEX_POINT('',#458352); #147245=VERTEX_POINT('',#458354); #147246=VERTEX_POINT('',#458358); #147247=VERTEX_POINT('',#458360); #147248=VERTEX_POINT('',#458364); #147249=VERTEX_POINT('',#458366); #147250=VERTEX_POINT('',#458370); #147251=VERTEX_POINT('',#458372); #147252=VERTEX_POINT('',#458376); #147253=VERTEX_POINT('',#458378); #147254=VERTEX_POINT('',#458382); #147255=VERTEX_POINT('',#458384); #147256=VERTEX_POINT('',#458388); #147257=VERTEX_POINT('',#458390); #147258=VERTEX_POINT('',#458394); #147259=VERTEX_POINT('',#458396); #147260=VERTEX_POINT('',#458400); #147261=VERTEX_POINT('',#458402); #147262=VERTEX_POINT('',#458411); #147263=VERTEX_POINT('',#458413); #147264=VERTEX_POINT('',#458417); #147265=VERTEX_POINT('',#458418); #147266=VERTEX_POINT('',#458420); #147267=VERTEX_POINT('',#458422); #147268=VERTEX_POINT('',#458426); #147269=VERTEX_POINT('',#458428); #147270=VERTEX_POINT('',#458432); #147271=VERTEX_POINT('',#458434); #147272=VERTEX_POINT('',#458438); #147273=VERTEX_POINT('',#458440); #147274=VERTEX_POINT('',#458444); #147275=VERTEX_POINT('',#458446); #147276=VERTEX_POINT('',#458450); #147277=VERTEX_POINT('',#458452); #147278=VERTEX_POINT('',#458456); #147279=VERTEX_POINT('',#458458); #147280=VERTEX_POINT('',#458462); #147281=VERTEX_POINT('',#458464); #147282=VERTEX_POINT('',#458468); #147283=VERTEX_POINT('',#458470); #147284=VERTEX_POINT('',#458474); #147285=VERTEX_POINT('',#458476); #147286=VERTEX_POINT('',#458485); #147287=VERTEX_POINT('',#458486); #147288=VERTEX_POINT('',#458488); #147289=VERTEX_POINT('',#458490); #147290=VERTEX_POINT('',#458494); #147291=VERTEX_POINT('',#458496); #147292=VERTEX_POINT('',#458500); #147293=VERTEX_POINT('',#458502); #147294=VERTEX_POINT('',#458506); #147295=VERTEX_POINT('',#458508); #147296=VERTEX_POINT('',#458512); #147297=VERTEX_POINT('',#458514); #147298=VERTEX_POINT('',#458518); #147299=VERTEX_POINT('',#458520); #147300=VERTEX_POINT('',#458524); #147301=VERTEX_POINT('',#458526); #147302=VERTEX_POINT('',#458530); #147303=VERTEX_POINT('',#458532); #147304=VERTEX_POINT('',#458536); #147305=VERTEX_POINT('',#458538); #147306=VERTEX_POINT('',#458542); #147307=VERTEX_POINT('',#458544); #147308=VERTEX_POINT('',#458548); #147309=VERTEX_POINT('',#458550); #147310=VERTEX_POINT('',#458554); #147311=VERTEX_POINT('',#458556); #147312=VERTEX_POINT('',#458560); #147313=VERTEX_POINT('',#458562); #147314=VERTEX_POINT('',#458566); #147315=VERTEX_POINT('',#458568); #147316=VERTEX_POINT('',#458572); #147317=VERTEX_POINT('',#458574); #147318=VERTEX_POINT('',#458578); #147319=VERTEX_POINT('',#458580); #147320=VERTEX_POINT('',#458584); #147321=VERTEX_POINT('',#458586); #147322=VERTEX_POINT('',#458590); #147323=VERTEX_POINT('',#458592); #147324=VERTEX_POINT('',#458596); #147325=VERTEX_POINT('',#458598); #147326=VERTEX_POINT('',#458602); #147327=VERTEX_POINT('',#458604); #147328=VERTEX_POINT('',#458608); #147329=VERTEX_POINT('',#458610); #147330=VERTEX_POINT('',#458614); #147331=VERTEX_POINT('',#458616); #147332=VERTEX_POINT('',#458620); #147333=VERTEX_POINT('',#458622); #147334=VERTEX_POINT('',#458626); #147335=VERTEX_POINT('',#458628); #147336=VERTEX_POINT('',#458632); #147337=VERTEX_POINT('',#458634); #147338=VERTEX_POINT('',#458638); #147339=VERTEX_POINT('',#458640); #147340=VERTEX_POINT('',#458644); #147341=VERTEX_POINT('',#458646); #147342=VERTEX_POINT('',#458650); #147343=VERTEX_POINT('',#458652); #147344=VERTEX_POINT('',#458656); #147345=VERTEX_POINT('',#458658); #147346=VERTEX_POINT('',#458662); #147347=VERTEX_POINT('',#458664); #147348=VERTEX_POINT('',#458668); #147349=VERTEX_POINT('',#458670); #147350=VERTEX_POINT('',#458674); #147351=VERTEX_POINT('',#458676); #147352=VERTEX_POINT('',#458680); #147353=VERTEX_POINT('',#458682); #147354=VERTEX_POINT('',#458686); #147355=VERTEX_POINT('',#458688); #147356=VERTEX_POINT('',#458692); #147357=VERTEX_POINT('',#458694); #147358=VERTEX_POINT('',#458698); #147359=VERTEX_POINT('',#458700); #147360=VERTEX_POINT('',#458704); #147361=VERTEX_POINT('',#458706); #147362=VERTEX_POINT('',#458715); #147363=VERTEX_POINT('',#458716); #147364=VERTEX_POINT('',#458718); #147365=VERTEX_POINT('',#458720); #147366=VERTEX_POINT('',#458724); #147367=VERTEX_POINT('',#458726); #147368=VERTEX_POINT('',#458730); #147369=VERTEX_POINT('',#458732); #147370=VERTEX_POINT('',#458736); #147371=VERTEX_POINT('',#458738); #147372=VERTEX_POINT('',#458742); #147373=VERTEX_POINT('',#458744); #147374=VERTEX_POINT('',#458748); #147375=VERTEX_POINT('',#458750); #147376=VERTEX_POINT('',#458754); #147377=VERTEX_POINT('',#458756); #147378=VERTEX_POINT('',#458760); #147379=VERTEX_POINT('',#458762); #147380=VERTEX_POINT('',#458766); #147381=VERTEX_POINT('',#458768); #147382=VERTEX_POINT('',#458772); #147383=VERTEX_POINT('',#458774); #147384=VERTEX_POINT('',#458778); #147385=VERTEX_POINT('',#458780); #147386=VERTEX_POINT('',#458784); #147387=VERTEX_POINT('',#458786); #147388=VERTEX_POINT('',#458790); #147389=VERTEX_POINT('',#458792); #147390=VERTEX_POINT('',#458796); #147391=VERTEX_POINT('',#458798); #147392=VERTEX_POINT('',#458802); #147393=VERTEX_POINT('',#458804); #147394=VERTEX_POINT('',#458808); #147395=VERTEX_POINT('',#458810); #147396=VERTEX_POINT('',#458814); #147397=VERTEX_POINT('',#458816); #147398=VERTEX_POINT('',#458820); #147399=VERTEX_POINT('',#458822); #147400=VERTEX_POINT('',#458826); #147401=VERTEX_POINT('',#458828); #147402=VERTEX_POINT('',#458832); #147403=VERTEX_POINT('',#458834); #147404=VERTEX_POINT('',#458838); #147405=VERTEX_POINT('',#458840); #147406=VERTEX_POINT('',#458844); #147407=VERTEX_POINT('',#458846); #147408=VERTEX_POINT('',#458850); #147409=VERTEX_POINT('',#458852); #147410=VERTEX_POINT('',#458856); #147411=VERTEX_POINT('',#458858); #147412=VERTEX_POINT('',#458862); #147413=VERTEX_POINT('',#458864); #147414=VERTEX_POINT('',#458868); #147415=VERTEX_POINT('',#458870); #147416=VERTEX_POINT('',#458874); #147417=VERTEX_POINT('',#458876); #147418=VERTEX_POINT('',#458880); #147419=VERTEX_POINT('',#458882); #147420=VERTEX_POINT('',#458886); #147421=VERTEX_POINT('',#458888); #147422=VERTEX_POINT('',#458892); #147423=VERTEX_POINT('',#458894); #147424=VERTEX_POINT('',#458898); #147425=VERTEX_POINT('',#458900); #147426=VERTEX_POINT('',#458904); #147427=VERTEX_POINT('',#458906); #147428=VERTEX_POINT('',#458910); #147429=VERTEX_POINT('',#458912); #147430=VERTEX_POINT('',#458916); #147431=VERTEX_POINT('',#458918); #147432=VERTEX_POINT('',#458922); #147433=VERTEX_POINT('',#458924); #147434=VERTEX_POINT('',#458928); #147435=VERTEX_POINT('',#458930); #147436=VERTEX_POINT('',#458934); #147437=VERTEX_POINT('',#458936); #147438=VERTEX_POINT('',#458945); #147439=VERTEX_POINT('',#458946); #147440=VERTEX_POINT('',#458948); #147441=VERTEX_POINT('',#458950); #147442=VERTEX_POINT('',#458954); #147443=VERTEX_POINT('',#458956); #147444=VERTEX_POINT('',#458960); #147445=VERTEX_POINT('',#458962); #147446=VERTEX_POINT('',#458966); #147447=VERTEX_POINT('',#458968); #147448=VERTEX_POINT('',#458972); #147449=VERTEX_POINT('',#458974); #147450=VERTEX_POINT('',#458978); #147451=VERTEX_POINT('',#458980); #147452=VERTEX_POINT('',#458984); #147453=VERTEX_POINT('',#458986); #147454=VERTEX_POINT('',#458990); #147455=VERTEX_POINT('',#458992); #147456=VERTEX_POINT('',#458996); #147457=VERTEX_POINT('',#458998); #147458=VERTEX_POINT('',#459002); #147459=VERTEX_POINT('',#459004); #147460=VERTEX_POINT('',#459008); #147461=VERTEX_POINT('',#459010); #147462=VERTEX_POINT('',#459014); #147463=VERTEX_POINT('',#459016); #147464=VERTEX_POINT('',#459020); #147465=VERTEX_POINT('',#459022); #147466=VERTEX_POINT('',#459026); #147467=VERTEX_POINT('',#459028); #147468=VERTEX_POINT('',#459032); #147469=VERTEX_POINT('',#459034); #147470=VERTEX_POINT('',#459038); #147471=VERTEX_POINT('',#459040); #147472=VERTEX_POINT('',#459044); #147473=VERTEX_POINT('',#459046); #147474=VERTEX_POINT('',#459050); #147475=VERTEX_POINT('',#459052); #147476=VERTEX_POINT('',#459056); #147477=VERTEX_POINT('',#459058); #147478=VERTEX_POINT('',#459067); #147479=VERTEX_POINT('',#459069); #147480=VERTEX_POINT('',#459073); #147481=VERTEX_POINT('',#459074); #147482=VERTEX_POINT('',#459076); #147483=VERTEX_POINT('',#459078); #147484=VERTEX_POINT('',#459082); #147485=VERTEX_POINT('',#459084); #147486=VERTEX_POINT('',#459088); #147487=VERTEX_POINT('',#459090); #147488=VERTEX_POINT('',#459094); #147489=VERTEX_POINT('',#459096); #147490=VERTEX_POINT('',#459100); #147491=VERTEX_POINT('',#459102); #147492=VERTEX_POINT('',#459106); #147493=VERTEX_POINT('',#459108); #147494=VERTEX_POINT('',#459112); #147495=VERTEX_POINT('',#459114); #147496=VERTEX_POINT('',#459118); #147497=VERTEX_POINT('',#459120); #147498=VERTEX_POINT('',#459124); #147499=VERTEX_POINT('',#459126); #147500=VERTEX_POINT('',#459130); #147501=VERTEX_POINT('',#459132); #147502=VERTEX_POINT('',#459136); #147503=VERTEX_POINT('',#459138); #147504=VERTEX_POINT('',#459142); #147505=VERTEX_POINT('',#459144); #147506=VERTEX_POINT('',#459148); #147507=VERTEX_POINT('',#459150); #147508=VERTEX_POINT('',#459154); #147509=VERTEX_POINT('',#459156); #147510=VERTEX_POINT('',#459160); #147511=VERTEX_POINT('',#459162); #147512=VERTEX_POINT('',#459166); #147513=VERTEX_POINT('',#459168); #147514=VERTEX_POINT('',#459172); #147515=VERTEX_POINT('',#459174); #147516=VERTEX_POINT('',#459178); #147517=VERTEX_POINT('',#459180); #147518=VERTEX_POINT('',#459189); #147519=VERTEX_POINT('',#459191); #147520=VERTEX_POINT('',#459195); #147521=VERTEX_POINT('',#459196); #147522=VERTEX_POINT('',#459198); #147523=VERTEX_POINT('',#459200); #147524=VERTEX_POINT('',#459204); #147525=VERTEX_POINT('',#459206); #147526=VERTEX_POINT('',#459210); #147527=VERTEX_POINT('',#459212); #147528=VERTEX_POINT('',#459216); #147529=VERTEX_POINT('',#459218); #147530=VERTEX_POINT('',#459222); #147531=VERTEX_POINT('',#459224); #147532=VERTEX_POINT('',#459228); #147533=VERTEX_POINT('',#459230); #147534=VERTEX_POINT('',#459234); #147535=VERTEX_POINT('',#459236); #147536=VERTEX_POINT('',#459240); #147537=VERTEX_POINT('',#459242); #147538=VERTEX_POINT('',#459246); #147539=VERTEX_POINT('',#459248); #147540=VERTEX_POINT('',#459252); #147541=VERTEX_POINT('',#459254); #147542=VERTEX_POINT('',#459258); #147543=VERTEX_POINT('',#459260); #147544=VERTEX_POINT('',#459264); #147545=VERTEX_POINT('',#459266); #147546=VERTEX_POINT('',#459270); #147547=VERTEX_POINT('',#459272); #147548=VERTEX_POINT('',#459276); #147549=VERTEX_POINT('',#459278); #147550=VERTEX_POINT('',#459282); #147551=VERTEX_POINT('',#459284); #147552=VERTEX_POINT('',#459293); #147553=VERTEX_POINT('',#459295); #147554=VERTEX_POINT('',#459299); #147555=VERTEX_POINT('',#459300); #147556=VERTEX_POINT('',#459302); #147557=VERTEX_POINT('',#459304); #147558=VERTEX_POINT('',#459308); #147559=VERTEX_POINT('',#459310); #147560=VERTEX_POINT('',#459314); #147561=VERTEX_POINT('',#459316); #147562=VERTEX_POINT('',#459320); #147563=VERTEX_POINT('',#459322); #147564=VERTEX_POINT('',#459326); #147565=VERTEX_POINT('',#459328); #147566=VERTEX_POINT('',#459332); #147567=VERTEX_POINT('',#459334); #147568=VERTEX_POINT('',#459338); #147569=VERTEX_POINT('',#459340); #147570=VERTEX_POINT('',#459344); #147571=VERTEX_POINT('',#459346); #147572=VERTEX_POINT('',#459350); #147573=VERTEX_POINT('',#459352); #147574=VERTEX_POINT('',#459356); #147575=VERTEX_POINT('',#459358); #147576=VERTEX_POINT('',#459362); #147577=VERTEX_POINT('',#459364); #147578=VERTEX_POINT('',#459368); #147579=VERTEX_POINT('',#459370); #147580=VERTEX_POINT('',#459379); #147581=VERTEX_POINT('',#459381); #147582=VERTEX_POINT('',#459385); #147583=VERTEX_POINT('',#459386); #147584=VERTEX_POINT('',#459388); #147585=VERTEX_POINT('',#459390); #147586=VERTEX_POINT('',#459394); #147587=VERTEX_POINT('',#459396); #147588=VERTEX_POINT('',#459400); #147589=VERTEX_POINT('',#459402); #147590=VERTEX_POINT('',#459406); #147591=VERTEX_POINT('',#459408); #147592=VERTEX_POINT('',#459412); #147593=VERTEX_POINT('',#459414); #147594=VERTEX_POINT('',#459418); #147595=VERTEX_POINT('',#459420); #147596=VERTEX_POINT('',#459424); #147597=VERTEX_POINT('',#459426); #147598=VERTEX_POINT('',#459430); #147599=VERTEX_POINT('',#459432); #147600=VERTEX_POINT('',#459436); #147601=VERTEX_POINT('',#459438); #147602=VERTEX_POINT('',#459442); #147603=VERTEX_POINT('',#459444); #147604=VERTEX_POINT('',#459448); #147605=VERTEX_POINT('',#459450); #147606=VERTEX_POINT('',#459454); #147607=VERTEX_POINT('',#459456); #147608=VERTEX_POINT('',#459460); #147609=VERTEX_POINT('',#459462); #147610=VERTEX_POINT('',#459466); #147611=VERTEX_POINT('',#459468); #147612=VERTEX_POINT('',#459472); #147613=VERTEX_POINT('',#459474); #147614=VERTEX_POINT('',#459478); #147615=VERTEX_POINT('',#459480); #147616=VERTEX_POINT('',#459484); #147617=VERTEX_POINT('',#459486); #147618=VERTEX_POINT('',#459495); #147619=VERTEX_POINT('',#459497); #147620=VERTEX_POINT('',#459501); #147621=VERTEX_POINT('',#459502); #147622=VERTEX_POINT('',#459504); #147623=VERTEX_POINT('',#459506); #147624=VERTEX_POINT('',#459510); #147625=VERTEX_POINT('',#459512); #147626=VERTEX_POINT('',#459516); #147627=VERTEX_POINT('',#459518); #147628=VERTEX_POINT('',#459522); #147629=VERTEX_POINT('',#459524); #147630=VERTEX_POINT('',#459528); #147631=VERTEX_POINT('',#459530); #147632=VERTEX_POINT('',#459534); #147633=VERTEX_POINT('',#459536); #147634=VERTEX_POINT('',#459540); #147635=VERTEX_POINT('',#459542); #147636=VERTEX_POINT('',#459546); #147637=VERTEX_POINT('',#459548); #147638=VERTEX_POINT('',#459552); #147639=VERTEX_POINT('',#459554); #147640=VERTEX_POINT('',#459558); #147641=VERTEX_POINT('',#459560); #147642=VERTEX_POINT('',#459564); #147643=VERTEX_POINT('',#459566); #147644=VERTEX_POINT('',#459570); #147645=VERTEX_POINT('',#459572); #147646=VERTEX_POINT('',#459581); #147647=VERTEX_POINT('',#459583); #147648=VERTEX_POINT('',#459587); #147649=VERTEX_POINT('',#459588); #147650=VERTEX_POINT('',#459590); #147651=VERTEX_POINT('',#459592); #147652=VERTEX_POINT('',#459596); #147653=VERTEX_POINT('',#459598); #147654=VERTEX_POINT('',#459602); #147655=VERTEX_POINT('',#459604); #147656=VERTEX_POINT('',#459608); #147657=VERTEX_POINT('',#459610); #147658=VERTEX_POINT('',#459614); #147659=VERTEX_POINT('',#459616); #147660=VERTEX_POINT('',#459620); #147661=VERTEX_POINT('',#459622); #147662=VERTEX_POINT('',#459626); #147663=VERTEX_POINT('',#459628); #147664=VERTEX_POINT('',#459632); #147665=VERTEX_POINT('',#459634); #147666=VERTEX_POINT('',#459638); #147667=VERTEX_POINT('',#459640); #147668=VERTEX_POINT('',#459644); #147669=VERTEX_POINT('',#459646); #147670=VERTEX_POINT('',#459650); #147671=VERTEX_POINT('',#459652); #147672=VERTEX_POINT('',#459656); #147673=VERTEX_POINT('',#459658); #147674=VERTEX_POINT('',#459662); #147675=VERTEX_POINT('',#459664); #147676=VERTEX_POINT('',#459668); #147677=VERTEX_POINT('',#459670); #147678=VERTEX_POINT('',#459674); #147679=VERTEX_POINT('',#459676); #147680=VERTEX_POINT('',#459680); #147681=VERTEX_POINT('',#459682); #147682=VERTEX_POINT('',#459686); #147683=VERTEX_POINT('',#459688); #147684=VERTEX_POINT('',#459697); #147685=VERTEX_POINT('',#459699); #147686=VERTEX_POINT('',#459703); #147687=VERTEX_POINT('',#459704); #147688=VERTEX_POINT('',#459706); #147689=VERTEX_POINT('',#459708); #147690=VERTEX_POINT('',#459712); #147691=VERTEX_POINT('',#459714); #147692=VERTEX_POINT('',#459718); #147693=VERTEX_POINT('',#459720); #147694=VERTEX_POINT('',#459724); #147695=VERTEX_POINT('',#459726); #147696=VERTEX_POINT('',#459730); #147697=VERTEX_POINT('',#459732); #147698=VERTEX_POINT('',#459736); #147699=VERTEX_POINT('',#459738); #147700=VERTEX_POINT('',#459742); #147701=VERTEX_POINT('',#459744); #147702=VERTEX_POINT('',#459748); #147703=VERTEX_POINT('',#459750); #147704=VERTEX_POINT('',#459754); #147705=VERTEX_POINT('',#459756); #147706=VERTEX_POINT('',#459760); #147707=VERTEX_POINT('',#459762); #147708=VERTEX_POINT('',#459771); #147709=VERTEX_POINT('',#459773); #147710=VERTEX_POINT('',#459777); #147711=VERTEX_POINT('',#459778); #147712=VERTEX_POINT('',#459780); #147713=VERTEX_POINT('',#459782); #147714=VERTEX_POINT('',#459786); #147715=VERTEX_POINT('',#459788); #147716=VERTEX_POINT('',#459792); #147717=VERTEX_POINT('',#459794); #147718=VERTEX_POINT('',#459798); #147719=VERTEX_POINT('',#459800); #147720=VERTEX_POINT('',#459804); #147721=VERTEX_POINT('',#459806); #147722=VERTEX_POINT('',#459810); #147723=VERTEX_POINT('',#459812); #147724=VERTEX_POINT('',#459816); #147725=VERTEX_POINT('',#459818); #147726=VERTEX_POINT('',#459822); #147727=VERTEX_POINT('',#459824); #147728=VERTEX_POINT('',#459828); #147729=VERTEX_POINT('',#459830); #147730=VERTEX_POINT('',#459834); #147731=VERTEX_POINT('',#459836); #147732=VERTEX_POINT('',#459845); #147733=VERTEX_POINT('',#459847); #147734=VERTEX_POINT('',#459851); #147735=VERTEX_POINT('',#459852); #147736=VERTEX_POINT('',#459854); #147737=VERTEX_POINT('',#459856); #147738=VERTEX_POINT('',#459860); #147739=VERTEX_POINT('',#459862); #147740=VERTEX_POINT('',#459866); #147741=VERTEX_POINT('',#459868); #147742=VERTEX_POINT('',#459872); #147743=VERTEX_POINT('',#459874); #147744=VERTEX_POINT('',#459878); #147745=VERTEX_POINT('',#459880); #147746=VERTEX_POINT('',#459884); #147747=VERTEX_POINT('',#459886); #147748=VERTEX_POINT('',#459890); #147749=VERTEX_POINT('',#459892); #147750=VERTEX_POINT('',#459896); #147751=VERTEX_POINT('',#459898); #147752=VERTEX_POINT('',#459902); #147753=VERTEX_POINT('',#459904); #147754=VERTEX_POINT('',#459908); #147755=VERTEX_POINT('',#459910); #147756=VERTEX_POINT('',#459914); #147757=VERTEX_POINT('',#459916); #147758=VERTEX_POINT('',#459920); #147759=VERTEX_POINT('',#459922); #147760=VERTEX_POINT('',#459926); #147761=VERTEX_POINT('',#459928); #147762=VERTEX_POINT('',#459932); #147763=VERTEX_POINT('',#459934); #147764=VERTEX_POINT('',#459938); #147765=VERTEX_POINT('',#459940); #147766=VERTEX_POINT('',#459944); #147767=VERTEX_POINT('',#459946); #147768=VERTEX_POINT('',#459950); #147769=VERTEX_POINT('',#459952); #147770=VERTEX_POINT('',#459956); #147771=VERTEX_POINT('',#459958); #147772=VERTEX_POINT('',#459962); #147773=VERTEX_POINT('',#459964); #147774=VERTEX_POINT('',#459968); #147775=VERTEX_POINT('',#459970); #147776=VERTEX_POINT('',#459974); #147777=VERTEX_POINT('',#459976); #147778=VERTEX_POINT('',#459980); #147779=VERTEX_POINT('',#459982); #147780=VERTEX_POINT('',#459991); #147781=VERTEX_POINT('',#459993); #147782=VERTEX_POINT('',#459997); #147783=VERTEX_POINT('',#459998); #147784=VERTEX_POINT('',#460000); #147785=VERTEX_POINT('',#460002); #147786=VERTEX_POINT('',#460006); #147787=VERTEX_POINT('',#460008); #147788=VERTEX_POINT('',#460012); #147789=VERTEX_POINT('',#460014); #147790=VERTEX_POINT('',#460018); #147791=VERTEX_POINT('',#460020); #147792=VERTEX_POINT('',#460024); #147793=VERTEX_POINT('',#460026); #147794=VERTEX_POINT('',#460030); #147795=VERTEX_POINT('',#460032); #147796=VERTEX_POINT('',#460036); #147797=VERTEX_POINT('',#460038); #147798=VERTEX_POINT('',#460042); #147799=VERTEX_POINT('',#460044); #147800=VERTEX_POINT('',#460048); #147801=VERTEX_POINT('',#460050); #147802=VERTEX_POINT('',#460054); #147803=VERTEX_POINT('',#460056); #147804=VERTEX_POINT('',#460060); #147805=VERTEX_POINT('',#460062); #147806=VERTEX_POINT('',#460066); #147807=VERTEX_POINT('',#460068); #147808=VERTEX_POINT('',#460072); #147809=VERTEX_POINT('',#460074); #147810=VERTEX_POINT('',#460078); #147811=VERTEX_POINT('',#460080); #147812=VERTEX_POINT('',#460084); #147813=VERTEX_POINT('',#460086); #147814=VERTEX_POINT('',#460090); #147815=VERTEX_POINT('',#460092); #147816=VERTEX_POINT('',#460101); #147817=VERTEX_POINT('',#460103); #147818=VERTEX_POINT('',#460107); #147819=VERTEX_POINT('',#460108); #147820=VERTEX_POINT('',#460110); #147821=VERTEX_POINT('',#460112); #147822=VERTEX_POINT('',#460116); #147823=VERTEX_POINT('',#460118); #147824=VERTEX_POINT('',#460122); #147825=VERTEX_POINT('',#460124); #147826=VERTEX_POINT('',#460128); #147827=VERTEX_POINT('',#460130); #147828=VERTEX_POINT('',#460134); #147829=VERTEX_POINT('',#460136); #147830=VERTEX_POINT('',#460140); #147831=VERTEX_POINT('',#460142); #147832=VERTEX_POINT('',#460146); #147833=VERTEX_POINT('',#460148); #147834=VERTEX_POINT('',#460152); #147835=VERTEX_POINT('',#460154); #147836=VERTEX_POINT('',#460158); #147837=VERTEX_POINT('',#460160); #147838=VERTEX_POINT('',#460164); #147839=VERTEX_POINT('',#460166); #147840=VERTEX_POINT('',#460170); #147841=VERTEX_POINT('',#460172); #147842=VERTEX_POINT('',#460176); #147843=VERTEX_POINT('',#460178); #147844=VERTEX_POINT('',#460182); #147845=VERTEX_POINT('',#460184); #147846=VERTEX_POINT('',#460188); #147847=VERTEX_POINT('',#460190); #147848=VERTEX_POINT('',#460194); #147849=VERTEX_POINT('',#460196); #147850=VERTEX_POINT('',#460200); #147851=VERTEX_POINT('',#460202); #147852=VERTEX_POINT('',#460206); #147853=VERTEX_POINT('',#460208); #147854=VERTEX_POINT('',#460212); #147855=VERTEX_POINT('',#460214); #147856=VERTEX_POINT('',#460218); #147857=VERTEX_POINT('',#460220); #147858=VERTEX_POINT('',#460224); #147859=VERTEX_POINT('',#460226); #147860=VERTEX_POINT('',#460230); #147861=VERTEX_POINT('',#460232); #147862=VERTEX_POINT('',#460236); #147863=VERTEX_POINT('',#460238); #147864=VERTEX_POINT('',#460247); #147865=VERTEX_POINT('',#460248); #147866=VERTEX_POINT('',#460250); #147867=VERTEX_POINT('',#460252); #147868=VERTEX_POINT('',#460256); #147869=VERTEX_POINT('',#460258); #147870=VERTEX_POINT('',#460262); #147871=VERTEX_POINT('',#460264); #147872=VERTEX_POINT('',#460268); #147873=VERTEX_POINT('',#460270); #147874=VERTEX_POINT('',#460274); #147875=VERTEX_POINT('',#460276); #147876=VERTEX_POINT('',#460280); #147877=VERTEX_POINT('',#460282); #147878=VERTEX_POINT('',#460286); #147879=VERTEX_POINT('',#460288); #147880=VERTEX_POINT('',#460292); #147881=VERTEX_POINT('',#460294); #147882=VERTEX_POINT('',#460298); #147883=VERTEX_POINT('',#460300); #147884=VERTEX_POINT('',#460304); #147885=VERTEX_POINT('',#460306); #147886=VERTEX_POINT('',#460310); #147887=VERTEX_POINT('',#460312); #147888=VERTEX_POINT('',#460316); #147889=VERTEX_POINT('',#460318); #147890=VERTEX_POINT('',#460322); #147891=VERTEX_POINT('',#460324); #147892=VERTEX_POINT('',#460328); #147893=VERTEX_POINT('',#460330); #147894=VERTEX_POINT('',#460334); #147895=VERTEX_POINT('',#460336); #147896=VERTEX_POINT('',#460340); #147897=VERTEX_POINT('',#460342); #147898=VERTEX_POINT('',#460346); #147899=VERTEX_POINT('',#460348); #147900=VERTEX_POINT('',#460352); #147901=VERTEX_POINT('',#460354); #147902=VERTEX_POINT('',#460358); #147903=VERTEX_POINT('',#460360); #147904=VERTEX_POINT('',#460364); #147905=VERTEX_POINT('',#460366); #147906=VERTEX_POINT('',#460370); #147907=VERTEX_POINT('',#460372); #147908=VERTEX_POINT('',#460376); #147909=VERTEX_POINT('',#460378); #147910=VERTEX_POINT('',#460382); #147911=VERTEX_POINT('',#460384); #147912=VERTEX_POINT('',#460393); #147913=VERTEX_POINT('',#460395); #147914=VERTEX_POINT('',#460399); #147915=VERTEX_POINT('',#460401); #147916=VERTEX_POINT('',#460405); #147917=VERTEX_POINT('',#460406); #147918=VERTEX_POINT('',#460408); #147919=VERTEX_POINT('',#460410); #147920=VERTEX_POINT('',#460414); #147921=VERTEX_POINT('',#460416); #147922=VERTEX_POINT('',#460420); #147923=VERTEX_POINT('',#460422); #147924=VERTEX_POINT('',#460426); #147925=VERTEX_POINT('',#460428); #147926=VERTEX_POINT('',#460432); #147927=VERTEX_POINT('',#460434); #147928=VERTEX_POINT('',#460438); #147929=VERTEX_POINT('',#460440); #147930=VERTEX_POINT('',#460444); #147931=VERTEX_POINT('',#460446); #147932=VERTEX_POINT('',#460455); #147933=VERTEX_POINT('',#460457); #147934=VERTEX_POINT('',#460461); #147935=VERTEX_POINT('',#460462); #147936=VERTEX_POINT('',#460464); #147937=VERTEX_POINT('',#460466); #147938=VERTEX_POINT('',#460470); #147939=VERTEX_POINT('',#460472); #147940=VERTEX_POINT('',#460476); #147941=VERTEX_POINT('',#460478); #147942=VERTEX_POINT('',#460487); #147943=VERTEX_POINT('',#460489); #147944=VERTEX_POINT('',#460493); #147945=VERTEX_POINT('',#460494); #147946=VERTEX_POINT('',#460496); #147947=VERTEX_POINT('',#460498); #147948=VERTEX_POINT('',#460502); #147949=VERTEX_POINT('',#460504); #147950=VERTEX_POINT('',#460508); #147951=VERTEX_POINT('',#460510); #147952=VERTEX_POINT('',#460519); #147953=VERTEX_POINT('',#460521); #147954=VERTEX_POINT('',#460525); #147955=VERTEX_POINT('',#460526); #147956=VERTEX_POINT('',#460528); #147957=VERTEX_POINT('',#460530); #147958=VERTEX_POINT('',#460534); #147959=VERTEX_POINT('',#460536); #147960=VERTEX_POINT('',#460540); #147961=VERTEX_POINT('',#460542); #147962=VERTEX_POINT('',#460546); #147963=VERTEX_POINT('',#460548); #147964=VERTEX_POINT('',#460552); #147965=VERTEX_POINT('',#460554); #147966=VERTEX_POINT('',#460558); #147967=VERTEX_POINT('',#460560); #147968=VERTEX_POINT('',#460564); #147969=VERTEX_POINT('',#460566); #147970=VERTEX_POINT('',#460570); #147971=VERTEX_POINT('',#460572); #147972=VERTEX_POINT('',#460576); #147973=VERTEX_POINT('',#460578); #147974=VERTEX_POINT('',#460582); #147975=VERTEX_POINT('',#460584); #147976=VERTEX_POINT('',#460588); #147977=VERTEX_POINT('',#460590); #147978=VERTEX_POINT('',#460594); #147979=VERTEX_POINT('',#460596); #147980=VERTEX_POINT('',#460600); #147981=VERTEX_POINT('',#460602); #147982=VERTEX_POINT('',#460606); #147983=VERTEX_POINT('',#460608); #147984=VERTEX_POINT('',#460612); #147985=VERTEX_POINT('',#460614); #147986=VERTEX_POINT('',#460618); #147987=VERTEX_POINT('',#460620); #147988=VERTEX_POINT('',#460624); #147989=VERTEX_POINT('',#460626); #147990=VERTEX_POINT('',#460630); #147991=VERTEX_POINT('',#460632); #147992=VERTEX_POINT('',#460636); #147993=VERTEX_POINT('',#460638); #147994=VERTEX_POINT('',#460642); #147995=VERTEX_POINT('',#460644); #147996=VERTEX_POINT('',#460648); #147997=VERTEX_POINT('',#460650); #147998=VERTEX_POINT('',#460654); #147999=VERTEX_POINT('',#460656); #148000=VERTEX_POINT('',#460660); #148001=VERTEX_POINT('',#460662); #148002=VERTEX_POINT('',#460666); #148003=VERTEX_POINT('',#460668); #148004=VERTEX_POINT('',#460672); #148005=VERTEX_POINT('',#460674); #148006=VERTEX_POINT('',#460678); #148007=VERTEX_POINT('',#460680); #148008=VERTEX_POINT('',#460684); #148009=VERTEX_POINT('',#460686); #148010=VERTEX_POINT('',#460690); #148011=VERTEX_POINT('',#460692); #148012=VERTEX_POINT('',#460696); #148013=VERTEX_POINT('',#460698); #148014=VERTEX_POINT('',#460702); #148015=VERTEX_POINT('',#460704); #148016=VERTEX_POINT('',#460708); #148017=VERTEX_POINT('',#460710); #148018=VERTEX_POINT('',#460714); #148019=VERTEX_POINT('',#460716); #148020=VERTEX_POINT('',#460720); #148021=VERTEX_POINT('',#460722); #148022=VERTEX_POINT('',#460726); #148023=VERTEX_POINT('',#460728); #148024=VERTEX_POINT('',#460732); #148025=VERTEX_POINT('',#460734); #148026=VERTEX_POINT('',#460738); #148027=VERTEX_POINT('',#460740); #148028=VERTEX_POINT('',#460744); #148029=VERTEX_POINT('',#460746); #148030=VERTEX_POINT('',#460750); #148031=VERTEX_POINT('',#460752); #148032=VERTEX_POINT('',#460756); #148033=VERTEX_POINT('',#460758); #148034=VERTEX_POINT('',#460762); #148035=VERTEX_POINT('',#460764); #148036=VERTEX_POINT('',#460768); #148037=VERTEX_POINT('',#460770); #148038=VERTEX_POINT('',#460774); #148039=VERTEX_POINT('',#460776); #148040=VERTEX_POINT('',#460785); #148041=VERTEX_POINT('',#460787); #148042=VERTEX_POINT('',#460791); #148043=VERTEX_POINT('',#460792); #148044=VERTEX_POINT('',#460794); #148045=VERTEX_POINT('',#460796); #148046=VERTEX_POINT('',#460800); #148047=VERTEX_POINT('',#460802); #148048=VERTEX_POINT('',#460806); #148049=VERTEX_POINT('',#460808); #148050=VERTEX_POINT('',#460812); #148051=VERTEX_POINT('',#460814); #148052=VERTEX_POINT('',#460818); #148053=VERTEX_POINT('',#460820); #148054=VERTEX_POINT('',#460824); #148055=VERTEX_POINT('',#460826); #148056=VERTEX_POINT('',#460830); #148057=VERTEX_POINT('',#460832); #148058=VERTEX_POINT('',#460836); #148059=VERTEX_POINT('',#460838); #148060=VERTEX_POINT('',#460842); #148061=VERTEX_POINT('',#460844); #148062=VERTEX_POINT('',#460848); #148063=VERTEX_POINT('',#460850); #148064=VERTEX_POINT('',#460854); #148065=VERTEX_POINT('',#460856); #148066=VERTEX_POINT('',#460860); #148067=VERTEX_POINT('',#460862); #148068=VERTEX_POINT('',#460866); #148069=VERTEX_POINT('',#460868); #148070=VERTEX_POINT('',#460872); #148071=VERTEX_POINT('',#460874); #148072=VERTEX_POINT('',#460878); #148073=VERTEX_POINT('',#460880); #148074=VERTEX_POINT('',#460884); #148075=VERTEX_POINT('',#460886); #148076=VERTEX_POINT('',#460890); #148077=VERTEX_POINT('',#460892); #148078=VERTEX_POINT('',#460896); #148079=VERTEX_POINT('',#460898); #148080=VERTEX_POINT('',#460902); #148081=VERTEX_POINT('',#460904); #148082=VERTEX_POINT('',#460908); #148083=VERTEX_POINT('',#460910); #148084=VERTEX_POINT('',#460919); #148085=VERTEX_POINT('',#460921); #148086=VERTEX_POINT('',#460925); #148087=VERTEX_POINT('',#460926); #148088=VERTEX_POINT('',#460928); #148089=VERTEX_POINT('',#460930); #148090=VERTEX_POINT('',#460934); #148091=VERTEX_POINT('',#460936); #148092=VERTEX_POINT('',#460940); #148093=VERTEX_POINT('',#460942); #148094=VERTEX_POINT('',#460951); #148095=VERTEX_POINT('',#460953); #148096=VERTEX_POINT('',#460957); #148097=VERTEX_POINT('',#460958); #148098=VERTEX_POINT('',#460960); #148099=VERTEX_POINT('',#460962); #148100=VERTEX_POINT('',#460966); #148101=VERTEX_POINT('',#460968); #148102=VERTEX_POINT('',#460972); #148103=VERTEX_POINT('',#460974); #148104=VERTEX_POINT('',#460983); #148105=VERTEX_POINT('',#460985); #148106=VERTEX_POINT('',#460989); #148107=VERTEX_POINT('',#460990); #148108=VERTEX_POINT('',#460992); #148109=VERTEX_POINT('',#460994); #148110=VERTEX_POINT('',#460998); #148111=VERTEX_POINT('',#461000); #148112=VERTEX_POINT('',#461004); #148113=VERTEX_POINT('',#461006); #148114=VERTEX_POINT('',#461010); #148115=VERTEX_POINT('',#461012); #148116=VERTEX_POINT('',#461016); #148117=VERTEX_POINT('',#461018); #148118=VERTEX_POINT('',#461022); #148119=VERTEX_POINT('',#461024); #148120=VERTEX_POINT('',#461028); #148121=VERTEX_POINT('',#461030); #148122=VERTEX_POINT('',#461034); #148123=VERTEX_POINT('',#461036); #148124=VERTEX_POINT('',#461040); #148125=VERTEX_POINT('',#461042); #148126=VERTEX_POINT('',#461046); #148127=VERTEX_POINT('',#461048); #148128=VERTEX_POINT('',#461052); #148129=VERTEX_POINT('',#461054); #148130=VERTEX_POINT('',#461058); #148131=VERTEX_POINT('',#461060); #148132=VERTEX_POINT('',#461064); #148133=VERTEX_POINT('',#461066); #148134=VERTEX_POINT('',#461075); #148135=VERTEX_POINT('',#461077); #148136=VERTEX_POINT('',#461081); #148137=VERTEX_POINT('',#461082); #148138=VERTEX_POINT('',#461084); #148139=VERTEX_POINT('',#461086); #148140=VERTEX_POINT('',#461090); #148141=VERTEX_POINT('',#461092); #148142=VERTEX_POINT('',#461101); #148143=VERTEX_POINT('',#461103); #148144=VERTEX_POINT('',#461107); #148145=VERTEX_POINT('',#461109); #148146=VERTEX_POINT('',#461113); #148147=VERTEX_POINT('',#461114); #148148=VERTEX_POINT('',#461116); #148149=VERTEX_POINT('',#461118); #148150=VERTEX_POINT('',#461122); #148151=VERTEX_POINT('',#461124); #148152=VERTEX_POINT('',#461128); #148153=VERTEX_POINT('',#461130); #148154=VERTEX_POINT('',#461134); #148155=VERTEX_POINT('',#461136); #148156=VERTEX_POINT('',#461140); #148157=VERTEX_POINT('',#461142); #148158=VERTEX_POINT('',#461146); #148159=VERTEX_POINT('',#461148); #148160=VERTEX_POINT('',#461157); #148161=VERTEX_POINT('',#461159); #148162=VERTEX_POINT('',#461163); #148163=VERTEX_POINT('',#461164); #148164=VERTEX_POINT('',#461166); #148165=VERTEX_POINT('',#461168); #148166=VERTEX_POINT('',#461172); #148167=VERTEX_POINT('',#461174); #148168=VERTEX_POINT('',#461183); #148169=VERTEX_POINT('',#461185); #148170=VERTEX_POINT('',#461189); #148171=VERTEX_POINT('',#461190); #148172=VERTEX_POINT('',#461192); #148173=VERTEX_POINT('',#461194); #148174=VERTEX_POINT('',#461198); #148175=VERTEX_POINT('',#461200); #148176=VERTEX_POINT('',#461204); #148177=VERTEX_POINT('',#461206); #148178=VERTEX_POINT('',#461215); #148179=VERTEX_POINT('',#461217); #148180=VERTEX_POINT('',#461221); #148181=VERTEX_POINT('',#461222); #148182=VERTEX_POINT('',#461224); #148183=VERTEX_POINT('',#461226); #148184=VERTEX_POINT('',#461230); #148185=VERTEX_POINT('',#461232); #148186=VERTEX_POINT('',#461236); #148187=VERTEX_POINT('',#461238); #148188=VERTEX_POINT('',#461242); #148189=VERTEX_POINT('',#461244); #148190=VERTEX_POINT('',#461248); #148191=VERTEX_POINT('',#461250); #148192=VERTEX_POINT('',#461254); #148193=VERTEX_POINT('',#461256); #148194=VERTEX_POINT('',#461260); #148195=VERTEX_POINT('',#461262); #148196=VERTEX_POINT('',#461271); #148197=VERTEX_POINT('',#461273); #148198=VERTEX_POINT('',#461277); #148199=VERTEX_POINT('',#461278); #148200=VERTEX_POINT('',#461280); #148201=VERTEX_POINT('',#461282); #148202=VERTEX_POINT('',#461286); #148203=VERTEX_POINT('',#461288); #148204=VERTEX_POINT('',#461297); #148205=VERTEX_POINT('',#461299); #148206=VERTEX_POINT('',#461303); #148207=VERTEX_POINT('',#461304); #148208=VERTEX_POINT('',#461306); #148209=VERTEX_POINT('',#461308); #148210=VERTEX_POINT('',#461312); #148211=VERTEX_POINT('',#461314); #148212=VERTEX_POINT('',#461318); #148213=VERTEX_POINT('',#461320); #148214=VERTEX_POINT('',#461324); #148215=VERTEX_POINT('',#461326); #148216=VERTEX_POINT('',#461330); #148217=VERTEX_POINT('',#461332); #148218=VERTEX_POINT('',#461336); #148219=VERTEX_POINT('',#461338); #148220=VERTEX_POINT('',#461342); #148221=VERTEX_POINT('',#461344); #148222=VERTEX_POINT('',#461348); #148223=VERTEX_POINT('',#461350); #148224=VERTEX_POINT('',#461354); #148225=VERTEX_POINT('',#461356); #148226=VERTEX_POINT('',#461360); #148227=VERTEX_POINT('',#461362); #148228=VERTEX_POINT('',#461366); #148229=VERTEX_POINT('',#461368); #148230=VERTEX_POINT('',#461372); #148231=VERTEX_POINT('',#461374); #148232=VERTEX_POINT('',#461378); #148233=VERTEX_POINT('',#461380); #148234=VERTEX_POINT('',#461384); #148235=VERTEX_POINT('',#461386); #148236=VERTEX_POINT('',#461390); #148237=VERTEX_POINT('',#461392); #148238=VERTEX_POINT('',#461396); #148239=VERTEX_POINT('',#461398); #148240=VERTEX_POINT('',#461402); #148241=VERTEX_POINT('',#461404); #148242=VERTEX_POINT('',#461408); #148243=VERTEX_POINT('',#461410); #148244=VERTEX_POINT('',#461414); #148245=VERTEX_POINT('',#461416); #148246=VERTEX_POINT('',#461420); #148247=VERTEX_POINT('',#461422); #148248=VERTEX_POINT('',#461426); #148249=VERTEX_POINT('',#461428); #148250=VERTEX_POINT('',#461432); #148251=VERTEX_POINT('',#461434); #148252=VERTEX_POINT('',#461438); #148253=VERTEX_POINT('',#461440); #148254=VERTEX_POINT('',#461444); #148255=VERTEX_POINT('',#461446); #148256=VERTEX_POINT('',#461450); #148257=VERTEX_POINT('',#461452); #148258=VERTEX_POINT('',#461456); #148259=VERTEX_POINT('',#461458); #148260=VERTEX_POINT('',#461462); #148261=VERTEX_POINT('',#461464); #148262=VERTEX_POINT('',#461468); #148263=VERTEX_POINT('',#461470); #148264=VERTEX_POINT('',#461474); #148265=VERTEX_POINT('',#461476); #148266=VERTEX_POINT('',#461480); #148267=VERTEX_POINT('',#461482); #148268=VERTEX_POINT('',#461486); #148269=VERTEX_POINT('',#461488); #148270=VERTEX_POINT('',#461492); #148271=VERTEX_POINT('',#461494); #148272=VERTEX_POINT('',#461498); #148273=VERTEX_POINT('',#461500); #148274=VERTEX_POINT('',#461504); #148275=VERTEX_POINT('',#461506); #148276=VERTEX_POINT('',#461510); #148277=VERTEX_POINT('',#461512); #148278=VERTEX_POINT('',#461516); #148279=VERTEX_POINT('',#461518); #148280=VERTEX_POINT('',#461522); #148281=VERTEX_POINT('',#461524); #148282=VERTEX_POINT('',#461528); #148283=VERTEX_POINT('',#461530); #148284=VERTEX_POINT('',#461534); #148285=VERTEX_POINT('',#461536); #148286=VERTEX_POINT('',#461540); #148287=VERTEX_POINT('',#461542); #148288=VERTEX_POINT('',#461546); #148289=VERTEX_POINT('',#461548); #148290=VERTEX_POINT('',#461552); #148291=VERTEX_POINT('',#461554); #148292=VERTEX_POINT('',#461563); #148293=VERTEX_POINT('',#461565); #148294=VERTEX_POINT('',#461569); #148295=VERTEX_POINT('',#461570); #148296=VERTEX_POINT('',#461572); #148297=VERTEX_POINT('',#461574); #148298=VERTEX_POINT('',#461578); #148299=VERTEX_POINT('',#461580); #148300=VERTEX_POINT('',#461584); #148301=VERTEX_POINT('',#461586); #148302=VERTEX_POINT('',#461590); #148303=VERTEX_POINT('',#461592); #148304=VERTEX_POINT('',#461596); #148305=VERTEX_POINT('',#461598); #148306=VERTEX_POINT('',#461602); #148307=VERTEX_POINT('',#461604); #148308=VERTEX_POINT('',#461608); #148309=VERTEX_POINT('',#461610); #148310=VERTEX_POINT('',#461614); #148311=VERTEX_POINT('',#461616); #148312=VERTEX_POINT('',#461620); #148313=VERTEX_POINT('',#461622); #148314=VERTEX_POINT('',#461626); #148315=VERTEX_POINT('',#461628); #148316=VERTEX_POINT('',#461632); #148317=VERTEX_POINT('',#461634); #148318=VERTEX_POINT('',#461638); #148319=VERTEX_POINT('',#461640); #148320=VERTEX_POINT('',#461644); #148321=VERTEX_POINT('',#461646); #148322=VERTEX_POINT('',#461650); #148323=VERTEX_POINT('',#461652); #148324=VERTEX_POINT('',#461656); #148325=VERTEX_POINT('',#461658); #148326=VERTEX_POINT('',#461662); #148327=VERTEX_POINT('',#461664); #148328=VERTEX_POINT('',#461668); #148329=VERTEX_POINT('',#461670); #148330=VERTEX_POINT('',#461674); #148331=VERTEX_POINT('',#461676); #148332=VERTEX_POINT('',#461680); #148333=VERTEX_POINT('',#461682); #148334=VERTEX_POINT('',#461686); #148335=VERTEX_POINT('',#461688); #148336=VERTEX_POINT('',#461697); #148337=VERTEX_POINT('',#461698); #148338=VERTEX_POINT('',#461700); #148339=VERTEX_POINT('',#461702); #148340=VERTEX_POINT('',#461706); #148341=VERTEX_POINT('',#461708); #148342=VERTEX_POINT('',#461712); #148343=VERTEX_POINT('',#461714); #148344=VERTEX_POINT('',#461718); #148345=VERTEX_POINT('',#461720); #148346=VERTEX_POINT('',#461724); #148347=VERTEX_POINT('',#461726); #148348=VERTEX_POINT('',#461730); #148349=VERTEX_POINT('',#461732); #148350=VERTEX_POINT('',#461736); #148351=VERTEX_POINT('',#461738); #148352=VERTEX_POINT('',#461742); #148353=VERTEX_POINT('',#461744); #148354=VERTEX_POINT('',#461748); #148355=VERTEX_POINT('',#461750); #148356=VERTEX_POINT('',#461754); #148357=VERTEX_POINT('',#461756); #148358=VERTEX_POINT('',#461760); #148359=VERTEX_POINT('',#461762); #148360=VERTEX_POINT('',#461771); #148361=VERTEX_POINT('',#461772); #148362=VERTEX_POINT('',#461774); #148363=VERTEX_POINT('',#461776); #148364=VERTEX_POINT('',#461780); #148365=VERTEX_POINT('',#461782); #148366=VERTEX_POINT('',#461786); #148367=VERTEX_POINT('',#461788); #148368=VERTEX_POINT('',#461792); #148369=VERTEX_POINT('',#461794); #148370=VERTEX_POINT('',#461798); #148371=VERTEX_POINT('',#461800); #148372=VERTEX_POINT('',#461804); #148373=VERTEX_POINT('',#461806); #148374=VERTEX_POINT('',#461810); #148375=VERTEX_POINT('',#461812); #148376=VERTEX_POINT('',#461816); #148377=VERTEX_POINT('',#461818); #148378=VERTEX_POINT('',#461822); #148379=VERTEX_POINT('',#461824); #148380=VERTEX_POINT('',#461828); #148381=VERTEX_POINT('',#461830); #148382=VERTEX_POINT('',#461834); #148383=VERTEX_POINT('',#461836); #148384=VERTEX_POINT('',#461845); #148385=VERTEX_POINT('',#461847); #148386=VERTEX_POINT('',#461851); #148387=VERTEX_POINT('',#461852); #148388=VERTEX_POINT('',#461854); #148389=VERTEX_POINT('',#461856); #148390=VERTEX_POINT('',#461860); #148391=VERTEX_POINT('',#461862); #148392=VERTEX_POINT('',#461866); #148393=VERTEX_POINT('',#461868); #148394=VERTEX_POINT('',#461872); #148395=VERTEX_POINT('',#461874); #148396=VERTEX_POINT('',#461878); #148397=VERTEX_POINT('',#461880); #148398=VERTEX_POINT('',#461884); #148399=VERTEX_POINT('',#461886); #148400=VERTEX_POINT('',#461890); #148401=VERTEX_POINT('',#461892); #148402=VERTEX_POINT('',#461896); #148403=VERTEX_POINT('',#461898); #148404=VERTEX_POINT('',#461902); #148405=VERTEX_POINT('',#461904); #148406=VERTEX_POINT('',#461908); #148407=VERTEX_POINT('',#461910); #148408=VERTEX_POINT('',#461914); #148409=VERTEX_POINT('',#461916); #148410=VERTEX_POINT('',#461920); #148411=VERTEX_POINT('',#461922); #148412=VERTEX_POINT('',#461926); #148413=VERTEX_POINT('',#461928); #148414=VERTEX_POINT('',#461932); #148415=VERTEX_POINT('',#461934); #148416=VERTEX_POINT('',#461938); #148417=VERTEX_POINT('',#461940); #148418=VERTEX_POINT('',#461944); #148419=VERTEX_POINT('',#461946); #148420=VERTEX_POINT('',#461955); #148421=VERTEX_POINT('',#461957); #148422=VERTEX_POINT('',#461961); #148423=VERTEX_POINT('',#461962); #148424=VERTEX_POINT('',#461964); #148425=VERTEX_POINT('',#461966); #148426=VERTEX_POINT('',#461970); #148427=VERTEX_POINT('',#461972); #148428=VERTEX_POINT('',#461976); #148429=VERTEX_POINT('',#461978); #148430=VERTEX_POINT('',#461982); #148431=VERTEX_POINT('',#461984); #148432=VERTEX_POINT('',#461988); #148433=VERTEX_POINT('',#461990); #148434=VERTEX_POINT('',#461994); #148435=VERTEX_POINT('',#461996); #148436=VERTEX_POINT('',#462000); #148437=VERTEX_POINT('',#462002); #148438=VERTEX_POINT('',#462006); #148439=VERTEX_POINT('',#462008); #148440=VERTEX_POINT('',#462012); #148441=VERTEX_POINT('',#462014); #148442=VERTEX_POINT('',#462018); #148443=VERTEX_POINT('',#462020); #148444=VERTEX_POINT('',#462024); #148445=VERTEX_POINT('',#462026); #148446=VERTEX_POINT('',#462030); #148447=VERTEX_POINT('',#462032); #148448=VERTEX_POINT('',#462036); #148449=VERTEX_POINT('',#462038); #148450=VERTEX_POINT('',#462042); #148451=VERTEX_POINT('',#462044); #148452=VERTEX_POINT('',#462048); #148453=VERTEX_POINT('',#462050); #148454=VERTEX_POINT('',#462054); #148455=VERTEX_POINT('',#462056); #148456=VERTEX_POINT('',#462060); #148457=VERTEX_POINT('',#462062); #148458=VERTEX_POINT('',#462066); #148459=VERTEX_POINT('',#462068); #148460=VERTEX_POINT('',#462072); #148461=VERTEX_POINT('',#462074); #148462=VERTEX_POINT('',#462078); #148463=VERTEX_POINT('',#462080); #148464=VERTEX_POINT('',#462084); #148465=VERTEX_POINT('',#462086); #148466=VERTEX_POINT('',#462095); #148467=VERTEX_POINT('',#462097); #148468=VERTEX_POINT('',#462101); #148469=VERTEX_POINT('',#462102); #148470=VERTEX_POINT('',#462104); #148471=VERTEX_POINT('',#462106); #148472=VERTEX_POINT('',#462110); #148473=VERTEX_POINT('',#462112); #148474=VERTEX_POINT('',#462116); #148475=VERTEX_POINT('',#462118); #148476=VERTEX_POINT('',#462122); #148477=VERTEX_POINT('',#462124); #148478=VERTEX_POINT('',#462128); #148479=VERTEX_POINT('',#462130); #148480=VERTEX_POINT('',#462134); #148481=VERTEX_POINT('',#462136); #148482=VERTEX_POINT('',#462140); #148483=VERTEX_POINT('',#462142); #148484=VERTEX_POINT('',#462146); #148485=VERTEX_POINT('',#462148); #148486=VERTEX_POINT('',#462152); #148487=VERTEX_POINT('',#462154); #148488=VERTEX_POINT('',#462158); #148489=VERTEX_POINT('',#462160); #148490=VERTEX_POINT('',#462164); #148491=VERTEX_POINT('',#462166); #148492=VERTEX_POINT('',#462175); #148493=VERTEX_POINT('',#462176); #148494=VERTEX_POINT('',#462178); #148495=VERTEX_POINT('',#462180); #148496=VERTEX_POINT('',#462184); #148497=VERTEX_POINT('',#462186); #148498=VERTEX_POINT('',#462190); #148499=VERTEX_POINT('',#462192); #148500=VERTEX_POINT('',#462196); #148501=VERTEX_POINT('',#462198); #148502=VERTEX_POINT('',#462202); #148503=VERTEX_POINT('',#462204); #148504=VERTEX_POINT('',#462208); #148505=VERTEX_POINT('',#462210); #148506=VERTEX_POINT('',#462214); #148507=VERTEX_POINT('',#462216); #148508=VERTEX_POINT('',#462220); #148509=VERTEX_POINT('',#462222); #148510=VERTEX_POINT('',#462226); #148511=VERTEX_POINT('',#462228); #148512=VERTEX_POINT('',#462232); #148513=VERTEX_POINT('',#462234); #148514=VERTEX_POINT('',#462238); #148515=VERTEX_POINT('',#462240); #148516=VERTEX_POINT('',#462244); #148517=VERTEX_POINT('',#462246); #148518=VERTEX_POINT('',#462250); #148519=VERTEX_POINT('',#462252); #148520=VERTEX_POINT('',#462256); #148521=VERTEX_POINT('',#462258); #148522=VERTEX_POINT('',#462262); #148523=VERTEX_POINT('',#462264); #148524=VERTEX_POINT('',#462268); #148525=VERTEX_POINT('',#462270); #148526=VERTEX_POINT('',#462274); #148527=VERTEX_POINT('',#462276); #148528=VERTEX_POINT('',#462280); #148529=VERTEX_POINT('',#462282); #148530=VERTEX_POINT('',#462286); #148531=VERTEX_POINT('',#462288); #148532=VERTEX_POINT('',#462292); #148533=VERTEX_POINT('',#462294); #148534=VERTEX_POINT('',#462298); #148535=VERTEX_POINT('',#462300); #148536=VERTEX_POINT('',#462304); #148537=VERTEX_POINT('',#462306); #148538=VERTEX_POINT('',#462310); #148539=VERTEX_POINT('',#462312); #148540=VERTEX_POINT('',#462316); #148541=VERTEX_POINT('',#462318); #148542=VERTEX_POINT('',#462322); #148543=VERTEX_POINT('',#462324); #148544=VERTEX_POINT('',#462328); #148545=VERTEX_POINT('',#462330); #148546=VERTEX_POINT('',#462334); #148547=VERTEX_POINT('',#462336); #148548=VERTEX_POINT('',#462340); #148549=VERTEX_POINT('',#462342); #148550=VERTEX_POINT('',#462346); #148551=VERTEX_POINT('',#462348); #148552=VERTEX_POINT('',#462352); #148553=VERTEX_POINT('',#462354); #148554=VERTEX_POINT('',#462358); #148555=VERTEX_POINT('',#462360); #148556=VERTEX_POINT('',#462364); #148557=VERTEX_POINT('',#462366); #148558=VERTEX_POINT('',#462370); #148559=VERTEX_POINT('',#462372); #148560=VERTEX_POINT('',#462376); #148561=VERTEX_POINT('',#462378); #148562=VERTEX_POINT('',#462382); #148563=VERTEX_POINT('',#462384); #148564=VERTEX_POINT('',#462393); #148565=VERTEX_POINT('',#462395); #148566=VERTEX_POINT('',#462399); #148567=VERTEX_POINT('',#462400); #148568=VERTEX_POINT('',#462402); #148569=VERTEX_POINT('',#462404); #148570=VERTEX_POINT('',#462408); #148571=VERTEX_POINT('',#462410); #148572=VERTEX_POINT('',#462414); #148573=VERTEX_POINT('',#462416); #148574=VERTEX_POINT('',#462420); #148575=VERTEX_POINT('',#462422); #148576=VERTEX_POINT('',#462426); #148577=VERTEX_POINT('',#462428); #148578=VERTEX_POINT('',#462432); #148579=VERTEX_POINT('',#462434); #148580=VERTEX_POINT('',#462438); #148581=VERTEX_POINT('',#462440); #148582=VERTEX_POINT('',#462444); #148583=VERTEX_POINT('',#462446); #148584=VERTEX_POINT('',#462450); #148585=VERTEX_POINT('',#462452); #148586=VERTEX_POINT('',#462461); #148587=VERTEX_POINT('',#462463); #148588=VERTEX_POINT('',#462467); #148589=VERTEX_POINT('',#462469); #148590=VERTEX_POINT('',#462473); #148591=VERTEX_POINT('',#462474); #148592=VERTEX_POINT('',#462476); #148593=VERTEX_POINT('',#462478); #148594=VERTEX_POINT('',#462482); #148595=VERTEX_POINT('',#462484); #148596=VERTEX_POINT('',#462488); #148597=VERTEX_POINT('',#462490); #148598=VERTEX_POINT('',#462494); #148599=VERTEX_POINT('',#462496); #148600=VERTEX_POINT('',#462500); #148601=VERTEX_POINT('',#462502); #148602=VERTEX_POINT('',#462506); #148603=VERTEX_POINT('',#462508); #148604=VERTEX_POINT('',#462512); #148605=VERTEX_POINT('',#462514); #148606=VERTEX_POINT('',#462518); #148607=VERTEX_POINT('',#462520); #148608=VERTEX_POINT('',#462524); #148609=VERTEX_POINT('',#462526); #148610=VERTEX_POINT('',#462530); #148611=VERTEX_POINT('',#462532); #148612=VERTEX_POINT('',#462536); #148613=VERTEX_POINT('',#462538); #148614=VERTEX_POINT('',#462542); #148615=VERTEX_POINT('',#462544); #148616=VERTEX_POINT('',#462548); #148617=VERTEX_POINT('',#462550); #148618=VERTEX_POINT('',#462554); #148619=VERTEX_POINT('',#462556); #148620=VERTEX_POINT('',#462560); #148621=VERTEX_POINT('',#462562); #148622=VERTEX_POINT('',#462566); #148623=VERTEX_POINT('',#462568); #148624=VERTEX_POINT('',#462572); #148625=VERTEX_POINT('',#462574); #148626=VERTEX_POINT('',#462578); #148627=VERTEX_POINT('',#462580); #148628=VERTEX_POINT('',#462584); #148629=VERTEX_POINT('',#462586); #148630=VERTEX_POINT('',#462590); #148631=VERTEX_POINT('',#462592); #148632=VERTEX_POINT('',#462601); #148633=VERTEX_POINT('',#462602); #148634=VERTEX_POINT('',#462604); #148635=VERTEX_POINT('',#462606); #148636=VERTEX_POINT('',#462610); #148637=VERTEX_POINT('',#462612); #148638=VERTEX_POINT('',#462616); #148639=VERTEX_POINT('',#462618); #148640=VERTEX_POINT('',#462622); #148641=VERTEX_POINT('',#462624); #148642=VERTEX_POINT('',#462628); #148643=VERTEX_POINT('',#462630); #148644=VERTEX_POINT('',#462634); #148645=VERTEX_POINT('',#462636); #148646=VERTEX_POINT('',#462640); #148647=VERTEX_POINT('',#462642); #148648=VERTEX_POINT('',#462646); #148649=VERTEX_POINT('',#462648); #148650=VERTEX_POINT('',#462652); #148651=VERTEX_POINT('',#462654); #148652=VERTEX_POINT('',#462658); #148653=VERTEX_POINT('',#462660); #148654=VERTEX_POINT('',#462664); #148655=VERTEX_POINT('',#462666); #148656=VERTEX_POINT('',#462670); #148657=VERTEX_POINT('',#462672); #148658=VERTEX_POINT('',#462676); #148659=VERTEX_POINT('',#462678); #148660=VERTEX_POINT('',#462687); #148661=VERTEX_POINT('',#462689); #148662=VERTEX_POINT('',#462693); #148663=VERTEX_POINT('',#462695); #148664=VERTEX_POINT('',#462699); #148665=VERTEX_POINT('',#462701); #148666=VERTEX_POINT('',#462705); #148667=VERTEX_POINT('',#462707); #148668=VERTEX_POINT('',#462711); #148669=VERTEX_POINT('',#462713); #148670=VERTEX_POINT('',#462717); #148671=VERTEX_POINT('',#462719); #148672=VERTEX_POINT('',#462723); #148673=VERTEX_POINT('',#462725); #148674=VERTEX_POINT('',#462729); #148675=VERTEX_POINT('',#462731); #148676=VERTEX_POINT('',#462735); #148677=VERTEX_POINT('',#462737); #148678=VERTEX_POINT('',#462741); #148679=VERTEX_POINT('',#462743); #148680=VERTEX_POINT('',#462747); #148681=VERTEX_POINT('',#462749); #148682=VERTEX_POINT('',#462753); #148683=VERTEX_POINT('',#462755); #148684=VERTEX_POINT('',#462759); #148685=VERTEX_POINT('',#462761); #148686=VERTEX_POINT('',#462765); #148687=VERTEX_POINT('',#462766); #148688=VERTEX_POINT('',#462768); #148689=VERTEX_POINT('',#462770); #148690=VERTEX_POINT('',#462774); #148691=VERTEX_POINT('',#462776); #148692=VERTEX_POINT('',#462780); #148693=VERTEX_POINT('',#462782); #148694=VERTEX_POINT('',#462786); #148695=VERTEX_POINT('',#462788); #148696=VERTEX_POINT('',#462792); #148697=VERTEX_POINT('',#462794); #148698=VERTEX_POINT('',#462798); #148699=VERTEX_POINT('',#462800); #148700=VERTEX_POINT('',#462804); #148701=VERTEX_POINT('',#462806); #148702=VERTEX_POINT('',#462810); #148703=VERTEX_POINT('',#462812); #148704=VERTEX_POINT('',#462816); #148705=VERTEX_POINT('',#462818); #148706=VERTEX_POINT('',#462822); #148707=VERTEX_POINT('',#462824); #148708=VERTEX_POINT('',#462828); #148709=VERTEX_POINT('',#462830); #148710=VERTEX_POINT('',#462834); #148711=VERTEX_POINT('',#462836); #148712=VERTEX_POINT('',#462840); #148713=VERTEX_POINT('',#462842); #148714=VERTEX_POINT('',#462846); #148715=VERTEX_POINT('',#462848); #148716=VERTEX_POINT('',#462852); #148717=VERTEX_POINT('',#462854); #148718=VERTEX_POINT('',#462861); #148719=VERTEX_POINT('',#462862); #148720=VERTEX_POINT('',#462864); #148721=VERTEX_POINT('',#462866); #148722=VERTEX_POINT('',#462870); #148723=VERTEX_POINT('',#462872); #148724=VERTEX_POINT('',#462876); #148725=VERTEX_POINT('',#462878); #148726=VERTEX_POINT('',#462882); #148727=VERTEX_POINT('',#462884); #148728=VERTEX_POINT('',#462888); #148729=VERTEX_POINT('',#462890); #148730=VERTEX_POINT('',#462894); #148731=VERTEX_POINT('',#462896); #148732=VERTEX_POINT('',#462900); #148733=VERTEX_POINT('',#462902); #148734=VERTEX_POINT('',#462906); #148735=VERTEX_POINT('',#462908); #148736=VERTEX_POINT('',#462912); #148737=VERTEX_POINT('',#462914); #148738=VERTEX_POINT('',#462918); #148739=VERTEX_POINT('',#462920); #148740=VERTEX_POINT('',#462924); #148741=VERTEX_POINT('',#462926); #148742=VERTEX_POINT('',#462930); #148743=VERTEX_POINT('',#462932); #148744=VERTEX_POINT('',#462936); #148745=VERTEX_POINT('',#462938); #148746=VERTEX_POINT('',#462942); #148747=VERTEX_POINT('',#462944); #148748=VERTEX_POINT('',#462948); #148749=VERTEX_POINT('',#462950); #148750=VERTEX_POINT('',#462954); #148751=VERTEX_POINT('',#462956); #148752=VERTEX_POINT('',#462960); #148753=VERTEX_POINT('',#462962); #148754=VERTEX_POINT('',#462966); #148755=VERTEX_POINT('',#462968); #148756=VERTEX_POINT('',#462972); #148757=VERTEX_POINT('',#462974); #148758=VERTEX_POINT('',#462978); #148759=VERTEX_POINT('',#462980); #148760=VERTEX_POINT('',#462984); #148761=VERTEX_POINT('',#462986); #148762=VERTEX_POINT('',#462990); #148763=VERTEX_POINT('',#462992); #148764=VERTEX_POINT('',#462996); #148765=VERTEX_POINT('',#462998); #148766=VERTEX_POINT('',#463002); #148767=VERTEX_POINT('',#463004); #148768=VERTEX_POINT('',#463008); #148769=VERTEX_POINT('',#463010); #148770=VERTEX_POINT('',#463014); #148771=VERTEX_POINT('',#463016); #148772=VERTEX_POINT('',#463020); #148773=VERTEX_POINT('',#463022); #148774=VERTEX_POINT('',#463026); #148775=VERTEX_POINT('',#463028); #148776=VERTEX_POINT('',#463032); #148777=VERTEX_POINT('',#463034); #148778=VERTEX_POINT('',#463038); #148779=VERTEX_POINT('',#463040); #148780=VERTEX_POINT('',#463044); #148781=VERTEX_POINT('',#463046); #148782=VERTEX_POINT('',#463050); #148783=VERTEX_POINT('',#463052); #148784=VERTEX_POINT('',#463056); #148785=VERTEX_POINT('',#463058); #148786=VERTEX_POINT('',#463062); #148787=VERTEX_POINT('',#463064); #148788=VERTEX_POINT('',#463068); #148789=VERTEX_POINT('',#463070); #148790=VERTEX_POINT('',#463074); #148791=VERTEX_POINT('',#463076); #148792=VERTEX_POINT('',#463080); #148793=VERTEX_POINT('',#463082); #148794=VERTEX_POINT('',#463086); #148795=VERTEX_POINT('',#463088); #148796=VERTEX_POINT('',#463092); #148797=VERTEX_POINT('',#463094); #148798=VERTEX_POINT('',#463098); #148799=VERTEX_POINT('',#463100); #148800=VERTEX_POINT('',#463104); #148801=VERTEX_POINT('',#463106); #148802=VERTEX_POINT('',#463110); #148803=VERTEX_POINT('',#463112); #148804=VERTEX_POINT('',#463116); #148805=VERTEX_POINT('',#463118); #148806=VERTEX_POINT('',#463122); #148807=VERTEX_POINT('',#463124); #148808=VERTEX_POINT('',#463128); #148809=VERTEX_POINT('',#463130); #148810=VERTEX_POINT('',#463134); #148811=VERTEX_POINT('',#463136); #148812=VERTEX_POINT('',#463140); #148813=VERTEX_POINT('',#463142); #148814=VERTEX_POINT('',#463146); #148815=VERTEX_POINT('',#463148); #148816=VERTEX_POINT('',#463152); #148817=VERTEX_POINT('',#463154); #148818=VERTEX_POINT('',#463158); #148819=VERTEX_POINT('',#463160); #148820=VERTEX_POINT('',#463164); #148821=VERTEX_POINT('',#463166); #148822=VERTEX_POINT('',#463170); #148823=VERTEX_POINT('',#463172); #148824=VERTEX_POINT('',#463176); #148825=VERTEX_POINT('',#463178); #148826=VERTEX_POINT('',#463182); #148827=VERTEX_POINT('',#463184); #148828=VERTEX_POINT('',#463188); #148829=VERTEX_POINT('',#463190); #148830=VERTEX_POINT('',#463194); #148831=VERTEX_POINT('',#463196); #148832=VERTEX_POINT('',#463200); #148833=VERTEX_POINT('',#463202); #148834=VERTEX_POINT('',#463206); #148835=VERTEX_POINT('',#463208); #148836=VERTEX_POINT('',#463212); #148837=VERTEX_POINT('',#463214); #148838=VERTEX_POINT('',#463218); #148839=VERTEX_POINT('',#463220); #148840=VERTEX_POINT('',#463224); #148841=VERTEX_POINT('',#463226); #148842=VERTEX_POINT('',#463230); #148843=VERTEX_POINT('',#463232); #148844=VERTEX_POINT('',#463236); #148845=VERTEX_POINT('',#463238); #148846=VERTEX_POINT('',#463242); #148847=VERTEX_POINT('',#463244); #148848=VERTEX_POINT('',#463248); #148849=VERTEX_POINT('',#463250); #148850=VERTEX_POINT('',#463254); #148851=VERTEX_POINT('',#463256); #148852=VERTEX_POINT('',#463260); #148853=VERTEX_POINT('',#463262); #148854=VERTEX_POINT('',#463266); #148855=VERTEX_POINT('',#463268); #148856=VERTEX_POINT('',#463272); #148857=VERTEX_POINT('',#463274); #148858=VERTEX_POINT('',#463278); #148859=VERTEX_POINT('',#463280); #148860=VERTEX_POINT('',#463284); #148861=VERTEX_POINT('',#463286); #148862=VERTEX_POINT('',#463290); #148863=VERTEX_POINT('',#463292); #148864=VERTEX_POINT('',#463296); #148865=VERTEX_POINT('',#463298); #148866=VERTEX_POINT('',#463302); #148867=VERTEX_POINT('',#463304); #148868=VERTEX_POINT('',#463308); #148869=VERTEX_POINT('',#463310); #148870=VERTEX_POINT('',#463314); #148871=VERTEX_POINT('',#463316); #148872=VERTEX_POINT('',#463320); #148873=VERTEX_POINT('',#463322); #148874=VERTEX_POINT('',#463326); #148875=VERTEX_POINT('',#463328); #148876=VERTEX_POINT('',#463332); #148877=VERTEX_POINT('',#463334); #148878=VERTEX_POINT('',#463338); #148879=VERTEX_POINT('',#463340); #148880=VERTEX_POINT('',#463344); #148881=VERTEX_POINT('',#463346); #148882=VERTEX_POINT('',#463355); #148883=VERTEX_POINT('',#463357); #148884=VERTEX_POINT('',#463361); #148885=VERTEX_POINT('',#463362); #148886=VERTEX_POINT('',#463364); #148887=VERTEX_POINT('',#463366); #148888=VERTEX_POINT('',#463370); #148889=VERTEX_POINT('',#463372); #148890=VERTEX_POINT('',#463381); #148891=VERTEX_POINT('',#463383); #148892=VERTEX_POINT('',#463387); #148893=VERTEX_POINT('',#463389); #148894=VERTEX_POINT('',#463393); #148895=VERTEX_POINT('',#463395); #148896=VERTEX_POINT('',#463399); #148897=VERTEX_POINT('',#463401); #148898=VERTEX_POINT('',#463405); #148899=VERTEX_POINT('',#463407); #148900=VERTEX_POINT('',#463411); #148901=VERTEX_POINT('',#463413); #148902=VERTEX_POINT('',#463417); #148903=VERTEX_POINT('',#463419); #148904=VERTEX_POINT('',#463423); #148905=VERTEX_POINT('',#463425); #148906=VERTEX_POINT('',#463429); #148907=VERTEX_POINT('',#463431); #148908=VERTEX_POINT('',#463435); #148909=VERTEX_POINT('',#463437); #148910=VERTEX_POINT('',#463441); #148911=VERTEX_POINT('',#463443); #148912=VERTEX_POINT('',#463447); #148913=VERTEX_POINT('',#463448); #148914=VERTEX_POINT('',#463450); #148915=VERTEX_POINT('',#463452); #148916=VERTEX_POINT('',#463456); #148917=VERTEX_POINT('',#463458); #148918=VERTEX_POINT('',#463462); #148919=VERTEX_POINT('',#463464); #148920=VERTEX_POINT('',#463468); #148921=VERTEX_POINT('',#463470); #148922=VERTEX_POINT('',#463474); #148923=VERTEX_POINT('',#463476); #148924=VERTEX_POINT('',#463480); #148925=VERTEX_POINT('',#463482); #148926=VERTEX_POINT('',#463486); #148927=VERTEX_POINT('',#463488); #148928=VERTEX_POINT('',#463492); #148929=VERTEX_POINT('',#463494); #148930=VERTEX_POINT('',#463498); #148931=VERTEX_POINT('',#463500); #148932=VERTEX_POINT('',#463504); #148933=VERTEX_POINT('',#463506); #148934=VERTEX_POINT('',#463510); #148935=VERTEX_POINT('',#463512); #148936=VERTEX_POINT('',#463516); #148937=VERTEX_POINT('',#463518); #148938=VERTEX_POINT('',#463522); #148939=VERTEX_POINT('',#463524); #148940=VERTEX_POINT('',#463528); #148941=VERTEX_POINT('',#463530); #148942=VERTEX_POINT('',#463534); #148943=VERTEX_POINT('',#463536); #148944=VERTEX_POINT('',#463540); #148945=VERTEX_POINT('',#463542); #148946=VERTEX_POINT('',#463546); #148947=VERTEX_POINT('',#463548); #148948=VERTEX_POINT('',#463552); #148949=VERTEX_POINT('',#463554); #148950=VERTEX_POINT('',#463558); #148951=VERTEX_POINT('',#463560); #148952=VERTEX_POINT('',#463564); #148953=VERTEX_POINT('',#463566); #148954=VERTEX_POINT('',#463570); #148955=VERTEX_POINT('',#463572); #148956=VERTEX_POINT('',#463576); #148957=VERTEX_POINT('',#463578); #148958=VERTEX_POINT('',#463582); #148959=VERTEX_POINT('',#463584); #148960=VERTEX_POINT('',#463588); #148961=VERTEX_POINT('',#463590); #148962=VERTEX_POINT('',#463594); #148963=VERTEX_POINT('',#463596); #148964=VERTEX_POINT('',#463600); #148965=VERTEX_POINT('',#463602); #148966=VERTEX_POINT('',#463606); #148967=VERTEX_POINT('',#463608); #148968=VERTEX_POINT('',#463612); #148969=VERTEX_POINT('',#463614); #148970=VERTEX_POINT('',#463618); #148971=VERTEX_POINT('',#463620); #148972=VERTEX_POINT('',#463624); #148973=VERTEX_POINT('',#463626); #148974=VERTEX_POINT('',#463630); #148975=VERTEX_POINT('',#463632); #148976=VERTEX_POINT('',#463636); #148977=VERTEX_POINT('',#463638); #148978=VERTEX_POINT('',#463642); #148979=VERTEX_POINT('',#463644); #148980=VERTEX_POINT('',#463648); #148981=VERTEX_POINT('',#463650); #148982=VERTEX_POINT('',#463654); #148983=VERTEX_POINT('',#463656); #148984=VERTEX_POINT('',#463660); #148985=VERTEX_POINT('',#463662); #148986=VERTEX_POINT('',#463666); #148987=VERTEX_POINT('',#463668); #148988=VERTEX_POINT('',#463672); #148989=VERTEX_POINT('',#463674); #148990=VERTEX_POINT('',#463678); #148991=VERTEX_POINT('',#463680); #148992=VERTEX_POINT('',#463684); #148993=VERTEX_POINT('',#463686); #148994=VERTEX_POINT('',#463690); #148995=VERTEX_POINT('',#463692); #148996=VERTEX_POINT('',#463696); #148997=VERTEX_POINT('',#463698); #148998=VERTEX_POINT('',#463702); #148999=VERTEX_POINT('',#463704); #149000=VERTEX_POINT('',#463708); #149001=VERTEX_POINT('',#463710); #149002=VERTEX_POINT('',#463714); #149003=VERTEX_POINT('',#463716); #149004=VERTEX_POINT('',#463720); #149005=VERTEX_POINT('',#463722); #149006=VERTEX_POINT('',#463726); #149007=VERTEX_POINT('',#463728); #149008=VERTEX_POINT('',#463732); #149009=VERTEX_POINT('',#463734); #149010=VERTEX_POINT('',#463738); #149011=VERTEX_POINT('',#463740); #149012=VERTEX_POINT('',#463744); #149013=VERTEX_POINT('',#463746); #149014=VERTEX_POINT('',#463750); #149015=VERTEX_POINT('',#463752); #149016=VERTEX_POINT('',#463756); #149017=VERTEX_POINT('',#463758); #149018=VERTEX_POINT('',#463762); #149019=VERTEX_POINT('',#463764); #149020=VERTEX_POINT('',#463768); #149021=VERTEX_POINT('',#463770); #149022=VERTEX_POINT('',#463774); #149023=VERTEX_POINT('',#463776); #149024=VERTEX_POINT('',#463780); #149025=VERTEX_POINT('',#463782); #149026=VERTEX_POINT('',#463786); #149027=VERTEX_POINT('',#463788); #149028=VERTEX_POINT('',#463792); #149029=VERTEX_POINT('',#463794); #149030=VERTEX_POINT('',#463798); #149031=VERTEX_POINT('',#463800); #149032=VERTEX_POINT('',#463804); #149033=VERTEX_POINT('',#463806); #149034=VERTEX_POINT('',#463810); #149035=VERTEX_POINT('',#463812); #149036=VERTEX_POINT('',#463816); #149037=VERTEX_POINT('',#463818); #149038=VERTEX_POINT('',#463822); #149039=VERTEX_POINT('',#463824); #149040=VERTEX_POINT('',#463828); #149041=VERTEX_POINT('',#463830); #149042=VERTEX_POINT('',#463834); #149043=VERTEX_POINT('',#463836); #149044=VERTEX_POINT('',#463840); #149045=VERTEX_POINT('',#463842); #149046=VERTEX_POINT('',#463846); #149047=VERTEX_POINT('',#463848); #149048=VERTEX_POINT('',#463852); #149049=VERTEX_POINT('',#463854); #149050=VERTEX_POINT('',#463858); #149051=VERTEX_POINT('',#463860); #149052=VERTEX_POINT('',#463864); #149053=VERTEX_POINT('',#463866); #149054=VERTEX_POINT('',#463870); #149055=VERTEX_POINT('',#463872); #149056=VERTEX_POINT('',#463876); #149057=VERTEX_POINT('',#463878); #149058=VERTEX_POINT('',#463882); #149059=VERTEX_POINT('',#463884); #149060=VERTEX_POINT('',#463888); #149061=VERTEX_POINT('',#463890); #149062=VERTEX_POINT('',#463894); #149063=VERTEX_POINT('',#463896); #149064=VERTEX_POINT('',#463900); #149065=VERTEX_POINT('',#463902); #149066=VERTEX_POINT('',#463906); #149067=VERTEX_POINT('',#463908); #149068=VERTEX_POINT('',#463912); #149069=VERTEX_POINT('',#463914); #149070=VERTEX_POINT('',#463918); #149071=VERTEX_POINT('',#463920); #149072=VERTEX_POINT('',#463924); #149073=VERTEX_POINT('',#463926); #149074=VERTEX_POINT('',#463930); #149075=VERTEX_POINT('',#463932); #149076=VERTEX_POINT('',#463936); #149077=VERTEX_POINT('',#463938); #149078=VERTEX_POINT('',#463942); #149079=VERTEX_POINT('',#463944); #149080=VERTEX_POINT('',#463948); #149081=VERTEX_POINT('',#463950); #149082=VERTEX_POINT('',#463954); #149083=VERTEX_POINT('',#463956); #149084=VERTEX_POINT('',#463960); #149085=VERTEX_POINT('',#463962); #149086=VERTEX_POINT('',#463966); #149087=VERTEX_POINT('',#463968); #149088=VERTEX_POINT('',#463972); #149089=VERTEX_POINT('',#463974); #149090=VERTEX_POINT('',#463978); #149091=VERTEX_POINT('',#463980); #149092=VERTEX_POINT('',#463984); #149093=VERTEX_POINT('',#463986); #149094=VERTEX_POINT('',#463990); #149095=VERTEX_POINT('',#463992); #149096=VERTEX_POINT('',#463996); #149097=VERTEX_POINT('',#463998); #149098=VERTEX_POINT('',#464002); #149099=VERTEX_POINT('',#464004); #149100=VERTEX_POINT('',#464008); #149101=VERTEX_POINT('',#464010); #149102=VERTEX_POINT('',#464014); #149103=VERTEX_POINT('',#464016); #149104=VERTEX_POINT('',#464020); #149105=VERTEX_POINT('',#464022); #149106=VERTEX_POINT('',#464026); #149107=VERTEX_POINT('',#464028); #149108=VERTEX_POINT('',#464032); #149109=VERTEX_POINT('',#464034); #149110=VERTEX_POINT('',#464038); #149111=VERTEX_POINT('',#464040); #149112=VERTEX_POINT('',#464044); #149113=VERTEX_POINT('',#464046); #149114=VERTEX_POINT('',#464050); #149115=VERTEX_POINT('',#464052); #149116=VERTEX_POINT('',#464056); #149117=VERTEX_POINT('',#464058); #149118=VERTEX_POINT('',#464062); #149119=VERTEX_POINT('',#464064); #149120=VERTEX_POINT('',#464068); #149121=VERTEX_POINT('',#464070); #149122=VERTEX_POINT('',#464074); #149123=VERTEX_POINT('',#464076); #149124=VERTEX_POINT('',#464080); #149125=VERTEX_POINT('',#464082); #149126=VERTEX_POINT('',#464086); #149127=VERTEX_POINT('',#464088); #149128=VERTEX_POINT('',#464092); #149129=VERTEX_POINT('',#464094); #149130=VERTEX_POINT('',#464098); #149131=VERTEX_POINT('',#464100); #149132=VERTEX_POINT('',#464104); #149133=VERTEX_POINT('',#464106); #149134=VERTEX_POINT('',#464110); #149135=VERTEX_POINT('',#464112); #149136=VERTEX_POINT('',#464116); #149137=VERTEX_POINT('',#464118); #149138=VERTEX_POINT('',#464122); #149139=VERTEX_POINT('',#464124); #149140=VERTEX_POINT('',#464128); #149141=VERTEX_POINT('',#464130); #149142=VERTEX_POINT('',#464134); #149143=VERTEX_POINT('',#464136); #149144=VERTEX_POINT('',#464140); #149145=VERTEX_POINT('',#464142); #149146=VERTEX_POINT('',#464146); #149147=VERTEX_POINT('',#464148); #149148=VERTEX_POINT('',#464152); #149149=VERTEX_POINT('',#464154); #149150=VERTEX_POINT('',#464158); #149151=VERTEX_POINT('',#464160); #149152=VERTEX_POINT('',#464164); #149153=VERTEX_POINT('',#464166); #149154=VERTEX_POINT('',#464170); #149155=VERTEX_POINT('',#464172); #149156=VERTEX_POINT('',#464176); #149157=VERTEX_POINT('',#464178); #149158=VERTEX_POINT('',#464182); #149159=VERTEX_POINT('',#464184); #149160=VERTEX_POINT('',#464188); #149161=VERTEX_POINT('',#464190); #149162=VERTEX_POINT('',#464194); #149163=VERTEX_POINT('',#464196); #149164=VERTEX_POINT('',#464200); #149165=VERTEX_POINT('',#464202); #149166=VERTEX_POINT('',#464206); #149167=VERTEX_POINT('',#464208); #149168=VERTEX_POINT('',#464212); #149169=VERTEX_POINT('',#464214); #149170=VERTEX_POINT('',#464218); #149171=VERTEX_POINT('',#464220); #149172=VERTEX_POINT('',#464224); #149173=VERTEX_POINT('',#464226); #149174=VERTEX_POINT('',#464230); #149175=VERTEX_POINT('',#464232); #149176=VERTEX_POINT('',#464236); #149177=VERTEX_POINT('',#464238); #149178=VERTEX_POINT('',#464247); #149179=VERTEX_POINT('',#464249); #149180=VERTEX_POINT('',#464253); #149181=VERTEX_POINT('',#464254); #149182=VERTEX_POINT('',#464256); #149183=VERTEX_POINT('',#464258); #149184=VERTEX_POINT('',#464262); #149185=VERTEX_POINT('',#464264); #149186=VERTEX_POINT('',#464268); #149187=VERTEX_POINT('',#464270); #149188=VERTEX_POINT('',#464274); #149189=VERTEX_POINT('',#464276); #149190=VERTEX_POINT('',#464280); #149191=VERTEX_POINT('',#464282); #149192=VERTEX_POINT('',#464286); #149193=VERTEX_POINT('',#464288); #149194=VERTEX_POINT('',#464292); #149195=VERTEX_POINT('',#464294); #149196=VERTEX_POINT('',#464303); #149197=VERTEX_POINT('',#464304); #149198=VERTEX_POINT('',#464306); #149199=VERTEX_POINT('',#464308); #149200=VERTEX_POINT('',#464312); #149201=VERTEX_POINT('',#464314); #149202=VERTEX_POINT('',#464318); #149203=VERTEX_POINT('',#464320); #149204=VERTEX_POINT('',#464324); #149205=VERTEX_POINT('',#464326); #149206=VERTEX_POINT('',#464330); #149207=VERTEX_POINT('',#464332); #149208=VERTEX_POINT('',#464336); #149209=VERTEX_POINT('',#464338); #149210=VERTEX_POINT('',#464342); #149211=VERTEX_POINT('',#464344); #149212=VERTEX_POINT('',#464348); #149213=VERTEX_POINT('',#464350); #149214=VERTEX_POINT('',#464354); #149215=VERTEX_POINT('',#464356); #149216=VERTEX_POINT('',#464360); #149217=VERTEX_POINT('',#464362); #149218=VERTEX_POINT('',#464366); #149219=VERTEX_POINT('',#464368); #149220=VERTEX_POINT('',#464372); #149221=VERTEX_POINT('',#464374); #149222=VERTEX_POINT('',#464378); #149223=VERTEX_POINT('',#464380); #149224=VERTEX_POINT('',#464384); #149225=VERTEX_POINT('',#464386); #149226=VERTEX_POINT('',#464390); #149227=VERTEX_POINT('',#464392); #149228=VERTEX_POINT('',#464396); #149229=VERTEX_POINT('',#464398); #149230=VERTEX_POINT('',#464402); #149231=VERTEX_POINT('',#464404); #149232=VERTEX_POINT('',#464408); #149233=VERTEX_POINT('',#464410); #149234=VERTEX_POINT('',#464419); #149235=VERTEX_POINT('',#464420); #149236=VERTEX_POINT('',#464422); #149237=VERTEX_POINT('',#464424); #149238=VERTEX_POINT('',#464428); #149239=VERTEX_POINT('',#464430); #149240=VERTEX_POINT('',#464434); #149241=VERTEX_POINT('',#464436); #149242=VERTEX_POINT('',#464440); #149243=VERTEX_POINT('',#464442); #149244=VERTEX_POINT('',#464446); #149245=VERTEX_POINT('',#464448); #149246=VERTEX_POINT('',#464452); #149247=VERTEX_POINT('',#464454); #149248=VERTEX_POINT('',#464458); #149249=VERTEX_POINT('',#464460); #149250=VERTEX_POINT('',#464464); #149251=VERTEX_POINT('',#464466); #149252=VERTEX_POINT('',#464470); #149253=VERTEX_POINT('',#464472); #149254=VERTEX_POINT('',#464476); #149255=VERTEX_POINT('',#464478); #149256=VERTEX_POINT('',#464482); #149257=VERTEX_POINT('',#464484); #149258=VERTEX_POINT('',#464488); #149259=VERTEX_POINT('',#464490); #149260=VERTEX_POINT('',#464494); #149261=VERTEX_POINT('',#464496); #149262=VERTEX_POINT('',#464500); #149263=VERTEX_POINT('',#464502); #149264=VERTEX_POINT('',#464506); #149265=VERTEX_POINT('',#464508); #149266=VERTEX_POINT('',#464512); #149267=VERTEX_POINT('',#464514); #149268=VERTEX_POINT('',#464518); #149269=VERTEX_POINT('',#464520); #149270=VERTEX_POINT('',#464524); #149271=VERTEX_POINT('',#464526); #149272=VERTEX_POINT('',#464530); #149273=VERTEX_POINT('',#464532); #149274=VERTEX_POINT('',#464536); #149275=VERTEX_POINT('',#464538); #149276=VERTEX_POINT('',#464547); #149277=VERTEX_POINT('',#464548); #149278=VERTEX_POINT('',#464550); #149279=VERTEX_POINT('',#464552); #149280=VERTEX_POINT('',#464556); #149281=VERTEX_POINT('',#464558); #149282=VERTEX_POINT('',#464562); #149283=VERTEX_POINT('',#464564); #149284=VERTEX_POINT('',#464568); #149285=VERTEX_POINT('',#464570); #149286=VERTEX_POINT('',#464574); #149287=VERTEX_POINT('',#464576); #149288=VERTEX_POINT('',#464580); #149289=VERTEX_POINT('',#464582); #149290=VERTEX_POINT('',#464586); #149291=VERTEX_POINT('',#464588); #149292=VERTEX_POINT('',#464592); #149293=VERTEX_POINT('',#464594); #149294=VERTEX_POINT('',#464598); #149295=VERTEX_POINT('',#464600); #149296=VERTEX_POINT('',#464604); #149297=VERTEX_POINT('',#464606); #149298=VERTEX_POINT('',#464610); #149299=VERTEX_POINT('',#464612); #149300=VERTEX_POINT('',#464616); #149301=VERTEX_POINT('',#464618); #149302=VERTEX_POINT('',#464622); #149303=VERTEX_POINT('',#464624); #149304=VERTEX_POINT('',#464628); #149305=VERTEX_POINT('',#464630); #149306=VERTEX_POINT('',#464634); #149307=VERTEX_POINT('',#464636); #149308=VERTEX_POINT('',#464640); #149309=VERTEX_POINT('',#464642); #149310=VERTEX_POINT('',#464646); #149311=VERTEX_POINT('',#464648); #149312=VERTEX_POINT('',#464652); #149313=VERTEX_POINT('',#464654); #149314=VERTEX_POINT('',#464658); #149315=VERTEX_POINT('',#464660); #149316=VERTEX_POINT('',#464669); #149317=VERTEX_POINT('',#464670); #149318=VERTEX_POINT('',#464672); #149319=VERTEX_POINT('',#464674); #149320=VERTEX_POINT('',#464678); #149321=VERTEX_POINT('',#464680); #149322=VERTEX_POINT('',#464684); #149323=VERTEX_POINT('',#464686); #149324=VERTEX_POINT('',#464690); #149325=VERTEX_POINT('',#464692); #149326=VERTEX_POINT('',#464696); #149327=VERTEX_POINT('',#464698); #149328=VERTEX_POINT('',#464702); #149329=VERTEX_POINT('',#464704); #149330=VERTEX_POINT('',#464708); #149331=VERTEX_POINT('',#464710); #149332=VERTEX_POINT('',#464714); #149333=VERTEX_POINT('',#464716); #149334=VERTEX_POINT('',#464720); #149335=VERTEX_POINT('',#464722); #149336=VERTEX_POINT('',#464726); #149337=VERTEX_POINT('',#464728); #149338=VERTEX_POINT('',#464732); #149339=VERTEX_POINT('',#464734); #149340=VERTEX_POINT('',#464738); #149341=VERTEX_POINT('',#464740); #149342=VERTEX_POINT('',#464744); #149343=VERTEX_POINT('',#464746); #149344=VERTEX_POINT('',#464750); #149345=VERTEX_POINT('',#464752); #149346=VERTEX_POINT('',#464756); #149347=VERTEX_POINT('',#464758); #149348=VERTEX_POINT('',#464762); #149349=VERTEX_POINT('',#464764); #149350=VERTEX_POINT('',#464768); #149351=VERTEX_POINT('',#464770); #149352=VERTEX_POINT('',#464774); #149353=VERTEX_POINT('',#464776); #149354=VERTEX_POINT('',#464780); #149355=VERTEX_POINT('',#464782); #149356=VERTEX_POINT('',#464786); #149357=VERTEX_POINT('',#464788); #149358=VERTEX_POINT('',#464797); #149359=VERTEX_POINT('',#464799); #149360=VERTEX_POINT('',#464803); #149361=VERTEX_POINT('',#464805); #149362=VERTEX_POINT('',#464809); #149363=VERTEX_POINT('',#464811); #149364=VERTEX_POINT('',#464815); #149365=VERTEX_POINT('',#464816); #149366=VERTEX_POINT('',#464818); #149367=VERTEX_POINT('',#464820); #149368=VERTEX_POINT('',#464824); #149369=VERTEX_POINT('',#464826); #149370=VERTEX_POINT('',#464830); #149371=VERTEX_POINT('',#464832); #149372=VERTEX_POINT('',#464836); #149373=VERTEX_POINT('',#464838); #149374=VERTEX_POINT('',#464842); #149375=VERTEX_POINT('',#464844); #149376=VERTEX_POINT('',#464848); #149377=VERTEX_POINT('',#464850); #149378=VERTEX_POINT('',#464854); #149379=VERTEX_POINT('',#464856); #149380=VERTEX_POINT('',#464860); #149381=VERTEX_POINT('',#464862); #149382=VERTEX_POINT('',#464866); #149383=VERTEX_POINT('',#464868); #149384=VERTEX_POINT('',#464872); #149385=VERTEX_POINT('',#464874); #149386=VERTEX_POINT('',#464878); #149387=VERTEX_POINT('',#464880); #149388=VERTEX_POINT('',#464884); #149389=VERTEX_POINT('',#464886); #149390=VERTEX_POINT('',#464890); #149391=VERTEX_POINT('',#464892); #149392=VERTEX_POINT('',#464896); #149393=VERTEX_POINT('',#464898); #149394=VERTEX_POINT('',#464902); #149395=VERTEX_POINT('',#464904); #149396=VERTEX_POINT('',#464908); #149397=VERTEX_POINT('',#464910); #149398=VERTEX_POINT('',#464914); #149399=VERTEX_POINT('',#464916); #149400=VERTEX_POINT('',#464920); #149401=VERTEX_POINT('',#464922); #149402=VERTEX_POINT('',#464926); #149403=VERTEX_POINT('',#464928); #149404=VERTEX_POINT('',#464932); #149405=VERTEX_POINT('',#464934); #149406=VERTEX_POINT('',#464938); #149407=VERTEX_POINT('',#464940); #149408=VERTEX_POINT('',#464944); #149409=VERTEX_POINT('',#464946); #149410=VERTEX_POINT('',#464950); #149411=VERTEX_POINT('',#464952); #149412=VERTEX_POINT('',#464956); #149413=VERTEX_POINT('',#464958); #149414=VERTEX_POINT('',#464962); #149415=VERTEX_POINT('',#464964); #149416=VERTEX_POINT('',#464968); #149417=VERTEX_POINT('',#464970); #149418=VERTEX_POINT('',#464974); #149419=VERTEX_POINT('',#464976); #149420=VERTEX_POINT('',#464980); #149421=VERTEX_POINT('',#464982); #149422=VERTEX_POINT('',#464986); #149423=VERTEX_POINT('',#464988); #149424=VERTEX_POINT('',#464992); #149425=VERTEX_POINT('',#464994); #149426=VERTEX_POINT('',#464998); #149427=VERTEX_POINT('',#465000); #149428=VERTEX_POINT('',#465004); #149429=VERTEX_POINT('',#465006); #149430=VERTEX_POINT('',#465010); #149431=VERTEX_POINT('',#465012); #149432=VERTEX_POINT('',#465016); #149433=VERTEX_POINT('',#465018); #149434=VERTEX_POINT('',#465022); #149435=VERTEX_POINT('',#465024); #149436=VERTEX_POINT('',#465028); #149437=VERTEX_POINT('',#465030); #149438=VERTEX_POINT('',#465034); #149439=VERTEX_POINT('',#465036); #149440=VERTEX_POINT('',#465040); #149441=VERTEX_POINT('',#465042); #149442=VERTEX_POINT('',#465046); #149443=VERTEX_POINT('',#465048); #149444=VERTEX_POINT('',#465052); #149445=VERTEX_POINT('',#465054); #149446=VERTEX_POINT('',#465058); #149447=VERTEX_POINT('',#465060); #149448=VERTEX_POINT('',#465064); #149449=VERTEX_POINT('',#465066); #149450=VERTEX_POINT('',#465070); #149451=VERTEX_POINT('',#465072); #149452=VERTEX_POINT('',#465076); #149453=VERTEX_POINT('',#465078); #149454=VERTEX_POINT('',#465082); #149455=VERTEX_POINT('',#465084); #149456=VERTEX_POINT('',#465088); #149457=VERTEX_POINT('',#465090); #149458=VERTEX_POINT('',#465094); #149459=VERTEX_POINT('',#465096); #149460=VERTEX_POINT('',#465100); #149461=VERTEX_POINT('',#465102); #149462=VERTEX_POINT('',#465106); #149463=VERTEX_POINT('',#465108); #149464=VERTEX_POINT('',#465112); #149465=VERTEX_POINT('',#465114); #149466=VERTEX_POINT('',#465118); #149467=VERTEX_POINT('',#465120); #149468=VERTEX_POINT('',#465124); #149469=VERTEX_POINT('',#465126); #149470=VERTEX_POINT('',#465130); #149471=VERTEX_POINT('',#465132); #149472=VERTEX_POINT('',#465136); #149473=VERTEX_POINT('',#465138); #149474=VERTEX_POINT('',#465142); #149475=VERTEX_POINT('',#465144); #149476=VERTEX_POINT('',#465148); #149477=VERTEX_POINT('',#465150); #149478=VERTEX_POINT('',#465154); #149479=VERTEX_POINT('',#465156); #149480=VERTEX_POINT('',#465160); #149481=VERTEX_POINT('',#465162); #149482=VERTEX_POINT('',#465166); #149483=VERTEX_POINT('',#465168); #149484=VERTEX_POINT('',#465172); #149485=VERTEX_POINT('',#465174); #149486=VERTEX_POINT('',#465178); #149487=VERTEX_POINT('',#465180); #149488=VERTEX_POINT('',#465184); #149489=VERTEX_POINT('',#465186); #149490=VERTEX_POINT('',#465190); #149491=VERTEX_POINT('',#465192); #149492=VERTEX_POINT('',#465196); #149493=VERTEX_POINT('',#465198); #149494=VERTEX_POINT('',#465202); #149495=VERTEX_POINT('',#465204); #149496=VERTEX_POINT('',#465208); #149497=VERTEX_POINT('',#465210); #149498=VERTEX_POINT('',#465214); #149499=VERTEX_POINT('',#465216); #149500=VERTEX_POINT('',#465220); #149501=VERTEX_POINT('',#465222); #149502=VERTEX_POINT('',#465226); #149503=VERTEX_POINT('',#465228); #149504=VERTEX_POINT('',#465232); #149505=VERTEX_POINT('',#465234); #149506=VERTEX_POINT('',#465238); #149507=VERTEX_POINT('',#465240); #149508=VERTEX_POINT('',#465244); #149509=VERTEX_POINT('',#465246); #149510=VERTEX_POINT('',#465250); #149511=VERTEX_POINT('',#465252); #149512=VERTEX_POINT('',#465256); #149513=VERTEX_POINT('',#465258); #149514=VERTEX_POINT('',#465262); #149515=VERTEX_POINT('',#465264); #149516=VERTEX_POINT('',#465268); #149517=VERTEX_POINT('',#465270); #149518=VERTEX_POINT('',#465274); #149519=VERTEX_POINT('',#465276); #149520=VERTEX_POINT('',#465280); #149521=VERTEX_POINT('',#465282); #149522=VERTEX_POINT('',#465286); #149523=VERTEX_POINT('',#465288); #149524=VERTEX_POINT('',#465292); #149525=VERTEX_POINT('',#465294); #149526=VERTEX_POINT('',#465298); #149527=VERTEX_POINT('',#465300); #149528=VERTEX_POINT('',#465304); #149529=VERTEX_POINT('',#465306); #149530=VERTEX_POINT('',#465310); #149531=VERTEX_POINT('',#465312); #149532=VERTEX_POINT('',#465316); #149533=VERTEX_POINT('',#465318); #149534=VERTEX_POINT('',#465322); #149535=VERTEX_POINT('',#465324); #149536=VERTEX_POINT('',#465328); #149537=VERTEX_POINT('',#465330); #149538=VERTEX_POINT('',#465334); #149539=VERTEX_POINT('',#465336); #149540=VERTEX_POINT('',#465340); #149541=VERTEX_POINT('',#465342); #149542=VERTEX_POINT('',#465346); #149543=VERTEX_POINT('',#465348); #149544=VERTEX_POINT('',#465357); #149545=VERTEX_POINT('',#465359); #149546=VERTEX_POINT('',#465363); #149547=VERTEX_POINT('',#465365); #149548=VERTEX_POINT('',#465369); #149549=VERTEX_POINT('',#465371); #149550=VERTEX_POINT('',#465375); #149551=VERTEX_POINT('',#465377); #149552=VERTEX_POINT('',#465381); #149553=VERTEX_POINT('',#465383); #149554=VERTEX_POINT('',#465387); #149555=VERTEX_POINT('',#465389); #149556=VERTEX_POINT('',#465393); #149557=VERTEX_POINT('',#465395); #149558=VERTEX_POINT('',#465399); #149559=VERTEX_POINT('',#465401); #149560=VERTEX_POINT('',#465405); #149561=VERTEX_POINT('',#465407); #149562=VERTEX_POINT('',#465411); #149563=VERTEX_POINT('',#465412); #149564=VERTEX_POINT('',#465414); #149565=VERTEX_POINT('',#465416); #149566=VERTEX_POINT('',#465420); #149567=VERTEX_POINT('',#465422); #149568=VERTEX_POINT('',#465426); #149569=VERTEX_POINT('',#465428); #149570=VERTEX_POINT('',#465435); #149571=VERTEX_POINT('',#465436); #149572=VERTEX_POINT('',#465438); #149573=VERTEX_POINT('',#465440); #149574=VERTEX_POINT('',#465444); #149575=VERTEX_POINT('',#465446); #149576=VERTEX_POINT('',#465450); #149577=VERTEX_POINT('',#465452); #149578=VERTEX_POINT('',#465459); #149579=VERTEX_POINT('',#465461); #149580=VERTEX_POINT('',#465465); #149581=VERTEX_POINT('',#465467); #149582=VERTEX_POINT('',#465471); #149583=VERTEX_POINT('',#465473); #149584=VERTEX_POINT('',#465477); #149585=VERTEX_POINT('',#465479); #149586=VERTEX_POINT('',#465483); #149587=VERTEX_POINT('',#465484); #149588=VERTEX_POINT('',#465486); #149589=VERTEX_POINT('',#465488); #149590=VERTEX_POINT('',#465492); #149591=VERTEX_POINT('',#465494); #149592=VERTEX_POINT('',#465498); #149593=VERTEX_POINT('',#465500); #149594=VERTEX_POINT('',#465507); #149595=VERTEX_POINT('',#465508); #149596=VERTEX_POINT('',#465510); #149597=VERTEX_POINT('',#465512); #149598=VERTEX_POINT('',#465516); #149599=VERTEX_POINT('',#465518); #149600=VERTEX_POINT('',#465522); #149601=VERTEX_POINT('',#465524); #149602=VERTEX_POINT('',#465531); #149603=VERTEX_POINT('',#465533); #149604=VERTEX_POINT('',#465537); #149605=VERTEX_POINT('',#465539); #149606=VERTEX_POINT('',#465543); #149607=VERTEX_POINT('',#465545); #149608=VERTEX_POINT('',#465549); #149609=VERTEX_POINT('',#465551); #149610=VERTEX_POINT('',#465555); #149611=VERTEX_POINT('',#465557); #149612=VERTEX_POINT('',#465561); #149613=VERTEX_POINT('',#465563); #149614=VERTEX_POINT('',#465567); #149615=VERTEX_POINT('',#465569); #149616=VERTEX_POINT('',#465573); #149617=VERTEX_POINT('',#465575); #149618=VERTEX_POINT('',#465579); #149619=VERTEX_POINT('',#465581); #149620=VERTEX_POINT('',#465585); #149621=VERTEX_POINT('',#465587); #149622=VERTEX_POINT('',#465591); #149623=VERTEX_POINT('',#465593); #149624=VERTEX_POINT('',#465597); #149625=VERTEX_POINT('',#465599); #149626=VERTEX_POINT('',#465603); #149627=VERTEX_POINT('',#465605); #149628=VERTEX_POINT('',#465609); #149629=VERTEX_POINT('',#465611); #149630=VERTEX_POINT('',#465615); #149631=VERTEX_POINT('',#465617); #149632=VERTEX_POINT('',#465621); #149633=VERTEX_POINT('',#465623); #149634=VERTEX_POINT('',#465627); #149635=VERTEX_POINT('',#465629); #149636=VERTEX_POINT('',#465633); #149637=VERTEX_POINT('',#465635); #149638=VERTEX_POINT('',#465639); #149639=VERTEX_POINT('',#465641); #149640=VERTEX_POINT('',#465645); #149641=VERTEX_POINT('',#465647); #149642=VERTEX_POINT('',#465651); #149643=VERTEX_POINT('',#465653); #149644=VERTEX_POINT('',#465657); #149645=VERTEX_POINT('',#465659); #149646=VERTEX_POINT('',#465663); #149647=VERTEX_POINT('',#465665); #149648=VERTEX_POINT('',#465669); #149649=VERTEX_POINT('',#465671); #149650=VERTEX_POINT('',#465675); #149651=VERTEX_POINT('',#465677); #149652=VERTEX_POINT('',#465681); #149653=VERTEX_POINT('',#465683); #149654=VERTEX_POINT('',#465687); #149655=VERTEX_POINT('',#465689); #149656=VERTEX_POINT('',#465693); #149657=VERTEX_POINT('',#465695); #149658=VERTEX_POINT('',#465699); #149659=VERTEX_POINT('',#465701); #149660=VERTEX_POINT('',#465705); #149661=VERTEX_POINT('',#465707); #149662=VERTEX_POINT('',#465711); #149663=VERTEX_POINT('',#465713); #149664=VERTEX_POINT('',#465717); #149665=VERTEX_POINT('',#465719); #149666=VERTEX_POINT('',#465723); #149667=VERTEX_POINT('',#465725); #149668=VERTEX_POINT('',#465729); #149669=VERTEX_POINT('',#465731); #149670=VERTEX_POINT('',#465735); #149671=VERTEX_POINT('',#465737); #149672=VERTEX_POINT('',#465741); #149673=VERTEX_POINT('',#465743); #149674=VERTEX_POINT('',#465747); #149675=VERTEX_POINT('',#465749); #149676=VERTEX_POINT('',#465753); #149677=VERTEX_POINT('',#465755); #149678=VERTEX_POINT('',#465759); #149679=VERTEX_POINT('',#465761); #149680=VERTEX_POINT('',#465765); #149681=VERTEX_POINT('',#465767); #149682=VERTEX_POINT('',#465771); #149683=VERTEX_POINT('',#465773); #149684=VERTEX_POINT('',#465777); #149685=VERTEX_POINT('',#465779); #149686=VERTEX_POINT('',#465783); #149687=VERTEX_POINT('',#465785); #149688=VERTEX_POINT('',#465789); #149689=VERTEX_POINT('',#465791); #149690=VERTEX_POINT('',#465795); #149691=VERTEX_POINT('',#465797); #149692=VERTEX_POINT('',#465801); #149693=VERTEX_POINT('',#465802); #149694=VERTEX_POINT('',#465804); #149695=VERTEX_POINT('',#465806); #149696=VERTEX_POINT('',#465810); #149697=VERTEX_POINT('',#465812); #149698=VERTEX_POINT('',#465816); #149699=VERTEX_POINT('',#465818); #149700=VERTEX_POINT('',#465822); #149701=VERTEX_POINT('',#465824); #149702=VERTEX_POINT('',#465828); #149703=VERTEX_POINT('',#465830); #149704=VERTEX_POINT('',#465834); #149705=VERTEX_POINT('',#465836); #149706=VERTEX_POINT('',#465840); #149707=VERTEX_POINT('',#465842); #149708=VERTEX_POINT('',#465849); #149709=VERTEX_POINT('',#465850); #149710=VERTEX_POINT('',#465852); #149711=VERTEX_POINT('',#465854); #149712=VERTEX_POINT('',#465858); #149713=VERTEX_POINT('',#465860); #149714=VERTEX_POINT('',#465864); #149715=VERTEX_POINT('',#465866); #149716=VERTEX_POINT('',#465870); #149717=VERTEX_POINT('',#465872); #149718=VERTEX_POINT('',#465876); #149719=VERTEX_POINT('',#465878); #149720=VERTEX_POINT('',#465882); #149721=VERTEX_POINT('',#465884); #149722=VERTEX_POINT('',#465888); #149723=VERTEX_POINT('',#465890); #149724=VERTEX_POINT('',#465894); #149725=VERTEX_POINT('',#465896); #149726=VERTEX_POINT('',#465900); #149727=VERTEX_POINT('',#465902); #149728=VERTEX_POINT('',#465906); #149729=VERTEX_POINT('',#465908); #149730=VERTEX_POINT('',#465912); #149731=VERTEX_POINT('',#465914); #149732=VERTEX_POINT('',#465918); #149733=VERTEX_POINT('',#465920); #149734=VERTEX_POINT('',#465924); #149735=VERTEX_POINT('',#465926); #149736=VERTEX_POINT('',#465930); #149737=VERTEX_POINT('',#465932); #149738=VERTEX_POINT('',#465936); #149739=VERTEX_POINT('',#465938); #149740=VERTEX_POINT('',#465942); #149741=VERTEX_POINT('',#465944); #149742=VERTEX_POINT('',#465948); #149743=VERTEX_POINT('',#465950); #149744=VERTEX_POINT('',#465954); #149745=VERTEX_POINT('',#465956); #149746=VERTEX_POINT('',#465960); #149747=VERTEX_POINT('',#465962); #149748=VERTEX_POINT('',#465966); #149749=VERTEX_POINT('',#465968); #149750=VERTEX_POINT('',#465972); #149751=VERTEX_POINT('',#465974); #149752=VERTEX_POINT('',#465978); #149753=VERTEX_POINT('',#465980); #149754=VERTEX_POINT('',#465984); #149755=VERTEX_POINT('',#465986); #149756=VERTEX_POINT('',#465990); #149757=VERTEX_POINT('',#465992); #149758=VERTEX_POINT('',#465996); #149759=VERTEX_POINT('',#465998); #149760=VERTEX_POINT('',#466002); #149761=VERTEX_POINT('',#466004); #149762=VERTEX_POINT('',#466008); #149763=VERTEX_POINT('',#466010); #149764=VERTEX_POINT('',#466014); #149765=VERTEX_POINT('',#466016); #149766=VERTEX_POINT('',#466020); #149767=VERTEX_POINT('',#466022); #149768=VERTEX_POINT('',#466026); #149769=VERTEX_POINT('',#466028); #149770=VERTEX_POINT('',#466032); #149771=VERTEX_POINT('',#466034); #149772=VERTEX_POINT('',#466038); #149773=VERTEX_POINT('',#466040); #149774=VERTEX_POINT('',#466044); #149775=VERTEX_POINT('',#466046); #149776=VERTEX_POINT('',#466050); #149777=VERTEX_POINT('',#466052); #149778=VERTEX_POINT('',#466056); #149779=VERTEX_POINT('',#466058); #149780=VERTEX_POINT('',#466065); #149781=VERTEX_POINT('',#466066); #149782=VERTEX_POINT('',#466068); #149783=VERTEX_POINT('',#466070); #149784=VERTEX_POINT('',#466074); #149785=VERTEX_POINT('',#466076); #149786=VERTEX_POINT('',#466080); #149787=VERTEX_POINT('',#466082); #149788=VERTEX_POINT('',#466086); #149789=VERTEX_POINT('',#466088); #149790=VERTEX_POINT('',#466092); #149791=VERTEX_POINT('',#466094); #149792=VERTEX_POINT('',#466098); #149793=VERTEX_POINT('',#466100); #149794=VERTEX_POINT('',#466104); #149795=VERTEX_POINT('',#466106); #149796=VERTEX_POINT('',#466110); #149797=VERTEX_POINT('',#466112); #149798=VERTEX_POINT('',#466116); #149799=VERTEX_POINT('',#466118); #149800=VERTEX_POINT('',#466122); #149801=VERTEX_POINT('',#466124); #149802=VERTEX_POINT('',#466128); #149803=VERTEX_POINT('',#466130); #149804=VERTEX_POINT('',#466134); #149805=VERTEX_POINT('',#466136); #149806=VERTEX_POINT('',#466140); #149807=VERTEX_POINT('',#466142); #149808=VERTEX_POINT('',#466146); #149809=VERTEX_POINT('',#466148); #149810=VERTEX_POINT('',#466152); #149811=VERTEX_POINT('',#466154); #149812=VERTEX_POINT('',#466158); #149813=VERTEX_POINT('',#466160); #149814=VERTEX_POINT('',#466164); #149815=VERTEX_POINT('',#466166); #149816=VERTEX_POINT('',#466170); #149817=VERTEX_POINT('',#466172); #149818=VERTEX_POINT('',#466176); #149819=VERTEX_POINT('',#466178); #149820=VERTEX_POINT('',#466182); #149821=VERTEX_POINT('',#466184); #149822=VERTEX_POINT('',#466188); #149823=VERTEX_POINT('',#466190); #149824=VERTEX_POINT('',#466194); #149825=VERTEX_POINT('',#466196); #149826=VERTEX_POINT('',#466200); #149827=VERTEX_POINT('',#466202); #149828=VERTEX_POINT('',#466206); #149829=VERTEX_POINT('',#466208); #149830=VERTEX_POINT('',#466212); #149831=VERTEX_POINT('',#466214); #149832=VERTEX_POINT('',#466218); #149833=VERTEX_POINT('',#466220); #149834=VERTEX_POINT('',#466224); #149835=VERTEX_POINT('',#466226); #149836=VERTEX_POINT('',#466230); #149837=VERTEX_POINT('',#466232); #149838=VERTEX_POINT('',#466236); #149839=VERTEX_POINT('',#466238); #149840=VERTEX_POINT('',#466242); #149841=VERTEX_POINT('',#466244); #149842=VERTEX_POINT('',#466248); #149843=VERTEX_POINT('',#466250); #149844=VERTEX_POINT('',#466254); #149845=VERTEX_POINT('',#466256); #149846=VERTEX_POINT('',#466260); #149847=VERTEX_POINT('',#466262); #149848=VERTEX_POINT('',#466266); #149849=VERTEX_POINT('',#466268); #149850=VERTEX_POINT('',#466272); #149851=VERTEX_POINT('',#466274); #149852=VERTEX_POINT('',#466281); #149853=VERTEX_POINT('',#466282); #149854=VERTEX_POINT('',#466284); #149855=VERTEX_POINT('',#466286); #149856=VERTEX_POINT('',#466290); #149857=VERTEX_POINT('',#466292); #149858=VERTEX_POINT('',#466296); #149859=VERTEX_POINT('',#466298); #149860=VERTEX_POINT('',#466302); #149861=VERTEX_POINT('',#466304); #149862=VERTEX_POINT('',#466308); #149863=VERTEX_POINT('',#466310); #149864=VERTEX_POINT('',#466314); #149865=VERTEX_POINT('',#466316); #149866=VERTEX_POINT('',#466320); #149867=VERTEX_POINT('',#466322); #149868=VERTEX_POINT('',#466326); #149869=VERTEX_POINT('',#466328); #149870=VERTEX_POINT('',#466332); #149871=VERTEX_POINT('',#466334); #149872=VERTEX_POINT('',#466338); #149873=VERTEX_POINT('',#466340); #149874=VERTEX_POINT('',#466344); #149875=VERTEX_POINT('',#466346); #149876=VERTEX_POINT('',#466350); #149877=VERTEX_POINT('',#466352); #149878=VERTEX_POINT('',#466356); #149879=VERTEX_POINT('',#466358); #149880=VERTEX_POINT('',#466362); #149881=VERTEX_POINT('',#466364); #149882=VERTEX_POINT('',#466368); #149883=VERTEX_POINT('',#466370); #149884=VERTEX_POINT('',#466374); #149885=VERTEX_POINT('',#466376); #149886=VERTEX_POINT('',#466380); #149887=VERTEX_POINT('',#466382); #149888=VERTEX_POINT('',#466386); #149889=VERTEX_POINT('',#466388); #149890=VERTEX_POINT('',#466392); #149891=VERTEX_POINT('',#466394); #149892=VERTEX_POINT('',#466398); #149893=VERTEX_POINT('',#466400); #149894=VERTEX_POINT('',#466404); #149895=VERTEX_POINT('',#466406); #149896=VERTEX_POINT('',#466410); #149897=VERTEX_POINT('',#466412); #149898=VERTEX_POINT('',#466416); #149899=VERTEX_POINT('',#466418); #149900=VERTEX_POINT('',#466422); #149901=VERTEX_POINT('',#466424); #149902=VERTEX_POINT('',#466428); #149903=VERTEX_POINT('',#466430); #149904=VERTEX_POINT('',#466434); #149905=VERTEX_POINT('',#466436); #149906=VERTEX_POINT('',#466440); #149907=VERTEX_POINT('',#466442); #149908=VERTEX_POINT('',#466446); #149909=VERTEX_POINT('',#466448); #149910=VERTEX_POINT('',#466452); #149911=VERTEX_POINT('',#466454); #149912=VERTEX_POINT('',#466458); #149913=VERTEX_POINT('',#466460); #149914=VERTEX_POINT('',#466464); #149915=VERTEX_POINT('',#466466); #149916=VERTEX_POINT('',#466470); #149917=VERTEX_POINT('',#466472); #149918=VERTEX_POINT('',#466476); #149919=VERTEX_POINT('',#466478); #149920=VERTEX_POINT('',#466482); #149921=VERTEX_POINT('',#466484); #149922=VERTEX_POINT('',#466488); #149923=VERTEX_POINT('',#466490); #149924=VERTEX_POINT('',#466494); #149925=VERTEX_POINT('',#466496); #149926=VERTEX_POINT('',#466500); #149927=VERTEX_POINT('',#466502); #149928=VERTEX_POINT('',#466506); #149929=VERTEX_POINT('',#466508); #149930=VERTEX_POINT('',#466512); #149931=VERTEX_POINT('',#466514); #149932=VERTEX_POINT('',#466518); #149933=VERTEX_POINT('',#466520); #149934=VERTEX_POINT('',#466524); #149935=VERTEX_POINT('',#466526); #149936=VERTEX_POINT('',#466530); #149937=VERTEX_POINT('',#466532); #149938=VERTEX_POINT('',#466536); #149939=VERTEX_POINT('',#466538); #149940=VERTEX_POINT('',#466542); #149941=VERTEX_POINT('',#466544); #149942=VERTEX_POINT('',#466548); #149943=VERTEX_POINT('',#466550); #149944=VERTEX_POINT('',#466554); #149945=VERTEX_POINT('',#466556); #149946=VERTEX_POINT('',#466560); #149947=VERTEX_POINT('',#466562); #149948=VERTEX_POINT('',#466566); #149949=VERTEX_POINT('',#466568); #149950=VERTEX_POINT('',#466572); #149951=VERTEX_POINT('',#466574); #149952=VERTEX_POINT('',#466578); #149953=VERTEX_POINT('',#466580); #149954=VERTEX_POINT('',#466584); #149955=VERTEX_POINT('',#466586); #149956=VERTEX_POINT('',#466590); #149957=VERTEX_POINT('',#466592); #149958=VERTEX_POINT('',#466596); #149959=VERTEX_POINT('',#466598); #149960=VERTEX_POINT('',#466602); #149961=VERTEX_POINT('',#466604); #149962=VERTEX_POINT('',#466608); #149963=VERTEX_POINT('',#466610); #149964=VERTEX_POINT('',#466614); #149965=VERTEX_POINT('',#466616); #149966=VERTEX_POINT('',#466620); #149967=VERTEX_POINT('',#466622); #149968=VERTEX_POINT('',#466626); #149969=VERTEX_POINT('',#466628); #149970=VERTEX_POINT('',#466632); #149971=VERTEX_POINT('',#466634); #149972=VERTEX_POINT('',#466638); #149973=VERTEX_POINT('',#466640); #149974=VERTEX_POINT('',#466644); #149975=VERTEX_POINT('',#466646); #149976=VERTEX_POINT('',#466650); #149977=VERTEX_POINT('',#466652); #149978=VERTEX_POINT('',#466656); #149979=VERTEX_POINT('',#466658); #149980=VERTEX_POINT('',#466662); #149981=VERTEX_POINT('',#466664); #149982=VERTEX_POINT('',#466668); #149983=VERTEX_POINT('',#466670); #149984=VERTEX_POINT('',#466674); #149985=VERTEX_POINT('',#466676); #149986=VERTEX_POINT('',#466680); #149987=VERTEX_POINT('',#466682); #149988=VERTEX_POINT('',#466686); #149989=VERTEX_POINT('',#466688); #149990=VERTEX_POINT('',#466692); #149991=VERTEX_POINT('',#466694); #149992=VERTEX_POINT('',#466698); #149993=VERTEX_POINT('',#466700); #149994=VERTEX_POINT('',#466704); #149995=VERTEX_POINT('',#466706); #149996=VERTEX_POINT('',#466710); #149997=VERTEX_POINT('',#466712); #149998=VERTEX_POINT('',#466716); #149999=VERTEX_POINT('',#466718); #150000=VERTEX_POINT('',#466722); #150001=VERTEX_POINT('',#466724); #150002=VERTEX_POINT('',#466728); #150003=VERTEX_POINT('',#466730); #150004=VERTEX_POINT('',#466734); #150005=VERTEX_POINT('',#466736); #150006=VERTEX_POINT('',#466740); #150007=VERTEX_POINT('',#466742); #150008=VERTEX_POINT('',#466746); #150009=VERTEX_POINT('',#466748); #150010=VERTEX_POINT('',#466752); #150011=VERTEX_POINT('',#466754); #150012=VERTEX_POINT('',#466758); #150013=VERTEX_POINT('',#466760); #150014=VERTEX_POINT('',#466764); #150015=VERTEX_POINT('',#466766); #150016=VERTEX_POINT('',#466770); #150017=VERTEX_POINT('',#466772); #150018=VERTEX_POINT('',#466776); #150019=VERTEX_POINT('',#466778); #150020=VERTEX_POINT('',#466782); #150021=VERTEX_POINT('',#466784); #150022=VERTEX_POINT('',#466788); #150023=VERTEX_POINT('',#466790); #150024=VERTEX_POINT('',#466794); #150025=VERTEX_POINT('',#466796); #150026=VERTEX_POINT('',#466800); #150027=VERTEX_POINT('',#466802); #150028=VERTEX_POINT('',#466806); #150029=VERTEX_POINT('',#466808); #150030=VERTEX_POINT('',#466812); #150031=VERTEX_POINT('',#466814); #150032=VERTEX_POINT('',#466818); #150033=VERTEX_POINT('',#466820); #150034=VERTEX_POINT('',#466824); #150035=VERTEX_POINT('',#466826); #150036=VERTEX_POINT('',#466830); #150037=VERTEX_POINT('',#466832); #150038=VERTEX_POINT('',#466836); #150039=VERTEX_POINT('',#466838); #150040=VERTEX_POINT('',#466842); #150041=VERTEX_POINT('',#466844); #150042=VERTEX_POINT('',#466848); #150043=VERTEX_POINT('',#466850); #150044=VERTEX_POINT('',#466854); #150045=VERTEX_POINT('',#466856); #150046=VERTEX_POINT('',#466860); #150047=VERTEX_POINT('',#466862); #150048=VERTEX_POINT('',#466866); #150049=VERTEX_POINT('',#466868); #150050=VERTEX_POINT('',#466872); #150051=VERTEX_POINT('',#466874); #150052=VERTEX_POINT('',#466878); #150053=VERTEX_POINT('',#466880); #150054=VERTEX_POINT('',#466884); #150055=VERTEX_POINT('',#466886); #150056=VERTEX_POINT('',#466890); #150057=VERTEX_POINT('',#466892); #150058=VERTEX_POINT('',#466896); #150059=VERTEX_POINT('',#466898); #150060=VERTEX_POINT('',#466902); #150061=VERTEX_POINT('',#466904); #150062=VERTEX_POINT('',#466908); #150063=VERTEX_POINT('',#466910); #150064=VERTEX_POINT('',#466914); #150065=VERTEX_POINT('',#466916); #150066=VERTEX_POINT('',#466920); #150067=VERTEX_POINT('',#466922); #150068=VERTEX_POINT('',#466926); #150069=VERTEX_POINT('',#466928); #150070=VERTEX_POINT('',#466932); #150071=VERTEX_POINT('',#466934); #150072=VERTEX_POINT('',#466938); #150073=VERTEX_POINT('',#466940); #150074=VERTEX_POINT('',#466944); #150075=VERTEX_POINT('',#466946); #150076=VERTEX_POINT('',#466950); #150077=VERTEX_POINT('',#466952); #150078=VERTEX_POINT('',#466956); #150079=VERTEX_POINT('',#466958); #150080=VERTEX_POINT('',#466962); #150081=VERTEX_POINT('',#466964); #150082=VERTEX_POINT('',#466968); #150083=VERTEX_POINT('',#466970); #150084=VERTEX_POINT('',#466974); #150085=VERTEX_POINT('',#466976); #150086=VERTEX_POINT('',#466980); #150087=VERTEX_POINT('',#466982); #150088=VERTEX_POINT('',#466986); #150089=VERTEX_POINT('',#466988); #150090=VERTEX_POINT('',#466992); #150091=VERTEX_POINT('',#466994); #150092=VERTEX_POINT('',#466998); #150093=VERTEX_POINT('',#467000); #150094=VERTEX_POINT('',#467004); #150095=VERTEX_POINT('',#467006); #150096=VERTEX_POINT('',#467010); #150097=VERTEX_POINT('',#467012); #150098=VERTEX_POINT('',#467016); #150099=VERTEX_POINT('',#467018); #150100=VERTEX_POINT('',#467022); #150101=VERTEX_POINT('',#467024); #150102=VERTEX_POINT('',#467028); #150103=VERTEX_POINT('',#467030); #150104=VERTEX_POINT('',#467034); #150105=VERTEX_POINT('',#467036); #150106=VERTEX_POINT('',#467040); #150107=VERTEX_POINT('',#467042); #150108=VERTEX_POINT('',#467046); #150109=VERTEX_POINT('',#467048); #150110=VERTEX_POINT('',#467052); #150111=VERTEX_POINT('',#467054); #150112=VERTEX_POINT('',#467058); #150113=VERTEX_POINT('',#467060); #150114=VERTEX_POINT('',#467064); #150115=VERTEX_POINT('',#467066); #150116=VERTEX_POINT('',#467070); #150117=VERTEX_POINT('',#467072); #150118=VERTEX_POINT('',#467076); #150119=VERTEX_POINT('',#467078); #150120=VERTEX_POINT('',#467082); #150121=VERTEX_POINT('',#467084); #150122=VERTEX_POINT('',#467088); #150123=VERTEX_POINT('',#467090); #150124=VERTEX_POINT('',#467094); #150125=VERTEX_POINT('',#467096); #150126=VERTEX_POINT('',#467100); #150127=VERTEX_POINT('',#467102); #150128=VERTEX_POINT('',#467106); #150129=VERTEX_POINT('',#467108); #150130=VERTEX_POINT('',#467112); #150131=VERTEX_POINT('',#467114); #150132=VERTEX_POINT('',#467118); #150133=VERTEX_POINT('',#467120); #150134=VERTEX_POINT('',#467124); #150135=VERTEX_POINT('',#467126); #150136=VERTEX_POINT('',#467130); #150137=VERTEX_POINT('',#467132); #150138=VERTEX_POINT('',#467136); #150139=VERTEX_POINT('',#467138); #150140=VERTEX_POINT('',#467142); #150141=VERTEX_POINT('',#467144); #150142=VERTEX_POINT('',#467148); #150143=VERTEX_POINT('',#467150); #150144=VERTEX_POINT('',#467154); #150145=VERTEX_POINT('',#467156); #150146=VERTEX_POINT('',#467160); #150147=VERTEX_POINT('',#467162); #150148=VERTEX_POINT('',#467166); #150149=VERTEX_POINT('',#467168); #150150=VERTEX_POINT('',#467172); #150151=VERTEX_POINT('',#467174); #150152=VERTEX_POINT('',#467178); #150153=VERTEX_POINT('',#467180); #150154=VERTEX_POINT('',#467184); #150155=VERTEX_POINT('',#467186); #150156=VERTEX_POINT('',#467190); #150157=VERTEX_POINT('',#467192); #150158=VERTEX_POINT('',#467196); #150159=VERTEX_POINT('',#467198); #150160=VERTEX_POINT('',#467202); #150161=VERTEX_POINT('',#467204); #150162=VERTEX_POINT('',#467208); #150163=VERTEX_POINT('',#467210); #150164=VERTEX_POINT('',#467214); #150165=VERTEX_POINT('',#467216); #150166=VERTEX_POINT('',#467220); #150167=VERTEX_POINT('',#467222); #150168=VERTEX_POINT('',#467226); #150169=VERTEX_POINT('',#467228); #150170=VERTEX_POINT('',#467232); #150171=VERTEX_POINT('',#467234); #150172=VERTEX_POINT('',#467238); #150173=VERTEX_POINT('',#467240); #150174=VERTEX_POINT('',#467244); #150175=VERTEX_POINT('',#467246); #150176=VERTEX_POINT('',#467250); #150177=VERTEX_POINT('',#467252); #150178=VERTEX_POINT('',#467256); #150179=VERTEX_POINT('',#467258); #150180=VERTEX_POINT('',#467262); #150181=VERTEX_POINT('',#467264); #150182=VERTEX_POINT('',#467268); #150183=VERTEX_POINT('',#467270); #150184=VERTEX_POINT('',#467274); #150185=VERTEX_POINT('',#467276); #150186=VERTEX_POINT('',#467280); #150187=VERTEX_POINT('',#467282); #150188=VERTEX_POINT('',#467286); #150189=VERTEX_POINT('',#467288); #150190=VERTEX_POINT('',#467292); #150191=VERTEX_POINT('',#467294); #150192=VERTEX_POINT('',#467298); #150193=VERTEX_POINT('',#467300); #150194=VERTEX_POINT('',#467304); #150195=VERTEX_POINT('',#467306); #150196=VERTEX_POINT('',#467310); #150197=VERTEX_POINT('',#467312); #150198=VERTEX_POINT('',#467316); #150199=VERTEX_POINT('',#467318); #150200=VERTEX_POINT('',#467322); #150201=VERTEX_POINT('',#467324); #150202=VERTEX_POINT('',#467328); #150203=VERTEX_POINT('',#467330); #150204=VERTEX_POINT('',#467334); #150205=VERTEX_POINT('',#467336); #150206=VERTEX_POINT('',#467340); #150207=VERTEX_POINT('',#467342); #150208=VERTEX_POINT('',#467346); #150209=VERTEX_POINT('',#467348); #150210=VERTEX_POINT('',#467352); #150211=VERTEX_POINT('',#467354); #150212=VERTEX_POINT('',#467358); #150213=VERTEX_POINT('',#467360); #150214=VERTEX_POINT('',#467364); #150215=VERTEX_POINT('',#467366); #150216=VERTEX_POINT('',#467370); #150217=VERTEX_POINT('',#467372); #150218=VERTEX_POINT('',#467376); #150219=VERTEX_POINT('',#467378); #150220=VERTEX_POINT('',#467382); #150221=VERTEX_POINT('',#467384); #150222=VERTEX_POINT('',#467388); #150223=VERTEX_POINT('',#467390); #150224=VERTEX_POINT('',#467394); #150225=VERTEX_POINT('',#467396); #150226=VERTEX_POINT('',#467400); #150227=VERTEX_POINT('',#467402); #150228=VERTEX_POINT('',#467406); #150229=VERTEX_POINT('',#467408); #150230=VERTEX_POINT('',#467412); #150231=VERTEX_POINT('',#467414); #150232=VERTEX_POINT('',#467418); #150233=VERTEX_POINT('',#467420); #150234=VERTEX_POINT('',#467424); #150235=VERTEX_POINT('',#467426); #150236=VERTEX_POINT('',#467430); #150237=VERTEX_POINT('',#467432); #150238=VERTEX_POINT('',#467436); #150239=VERTEX_POINT('',#467438); #150240=VERTEX_POINT('',#467442); #150241=VERTEX_POINT('',#467444); #150242=VERTEX_POINT('',#467448); #150243=VERTEX_POINT('',#467450); #150244=VERTEX_POINT('',#467454); #150245=VERTEX_POINT('',#467456); #150246=VERTEX_POINT('',#467460); #150247=VERTEX_POINT('',#467462); #150248=VERTEX_POINT('',#467466); #150249=VERTEX_POINT('',#467468); #150250=VERTEX_POINT('',#467472); #150251=VERTEX_POINT('',#467474); #150252=VERTEX_POINT('',#467478); #150253=VERTEX_POINT('',#467480); #150254=VERTEX_POINT('',#467484); #150255=VERTEX_POINT('',#467486); #150256=VERTEX_POINT('',#467490); #150257=VERTEX_POINT('',#467492); #150258=VERTEX_POINT('',#467496); #150259=VERTEX_POINT('',#467498); #150260=VERTEX_POINT('',#467502); #150261=VERTEX_POINT('',#467504); #150262=VERTEX_POINT('',#467508); #150263=VERTEX_POINT('',#467510); #150264=VERTEX_POINT('',#467514); #150265=VERTEX_POINT('',#467516); #150266=VERTEX_POINT('',#467520); #150267=VERTEX_POINT('',#467522); #150268=VERTEX_POINT('',#467526); #150269=VERTEX_POINT('',#467528); #150270=VERTEX_POINT('',#467532); #150271=VERTEX_POINT('',#467534); #150272=VERTEX_POINT('',#467538); #150273=VERTEX_POINT('',#467540); #150274=VERTEX_POINT('',#467544); #150275=VERTEX_POINT('',#467546); #150276=VERTEX_POINT('',#467550); #150277=VERTEX_POINT('',#467552); #150278=VERTEX_POINT('',#467556); #150279=VERTEX_POINT('',#467558); #150280=VERTEX_POINT('',#467562); #150281=VERTEX_POINT('',#467564); #150282=VERTEX_POINT('',#467568); #150283=VERTEX_POINT('',#467570); #150284=VERTEX_POINT('',#467574); #150285=VERTEX_POINT('',#467576); #150286=VERTEX_POINT('',#467580); #150287=VERTEX_POINT('',#467582); #150288=VERTEX_POINT('',#467586); #150289=VERTEX_POINT('',#467588); #150290=VERTEX_POINT('',#467592); #150291=VERTEX_POINT('',#467594); #150292=VERTEX_POINT('',#467598); #150293=VERTEX_POINT('',#467600); #150294=VERTEX_POINT('',#467604); #150295=VERTEX_POINT('',#467606); #150296=VERTEX_POINT('',#467610); #150297=VERTEX_POINT('',#467612); #150298=VERTEX_POINT('',#467616); #150299=VERTEX_POINT('',#467618); #150300=VERTEX_POINT('',#467622); #150301=VERTEX_POINT('',#467624); #150302=VERTEX_POINT('',#467628); #150303=VERTEX_POINT('',#467630); #150304=VERTEX_POINT('',#467634); #150305=VERTEX_POINT('',#467636); #150306=VERTEX_POINT('',#467640); #150307=VERTEX_POINT('',#467642); #150308=VERTEX_POINT('',#467646); #150309=VERTEX_POINT('',#467648); #150310=VERTEX_POINT('',#467652); #150311=VERTEX_POINT('',#467654); #150312=VERTEX_POINT('',#467658); #150313=VERTEX_POINT('',#467660); #150314=VERTEX_POINT('',#467664); #150315=VERTEX_POINT('',#467666); #150316=VERTEX_POINT('',#467670); #150317=VERTEX_POINT('',#467672); #150318=VERTEX_POINT('',#467676); #150319=VERTEX_POINT('',#467678); #150320=VERTEX_POINT('',#467682); #150321=VERTEX_POINT('',#467684); #150322=VERTEX_POINT('',#467688); #150323=VERTEX_POINT('',#467690); #150324=VERTEX_POINT('',#467694); #150325=VERTEX_POINT('',#467696); #150326=VERTEX_POINT('',#467700); #150327=VERTEX_POINT('',#467702); #150328=VERTEX_POINT('',#467706); #150329=VERTEX_POINT('',#467708); #150330=VERTEX_POINT('',#467712); #150331=VERTEX_POINT('',#467714); #150332=VERTEX_POINT('',#467718); #150333=VERTEX_POINT('',#467720); #150334=VERTEX_POINT('',#467724); #150335=VERTEX_POINT('',#467726); #150336=VERTEX_POINT('',#467730); #150337=VERTEX_POINT('',#467732); #150338=VERTEX_POINT('',#467736); #150339=VERTEX_POINT('',#467738); #150340=VERTEX_POINT('',#467742); #150341=VERTEX_POINT('',#467744); #150342=VERTEX_POINT('',#467748); #150343=VERTEX_POINT('',#467750); #150344=VERTEX_POINT('',#467754); #150345=VERTEX_POINT('',#467756); #150346=VERTEX_POINT('',#467760); #150347=VERTEX_POINT('',#467762); #150348=VERTEX_POINT('',#467766); #150349=VERTEX_POINT('',#467768); #150350=VERTEX_POINT('',#467772); #150351=VERTEX_POINT('',#467774); #150352=VERTEX_POINT('',#467778); #150353=VERTEX_POINT('',#467780); #150354=VERTEX_POINT('',#467784); #150355=VERTEX_POINT('',#467786); #150356=VERTEX_POINT('',#467790); #150357=VERTEX_POINT('',#467792); #150358=VERTEX_POINT('',#467796); #150359=VERTEX_POINT('',#467798); #150360=VERTEX_POINT('',#467802); #150361=VERTEX_POINT('',#467804); #150362=VERTEX_POINT('',#467808); #150363=VERTEX_POINT('',#467810); #150364=VERTEX_POINT('',#467814); #150365=VERTEX_POINT('',#467816); #150366=VERTEX_POINT('',#467820); #150367=VERTEX_POINT('',#467822); #150368=VERTEX_POINT('',#467826); #150369=VERTEX_POINT('',#467828); #150370=VERTEX_POINT('',#467832); #150371=VERTEX_POINT('',#467834); #150372=VERTEX_POINT('',#467838); #150373=VERTEX_POINT('',#467840); #150374=VERTEX_POINT('',#467844); #150375=VERTEX_POINT('',#467846); #150376=VERTEX_POINT('',#467850); #150377=VERTEX_POINT('',#467852); #150378=VERTEX_POINT('',#467856); #150379=VERTEX_POINT('',#467858); #150380=VERTEX_POINT('',#467862); #150381=VERTEX_POINT('',#467864); #150382=VERTEX_POINT('',#467868); #150383=VERTEX_POINT('',#467870); #150384=VERTEX_POINT('',#467874); #150385=VERTEX_POINT('',#467876); #150386=VERTEX_POINT('',#467880); #150387=VERTEX_POINT('',#467882); #150388=VERTEX_POINT('',#467886); #150389=VERTEX_POINT('',#467888); #150390=VERTEX_POINT('',#467892); #150391=VERTEX_POINT('',#467894); #150392=VERTEX_POINT('',#467898); #150393=VERTEX_POINT('',#467900); #150394=VERTEX_POINT('',#467904); #150395=VERTEX_POINT('',#467906); #150396=VERTEX_POINT('',#467910); #150397=VERTEX_POINT('',#467912); #150398=VERTEX_POINT('',#467916); #150399=VERTEX_POINT('',#467918); #150400=VERTEX_POINT('',#467922); #150401=VERTEX_POINT('',#467924); #150402=VERTEX_POINT('',#467928); #150403=VERTEX_POINT('',#467930); #150404=VERTEX_POINT('',#467934); #150405=VERTEX_POINT('',#467936); #150406=VERTEX_POINT('',#467940); #150407=VERTEX_POINT('',#467942); #150408=VERTEX_POINT('',#467946); #150409=VERTEX_POINT('',#467948); #150410=VERTEX_POINT('',#467952); #150411=VERTEX_POINT('',#467954); #150412=VERTEX_POINT('',#467958); #150413=VERTEX_POINT('',#467960); #150414=VERTEX_POINT('',#467964); #150415=VERTEX_POINT('',#467966); #150416=VERTEX_POINT('',#467970); #150417=VERTEX_POINT('',#467972); #150418=VERTEX_POINT('',#467976); #150419=VERTEX_POINT('',#467978); #150420=VERTEX_POINT('',#467982); #150421=VERTEX_POINT('',#467984); #150422=VERTEX_POINT('',#467988); #150423=VERTEX_POINT('',#467990); #150424=VERTEX_POINT('',#467994); #150425=VERTEX_POINT('',#467996); #150426=VERTEX_POINT('',#468000); #150427=VERTEX_POINT('',#468002); #150428=VERTEX_POINT('',#468006); #150429=VERTEX_POINT('',#468008); #150430=VERTEX_POINT('',#468012); #150431=VERTEX_POINT('',#468014); #150432=VERTEX_POINT('',#468018); #150433=VERTEX_POINT('',#468020); #150434=VERTEX_POINT('',#468024); #150435=VERTEX_POINT('',#468026); #150436=VERTEX_POINT('',#468030); #150437=VERTEX_POINT('',#468032); #150438=VERTEX_POINT('',#468036); #150439=VERTEX_POINT('',#468038); #150440=VERTEX_POINT('',#468042); #150441=VERTEX_POINT('',#468044); #150442=VERTEX_POINT('',#468048); #150443=VERTEX_POINT('',#468050); #150444=VERTEX_POINT('',#468054); #150445=VERTEX_POINT('',#468056); #150446=VERTEX_POINT('',#468060); #150447=VERTEX_POINT('',#468062); #150448=VERTEX_POINT('',#468066); #150449=VERTEX_POINT('',#468068); #150450=VERTEX_POINT('',#468072); #150451=VERTEX_POINT('',#468074); #150452=VERTEX_POINT('',#468078); #150453=VERTEX_POINT('',#468080); #150454=VERTEX_POINT('',#468084); #150455=VERTEX_POINT('',#468086); #150456=VERTEX_POINT('',#468090); #150457=VERTEX_POINT('',#468092); #150458=VERTEX_POINT('',#468096); #150459=VERTEX_POINT('',#468098); #150460=VERTEX_POINT('',#468102); #150461=VERTEX_POINT('',#468104); #150462=VERTEX_POINT('',#468108); #150463=VERTEX_POINT('',#468110); #150464=VERTEX_POINT('',#468114); #150465=VERTEX_POINT('',#468116); #150466=VERTEX_POINT('',#468120); #150467=VERTEX_POINT('',#468122); #150468=VERTEX_POINT('',#468126); #150469=VERTEX_POINT('',#468128); #150470=VERTEX_POINT('',#468132); #150471=VERTEX_POINT('',#468134); #150472=VERTEX_POINT('',#468138); #150473=VERTEX_POINT('',#468140); #150474=VERTEX_POINT('',#468144); #150475=VERTEX_POINT('',#468146); #150476=VERTEX_POINT('',#468150); #150477=VERTEX_POINT('',#468152); #150478=VERTEX_POINT('',#468156); #150479=VERTEX_POINT('',#468158); #150480=VERTEX_POINT('',#468162); #150481=VERTEX_POINT('',#468164); #150482=VERTEX_POINT('',#468168); #150483=VERTEX_POINT('',#468170); #150484=VERTEX_POINT('',#468174); #150485=VERTEX_POINT('',#468176); #150486=VERTEX_POINT('',#468180); #150487=VERTEX_POINT('',#468182); #150488=VERTEX_POINT('',#468186); #150489=VERTEX_POINT('',#468188); #150490=VERTEX_POINT('',#468192); #150491=VERTEX_POINT('',#468194); #150492=VERTEX_POINT('',#468198); #150493=VERTEX_POINT('',#468200); #150494=VERTEX_POINT('',#468204); #150495=VERTEX_POINT('',#468206); #150496=VERTEX_POINT('',#468210); #150497=VERTEX_POINT('',#468212); #150498=VERTEX_POINT('',#468216); #150499=VERTEX_POINT('',#468218); #150500=VERTEX_POINT('',#468222); #150501=VERTEX_POINT('',#468224); #150502=VERTEX_POINT('',#468228); #150503=VERTEX_POINT('',#468230); #150504=VERTEX_POINT('',#468234); #150505=VERTEX_POINT('',#468236); #150506=VERTEX_POINT('',#468240); #150507=VERTEX_POINT('',#468242); #150508=VERTEX_POINT('',#468246); #150509=VERTEX_POINT('',#468248); #150510=VERTEX_POINT('',#468252); #150511=VERTEX_POINT('',#468254); #150512=VERTEX_POINT('',#468258); #150513=VERTEX_POINT('',#468260); #150514=VERTEX_POINT('',#468264); #150515=VERTEX_POINT('',#468266); #150516=VERTEX_POINT('',#468270); #150517=VERTEX_POINT('',#468272); #150518=VERTEX_POINT('',#468276); #150519=VERTEX_POINT('',#468278); #150520=VERTEX_POINT('',#468282); #150521=VERTEX_POINT('',#468284); #150522=VERTEX_POINT('',#468288); #150523=VERTEX_POINT('',#468290); #150524=VERTEX_POINT('',#468294); #150525=VERTEX_POINT('',#468296); #150526=VERTEX_POINT('',#468300); #150527=VERTEX_POINT('',#468302); #150528=VERTEX_POINT('',#468306); #150529=VERTEX_POINT('',#468308); #150530=VERTEX_POINT('',#468312); #150531=VERTEX_POINT('',#468314); #150532=VERTEX_POINT('',#468318); #150533=VERTEX_POINT('',#468320); #150534=VERTEX_POINT('',#468324); #150535=VERTEX_POINT('',#468326); #150536=VERTEX_POINT('',#468330); #150537=VERTEX_POINT('',#468332); #150538=VERTEX_POINT('',#468336); #150539=VERTEX_POINT('',#468338); #150540=VERTEX_POINT('',#468342); #150541=VERTEX_POINT('',#468344); #150542=VERTEX_POINT('',#468348); #150543=VERTEX_POINT('',#468350); #150544=VERTEX_POINT('',#468354); #150545=VERTEX_POINT('',#468356); #150546=VERTEX_POINT('',#468360); #150547=VERTEX_POINT('',#468362); #150548=VERTEX_POINT('',#468366); #150549=VERTEX_POINT('',#468368); #150550=VERTEX_POINT('',#468372); #150551=VERTEX_POINT('',#468374); #150552=VERTEX_POINT('',#468378); #150553=VERTEX_POINT('',#468380); #150554=VERTEX_POINT('',#468384); #150555=VERTEX_POINT('',#468386); #150556=VERTEX_POINT('',#468390); #150557=VERTEX_POINT('',#468392); #150558=VERTEX_POINT('',#468396); #150559=VERTEX_POINT('',#468398); #150560=VERTEX_POINT('',#468402); #150561=VERTEX_POINT('',#468404); #150562=VERTEX_POINT('',#468408); #150563=VERTEX_POINT('',#468410); #150564=VERTEX_POINT('',#468414); #150565=VERTEX_POINT('',#468416); #150566=VERTEX_POINT('',#468420); #150567=VERTEX_POINT('',#468422); #150568=VERTEX_POINT('',#468426); #150569=VERTEX_POINT('',#468428); #150570=VERTEX_POINT('',#468432); #150571=VERTEX_POINT('',#468434); #150572=VERTEX_POINT('',#468438); #150573=VERTEX_POINT('',#468440); #150574=VERTEX_POINT('',#468444); #150575=VERTEX_POINT('',#468446); #150576=VERTEX_POINT('',#468450); #150577=VERTEX_POINT('',#468452); #150578=VERTEX_POINT('',#468456); #150579=VERTEX_POINT('',#468458); #150580=VERTEX_POINT('',#468462); #150581=VERTEX_POINT('',#468464); #150582=VERTEX_POINT('',#468468); #150583=VERTEX_POINT('',#468470); #150584=VERTEX_POINT('',#468474); #150585=VERTEX_POINT('',#468476); #150586=VERTEX_POINT('',#468480); #150587=VERTEX_POINT('',#468482); #150588=VERTEX_POINT('',#468486); #150589=VERTEX_POINT('',#468488); #150590=VERTEX_POINT('',#468492); #150591=VERTEX_POINT('',#468494); #150592=VERTEX_POINT('',#468498); #150593=VERTEX_POINT('',#468500); #150594=VERTEX_POINT('',#468504); #150595=VERTEX_POINT('',#468506); #150596=VERTEX_POINT('',#468510); #150597=VERTEX_POINT('',#468512); #150598=VERTEX_POINT('',#468516); #150599=VERTEX_POINT('',#468518); #150600=VERTEX_POINT('',#468522); #150601=VERTEX_POINT('',#468524); #150602=VERTEX_POINT('',#468528); #150603=VERTEX_POINT('',#468530); #150604=VERTEX_POINT('',#468534); #150605=VERTEX_POINT('',#468536); #150606=VERTEX_POINT('',#468540); #150607=VERTEX_POINT('',#468542); #150608=VERTEX_POINT('',#468546); #150609=VERTEX_POINT('',#468548); #150610=VERTEX_POINT('',#468552); #150611=VERTEX_POINT('',#468554); #150612=VERTEX_POINT('',#468558); #150613=VERTEX_POINT('',#468560); #150614=VERTEX_POINT('',#468564); #150615=VERTEX_POINT('',#468566); #150616=VERTEX_POINT('',#468570); #150617=VERTEX_POINT('',#468572); #150618=VERTEX_POINT('',#468576); #150619=VERTEX_POINT('',#468578); #150620=VERTEX_POINT('',#468582); #150621=VERTEX_POINT('',#468584); #150622=VERTEX_POINT('',#468588); #150623=VERTEX_POINT('',#468590); #150624=VERTEX_POINT('',#468594); #150625=VERTEX_POINT('',#468596); #150626=VERTEX_POINT('',#468600); #150627=VERTEX_POINT('',#468602); #150628=VERTEX_POINT('',#468606); #150629=VERTEX_POINT('',#468608); #150630=VERTEX_POINT('',#468612); #150631=VERTEX_POINT('',#468614); #150632=VERTEX_POINT('',#468618); #150633=VERTEX_POINT('',#468620); #150634=VERTEX_POINT('',#468624); #150635=VERTEX_POINT('',#468626); #150636=VERTEX_POINT('',#468630); #150637=VERTEX_POINT('',#468632); #150638=VERTEX_POINT('',#468636); #150639=VERTEX_POINT('',#468638); #150640=VERTEX_POINT('',#468642); #150641=VERTEX_POINT('',#468644); #150642=VERTEX_POINT('',#468648); #150643=VERTEX_POINT('',#468650); #150644=VERTEX_POINT('',#468654); #150645=VERTEX_POINT('',#468656); #150646=VERTEX_POINT('',#468660); #150647=VERTEX_POINT('',#468662); #150648=VERTEX_POINT('',#468666); #150649=VERTEX_POINT('',#468668); #150650=VERTEX_POINT('',#468672); #150651=VERTEX_POINT('',#468674); #150652=VERTEX_POINT('',#468678); #150653=VERTEX_POINT('',#468680); #150654=VERTEX_POINT('',#468684); #150655=VERTEX_POINT('',#468686); #150656=VERTEX_POINT('',#468690); #150657=VERTEX_POINT('',#468692); #150658=VERTEX_POINT('',#468696); #150659=VERTEX_POINT('',#468698); #150660=VERTEX_POINT('',#468702); #150661=VERTEX_POINT('',#468704); #150662=VERTEX_POINT('',#468708); #150663=VERTEX_POINT('',#468710); #150664=VERTEX_POINT('',#468714); #150665=VERTEX_POINT('',#468716); #150666=VERTEX_POINT('',#468720); #150667=VERTEX_POINT('',#468722); #150668=VERTEX_POINT('',#468726); #150669=VERTEX_POINT('',#468728); #150670=VERTEX_POINT('',#468732); #150671=VERTEX_POINT('',#468734); #150672=VERTEX_POINT('',#468738); #150673=VERTEX_POINT('',#468740); #150674=VERTEX_POINT('',#468744); #150675=VERTEX_POINT('',#468746); #150676=VERTEX_POINT('',#468750); #150677=VERTEX_POINT('',#468752); #150678=VERTEX_POINT('',#468756); #150679=VERTEX_POINT('',#468758); #150680=VERTEX_POINT('',#468762); #150681=VERTEX_POINT('',#468764); #150682=VERTEX_POINT('',#468768); #150683=VERTEX_POINT('',#468770); #150684=VERTEX_POINT('',#468774); #150685=VERTEX_POINT('',#468776); #150686=VERTEX_POINT('',#468780); #150687=VERTEX_POINT('',#468782); #150688=VERTEX_POINT('',#468786); #150689=VERTEX_POINT('',#468788); #150690=VERTEX_POINT('',#468792); #150691=VERTEX_POINT('',#468794); #150692=VERTEX_POINT('',#468798); #150693=VERTEX_POINT('',#468800); #150694=VERTEX_POINT('',#468804); #150695=VERTEX_POINT('',#468806); #150696=VERTEX_POINT('',#468810); #150697=VERTEX_POINT('',#468812); #150698=VERTEX_POINT('',#468816); #150699=VERTEX_POINT('',#468818); #150700=VERTEX_POINT('',#468822); #150701=VERTEX_POINT('',#468824); #150702=VERTEX_POINT('',#468828); #150703=VERTEX_POINT('',#468830); #150704=VERTEX_POINT('',#468834); #150705=VERTEX_POINT('',#468836); #150706=VERTEX_POINT('',#468840); #150707=VERTEX_POINT('',#468842); #150708=VERTEX_POINT('',#468846); #150709=VERTEX_POINT('',#468848); #150710=VERTEX_POINT('',#468852); #150711=VERTEX_POINT('',#468854); #150712=VERTEX_POINT('',#468858); #150713=VERTEX_POINT('',#468860); #150714=VERTEX_POINT('',#468864); #150715=VERTEX_POINT('',#468866); #150716=VERTEX_POINT('',#468870); #150717=VERTEX_POINT('',#468872); #150718=VERTEX_POINT('',#468876); #150719=VERTEX_POINT('',#468878); #150720=VERTEX_POINT('',#468882); #150721=VERTEX_POINT('',#468884); #150722=VERTEX_POINT('',#468888); #150723=VERTEX_POINT('',#468890); #150724=VERTEX_POINT('',#468894); #150725=VERTEX_POINT('',#468896); #150726=VERTEX_POINT('',#468900); #150727=VERTEX_POINT('',#468902); #150728=VERTEX_POINT('',#468906); #150729=VERTEX_POINT('',#468908); #150730=VERTEX_POINT('',#468912); #150731=VERTEX_POINT('',#468914); #150732=VERTEX_POINT('',#468918); #150733=VERTEX_POINT('',#468920); #150734=VERTEX_POINT('',#468924); #150735=VERTEX_POINT('',#468926); #150736=VERTEX_POINT('',#468930); #150737=VERTEX_POINT('',#468932); #150738=VERTEX_POINT('',#468936); #150739=VERTEX_POINT('',#468938); #150740=VERTEX_POINT('',#468942); #150741=VERTEX_POINT('',#468944); #150742=VERTEX_POINT('',#468948); #150743=VERTEX_POINT('',#468950); #150744=VERTEX_POINT('',#468954); #150745=VERTEX_POINT('',#468956); #150746=VERTEX_POINT('',#468960); #150747=VERTEX_POINT('',#468962); #150748=VERTEX_POINT('',#468966); #150749=VERTEX_POINT('',#468968); #150750=VERTEX_POINT('',#468972); #150751=VERTEX_POINT('',#468974); #150752=VERTEX_POINT('',#468978); #150753=VERTEX_POINT('',#468980); #150754=VERTEX_POINT('',#468984); #150755=VERTEX_POINT('',#468986); #150756=VERTEX_POINT('',#468990); #150757=VERTEX_POINT('',#468992); #150758=VERTEX_POINT('',#468996); #150759=VERTEX_POINT('',#468998); #150760=VERTEX_POINT('',#469002); #150761=VERTEX_POINT('',#469004); #150762=VERTEX_POINT('',#469008); #150763=VERTEX_POINT('',#469010); #150764=VERTEX_POINT('',#469014); #150765=VERTEX_POINT('',#469016); #150766=VERTEX_POINT('',#469020); #150767=VERTEX_POINT('',#469022); #150768=VERTEX_POINT('',#469026); #150769=VERTEX_POINT('',#469028); #150770=VERTEX_POINT('',#469032); #150771=VERTEX_POINT('',#469034); #150772=VERTEX_POINT('',#469038); #150773=VERTEX_POINT('',#469040); #150774=VERTEX_POINT('',#469044); #150775=VERTEX_POINT('',#469046); #150776=VERTEX_POINT('',#469050); #150777=VERTEX_POINT('',#469052); #150778=VERTEX_POINT('',#469056); #150779=VERTEX_POINT('',#469058); #150780=VERTEX_POINT('',#469062); #150781=VERTEX_POINT('',#469064); #150782=VERTEX_POINT('',#469068); #150783=VERTEX_POINT('',#469070); #150784=VERTEX_POINT('',#469074); #150785=VERTEX_POINT('',#469076); #150786=VERTEX_POINT('',#469080); #150787=VERTEX_POINT('',#469082); #150788=VERTEX_POINT('',#469086); #150789=VERTEX_POINT('',#469088); #150790=VERTEX_POINT('',#469092); #150791=VERTEX_POINT('',#469094); #150792=VERTEX_POINT('',#469098); #150793=VERTEX_POINT('',#469100); #150794=VERTEX_POINT('',#469104); #150795=VERTEX_POINT('',#469106); #150796=VERTEX_POINT('',#469110); #150797=VERTEX_POINT('',#469112); #150798=VERTEX_POINT('',#469116); #150799=VERTEX_POINT('',#469118); #150800=VERTEX_POINT('',#469122); #150801=VERTEX_POINT('',#469124); #150802=VERTEX_POINT('',#469128); #150803=VERTEX_POINT('',#469130); #150804=VERTEX_POINT('',#469134); #150805=VERTEX_POINT('',#469136); #150806=VERTEX_POINT('',#469140); #150807=VERTEX_POINT('',#469142); #150808=VERTEX_POINT('',#469146); #150809=VERTEX_POINT('',#469148); #150810=VERTEX_POINT('',#469152); #150811=VERTEX_POINT('',#469154); #150812=VERTEX_POINT('',#469158); #150813=VERTEX_POINT('',#469160); #150814=VERTEX_POINT('',#469164); #150815=VERTEX_POINT('',#469166); #150816=VERTEX_POINT('',#469170); #150817=VERTEX_POINT('',#469172); #150818=VERTEX_POINT('',#469176); #150819=VERTEX_POINT('',#469178); #150820=VERTEX_POINT('',#469182); #150821=VERTEX_POINT('',#469184); #150822=VERTEX_POINT('',#469188); #150823=VERTEX_POINT('',#469190); #150824=VERTEX_POINT('',#469194); #150825=VERTEX_POINT('',#469196); #150826=VERTEX_POINT('',#469200); #150827=VERTEX_POINT('',#469202); #150828=VERTEX_POINT('',#469206); #150829=VERTEX_POINT('',#469208); #150830=VERTEX_POINT('',#469212); #150831=VERTEX_POINT('',#469214); #150832=VERTEX_POINT('',#469218); #150833=VERTEX_POINT('',#469220); #150834=VERTEX_POINT('',#469224); #150835=VERTEX_POINT('',#469226); #150836=VERTEX_POINT('',#469230); #150837=VERTEX_POINT('',#469232); #150838=VERTEX_POINT('',#469236); #150839=VERTEX_POINT('',#469238); #150840=VERTEX_POINT('',#469242); #150841=VERTEX_POINT('',#469244); #150842=VERTEX_POINT('',#469248); #150843=VERTEX_POINT('',#469250); #150844=VERTEX_POINT('',#469254); #150845=VERTEX_POINT('',#469256); #150846=VERTEX_POINT('',#469260); #150847=VERTEX_POINT('',#469262); #150848=VERTEX_POINT('',#469266); #150849=VERTEX_POINT('',#469268); #150850=VERTEX_POINT('',#469272); #150851=VERTEX_POINT('',#469274); #150852=VERTEX_POINT('',#469278); #150853=VERTEX_POINT('',#469280); #150854=VERTEX_POINT('',#469284); #150855=VERTEX_POINT('',#469286); #150856=VERTEX_POINT('',#469290); #150857=VERTEX_POINT('',#469292); #150858=VERTEX_POINT('',#469296); #150859=VERTEX_POINT('',#469298); #150860=VERTEX_POINT('',#469302); #150861=VERTEX_POINT('',#469304); #150862=VERTEX_POINT('',#469308); #150863=VERTEX_POINT('',#469310); #150864=VERTEX_POINT('',#469314); #150865=VERTEX_POINT('',#469316); #150866=VERTEX_POINT('',#469320); #150867=VERTEX_POINT('',#469322); #150868=VERTEX_POINT('',#469326); #150869=VERTEX_POINT('',#469328); #150870=VERTEX_POINT('',#469332); #150871=VERTEX_POINT('',#469334); #150872=VERTEX_POINT('',#469338); #150873=VERTEX_POINT('',#469340); #150874=VERTEX_POINT('',#469344); #150875=VERTEX_POINT('',#469346); #150876=VERTEX_POINT('',#469350); #150877=VERTEX_POINT('',#469352); #150878=VERTEX_POINT('',#469356); #150879=VERTEX_POINT('',#469358); #150880=VERTEX_POINT('',#469362); #150881=VERTEX_POINT('',#469364); #150882=VERTEX_POINT('',#469368); #150883=VERTEX_POINT('',#469370); #150884=VERTEX_POINT('',#469374); #150885=VERTEX_POINT('',#469376); #150886=VERTEX_POINT('',#469380); #150887=VERTEX_POINT('',#469382); #150888=VERTEX_POINT('',#469386); #150889=VERTEX_POINT('',#469388); #150890=VERTEX_POINT('',#469392); #150891=VERTEX_POINT('',#469394); #150892=VERTEX_POINT('',#469398); #150893=VERTEX_POINT('',#469400); #150894=VERTEX_POINT('',#469404); #150895=VERTEX_POINT('',#469406); #150896=VERTEX_POINT('',#469410); #150897=VERTEX_POINT('',#469412); #150898=VERTEX_POINT('',#469416); #150899=VERTEX_POINT('',#469418); #150900=VERTEX_POINT('',#469422); #150901=VERTEX_POINT('',#469424); #150902=VERTEX_POINT('',#469428); #150903=VERTEX_POINT('',#469430); #150904=VERTEX_POINT('',#469434); #150905=VERTEX_POINT('',#469436); #150906=VERTEX_POINT('',#469440); #150907=VERTEX_POINT('',#469442); #150908=VERTEX_POINT('',#469446); #150909=VERTEX_POINT('',#469448); #150910=VERTEX_POINT('',#469452); #150911=VERTEX_POINT('',#469454); #150912=VERTEX_POINT('',#469458); #150913=VERTEX_POINT('',#469460); #150914=VERTEX_POINT('',#469464); #150915=VERTEX_POINT('',#469466); #150916=VERTEX_POINT('',#469470); #150917=VERTEX_POINT('',#469472); #150918=VERTEX_POINT('',#469476); #150919=VERTEX_POINT('',#469478); #150920=VERTEX_POINT('',#469482); #150921=VERTEX_POINT('',#469484); #150922=VERTEX_POINT('',#469488); #150923=VERTEX_POINT('',#469490); #150924=VERTEX_POINT('',#469494); #150925=VERTEX_POINT('',#469496); #150926=VERTEX_POINT('',#469500); #150927=VERTEX_POINT('',#469502); #150928=VERTEX_POINT('',#469506); #150929=VERTEX_POINT('',#469508); #150930=VERTEX_POINT('',#469512); #150931=VERTEX_POINT('',#469514); #150932=VERTEX_POINT('',#469518); #150933=VERTEX_POINT('',#469520); #150934=VERTEX_POINT('',#469524); #150935=VERTEX_POINT('',#469526); #150936=VERTEX_POINT('',#469530); #150937=VERTEX_POINT('',#469532); #150938=VERTEX_POINT('',#469536); #150939=VERTEX_POINT('',#469538); #150940=VERTEX_POINT('',#469542); #150941=VERTEX_POINT('',#469544); #150942=VERTEX_POINT('',#469548); #150943=VERTEX_POINT('',#469550); #150944=VERTEX_POINT('',#469554); #150945=VERTEX_POINT('',#469556); #150946=VERTEX_POINT('',#469560); #150947=VERTEX_POINT('',#469562); #150948=VERTEX_POINT('',#469566); #150949=VERTEX_POINT('',#469568); #150950=VERTEX_POINT('',#469575); #150951=VERTEX_POINT('',#469576); #150952=VERTEX_POINT('',#469578); #150953=VERTEX_POINT('',#469580); #150954=VERTEX_POINT('',#469584); #150955=VERTEX_POINT('',#469586); #150956=VERTEX_POINT('',#469590); #150957=VERTEX_POINT('',#469592); #150958=VERTEX_POINT('',#469596); #150959=VERTEX_POINT('',#469598); #150960=VERTEX_POINT('',#469602); #150961=VERTEX_POINT('',#469604); #150962=VERTEX_POINT('',#469608); #150963=VERTEX_POINT('',#469610); #150964=VERTEX_POINT('',#469614); #150965=VERTEX_POINT('',#469616); #150966=VERTEX_POINT('',#469620); #150967=VERTEX_POINT('',#469622); #150968=VERTEX_POINT('',#469626); #150969=VERTEX_POINT('',#469628); #150970=VERTEX_POINT('',#469632); #150971=VERTEX_POINT('',#469634); #150972=VERTEX_POINT('',#469638); #150973=VERTEX_POINT('',#469640); #150974=VERTEX_POINT('',#469644); #150975=VERTEX_POINT('',#469646); #150976=VERTEX_POINT('',#469650); #150977=VERTEX_POINT('',#469652); #150978=VERTEX_POINT('',#469656); #150979=VERTEX_POINT('',#469658); #150980=VERTEX_POINT('',#469662); #150981=VERTEX_POINT('',#469664); #150982=VERTEX_POINT('',#469668); #150983=VERTEX_POINT('',#469670); #150984=VERTEX_POINT('',#469674); #150985=VERTEX_POINT('',#469676); #150986=VERTEX_POINT('',#469680); #150987=VERTEX_POINT('',#469682); #150988=VERTEX_POINT('',#469686); #150989=VERTEX_POINT('',#469688); #150990=VERTEX_POINT('',#469692); #150991=VERTEX_POINT('',#469694); #150992=VERTEX_POINT('',#469698); #150993=VERTEX_POINT('',#469700); #150994=VERTEX_POINT('',#469704); #150995=VERTEX_POINT('',#469706); #150996=VERTEX_POINT('',#469710); #150997=VERTEX_POINT('',#469712); #150998=VERTEX_POINT('',#469716); #150999=VERTEX_POINT('',#469718); #151000=VERTEX_POINT('',#469722); #151001=VERTEX_POINT('',#469724); #151002=VERTEX_POINT('',#469728); #151003=VERTEX_POINT('',#469730); #151004=VERTEX_POINT('',#469734); #151005=VERTEX_POINT('',#469736); #151006=VERTEX_POINT('',#469740); #151007=VERTEX_POINT('',#469742); #151008=VERTEX_POINT('',#469746); #151009=VERTEX_POINT('',#469748); #151010=VERTEX_POINT('',#469752); #151011=VERTEX_POINT('',#469754); #151012=VERTEX_POINT('',#469758); #151013=VERTEX_POINT('',#469760); #151014=VERTEX_POINT('',#469764); #151015=VERTEX_POINT('',#469766); #151016=VERTEX_POINT('',#469770); #151017=VERTEX_POINT('',#469772); #151018=VERTEX_POINT('',#469776); #151019=VERTEX_POINT('',#469778); #151020=VERTEX_POINT('',#469782); #151021=VERTEX_POINT('',#469784); #151022=VERTEX_POINT('',#469788); #151023=VERTEX_POINT('',#469790); #151024=VERTEX_POINT('',#469794); #151025=VERTEX_POINT('',#469796); #151026=VERTEX_POINT('',#469800); #151027=VERTEX_POINT('',#469802); #151028=VERTEX_POINT('',#469806); #151029=VERTEX_POINT('',#469808); #151030=VERTEX_POINT('',#469812); #151031=VERTEX_POINT('',#469814); #151032=VERTEX_POINT('',#469818); #151033=VERTEX_POINT('',#469820); #151034=VERTEX_POINT('',#469824); #151035=VERTEX_POINT('',#469826); #151036=VERTEX_POINT('',#469830); #151037=VERTEX_POINT('',#469832); #151038=VERTEX_POINT('',#469836); #151039=VERTEX_POINT('',#469838); #151040=VERTEX_POINT('',#469842); #151041=VERTEX_POINT('',#469844); #151042=VERTEX_POINT('',#469848); #151043=VERTEX_POINT('',#469850); #151044=VERTEX_POINT('',#469854); #151045=VERTEX_POINT('',#469856); #151046=VERTEX_POINT('',#469860); #151047=VERTEX_POINT('',#469862); #151048=VERTEX_POINT('',#469866); #151049=VERTEX_POINT('',#469868); #151050=VERTEX_POINT('',#469872); #151051=VERTEX_POINT('',#469874); #151052=VERTEX_POINT('',#469878); #151053=VERTEX_POINT('',#469880); #151054=VERTEX_POINT('',#469884); #151055=VERTEX_POINT('',#469886); #151056=VERTEX_POINT('',#469890); #151057=VERTEX_POINT('',#469892); #151058=VERTEX_POINT('',#469896); #151059=VERTEX_POINT('',#469898); #151060=VERTEX_POINT('',#469902); #151061=VERTEX_POINT('',#469904); #151062=VERTEX_POINT('',#469908); #151063=VERTEX_POINT('',#469910); #151064=VERTEX_POINT('',#469914); #151065=VERTEX_POINT('',#469916); #151066=VERTEX_POINT('',#469920); #151067=VERTEX_POINT('',#469922); #151068=VERTEX_POINT('',#469926); #151069=VERTEX_POINT('',#469928); #151070=VERTEX_POINT('',#469932); #151071=VERTEX_POINT('',#469934); #151072=VERTEX_POINT('',#469938); #151073=VERTEX_POINT('',#469940); #151074=VERTEX_POINT('',#469944); #151075=VERTEX_POINT('',#469946); #151076=VERTEX_POINT('',#469950); #151077=VERTEX_POINT('',#469952); #151078=VERTEX_POINT('',#469956); #151079=VERTEX_POINT('',#469958); #151080=VERTEX_POINT('',#469962); #151081=VERTEX_POINT('',#469964); #151082=VERTEX_POINT('',#469968); #151083=VERTEX_POINT('',#469970); #151084=VERTEX_POINT('',#469974); #151085=VERTEX_POINT('',#469976); #151086=VERTEX_POINT('',#469980); #151087=VERTEX_POINT('',#469982); #151088=VERTEX_POINT('',#469986); #151089=VERTEX_POINT('',#469988); #151090=VERTEX_POINT('',#469992); #151091=VERTEX_POINT('',#469994); #151092=VERTEX_POINT('',#469998); #151093=VERTEX_POINT('',#470000); #151094=VERTEX_POINT('',#470004); #151095=VERTEX_POINT('',#470006); #151096=VERTEX_POINT('',#470010); #151097=VERTEX_POINT('',#470012); #151098=VERTEX_POINT('',#470016); #151099=VERTEX_POINT('',#470018); #151100=VERTEX_POINT('',#470022); #151101=VERTEX_POINT('',#470024); #151102=VERTEX_POINT('',#470028); #151103=VERTEX_POINT('',#470030); #151104=VERTEX_POINT('',#470034); #151105=VERTEX_POINT('',#470036); #151106=VERTEX_POINT('',#470040); #151107=VERTEX_POINT('',#470042); #151108=VERTEX_POINT('',#470046); #151109=VERTEX_POINT('',#470048); #151110=VERTEX_POINT('',#470052); #151111=VERTEX_POINT('',#470054); #151112=VERTEX_POINT('',#470058); #151113=VERTEX_POINT('',#470060); #151114=VERTEX_POINT('',#470064); #151115=VERTEX_POINT('',#470066); #151116=VERTEX_POINT('',#470070); #151117=VERTEX_POINT('',#470072); #151118=VERTEX_POINT('',#470076); #151119=VERTEX_POINT('',#470078); #151120=VERTEX_POINT('',#470082); #151121=VERTEX_POINT('',#470084); #151122=VERTEX_POINT('',#470088); #151123=VERTEX_POINT('',#470090); #151124=VERTEX_POINT('',#470094); #151125=VERTEX_POINT('',#470096); #151126=VERTEX_POINT('',#470100); #151127=VERTEX_POINT('',#470102); #151128=VERTEX_POINT('',#470106); #151129=VERTEX_POINT('',#470108); #151130=VERTEX_POINT('',#470112); #151131=VERTEX_POINT('',#470114); #151132=VERTEX_POINT('',#470118); #151133=VERTEX_POINT('',#470120); #151134=VERTEX_POINT('',#470124); #151135=VERTEX_POINT('',#470126); #151136=VERTEX_POINT('',#470130); #151137=VERTEX_POINT('',#470132); #151138=VERTEX_POINT('',#470136); #151139=VERTEX_POINT('',#470138); #151140=VERTEX_POINT('',#470142); #151141=VERTEX_POINT('',#470144); #151142=VERTEX_POINT('',#470148); #151143=VERTEX_POINT('',#470150); #151144=VERTEX_POINT('',#470154); #151145=VERTEX_POINT('',#470156); #151146=VERTEX_POINT('',#470160); #151147=VERTEX_POINT('',#470162); #151148=VERTEX_POINT('',#470166); #151149=VERTEX_POINT('',#470168); #151150=VERTEX_POINT('',#470172); #151151=VERTEX_POINT('',#470174); #151152=VERTEX_POINT('',#470178); #151153=VERTEX_POINT('',#470180); #151154=VERTEX_POINT('',#470184); #151155=VERTEX_POINT('',#470186); #151156=VERTEX_POINT('',#470190); #151157=VERTEX_POINT('',#470192); #151158=VERTEX_POINT('',#470196); #151159=VERTEX_POINT('',#470198); #151160=VERTEX_POINT('',#470202); #151161=VERTEX_POINT('',#470204); #151162=VERTEX_POINT('',#470208); #151163=VERTEX_POINT('',#470210); #151164=VERTEX_POINT('',#470214); #151165=VERTEX_POINT('',#470216); #151166=VERTEX_POINT('',#470220); #151167=VERTEX_POINT('',#470222); #151168=VERTEX_POINT('',#470226); #151169=VERTEX_POINT('',#470228); #151170=VERTEX_POINT('',#470232); #151171=VERTEX_POINT('',#470234); #151172=VERTEX_POINT('',#470238); #151173=VERTEX_POINT('',#470240); #151174=VERTEX_POINT('',#470244); #151175=VERTEX_POINT('',#470246); #151176=VERTEX_POINT('',#470250); #151177=VERTEX_POINT('',#470252); #151178=VERTEX_POINT('',#470256); #151179=VERTEX_POINT('',#470258); #151180=VERTEX_POINT('',#470262); #151181=VERTEX_POINT('',#470264); #151182=VERTEX_POINT('',#470268); #151183=VERTEX_POINT('',#470270); #151184=VERTEX_POINT('',#470274); #151185=VERTEX_POINT('',#470276); #151186=VERTEX_POINT('',#470280); #151187=VERTEX_POINT('',#470282); #151188=VERTEX_POINT('',#470289); #151189=VERTEX_POINT('',#470290); #151190=VERTEX_POINT('',#470292); #151191=VERTEX_POINT('',#470294); #151192=VERTEX_POINT('',#470298); #151193=VERTEX_POINT('',#470300); #151194=VERTEX_POINT('',#470304); #151195=VERTEX_POINT('',#470306); #151196=VERTEX_POINT('',#470310); #151197=VERTEX_POINT('',#470312); #151198=VERTEX_POINT('',#470316); #151199=VERTEX_POINT('',#470318); #151200=VERTEX_POINT('',#470322); #151201=VERTEX_POINT('',#470324); #151202=VERTEX_POINT('',#470328); #151203=VERTEX_POINT('',#470330); #151204=VERTEX_POINT('',#470337); #151205=VERTEX_POINT('',#470338); #151206=VERTEX_POINT('',#470340); #151207=VERTEX_POINT('',#470342); #151208=VERTEX_POINT('',#470346); #151209=VERTEX_POINT('',#470348); #151210=VERTEX_POINT('',#470352); #151211=VERTEX_POINT('',#470354); #151212=VERTEX_POINT('',#470358); #151213=VERTEX_POINT('',#470360); #151214=VERTEX_POINT('',#470364); #151215=VERTEX_POINT('',#470366); #151216=VERTEX_POINT('',#470370); #151217=VERTEX_POINT('',#470372); #151218=VERTEX_POINT('',#470376); #151219=VERTEX_POINT('',#470378); #151220=VERTEX_POINT('',#470382); #151221=VERTEX_POINT('',#470384); #151222=VERTEX_POINT('',#470388); #151223=VERTEX_POINT('',#470390); #151224=VERTEX_POINT('',#470394); #151225=VERTEX_POINT('',#470396); #151226=VERTEX_POINT('',#470400); #151227=VERTEX_POINT('',#470402); #151228=VERTEX_POINT('',#470406); #151229=VERTEX_POINT('',#470408); #151230=VERTEX_POINT('',#470412); #151231=VERTEX_POINT('',#470414); #151232=VERTEX_POINT('',#470418); #151233=VERTEX_POINT('',#470420); #151234=VERTEX_POINT('',#470424); #151235=VERTEX_POINT('',#470426); #151236=VERTEX_POINT('',#470430); #151237=VERTEX_POINT('',#470432); #151238=VERTEX_POINT('',#470436); #151239=VERTEX_POINT('',#470438); #151240=VERTEX_POINT('',#470442); #151241=VERTEX_POINT('',#470444); #151242=VERTEX_POINT('',#470448); #151243=VERTEX_POINT('',#470450); #151244=VERTEX_POINT('',#470454); #151245=VERTEX_POINT('',#470456); #151246=VERTEX_POINT('',#470460); #151247=VERTEX_POINT('',#470462); #151248=VERTEX_POINT('',#470466); #151249=VERTEX_POINT('',#470468); #151250=VERTEX_POINT('',#470472); #151251=VERTEX_POINT('',#470474); #151252=VERTEX_POINT('',#470481); #151253=VERTEX_POINT('',#470482); #151254=VERTEX_POINT('',#470484); #151255=VERTEX_POINT('',#470486); #151256=VERTEX_POINT('',#470490); #151257=VERTEX_POINT('',#470492); #151258=VERTEX_POINT('',#470496); #151259=VERTEX_POINT('',#470498); #151260=VERTEX_POINT('',#470502); #151261=VERTEX_POINT('',#470504); #151262=VERTEX_POINT('',#470508); #151263=VERTEX_POINT('',#470510); #151264=VERTEX_POINT('',#470514); #151265=VERTEX_POINT('',#470516); #151266=VERTEX_POINT('',#470520); #151267=VERTEX_POINT('',#470522); #151268=VERTEX_POINT('',#470526); #151269=VERTEX_POINT('',#470528); #151270=VERTEX_POINT('',#470532); #151271=VERTEX_POINT('',#470534); #151272=VERTEX_POINT('',#470538); #151273=VERTEX_POINT('',#470540); #151274=VERTEX_POINT('',#470544); #151275=VERTEX_POINT('',#470546); #151276=VERTEX_POINT('',#470550); #151277=VERTEX_POINT('',#470552); #151278=VERTEX_POINT('',#470556); #151279=VERTEX_POINT('',#470558); #151280=VERTEX_POINT('',#470562); #151281=VERTEX_POINT('',#470564); #151282=VERTEX_POINT('',#470568); #151283=VERTEX_POINT('',#470570); #151284=VERTEX_POINT('',#470574); #151285=VERTEX_POINT('',#470576); #151286=VERTEX_POINT('',#470580); #151287=VERTEX_POINT('',#470582); #151288=VERTEX_POINT('',#470586); #151289=VERTEX_POINT('',#470588); #151290=VERTEX_POINT('',#470592); #151291=VERTEX_POINT('',#470594); #151292=VERTEX_POINT('',#470598); #151293=VERTEX_POINT('',#470600); #151294=VERTEX_POINT('',#470604); #151295=VERTEX_POINT('',#470606); #151296=VERTEX_POINT('',#470610); #151297=VERTEX_POINT('',#470612); #151298=VERTEX_POINT('',#470616); #151299=VERTEX_POINT('',#470618); #151300=VERTEX_POINT('',#470622); #151301=VERTEX_POINT('',#470624); #151302=VERTEX_POINT('',#470628); #151303=VERTEX_POINT('',#470630); #151304=VERTEX_POINT('',#470634); #151305=VERTEX_POINT('',#470636); #151306=VERTEX_POINT('',#470640); #151307=VERTEX_POINT('',#470642); #151308=VERTEX_POINT('',#470646); #151309=VERTEX_POINT('',#470648); #151310=VERTEX_POINT('',#470652); #151311=VERTEX_POINT('',#470654); #151312=VERTEX_POINT('',#470658); #151313=VERTEX_POINT('',#470660); #151314=VERTEX_POINT('',#470664); #151315=VERTEX_POINT('',#470666); #151316=VERTEX_POINT('',#470670); #151317=VERTEX_POINT('',#470672); #151318=VERTEX_POINT('',#470676); #151319=VERTEX_POINT('',#470678); #151320=VERTEX_POINT('',#470682); #151321=VERTEX_POINT('',#470684); #151322=VERTEX_POINT('',#470688); #151323=VERTEX_POINT('',#470690); #151324=VERTEX_POINT('',#470694); #151325=VERTEX_POINT('',#470696); #151326=VERTEX_POINT('',#470700); #151327=VERTEX_POINT('',#470702); #151328=VERTEX_POINT('',#470706); #151329=VERTEX_POINT('',#470708); #151330=VERTEX_POINT('',#470712); #151331=VERTEX_POINT('',#470714); #151332=VERTEX_POINT('',#470718); #151333=VERTEX_POINT('',#470720); #151334=VERTEX_POINT('',#470724); #151335=VERTEX_POINT('',#470726); #151336=VERTEX_POINT('',#470730); #151337=VERTEX_POINT('',#470732); #151338=VERTEX_POINT('',#470736); #151339=VERTEX_POINT('',#470738); #151340=VERTEX_POINT('',#470742); #151341=VERTEX_POINT('',#470744); #151342=VERTEX_POINT('',#470748); #151343=VERTEX_POINT('',#470750); #151344=VERTEX_POINT('',#470754); #151345=VERTEX_POINT('',#470756); #151346=VERTEX_POINT('',#470760); #151347=VERTEX_POINT('',#470762); #151348=VERTEX_POINT('',#470766); #151349=VERTEX_POINT('',#470768); #151350=VERTEX_POINT('',#470772); #151351=VERTEX_POINT('',#470774); #151352=VERTEX_POINT('',#470778); #151353=VERTEX_POINT('',#470780); #151354=VERTEX_POINT('',#470784); #151355=VERTEX_POINT('',#470786); #151356=VERTEX_POINT('',#470790); #151357=VERTEX_POINT('',#470792); #151358=VERTEX_POINT('',#470796); #151359=VERTEX_POINT('',#470798); #151360=VERTEX_POINT('',#470802); #151361=VERTEX_POINT('',#470804); #151362=VERTEX_POINT('',#470808); #151363=VERTEX_POINT('',#470810); #151364=VERTEX_POINT('',#470814); #151365=VERTEX_POINT('',#470816); #151366=VERTEX_POINT('',#470820); #151367=VERTEX_POINT('',#470822); #151368=VERTEX_POINT('',#470826); #151369=VERTEX_POINT('',#470828); #151370=VERTEX_POINT('',#470832); #151371=VERTEX_POINT('',#470834); #151372=VERTEX_POINT('',#470838); #151373=VERTEX_POINT('',#470840); #151374=VERTEX_POINT('',#470844); #151375=VERTEX_POINT('',#470846); #151376=VERTEX_POINT('',#470850); #151377=VERTEX_POINT('',#470852); #151378=VERTEX_POINT('',#470856); #151379=VERTEX_POINT('',#470858); #151380=VERTEX_POINT('',#470862); #151381=VERTEX_POINT('',#470864); #151382=VERTEX_POINT('',#470868); #151383=VERTEX_POINT('',#470870); #151384=VERTEX_POINT('',#470874); #151385=VERTEX_POINT('',#470876); #151386=VERTEX_POINT('',#470880); #151387=VERTEX_POINT('',#470882); #151388=VERTEX_POINT('',#470886); #151389=VERTEX_POINT('',#470888); #151390=VERTEX_POINT('',#470892); #151391=VERTEX_POINT('',#470894); #151392=VERTEX_POINT('',#470898); #151393=VERTEX_POINT('',#470900); #151394=VERTEX_POINT('',#470904); #151395=VERTEX_POINT('',#470906); #151396=VERTEX_POINT('',#470910); #151397=VERTEX_POINT('',#470912); #151398=VERTEX_POINT('',#470916); #151399=VERTEX_POINT('',#470918); #151400=VERTEX_POINT('',#470922); #151401=VERTEX_POINT('',#470924); #151402=VERTEX_POINT('',#470928); #151403=VERTEX_POINT('',#470930); #151404=VERTEX_POINT('',#470934); #151405=VERTEX_POINT('',#470936); #151406=VERTEX_POINT('',#470940); #151407=VERTEX_POINT('',#470942); #151408=VERTEX_POINT('',#470946); #151409=VERTEX_POINT('',#470948); #151410=VERTEX_POINT('',#470952); #151411=VERTEX_POINT('',#470954); #151412=VERTEX_POINT('',#470958); #151413=VERTEX_POINT('',#470960); #151414=VERTEX_POINT('',#470964); #151415=VERTEX_POINT('',#470966); #151416=VERTEX_POINT('',#470970); #151417=VERTEX_POINT('',#470972); #151418=VERTEX_POINT('',#470976); #151419=VERTEX_POINT('',#470978); #151420=VERTEX_POINT('',#470982); #151421=VERTEX_POINT('',#470984); #151422=VERTEX_POINT('',#470988); #151423=VERTEX_POINT('',#470990); #151424=VERTEX_POINT('',#470994); #151425=VERTEX_POINT('',#470996); #151426=VERTEX_POINT('',#471000); #151427=VERTEX_POINT('',#471002); #151428=VERTEX_POINT('',#471006); #151429=VERTEX_POINT('',#471008); #151430=VERTEX_POINT('',#471012); #151431=VERTEX_POINT('',#471014); #151432=VERTEX_POINT('',#471018); #151433=VERTEX_POINT('',#471020); #151434=VERTEX_POINT('',#471024); #151435=VERTEX_POINT('',#471026); #151436=VERTEX_POINT('',#471030); #151437=VERTEX_POINT('',#471032); #151438=VERTEX_POINT('',#471036); #151439=VERTEX_POINT('',#471038); #151440=VERTEX_POINT('',#471042); #151441=VERTEX_POINT('',#471044); #151442=VERTEX_POINT('',#471048); #151443=VERTEX_POINT('',#471050); #151444=VERTEX_POINT('',#471054); #151445=VERTEX_POINT('',#471056); #151446=VERTEX_POINT('',#471060); #151447=VERTEX_POINT('',#471062); #151448=VERTEX_POINT('',#471066); #151449=VERTEX_POINT('',#471068); #151450=VERTEX_POINT('',#471072); #151451=VERTEX_POINT('',#471074); #151452=VERTEX_POINT('',#471078); #151453=VERTEX_POINT('',#471080); #151454=VERTEX_POINT('',#471084); #151455=VERTEX_POINT('',#471086); #151456=VERTEX_POINT('',#471090); #151457=VERTEX_POINT('',#471092); #151458=VERTEX_POINT('',#471096); #151459=VERTEX_POINT('',#471098); #151460=VERTEX_POINT('',#471102); #151461=VERTEX_POINT('',#471104); #151462=VERTEX_POINT('',#471108); #151463=VERTEX_POINT('',#471110); #151464=VERTEX_POINT('',#471114); #151465=VERTEX_POINT('',#471116); #151466=VERTEX_POINT('',#471120); #151467=VERTEX_POINT('',#471122); #151468=VERTEX_POINT('',#471126); #151469=VERTEX_POINT('',#471128); #151470=VERTEX_POINT('',#471132); #151471=VERTEX_POINT('',#471134); #151472=VERTEX_POINT('',#471138); #151473=VERTEX_POINT('',#471140); #151474=VERTEX_POINT('',#471144); #151475=VERTEX_POINT('',#471146); #151476=VERTEX_POINT('',#471150); #151477=VERTEX_POINT('',#471152); #151478=VERTEX_POINT('',#471156); #151479=VERTEX_POINT('',#471158); #151480=VERTEX_POINT('',#471162); #151481=VERTEX_POINT('',#471164); #151482=VERTEX_POINT('',#471168); #151483=VERTEX_POINT('',#471170); #151484=VERTEX_POINT('',#471174); #151485=VERTEX_POINT('',#471176); #151486=VERTEX_POINT('',#471180); #151487=VERTEX_POINT('',#471182); #151488=VERTEX_POINT('',#471186); #151489=VERTEX_POINT('',#471188); #151490=VERTEX_POINT('',#471192); #151491=VERTEX_POINT('',#471194); #151492=VERTEX_POINT('',#471203); #151493=VERTEX_POINT('',#471204); #151494=VERTEX_POINT('',#471206); #151495=VERTEX_POINT('',#471208); #151496=VERTEX_POINT('',#471212); #151497=VERTEX_POINT('',#471214); #151498=VERTEX_POINT('',#471218); #151499=VERTEX_POINT('',#471220); #151500=VERTEX_POINT('',#471224); #151501=VERTEX_POINT('',#471226); #151502=VERTEX_POINT('',#471230); #151503=VERTEX_POINT('',#471232); #151504=VERTEX_POINT('',#471236); #151505=VERTEX_POINT('',#471238); #151506=VERTEX_POINT('',#471242); #151507=VERTEX_POINT('',#471244); #151508=VERTEX_POINT('',#471248); #151509=VERTEX_POINT('',#471250); #151510=VERTEX_POINT('',#471254); #151511=VERTEX_POINT('',#471256); #151512=VERTEX_POINT('',#471260); #151513=VERTEX_POINT('',#471262); #151514=VERTEX_POINT('',#471266); #151515=VERTEX_POINT('',#471268); #151516=VERTEX_POINT('',#471272); #151517=VERTEX_POINT('',#471274); #151518=VERTEX_POINT('',#471278); #151519=VERTEX_POINT('',#471280); #151520=VERTEX_POINT('',#471284); #151521=VERTEX_POINT('',#471286); #151522=VERTEX_POINT('',#471290); #151523=VERTEX_POINT('',#471292); #151524=VERTEX_POINT('',#471296); #151525=VERTEX_POINT('',#471298); #151526=VERTEX_POINT('',#471302); #151527=VERTEX_POINT('',#471304); #151528=VERTEX_POINT('',#471308); #151529=VERTEX_POINT('',#471310); #151530=VERTEX_POINT('',#471314); #151531=VERTEX_POINT('',#471316); #151532=VERTEX_POINT('',#471325); #151533=VERTEX_POINT('',#471326); #151534=VERTEX_POINT('',#471328); #151535=VERTEX_POINT('',#471330); #151536=VERTEX_POINT('',#471334); #151537=VERTEX_POINT('',#471336); #151538=VERTEX_POINT('',#471340); #151539=VERTEX_POINT('',#471342); #151540=VERTEX_POINT('',#471346); #151541=VERTEX_POINT('',#471348); #151542=VERTEX_POINT('',#471352); #151543=VERTEX_POINT('',#471354); #151544=VERTEX_POINT('',#471358); #151545=VERTEX_POINT('',#471360); #151546=VERTEX_POINT('',#471364); #151547=VERTEX_POINT('',#471366); #151548=VERTEX_POINT('',#471370); #151549=VERTEX_POINT('',#471372); #151550=VERTEX_POINT('',#471376); #151551=VERTEX_POINT('',#471378); #151552=VERTEX_POINT('',#471382); #151553=VERTEX_POINT('',#471384); #151554=VERTEX_POINT('',#471388); #151555=VERTEX_POINT('',#471390); #151556=VERTEX_POINT('',#471394); #151557=VERTEX_POINT('',#471396); #151558=VERTEX_POINT('',#471400); #151559=VERTEX_POINT('',#471402); #151560=VERTEX_POINT('',#471406); #151561=VERTEX_POINT('',#471408); #151562=VERTEX_POINT('',#471412); #151563=VERTEX_POINT('',#471414); #151564=VERTEX_POINT('',#471418); #151565=VERTEX_POINT('',#471420); #151566=VERTEX_POINT('',#471424); #151567=VERTEX_POINT('',#471426); #151568=VERTEX_POINT('',#471430); #151569=VERTEX_POINT('',#471432); #151570=VERTEX_POINT('',#471436); #151571=VERTEX_POINT('',#471438); #151572=VERTEX_POINT('',#471442); #151573=VERTEX_POINT('',#471444); #151574=VERTEX_POINT('',#471448); #151575=VERTEX_POINT('',#471450); #151576=VERTEX_POINT('',#471454); #151577=VERTEX_POINT('',#471456); #151578=VERTEX_POINT('',#471460); #151579=VERTEX_POINT('',#471462); #151580=VERTEX_POINT('',#471466); #151581=VERTEX_POINT('',#471468); #151582=VERTEX_POINT('',#471472); #151583=VERTEX_POINT('',#471474); #151584=VERTEX_POINT('',#471478); #151585=VERTEX_POINT('',#471480); #151586=VERTEX_POINT('',#471484); #151587=VERTEX_POINT('',#471486); #151588=VERTEX_POINT('',#471490); #151589=VERTEX_POINT('',#471492); #151590=VERTEX_POINT('',#471496); #151591=VERTEX_POINT('',#471498); #151592=VERTEX_POINT('',#471502); #151593=VERTEX_POINT('',#471504); #151594=VERTEX_POINT('',#471508); #151595=VERTEX_POINT('',#471510); #151596=VERTEX_POINT('',#471514); #151597=VERTEX_POINT('',#471516); #151598=VERTEX_POINT('',#471520); #151599=VERTEX_POINT('',#471522); #151600=VERTEX_POINT('',#471526); #151601=VERTEX_POINT('',#471528); #151602=VERTEX_POINT('',#471532); #151603=VERTEX_POINT('',#471534); #151604=VERTEX_POINT('',#471538); #151605=VERTEX_POINT('',#471540); #151606=VERTEX_POINT('',#471544); #151607=VERTEX_POINT('',#471546); #151608=VERTEX_POINT('',#471550); #151609=VERTEX_POINT('',#471552); #151610=VERTEX_POINT('',#471556); #151611=VERTEX_POINT('',#471558); #151612=VERTEX_POINT('',#471567); #151613=VERTEX_POINT('',#471568); #151614=VERTEX_POINT('',#471570); #151615=VERTEX_POINT('',#471572); #151616=VERTEX_POINT('',#471576); #151617=VERTEX_POINT('',#471578); #151618=VERTEX_POINT('',#471582); #151619=VERTEX_POINT('',#471584); #151620=VERTEX_POINT('',#471591); #151621=VERTEX_POINT('',#471593); #151622=VERTEX_POINT('',#471597); #151623=VERTEX_POINT('',#471599); #151624=VERTEX_POINT('',#471603); #151625=VERTEX_POINT('',#471605); #151626=VERTEX_POINT('',#471609); #151627=VERTEX_POINT('',#471611); #151628=VERTEX_POINT('',#471615); #151629=VERTEX_POINT('',#471617); #151630=VERTEX_POINT('',#471621); #151631=VERTEX_POINT('',#471623); #151632=VERTEX_POINT('',#471627); #151633=VERTEX_POINT('',#471629); #151634=VERTEX_POINT('',#471633); #151635=VERTEX_POINT('',#471635); #151636=VERTEX_POINT('',#471639); #151637=VERTEX_POINT('',#471641); #151638=VERTEX_POINT('',#471645); #151639=VERTEX_POINT('',#471647); #151640=VERTEX_POINT('',#471651); #151641=VERTEX_POINT('',#471653); #151642=VERTEX_POINT('',#471657); #151643=VERTEX_POINT('',#471658); #151644=VERTEX_POINT('',#471660); #151645=VERTEX_POINT('',#471662); #151646=VERTEX_POINT('',#471666); #151647=VERTEX_POINT('',#471668); #151648=VERTEX_POINT('',#471672); #151649=VERTEX_POINT('',#471674); #151650=VERTEX_POINT('',#471678); #151651=VERTEX_POINT('',#471680); #151652=VERTEX_POINT('',#471684); #151653=VERTEX_POINT('',#471686); #151654=VERTEX_POINT('',#471690); #151655=VERTEX_POINT('',#471692); #151656=VERTEX_POINT('',#471696); #151657=VERTEX_POINT('',#471698); #151658=VERTEX_POINT('',#471705); #151659=VERTEX_POINT('',#471706); #151660=VERTEX_POINT('',#471708); #151661=VERTEX_POINT('',#471710); #151662=VERTEX_POINT('',#471714); #151663=VERTEX_POINT('',#471716); #151664=VERTEX_POINT('',#471720); #151665=VERTEX_POINT('',#471722); #151666=VERTEX_POINT('',#471726); #151667=VERTEX_POINT('',#471728); #151668=VERTEX_POINT('',#471732); #151669=VERTEX_POINT('',#471734); #151670=VERTEX_POINT('',#471738); #151671=VERTEX_POINT('',#471740); #151672=VERTEX_POINT('',#471744); #151673=VERTEX_POINT('',#471746); #151674=VERTEX_POINT('',#471753); #151675=VERTEX_POINT('',#471754); #151676=VERTEX_POINT('',#471756); #151677=VERTEX_POINT('',#471758); #151678=VERTEX_POINT('',#471762); #151679=VERTEX_POINT('',#471764); #151680=VERTEX_POINT('',#471768); #151681=VERTEX_POINT('',#471770); #151682=VERTEX_POINT('',#471774); #151683=VERTEX_POINT('',#471776); #151684=VERTEX_POINT('',#471780); #151685=VERTEX_POINT('',#471782); #151686=VERTEX_POINT('',#471786); #151687=VERTEX_POINT('',#471788); #151688=VERTEX_POINT('',#471792); #151689=VERTEX_POINT('',#471794); #151690=VERTEX_POINT('',#471798); #151691=VERTEX_POINT('',#471800); #151692=VERTEX_POINT('',#471804); #151693=VERTEX_POINT('',#471806); #151694=VERTEX_POINT('',#471810); #151695=VERTEX_POINT('',#471812); #151696=VERTEX_POINT('',#471816); #151697=VERTEX_POINT('',#471818); #151698=VERTEX_POINT('',#471822); #151699=VERTEX_POINT('',#471824); #151700=VERTEX_POINT('',#471828); #151701=VERTEX_POINT('',#471830); #151702=VERTEX_POINT('',#471834); #151703=VERTEX_POINT('',#471836); #151704=VERTEX_POINT('',#471840); #151705=VERTEX_POINT('',#471842); #151706=VERTEX_POINT('',#471846); #151707=VERTEX_POINT('',#471848); #151708=VERTEX_POINT('',#471852); #151709=VERTEX_POINT('',#471854); #151710=VERTEX_POINT('',#471858); #151711=VERTEX_POINT('',#471860); #151712=VERTEX_POINT('',#471864); #151713=VERTEX_POINT('',#471866); #151714=VERTEX_POINT('',#471870); #151715=VERTEX_POINT('',#471872); #151716=VERTEX_POINT('',#471876); #151717=VERTEX_POINT('',#471878); #151718=VERTEX_POINT('',#471882); #151719=VERTEX_POINT('',#471884); #151720=VERTEX_POINT('',#471888); #151721=VERTEX_POINT('',#471890); #151722=VERTEX_POINT('',#471894); #151723=VERTEX_POINT('',#471896); #151724=VERTEX_POINT('',#471900); #151725=VERTEX_POINT('',#471902); #151726=VERTEX_POINT('',#471906); #151727=VERTEX_POINT('',#471908); #151728=VERTEX_POINT('',#471912); #151729=VERTEX_POINT('',#471914); #151730=VERTEX_POINT('',#471918); #151731=VERTEX_POINT('',#471920); #151732=VERTEX_POINT('',#471924); #151733=VERTEX_POINT('',#471926); #151734=VERTEX_POINT('',#471930); #151735=VERTEX_POINT('',#471932); #151736=VERTEX_POINT('',#471936); #151737=VERTEX_POINT('',#471938); #151738=VERTEX_POINT('',#471942); #151739=VERTEX_POINT('',#471944); #151740=VERTEX_POINT('',#471948); #151741=VERTEX_POINT('',#471950); #151742=VERTEX_POINT('',#471954); #151743=VERTEX_POINT('',#471956); #151744=VERTEX_POINT('',#471960); #151745=VERTEX_POINT('',#471962); #151746=VERTEX_POINT('',#471966); #151747=VERTEX_POINT('',#471968); #151748=VERTEX_POINT('',#471972); #151749=VERTEX_POINT('',#471974); #151750=VERTEX_POINT('',#471978); #151751=VERTEX_POINT('',#471980); #151752=VERTEX_POINT('',#471984); #151753=VERTEX_POINT('',#471986); #151754=VERTEX_POINT('',#471990); #151755=VERTEX_POINT('',#471992); #151756=VERTEX_POINT('',#471996); #151757=VERTEX_POINT('',#471998); #151758=VERTEX_POINT('',#472002); #151759=VERTEX_POINT('',#472004); #151760=VERTEX_POINT('',#472008); #151761=VERTEX_POINT('',#472010); #151762=VERTEX_POINT('',#472014); #151763=VERTEX_POINT('',#472016); #151764=VERTEX_POINT('',#472020); #151765=VERTEX_POINT('',#472022); #151766=VERTEX_POINT('',#472026); #151767=VERTEX_POINT('',#472028); #151768=VERTEX_POINT('',#472032); #151769=VERTEX_POINT('',#472034); #151770=VERTEX_POINT('',#472038); #151771=VERTEX_POINT('',#472040); #151772=VERTEX_POINT('',#472044); #151773=VERTEX_POINT('',#472046); #151774=VERTEX_POINT('',#472050); #151775=VERTEX_POINT('',#472052); #151776=VERTEX_POINT('',#472056); #151777=VERTEX_POINT('',#472058); #151778=VERTEX_POINT('',#472062); #151779=VERTEX_POINT('',#472064); #151780=VERTEX_POINT('',#472068); #151781=VERTEX_POINT('',#472070); #151782=VERTEX_POINT('',#472074); #151783=VERTEX_POINT('',#472076); #151784=VERTEX_POINT('',#472080); #151785=VERTEX_POINT('',#472082); #151786=VERTEX_POINT('',#472086); #151787=VERTEX_POINT('',#472088); #151788=VERTEX_POINT('',#472092); #151789=VERTEX_POINT('',#472094); #151790=VERTEX_POINT('',#472098); #151791=VERTEX_POINT('',#472100); #151792=VERTEX_POINT('',#472104); #151793=VERTEX_POINT('',#472106); #151794=VERTEX_POINT('',#472110); #151795=VERTEX_POINT('',#472112); #151796=VERTEX_POINT('',#472116); #151797=VERTEX_POINT('',#472118); #151798=VERTEX_POINT('',#472122); #151799=VERTEX_POINT('',#472124); #151800=VERTEX_POINT('',#472128); #151801=VERTEX_POINT('',#472130); #151802=VERTEX_POINT('',#472134); #151803=VERTEX_POINT('',#472136); #151804=VERTEX_POINT('',#472140); #151805=VERTEX_POINT('',#472142); #151806=VERTEX_POINT('',#472146); #151807=VERTEX_POINT('',#472148); #151808=VERTEX_POINT('',#472152); #151809=VERTEX_POINT('',#472154); #151810=VERTEX_POINT('',#472158); #151811=VERTEX_POINT('',#472160); #151812=VERTEX_POINT('',#472164); #151813=VERTEX_POINT('',#472166); #151814=VERTEX_POINT('',#472170); #151815=VERTEX_POINT('',#472172); #151816=VERTEX_POINT('',#472176); #151817=VERTEX_POINT('',#472178); #151818=VERTEX_POINT('',#472182); #151819=VERTEX_POINT('',#472184); #151820=VERTEX_POINT('',#472188); #151821=VERTEX_POINT('',#472190); #151822=VERTEX_POINT('',#472194); #151823=VERTEX_POINT('',#472196); #151824=VERTEX_POINT('',#472200); #151825=VERTEX_POINT('',#472202); #151826=VERTEX_POINT('',#472206); #151827=VERTEX_POINT('',#472208); #151828=VERTEX_POINT('',#472212); #151829=VERTEX_POINT('',#472214); #151830=VERTEX_POINT('',#472218); #151831=VERTEX_POINT('',#472220); #151832=VERTEX_POINT('',#472224); #151833=VERTEX_POINT('',#472226); #151834=VERTEX_POINT('',#472230); #151835=VERTEX_POINT('',#472232); #151836=VERTEX_POINT('',#472236); #151837=VERTEX_POINT('',#472238); #151838=VERTEX_POINT('',#472242); #151839=VERTEX_POINT('',#472244); #151840=VERTEX_POINT('',#472248); #151841=VERTEX_POINT('',#472250); #151842=VERTEX_POINT('',#472254); #151843=VERTEX_POINT('',#472256); #151844=VERTEX_POINT('',#472260); #151845=VERTEX_POINT('',#472262); #151846=VERTEX_POINT('',#472266); #151847=VERTEX_POINT('',#472268); #151848=VERTEX_POINT('',#472272); #151849=VERTEX_POINT('',#472274); #151850=VERTEX_POINT('',#472278); #151851=VERTEX_POINT('',#472280); #151852=VERTEX_POINT('',#472284); #151853=VERTEX_POINT('',#472286); #151854=VERTEX_POINT('',#472290); #151855=VERTEX_POINT('',#472292); #151856=VERTEX_POINT('',#472296); #151857=VERTEX_POINT('',#472298); #151858=VERTEX_POINT('',#472302); #151859=VERTEX_POINT('',#472304); #151860=VERTEX_POINT('',#472308); #151861=VERTEX_POINT('',#472310); #151862=VERTEX_POINT('',#472314); #151863=VERTEX_POINT('',#472316); #151864=VERTEX_POINT('',#472320); #151865=VERTEX_POINT('',#472322); #151866=VERTEX_POINT('',#472326); #151867=VERTEX_POINT('',#472328); #151868=VERTEX_POINT('',#472332); #151869=VERTEX_POINT('',#472334); #151870=VERTEX_POINT('',#472338); #151871=VERTEX_POINT('',#472340); #151872=VERTEX_POINT('',#472344); #151873=VERTEX_POINT('',#472346); #151874=VERTEX_POINT('',#472350); #151875=VERTEX_POINT('',#472352); #151876=VERTEX_POINT('',#472356); #151877=VERTEX_POINT('',#472358); #151878=VERTEX_POINT('',#472362); #151879=VERTEX_POINT('',#472364); #151880=VERTEX_POINT('',#472368); #151881=VERTEX_POINT('',#472370); #151882=VERTEX_POINT('',#472374); #151883=VERTEX_POINT('',#472376); #151884=VERTEX_POINT('',#472380); #151885=VERTEX_POINT('',#472382); #151886=VERTEX_POINT('',#472386); #151887=VERTEX_POINT('',#472388); #151888=VERTEX_POINT('',#472392); #151889=VERTEX_POINT('',#472394); #151890=VERTEX_POINT('',#472398); #151891=VERTEX_POINT('',#472400); #151892=VERTEX_POINT('',#472404); #151893=VERTEX_POINT('',#472406); #151894=VERTEX_POINT('',#472410); #151895=VERTEX_POINT('',#472412); #151896=VERTEX_POINT('',#472416); #151897=VERTEX_POINT('',#472418); #151898=VERTEX_POINT('',#472422); #151899=VERTEX_POINT('',#472424); #151900=VERTEX_POINT('',#472428); #151901=VERTEX_POINT('',#472430); #151902=VERTEX_POINT('',#472434); #151903=VERTEX_POINT('',#472436); #151904=VERTEX_POINT('',#472440); #151905=VERTEX_POINT('',#472442); #151906=VERTEX_POINT('',#472446); #151907=VERTEX_POINT('',#472448); #151908=VERTEX_POINT('',#472452); #151909=VERTEX_POINT('',#472454); #151910=VERTEX_POINT('',#472458); #151911=VERTEX_POINT('',#472460); #151912=VERTEX_POINT('',#472464); #151913=VERTEX_POINT('',#472466); #151914=VERTEX_POINT('',#472470); #151915=VERTEX_POINT('',#472472); #151916=VERTEX_POINT('',#472476); #151917=VERTEX_POINT('',#472478); #151918=VERTEX_POINT('',#472482); #151919=VERTEX_POINT('',#472484); #151920=VERTEX_POINT('',#472488); #151921=VERTEX_POINT('',#472490); #151922=VERTEX_POINT('',#472494); #151923=VERTEX_POINT('',#472496); #151924=VERTEX_POINT('',#472500); #151925=VERTEX_POINT('',#472502); #151926=VERTEX_POINT('',#472506); #151927=VERTEX_POINT('',#472508); #151928=VERTEX_POINT('',#472512); #151929=VERTEX_POINT('',#472514); #151930=VERTEX_POINT('',#472518); #151931=VERTEX_POINT('',#472520); #151932=VERTEX_POINT('',#472524); #151933=VERTEX_POINT('',#472526); #151934=VERTEX_POINT('',#472530); #151935=VERTEX_POINT('',#472532); #151936=VERTEX_POINT('',#472536); #151937=VERTEX_POINT('',#472538); #151938=VERTEX_POINT('',#472542); #151939=VERTEX_POINT('',#472544); #151940=VERTEX_POINT('',#472548); #151941=VERTEX_POINT('',#472550); #151942=VERTEX_POINT('',#472554); #151943=VERTEX_POINT('',#472556); #151944=VERTEX_POINT('',#472560); #151945=VERTEX_POINT('',#472562); #151946=VERTEX_POINT('',#472566); #151947=VERTEX_POINT('',#472568); #151948=VERTEX_POINT('',#472572); #151949=VERTEX_POINT('',#472574); #151950=VERTEX_POINT('',#472578); #151951=VERTEX_POINT('',#472580); #151952=VERTEX_POINT('',#472584); #151953=VERTEX_POINT('',#472586); #151954=VERTEX_POINT('',#472590); #151955=VERTEX_POINT('',#472592); #151956=VERTEX_POINT('',#472596); #151957=VERTEX_POINT('',#472598); #151958=VERTEX_POINT('',#472602); #151959=VERTEX_POINT('',#472604); #151960=VERTEX_POINT('',#472608); #151961=VERTEX_POINT('',#472610); #151962=VERTEX_POINT('',#472614); #151963=VERTEX_POINT('',#472616); #151964=VERTEX_POINT('',#472620); #151965=VERTEX_POINT('',#472622); #151966=VERTEX_POINT('',#472626); #151967=VERTEX_POINT('',#472628); #151968=VERTEX_POINT('',#472632); #151969=VERTEX_POINT('',#472634); #151970=VERTEX_POINT('',#472638); #151971=VERTEX_POINT('',#472640); #151972=VERTEX_POINT('',#472644); #151973=VERTEX_POINT('',#472646); #151974=VERTEX_POINT('',#472650); #151975=VERTEX_POINT('',#472652); #151976=VERTEX_POINT('',#472656); #151977=VERTEX_POINT('',#472658); #151978=VERTEX_POINT('',#472662); #151979=VERTEX_POINT('',#472664); #151980=VERTEX_POINT('',#472668); #151981=VERTEX_POINT('',#472670); #151982=VERTEX_POINT('',#472674); #151983=VERTEX_POINT('',#472676); #151984=VERTEX_POINT('',#472680); #151985=VERTEX_POINT('',#472682); #151986=VERTEX_POINT('',#472686); #151987=VERTEX_POINT('',#472688); #151988=VERTEX_POINT('',#472692); #151989=VERTEX_POINT('',#472694); #151990=VERTEX_POINT('',#472698); #151991=VERTEX_POINT('',#472700); #151992=VERTEX_POINT('',#472704); #151993=VERTEX_POINT('',#472706); #151994=VERTEX_POINT('',#472710); #151995=VERTEX_POINT('',#472712); #151996=VERTEX_POINT('',#472716); #151997=VERTEX_POINT('',#472718); #151998=VERTEX_POINT('',#472722); #151999=VERTEX_POINT('',#472724); #152000=VERTEX_POINT('',#472728); #152001=VERTEX_POINT('',#472730); #152002=VERTEX_POINT('',#472734); #152003=VERTEX_POINT('',#472736); #152004=VERTEX_POINT('',#472740); #152005=VERTEX_POINT('',#472742); #152006=VERTEX_POINT('',#472746); #152007=VERTEX_POINT('',#472748); #152008=VERTEX_POINT('',#472752); #152009=VERTEX_POINT('',#472754); #152010=VERTEX_POINT('',#472758); #152011=VERTEX_POINT('',#472760); #152012=VERTEX_POINT('',#472764); #152013=VERTEX_POINT('',#472766); #152014=VERTEX_POINT('',#472770); #152015=VERTEX_POINT('',#472772); #152016=VERTEX_POINT('',#472776); #152017=VERTEX_POINT('',#472778); #152018=VERTEX_POINT('',#472782); #152019=VERTEX_POINT('',#472784); #152020=VERTEX_POINT('',#472788); #152021=VERTEX_POINT('',#472790); #152022=VERTEX_POINT('',#472794); #152023=VERTEX_POINT('',#472796); #152024=VERTEX_POINT('',#472800); #152025=VERTEX_POINT('',#472802); #152026=VERTEX_POINT('',#472806); #152027=VERTEX_POINT('',#472808); #152028=VERTEX_POINT('',#472812); #152029=VERTEX_POINT('',#472814); #152030=VERTEX_POINT('',#472818); #152031=VERTEX_POINT('',#472820); #152032=VERTEX_POINT('',#472824); #152033=VERTEX_POINT('',#472826); #152034=VERTEX_POINT('',#472830); #152035=VERTEX_POINT('',#472832); #152036=VERTEX_POINT('',#472836); #152037=VERTEX_POINT('',#472838); #152038=VERTEX_POINT('',#472842); #152039=VERTEX_POINT('',#472844); #152040=VERTEX_POINT('',#472853); #152041=VERTEX_POINT('',#472854); #152042=VERTEX_POINT('',#472856); #152043=VERTEX_POINT('',#472858); #152044=VERTEX_POINT('',#472862); #152045=VERTEX_POINT('',#472864); #152046=VERTEX_POINT('',#472868); #152047=VERTEX_POINT('',#472870); #152048=VERTEX_POINT('',#472874); #152049=VERTEX_POINT('',#472876); #152050=VERTEX_POINT('',#472880); #152051=VERTEX_POINT('',#472882); #152052=VERTEX_POINT('',#472886); #152053=VERTEX_POINT('',#472888); #152054=VERTEX_POINT('',#472892); #152055=VERTEX_POINT('',#472894); #152056=VERTEX_POINT('',#472898); #152057=VERTEX_POINT('',#472900); #152058=VERTEX_POINT('',#472904); #152059=VERTEX_POINT('',#472906); #152060=VERTEX_POINT('',#472910); #152061=VERTEX_POINT('',#472912); #152062=VERTEX_POINT('',#472916); #152063=VERTEX_POINT('',#472918); #152064=VERTEX_POINT('',#472922); #152065=VERTEX_POINT('',#472924); #152066=VERTEX_POINT('',#472928); #152067=VERTEX_POINT('',#472930); #152068=VERTEX_POINT('',#472934); #152069=VERTEX_POINT('',#472936); #152070=VERTEX_POINT('',#472940); #152071=VERTEX_POINT('',#472942); #152072=VERTEX_POINT('',#472946); #152073=VERTEX_POINT('',#472948); #152074=VERTEX_POINT('',#472952); #152075=VERTEX_POINT('',#472954); #152076=VERTEX_POINT('',#472958); #152077=VERTEX_POINT('',#472960); #152078=VERTEX_POINT('',#472964); #152079=VERTEX_POINT('',#472966); #152080=VERTEX_POINT('',#472975); #152081=VERTEX_POINT('',#472976); #152082=VERTEX_POINT('',#472978); #152083=VERTEX_POINT('',#472980); #152084=VERTEX_POINT('',#472984); #152085=VERTEX_POINT('',#472986); #152086=VERTEX_POINT('',#472990); #152087=VERTEX_POINT('',#472992); #152088=VERTEX_POINT('',#472996); #152089=VERTEX_POINT('',#472998); #152090=VERTEX_POINT('',#473002); #152091=VERTEX_POINT('',#473004); #152092=VERTEX_POINT('',#473008); #152093=VERTEX_POINT('',#473010); #152094=VERTEX_POINT('',#473014); #152095=VERTEX_POINT('',#473016); #152096=VERTEX_POINT('',#473020); #152097=VERTEX_POINT('',#473022); #152098=VERTEX_POINT('',#473026); #152099=VERTEX_POINT('',#473028); #152100=VERTEX_POINT('',#473032); #152101=VERTEX_POINT('',#473034); #152102=VERTEX_POINT('',#473038); #152103=VERTEX_POINT('',#473040); #152104=VERTEX_POINT('',#473044); #152105=VERTEX_POINT('',#473046); #152106=VERTEX_POINT('',#473050); #152107=VERTEX_POINT('',#473052); #152108=VERTEX_POINT('',#473056); #152109=VERTEX_POINT('',#473058); #152110=VERTEX_POINT('',#473062); #152111=VERTEX_POINT('',#473064); #152112=VERTEX_POINT('',#473068); #152113=VERTEX_POINT('',#473070); #152114=VERTEX_POINT('',#473074); #152115=VERTEX_POINT('',#473076); #152116=VERTEX_POINT('',#473080); #152117=VERTEX_POINT('',#473082); #152118=VERTEX_POINT('',#473086); #152119=VERTEX_POINT('',#473088); #152120=VERTEX_POINT('',#473092); #152121=VERTEX_POINT('',#473094); #152122=VERTEX_POINT('',#473103); #152123=VERTEX_POINT('',#473105); #152124=VERTEX_POINT('',#473109); #152125=VERTEX_POINT('',#473111); #152126=VERTEX_POINT('',#473115); #152127=VERTEX_POINT('',#473117); #152128=VERTEX_POINT('',#473121); #152129=VERTEX_POINT('',#473123); #152130=VERTEX_POINT('',#473127); #152131=VERTEX_POINT('',#473129); #152132=VERTEX_POINT('',#473133); #152133=VERTEX_POINT('',#473135); #152134=VERTEX_POINT('',#473139); #152135=VERTEX_POINT('',#473141); #152136=VERTEX_POINT('',#473145); #152137=VERTEX_POINT('',#473147); #152138=VERTEX_POINT('',#473151); #152139=VERTEX_POINT('',#473153); #152140=VERTEX_POINT('',#473157); #152141=VERTEX_POINT('',#473159); #152142=VERTEX_POINT('',#473163); #152143=VERTEX_POINT('',#473165); #152144=VERTEX_POINT('',#473169); #152145=VERTEX_POINT('',#473171); #152146=VERTEX_POINT('',#473175); #152147=VERTEX_POINT('',#473177); #152148=VERTEX_POINT('',#473181); #152149=VERTEX_POINT('',#473183); #152150=VERTEX_POINT('',#473187); #152151=VERTEX_POINT('',#473189); #152152=VERTEX_POINT('',#473193); #152153=VERTEX_POINT('',#473195); #152154=VERTEX_POINT('',#473199); #152155=VERTEX_POINT('',#473201); #152156=VERTEX_POINT('',#473205); #152157=VERTEX_POINT('',#473207); #152158=VERTEX_POINT('',#473211); #152159=VERTEX_POINT('',#473213); #152160=VERTEX_POINT('',#473217); #152161=VERTEX_POINT('',#473219); #152162=VERTEX_POINT('',#473223); #152163=VERTEX_POINT('',#473225); #152164=VERTEX_POINT('',#473229); #152165=VERTEX_POINT('',#473231); #152166=VERTEX_POINT('',#473235); #152167=VERTEX_POINT('',#473237); #152168=VERTEX_POINT('',#473241); #152169=VERTEX_POINT('',#473243); #152170=VERTEX_POINT('',#473247); #152171=VERTEX_POINT('',#473249); #152172=VERTEX_POINT('',#473253); #152173=VERTEX_POINT('',#473255); #152174=VERTEX_POINT('',#473259); #152175=VERTEX_POINT('',#473261); #152176=VERTEX_POINT('',#473265); #152177=VERTEX_POINT('',#473267); #152178=VERTEX_POINT('',#473271); #152179=VERTEX_POINT('',#473273); #152180=VERTEX_POINT('',#473277); #152181=VERTEX_POINT('',#473279); #152182=VERTEX_POINT('',#473283); #152183=VERTEX_POINT('',#473285); #152184=VERTEX_POINT('',#473289); #152185=VERTEX_POINT('',#473291); #152186=VERTEX_POINT('',#473295); #152187=VERTEX_POINT('',#473297); #152188=VERTEX_POINT('',#473301); #152189=VERTEX_POINT('',#473303); #152190=VERTEX_POINT('',#473307); #152191=VERTEX_POINT('',#473309); #152192=VERTEX_POINT('',#473313); #152193=VERTEX_POINT('',#473315); #152194=VERTEX_POINT('',#473319); #152195=VERTEX_POINT('',#473321); #152196=VERTEX_POINT('',#473325); #152197=VERTEX_POINT('',#473327); #152198=VERTEX_POINT('',#473331); #152199=VERTEX_POINT('',#473333); #152200=VERTEX_POINT('',#473337); #152201=VERTEX_POINT('',#473339); #152202=VERTEX_POINT('',#473343); #152203=VERTEX_POINT('',#473345); #152204=VERTEX_POINT('',#473349); #152205=VERTEX_POINT('',#473351); #152206=VERTEX_POINT('',#473355); #152207=VERTEX_POINT('',#473357); #152208=VERTEX_POINT('',#473361); #152209=VERTEX_POINT('',#473363); #152210=VERTEX_POINT('',#473367); #152211=VERTEX_POINT('',#473369); #152212=VERTEX_POINT('',#473373); #152213=VERTEX_POINT('',#473375); #152214=VERTEX_POINT('',#473379); #152215=VERTEX_POINT('',#473381); #152216=VERTEX_POINT('',#473385); #152217=VERTEX_POINT('',#473387); #152218=VERTEX_POINT('',#473391); #152219=VERTEX_POINT('',#473393); #152220=VERTEX_POINT('',#473397); #152221=VERTEX_POINT('',#473398); #152222=VERTEX_POINT('',#473400); #152223=VERTEX_POINT('',#473402); #152224=VERTEX_POINT('',#473406); #152225=VERTEX_POINT('',#473408); #152226=VERTEX_POINT('',#473412); #152227=VERTEX_POINT('',#473414); #152228=VERTEX_POINT('',#473418); #152229=VERTEX_POINT('',#473420); #152230=VERTEX_POINT('',#473424); #152231=VERTEX_POINT('',#473426); #152232=VERTEX_POINT('',#473430); #152233=VERTEX_POINT('',#473432); #152234=VERTEX_POINT('',#473436); #152235=VERTEX_POINT('',#473438); #152236=VERTEX_POINT('',#473442); #152237=VERTEX_POINT('',#473444); #152238=VERTEX_POINT('',#473448); #152239=VERTEX_POINT('',#473450); #152240=VERTEX_POINT('',#473454); #152241=VERTEX_POINT('',#473456); #152242=VERTEX_POINT('',#473460); #152243=VERTEX_POINT('',#473462); #152244=VERTEX_POINT('',#473466); #152245=VERTEX_POINT('',#473468); #152246=VERTEX_POINT('',#473472); #152247=VERTEX_POINT('',#473474); #152248=VERTEX_POINT('',#473478); #152249=VERTEX_POINT('',#473480); #152250=VERTEX_POINT('',#473484); #152251=VERTEX_POINT('',#473486); #152252=VERTEX_POINT('',#473490); #152253=VERTEX_POINT('',#473492); #152254=VERTEX_POINT('',#473496); #152255=VERTEX_POINT('',#473498); #152256=VERTEX_POINT('',#473502); #152257=VERTEX_POINT('',#473504); #152258=VERTEX_POINT('',#473508); #152259=VERTEX_POINT('',#473510); #152260=VERTEX_POINT('',#473514); #152261=VERTEX_POINT('',#473516); #152262=VERTEX_POINT('',#473520); #152263=VERTEX_POINT('',#473522); #152264=VERTEX_POINT('',#473526); #152265=VERTEX_POINT('',#473528); #152266=VERTEX_POINT('',#473532); #152267=VERTEX_POINT('',#473534); #152268=VERTEX_POINT('',#473538); #152269=VERTEX_POINT('',#473540); #152270=VERTEX_POINT('',#473544); #152271=VERTEX_POINT('',#473546); #152272=VERTEX_POINT('',#473550); #152273=VERTEX_POINT('',#473552); #152274=VERTEX_POINT('',#473556); #152275=VERTEX_POINT('',#473558); #152276=VERTEX_POINT('',#473562); #152277=VERTEX_POINT('',#473564); #152278=VERTEX_POINT('',#473568); #152279=VERTEX_POINT('',#473570); #152280=VERTEX_POINT('',#473574); #152281=VERTEX_POINT('',#473576); #152282=VERTEX_POINT('',#473580); #152283=VERTEX_POINT('',#473582); #152284=VERTEX_POINT('',#473586); #152285=VERTEX_POINT('',#473588); #152286=VERTEX_POINT('',#473592); #152287=VERTEX_POINT('',#473594); #152288=VERTEX_POINT('',#473598); #152289=VERTEX_POINT('',#473600); #152290=VERTEX_POINT('',#473604); #152291=VERTEX_POINT('',#473606); #152292=VERTEX_POINT('',#473610); #152293=VERTEX_POINT('',#473612); #152294=VERTEX_POINT('',#473616); #152295=VERTEX_POINT('',#473618); #152296=VERTEX_POINT('',#473622); #152297=VERTEX_POINT('',#473624); #152298=VERTEX_POINT('',#473628); #152299=VERTEX_POINT('',#473630); #152300=VERTEX_POINT('',#473634); #152301=VERTEX_POINT('',#473636); #152302=VERTEX_POINT('',#473640); #152303=VERTEX_POINT('',#473642); #152304=VERTEX_POINT('',#473646); #152305=VERTEX_POINT('',#473648); #152306=VERTEX_POINT('',#473652); #152307=VERTEX_POINT('',#473654); #152308=VERTEX_POINT('',#473658); #152309=VERTEX_POINT('',#473660); #152310=VERTEX_POINT('',#473664); #152311=VERTEX_POINT('',#473666); #152312=VERTEX_POINT('',#473670); #152313=VERTEX_POINT('',#473672); #152314=VERTEX_POINT('',#473676); #152315=VERTEX_POINT('',#473678); #152316=VERTEX_POINT('',#473682); #152317=VERTEX_POINT('',#473684); #152318=VERTEX_POINT('',#473688); #152319=VERTEX_POINT('',#473690); #152320=VERTEX_POINT('',#473694); #152321=VERTEX_POINT('',#473696); #152322=VERTEX_POINT('',#473700); #152323=VERTEX_POINT('',#473702); #152324=VERTEX_POINT('',#473706); #152325=VERTEX_POINT('',#473708); #152326=VERTEX_POINT('',#473712); #152327=VERTEX_POINT('',#473714); #152328=VERTEX_POINT('',#473718); #152329=VERTEX_POINT('',#473720); #152330=VERTEX_POINT('',#473724); #152331=VERTEX_POINT('',#473726); #152332=VERTEX_POINT('',#473730); #152333=VERTEX_POINT('',#473732); #152334=VERTEX_POINT('',#473736); #152335=VERTEX_POINT('',#473738); #152336=VERTEX_POINT('',#473742); #152337=VERTEX_POINT('',#473744); #152338=VERTEX_POINT('',#473748); #152339=VERTEX_POINT('',#473750); #152340=VERTEX_POINT('',#473754); #152341=VERTEX_POINT('',#473756); #152342=VERTEX_POINT('',#473760); #152343=VERTEX_POINT('',#473762); #152344=VERTEX_POINT('',#473766); #152345=VERTEX_POINT('',#473768); #152346=VERTEX_POINT('',#473772); #152347=VERTEX_POINT('',#473774); #152348=VERTEX_POINT('',#473778); #152349=VERTEX_POINT('',#473780); #152350=VERTEX_POINT('',#473784); #152351=VERTEX_POINT('',#473786); #152352=VERTEX_POINT('',#473790); #152353=VERTEX_POINT('',#473792); #152354=VERTEX_POINT('',#473796); #152355=VERTEX_POINT('',#473798); #152356=VERTEX_POINT('',#473802); #152357=VERTEX_POINT('',#473804); #152358=VERTEX_POINT('',#473808); #152359=VERTEX_POINT('',#473810); #152360=VERTEX_POINT('',#473814); #152361=VERTEX_POINT('',#473816); #152362=VERTEX_POINT('',#473820); #152363=VERTEX_POINT('',#473822); #152364=VERTEX_POINT('',#473826); #152365=VERTEX_POINT('',#473828); #152366=VERTEX_POINT('',#473832); #152367=VERTEX_POINT('',#473834); #152368=VERTEX_POINT('',#473838); #152369=VERTEX_POINT('',#473840); #152370=VERTEX_POINT('',#473844); #152371=VERTEX_POINT('',#473846); #152372=VERTEX_POINT('',#473850); #152373=VERTEX_POINT('',#473852); #152374=VERTEX_POINT('',#473856); #152375=VERTEX_POINT('',#473858); #152376=VERTEX_POINT('',#473862); #152377=VERTEX_POINT('',#473864); #152378=VERTEX_POINT('',#473868); #152379=VERTEX_POINT('',#473870); #152380=VERTEX_POINT('',#473874); #152381=VERTEX_POINT('',#473876); #152382=VERTEX_POINT('',#473880); #152383=VERTEX_POINT('',#473882); #152384=VERTEX_POINT('',#473886); #152385=VERTEX_POINT('',#473888); #152386=VERTEX_POINT('',#473892); #152387=VERTEX_POINT('',#473894); #152388=VERTEX_POINT('',#473898); #152389=VERTEX_POINT('',#473900); #152390=VERTEX_POINT('',#473904); #152391=VERTEX_POINT('',#473906); #152392=VERTEX_POINT('',#473910); #152393=VERTEX_POINT('',#473912); #152394=VERTEX_POINT('',#473916); #152395=VERTEX_POINT('',#473918); #152396=VERTEX_POINT('',#473922); #152397=VERTEX_POINT('',#473924); #152398=VERTEX_POINT('',#473928); #152399=VERTEX_POINT('',#473930); #152400=VERTEX_POINT('',#473934); #152401=VERTEX_POINT('',#473936); #152402=VERTEX_POINT('',#473940); #152403=VERTEX_POINT('',#473942); #152404=VERTEX_POINT('',#473946); #152405=VERTEX_POINT('',#473948); #152406=VERTEX_POINT('',#473952); #152407=VERTEX_POINT('',#473954); #152408=VERTEX_POINT('',#473958); #152409=VERTEX_POINT('',#473960); #152410=VERTEX_POINT('',#473964); #152411=VERTEX_POINT('',#473966); #152412=VERTEX_POINT('',#473970); #152413=VERTEX_POINT('',#473972); #152414=VERTEX_POINT('',#473976); #152415=VERTEX_POINT('',#473978); #152416=VERTEX_POINT('',#473982); #152417=VERTEX_POINT('',#473984); #152418=VERTEX_POINT('',#473988); #152419=VERTEX_POINT('',#473990); #152420=VERTEX_POINT('',#473994); #152421=VERTEX_POINT('',#473996); #152422=VERTEX_POINT('',#474000); #152423=VERTEX_POINT('',#474002); #152424=VERTEX_POINT('',#474006); #152425=VERTEX_POINT('',#474008); #152426=VERTEX_POINT('',#474012); #152427=VERTEX_POINT('',#474014); #152428=VERTEX_POINT('',#474018); #152429=VERTEX_POINT('',#474020); #152430=VERTEX_POINT('',#474024); #152431=VERTEX_POINT('',#474026); #152432=VERTEX_POINT('',#474030); #152433=VERTEX_POINT('',#474032); #152434=VERTEX_POINT('',#474036); #152435=VERTEX_POINT('',#474038); #152436=VERTEX_POINT('',#474042); #152437=VERTEX_POINT('',#474044); #152438=VERTEX_POINT('',#474048); #152439=VERTEX_POINT('',#474050); #152440=VERTEX_POINT('',#474054); #152441=VERTEX_POINT('',#474056); #152442=VERTEX_POINT('',#474060); #152443=VERTEX_POINT('',#474062); #152444=VERTEX_POINT('',#474066); #152445=VERTEX_POINT('',#474068); #152446=VERTEX_POINT('',#474072); #152447=VERTEX_POINT('',#474074); #152448=VERTEX_POINT('',#474078); #152449=VERTEX_POINT('',#474080); #152450=VERTEX_POINT('',#474084); #152451=VERTEX_POINT('',#474086); #152452=VERTEX_POINT('',#474090); #152453=VERTEX_POINT('',#474092); #152454=VERTEX_POINT('',#474096); #152455=VERTEX_POINT('',#474098); #152456=VERTEX_POINT('',#474102); #152457=VERTEX_POINT('',#474104); #152458=VERTEX_POINT('',#474108); #152459=VERTEX_POINT('',#474110); #152460=VERTEX_POINT('',#474114); #152461=VERTEX_POINT('',#474116); #152462=VERTEX_POINT('',#474120); #152463=VERTEX_POINT('',#474122); #152464=VERTEX_POINT('',#474126); #152465=VERTEX_POINT('',#474128); #152466=VERTEX_POINT('',#474132); #152467=VERTEX_POINT('',#474134); #152468=VERTEX_POINT('',#474138); #152469=VERTEX_POINT('',#474140); #152470=VERTEX_POINT('',#474144); #152471=VERTEX_POINT('',#474146); #152472=VERTEX_POINT('',#474150); #152473=VERTEX_POINT('',#474152); #152474=VERTEX_POINT('',#474156); #152475=VERTEX_POINT('',#474158); #152476=VERTEX_POINT('',#474162); #152477=VERTEX_POINT('',#474164); #152478=VERTEX_POINT('',#474168); #152479=VERTEX_POINT('',#474170); #152480=VERTEX_POINT('',#474174); #152481=VERTEX_POINT('',#474176); #152482=VERTEX_POINT('',#474180); #152483=VERTEX_POINT('',#474182); #152484=VERTEX_POINT('',#474186); #152485=VERTEX_POINT('',#474188); #152486=VERTEX_POINT('',#474192); #152487=VERTEX_POINT('',#474194); #152488=VERTEX_POINT('',#474198); #152489=VERTEX_POINT('',#474200); #152490=VERTEX_POINT('',#474204); #152491=VERTEX_POINT('',#474206); #152492=VERTEX_POINT('',#474210); #152493=VERTEX_POINT('',#474212); #152494=VERTEX_POINT('',#474216); #152495=VERTEX_POINT('',#474218); #152496=VERTEX_POINT('',#474222); #152497=VERTEX_POINT('',#474224); #152498=VERTEX_POINT('',#474228); #152499=VERTEX_POINT('',#474230); #152500=VERTEX_POINT('',#474234); #152501=VERTEX_POINT('',#474236); #152502=VERTEX_POINT('',#474240); #152503=VERTEX_POINT('',#474242); #152504=VERTEX_POINT('',#474246); #152505=VERTEX_POINT('',#474248); #152506=VERTEX_POINT('',#474252); #152507=VERTEX_POINT('',#474254); #152508=VERTEX_POINT('',#474258); #152509=VERTEX_POINT('',#474260); #152510=VERTEX_POINT('',#474264); #152511=VERTEX_POINT('',#474266); #152512=VERTEX_POINT('',#474270); #152513=VERTEX_POINT('',#474272); #152514=VERTEX_POINT('',#474276); #152515=VERTEX_POINT('',#474278); #152516=VERTEX_POINT('',#474282); #152517=VERTEX_POINT('',#474284); #152518=VERTEX_POINT('',#474288); #152519=VERTEX_POINT('',#474290); #152520=VERTEX_POINT('',#474294); #152521=VERTEX_POINT('',#474296); #152522=VERTEX_POINT('',#474300); #152523=VERTEX_POINT('',#474302); #152524=VERTEX_POINT('',#474306); #152525=VERTEX_POINT('',#474308); #152526=VERTEX_POINT('',#474312); #152527=VERTEX_POINT('',#474314); #152528=VERTEX_POINT('',#474318); #152529=VERTEX_POINT('',#474320); #152530=VERTEX_POINT('',#474324); #152531=VERTEX_POINT('',#474326); #152532=VERTEX_POINT('',#474330); #152533=VERTEX_POINT('',#474332); #152534=VERTEX_POINT('',#474336); #152535=VERTEX_POINT('',#474338); #152536=VERTEX_POINT('',#474342); #152537=VERTEX_POINT('',#474344); #152538=VERTEX_POINT('',#474348); #152539=VERTEX_POINT('',#474350); #152540=VERTEX_POINT('',#474354); #152541=VERTEX_POINT('',#474356); #152542=VERTEX_POINT('',#474360); #152543=VERTEX_POINT('',#474362); #152544=VERTEX_POINT('',#474366); #152545=VERTEX_POINT('',#474368); #152546=VERTEX_POINT('',#474372); #152547=VERTEX_POINT('',#474374); #152548=VERTEX_POINT('',#474378); #152549=VERTEX_POINT('',#474380); #152550=VERTEX_POINT('',#474384); #152551=VERTEX_POINT('',#474386); #152552=VERTEX_POINT('',#474390); #152553=VERTEX_POINT('',#474392); #152554=VERTEX_POINT('',#474396); #152555=VERTEX_POINT('',#474398); #152556=VERTEX_POINT('',#474402); #152557=VERTEX_POINT('',#474404); #152558=VERTEX_POINT('',#474408); #152559=VERTEX_POINT('',#474410); #152560=VERTEX_POINT('',#474414); #152561=VERTEX_POINT('',#474416); #152562=VERTEX_POINT('',#474420); #152563=VERTEX_POINT('',#474422); #152564=VERTEX_POINT('',#474426); #152565=VERTEX_POINT('',#474428); #152566=VERTEX_POINT('',#474432); #152567=VERTEX_POINT('',#474434); #152568=VERTEX_POINT('',#474438); #152569=VERTEX_POINT('',#474440); #152570=VERTEX_POINT('',#474444); #152571=VERTEX_POINT('',#474446); #152572=VERTEX_POINT('',#474450); #152573=VERTEX_POINT('',#474452); #152574=VERTEX_POINT('',#474456); #152575=VERTEX_POINT('',#474458); #152576=VERTEX_POINT('',#474462); #152577=VERTEX_POINT('',#474464); #152578=VERTEX_POINT('',#474468); #152579=VERTEX_POINT('',#474470); #152580=VERTEX_POINT('',#474474); #152581=VERTEX_POINT('',#474476); #152582=VERTEX_POINT('',#474480); #152583=VERTEX_POINT('',#474482); #152584=VERTEX_POINT('',#474486); #152585=VERTEX_POINT('',#474488); #152586=VERTEX_POINT('',#474492); #152587=VERTEX_POINT('',#474494); #152588=VERTEX_POINT('',#474498); #152589=VERTEX_POINT('',#474500); #152590=VERTEX_POINT('',#474504); #152591=VERTEX_POINT('',#474506); #152592=VERTEX_POINT('',#474510); #152593=VERTEX_POINT('',#474512); #152594=VERTEX_POINT('',#474516); #152595=VERTEX_POINT('',#474518); #152596=VERTEX_POINT('',#474522); #152597=VERTEX_POINT('',#474524); #152598=VERTEX_POINT('',#474528); #152599=VERTEX_POINT('',#474530); #152600=VERTEX_POINT('',#474534); #152601=VERTEX_POINT('',#474536); #152602=VERTEX_POINT('',#474540); #152603=VERTEX_POINT('',#474542); #152604=VERTEX_POINT('',#474546); #152605=VERTEX_POINT('',#474548); #152606=VERTEX_POINT('',#474552); #152607=VERTEX_POINT('',#474554); #152608=VERTEX_POINT('',#474558); #152609=VERTEX_POINT('',#474560); #152610=VERTEX_POINT('',#474564); #152611=VERTEX_POINT('',#474566); #152612=VERTEX_POINT('',#474570); #152613=VERTEX_POINT('',#474572); #152614=VERTEX_POINT('',#474576); #152615=VERTEX_POINT('',#474578); #152616=VERTEX_POINT('',#474582); #152617=VERTEX_POINT('',#474584); #152618=VERTEX_POINT('',#474588); #152619=VERTEX_POINT('',#474590); #152620=VERTEX_POINT('',#474594); #152621=VERTEX_POINT('',#474596); #152622=VERTEX_POINT('',#474600); #152623=VERTEX_POINT('',#474602); #152624=VERTEX_POINT('',#474606); #152625=VERTEX_POINT('',#474608); #152626=VERTEX_POINT('',#474612); #152627=VERTEX_POINT('',#474614); #152628=VERTEX_POINT('',#474618); #152629=VERTEX_POINT('',#474620); #152630=VERTEX_POINT('',#474624); #152631=VERTEX_POINT('',#474626); #152632=VERTEX_POINT('',#474630); #152633=VERTEX_POINT('',#474632); #152634=VERTEX_POINT('',#474636); #152635=VERTEX_POINT('',#474638); #152636=VERTEX_POINT('',#474642); #152637=VERTEX_POINT('',#474644); #152638=VERTEX_POINT('',#474648); #152639=VERTEX_POINT('',#474650); #152640=VERTEX_POINT('',#474654); #152641=VERTEX_POINT('',#474656); #152642=VERTEX_POINT('',#474660); #152643=VERTEX_POINT('',#474662); #152644=VERTEX_POINT('',#474666); #152645=VERTEX_POINT('',#474668); #152646=VERTEX_POINT('',#474672); #152647=VERTEX_POINT('',#474674); #152648=VERTEX_POINT('',#474678); #152649=VERTEX_POINT('',#474680); #152650=VERTEX_POINT('',#474684); #152651=VERTEX_POINT('',#474686); #152652=VERTEX_POINT('',#474690); #152653=VERTEX_POINT('',#474692); #152654=VERTEX_POINT('',#474696); #152655=VERTEX_POINT('',#474698); #152656=VERTEX_POINT('',#474702); #152657=VERTEX_POINT('',#474704); #152658=VERTEX_POINT('',#474708); #152659=VERTEX_POINT('',#474710); #152660=VERTEX_POINT('',#474714); #152661=VERTEX_POINT('',#474716); #152662=VERTEX_POINT('',#474720); #152663=VERTEX_POINT('',#474722); #152664=VERTEX_POINT('',#474726); #152665=VERTEX_POINT('',#474728); #152666=VERTEX_POINT('',#474732); #152667=VERTEX_POINT('',#474734); #152668=VERTEX_POINT('',#474738); #152669=VERTEX_POINT('',#474740); #152670=VERTEX_POINT('',#474744); #152671=VERTEX_POINT('',#474746); #152672=VERTEX_POINT('',#474750); #152673=VERTEX_POINT('',#474752); #152674=VERTEX_POINT('',#474756); #152675=VERTEX_POINT('',#474758); #152676=VERTEX_POINT('',#474762); #152677=VERTEX_POINT('',#474764); #152678=VERTEX_POINT('',#474768); #152679=VERTEX_POINT('',#474770); #152680=VERTEX_POINT('',#474774); #152681=VERTEX_POINT('',#474776); #152682=VERTEX_POINT('',#474780); #152683=VERTEX_POINT('',#474782); #152684=VERTEX_POINT('',#474786); #152685=VERTEX_POINT('',#474788); #152686=VERTEX_POINT('',#474792); #152687=VERTEX_POINT('',#474794); #152688=VERTEX_POINT('',#474798); #152689=VERTEX_POINT('',#474800); #152690=VERTEX_POINT('',#474804); #152691=VERTEX_POINT('',#474806); #152692=VERTEX_POINT('',#474810); #152693=VERTEX_POINT('',#474812); #152694=VERTEX_POINT('',#474816); #152695=VERTEX_POINT('',#474818); #152696=VERTEX_POINT('',#474822); #152697=VERTEX_POINT('',#474824); #152698=VERTEX_POINT('',#474828); #152699=VERTEX_POINT('',#474830); #152700=VERTEX_POINT('',#474834); #152701=VERTEX_POINT('',#474836); #152702=VERTEX_POINT('',#474840); #152703=VERTEX_POINT('',#474842); #152704=VERTEX_POINT('',#474846); #152705=VERTEX_POINT('',#474848); #152706=VERTEX_POINT('',#474852); #152707=VERTEX_POINT('',#474854); #152708=VERTEX_POINT('',#474858); #152709=VERTEX_POINT('',#474860); #152710=VERTEX_POINT('',#474864); #152711=VERTEX_POINT('',#474866); #152712=VERTEX_POINT('',#474870); #152713=VERTEX_POINT('',#474872); #152714=VERTEX_POINT('',#474876); #152715=VERTEX_POINT('',#474878); #152716=VERTEX_POINT('',#474882); #152717=VERTEX_POINT('',#474884); #152718=VERTEX_POINT('',#474888); #152719=VERTEX_POINT('',#474890); #152720=VERTEX_POINT('',#474894); #152721=VERTEX_POINT('',#474896); #152722=VERTEX_POINT('',#474900); #152723=VERTEX_POINT('',#474902); #152724=VERTEX_POINT('',#474906); #152725=VERTEX_POINT('',#474908); #152726=VERTEX_POINT('',#474912); #152727=VERTEX_POINT('',#474914); #152728=VERTEX_POINT('',#474918); #152729=VERTEX_POINT('',#474920); #152730=VERTEX_POINT('',#474924); #152731=VERTEX_POINT('',#474926); #152732=VERTEX_POINT('',#474930); #152733=VERTEX_POINT('',#474932); #152734=VERTEX_POINT('',#474936); #152735=VERTEX_POINT('',#474938); #152736=VERTEX_POINT('',#474942); #152737=VERTEX_POINT('',#474944); #152738=VERTEX_POINT('',#474948); #152739=VERTEX_POINT('',#474950); #152740=VERTEX_POINT('',#474954); #152741=VERTEX_POINT('',#474956); #152742=VERTEX_POINT('',#474960); #152743=VERTEX_POINT('',#474962); #152744=VERTEX_POINT('',#474966); #152745=VERTEX_POINT('',#474968); #152746=VERTEX_POINT('',#474972); #152747=VERTEX_POINT('',#474974); #152748=VERTEX_POINT('',#474978); #152749=VERTEX_POINT('',#474980); #152750=VERTEX_POINT('',#474984); #152751=VERTEX_POINT('',#474986); #152752=VERTEX_POINT('',#474990); #152753=VERTEX_POINT('',#474992); #152754=VERTEX_POINT('',#474996); #152755=VERTEX_POINT('',#474998); #152756=VERTEX_POINT('',#475002); #152757=VERTEX_POINT('',#475004); #152758=VERTEX_POINT('',#475008); #152759=VERTEX_POINT('',#475010); #152760=VERTEX_POINT('',#475014); #152761=VERTEX_POINT('',#475016); #152762=VERTEX_POINT('',#475020); #152763=VERTEX_POINT('',#475022); #152764=VERTEX_POINT('',#475026); #152765=VERTEX_POINT('',#475028); #152766=VERTEX_POINT('',#475032); #152767=VERTEX_POINT('',#475034); #152768=VERTEX_POINT('',#475038); #152769=VERTEX_POINT('',#475040); #152770=VERTEX_POINT('',#475044); #152771=VERTEX_POINT('',#475046); #152772=VERTEX_POINT('',#475050); #152773=VERTEX_POINT('',#475052); #152774=VERTEX_POINT('',#475056); #152775=VERTEX_POINT('',#475058); #152776=VERTEX_POINT('',#475062); #152777=VERTEX_POINT('',#475064); #152778=VERTEX_POINT('',#475068); #152779=VERTEX_POINT('',#475070); #152780=VERTEX_POINT('',#475074); #152781=VERTEX_POINT('',#475076); #152782=VERTEX_POINT('',#475080); #152783=VERTEX_POINT('',#475082); #152784=VERTEX_POINT('',#475086); #152785=VERTEX_POINT('',#475088); #152786=VERTEX_POINT('',#475092); #152787=VERTEX_POINT('',#475094); #152788=VERTEX_POINT('',#475098); #152789=VERTEX_POINT('',#475100); #152790=VERTEX_POINT('',#475104); #152791=VERTEX_POINT('',#475106); #152792=VERTEX_POINT('',#475110); #152793=VERTEX_POINT('',#475112); #152794=VERTEX_POINT('',#475116); #152795=VERTEX_POINT('',#475118); #152796=VERTEX_POINT('',#475122); #152797=VERTEX_POINT('',#475124); #152798=VERTEX_POINT('',#475128); #152799=VERTEX_POINT('',#475130); #152800=VERTEX_POINT('',#475134); #152801=VERTEX_POINT('',#475136); #152802=VERTEX_POINT('',#475140); #152803=VERTEX_POINT('',#475142); #152804=VERTEX_POINT('',#475146); #152805=VERTEX_POINT('',#475148); #152806=VERTEX_POINT('',#475152); #152807=VERTEX_POINT('',#475154); #152808=VERTEX_POINT('',#475158); #152809=VERTEX_POINT('',#475160); #152810=VERTEX_POINT('',#475164); #152811=VERTEX_POINT('',#475166); #152812=VERTEX_POINT('',#475175); #152813=VERTEX_POINT('',#475176); #152814=VERTEX_POINT('',#475178); #152815=VERTEX_POINT('',#475180); #152816=VERTEX_POINT('',#475184); #152817=VERTEX_POINT('',#475186); #152818=VERTEX_POINT('',#475190); #152819=VERTEX_POINT('',#475192); #152820=VERTEX_POINT('',#475196); #152821=VERTEX_POINT('',#475198); #152822=VERTEX_POINT('',#475202); #152823=VERTEX_POINT('',#475204); #152824=VERTEX_POINT('',#475208); #152825=VERTEX_POINT('',#475210); #152826=VERTEX_POINT('',#475214); #152827=VERTEX_POINT('',#475216); #152828=VERTEX_POINT('',#475220); #152829=VERTEX_POINT('',#475222); #152830=VERTEX_POINT('',#475226); #152831=VERTEX_POINT('',#475228); #152832=VERTEX_POINT('',#475232); #152833=VERTEX_POINT('',#475234); #152834=VERTEX_POINT('',#475238); #152835=VERTEX_POINT('',#475240); #152836=VERTEX_POINT('',#475244); #152837=VERTEX_POINT('',#475246); #152838=VERTEX_POINT('',#475250); #152839=VERTEX_POINT('',#475252); #152840=VERTEX_POINT('',#475256); #152841=VERTEX_POINT('',#475258); #152842=VERTEX_POINT('',#475262); #152843=VERTEX_POINT('',#475264); #152844=VERTEX_POINT('',#475268); #152845=VERTEX_POINT('',#475270); #152846=VERTEX_POINT('',#475274); #152847=VERTEX_POINT('',#475276); #152848=VERTEX_POINT('',#475280); #152849=VERTEX_POINT('',#475282); #152850=VERTEX_POINT('',#475286); #152851=VERTEX_POINT('',#475288); #152852=VERTEX_POINT('',#475297); #152853=VERTEX_POINT('',#475298); #152854=VERTEX_POINT('',#475300); #152855=VERTEX_POINT('',#475302); #152856=VERTEX_POINT('',#475306); #152857=VERTEX_POINT('',#475308); #152858=VERTEX_POINT('',#475312); #152859=VERTEX_POINT('',#475314); #152860=VERTEX_POINT('',#475318); #152861=VERTEX_POINT('',#475320); #152862=VERTEX_POINT('',#475324); #152863=VERTEX_POINT('',#475326); #152864=VERTEX_POINT('',#475330); #152865=VERTEX_POINT('',#475332); #152866=VERTEX_POINT('',#475336); #152867=VERTEX_POINT('',#475338); #152868=VERTEX_POINT('',#475342); #152869=VERTEX_POINT('',#475344); #152870=VERTEX_POINT('',#475348); #152871=VERTEX_POINT('',#475350); #152872=VERTEX_POINT('',#475354); #152873=VERTEX_POINT('',#475356); #152874=VERTEX_POINT('',#475360); #152875=VERTEX_POINT('',#475362); #152876=VERTEX_POINT('',#475366); #152877=VERTEX_POINT('',#475368); #152878=VERTEX_POINT('',#475372); #152879=VERTEX_POINT('',#475374); #152880=VERTEX_POINT('',#475378); #152881=VERTEX_POINT('',#475380); #152882=VERTEX_POINT('',#475384); #152883=VERTEX_POINT('',#475386); #152884=VERTEX_POINT('',#475390); #152885=VERTEX_POINT('',#475392); #152886=VERTEX_POINT('',#475396); #152887=VERTEX_POINT('',#475398); #152888=VERTEX_POINT('',#475402); #152889=VERTEX_POINT('',#475404); #152890=VERTEX_POINT('',#475408); #152891=VERTEX_POINT('',#475410); #152892=VERTEX_POINT('',#475414); #152893=VERTEX_POINT('',#475416); #152894=VERTEX_POINT('',#475420); #152895=VERTEX_POINT('',#475422); #152896=VERTEX_POINT('',#475426); #152897=VERTEX_POINT('',#475428); #152898=VERTEX_POINT('',#475432); #152899=VERTEX_POINT('',#475434); #152900=VERTEX_POINT('',#475438); #152901=VERTEX_POINT('',#475440); #152902=VERTEX_POINT('',#475444); #152903=VERTEX_POINT('',#475446); #152904=VERTEX_POINT('',#475455); #152905=VERTEX_POINT('',#475456); #152906=VERTEX_POINT('',#475458); #152907=VERTEX_POINT('',#475460); #152908=VERTEX_POINT('',#475464); #152909=VERTEX_POINT('',#475466); #152910=VERTEX_POINT('',#475470); #152911=VERTEX_POINT('',#475472); #152912=VERTEX_POINT('',#475476); #152913=VERTEX_POINT('',#475478); #152914=VERTEX_POINT('',#475482); #152915=VERTEX_POINT('',#475484); #152916=VERTEX_POINT('',#475488); #152917=VERTEX_POINT('',#475490); #152918=VERTEX_POINT('',#475494); #152919=VERTEX_POINT('',#475496); #152920=VERTEX_POINT('',#475500); #152921=VERTEX_POINT('',#475502); #152922=VERTEX_POINT('',#475506); #152923=VERTEX_POINT('',#475508); #152924=VERTEX_POINT('',#475512); #152925=VERTEX_POINT('',#475514); #152926=VERTEX_POINT('',#475518); #152927=VERTEX_POINT('',#475520); #152928=VERTEX_POINT('',#475524); #152929=VERTEX_POINT('',#475526); #152930=VERTEX_POINT('',#475530); #152931=VERTEX_POINT('',#475532); #152932=VERTEX_POINT('',#475536); #152933=VERTEX_POINT('',#475538); #152934=VERTEX_POINT('',#475542); #152935=VERTEX_POINT('',#475544); #152936=VERTEX_POINT('',#475548); #152937=VERTEX_POINT('',#475550); #152938=VERTEX_POINT('',#475554); #152939=VERTEX_POINT('',#475556); #152940=VERTEX_POINT('',#475560); #152941=VERTEX_POINT('',#475562); #152942=VERTEX_POINT('',#475566); #152943=VERTEX_POINT('',#475568); #152944=VERTEX_POINT('',#475572); #152945=VERTEX_POINT('',#475574); #152946=VERTEX_POINT('',#475583); #152947=VERTEX_POINT('',#475584); #152948=VERTEX_POINT('',#475586); #152949=VERTEX_POINT('',#475588); #152950=VERTEX_POINT('',#475592); #152951=VERTEX_POINT('',#475594); #152952=VERTEX_POINT('',#475598); #152953=VERTEX_POINT('',#475600); #152954=VERTEX_POINT('',#475604); #152955=VERTEX_POINT('',#475606); #152956=VERTEX_POINT('',#475610); #152957=VERTEX_POINT('',#475612); #152958=VERTEX_POINT('',#475616); #152959=VERTEX_POINT('',#475618); #152960=VERTEX_POINT('',#475622); #152961=VERTEX_POINT('',#475624); #152962=VERTEX_POINT('',#475628); #152963=VERTEX_POINT('',#475630); #152964=VERTEX_POINT('',#475634); #152965=VERTEX_POINT('',#475636); #152966=VERTEX_POINT('',#475640); #152967=VERTEX_POINT('',#475642); #152968=VERTEX_POINT('',#475646); #152969=VERTEX_POINT('',#475648); #152970=VERTEX_POINT('',#475652); #152971=VERTEX_POINT('',#475654); #152972=VERTEX_POINT('',#475658); #152973=VERTEX_POINT('',#475660); #152974=VERTEX_POINT('',#475664); #152975=VERTEX_POINT('',#475666); #152976=VERTEX_POINT('',#475670); #152977=VERTEX_POINT('',#475672); #152978=VERTEX_POINT('',#475676); #152979=VERTEX_POINT('',#475678); #152980=VERTEX_POINT('',#475682); #152981=VERTEX_POINT('',#475684); #152982=VERTEX_POINT('',#475688); #152983=VERTEX_POINT('',#475690); #152984=VERTEX_POINT('',#475694); #152985=VERTEX_POINT('',#475696); #152986=VERTEX_POINT('',#475700); #152987=VERTEX_POINT('',#475702); #152988=VERTEX_POINT('',#475706); #152989=VERTEX_POINT('',#475708); #152990=VERTEX_POINT('',#475712); #152991=VERTEX_POINT('',#475714); #152992=VERTEX_POINT('',#475718); #152993=VERTEX_POINT('',#475720); #152994=VERTEX_POINT('',#475724); #152995=VERTEX_POINT('',#475726); #152996=VERTEX_POINT('',#475730); #152997=VERTEX_POINT('',#475732); #152998=VERTEX_POINT('',#475736); #152999=VERTEX_POINT('',#475738); #153000=VERTEX_POINT('',#475742); #153001=VERTEX_POINT('',#475744); #153002=VERTEX_POINT('',#475748); #153003=VERTEX_POINT('',#475750); #153004=VERTEX_POINT('',#475754); #153005=VERTEX_POINT('',#475756); #153006=VERTEX_POINT('',#475760); #153007=VERTEX_POINT('',#475762); #153008=VERTEX_POINT('',#475766); #153009=VERTEX_POINT('',#475768); #153010=VERTEX_POINT('',#475772); #153011=VERTEX_POINT('',#475774); #153012=VERTEX_POINT('',#475783); #153013=VERTEX_POINT('',#475784); #153014=VERTEX_POINT('',#475786); #153015=VERTEX_POINT('',#475788); #153016=VERTEX_POINT('',#475792); #153017=VERTEX_POINT('',#475794); #153018=VERTEX_POINT('',#475798); #153019=VERTEX_POINT('',#475800); #153020=VERTEX_POINT('',#475804); #153021=VERTEX_POINT('',#475806); #153022=VERTEX_POINT('',#475810); #153023=VERTEX_POINT('',#475812); #153024=VERTEX_POINT('',#475816); #153025=VERTEX_POINT('',#475818); #153026=VERTEX_POINT('',#475822); #153027=VERTEX_POINT('',#475824); #153028=VERTEX_POINT('',#475828); #153029=VERTEX_POINT('',#475830); #153030=VERTEX_POINT('',#475834); #153031=VERTEX_POINT('',#475836); #153032=VERTEX_POINT('',#475840); #153033=VERTEX_POINT('',#475842); #153034=VERTEX_POINT('',#475846); #153035=VERTEX_POINT('',#475848); #153036=VERTEX_POINT('',#475852); #153037=VERTEX_POINT('',#475854); #153038=VERTEX_POINT('',#475858); #153039=VERTEX_POINT('',#475860); #153040=VERTEX_POINT('',#475864); #153041=VERTEX_POINT('',#475866); #153042=VERTEX_POINT('',#475870); #153043=VERTEX_POINT('',#475872); #153044=VERTEX_POINT('',#475876); #153045=VERTEX_POINT('',#475878); #153046=VERTEX_POINT('',#475882); #153047=VERTEX_POINT('',#475884); #153048=VERTEX_POINT('',#475888); #153049=VERTEX_POINT('',#475890); #153050=VERTEX_POINT('',#475894); #153051=VERTEX_POINT('',#475896); #153052=VERTEX_POINT('',#475905); #153053=VERTEX_POINT('',#475906); #153054=VERTEX_POINT('',#475908); #153055=VERTEX_POINT('',#475910); #153056=VERTEX_POINT('',#475914); #153057=VERTEX_POINT('',#475916); #153058=VERTEX_POINT('',#475920); #153059=VERTEX_POINT('',#475922); #153060=VERTEX_POINT('',#475926); #153061=VERTEX_POINT('',#475928); #153062=VERTEX_POINT('',#475932); #153063=VERTEX_POINT('',#475934); #153064=VERTEX_POINT('',#475938); #153065=VERTEX_POINT('',#475940); #153066=VERTEX_POINT('',#475944); #153067=VERTEX_POINT('',#475946); #153068=VERTEX_POINT('',#475950); #153069=VERTEX_POINT('',#475952); #153070=VERTEX_POINT('',#475956); #153071=VERTEX_POINT('',#475958); #153072=VERTEX_POINT('',#475962); #153073=VERTEX_POINT('',#475964); #153074=VERTEX_POINT('',#475968); #153075=VERTEX_POINT('',#475970); #153076=VERTEX_POINT('',#475974); #153077=VERTEX_POINT('',#475976); #153078=VERTEX_POINT('',#475980); #153079=VERTEX_POINT('',#475982); #153080=VERTEX_POINT('',#475986); #153081=VERTEX_POINT('',#475988); #153082=VERTEX_POINT('',#475992); #153083=VERTEX_POINT('',#475994); #153084=VERTEX_POINT('',#475998); #153085=VERTEX_POINT('',#476000); #153086=VERTEX_POINT('',#476004); #153087=VERTEX_POINT('',#476006); #153088=VERTEX_POINT('',#476010); #153089=VERTEX_POINT('',#476012); #153090=VERTEX_POINT('',#476016); #153091=VERTEX_POINT('',#476018); #153092=VERTEX_POINT('',#476022); #153093=VERTEX_POINT('',#476024); #153094=VERTEX_POINT('',#476028); #153095=VERTEX_POINT('',#476030); #153096=VERTEX_POINT('',#476034); #153097=VERTEX_POINT('',#476036); #153098=VERTEX_POINT('',#476045); #153099=VERTEX_POINT('',#476047); #153100=VERTEX_POINT('',#476051); #153101=VERTEX_POINT('',#476053); #153102=VERTEX_POINT('',#476057); #153103=VERTEX_POINT('',#476059); #153104=VERTEX_POINT('',#476063); #153105=VERTEX_POINT('',#476064); #153106=VERTEX_POINT('',#476066); #153107=VERTEX_POINT('',#476068); #153108=VERTEX_POINT('',#476072); #153109=VERTEX_POINT('',#476074); #153110=VERTEX_POINT('',#476078); #153111=VERTEX_POINT('',#476080); #153112=VERTEX_POINT('',#476084); #153113=VERTEX_POINT('',#476086); #153114=VERTEX_POINT('',#476090); #153115=VERTEX_POINT('',#476092); #153116=VERTEX_POINT('',#476096); #153117=VERTEX_POINT('',#476098); #153118=VERTEX_POINT('',#476102); #153119=VERTEX_POINT('',#476104); #153120=VERTEX_POINT('',#476108); #153121=VERTEX_POINT('',#476110); #153122=VERTEX_POINT('',#476114); #153123=VERTEX_POINT('',#476116); #153124=VERTEX_POINT('',#476120); #153125=VERTEX_POINT('',#476122); #153126=VERTEX_POINT('',#476126); #153127=VERTEX_POINT('',#476128); #153128=VERTEX_POINT('',#476132); #153129=VERTEX_POINT('',#476134); #153130=VERTEX_POINT('',#476138); #153131=VERTEX_POINT('',#476140); #153132=VERTEX_POINT('',#476144); #153133=VERTEX_POINT('',#476146); #153134=VERTEX_POINT('',#476150); #153135=VERTEX_POINT('',#476152); #153136=VERTEX_POINT('',#476156); #153137=VERTEX_POINT('',#476158); #153138=VERTEX_POINT('',#476162); #153139=VERTEX_POINT('',#476164); #153140=VERTEX_POINT('',#476168); #153141=VERTEX_POINT('',#476170); #153142=VERTEX_POINT('',#476174); #153143=VERTEX_POINT('',#476176); #153144=VERTEX_POINT('',#476180); #153145=VERTEX_POINT('',#476182); #153146=VERTEX_POINT('',#476186); #153147=VERTEX_POINT('',#476188); #153148=VERTEX_POINT('',#476192); #153149=VERTEX_POINT('',#476194); #153150=VERTEX_POINT('',#476198); #153151=VERTEX_POINT('',#476200); #153152=VERTEX_POINT('',#476204); #153153=VERTEX_POINT('',#476206); #153154=VERTEX_POINT('',#476210); #153155=VERTEX_POINT('',#476212); #153156=VERTEX_POINT('',#476216); #153157=VERTEX_POINT('',#476218); #153158=VERTEX_POINT('',#476222); #153159=VERTEX_POINT('',#476224); #153160=VERTEX_POINT('',#476228); #153161=VERTEX_POINT('',#476230); #153162=VERTEX_POINT('',#476234); #153163=VERTEX_POINT('',#476236); #153164=VERTEX_POINT('',#476240); #153165=VERTEX_POINT('',#476242); #153166=VERTEX_POINT('',#476246); #153167=VERTEX_POINT('',#476248); #153168=VERTEX_POINT('',#476252); #153169=VERTEX_POINT('',#476254); #153170=VERTEX_POINT('',#476258); #153171=VERTEX_POINT('',#476260); #153172=VERTEX_POINT('',#476264); #153173=VERTEX_POINT('',#476266); #153174=VERTEX_POINT('',#476270); #153175=VERTEX_POINT('',#476272); #153176=VERTEX_POINT('',#476276); #153177=VERTEX_POINT('',#476278); #153178=VERTEX_POINT('',#476282); #153179=VERTEX_POINT('',#476284); #153180=VERTEX_POINT('',#476288); #153181=VERTEX_POINT('',#476290); #153182=VERTEX_POINT('',#476294); #153183=VERTEX_POINT('',#476296); #153184=VERTEX_POINT('',#476300); #153185=VERTEX_POINT('',#476302); #153186=VERTEX_POINT('',#476306); #153187=VERTEX_POINT('',#476308); #153188=VERTEX_POINT('',#476312); #153189=VERTEX_POINT('',#476314); #153190=VERTEX_POINT('',#476318); #153191=VERTEX_POINT('',#476320); #153192=VERTEX_POINT('',#476324); #153193=VERTEX_POINT('',#476326); #153194=VERTEX_POINT('',#476330); #153195=VERTEX_POINT('',#476332); #153196=VERTEX_POINT('',#476336); #153197=VERTEX_POINT('',#476338); #153198=VERTEX_POINT('',#476342); #153199=VERTEX_POINT('',#476344); #153200=VERTEX_POINT('',#476348); #153201=VERTEX_POINT('',#476350); #153202=VERTEX_POINT('',#476354); #153203=VERTEX_POINT('',#476356); #153204=VERTEX_POINT('',#476360); #153205=VERTEX_POINT('',#476362); #153206=VERTEX_POINT('',#476366); #153207=VERTEX_POINT('',#476368); #153208=VERTEX_POINT('',#476372); #153209=VERTEX_POINT('',#476374); #153210=VERTEX_POINT('',#476378); #153211=VERTEX_POINT('',#476380); #153212=VERTEX_POINT('',#476384); #153213=VERTEX_POINT('',#476386); #153214=VERTEX_POINT('',#476390); #153215=VERTEX_POINT('',#476392); #153216=VERTEX_POINT('',#476396); #153217=VERTEX_POINT('',#476398); #153218=VERTEX_POINT('',#476402); #153219=VERTEX_POINT('',#476404); #153220=VERTEX_POINT('',#476408); #153221=VERTEX_POINT('',#476410); #153222=VERTEX_POINT('',#476414); #153223=VERTEX_POINT('',#476416); #153224=VERTEX_POINT('',#476420); #153225=VERTEX_POINT('',#476422); #153226=VERTEX_POINT('',#476426); #153227=VERTEX_POINT('',#476428); #153228=VERTEX_POINT('',#476432); #153229=VERTEX_POINT('',#476434); #153230=VERTEX_POINT('',#476438); #153231=VERTEX_POINT('',#476440); #153232=VERTEX_POINT('',#476444); #153233=VERTEX_POINT('',#476446); #153234=VERTEX_POINT('',#476450); #153235=VERTEX_POINT('',#476452); #153236=VERTEX_POINT('',#476456); #153237=VERTEX_POINT('',#476458); #153238=VERTEX_POINT('',#476462); #153239=VERTEX_POINT('',#476464); #153240=VERTEX_POINT('',#476468); #153241=VERTEX_POINT('',#476470); #153242=VERTEX_POINT('',#476474); #153243=VERTEX_POINT('',#476476); #153244=VERTEX_POINT('',#476480); #153245=VERTEX_POINT('',#476482); #153246=VERTEX_POINT('',#476486); #153247=VERTEX_POINT('',#476488); #153248=VERTEX_POINT('',#476492); #153249=VERTEX_POINT('',#476494); #153250=VERTEX_POINT('',#476498); #153251=VERTEX_POINT('',#476500); #153252=VERTEX_POINT('',#476504); #153253=VERTEX_POINT('',#476506); #153254=VERTEX_POINT('',#476510); #153255=VERTEX_POINT('',#476512); #153256=VERTEX_POINT('',#476516); #153257=VERTEX_POINT('',#476518); #153258=VERTEX_POINT('',#476522); #153259=VERTEX_POINT('',#476524); #153260=VERTEX_POINT('',#476528); #153261=VERTEX_POINT('',#476530); #153262=VERTEX_POINT('',#476534); #153263=VERTEX_POINT('',#476536); #153264=VERTEX_POINT('',#476540); #153265=VERTEX_POINT('',#476542); #153266=VERTEX_POINT('',#476546); #153267=VERTEX_POINT('',#476548); #153268=VERTEX_POINT('',#476552); #153269=VERTEX_POINT('',#476554); #153270=VERTEX_POINT('',#476558); #153271=VERTEX_POINT('',#476560); #153272=VERTEX_POINT('',#476564); #153273=VERTEX_POINT('',#476566); #153274=VERTEX_POINT('',#476570); #153275=VERTEX_POINT('',#476572); #153276=VERTEX_POINT('',#476576); #153277=VERTEX_POINT('',#476578); #153278=VERTEX_POINT('',#476587); #153279=VERTEX_POINT('',#476588); #153280=VERTEX_POINT('',#476590); #153281=VERTEX_POINT('',#476592); #153282=VERTEX_POINT('',#476596); #153283=VERTEX_POINT('',#476598); #153284=VERTEX_POINT('',#476602); #153285=VERTEX_POINT('',#476604); #153286=VERTEX_POINT('',#476608); #153287=VERTEX_POINT('',#476610); #153288=VERTEX_POINT('',#476614); #153289=VERTEX_POINT('',#476616); #153290=VERTEX_POINT('',#476620); #153291=VERTEX_POINT('',#476622); #153292=VERTEX_POINT('',#476626); #153293=VERTEX_POINT('',#476628); #153294=VERTEX_POINT('',#476632); #153295=VERTEX_POINT('',#476634); #153296=VERTEX_POINT('',#476638); #153297=VERTEX_POINT('',#476640); #153298=VERTEX_POINT('',#476644); #153299=VERTEX_POINT('',#476646); #153300=VERTEX_POINT('',#476650); #153301=VERTEX_POINT('',#476652); #153302=VERTEX_POINT('',#476656); #153303=VERTEX_POINT('',#476658); #153304=VERTEX_POINT('',#476662); #153305=VERTEX_POINT('',#476664); #153306=VERTEX_POINT('',#476668); #153307=VERTEX_POINT('',#476670); #153308=VERTEX_POINT('',#476674); #153309=VERTEX_POINT('',#476676); #153310=VERTEX_POINT('',#476680); #153311=VERTEX_POINT('',#476682); #153312=VERTEX_POINT('',#476686); #153313=VERTEX_POINT('',#476688); #153314=VERTEX_POINT('',#476692); #153315=VERTEX_POINT('',#476694); #153316=VERTEX_POINT('',#476698); #153317=VERTEX_POINT('',#476700); #153318=VERTEX_POINT('',#476704); #153319=VERTEX_POINT('',#476706); #153320=VERTEX_POINT('',#476715); #153321=VERTEX_POINT('',#476716); #153322=VERTEX_POINT('',#476718); #153323=VERTEX_POINT('',#476720); #153324=VERTEX_POINT('',#476724); #153325=VERTEX_POINT('',#476726); #153326=VERTEX_POINT('',#476730); #153327=VERTEX_POINT('',#476732); #153328=VERTEX_POINT('',#476736); #153329=VERTEX_POINT('',#476738); #153330=VERTEX_POINT('',#476742); #153331=VERTEX_POINT('',#476744); #153332=VERTEX_POINT('',#476748); #153333=VERTEX_POINT('',#476750); #153334=VERTEX_POINT('',#476754); #153335=VERTEX_POINT('',#476756); #153336=VERTEX_POINT('',#476760); #153337=VERTEX_POINT('',#476762); #153338=VERTEX_POINT('',#476766); #153339=VERTEX_POINT('',#476768); #153340=VERTEX_POINT('',#476772); #153341=VERTEX_POINT('',#476774); #153342=VERTEX_POINT('',#476778); #153343=VERTEX_POINT('',#476780); #153344=VERTEX_POINT('',#476784); #153345=VERTEX_POINT('',#476786); #153346=VERTEX_POINT('',#476790); #153347=VERTEX_POINT('',#476792); #153348=VERTEX_POINT('',#476796); #153349=VERTEX_POINT('',#476798); #153350=VERTEX_POINT('',#476802); #153351=VERTEX_POINT('',#476804); #153352=VERTEX_POINT('',#476808); #153353=VERTEX_POINT('',#476810); #153354=VERTEX_POINT('',#476814); #153355=VERTEX_POINT('',#476816); #153356=VERTEX_POINT('',#476820); #153357=VERTEX_POINT('',#476822); #153358=VERTEX_POINT('',#476831); #153359=VERTEX_POINT('',#476832); #153360=VERTEX_POINT('',#476834); #153361=VERTEX_POINT('',#476836); #153362=VERTEX_POINT('',#476840); #153363=VERTEX_POINT('',#476842); #153364=VERTEX_POINT('',#476846); #153365=VERTEX_POINT('',#476848); #153366=VERTEX_POINT('',#476852); #153367=VERTEX_POINT('',#476854); #153368=VERTEX_POINT('',#476858); #153369=VERTEX_POINT('',#476860); #153370=VERTEX_POINT('',#476864); #153371=VERTEX_POINT('',#476866); #153372=VERTEX_POINT('',#476870); #153373=VERTEX_POINT('',#476872); #153374=VERTEX_POINT('',#476876); #153375=VERTEX_POINT('',#476878); #153376=VERTEX_POINT('',#476882); #153377=VERTEX_POINT('',#476884); #153378=VERTEX_POINT('',#476888); #153379=VERTEX_POINT('',#476890); #153380=VERTEX_POINT('',#476894); #153381=VERTEX_POINT('',#476896); #153382=VERTEX_POINT('',#476900); #153383=VERTEX_POINT('',#476902); #153384=VERTEX_POINT('',#476906); #153385=VERTEX_POINT('',#476908); #153386=VERTEX_POINT('',#476912); #153387=VERTEX_POINT('',#476914); #153388=VERTEX_POINT('',#476918); #153389=VERTEX_POINT('',#476920); #153390=VERTEX_POINT('',#476924); #153391=VERTEX_POINT('',#476926); #153392=VERTEX_POINT('',#476930); #153393=VERTEX_POINT('',#476932); #153394=VERTEX_POINT('',#476936); #153395=VERTEX_POINT('',#476938); #153396=VERTEX_POINT('',#476942); #153397=VERTEX_POINT('',#476944); #153398=VERTEX_POINT('',#476948); #153399=VERTEX_POINT('',#476950); #153400=VERTEX_POINT('',#476954); #153401=VERTEX_POINT('',#476956); #153402=VERTEX_POINT('',#476960); #153403=VERTEX_POINT('',#476962); #153404=VERTEX_POINT('',#476966); #153405=VERTEX_POINT('',#476968); #153406=VERTEX_POINT('',#476972); #153407=VERTEX_POINT('',#476974); #153408=VERTEX_POINT('',#476978); #153409=VERTEX_POINT('',#476980); #153410=VERTEX_POINT('',#476984); #153411=VERTEX_POINT('',#476986); #153412=VERTEX_POINT('',#476990); #153413=VERTEX_POINT('',#476992); #153414=VERTEX_POINT('',#476996); #153415=VERTEX_POINT('',#476998); #153416=VERTEX_POINT('',#477007); #153417=VERTEX_POINT('',#477008); #153418=VERTEX_POINT('',#477010); #153419=VERTEX_POINT('',#477012); #153420=VERTEX_POINT('',#477016); #153421=VERTEX_POINT('',#477018); #153422=VERTEX_POINT('',#477022); #153423=VERTEX_POINT('',#477024); #153424=VERTEX_POINT('',#477028); #153425=VERTEX_POINT('',#477030); #153426=VERTEX_POINT('',#477034); #153427=VERTEX_POINT('',#477036); #153428=VERTEX_POINT('',#477040); #153429=VERTEX_POINT('',#477042); #153430=VERTEX_POINT('',#477046); #153431=VERTEX_POINT('',#477048); #153432=VERTEX_POINT('',#477052); #153433=VERTEX_POINT('',#477054); #153434=VERTEX_POINT('',#477058); #153435=VERTEX_POINT('',#477060); #153436=VERTEX_POINT('',#477064); #153437=VERTEX_POINT('',#477066); #153438=VERTEX_POINT('',#477070); #153439=VERTEX_POINT('',#477072); #153440=VERTEX_POINT('',#477076); #153441=VERTEX_POINT('',#477078); #153442=VERTEX_POINT('',#477082); #153443=VERTEX_POINT('',#477084); #153444=VERTEX_POINT('',#477088); #153445=VERTEX_POINT('',#477090); #153446=VERTEX_POINT('',#477094); #153447=VERTEX_POINT('',#477096); #153448=VERTEX_POINT('',#477100); #153449=VERTEX_POINT('',#477102); #153450=VERTEX_POINT('',#477106); #153451=VERTEX_POINT('',#477108); #153452=VERTEX_POINT('',#477112); #153453=VERTEX_POINT('',#477114); #153454=VERTEX_POINT('',#477118); #153455=VERTEX_POINT('',#477120); #153456=VERTEX_POINT('',#477124); #153457=VERTEX_POINT('',#477126); #153458=VERTEX_POINT('',#477135); #153459=VERTEX_POINT('',#477136); #153460=VERTEX_POINT('',#477138); #153461=VERTEX_POINT('',#477140); #153462=VERTEX_POINT('',#477144); #153463=VERTEX_POINT('',#477146); #153464=VERTEX_POINT('',#477150); #153465=VERTEX_POINT('',#477152); #153466=VERTEX_POINT('',#477156); #153467=VERTEX_POINT('',#477158); #153468=VERTEX_POINT('',#477162); #153469=VERTEX_POINT('',#477164); #153470=VERTEX_POINT('',#477168); #153471=VERTEX_POINT('',#477170); #153472=VERTEX_POINT('',#477174); #153473=VERTEX_POINT('',#477176); #153474=VERTEX_POINT('',#477180); #153475=VERTEX_POINT('',#477182); #153476=VERTEX_POINT('',#477186); #153477=VERTEX_POINT('',#477188); #153478=VERTEX_POINT('',#477192); #153479=VERTEX_POINT('',#477194); #153480=VERTEX_POINT('',#477198); #153481=VERTEX_POINT('',#477200); #153482=VERTEX_POINT('',#477204); #153483=VERTEX_POINT('',#477206); #153484=VERTEX_POINT('',#477210); #153485=VERTEX_POINT('',#477212); #153486=VERTEX_POINT('',#477216); #153487=VERTEX_POINT('',#477218); #153488=VERTEX_POINT('',#477222); #153489=VERTEX_POINT('',#477224); #153490=VERTEX_POINT('',#477228); #153491=VERTEX_POINT('',#477230); #153492=VERTEX_POINT('',#477234); #153493=VERTEX_POINT('',#477236); #153494=VERTEX_POINT('',#477240); #153495=VERTEX_POINT('',#477242); #153496=VERTEX_POINT('',#477251); #153497=VERTEX_POINT('',#477252); #153498=VERTEX_POINT('',#477254); #153499=VERTEX_POINT('',#477256); #153500=VERTEX_POINT('',#477260); #153501=VERTEX_POINT('',#477262); #153502=VERTEX_POINT('',#477266); #153503=VERTEX_POINT('',#477268); #153504=VERTEX_POINT('',#477272); #153505=VERTEX_POINT('',#477274); #153506=VERTEX_POINT('',#477278); #153507=VERTEX_POINT('',#477280); #153508=VERTEX_POINT('',#477284); #153509=VERTEX_POINT('',#477286); #153510=VERTEX_POINT('',#477290); #153511=VERTEX_POINT('',#477292); #153512=VERTEX_POINT('',#477296); #153513=VERTEX_POINT('',#477298); #153514=VERTEX_POINT('',#477302); #153515=VERTEX_POINT('',#477304); #153516=VERTEX_POINT('',#477308); #153517=VERTEX_POINT('',#477310); #153518=VERTEX_POINT('',#477314); #153519=VERTEX_POINT('',#477316); #153520=VERTEX_POINT('',#477320); #153521=VERTEX_POINT('',#477322); #153522=VERTEX_POINT('',#477326); #153523=VERTEX_POINT('',#477328); #153524=VERTEX_POINT('',#477332); #153525=VERTEX_POINT('',#477334); #153526=VERTEX_POINT('',#477338); #153527=VERTEX_POINT('',#477340); #153528=VERTEX_POINT('',#477344); #153529=VERTEX_POINT('',#477346); #153530=VERTEX_POINT('',#477350); #153531=VERTEX_POINT('',#477352); #153532=VERTEX_POINT('',#477356); #153533=VERTEX_POINT('',#477358); #153534=VERTEX_POINT('',#477367); #153535=VERTEX_POINT('',#477368); #153536=VERTEX_POINT('',#477370); #153537=VERTEX_POINT('',#477372); #153538=VERTEX_POINT('',#477376); #153539=VERTEX_POINT('',#477378); #153540=VERTEX_POINT('',#477382); #153541=VERTEX_POINT('',#477384); #153542=VERTEX_POINT('',#477388); #153543=VERTEX_POINT('',#477390); #153544=VERTEX_POINT('',#477394); #153545=VERTEX_POINT('',#477396); #153546=VERTEX_POINT('',#477400); #153547=VERTEX_POINT('',#477402); #153548=VERTEX_POINT('',#477406); #153549=VERTEX_POINT('',#477408); #153550=VERTEX_POINT('',#477412); #153551=VERTEX_POINT('',#477414); #153552=VERTEX_POINT('',#477418); #153553=VERTEX_POINT('',#477420); #153554=VERTEX_POINT('',#477424); #153555=VERTEX_POINT('',#477426); #153556=VERTEX_POINT('',#477430); #153557=VERTEX_POINT('',#477432); #153558=VERTEX_POINT('',#477436); #153559=VERTEX_POINT('',#477438); #153560=VERTEX_POINT('',#477442); #153561=VERTEX_POINT('',#477444); #153562=VERTEX_POINT('',#477448); #153563=VERTEX_POINT('',#477450); #153564=VERTEX_POINT('',#477454); #153565=VERTEX_POINT('',#477456); #153566=VERTEX_POINT('',#477460); #153567=VERTEX_POINT('',#477462); #153568=VERTEX_POINT('',#477466); #153569=VERTEX_POINT('',#477468); #153570=VERTEX_POINT('',#477472); #153571=VERTEX_POINT('',#477474); #153572=VERTEX_POINT('',#477478); #153573=VERTEX_POINT('',#477480); #153574=VERTEX_POINT('',#477484); #153575=VERTEX_POINT('',#477486); #153576=VERTEX_POINT('',#477495); #153577=VERTEX_POINT('',#477496); #153578=VERTEX_POINT('',#477498); #153579=VERTEX_POINT('',#477500); #153580=VERTEX_POINT('',#477504); #153581=VERTEX_POINT('',#477506); #153582=VERTEX_POINT('',#477510); #153583=VERTEX_POINT('',#477512); #153584=VERTEX_POINT('',#477516); #153585=VERTEX_POINT('',#477518); #153586=VERTEX_POINT('',#477522); #153587=VERTEX_POINT('',#477524); #153588=VERTEX_POINT('',#477528); #153589=VERTEX_POINT('',#477530); #153590=VERTEX_POINT('',#477534); #153591=VERTEX_POINT('',#477536); #153592=VERTEX_POINT('',#477540); #153593=VERTEX_POINT('',#477542); #153594=VERTEX_POINT('',#477546); #153595=VERTEX_POINT('',#477548); #153596=VERTEX_POINT('',#477552); #153597=VERTEX_POINT('',#477554); #153598=VERTEX_POINT('',#477558); #153599=VERTEX_POINT('',#477560); #153600=VERTEX_POINT('',#477564); #153601=VERTEX_POINT('',#477566); #153602=VERTEX_POINT('',#477570); #153603=VERTEX_POINT('',#477572); #153604=VERTEX_POINT('',#477576); #153605=VERTEX_POINT('',#477578); #153606=VERTEX_POINT('',#477582); #153607=VERTEX_POINT('',#477584); #153608=VERTEX_POINT('',#477588); #153609=VERTEX_POINT('',#477590); #153610=VERTEX_POINT('',#477594); #153611=VERTEX_POINT('',#477596); #153612=VERTEX_POINT('',#477600); #153613=VERTEX_POINT('',#477602); #153614=VERTEX_POINT('',#477606); #153615=VERTEX_POINT('',#477608); #153616=VERTEX_POINT('',#477617); #153617=VERTEX_POINT('',#477618); #153618=VERTEX_POINT('',#477620); #153619=VERTEX_POINT('',#477622); #153620=VERTEX_POINT('',#477626); #153621=VERTEX_POINT('',#477628); #153622=VERTEX_POINT('',#477632); #153623=VERTEX_POINT('',#477634); #153624=VERTEX_POINT('',#477638); #153625=VERTEX_POINT('',#477640); #153626=VERTEX_POINT('',#477644); #153627=VERTEX_POINT('',#477646); #153628=VERTEX_POINT('',#477650); #153629=VERTEX_POINT('',#477652); #153630=VERTEX_POINT('',#477656); #153631=VERTEX_POINT('',#477658); #153632=VERTEX_POINT('',#477662); #153633=VERTEX_POINT('',#477664); #153634=VERTEX_POINT('',#477668); #153635=VERTEX_POINT('',#477670); #153636=VERTEX_POINT('',#477674); #153637=VERTEX_POINT('',#477676); #153638=VERTEX_POINT('',#477680); #153639=VERTEX_POINT('',#477682); #153640=VERTEX_POINT('',#477686); #153641=VERTEX_POINT('',#477688); #153642=VERTEX_POINT('',#477692); #153643=VERTEX_POINT('',#477694); #153644=VERTEX_POINT('',#477698); #153645=VERTEX_POINT('',#477700); #153646=VERTEX_POINT('',#477704); #153647=VERTEX_POINT('',#477706); #153648=VERTEX_POINT('',#477710); #153649=VERTEX_POINT('',#477712); #153650=VERTEX_POINT('',#477716); #153651=VERTEX_POINT('',#477718); #153652=VERTEX_POINT('',#477722); #153653=VERTEX_POINT('',#477724); #153654=VERTEX_POINT('',#477728); #153655=VERTEX_POINT('',#477730); #153656=VERTEX_POINT('',#477734); #153657=VERTEX_POINT('',#477736); #153658=VERTEX_POINT('',#477740); #153659=VERTEX_POINT('',#477742); #153660=VERTEX_POINT('',#477746); #153661=VERTEX_POINT('',#477748); #153662=VERTEX_POINT('',#477752); #153663=VERTEX_POINT('',#477754); #153664=VERTEX_POINT('',#477758); #153665=VERTEX_POINT('',#477760); #153666=VERTEX_POINT('',#477764); #153667=VERTEX_POINT('',#477766); #153668=VERTEX_POINT('',#477770); #153669=VERTEX_POINT('',#477772); #153670=VERTEX_POINT('',#477776); #153671=VERTEX_POINT('',#477778); #153672=VERTEX_POINT('',#477782); #153673=VERTEX_POINT('',#477784); #153674=VERTEX_POINT('',#477788); #153675=VERTEX_POINT('',#477790); #153676=VERTEX_POINT('',#477794); #153677=VERTEX_POINT('',#477796); #153678=VERTEX_POINT('',#477800); #153679=VERTEX_POINT('',#477802); #153680=VERTEX_POINT('',#477806); #153681=VERTEX_POINT('',#477808); #153682=VERTEX_POINT('',#477812); #153683=VERTEX_POINT('',#477814); #153684=VERTEX_POINT('',#477818); #153685=VERTEX_POINT('',#477820); #153686=VERTEX_POINT('',#477824); #153687=VERTEX_POINT('',#477826); #153688=VERTEX_POINT('',#477830); #153689=VERTEX_POINT('',#477832); #153690=VERTEX_POINT('',#477841); #153691=VERTEX_POINT('',#477842); #153692=VERTEX_POINT('',#477844); #153693=VERTEX_POINT('',#477846); #153694=VERTEX_POINT('',#477850); #153695=VERTEX_POINT('',#477852); #153696=VERTEX_POINT('',#477856); #153697=VERTEX_POINT('',#477858); #153698=VERTEX_POINT('',#477862); #153699=VERTEX_POINT('',#477864); #153700=VERTEX_POINT('',#477868); #153701=VERTEX_POINT('',#477870); #153702=VERTEX_POINT('',#477874); #153703=VERTEX_POINT('',#477876); #153704=VERTEX_POINT('',#477880); #153705=VERTEX_POINT('',#477882); #153706=VERTEX_POINT('',#477886); #153707=VERTEX_POINT('',#477888); #153708=VERTEX_POINT('',#477892); #153709=VERTEX_POINT('',#477894); #153710=VERTEX_POINT('',#477898); #153711=VERTEX_POINT('',#477900); #153712=VERTEX_POINT('',#477904); #153713=VERTEX_POINT('',#477906); #153714=VERTEX_POINT('',#477910); #153715=VERTEX_POINT('',#477912); #153716=VERTEX_POINT('',#477916); #153717=VERTEX_POINT('',#477918); #153718=VERTEX_POINT('',#477922); #153719=VERTEX_POINT('',#477924); #153720=VERTEX_POINT('',#477928); #153721=VERTEX_POINT('',#477930); #153722=VERTEX_POINT('',#477934); #153723=VERTEX_POINT('',#477936); #153724=VERTEX_POINT('',#477940); #153725=VERTEX_POINT('',#477942); #153726=VERTEX_POINT('',#477946); #153727=VERTEX_POINT('',#477948); #153728=VERTEX_POINT('',#477952); #153729=VERTEX_POINT('',#477954); #153730=VERTEX_POINT('',#477963); #153731=VERTEX_POINT('',#477964); #153732=VERTEX_POINT('',#477966); #153733=VERTEX_POINT('',#477968); #153734=VERTEX_POINT('',#477972); #153735=VERTEX_POINT('',#477974); #153736=VERTEX_POINT('',#477978); #153737=VERTEX_POINT('',#477980); #153738=VERTEX_POINT('',#477984); #153739=VERTEX_POINT('',#477986); #153740=VERTEX_POINT('',#477990); #153741=VERTEX_POINT('',#477992); #153742=VERTEX_POINT('',#477996); #153743=VERTEX_POINT('',#477998); #153744=VERTEX_POINT('',#478002); #153745=VERTEX_POINT('',#478004); #153746=VERTEX_POINT('',#478008); #153747=VERTEX_POINT('',#478010); #153748=VERTEX_POINT('',#478014); #153749=VERTEX_POINT('',#478016); #153750=VERTEX_POINT('',#478020); #153751=VERTEX_POINT('',#478022); #153752=VERTEX_POINT('',#478026); #153753=VERTEX_POINT('',#478028); #153754=VERTEX_POINT('',#478032); #153755=VERTEX_POINT('',#478034); #153756=VERTEX_POINT('',#478038); #153757=VERTEX_POINT('',#478040); #153758=VERTEX_POINT('',#478044); #153759=VERTEX_POINT('',#478046); #153760=VERTEX_POINT('',#478050); #153761=VERTEX_POINT('',#478052); #153762=VERTEX_POINT('',#478056); #153763=VERTEX_POINT('',#478058); #153764=VERTEX_POINT('',#478062); #153765=VERTEX_POINT('',#478064); #153766=VERTEX_POINT('',#478068); #153767=VERTEX_POINT('',#478070); #153768=VERTEX_POINT('',#478074); #153769=VERTEX_POINT('',#478076); #153770=VERTEX_POINT('',#478080); #153771=VERTEX_POINT('',#478082); #153772=VERTEX_POINT('',#478086); #153773=VERTEX_POINT('',#478088); #153774=VERTEX_POINT('',#478092); #153775=VERTEX_POINT('',#478094); #153776=VERTEX_POINT('',#478098); #153777=VERTEX_POINT('',#478100); #153778=VERTEX_POINT('',#478104); #153779=VERTEX_POINT('',#478106); #153780=VERTEX_POINT('',#478110); #153781=VERTEX_POINT('',#478112); #153782=VERTEX_POINT('',#478116); #153783=VERTEX_POINT('',#478118); #153784=VERTEX_POINT('',#478122); #153785=VERTEX_POINT('',#478124); #153786=VERTEX_POINT('',#478128); #153787=VERTEX_POINT('',#478130); #153788=VERTEX_POINT('',#478134); #153789=VERTEX_POINT('',#478136); #153790=VERTEX_POINT('',#478140); #153791=VERTEX_POINT('',#478142); #153792=VERTEX_POINT('',#478146); #153793=VERTEX_POINT('',#478148); #153794=VERTEX_POINT('',#478152); #153795=VERTEX_POINT('',#478154); #153796=VERTEX_POINT('',#478158); #153797=VERTEX_POINT('',#478160); #153798=VERTEX_POINT('',#478164); #153799=VERTEX_POINT('',#478166); #153800=VERTEX_POINT('',#478170); #153801=VERTEX_POINT('',#478172); #153802=VERTEX_POINT('',#478176); #153803=VERTEX_POINT('',#478178); #153804=VERTEX_POINT('',#478182); #153805=VERTEX_POINT('',#478184); #153806=VERTEX_POINT('',#478188); #153807=VERTEX_POINT('',#478190); #153808=VERTEX_POINT('',#478194); #153809=VERTEX_POINT('',#478196); #153810=VERTEX_POINT('',#478200); #153811=VERTEX_POINT('',#478202); #153812=VERTEX_POINT('',#478206); #153813=VERTEX_POINT('',#478208); #153814=VERTEX_POINT('',#478212); #153815=VERTEX_POINT('',#478214); #153816=VERTEX_POINT('',#478218); #153817=VERTEX_POINT('',#478220); #153818=VERTEX_POINT('',#478224); #153819=VERTEX_POINT('',#478226); #153820=VERTEX_POINT('',#478230); #153821=VERTEX_POINT('',#478232); #153822=VERTEX_POINT('',#478236); #153823=VERTEX_POINT('',#478238); #153824=VERTEX_POINT('',#478242); #153825=VERTEX_POINT('',#478244); #153826=VERTEX_POINT('',#478253); #153827=VERTEX_POINT('',#478254); #153828=VERTEX_POINT('',#478256); #153829=VERTEX_POINT('',#478258); #153830=VERTEX_POINT('',#478262); #153831=VERTEX_POINT('',#478264); #153832=VERTEX_POINT('',#478268); #153833=VERTEX_POINT('',#478270); #153834=VERTEX_POINT('',#478274); #153835=VERTEX_POINT('',#478276); #153836=VERTEX_POINT('',#478280); #153837=VERTEX_POINT('',#478282); #153838=VERTEX_POINT('',#478286); #153839=VERTEX_POINT('',#478288); #153840=VERTEX_POINT('',#478292); #153841=VERTEX_POINT('',#478294); #153842=VERTEX_POINT('',#478298); #153843=VERTEX_POINT('',#478300); #153844=VERTEX_POINT('',#478304); #153845=VERTEX_POINT('',#478306); #153846=VERTEX_POINT('',#478310); #153847=VERTEX_POINT('',#478312); #153848=VERTEX_POINT('',#478316); #153849=VERTEX_POINT('',#478318); #153850=VERTEX_POINT('',#478322); #153851=VERTEX_POINT('',#478324); #153852=VERTEX_POINT('',#478328); #153853=VERTEX_POINT('',#478330); #153854=VERTEX_POINT('',#478334); #153855=VERTEX_POINT('',#478336); #153856=VERTEX_POINT('',#478340); #153857=VERTEX_POINT('',#478342); #153858=VERTEX_POINT('',#478346); #153859=VERTEX_POINT('',#478348); #153860=VERTEX_POINT('',#478352); #153861=VERTEX_POINT('',#478354); #153862=VERTEX_POINT('',#478358); #153863=VERTEX_POINT('',#478360); #153864=VERTEX_POINT('',#478364); #153865=VERTEX_POINT('',#478366); #153866=VERTEX_POINT('',#478375); #153867=VERTEX_POINT('',#478376); #153868=VERTEX_POINT('',#478378); #153869=VERTEX_POINT('',#478380); #153870=VERTEX_POINT('',#478384); #153871=VERTEX_POINT('',#478386); #153872=VERTEX_POINT('',#478390); #153873=VERTEX_POINT('',#478392); #153874=VERTEX_POINT('',#478396); #153875=VERTEX_POINT('',#478398); #153876=VERTEX_POINT('',#478402); #153877=VERTEX_POINT('',#478404); #153878=VERTEX_POINT('',#478408); #153879=VERTEX_POINT('',#478410); #153880=VERTEX_POINT('',#478414); #153881=VERTEX_POINT('',#478416); #153882=VERTEX_POINT('',#478420); #153883=VERTEX_POINT('',#478422); #153884=VERTEX_POINT('',#478426); #153885=VERTEX_POINT('',#478428); #153886=VERTEX_POINT('',#478432); #153887=VERTEX_POINT('',#478434); #153888=VERTEX_POINT('',#478438); #153889=VERTEX_POINT('',#478440); #153890=VERTEX_POINT('',#478444); #153891=VERTEX_POINT('',#478446); #153892=VERTEX_POINT('',#478450); #153893=VERTEX_POINT('',#478452); #153894=VERTEX_POINT('',#478456); #153895=VERTEX_POINT('',#478458); #153896=VERTEX_POINT('',#478462); #153897=VERTEX_POINT('',#478464); #153898=VERTEX_POINT('',#478468); #153899=VERTEX_POINT('',#478470); #153900=VERTEX_POINT('',#478474); #153901=VERTEX_POINT('',#478476); #153902=VERTEX_POINT('',#478480); #153903=VERTEX_POINT('',#478482); #153904=VERTEX_POINT('',#478486); #153905=VERTEX_POINT('',#478488); #153906=VERTEX_POINT('',#478492); #153907=VERTEX_POINT('',#478494); #153908=VERTEX_POINT('',#478498); #153909=VERTEX_POINT('',#478500); #153910=VERTEX_POINT('',#478504); #153911=VERTEX_POINT('',#478506); #153912=VERTEX_POINT('',#478510); #153913=VERTEX_POINT('',#478512); #153914=VERTEX_POINT('',#478516); #153915=VERTEX_POINT('',#478518); #153916=VERTEX_POINT('',#478522); #153917=VERTEX_POINT('',#478524); #153918=VERTEX_POINT('',#478528); #153919=VERTEX_POINT('',#478530); #153920=VERTEX_POINT('',#478534); #153921=VERTEX_POINT('',#478536); #153922=VERTEX_POINT('',#478540); #153923=VERTEX_POINT('',#478542); #153924=VERTEX_POINT('',#478546); #153925=VERTEX_POINT('',#478548); #153926=VERTEX_POINT('',#478552); #153927=VERTEX_POINT('',#478554); #153928=VERTEX_POINT('',#478563); #153929=VERTEX_POINT('',#478564); #153930=VERTEX_POINT('',#478566); #153931=VERTEX_POINT('',#478568); #153932=VERTEX_POINT('',#478572); #153933=VERTEX_POINT('',#478574); #153934=VERTEX_POINT('',#478578); #153935=VERTEX_POINT('',#478580); #153936=VERTEX_POINT('',#478584); #153937=VERTEX_POINT('',#478586); #153938=VERTEX_POINT('',#478590); #153939=VERTEX_POINT('',#478592); #153940=VERTEX_POINT('',#478596); #153941=VERTEX_POINT('',#478598); #153942=VERTEX_POINT('',#478602); #153943=VERTEX_POINT('',#478604); #153944=VERTEX_POINT('',#478608); #153945=VERTEX_POINT('',#478610); #153946=VERTEX_POINT('',#478614); #153947=VERTEX_POINT('',#478616); #153948=VERTEX_POINT('',#478620); #153949=VERTEX_POINT('',#478622); #153950=VERTEX_POINT('',#478626); #153951=VERTEX_POINT('',#478628); #153952=VERTEX_POINT('',#478632); #153953=VERTEX_POINT('',#478634); #153954=VERTEX_POINT('',#478638); #153955=VERTEX_POINT('',#478640); #153956=VERTEX_POINT('',#478644); #153957=VERTEX_POINT('',#478646); #153958=VERTEX_POINT('',#478650); #153959=VERTEX_POINT('',#478652); #153960=VERTEX_POINT('',#478656); #153961=VERTEX_POINT('',#478658); #153962=VERTEX_POINT('',#478662); #153963=VERTEX_POINT('',#478664); #153964=VERTEX_POINT('',#478668); #153965=VERTEX_POINT('',#478670); #153966=VERTEX_POINT('',#478674); #153967=VERTEX_POINT('',#478676); #153968=VERTEX_POINT('',#478685); #153969=VERTEX_POINT('',#478686); #153970=VERTEX_POINT('',#478688); #153971=VERTEX_POINT('',#478690); #153972=VERTEX_POINT('',#478694); #153973=VERTEX_POINT('',#478696); #153974=VERTEX_POINT('',#478700); #153975=VERTEX_POINT('',#478702); #153976=VERTEX_POINT('',#478706); #153977=VERTEX_POINT('',#478708); #153978=VERTEX_POINT('',#478712); #153979=VERTEX_POINT('',#478714); #153980=VERTEX_POINT('',#478718); #153981=VERTEX_POINT('',#478720); #153982=VERTEX_POINT('',#478724); #153983=VERTEX_POINT('',#478726); #153984=VERTEX_POINT('',#478730); #153985=VERTEX_POINT('',#478732); #153986=VERTEX_POINT('',#478736); #153987=VERTEX_POINT('',#478738); #153988=VERTEX_POINT('',#478742); #153989=VERTEX_POINT('',#478744); #153990=VERTEX_POINT('',#478748); #153991=VERTEX_POINT('',#478750); #153992=VERTEX_POINT('',#478754); #153993=VERTEX_POINT('',#478756); #153994=VERTEX_POINT('',#478760); #153995=VERTEX_POINT('',#478762); #153996=VERTEX_POINT('',#478766); #153997=VERTEX_POINT('',#478768); #153998=VERTEX_POINT('',#478772); #153999=VERTEX_POINT('',#478774); #154000=VERTEX_POINT('',#478778); #154001=VERTEX_POINT('',#478780); #154002=VERTEX_POINT('',#478784); #154003=VERTEX_POINT('',#478786); #154004=VERTEX_POINT('',#478790); #154005=VERTEX_POINT('',#478792); #154006=VERTEX_POINT('',#478796); #154007=VERTEX_POINT('',#478798); #154008=VERTEX_POINT('',#478802); #154009=VERTEX_POINT('',#478804); #154010=VERTEX_POINT('',#478808); #154011=VERTEX_POINT('',#478810); #154012=VERTEX_POINT('',#478814); #154013=VERTEX_POINT('',#478816); #154014=VERTEX_POINT('',#478820); #154015=VERTEX_POINT('',#478822); #154016=VERTEX_POINT('',#478826); #154017=VERTEX_POINT('',#478828); #154018=VERTEX_POINT('',#478832); #154019=VERTEX_POINT('',#478834); #154020=VERTEX_POINT('',#478838); #154021=VERTEX_POINT('',#478840); #154022=VERTEX_POINT('',#478844); #154023=VERTEX_POINT('',#478846); #154024=VERTEX_POINT('',#478850); #154025=VERTEX_POINT('',#478852); #154026=VERTEX_POINT('',#478856); #154027=VERTEX_POINT('',#478858); #154028=VERTEX_POINT('',#478862); #154029=VERTEX_POINT('',#478864); #154030=VERTEX_POINT('',#478873); #154031=VERTEX_POINT('',#478874); #154032=VERTEX_POINT('',#478876); #154033=VERTEX_POINT('',#478878); #154034=VERTEX_POINT('',#478882); #154035=VERTEX_POINT('',#478884); #154036=VERTEX_POINT('',#478888); #154037=VERTEX_POINT('',#478890); #154038=VERTEX_POINT('',#478894); #154039=VERTEX_POINT('',#478896); #154040=VERTEX_POINT('',#478900); #154041=VERTEX_POINT('',#478902); #154042=VERTEX_POINT('',#478906); #154043=VERTEX_POINT('',#478908); #154044=VERTEX_POINT('',#478912); #154045=VERTEX_POINT('',#478914); #154046=VERTEX_POINT('',#478918); #154047=VERTEX_POINT('',#478920); #154048=VERTEX_POINT('',#478924); #154049=VERTEX_POINT('',#478926); #154050=VERTEX_POINT('',#478930); #154051=VERTEX_POINT('',#478932); #154052=VERTEX_POINT('',#478936); #154053=VERTEX_POINT('',#478938); #154054=VERTEX_POINT('',#478942); #154055=VERTEX_POINT('',#478944); #154056=VERTEX_POINT('',#478948); #154057=VERTEX_POINT('',#478950); #154058=VERTEX_POINT('',#478954); #154059=VERTEX_POINT('',#478956); #154060=VERTEX_POINT('',#478960); #154061=VERTEX_POINT('',#478962); #154062=VERTEX_POINT('',#478966); #154063=VERTEX_POINT('',#478968); #154064=VERTEX_POINT('',#478972); #154065=VERTEX_POINT('',#478974); #154066=VERTEX_POINT('',#478978); #154067=VERTEX_POINT('',#478980); #154068=VERTEX_POINT('',#478984); #154069=VERTEX_POINT('',#478986); #154070=VERTEX_POINT('',#478990); #154071=VERTEX_POINT('',#478992); #154072=VERTEX_POINT('',#479001); #154073=VERTEX_POINT('',#479002); #154074=VERTEX_POINT('',#479004); #154075=VERTEX_POINT('',#479006); #154076=VERTEX_POINT('',#479010); #154077=VERTEX_POINT('',#479012); #154078=VERTEX_POINT('',#479016); #154079=VERTEX_POINT('',#479018); #154080=VERTEX_POINT('',#479022); #154081=VERTEX_POINT('',#479024); #154082=VERTEX_POINT('',#479028); #154083=VERTEX_POINT('',#479030); #154084=VERTEX_POINT('',#479034); #154085=VERTEX_POINT('',#479036); #154086=VERTEX_POINT('',#479040); #154087=VERTEX_POINT('',#479042); #154088=VERTEX_POINT('',#479046); #154089=VERTEX_POINT('',#479048); #154090=VERTEX_POINT('',#479052); #154091=VERTEX_POINT('',#479054); #154092=VERTEX_POINT('',#479058); #154093=VERTEX_POINT('',#479060); #154094=VERTEX_POINT('',#479064); #154095=VERTEX_POINT('',#479066); #154096=VERTEX_POINT('',#479070); #154097=VERTEX_POINT('',#479072); #154098=VERTEX_POINT('',#479076); #154099=VERTEX_POINT('',#479078); #154100=VERTEX_POINT('',#479082); #154101=VERTEX_POINT('',#479084); #154102=VERTEX_POINT('',#479088); #154103=VERTEX_POINT('',#479090); #154104=VERTEX_POINT('',#479094); #154105=VERTEX_POINT('',#479096); #154106=VERTEX_POINT('',#479100); #154107=VERTEX_POINT('',#479102); #154108=VERTEX_POINT('',#479106); #154109=VERTEX_POINT('',#479108); #154110=VERTEX_POINT('',#479112); #154111=VERTEX_POINT('',#479114); #154112=VERTEX_POINT('',#479118); #154113=VERTEX_POINT('',#479120); #154114=VERTEX_POINT('',#479124); #154115=VERTEX_POINT('',#479126); #154116=VERTEX_POINT('',#479130); #154117=VERTEX_POINT('',#479132); #154118=VERTEX_POINT('',#479136); #154119=VERTEX_POINT('',#479138); #154120=VERTEX_POINT('',#479142); #154121=VERTEX_POINT('',#479144); #154122=VERTEX_POINT('',#479148); #154123=VERTEX_POINT('',#479150); #154124=VERTEX_POINT('',#479154); #154125=VERTEX_POINT('',#479156); #154126=VERTEX_POINT('',#479160); #154127=VERTEX_POINT('',#479162); #154128=VERTEX_POINT('',#479166); #154129=VERTEX_POINT('',#479168); #154130=VERTEX_POINT('',#479172); #154131=VERTEX_POINT('',#479174); #154132=VERTEX_POINT('',#479178); #154133=VERTEX_POINT('',#479180); #154134=VERTEX_POINT('',#479184); #154135=VERTEX_POINT('',#479186); #154136=VERTEX_POINT('',#479190); #154137=VERTEX_POINT('',#479192); #154138=VERTEX_POINT('',#479196); #154139=VERTEX_POINT('',#479198); #154140=VERTEX_POINT('',#479202); #154141=VERTEX_POINT('',#479204); #154142=VERTEX_POINT('',#479208); #154143=VERTEX_POINT('',#479210); #154144=VERTEX_POINT('',#479214); #154145=VERTEX_POINT('',#479216); #154146=VERTEX_POINT('',#479220); #154147=VERTEX_POINT('',#479222); #154148=VERTEX_POINT('',#479226); #154149=VERTEX_POINT('',#479228); #154150=VERTEX_POINT('',#479232); #154151=VERTEX_POINT('',#479234); #154152=VERTEX_POINT('',#479243); #154153=VERTEX_POINT('',#479244); #154154=VERTEX_POINT('',#479246); #154155=VERTEX_POINT('',#479248); #154156=VERTEX_POINT('',#479252); #154157=VERTEX_POINT('',#479254); #154158=VERTEX_POINT('',#479258); #154159=VERTEX_POINT('',#479260); #154160=VERTEX_POINT('',#479264); #154161=VERTEX_POINT('',#479266); #154162=VERTEX_POINT('',#479270); #154163=VERTEX_POINT('',#479272); #154164=VERTEX_POINT('',#479276); #154165=VERTEX_POINT('',#479278); #154166=VERTEX_POINT('',#479282); #154167=VERTEX_POINT('',#479284); #154168=VERTEX_POINT('',#479288); #154169=VERTEX_POINT('',#479290); #154170=VERTEX_POINT('',#479294); #154171=VERTEX_POINT('',#479296); #154172=VERTEX_POINT('',#479300); #154173=VERTEX_POINT('',#479302); #154174=VERTEX_POINT('',#479306); #154175=VERTEX_POINT('',#479308); #154176=VERTEX_POINT('',#479312); #154177=VERTEX_POINT('',#479314); #154178=VERTEX_POINT('',#479318); #154179=VERTEX_POINT('',#479320); #154180=VERTEX_POINT('',#479324); #154181=VERTEX_POINT('',#479326); #154182=VERTEX_POINT('',#479330); #154183=VERTEX_POINT('',#479332); #154184=VERTEX_POINT('',#479336); #154185=VERTEX_POINT('',#479338); #154186=VERTEX_POINT('',#479342); #154187=VERTEX_POINT('',#479344); #154188=VERTEX_POINT('',#479348); #154189=VERTEX_POINT('',#479350); #154190=VERTEX_POINT('',#479354); #154191=VERTEX_POINT('',#479356); #154192=VERTEX_POINT('',#479365); #154193=VERTEX_POINT('',#479366); #154194=VERTEX_POINT('',#479368); #154195=VERTEX_POINT('',#479370); #154196=VERTEX_POINT('',#479374); #154197=VERTEX_POINT('',#479376); #154198=VERTEX_POINT('',#479380); #154199=VERTEX_POINT('',#479382); #154200=VERTEX_POINT('',#479386); #154201=VERTEX_POINT('',#479388); #154202=VERTEX_POINT('',#479392); #154203=VERTEX_POINT('',#479394); #154204=VERTEX_POINT('',#479398); #154205=VERTEX_POINT('',#479400); #154206=VERTEX_POINT('',#479404); #154207=VERTEX_POINT('',#479406); #154208=VERTEX_POINT('',#479410); #154209=VERTEX_POINT('',#479412); #154210=VERTEX_POINT('',#479416); #154211=VERTEX_POINT('',#479418); #154212=VERTEX_POINT('',#479422); #154213=VERTEX_POINT('',#479424); #154214=VERTEX_POINT('',#479428); #154215=VERTEX_POINT('',#479430); #154216=VERTEX_POINT('',#479434); #154217=VERTEX_POINT('',#479436); #154218=VERTEX_POINT('',#479440); #154219=VERTEX_POINT('',#479442); #154220=VERTEX_POINT('',#479446); #154221=VERTEX_POINT('',#479448); #154222=VERTEX_POINT('',#479452); #154223=VERTEX_POINT('',#479454); #154224=VERTEX_POINT('',#479458); #154225=VERTEX_POINT('',#479460); #154226=VERTEX_POINT('',#479464); #154227=VERTEX_POINT('',#479466); #154228=VERTEX_POINT('',#479470); #154229=VERTEX_POINT('',#479472); #154230=VERTEX_POINT('',#479476); #154231=VERTEX_POINT('',#479478); #154232=VERTEX_POINT('',#479482); #154233=VERTEX_POINT('',#479484); #154234=VERTEX_POINT('',#479488); #154235=VERTEX_POINT('',#479490); #154236=VERTEX_POINT('',#479494); #154237=VERTEX_POINT('',#479496); #154238=VERTEX_POINT('',#479500); #154239=VERTEX_POINT('',#479502); #154240=VERTEX_POINT('',#479506); #154241=VERTEX_POINT('',#479508); #154242=VERTEX_POINT('',#479512); #154243=VERTEX_POINT('',#479514); #154244=VERTEX_POINT('',#479518); #154245=VERTEX_POINT('',#479520); #154246=VERTEX_POINT('',#479524); #154247=VERTEX_POINT('',#479526); #154248=VERTEX_POINT('',#479530); #154249=VERTEX_POINT('',#479532); #154250=VERTEX_POINT('',#479536); #154251=VERTEX_POINT('',#479538); #154252=VERTEX_POINT('',#479542); #154253=VERTEX_POINT('',#479544); #154254=VERTEX_POINT('',#479548); #154255=VERTEX_POINT('',#479550); #154256=VERTEX_POINT('',#479554); #154257=VERTEX_POINT('',#479556); #154258=VERTEX_POINT('',#479560); #154259=VERTEX_POINT('',#479562); #154260=VERTEX_POINT('',#479566); #154261=VERTEX_POINT('',#479568); #154262=VERTEX_POINT('',#479572); #154263=VERTEX_POINT('',#479574); #154264=VERTEX_POINT('',#479578); #154265=VERTEX_POINT('',#479580); #154266=VERTEX_POINT('',#479584); #154267=VERTEX_POINT('',#479586); #154268=VERTEX_POINT('',#479590); #154269=VERTEX_POINT('',#479592); #154270=VERTEX_POINT('',#479596); #154271=VERTEX_POINT('',#479598); #154272=VERTEX_POINT('',#479607); #154273=VERTEX_POINT('',#479608); #154274=VERTEX_POINT('',#479610); #154275=VERTEX_POINT('',#479612); #154276=VERTEX_POINT('',#479616); #154277=VERTEX_POINT('',#479618); #154278=VERTEX_POINT('',#479622); #154279=VERTEX_POINT('',#479624); #154280=VERTEX_POINT('',#479628); #154281=VERTEX_POINT('',#479630); #154282=VERTEX_POINT('',#479634); #154283=VERTEX_POINT('',#479636); #154284=VERTEX_POINT('',#479640); #154285=VERTEX_POINT('',#479642); #154286=VERTEX_POINT('',#479646); #154287=VERTEX_POINT('',#479648); #154288=VERTEX_POINT('',#479652); #154289=VERTEX_POINT('',#479654); #154290=VERTEX_POINT('',#479658); #154291=VERTEX_POINT('',#479660); #154292=VERTEX_POINT('',#479664); #154293=VERTEX_POINT('',#479666); #154294=VERTEX_POINT('',#479670); #154295=VERTEX_POINT('',#479672); #154296=VERTEX_POINT('',#479676); #154297=VERTEX_POINT('',#479678); #154298=VERTEX_POINT('',#479682); #154299=VERTEX_POINT('',#479684); #154300=VERTEX_POINT('',#479688); #154301=VERTEX_POINT('',#479690); #154302=VERTEX_POINT('',#479694); #154303=VERTEX_POINT('',#479696); #154304=VERTEX_POINT('',#479700); #154305=VERTEX_POINT('',#479702); #154306=VERTEX_POINT('',#479706); #154307=VERTEX_POINT('',#479708); #154308=VERTEX_POINT('',#479712); #154309=VERTEX_POINT('',#479714); #154310=VERTEX_POINT('',#479718); #154311=VERTEX_POINT('',#479720); #154312=VERTEX_POINT('',#479729); #154313=VERTEX_POINT('',#479730); #154314=VERTEX_POINT('',#479732); #154315=VERTEX_POINT('',#479734); #154316=VERTEX_POINT('',#479738); #154317=VERTEX_POINT('',#479740); #154318=VERTEX_POINT('',#479744); #154319=VERTEX_POINT('',#479746); #154320=VERTEX_POINT('',#479750); #154321=VERTEX_POINT('',#479752); #154322=VERTEX_POINT('',#479756); #154323=VERTEX_POINT('',#479758); #154324=VERTEX_POINT('',#479762); #154325=VERTEX_POINT('',#479764); #154326=VERTEX_POINT('',#479768); #154327=VERTEX_POINT('',#479770); #154328=VERTEX_POINT('',#479774); #154329=VERTEX_POINT('',#479776); #154330=VERTEX_POINT('',#479780); #154331=VERTEX_POINT('',#479782); #154332=VERTEX_POINT('',#479786); #154333=VERTEX_POINT('',#479788); #154334=VERTEX_POINT('',#479792); #154335=VERTEX_POINT('',#479794); #154336=VERTEX_POINT('',#479798); #154337=VERTEX_POINT('',#479800); #154338=VERTEX_POINT('',#479804); #154339=VERTEX_POINT('',#479806); #154340=VERTEX_POINT('',#479810); #154341=VERTEX_POINT('',#479812); #154342=VERTEX_POINT('',#479816); #154343=VERTEX_POINT('',#479818); #154344=VERTEX_POINT('',#479822); #154345=VERTEX_POINT('',#479824); #154346=VERTEX_POINT('',#479828); #154347=VERTEX_POINT('',#479830); #154348=VERTEX_POINT('',#479834); #154349=VERTEX_POINT('',#479836); #154350=VERTEX_POINT('',#479840); #154351=VERTEX_POINT('',#479842); #154352=VERTEX_POINT('',#479851); #154353=VERTEX_POINT('',#479853); #154354=VERTEX_POINT('',#479857); #154355=VERTEX_POINT('',#479858); #154356=VERTEX_POINT('',#479860); #154357=VERTEX_POINT('',#479862); #154358=VERTEX_POINT('',#479866); #154359=VERTEX_POINT('',#479868); #154360=VERTEX_POINT('',#479872); #154361=VERTEX_POINT('',#479874); #154362=VERTEX_POINT('',#479881); #154363=VERTEX_POINT('',#479882); #154364=VERTEX_POINT('',#479884); #154365=VERTEX_POINT('',#479886); #154366=VERTEX_POINT('',#479890); #154367=VERTEX_POINT('',#479892); #154368=VERTEX_POINT('',#479896); #154369=VERTEX_POINT('',#479898); #154370=VERTEX_POINT('',#479902); #154371=VERTEX_POINT('',#479904); #154372=VERTEX_POINT('',#479908); #154373=VERTEX_POINT('',#479910); #154374=VERTEX_POINT('',#479914); #154375=VERTEX_POINT('',#479916); #154376=VERTEX_POINT('',#479920); #154377=VERTEX_POINT('',#479922); #154378=VERTEX_POINT('',#479926); #154379=VERTEX_POINT('',#479928); #154380=VERTEX_POINT('',#479932); #154381=VERTEX_POINT('',#479934); #154382=VERTEX_POINT('',#479938); #154383=VERTEX_POINT('',#479940); #154384=VERTEX_POINT('',#479944); #154385=VERTEX_POINT('',#479946); #154386=VERTEX_POINT('',#479950); #154387=VERTEX_POINT('',#479952); #154388=VERTEX_POINT('',#479956); #154389=VERTEX_POINT('',#479958); #154390=VERTEX_POINT('',#479962); #154391=VERTEX_POINT('',#479964); #154392=VERTEX_POINT('',#479968); #154393=VERTEX_POINT('',#479970); #154394=VERTEX_POINT('',#479974); #154395=VERTEX_POINT('',#479976); #154396=VERTEX_POINT('',#479980); #154397=VERTEX_POINT('',#479982); #154398=VERTEX_POINT('',#479986); #154399=VERTEX_POINT('',#479988); #154400=VERTEX_POINT('',#479992); #154401=VERTEX_POINT('',#479994); #154402=VERTEX_POINT('',#479998); #154403=VERTEX_POINT('',#480000); #154404=VERTEX_POINT('',#480004); #154405=VERTEX_POINT('',#480006); #154406=VERTEX_POINT('',#480010); #154407=VERTEX_POINT('',#480012); #154408=VERTEX_POINT('',#480016); #154409=VERTEX_POINT('',#480018); #154410=VERTEX_POINT('',#480022); #154411=VERTEX_POINT('',#480024); #154412=VERTEX_POINT('',#480028); #154413=VERTEX_POINT('',#480030); #154414=VERTEX_POINT('',#480034); #154415=VERTEX_POINT('',#480036); #154416=VERTEX_POINT('',#480040); #154417=VERTEX_POINT('',#480042); #154418=VERTEX_POINT('',#480046); #154419=VERTEX_POINT('',#480048); #154420=VERTEX_POINT('',#480052); #154421=VERTEX_POINT('',#480054); #154422=VERTEX_POINT('',#480058); #154423=VERTEX_POINT('',#480060); #154424=VERTEX_POINT('',#480064); #154425=VERTEX_POINT('',#480066); #154426=VERTEX_POINT('',#480070); #154427=VERTEX_POINT('',#480072); #154428=VERTEX_POINT('',#480076); #154429=VERTEX_POINT('',#480078); #154430=VERTEX_POINT('',#480082); #154431=VERTEX_POINT('',#480084); #154432=VERTEX_POINT('',#480088); #154433=VERTEX_POINT('',#480090); #154434=VERTEX_POINT('',#480094); #154435=VERTEX_POINT('',#480096); #154436=VERTEX_POINT('',#480100); #154437=VERTEX_POINT('',#480102); #154438=VERTEX_POINT('',#480106); #154439=VERTEX_POINT('',#480108); #154440=VERTEX_POINT('',#480112); #154441=VERTEX_POINT('',#480114); #154442=VERTEX_POINT('',#480118); #154443=VERTEX_POINT('',#480120); #154444=VERTEX_POINT('',#480124); #154445=VERTEX_POINT('',#480126); #154446=VERTEX_POINT('',#480130); #154447=VERTEX_POINT('',#480132); #154448=VERTEX_POINT('',#480136); #154449=VERTEX_POINT('',#480138); #154450=VERTEX_POINT('',#480142); #154451=VERTEX_POINT('',#480144); #154452=VERTEX_POINT('',#480148); #154453=VERTEX_POINT('',#480150); #154454=VERTEX_POINT('',#480154); #154455=VERTEX_POINT('',#480156); #154456=VERTEX_POINT('',#480160); #154457=VERTEX_POINT('',#480162); #154458=VERTEX_POINT('',#480166); #154459=VERTEX_POINT('',#480168); #154460=VERTEX_POINT('',#480172); #154461=VERTEX_POINT('',#480174); #154462=VERTEX_POINT('',#480178); #154463=VERTEX_POINT('',#480180); #154464=VERTEX_POINT('',#480184); #154465=VERTEX_POINT('',#480186); #154466=VERTEX_POINT('',#480190); #154467=VERTEX_POINT('',#480192); #154468=VERTEX_POINT('',#480196); #154469=VERTEX_POINT('',#480198); #154470=VERTEX_POINT('',#480202); #154471=VERTEX_POINT('',#480204); #154472=VERTEX_POINT('',#480208); #154473=VERTEX_POINT('',#480210); #154474=VERTEX_POINT('',#480214); #154475=VERTEX_POINT('',#480216); #154476=VERTEX_POINT('',#480220); #154477=VERTEX_POINT('',#480222); #154478=VERTEX_POINT('',#480226); #154479=VERTEX_POINT('',#480228); #154480=VERTEX_POINT('',#480232); #154481=VERTEX_POINT('',#480234); #154482=VERTEX_POINT('',#480238); #154483=VERTEX_POINT('',#480240); #154484=VERTEX_POINT('',#480244); #154485=VERTEX_POINT('',#480246); #154486=VERTEX_POINT('',#480250); #154487=VERTEX_POINT('',#480252); #154488=VERTEX_POINT('',#480256); #154489=VERTEX_POINT('',#480258); #154490=VERTEX_POINT('',#480262); #154491=VERTEX_POINT('',#480264); #154492=VERTEX_POINT('',#480268); #154493=VERTEX_POINT('',#480270); #154494=VERTEX_POINT('',#480274); #154495=VERTEX_POINT('',#480276); #154496=VERTEX_POINT('',#480280); #154497=VERTEX_POINT('',#480282); #154498=VERTEX_POINT('',#480286); #154499=VERTEX_POINT('',#480288); #154500=VERTEX_POINT('',#480292); #154501=VERTEX_POINT('',#480294); #154502=VERTEX_POINT('',#480298); #154503=VERTEX_POINT('',#480300); #154504=VERTEX_POINT('',#480304); #154505=VERTEX_POINT('',#480306); #154506=VERTEX_POINT('',#480310); #154507=VERTEX_POINT('',#480312); #154508=VERTEX_POINT('',#480316); #154509=VERTEX_POINT('',#480318); #154510=VERTEX_POINT('',#480322); #154511=VERTEX_POINT('',#480324); #154512=VERTEX_POINT('',#480328); #154513=VERTEX_POINT('',#480330); #154514=VERTEX_POINT('',#480334); #154515=VERTEX_POINT('',#480336); #154516=VERTEX_POINT('',#480340); #154517=VERTEX_POINT('',#480342); #154518=VERTEX_POINT('',#480346); #154519=VERTEX_POINT('',#480348); #154520=VERTEX_POINT('',#480352); #154521=VERTEX_POINT('',#480354); #154522=VERTEX_POINT('',#480358); #154523=VERTEX_POINT('',#480360); #154524=VERTEX_POINT('',#480364); #154525=VERTEX_POINT('',#480366); #154526=VERTEX_POINT('',#480370); #154527=VERTEX_POINT('',#480372); #154528=VERTEX_POINT('',#480376); #154529=VERTEX_POINT('',#480378); #154530=VERTEX_POINT('',#480382); #154531=VERTEX_POINT('',#480384); #154532=VERTEX_POINT('',#480388); #154533=VERTEX_POINT('',#480390); #154534=VERTEX_POINT('',#480394); #154535=VERTEX_POINT('',#480396); #154536=VERTEX_POINT('',#480400); #154537=VERTEX_POINT('',#480402); #154538=VERTEX_POINT('',#480406); #154539=VERTEX_POINT('',#480408); #154540=VERTEX_POINT('',#480412); #154541=VERTEX_POINT('',#480414); #154542=VERTEX_POINT('',#480418); #154543=VERTEX_POINT('',#480420); #154544=VERTEX_POINT('',#480424); #154545=VERTEX_POINT('',#480426); #154546=VERTEX_POINT('',#480430); #154547=VERTEX_POINT('',#480432); #154548=VERTEX_POINT('',#480441); #154549=VERTEX_POINT('',#480442); #154550=VERTEX_POINT('',#480444); #154551=VERTEX_POINT('',#480446); #154552=VERTEX_POINT('',#480450); #154553=VERTEX_POINT('',#480452); #154554=VERTEX_POINT('',#480456); #154555=VERTEX_POINT('',#480458); #154556=VERTEX_POINT('',#480462); #154557=VERTEX_POINT('',#480464); #154558=VERTEX_POINT('',#480468); #154559=VERTEX_POINT('',#480470); #154560=VERTEX_POINT('',#480474); #154561=VERTEX_POINT('',#480476); #154562=VERTEX_POINT('',#480480); #154563=VERTEX_POINT('',#480482); #154564=VERTEX_POINT('',#480486); #154565=VERTEX_POINT('',#480488); #154566=VERTEX_POINT('',#480492); #154567=VERTEX_POINT('',#480494); #154568=VERTEX_POINT('',#480498); #154569=VERTEX_POINT('',#480500); #154570=VERTEX_POINT('',#480504); #154571=VERTEX_POINT('',#480506); #154572=VERTEX_POINT('',#480510); #154573=VERTEX_POINT('',#480512); #154574=VERTEX_POINT('',#480516); #154575=VERTEX_POINT('',#480518); #154576=VERTEX_POINT('',#480522); #154577=VERTEX_POINT('',#480524); #154578=VERTEX_POINT('',#480528); #154579=VERTEX_POINT('',#480530); #154580=VERTEX_POINT('',#480534); #154581=VERTEX_POINT('',#480536); #154582=VERTEX_POINT('',#480540); #154583=VERTEX_POINT('',#480542); #154584=VERTEX_POINT('',#480546); #154585=VERTEX_POINT('',#480548); #154586=VERTEX_POINT('',#480552); #154587=VERTEX_POINT('',#480554); #154588=VERTEX_POINT('',#480558); #154589=VERTEX_POINT('',#480560); #154590=VERTEX_POINT('',#480564); #154591=VERTEX_POINT('',#480566); #154592=VERTEX_POINT('',#480570); #154593=VERTEX_POINT('',#480572); #154594=VERTEX_POINT('',#480576); #154595=VERTEX_POINT('',#480578); #154596=VERTEX_POINT('',#480582); #154597=VERTEX_POINT('',#480584); #154598=VERTEX_POINT('',#480588); #154599=VERTEX_POINT('',#480590); #154600=VERTEX_POINT('',#480594); #154601=VERTEX_POINT('',#480596); #154602=VERTEX_POINT('',#480600); #154603=VERTEX_POINT('',#480602); #154604=VERTEX_POINT('',#480606); #154605=VERTEX_POINT('',#480608); #154606=VERTEX_POINT('',#480612); #154607=VERTEX_POINT('',#480614); #154608=VERTEX_POINT('',#480623); #154609=VERTEX_POINT('',#480625); #154610=VERTEX_POINT('',#480629); #154611=VERTEX_POINT('',#480631); #154612=VERTEX_POINT('',#480635); #154613=VERTEX_POINT('',#480637); #154614=VERTEX_POINT('',#480641); #154615=VERTEX_POINT('',#480643); #154616=VERTEX_POINT('',#480647); #154617=VERTEX_POINT('',#480649); #154618=VERTEX_POINT('',#480653); #154619=VERTEX_POINT('',#480655); #154620=VERTEX_POINT('',#480659); #154621=VERTEX_POINT('',#480661); #154622=VERTEX_POINT('',#480665); #154623=VERTEX_POINT('',#480667); #154624=VERTEX_POINT('',#480671); #154625=VERTEX_POINT('',#480673); #154626=VERTEX_POINT('',#480677); #154627=VERTEX_POINT('',#480679); #154628=VERTEX_POINT('',#480683); #154629=VERTEX_POINT('',#480685); #154630=VERTEX_POINT('',#480689); #154631=VERTEX_POINT('',#480691); #154632=VERTEX_POINT('',#480695); #154633=VERTEX_POINT('',#480697); #154634=VERTEX_POINT('',#480701); #154635=VERTEX_POINT('',#480703); #154636=VERTEX_POINT('',#480707); #154637=VERTEX_POINT('',#480709); #154638=VERTEX_POINT('',#480713); #154639=VERTEX_POINT('',#480714); #154640=VERTEX_POINT('',#480716); #154641=VERTEX_POINT('',#480718); #154642=VERTEX_POINT('',#480722); #154643=VERTEX_POINT('',#480724); #154644=VERTEX_POINT('',#480728); #154645=VERTEX_POINT('',#480730); #154646=VERTEX_POINT('',#480734); #154647=VERTEX_POINT('',#480736); #154648=VERTEX_POINT('',#480740); #154649=VERTEX_POINT('',#480742); #154650=VERTEX_POINT('',#480746); #154651=VERTEX_POINT('',#480748); #154652=VERTEX_POINT('',#480752); #154653=VERTEX_POINT('',#480754); #154654=VERTEX_POINT('',#480758); #154655=VERTEX_POINT('',#480760); #154656=VERTEX_POINT('',#480764); #154657=VERTEX_POINT('',#480766); #154658=VERTEX_POINT('',#480770); #154659=VERTEX_POINT('',#480772); #154660=VERTEX_POINT('',#480776); #154661=VERTEX_POINT('',#480778); #154662=VERTEX_POINT('',#480782); #154663=VERTEX_POINT('',#480784); #154664=VERTEX_POINT('',#480788); #154665=VERTEX_POINT('',#480790); #154666=VERTEX_POINT('',#480794); #154667=VERTEX_POINT('',#480796); #154668=VERTEX_POINT('',#480800); #154669=VERTEX_POINT('',#480802); #154670=VERTEX_POINT('',#480806); #154671=VERTEX_POINT('',#480808); #154672=VERTEX_POINT('',#480812); #154673=VERTEX_POINT('',#480814); #154674=VERTEX_POINT('',#480818); #154675=VERTEX_POINT('',#480820); #154676=VERTEX_POINT('',#480824); #154677=VERTEX_POINT('',#480826); #154678=VERTEX_POINT('',#480830); #154679=VERTEX_POINT('',#480832); #154680=VERTEX_POINT('',#480836); #154681=VERTEX_POINT('',#480838); #154682=VERTEX_POINT('',#480842); #154683=VERTEX_POINT('',#480844); #154684=VERTEX_POINT('',#480848); #154685=VERTEX_POINT('',#480850); #154686=VERTEX_POINT('',#480854); #154687=VERTEX_POINT('',#480856); #154688=VERTEX_POINT('',#480860); #154689=VERTEX_POINT('',#480862); #154690=VERTEX_POINT('',#480866); #154691=VERTEX_POINT('',#480868); #154692=VERTEX_POINT('',#480872); #154693=VERTEX_POINT('',#480874); #154694=VERTEX_POINT('',#480878); #154695=VERTEX_POINT('',#480880); #154696=VERTEX_POINT('',#480884); #154697=VERTEX_POINT('',#480886); #154698=VERTEX_POINT('',#480890); #154699=VERTEX_POINT('',#480892); #154700=VERTEX_POINT('',#480896); #154701=VERTEX_POINT('',#480898); #154702=VERTEX_POINT('',#480902); #154703=VERTEX_POINT('',#480904); #154704=VERTEX_POINT('',#480908); #154705=VERTEX_POINT('',#480910); #154706=VERTEX_POINT('',#480914); #154707=VERTEX_POINT('',#480916); #154708=VERTEX_POINT('',#480920); #154709=VERTEX_POINT('',#480922); #154710=VERTEX_POINT('',#480926); #154711=VERTEX_POINT('',#480928); #154712=VERTEX_POINT('',#480932); #154713=VERTEX_POINT('',#480934); #154714=VERTEX_POINT('',#480938); #154715=VERTEX_POINT('',#480940); #154716=VERTEX_POINT('',#480944); #154717=VERTEX_POINT('',#480946); #154718=VERTEX_POINT('',#480950); #154719=VERTEX_POINT('',#480952); #154720=VERTEX_POINT('',#480961); #154721=VERTEX_POINT('',#480963); #154722=VERTEX_POINT('',#480967); #154723=VERTEX_POINT('',#480968); #154724=VERTEX_POINT('',#480970); #154725=VERTEX_POINT('',#480972); #154726=VERTEX_POINT('',#480976); #154727=VERTEX_POINT('',#480978); #154728=VERTEX_POINT('',#480982); #154729=VERTEX_POINT('',#480984); #154730=VERTEX_POINT('',#480988); #154731=VERTEX_POINT('',#480990); #154732=VERTEX_POINT('',#480994); #154733=VERTEX_POINT('',#480996); #154734=VERTEX_POINT('',#481000); #154735=VERTEX_POINT('',#481002); #154736=VERTEX_POINT('',#481006); #154737=VERTEX_POINT('',#481008); #154738=VERTEX_POINT('',#481012); #154739=VERTEX_POINT('',#481014); #154740=VERTEX_POINT('',#481018); #154741=VERTEX_POINT('',#481020); #154742=VERTEX_POINT('',#481024); #154743=VERTEX_POINT('',#481026); #154744=VERTEX_POINT('',#481030); #154745=VERTEX_POINT('',#481032); #154746=VERTEX_POINT('',#481036); #154747=VERTEX_POINT('',#481038); #154748=VERTEX_POINT('',#481042); #154749=VERTEX_POINT('',#481044); #154750=VERTEX_POINT('',#481048); #154751=VERTEX_POINT('',#481050); #154752=VERTEX_POINT('',#481054); #154753=VERTEX_POINT('',#481056); #154754=VERTEX_POINT('',#481060); #154755=VERTEX_POINT('',#481062); #154756=VERTEX_POINT('',#481066); #154757=VERTEX_POINT('',#481068); #154758=VERTEX_POINT('',#481072); #154759=VERTEX_POINT('',#481074); #154760=VERTEX_POINT('',#481078); #154761=VERTEX_POINT('',#481080); #154762=VERTEX_POINT('',#481084); #154763=VERTEX_POINT('',#481086); #154764=VERTEX_POINT('',#481090); #154765=VERTEX_POINT('',#481092); #154766=VERTEX_POINT('',#481096); #154767=VERTEX_POINT('',#481098); #154768=VERTEX_POINT('',#481102); #154769=VERTEX_POINT('',#481104); #154770=VERTEX_POINT('',#481108); #154771=VERTEX_POINT('',#481110); #154772=VERTEX_POINT('',#481114); #154773=VERTEX_POINT('',#481116); #154774=VERTEX_POINT('',#481120); #154775=VERTEX_POINT('',#481122); #154776=VERTEX_POINT('',#481126); #154777=VERTEX_POINT('',#481128); #154778=VERTEX_POINT('',#481132); #154779=VERTEX_POINT('',#481134); #154780=VERTEX_POINT('',#481138); #154781=VERTEX_POINT('',#481140); #154782=VERTEX_POINT('',#481144); #154783=VERTEX_POINT('',#481146); #154784=VERTEX_POINT('',#481150); #154785=VERTEX_POINT('',#481152); #154786=VERTEX_POINT('',#481156); #154787=VERTEX_POINT('',#481158); #154788=VERTEX_POINT('',#481162); #154789=VERTEX_POINT('',#481164); #154790=VERTEX_POINT('',#481168); #154791=VERTEX_POINT('',#481170); #154792=VERTEX_POINT('',#481174); #154793=VERTEX_POINT('',#481176); #154794=VERTEX_POINT('',#481180); #154795=VERTEX_POINT('',#481182); #154796=VERTEX_POINT('',#481186); #154797=VERTEX_POINT('',#481188); #154798=VERTEX_POINT('',#481192); #154799=VERTEX_POINT('',#481194); #154800=VERTEX_POINT('',#481198); #154801=VERTEX_POINT('',#481200); #154802=VERTEX_POINT('',#481204); #154803=VERTEX_POINT('',#481206); #154804=VERTEX_POINT('',#481210); #154805=VERTEX_POINT('',#481212); #154806=VERTEX_POINT('',#481221); #154807=VERTEX_POINT('',#481223); #154808=VERTEX_POINT('',#481227); #154809=VERTEX_POINT('',#481229); #154810=VERTEX_POINT('',#481233); #154811=VERTEX_POINT('',#481235); #154812=VERTEX_POINT('',#481239); #154813=VERTEX_POINT('',#481241); #154814=VERTEX_POINT('',#481245); #154815=VERTEX_POINT('',#481247); #154816=VERTEX_POINT('',#481251); #154817=VERTEX_POINT('',#481253); #154818=VERTEX_POINT('',#481257); #154819=VERTEX_POINT('',#481259); #154820=VERTEX_POINT('',#481263); #154821=VERTEX_POINT('',#481265); #154822=VERTEX_POINT('',#481269); #154823=VERTEX_POINT('',#481271); #154824=VERTEX_POINT('',#481275); #154825=VERTEX_POINT('',#481277); #154826=VERTEX_POINT('',#481281); #154827=VERTEX_POINT('',#481283); #154828=VERTEX_POINT('',#481287); #154829=VERTEX_POINT('',#481289); #154830=VERTEX_POINT('',#481293); #154831=VERTEX_POINT('',#481295); #154832=VERTEX_POINT('',#481299); #154833=VERTEX_POINT('',#481301); #154834=VERTEX_POINT('',#481305); #154835=VERTEX_POINT('',#481307); #154836=VERTEX_POINT('',#481311); #154837=VERTEX_POINT('',#481312); #154838=VERTEX_POINT('',#481314); #154839=VERTEX_POINT('',#481316); #154840=VERTEX_POINT('',#481320); #154841=VERTEX_POINT('',#481322); #154842=VERTEX_POINT('',#481326); #154843=VERTEX_POINT('',#481328); #154844=VERTEX_POINT('',#481332); #154845=VERTEX_POINT('',#481334); #154846=VERTEX_POINT('',#481338); #154847=VERTEX_POINT('',#481340); #154848=VERTEX_POINT('',#481344); #154849=VERTEX_POINT('',#481346); #154850=VERTEX_POINT('',#481350); #154851=VERTEX_POINT('',#481352); #154852=VERTEX_POINT('',#481356); #154853=VERTEX_POINT('',#481358); #154854=VERTEX_POINT('',#481362); #154855=VERTEX_POINT('',#481364); #154856=VERTEX_POINT('',#481368); #154857=VERTEX_POINT('',#481370); #154858=VERTEX_POINT('',#481374); #154859=VERTEX_POINT('',#481376); #154860=VERTEX_POINT('',#481380); #154861=VERTEX_POINT('',#481382); #154862=VERTEX_POINT('',#481386); #154863=VERTEX_POINT('',#481388); #154864=VERTEX_POINT('',#481392); #154865=VERTEX_POINT('',#481394); #154866=VERTEX_POINT('',#481398); #154867=VERTEX_POINT('',#481400); #154868=VERTEX_POINT('',#481404); #154869=VERTEX_POINT('',#481406); #154870=VERTEX_POINT('',#481410); #154871=VERTEX_POINT('',#481412); #154872=VERTEX_POINT('',#481416); #154873=VERTEX_POINT('',#481418); #154874=VERTEX_POINT('',#481422); #154875=VERTEX_POINT('',#481424); #154876=VERTEX_POINT('',#481428); #154877=VERTEX_POINT('',#481430); #154878=VERTEX_POINT('',#481434); #154879=VERTEX_POINT('',#481436); #154880=VERTEX_POINT('',#481440); #154881=VERTEX_POINT('',#481442); #154882=VERTEX_POINT('',#481446); #154883=VERTEX_POINT('',#481448); #154884=VERTEX_POINT('',#481452); #154885=VERTEX_POINT('',#481454); #154886=VERTEX_POINT('',#481458); #154887=VERTEX_POINT('',#481460); #154888=VERTEX_POINT('',#481464); #154889=VERTEX_POINT('',#481466); #154890=VERTEX_POINT('',#481470); #154891=VERTEX_POINT('',#481472); #154892=VERTEX_POINT('',#481476); #154893=VERTEX_POINT('',#481478); #154894=VERTEX_POINT('',#481482); #154895=VERTEX_POINT('',#481484); #154896=VERTEX_POINT('',#481488); #154897=VERTEX_POINT('',#481490); #154898=VERTEX_POINT('',#481494); #154899=VERTEX_POINT('',#481496); #154900=VERTEX_POINT('',#481500); #154901=VERTEX_POINT('',#481502); #154902=VERTEX_POINT('',#481506); #154903=VERTEX_POINT('',#481508); #154904=VERTEX_POINT('',#481512); #154905=VERTEX_POINT('',#481514); #154906=VERTEX_POINT('',#481518); #154907=VERTEX_POINT('',#481520); #154908=VERTEX_POINT('',#481524); #154909=VERTEX_POINT('',#481526); #154910=VERTEX_POINT('',#481530); #154911=VERTEX_POINT('',#481532); #154912=VERTEX_POINT('',#481536); #154913=VERTEX_POINT('',#481538); #154914=VERTEX_POINT('',#481542); #154915=VERTEX_POINT('',#481544); #154916=VERTEX_POINT('',#481548); #154917=VERTEX_POINT('',#481550); #154918=VERTEX_POINT('',#481554); #154919=VERTEX_POINT('',#481556); #154920=VERTEX_POINT('',#481560); #154921=VERTEX_POINT('',#481562); #154922=VERTEX_POINT('',#481566); #154923=VERTEX_POINT('',#481568); #154924=VERTEX_POINT('',#481572); #154925=VERTEX_POINT('',#481574); #154926=VERTEX_POINT('',#481578); #154927=VERTEX_POINT('',#481580); #154928=VERTEX_POINT('',#481584); #154929=VERTEX_POINT('',#481586); #154930=VERTEX_POINT('',#481590); #154931=VERTEX_POINT('',#481592); #154932=VERTEX_POINT('',#481596); #154933=VERTEX_POINT('',#481598); #154934=VERTEX_POINT('',#481607); #154935=VERTEX_POINT('',#481609); #154936=VERTEX_POINT('',#481613); #154937=VERTEX_POINT('',#481614); #154938=VERTEX_POINT('',#481616); #154939=VERTEX_POINT('',#481618); #154940=VERTEX_POINT('',#481622); #154941=VERTEX_POINT('',#481624); #154942=VERTEX_POINT('',#481628); #154943=VERTEX_POINT('',#481630); #154944=VERTEX_POINT('',#481634); #154945=VERTEX_POINT('',#481636); #154946=VERTEX_POINT('',#481640); #154947=VERTEX_POINT('',#481642); #154948=VERTEX_POINT('',#481646); #154949=VERTEX_POINT('',#481648); #154950=VERTEX_POINT('',#481652); #154951=VERTEX_POINT('',#481654); #154952=VERTEX_POINT('',#481658); #154953=VERTEX_POINT('',#481660); #154954=VERTEX_POINT('',#481664); #154955=VERTEX_POINT('',#481666); #154956=VERTEX_POINT('',#481670); #154957=VERTEX_POINT('',#481672); #154958=VERTEX_POINT('',#481676); #154959=VERTEX_POINT('',#481678); #154960=VERTEX_POINT('',#481682); #154961=VERTEX_POINT('',#481684); #154962=VERTEX_POINT('',#481688); #154963=VERTEX_POINT('',#481690); #154964=VERTEX_POINT('',#481694); #154965=VERTEX_POINT('',#481696); #154966=VERTEX_POINT('',#481700); #154967=VERTEX_POINT('',#481702); #154968=VERTEX_POINT('',#481706); #154969=VERTEX_POINT('',#481708); #154970=VERTEX_POINT('',#481712); #154971=VERTEX_POINT('',#481714); #154972=VERTEX_POINT('',#481718); #154973=VERTEX_POINT('',#481720); #154974=VERTEX_POINT('',#481724); #154975=VERTEX_POINT('',#481726); #154976=VERTEX_POINT('',#481730); #154977=VERTEX_POINT('',#481732); #154978=VERTEX_POINT('',#481736); #154979=VERTEX_POINT('',#481738); #154980=VERTEX_POINT('',#481742); #154981=VERTEX_POINT('',#481744); #154982=VERTEX_POINT('',#481748); #154983=VERTEX_POINT('',#481750); #154984=VERTEX_POINT('',#481754); #154985=VERTEX_POINT('',#481756); #154986=VERTEX_POINT('',#481760); #154987=VERTEX_POINT('',#481762); #154988=VERTEX_POINT('',#481766); #154989=VERTEX_POINT('',#481768); #154990=VERTEX_POINT('',#481772); #154991=VERTEX_POINT('',#481774); #154992=VERTEX_POINT('',#481778); #154993=VERTEX_POINT('',#481780); #154994=VERTEX_POINT('',#481784); #154995=VERTEX_POINT('',#481786); #154996=VERTEX_POINT('',#481790); #154997=VERTEX_POINT('',#481792); #154998=VERTEX_POINT('',#481796); #154999=VERTEX_POINT('',#481798); #155000=VERTEX_POINT('',#481802); #155001=VERTEX_POINT('',#481804); #155002=VERTEX_POINT('',#481808); #155003=VERTEX_POINT('',#481810); #155004=VERTEX_POINT('',#481814); #155005=VERTEX_POINT('',#481816); #155006=VERTEX_POINT('',#481820); #155007=VERTEX_POINT('',#481822); #155008=VERTEX_POINT('',#481826); #155009=VERTEX_POINT('',#481828); #155010=VERTEX_POINT('',#481832); #155011=VERTEX_POINT('',#481834); #155012=VERTEX_POINT('',#481838); #155013=VERTEX_POINT('',#481840); #155014=VERTEX_POINT('',#481844); #155015=VERTEX_POINT('',#481846); #155016=VERTEX_POINT('',#481850); #155017=VERTEX_POINT('',#481852); #155018=VERTEX_POINT('',#481856); #155019=VERTEX_POINT('',#481858); #155020=VERTEX_POINT('',#481867); #155021=VERTEX_POINT('',#481869); #155022=VERTEX_POINT('',#481873); #155023=VERTEX_POINT('',#481875); #155024=VERTEX_POINT('',#481879); #155025=VERTEX_POINT('',#481881); #155026=VERTEX_POINT('',#481885); #155027=VERTEX_POINT('',#481887); #155028=VERTEX_POINT('',#481891); #155029=VERTEX_POINT('',#481893); #155030=VERTEX_POINT('',#481897); #155031=VERTEX_POINT('',#481899); #155032=VERTEX_POINT('',#481903); #155033=VERTEX_POINT('',#481905); #155034=VERTEX_POINT('',#481909); #155035=VERTEX_POINT('',#481911); #155036=VERTEX_POINT('',#481915); #155037=VERTEX_POINT('',#481917); #155038=VERTEX_POINT('',#481921); #155039=VERTEX_POINT('',#481923); #155040=VERTEX_POINT('',#481927); #155041=VERTEX_POINT('',#481929); #155042=VERTEX_POINT('',#481933); #155043=VERTEX_POINT('',#481935); #155044=VERTEX_POINT('',#481939); #155045=VERTEX_POINT('',#481941); #155046=VERTEX_POINT('',#481945); #155047=VERTEX_POINT('',#481947); #155048=VERTEX_POINT('',#481951); #155049=VERTEX_POINT('',#481953); #155050=VERTEX_POINT('',#481957); #155051=VERTEX_POINT('',#481959); #155052=VERTEX_POINT('',#481963); #155053=VERTEX_POINT('',#481965); #155054=VERTEX_POINT('',#481969); #155055=VERTEX_POINT('',#481971); #155056=VERTEX_POINT('',#481975); #155057=VERTEX_POINT('',#481977); #155058=VERTEX_POINT('',#481981); #155059=VERTEX_POINT('',#481983); #155060=VERTEX_POINT('',#481987); #155061=VERTEX_POINT('',#481989); #155062=VERTEX_POINT('',#481993); #155063=VERTEX_POINT('',#481995); #155064=VERTEX_POINT('',#481999); #155065=VERTEX_POINT('',#482001); #155066=VERTEX_POINT('',#482005); #155067=VERTEX_POINT('',#482007); #155068=VERTEX_POINT('',#482011); #155069=VERTEX_POINT('',#482013); #155070=VERTEX_POINT('',#482017); #155071=VERTEX_POINT('',#482019); #155072=VERTEX_POINT('',#482023); #155073=VERTEX_POINT('',#482025); #155074=VERTEX_POINT('',#482029); #155075=VERTEX_POINT('',#482031); #155076=VERTEX_POINT('',#482035); #155077=VERTEX_POINT('',#482037); #155078=VERTEX_POINT('',#482041); #155079=VERTEX_POINT('',#482043); #155080=VERTEX_POINT('',#482047); #155081=VERTEX_POINT('',#482049); #155082=VERTEX_POINT('',#482053); #155083=VERTEX_POINT('',#482054); #155084=VERTEX_POINT('',#482056); #155085=VERTEX_POINT('',#482058); #155086=VERTEX_POINT('',#482062); #155087=VERTEX_POINT('',#482064); #155088=VERTEX_POINT('',#482068); #155089=VERTEX_POINT('',#482070); #155090=VERTEX_POINT('',#482074); #155091=VERTEX_POINT('',#482076); #155092=VERTEX_POINT('',#482080); #155093=VERTEX_POINT('',#482082); #155094=VERTEX_POINT('',#482086); #155095=VERTEX_POINT('',#482088); #155096=VERTEX_POINT('',#482092); #155097=VERTEX_POINT('',#482094); #155098=VERTEX_POINT('',#482098); #155099=VERTEX_POINT('',#482100); #155100=VERTEX_POINT('',#482104); #155101=VERTEX_POINT('',#482106); #155102=VERTEX_POINT('',#482110); #155103=VERTEX_POINT('',#482112); #155104=VERTEX_POINT('',#482116); #155105=VERTEX_POINT('',#482118); #155106=VERTEX_POINT('',#482122); #155107=VERTEX_POINT('',#482124); #155108=VERTEX_POINT('',#482128); #155109=VERTEX_POINT('',#482130); #155110=VERTEX_POINT('',#482134); #155111=VERTEX_POINT('',#482136); #155112=VERTEX_POINT('',#482140); #155113=VERTEX_POINT('',#482142); #155114=VERTEX_POINT('',#482146); #155115=VERTEX_POINT('',#482148); #155116=VERTEX_POINT('',#482152); #155117=VERTEX_POINT('',#482154); #155118=VERTEX_POINT('',#482158); #155119=VERTEX_POINT('',#482160); #155120=VERTEX_POINT('',#482164); #155121=VERTEX_POINT('',#482166); #155122=VERTEX_POINT('',#482170); #155123=VERTEX_POINT('',#482172); #155124=VERTEX_POINT('',#482176); #155125=VERTEX_POINT('',#482178); #155126=VERTEX_POINT('',#482182); #155127=VERTEX_POINT('',#482184); #155128=VERTEX_POINT('',#482188); #155129=VERTEX_POINT('',#482190); #155130=VERTEX_POINT('',#482194); #155131=VERTEX_POINT('',#482196); #155132=VERTEX_POINT('',#482200); #155133=VERTEX_POINT('',#482202); #155134=VERTEX_POINT('',#482206); #155135=VERTEX_POINT('',#482208); #155136=VERTEX_POINT('',#482212); #155137=VERTEX_POINT('',#482214); #155138=VERTEX_POINT('',#482218); #155139=VERTEX_POINT('',#482220); #155140=VERTEX_POINT('',#482224); #155141=VERTEX_POINT('',#482226); #155142=VERTEX_POINT('',#482230); #155143=VERTEX_POINT('',#482232); #155144=VERTEX_POINT('',#482236); #155145=VERTEX_POINT('',#482238); #155146=VERTEX_POINT('',#482242); #155147=VERTEX_POINT('',#482244); #155148=VERTEX_POINT('',#482248); #155149=VERTEX_POINT('',#482250); #155150=VERTEX_POINT('',#482254); #155151=VERTEX_POINT('',#482256); #155152=VERTEX_POINT('',#482260); #155153=VERTEX_POINT('',#482262); #155154=VERTEX_POINT('',#482266); #155155=VERTEX_POINT('',#482268); #155156=VERTEX_POINT('',#482272); #155157=VERTEX_POINT('',#482274); #155158=VERTEX_POINT('',#482278); #155159=VERTEX_POINT('',#482280); #155160=VERTEX_POINT('',#482284); #155161=VERTEX_POINT('',#482286); #155162=VERTEX_POINT('',#482290); #155163=VERTEX_POINT('',#482292); #155164=VERTEX_POINT('',#482296); #155165=VERTEX_POINT('',#482298); #155166=VERTEX_POINT('',#482302); #155167=VERTEX_POINT('',#482304); #155168=VERTEX_POINT('',#482308); #155169=VERTEX_POINT('',#482310); #155170=VERTEX_POINT('',#482314); #155171=VERTEX_POINT('',#482316); #155172=VERTEX_POINT('',#482320); #155173=VERTEX_POINT('',#482322); #155174=VERTEX_POINT('',#482326); #155175=VERTEX_POINT('',#482328); #155176=VERTEX_POINT('',#482332); #155177=VERTEX_POINT('',#482334); #155178=VERTEX_POINT('',#482338); #155179=VERTEX_POINT('',#482340); #155180=VERTEX_POINT('',#482344); #155181=VERTEX_POINT('',#482346); #155182=VERTEX_POINT('',#482350); #155183=VERTEX_POINT('',#482352); #155184=VERTEX_POINT('',#482356); #155185=VERTEX_POINT('',#482358); #155186=VERTEX_POINT('',#482362); #155187=VERTEX_POINT('',#482364); #155188=VERTEX_POINT('',#482368); #155189=VERTEX_POINT('',#482370); #155190=VERTEX_POINT('',#482374); #155191=VERTEX_POINT('',#482376); #155192=VERTEX_POINT('',#482380); #155193=VERTEX_POINT('',#482382); #155194=VERTEX_POINT('',#482386); #155195=VERTEX_POINT('',#482388); #155196=VERTEX_POINT('',#482392); #155197=VERTEX_POINT('',#482394); #155198=VERTEX_POINT('',#482398); #155199=VERTEX_POINT('',#482400); #155200=VERTEX_POINT('',#482404); #155201=VERTEX_POINT('',#482406); #155202=VERTEX_POINT('',#482410); #155203=VERTEX_POINT('',#482412); #155204=VERTEX_POINT('',#482416); #155205=VERTEX_POINT('',#482418); #155206=VERTEX_POINT('',#482422); #155207=VERTEX_POINT('',#482424); #155208=VERTEX_POINT('',#482428); #155209=VERTEX_POINT('',#482430); #155210=VERTEX_POINT('',#482434); #155211=VERTEX_POINT('',#482436); #155212=VERTEX_POINT('',#482440); #155213=VERTEX_POINT('',#482442); #155214=VERTEX_POINT('',#482446); #155215=VERTEX_POINT('',#482448); #155216=VERTEX_POINT('',#482452); #155217=VERTEX_POINT('',#482454); #155218=VERTEX_POINT('',#482458); #155219=VERTEX_POINT('',#482460); #155220=VERTEX_POINT('',#482464); #155221=VERTEX_POINT('',#482466); #155222=VERTEX_POINT('',#482470); #155223=VERTEX_POINT('',#482472); #155224=VERTEX_POINT('',#482476); #155225=VERTEX_POINT('',#482478); #155226=VERTEX_POINT('',#482482); #155227=VERTEX_POINT('',#482484); #155228=VERTEX_POINT('',#482488); #155229=VERTEX_POINT('',#482490); #155230=VERTEX_POINT('',#482494); #155231=VERTEX_POINT('',#482496); #155232=VERTEX_POINT('',#482500); #155233=VERTEX_POINT('',#482502); #155234=VERTEX_POINT('',#482506); #155235=VERTEX_POINT('',#482508); #155236=VERTEX_POINT('',#482512); #155237=VERTEX_POINT('',#482514); #155238=VERTEX_POINT('',#482518); #155239=VERTEX_POINT('',#482520); #155240=VERTEX_POINT('',#482524); #155241=VERTEX_POINT('',#482526); #155242=VERTEX_POINT('',#482530); #155243=VERTEX_POINT('',#482532); #155244=VERTEX_POINT('',#482536); #155245=VERTEX_POINT('',#482538); #155246=VERTEX_POINT('',#482542); #155247=VERTEX_POINT('',#482544); #155248=VERTEX_POINT('',#482548); #155249=VERTEX_POINT('',#482550); #155250=VERTEX_POINT('',#482554); #155251=VERTEX_POINT('',#482556); #155252=VERTEX_POINT('',#482565); #155253=VERTEX_POINT('',#482567); #155254=VERTEX_POINT('',#482571); #155255=VERTEX_POINT('',#482572); #155256=VERTEX_POINT('',#482574); #155257=VERTEX_POINT('',#482576); #155258=VERTEX_POINT('',#482580); #155259=VERTEX_POINT('',#482582); #155260=VERTEX_POINT('',#482586); #155261=VERTEX_POINT('',#482588); #155262=VERTEX_POINT('',#482592); #155263=VERTEX_POINT('',#482594); #155264=VERTEX_POINT('',#482598); #155265=VERTEX_POINT('',#482600); #155266=VERTEX_POINT('',#482604); #155267=VERTEX_POINT('',#482606); #155268=VERTEX_POINT('',#482610); #155269=VERTEX_POINT('',#482612); #155270=VERTEX_POINT('',#482616); #155271=VERTEX_POINT('',#482618); #155272=VERTEX_POINT('',#482622); #155273=VERTEX_POINT('',#482624); #155274=VERTEX_POINT('',#482628); #155275=VERTEX_POINT('',#482630); #155276=VERTEX_POINT('',#482634); #155277=VERTEX_POINT('',#482636); #155278=VERTEX_POINT('',#482640); #155279=VERTEX_POINT('',#482642); #155280=VERTEX_POINT('',#482646); #155281=VERTEX_POINT('',#482648); #155282=VERTEX_POINT('',#482652); #155283=VERTEX_POINT('',#482654); #155284=VERTEX_POINT('',#482658); #155285=VERTEX_POINT('',#482660); #155286=VERTEX_POINT('',#482664); #155287=VERTEX_POINT('',#482666); #155288=VERTEX_POINT('',#482670); #155289=VERTEX_POINT('',#482672); #155290=VERTEX_POINT('',#482676); #155291=VERTEX_POINT('',#482678); #155292=VERTEX_POINT('',#482682); #155293=VERTEX_POINT('',#482684); #155294=VERTEX_POINT('',#482688); #155295=VERTEX_POINT('',#482690); #155296=VERTEX_POINT('',#482699); #155297=VERTEX_POINT('',#482701); #155298=VERTEX_POINT('',#482705); #155299=VERTEX_POINT('',#482707); #155300=VERTEX_POINT('',#482711); #155301=VERTEX_POINT('',#482713); #155302=VERTEX_POINT('',#482717); #155303=VERTEX_POINT('',#482719); #155304=VERTEX_POINT('',#482723); #155305=VERTEX_POINT('',#482725); #155306=VERTEX_POINT('',#482729); #155307=VERTEX_POINT('',#482731); #155308=VERTEX_POINT('',#482735); #155309=VERTEX_POINT('',#482737); #155310=VERTEX_POINT('',#482741); #155311=VERTEX_POINT('',#482743); #155312=VERTEX_POINT('',#482747); #155313=VERTEX_POINT('',#482749); #155314=VERTEX_POINT('',#482753); #155315=VERTEX_POINT('',#482755); #155316=VERTEX_POINT('',#482759); #155317=VERTEX_POINT('',#482761); #155318=VERTEX_POINT('',#482765); #155319=VERTEX_POINT('',#482767); #155320=VERTEX_POINT('',#482771); #155321=VERTEX_POINT('',#482773); #155322=VERTEX_POINT('',#482777); #155323=VERTEX_POINT('',#482779); #155324=VERTEX_POINT('',#482783); #155325=VERTEX_POINT('',#482785); #155326=VERTEX_POINT('',#482789); #155327=VERTEX_POINT('',#482791); #155328=VERTEX_POINT('',#482795); #155329=VERTEX_POINT('',#482797); #155330=VERTEX_POINT('',#482801); #155331=VERTEX_POINT('',#482803); #155332=VERTEX_POINT('',#482807); #155333=VERTEX_POINT('',#482809); #155334=VERTEX_POINT('',#482813); #155335=VERTEX_POINT('',#482815); #155336=VERTEX_POINT('',#482819); #155337=VERTEX_POINT('',#482821); #155338=VERTEX_POINT('',#482825); #155339=VERTEX_POINT('',#482827); #155340=VERTEX_POINT('',#482831); #155341=VERTEX_POINT('',#482833); #155342=VERTEX_POINT('',#482837); #155343=VERTEX_POINT('',#482839); #155344=VERTEX_POINT('',#482843); #155345=VERTEX_POINT('',#482845); #155346=VERTEX_POINT('',#482849); #155347=VERTEX_POINT('',#482851); #155348=VERTEX_POINT('',#482855); #155349=VERTEX_POINT('',#482857); #155350=VERTEX_POINT('',#482861); #155351=VERTEX_POINT('',#482863); #155352=VERTEX_POINT('',#482867); #155353=VERTEX_POINT('',#482869); #155354=VERTEX_POINT('',#482873); #155355=VERTEX_POINT('',#482875); #155356=VERTEX_POINT('',#482879); #155357=VERTEX_POINT('',#482881); #155358=VERTEX_POINT('',#482885); #155359=VERTEX_POINT('',#482886); #155360=VERTEX_POINT('',#482888); #155361=VERTEX_POINT('',#482890); #155362=VERTEX_POINT('',#482894); #155363=VERTEX_POINT('',#482896); #155364=VERTEX_POINT('',#482900); #155365=VERTEX_POINT('',#482902); #155366=VERTEX_POINT('',#482906); #155367=VERTEX_POINT('',#482908); #155368=VERTEX_POINT('',#482912); #155369=VERTEX_POINT('',#482914); #155370=VERTEX_POINT('',#482918); #155371=VERTEX_POINT('',#482920); #155372=VERTEX_POINT('',#482924); #155373=VERTEX_POINT('',#482926); #155374=VERTEX_POINT('',#482930); #155375=VERTEX_POINT('',#482932); #155376=VERTEX_POINT('',#482936); #155377=VERTEX_POINT('',#482938); #155378=VERTEX_POINT('',#482942); #155379=VERTEX_POINT('',#482944); #155380=VERTEX_POINT('',#482948); #155381=VERTEX_POINT('',#482950); #155382=VERTEX_POINT('',#482954); #155383=VERTEX_POINT('',#482956); #155384=VERTEX_POINT('',#482960); #155385=VERTEX_POINT('',#482962); #155386=VERTEX_POINT('',#482966); #155387=VERTEX_POINT('',#482968); #155388=VERTEX_POINT('',#482972); #155389=VERTEX_POINT('',#482974); #155390=VERTEX_POINT('',#482978); #155391=VERTEX_POINT('',#482980); #155392=VERTEX_POINT('',#482984); #155393=VERTEX_POINT('',#482986); #155394=VERTEX_POINT('',#482990); #155395=VERTEX_POINT('',#482992); #155396=VERTEX_POINT('',#482996); #155397=VERTEX_POINT('',#482998); #155398=VERTEX_POINT('',#483002); #155399=VERTEX_POINT('',#483004); #155400=VERTEX_POINT('',#483008); #155401=VERTEX_POINT('',#483010); #155402=VERTEX_POINT('',#483014); #155403=VERTEX_POINT('',#483016); #155404=VERTEX_POINT('',#483020); #155405=VERTEX_POINT('',#483022); #155406=VERTEX_POINT('',#483026); #155407=VERTEX_POINT('',#483028); #155408=VERTEX_POINT('',#483032); #155409=VERTEX_POINT('',#483034); #155410=VERTEX_POINT('',#483038); #155411=VERTEX_POINT('',#483040); #155412=VERTEX_POINT('',#483044); #155413=VERTEX_POINT('',#483046); #155414=VERTEX_POINT('',#483050); #155415=VERTEX_POINT('',#483052); #155416=VERTEX_POINT('',#483056); #155417=VERTEX_POINT('',#483058); #155418=VERTEX_POINT('',#483062); #155419=VERTEX_POINT('',#483064); #155420=VERTEX_POINT('',#483068); #155421=VERTEX_POINT('',#483070); #155422=VERTEX_POINT('',#483074); #155423=VERTEX_POINT('',#483076); #155424=VERTEX_POINT('',#483080); #155425=VERTEX_POINT('',#483082); #155426=VERTEX_POINT('',#483086); #155427=VERTEX_POINT('',#483088); #155428=VERTEX_POINT('',#483092); #155429=VERTEX_POINT('',#483094); #155430=VERTEX_POINT('',#483098); #155431=VERTEX_POINT('',#483100); #155432=VERTEX_POINT('',#483104); #155433=VERTEX_POINT('',#483106); #155434=VERTEX_POINT('',#483110); #155435=VERTEX_POINT('',#483112); #155436=VERTEX_POINT('',#483116); #155437=VERTEX_POINT('',#483118); #155438=VERTEX_POINT('',#483122); #155439=VERTEX_POINT('',#483124); #155440=VERTEX_POINT('',#483128); #155441=VERTEX_POINT('',#483130); #155442=VERTEX_POINT('',#483134); #155443=VERTEX_POINT('',#483136); #155444=VERTEX_POINT('',#483140); #155445=VERTEX_POINT('',#483142); #155446=VERTEX_POINT('',#483146); #155447=VERTEX_POINT('',#483148); #155448=VERTEX_POINT('',#483152); #155449=VERTEX_POINT('',#483154); #155450=VERTEX_POINT('',#483158); #155451=VERTEX_POINT('',#483160); #155452=VERTEX_POINT('',#483164); #155453=VERTEX_POINT('',#483166); #155454=VERTEX_POINT('',#483170); #155455=VERTEX_POINT('',#483172); #155456=VERTEX_POINT('',#483176); #155457=VERTEX_POINT('',#483178); #155458=VERTEX_POINT('',#483182); #155459=VERTEX_POINT('',#483184); #155460=VERTEX_POINT('',#483188); #155461=VERTEX_POINT('',#483190); #155462=VERTEX_POINT('',#483194); #155463=VERTEX_POINT('',#483196); #155464=VERTEX_POINT('',#483200); #155465=VERTEX_POINT('',#483202); #155466=VERTEX_POINT('',#483206); #155467=VERTEX_POINT('',#483208); #155468=VERTEX_POINT('',#483212); #155469=VERTEX_POINT('',#483214); #155470=VERTEX_POINT('',#483218); #155471=VERTEX_POINT('',#483220); #155472=VERTEX_POINT('',#483224); #155473=VERTEX_POINT('',#483226); #155474=VERTEX_POINT('',#483230); #155475=VERTEX_POINT('',#483232); #155476=VERTEX_POINT('',#483236); #155477=VERTEX_POINT('',#483238); #155478=VERTEX_POINT('',#483242); #155479=VERTEX_POINT('',#483244); #155480=VERTEX_POINT('',#483248); #155481=VERTEX_POINT('',#483250); #155482=VERTEX_POINT('',#483254); #155483=VERTEX_POINT('',#483256); #155484=VERTEX_POINT('',#483260); #155485=VERTEX_POINT('',#483262); #155486=VERTEX_POINT('',#483266); #155487=VERTEX_POINT('',#483268); #155488=VERTEX_POINT('',#483272); #155489=VERTEX_POINT('',#483274); #155490=VERTEX_POINT('',#483278); #155491=VERTEX_POINT('',#483280); #155492=VERTEX_POINT('',#483284); #155493=VERTEX_POINT('',#483286); #155494=VERTEX_POINT('',#483290); #155495=VERTEX_POINT('',#483292); #155496=VERTEX_POINT('',#483296); #155497=VERTEX_POINT('',#483298); #155498=VERTEX_POINT('',#483302); #155499=VERTEX_POINT('',#483304); #155500=VERTEX_POINT('',#483308); #155501=VERTEX_POINT('',#483310); #155502=VERTEX_POINT('',#483314); #155503=VERTEX_POINT('',#483316); #155504=VERTEX_POINT('',#483320); #155505=VERTEX_POINT('',#483322); #155506=VERTEX_POINT('',#483326); #155507=VERTEX_POINT('',#483328); #155508=VERTEX_POINT('',#483332); #155509=VERTEX_POINT('',#483334); #155510=VERTEX_POINT('',#483338); #155511=VERTEX_POINT('',#483340); #155512=VERTEX_POINT('',#483344); #155513=VERTEX_POINT('',#483346); #155514=VERTEX_POINT('',#483350); #155515=VERTEX_POINT('',#483352); #155516=VERTEX_POINT('',#483356); #155517=VERTEX_POINT('',#483358); #155518=VERTEX_POINT('',#483367); #155519=VERTEX_POINT('',#483369); #155520=VERTEX_POINT('',#483373); #155521=VERTEX_POINT('',#483374); #155522=VERTEX_POINT('',#483376); #155523=VERTEX_POINT('',#483378); #155524=VERTEX_POINT('',#483382); #155525=VERTEX_POINT('',#483384); #155526=VERTEX_POINT('',#483388); #155527=VERTEX_POINT('',#483390); #155528=VERTEX_POINT('',#483394); #155529=VERTEX_POINT('',#483396); #155530=VERTEX_POINT('',#483400); #155531=VERTEX_POINT('',#483402); #155532=VERTEX_POINT('',#483406); #155533=VERTEX_POINT('',#483408); #155534=VERTEX_POINT('',#483412); #155535=VERTEX_POINT('',#483414); #155536=VERTEX_POINT('',#483418); #155537=VERTEX_POINT('',#483420); #155538=VERTEX_POINT('',#483424); #155539=VERTEX_POINT('',#483426); #155540=VERTEX_POINT('',#483430); #155541=VERTEX_POINT('',#483432); #155542=VERTEX_POINT('',#483436); #155543=VERTEX_POINT('',#483438); #155544=VERTEX_POINT('',#483442); #155545=VERTEX_POINT('',#483444); #155546=VERTEX_POINT('',#483448); #155547=VERTEX_POINT('',#483450); #155548=VERTEX_POINT('',#483454); #155549=VERTEX_POINT('',#483456); #155550=VERTEX_POINT('',#483460); #155551=VERTEX_POINT('',#483462); #155552=VERTEX_POINT('',#483466); #155553=VERTEX_POINT('',#483468); #155554=VERTEX_POINT('',#483472); #155555=VERTEX_POINT('',#483474); #155556=VERTEX_POINT('',#483478); #155557=VERTEX_POINT('',#483480); #155558=VERTEX_POINT('',#483484); #155559=VERTEX_POINT('',#483486); #155560=VERTEX_POINT('',#483490); #155561=VERTEX_POINT('',#483492); #155562=VERTEX_POINT('',#483501); #155563=VERTEX_POINT('',#483503); #155564=VERTEX_POINT('',#483507); #155565=VERTEX_POINT('',#483509); #155566=VERTEX_POINT('',#483513); #155567=VERTEX_POINT('',#483515); #155568=VERTEX_POINT('',#483519); #155569=VERTEX_POINT('',#483521); #155570=VERTEX_POINT('',#483525); #155571=VERTEX_POINT('',#483527); #155572=VERTEX_POINT('',#483531); #155573=VERTEX_POINT('',#483533); #155574=VERTEX_POINT('',#483537); #155575=VERTEX_POINT('',#483539); #155576=VERTEX_POINT('',#483543); #155577=VERTEX_POINT('',#483545); #155578=VERTEX_POINT('',#483549); #155579=VERTEX_POINT('',#483551); #155580=VERTEX_POINT('',#483555); #155581=VERTEX_POINT('',#483557); #155582=VERTEX_POINT('',#483561); #155583=VERTEX_POINT('',#483563); #155584=VERTEX_POINT('',#483567); #155585=VERTEX_POINT('',#483569); #155586=VERTEX_POINT('',#483573); #155587=VERTEX_POINT('',#483575); #155588=VERTEX_POINT('',#483579); #155589=VERTEX_POINT('',#483581); #155590=VERTEX_POINT('',#483585); #155591=VERTEX_POINT('',#483587); #155592=VERTEX_POINT('',#483591); #155593=VERTEX_POINT('',#483593); #155594=VERTEX_POINT('',#483597); #155595=VERTEX_POINT('',#483598); #155596=VERTEX_POINT('',#483600); #155597=VERTEX_POINT('',#483602); #155598=VERTEX_POINT('',#483606); #155599=VERTEX_POINT('',#483608); #155600=VERTEX_POINT('',#483612); #155601=VERTEX_POINT('',#483614); #155602=VERTEX_POINT('',#483618); #155603=VERTEX_POINT('',#483620); #155604=VERTEX_POINT('',#483624); #155605=VERTEX_POINT('',#483626); #155606=VERTEX_POINT('',#483630); #155607=VERTEX_POINT('',#483632); #155608=VERTEX_POINT('',#483636); #155609=VERTEX_POINT('',#483638); #155610=VERTEX_POINT('',#483642); #155611=VERTEX_POINT('',#483644); #155612=VERTEX_POINT('',#483648); #155613=VERTEX_POINT('',#483650); #155614=VERTEX_POINT('',#483654); #155615=VERTEX_POINT('',#483656); #155616=VERTEX_POINT('',#483660); #155617=VERTEX_POINT('',#483662); #155618=VERTEX_POINT('',#483666); #155619=VERTEX_POINT('',#483668); #155620=VERTEX_POINT('',#483672); #155621=VERTEX_POINT('',#483674); #155622=VERTEX_POINT('',#483678); #155623=VERTEX_POINT('',#483680); #155624=VERTEX_POINT('',#483684); #155625=VERTEX_POINT('',#483686); #155626=VERTEX_POINT('',#483690); #155627=VERTEX_POINT('',#483692); #155628=VERTEX_POINT('',#483696); #155629=VERTEX_POINT('',#483698); #155630=VERTEX_POINT('',#483702); #155631=VERTEX_POINT('',#483704); #155632=VERTEX_POINT('',#483708); #155633=VERTEX_POINT('',#483710); #155634=VERTEX_POINT('',#483714); #155635=VERTEX_POINT('',#483716); #155636=VERTEX_POINT('',#483720); #155637=VERTEX_POINT('',#483722); #155638=VERTEX_POINT('',#483726); #155639=VERTEX_POINT('',#483728); #155640=VERTEX_POINT('',#483732); #155641=VERTEX_POINT('',#483734); #155642=VERTEX_POINT('',#483741); #155643=VERTEX_POINT('',#483742); #155644=VERTEX_POINT('',#483744); #155645=VERTEX_POINT('',#483746); #155646=VERTEX_POINT('',#483750); #155647=VERTEX_POINT('',#483752); #155648=VERTEX_POINT('',#483756); #155649=VERTEX_POINT('',#483758); #155650=VERTEX_POINT('',#483762); #155651=VERTEX_POINT('',#483764); #155652=VERTEX_POINT('',#483768); #155653=VERTEX_POINT('',#483770); #155654=VERTEX_POINT('',#483774); #155655=VERTEX_POINT('',#483776); #155656=VERTEX_POINT('',#483780); #155657=VERTEX_POINT('',#483782); #155658=VERTEX_POINT('',#483786); #155659=VERTEX_POINT('',#483788); #155660=VERTEX_POINT('',#483792); #155661=VERTEX_POINT('',#483794); #155662=VERTEX_POINT('',#483798); #155663=VERTEX_POINT('',#483800); #155664=VERTEX_POINT('',#483804); #155665=VERTEX_POINT('',#483806); #155666=VERTEX_POINT('',#483810); #155667=VERTEX_POINT('',#483812); #155668=VERTEX_POINT('',#483816); #155669=VERTEX_POINT('',#483818); #155670=VERTEX_POINT('',#483822); #155671=VERTEX_POINT('',#483824); #155672=VERTEX_POINT('',#483828); #155673=VERTEX_POINT('',#483830); #155674=VERTEX_POINT('',#483834); #155675=VERTEX_POINT('',#483836); #155676=VERTEX_POINT('',#483840); #155677=VERTEX_POINT('',#483842); #155678=VERTEX_POINT('',#483846); #155679=VERTEX_POINT('',#483848); #155680=VERTEX_POINT('',#483852); #155681=VERTEX_POINT('',#483854); #155682=VERTEX_POINT('',#483858); #155683=VERTEX_POINT('',#483860); #155684=VERTEX_POINT('',#483864); #155685=VERTEX_POINT('',#483866); #155686=VERTEX_POINT('',#483870); #155687=VERTEX_POINT('',#483872); #155688=VERTEX_POINT('',#483876); #155689=VERTEX_POINT('',#483878); #155690=VERTEX_POINT('',#483882); #155691=VERTEX_POINT('',#483884); #155692=VERTEX_POINT('',#483888); #155693=VERTEX_POINT('',#483890); #155694=VERTEX_POINT('',#483894); #155695=VERTEX_POINT('',#483896); #155696=VERTEX_POINT('',#483900); #155697=VERTEX_POINT('',#483902); #155698=VERTEX_POINT('',#483906); #155699=VERTEX_POINT('',#483908); #155700=VERTEX_POINT('',#483912); #155701=VERTEX_POINT('',#483914); #155702=VERTEX_POINT('',#483918); #155703=VERTEX_POINT('',#483920); #155704=VERTEX_POINT('',#483924); #155705=VERTEX_POINT('',#483926); #155706=VERTEX_POINT('',#483930); #155707=VERTEX_POINT('',#483932); #155708=VERTEX_POINT('',#483936); #155709=VERTEX_POINT('',#483938); #155710=VERTEX_POINT('',#483942); #155711=VERTEX_POINT('',#483944); #155712=VERTEX_POINT('',#483948); #155713=VERTEX_POINT('',#483950); #155714=VERTEX_POINT('',#483954); #155715=VERTEX_POINT('',#483956); #155716=VERTEX_POINT('',#483960); #155717=VERTEX_POINT('',#483962); #155718=VERTEX_POINT('',#483966); #155719=VERTEX_POINT('',#483968); #155720=VERTEX_POINT('',#483972); #155721=VERTEX_POINT('',#483974); #155722=VERTEX_POINT('',#483978); #155723=VERTEX_POINT('',#483980); #155724=VERTEX_POINT('',#483984); #155725=VERTEX_POINT('',#483986); #155726=VERTEX_POINT('',#483990); #155727=VERTEX_POINT('',#483992); #155728=VERTEX_POINT('',#483996); #155729=VERTEX_POINT('',#483998); #155730=VERTEX_POINT('',#484002); #155731=VERTEX_POINT('',#484004); #155732=VERTEX_POINT('',#484008); #155733=VERTEX_POINT('',#484010); #155734=VERTEX_POINT('',#484014); #155735=VERTEX_POINT('',#484016); #155736=VERTEX_POINT('',#484020); #155737=VERTEX_POINT('',#484022); #155738=VERTEX_POINT('',#484026); #155739=VERTEX_POINT('',#484028); #155740=VERTEX_POINT('',#484032); #155741=VERTEX_POINT('',#484034); #155742=VERTEX_POINT('',#484038); #155743=VERTEX_POINT('',#484040); #155744=VERTEX_POINT('',#484044); #155745=VERTEX_POINT('',#484046); #155746=VERTEX_POINT('',#484050); #155747=VERTEX_POINT('',#484052); #155748=VERTEX_POINT('',#484056); #155749=VERTEX_POINT('',#484058); #155750=VERTEX_POINT('',#484062); #155751=VERTEX_POINT('',#484064); #155752=VERTEX_POINT('',#484068); #155753=VERTEX_POINT('',#484070); #155754=VERTEX_POINT('',#484074); #155755=VERTEX_POINT('',#484076); #155756=VERTEX_POINT('',#484080); #155757=VERTEX_POINT('',#484082); #155758=VERTEX_POINT('',#484086); #155759=VERTEX_POINT('',#484088); #155760=VERTEX_POINT('',#484092); #155761=VERTEX_POINT('',#484094); #155762=VERTEX_POINT('',#484098); #155763=VERTEX_POINT('',#484100); #155764=VERTEX_POINT('',#484104); #155765=VERTEX_POINT('',#484106); #155766=VERTEX_POINT('',#484110); #155767=VERTEX_POINT('',#484112); #155768=VERTEX_POINT('',#484116); #155769=VERTEX_POINT('',#484118); #155770=VERTEX_POINT('',#484122); #155771=VERTEX_POINT('',#484124); #155772=VERTEX_POINT('',#484128); #155773=VERTEX_POINT('',#484130); #155774=VERTEX_POINT('',#484134); #155775=VERTEX_POINT('',#484136); #155776=VERTEX_POINT('',#484140); #155777=VERTEX_POINT('',#484142); #155778=VERTEX_POINT('',#484146); #155779=VERTEX_POINT('',#484148); #155780=VERTEX_POINT('',#484152); #155781=VERTEX_POINT('',#484154); #155782=VERTEX_POINT('',#484158); #155783=VERTEX_POINT('',#484160); #155784=VERTEX_POINT('',#484164); #155785=VERTEX_POINT('',#484166); #155786=VERTEX_POINT('',#484170); #155787=VERTEX_POINT('',#484172); #155788=VERTEX_POINT('',#484176); #155789=VERTEX_POINT('',#484178); #155790=VERTEX_POINT('',#484182); #155791=VERTEX_POINT('',#484184); #155792=VERTEX_POINT('',#484188); #155793=VERTEX_POINT('',#484190); #155794=VERTEX_POINT('',#484194); #155795=VERTEX_POINT('',#484196); #155796=VERTEX_POINT('',#484200); #155797=VERTEX_POINT('',#484202); #155798=VERTEX_POINT('',#484206); #155799=VERTEX_POINT('',#484208); #155800=VERTEX_POINT('',#484212); #155801=VERTEX_POINT('',#484214); #155802=VERTEX_POINT('',#484218); #155803=VERTEX_POINT('',#484220); #155804=VERTEX_POINT('',#484224); #155805=VERTEX_POINT('',#484226); #155806=VERTEX_POINT('',#484230); #155807=VERTEX_POINT('',#484232); #155808=VERTEX_POINT('',#484236); #155809=VERTEX_POINT('',#484238); #155810=VERTEX_POINT('',#484242); #155811=VERTEX_POINT('',#484244); #155812=VERTEX_POINT('',#484248); #155813=VERTEX_POINT('',#484250); #155814=VERTEX_POINT('',#484254); #155815=VERTEX_POINT('',#484256); #155816=VERTEX_POINT('',#484260); #155817=VERTEX_POINT('',#484262); #155818=VERTEX_POINT('',#484266); #155819=VERTEX_POINT('',#484268); #155820=VERTEX_POINT('',#484272); #155821=VERTEX_POINT('',#484274); #155822=VERTEX_POINT('',#484278); #155823=VERTEX_POINT('',#484280); #155824=VERTEX_POINT('',#484284); #155825=VERTEX_POINT('',#484286); #155826=VERTEX_POINT('',#484290); #155827=VERTEX_POINT('',#484292); #155828=VERTEX_POINT('',#484296); #155829=VERTEX_POINT('',#484298); #155830=VERTEX_POINT('',#484302); #155831=VERTEX_POINT('',#484304); #155832=VERTEX_POINT('',#484308); #155833=VERTEX_POINT('',#484310); #155834=VERTEX_POINT('',#484314); #155835=VERTEX_POINT('',#484316); #155836=VERTEX_POINT('',#484320); #155837=VERTEX_POINT('',#484322); #155838=VERTEX_POINT('',#484326); #155839=VERTEX_POINT('',#484328); #155840=VERTEX_POINT('',#484332); #155841=VERTEX_POINT('',#484334); #155842=VERTEX_POINT('',#484338); #155843=VERTEX_POINT('',#484340); #155844=VERTEX_POINT('',#484344); #155845=VERTEX_POINT('',#484346); #155846=VERTEX_POINT('',#484350); #155847=VERTEX_POINT('',#484352); #155848=VERTEX_POINT('',#484356); #155849=VERTEX_POINT('',#484358); #155850=VERTEX_POINT('',#484362); #155851=VERTEX_POINT('',#484364); #155852=VERTEX_POINT('',#484368); #155853=VERTEX_POINT('',#484370); #155854=VERTEX_POINT('',#484374); #155855=VERTEX_POINT('',#484376); #155856=VERTEX_POINT('',#484380); #155857=VERTEX_POINT('',#484382); #155858=VERTEX_POINT('',#484386); #155859=VERTEX_POINT('',#484388); #155860=VERTEX_POINT('',#484392); #155861=VERTEX_POINT('',#484394); #155862=VERTEX_POINT('',#484398); #155863=VERTEX_POINT('',#484400); #155864=VERTEX_POINT('',#484404); #155865=VERTEX_POINT('',#484406); #155866=VERTEX_POINT('',#484410); #155867=VERTEX_POINT('',#484412); #155868=VERTEX_POINT('',#484416); #155869=VERTEX_POINT('',#484418); #155870=VERTEX_POINT('',#484422); #155871=VERTEX_POINT('',#484424); #155872=VERTEX_POINT('',#484428); #155873=VERTEX_POINT('',#484430); #155874=VERTEX_POINT('',#484434); #155875=VERTEX_POINT('',#484436); #155876=VERTEX_POINT('',#484440); #155877=VERTEX_POINT('',#484442); #155878=VERTEX_POINT('',#484446); #155879=VERTEX_POINT('',#484448); #155880=VERTEX_POINT('',#484452); #155881=VERTEX_POINT('',#484454); #155882=VERTEX_POINT('',#484458); #155883=VERTEX_POINT('',#484460); #155884=VERTEX_POINT('',#484464); #155885=VERTEX_POINT('',#484466); #155886=VERTEX_POINT('',#484470); #155887=VERTEX_POINT('',#484472); #155888=VERTEX_POINT('',#484476); #155889=VERTEX_POINT('',#484478); #155890=VERTEX_POINT('',#484482); #155891=VERTEX_POINT('',#484484); #155892=VERTEX_POINT('',#484488); #155893=VERTEX_POINT('',#484490); #155894=VERTEX_POINT('',#484494); #155895=VERTEX_POINT('',#484496); #155896=VERTEX_POINT('',#484500); #155897=VERTEX_POINT('',#484502); #155898=VERTEX_POINT('',#484506); #155899=VERTEX_POINT('',#484508); #155900=VERTEX_POINT('',#484512); #155901=VERTEX_POINT('',#484514); #155902=VERTEX_POINT('',#484518); #155903=VERTEX_POINT('',#484520); #155904=VERTEX_POINT('',#484524); #155905=VERTEX_POINT('',#484526); #155906=VERTEX_POINT('',#484530); #155907=VERTEX_POINT('',#484532); #155908=VERTEX_POINT('',#484536); #155909=VERTEX_POINT('',#484538); #155910=VERTEX_POINT('',#484542); #155911=VERTEX_POINT('',#484544); #155912=VERTEX_POINT('',#484548); #155913=VERTEX_POINT('',#484550); #155914=VERTEX_POINT('',#484554); #155915=VERTEX_POINT('',#484556); #155916=VERTEX_POINT('',#484560); #155917=VERTEX_POINT('',#484562); #155918=VERTEX_POINT('',#484566); #155919=VERTEX_POINT('',#484568); #155920=VERTEX_POINT('',#484572); #155921=VERTEX_POINT('',#484574); #155922=VERTEX_POINT('',#484578); #155923=VERTEX_POINT('',#484580); #155924=VERTEX_POINT('',#484584); #155925=VERTEX_POINT('',#484586); #155926=VERTEX_POINT('',#484590); #155927=VERTEX_POINT('',#484592); #155928=VERTEX_POINT('',#484596); #155929=VERTEX_POINT('',#484598); #155930=VERTEX_POINT('',#484602); #155931=VERTEX_POINT('',#484604); #155932=VERTEX_POINT('',#484608); #155933=VERTEX_POINT('',#484610); #155934=VERTEX_POINT('',#484614); #155935=VERTEX_POINT('',#484616); #155936=VERTEX_POINT('',#484620); #155937=VERTEX_POINT('',#484622); #155938=VERTEX_POINT('',#484626); #155939=VERTEX_POINT('',#484628); #155940=VERTEX_POINT('',#484632); #155941=VERTEX_POINT('',#484634); #155942=VERTEX_POINT('',#484638); #155943=VERTEX_POINT('',#484640); #155944=VERTEX_POINT('',#484644); #155945=VERTEX_POINT('',#484646); #155946=VERTEX_POINT('',#484650); #155947=VERTEX_POINT('',#484652); #155948=VERTEX_POINT('',#484656); #155949=VERTEX_POINT('',#484658); #155950=VERTEX_POINT('',#484662); #155951=VERTEX_POINT('',#484664); #155952=VERTEX_POINT('',#484668); #155953=VERTEX_POINT('',#484670); #155954=VERTEX_POINT('',#484674); #155955=VERTEX_POINT('',#484676); #155956=VERTEX_POINT('',#484680); #155957=VERTEX_POINT('',#484682); #155958=VERTEX_POINT('',#484686); #155959=VERTEX_POINT('',#484688); #155960=VERTEX_POINT('',#484692); #155961=VERTEX_POINT('',#484694); #155962=VERTEX_POINT('',#484698); #155963=VERTEX_POINT('',#484700); #155964=VERTEX_POINT('',#484704); #155965=VERTEX_POINT('',#484706); #155966=VERTEX_POINT('',#484710); #155967=VERTEX_POINT('',#484712); #155968=VERTEX_POINT('',#484716); #155969=VERTEX_POINT('',#484718); #155970=VERTEX_POINT('',#484722); #155971=VERTEX_POINT('',#484724); #155972=VERTEX_POINT('',#484728); #155973=VERTEX_POINT('',#484730); #155974=VERTEX_POINT('',#484734); #155975=VERTEX_POINT('',#484736); #155976=VERTEX_POINT('',#484740); #155977=VERTEX_POINT('',#484742); #155978=VERTEX_POINT('',#484746); #155979=VERTEX_POINT('',#484748); #155980=VERTEX_POINT('',#484752); #155981=VERTEX_POINT('',#484754); #155982=VERTEX_POINT('',#484758); #155983=VERTEX_POINT('',#484760); #155984=VERTEX_POINT('',#484764); #155985=VERTEX_POINT('',#484766); #155986=VERTEX_POINT('',#484770); #155987=VERTEX_POINT('',#484772); #155988=VERTEX_POINT('',#484776); #155989=VERTEX_POINT('',#484778); #155990=VERTEX_POINT('',#484782); #155991=VERTEX_POINT('',#484784); #155992=VERTEX_POINT('',#484788); #155993=VERTEX_POINT('',#484790); #155994=VERTEX_POINT('',#484794); #155995=VERTEX_POINT('',#484796); #155996=VERTEX_POINT('',#484800); #155997=VERTEX_POINT('',#484802); #155998=VERTEX_POINT('',#484806); #155999=VERTEX_POINT('',#484808); #156000=VERTEX_POINT('',#484812); #156001=VERTEX_POINT('',#484814); #156002=VERTEX_POINT('',#484818); #156003=VERTEX_POINT('',#484820); #156004=VERTEX_POINT('',#484824); #156005=VERTEX_POINT('',#484826); #156006=VERTEX_POINT('',#484830); #156007=VERTEX_POINT('',#484832); #156008=VERTEX_POINT('',#484836); #156009=VERTEX_POINT('',#484838); #156010=VERTEX_POINT('',#484842); #156011=VERTEX_POINT('',#484844); #156012=VERTEX_POINT('',#484848); #156013=VERTEX_POINT('',#484850); #156014=VERTEX_POINT('',#484854); #156015=VERTEX_POINT('',#484856); #156016=VERTEX_POINT('',#484860); #156017=VERTEX_POINT('',#484862); #156018=VERTEX_POINT('',#484866); #156019=VERTEX_POINT('',#484868); #156020=VERTEX_POINT('',#484872); #156021=VERTEX_POINT('',#484874); #156022=VERTEX_POINT('',#484878); #156023=VERTEX_POINT('',#484880); #156024=VERTEX_POINT('',#484884); #156025=VERTEX_POINT('',#484886); #156026=VERTEX_POINT('',#484890); #156027=VERTEX_POINT('',#484892); #156028=VERTEX_POINT('',#484896); #156029=VERTEX_POINT('',#484898); #156030=VERTEX_POINT('',#484902); #156031=VERTEX_POINT('',#484904); #156032=VERTEX_POINT('',#484908); #156033=VERTEX_POINT('',#484910); #156034=VERTEX_POINT('',#484914); #156035=VERTEX_POINT('',#484916); #156036=VERTEX_POINT('',#484920); #156037=VERTEX_POINT('',#484922); #156038=VERTEX_POINT('',#484926); #156039=VERTEX_POINT('',#484928); #156040=VERTEX_POINT('',#484932); #156041=VERTEX_POINT('',#484934); #156042=VERTEX_POINT('',#484938); #156043=VERTEX_POINT('',#484940); #156044=VERTEX_POINT('',#484944); #156045=VERTEX_POINT('',#484946); #156046=VERTEX_POINT('',#484950); #156047=VERTEX_POINT('',#484952); #156048=VERTEX_POINT('',#484956); #156049=VERTEX_POINT('',#484958); #156050=VERTEX_POINT('',#484962); #156051=VERTEX_POINT('',#484964); #156052=VERTEX_POINT('',#484968); #156053=VERTEX_POINT('',#484970); #156054=VERTEX_POINT('',#484974); #156055=VERTEX_POINT('',#484976); #156056=VERTEX_POINT('',#484980); #156057=VERTEX_POINT('',#484982); #156058=VERTEX_POINT('',#484986); #156059=VERTEX_POINT('',#484988); #156060=VERTEX_POINT('',#484992); #156061=VERTEX_POINT('',#484994); #156062=VERTEX_POINT('',#484998); #156063=VERTEX_POINT('',#485000); #156064=VERTEX_POINT('',#485004); #156065=VERTEX_POINT('',#485006); #156066=VERTEX_POINT('',#485010); #156067=VERTEX_POINT('',#485012); #156068=VERTEX_POINT('',#485016); #156069=VERTEX_POINT('',#485018); #156070=VERTEX_POINT('',#485022); #156071=VERTEX_POINT('',#485024); #156072=VERTEX_POINT('',#485028); #156073=VERTEX_POINT('',#485030); #156074=VERTEX_POINT('',#485034); #156075=VERTEX_POINT('',#485036); #156076=VERTEX_POINT('',#485040); #156077=VERTEX_POINT('',#485042); #156078=VERTEX_POINT('',#485046); #156079=VERTEX_POINT('',#485048); #156080=VERTEX_POINT('',#485052); #156081=VERTEX_POINT('',#485054); #156082=VERTEX_POINT('',#485058); #156083=VERTEX_POINT('',#485060); #156084=VERTEX_POINT('',#485064); #156085=VERTEX_POINT('',#485066); #156086=VERTEX_POINT('',#485070); #156087=VERTEX_POINT('',#485072); #156088=VERTEX_POINT('',#485076); #156089=VERTEX_POINT('',#485078); #156090=VERTEX_POINT('',#485082); #156091=VERTEX_POINT('',#485084); #156092=VERTEX_POINT('',#485088); #156093=VERTEX_POINT('',#485090); #156094=VERTEX_POINT('',#485094); #156095=VERTEX_POINT('',#485096); #156096=VERTEX_POINT('',#485100); #156097=VERTEX_POINT('',#485102); #156098=VERTEX_POINT('',#485106); #156099=VERTEX_POINT('',#485108); #156100=VERTEX_POINT('',#485112); #156101=VERTEX_POINT('',#485114); #156102=VERTEX_POINT('',#485118); #156103=VERTEX_POINT('',#485120); #156104=VERTEX_POINT('',#485124); #156105=VERTEX_POINT('',#485126); #156106=VERTEX_POINT('',#485130); #156107=VERTEX_POINT('',#485132); #156108=VERTEX_POINT('',#485136); #156109=VERTEX_POINT('',#485138); #156110=VERTEX_POINT('',#485142); #156111=VERTEX_POINT('',#485144); #156112=VERTEX_POINT('',#485148); #156113=VERTEX_POINT('',#485150); #156114=VERTEX_POINT('',#485154); #156115=VERTEX_POINT('',#485156); #156116=VERTEX_POINT('',#485160); #156117=VERTEX_POINT('',#485162); #156118=VERTEX_POINT('',#485166); #156119=VERTEX_POINT('',#485168); #156120=VERTEX_POINT('',#485172); #156121=VERTEX_POINT('',#485174); #156122=VERTEX_POINT('',#485178); #156123=VERTEX_POINT('',#485180); #156124=VERTEX_POINT('',#485184); #156125=VERTEX_POINT('',#485186); #156126=VERTEX_POINT('',#485190); #156127=VERTEX_POINT('',#485192); #156128=VERTEX_POINT('',#485196); #156129=VERTEX_POINT('',#485198); #156130=VERTEX_POINT('',#485202); #156131=VERTEX_POINT('',#485204); #156132=VERTEX_POINT('',#485208); #156133=VERTEX_POINT('',#485210); #156134=VERTEX_POINT('',#485214); #156135=VERTEX_POINT('',#485216); #156136=VERTEX_POINT('',#485220); #156137=VERTEX_POINT('',#485222); #156138=VERTEX_POINT('',#485226); #156139=VERTEX_POINT('',#485228); #156140=VERTEX_POINT('',#485232); #156141=VERTEX_POINT('',#485234); #156142=VERTEX_POINT('',#485238); #156143=VERTEX_POINT('',#485240); #156144=VERTEX_POINT('',#485244); #156145=VERTEX_POINT('',#485246); #156146=VERTEX_POINT('',#485250); #156147=VERTEX_POINT('',#485252); #156148=VERTEX_POINT('',#485256); #156149=VERTEX_POINT('',#485258); #156150=VERTEX_POINT('',#485262); #156151=VERTEX_POINT('',#485264); #156152=VERTEX_POINT('',#485268); #156153=VERTEX_POINT('',#485270); #156154=VERTEX_POINT('',#485274); #156155=VERTEX_POINT('',#485276); #156156=VERTEX_POINT('',#485280); #156157=VERTEX_POINT('',#485282); #156158=VERTEX_POINT('',#485286); #156159=VERTEX_POINT('',#485288); #156160=VERTEX_POINT('',#485292); #156161=VERTEX_POINT('',#485294); #156162=VERTEX_POINT('',#485298); #156163=VERTEX_POINT('',#485300); #156164=VERTEX_POINT('',#485304); #156165=VERTEX_POINT('',#485306); #156166=VERTEX_POINT('',#485310); #156167=VERTEX_POINT('',#485312); #156168=VERTEX_POINT('',#485316); #156169=VERTEX_POINT('',#485318); #156170=VERTEX_POINT('',#485322); #156171=VERTEX_POINT('',#485324); #156172=VERTEX_POINT('',#485328); #156173=VERTEX_POINT('',#485330); #156174=VERTEX_POINT('',#485334); #156175=VERTEX_POINT('',#485336); #156176=VERTEX_POINT('',#485340); #156177=VERTEX_POINT('',#485342); #156178=VERTEX_POINT('',#485346); #156179=VERTEX_POINT('',#485348); #156180=VERTEX_POINT('',#485352); #156181=VERTEX_POINT('',#485354); #156182=VERTEX_POINT('',#485358); #156183=VERTEX_POINT('',#485360); #156184=VERTEX_POINT('',#485364); #156185=VERTEX_POINT('',#485366); #156186=VERTEX_POINT('',#485370); #156187=VERTEX_POINT('',#485372); #156188=VERTEX_POINT('',#485376); #156189=VERTEX_POINT('',#485378); #156190=VERTEX_POINT('',#485382); #156191=VERTEX_POINT('',#485384); #156192=VERTEX_POINT('',#485388); #156193=VERTEX_POINT('',#485390); #156194=VERTEX_POINT('',#485394); #156195=VERTEX_POINT('',#485396); #156196=VERTEX_POINT('',#485400); #156197=VERTEX_POINT('',#485402); #156198=VERTEX_POINT('',#485406); #156199=VERTEX_POINT('',#485408); #156200=VERTEX_POINT('',#485412); #156201=VERTEX_POINT('',#485414); #156202=VERTEX_POINT('',#485418); #156203=VERTEX_POINT('',#485420); #156204=VERTEX_POINT('',#485424); #156205=VERTEX_POINT('',#485426); #156206=VERTEX_POINT('',#485430); #156207=VERTEX_POINT('',#485432); #156208=VERTEX_POINT('',#485436); #156209=VERTEX_POINT('',#485438); #156210=VERTEX_POINT('',#485442); #156211=VERTEX_POINT('',#485444); #156212=VERTEX_POINT('',#485448); #156213=VERTEX_POINT('',#485450); #156214=VERTEX_POINT('',#485454); #156215=VERTEX_POINT('',#485456); #156216=VERTEX_POINT('',#485460); #156217=VERTEX_POINT('',#485462); #156218=VERTEX_POINT('',#485466); #156219=VERTEX_POINT('',#485468); #156220=VERTEX_POINT('',#485472); #156221=VERTEX_POINT('',#485474); #156222=VERTEX_POINT('',#485478); #156223=VERTEX_POINT('',#485480); #156224=VERTEX_POINT('',#485489); #156225=VERTEX_POINT('',#485490); #156226=VERTEX_POINT('',#485492); #156227=VERTEX_POINT('',#485494); #156228=VERTEX_POINT('',#485498); #156229=VERTEX_POINT('',#485500); #156230=VERTEX_POINT('',#485504); #156231=VERTEX_POINT('',#485506); #156232=VERTEX_POINT('',#485510); #156233=VERTEX_POINT('',#485512); #156234=VERTEX_POINT('',#485516); #156235=VERTEX_POINT('',#485518); #156236=VERTEX_POINT('',#485522); #156237=VERTEX_POINT('',#485524); #156238=VERTEX_POINT('',#485528); #156239=VERTEX_POINT('',#485530); #156240=VERTEX_POINT('',#485534); #156241=VERTEX_POINT('',#485536); #156242=VERTEX_POINT('',#485540); #156243=VERTEX_POINT('',#485542); #156244=VERTEX_POINT('',#485546); #156245=VERTEX_POINT('',#485548); #156246=VERTEX_POINT('',#485552); #156247=VERTEX_POINT('',#485554); #156248=VERTEX_POINT('',#485558); #156249=VERTEX_POINT('',#485560); #156250=VERTEX_POINT('',#485564); #156251=VERTEX_POINT('',#485566); #156252=VERTEX_POINT('',#485570); #156253=VERTEX_POINT('',#485572); #156254=VERTEX_POINT('',#485576); #156255=VERTEX_POINT('',#485578); #156256=VERTEX_POINT('',#485582); #156257=VERTEX_POINT('',#485584); #156258=VERTEX_POINT('',#485588); #156259=VERTEX_POINT('',#485590); #156260=VERTEX_POINT('',#485594); #156261=VERTEX_POINT('',#485596); #156262=VERTEX_POINT('',#485600); #156263=VERTEX_POINT('',#485602); #156264=VERTEX_POINT('',#485611); #156265=VERTEX_POINT('',#485612); #156266=VERTEX_POINT('',#485614); #156267=VERTEX_POINT('',#485616); #156268=VERTEX_POINT('',#485620); #156269=VERTEX_POINT('',#485622); #156270=VERTEX_POINT('',#485626); #156271=VERTEX_POINT('',#485628); #156272=VERTEX_POINT('',#485632); #156273=VERTEX_POINT('',#485634); #156274=VERTEX_POINT('',#485638); #156275=VERTEX_POINT('',#485640); #156276=VERTEX_POINT('',#485644); #156277=VERTEX_POINT('',#485646); #156278=VERTEX_POINT('',#485650); #156279=VERTEX_POINT('',#485652); #156280=VERTEX_POINT('',#485656); #156281=VERTEX_POINT('',#485658); #156282=VERTEX_POINT('',#485662); #156283=VERTEX_POINT('',#485664); #156284=VERTEX_POINT('',#485668); #156285=VERTEX_POINT('',#485670); #156286=VERTEX_POINT('',#485674); #156287=VERTEX_POINT('',#485676); #156288=VERTEX_POINT('',#485680); #156289=VERTEX_POINT('',#485682); #156290=VERTEX_POINT('',#485686); #156291=VERTEX_POINT('',#485688); #156292=VERTEX_POINT('',#485692); #156293=VERTEX_POINT('',#485694); #156294=VERTEX_POINT('',#485698); #156295=VERTEX_POINT('',#485700); #156296=VERTEX_POINT('',#485704); #156297=VERTEX_POINT('',#485706); #156298=VERTEX_POINT('',#485710); #156299=VERTEX_POINT('',#485712); #156300=VERTEX_POINT('',#485716); #156301=VERTEX_POINT('',#485718); #156302=VERTEX_POINT('',#485722); #156303=VERTEX_POINT('',#485724); #156304=VERTEX_POINT('',#485733); #156305=VERTEX_POINT('',#485734); #156306=VERTEX_POINT('',#485736); #156307=VERTEX_POINT('',#485738); #156308=VERTEX_POINT('',#485742); #156309=VERTEX_POINT('',#485744); #156310=VERTEX_POINT('',#485748); #156311=VERTEX_POINT('',#485750); #156312=VERTEX_POINT('',#485754); #156313=VERTEX_POINT('',#485756); #156314=VERTEX_POINT('',#485760); #156315=VERTEX_POINT('',#485762); #156316=VERTEX_POINT('',#485766); #156317=VERTEX_POINT('',#485768); #156318=VERTEX_POINT('',#485772); #156319=VERTEX_POINT('',#485774); #156320=VERTEX_POINT('',#485778); #156321=VERTEX_POINT('',#485780); #156322=VERTEX_POINT('',#485784); #156323=VERTEX_POINT('',#485786); #156324=VERTEX_POINT('',#485790); #156325=VERTEX_POINT('',#485792); #156326=VERTEX_POINT('',#485796); #156327=VERTEX_POINT('',#485798); #156328=VERTEX_POINT('',#485802); #156329=VERTEX_POINT('',#485804); #156330=VERTEX_POINT('',#485808); #156331=VERTEX_POINT('',#485810); #156332=VERTEX_POINT('',#485814); #156333=VERTEX_POINT('',#485816); #156334=VERTEX_POINT('',#485820); #156335=VERTEX_POINT('',#485822); #156336=VERTEX_POINT('',#485826); #156337=VERTEX_POINT('',#485828); #156338=VERTEX_POINT('',#485832); #156339=VERTEX_POINT('',#485834); #156340=VERTEX_POINT('',#485838); #156341=VERTEX_POINT('',#485840); #156342=VERTEX_POINT('',#485844); #156343=VERTEX_POINT('',#485846); #156344=VERTEX_POINT('',#485855); #156345=VERTEX_POINT('',#485856); #156346=VERTEX_POINT('',#485858); #156347=VERTEX_POINT('',#485860); #156348=VERTEX_POINT('',#485864); #156349=VERTEX_POINT('',#485866); #156350=VERTEX_POINT('',#485870); #156351=VERTEX_POINT('',#485872); #156352=VERTEX_POINT('',#485876); #156353=VERTEX_POINT('',#485878); #156354=VERTEX_POINT('',#485882); #156355=VERTEX_POINT('',#485884); #156356=VERTEX_POINT('',#485888); #156357=VERTEX_POINT('',#485890); #156358=VERTEX_POINT('',#485894); #156359=VERTEX_POINT('',#485896); #156360=VERTEX_POINT('',#485900); #156361=VERTEX_POINT('',#485902); #156362=VERTEX_POINT('',#485906); #156363=VERTEX_POINT('',#485908); #156364=VERTEX_POINT('',#485912); #156365=VERTEX_POINT('',#485914); #156366=VERTEX_POINT('',#485918); #156367=VERTEX_POINT('',#485920); #156368=VERTEX_POINT('',#485924); #156369=VERTEX_POINT('',#485926); #156370=VERTEX_POINT('',#485930); #156371=VERTEX_POINT('',#485932); #156372=VERTEX_POINT('',#485936); #156373=VERTEX_POINT('',#485938); #156374=VERTEX_POINT('',#485942); #156375=VERTEX_POINT('',#485944); #156376=VERTEX_POINT('',#485948); #156377=VERTEX_POINT('',#485950); #156378=VERTEX_POINT('',#485954); #156379=VERTEX_POINT('',#485956); #156380=VERTEX_POINT('',#485960); #156381=VERTEX_POINT('',#485962); #156382=VERTEX_POINT('',#485966); #156383=VERTEX_POINT('',#485968); #156384=VERTEX_POINT('',#485972); #156385=VERTEX_POINT('',#485974); #156386=VERTEX_POINT('',#485978); #156387=VERTEX_POINT('',#485980); #156388=VERTEX_POINT('',#485984); #156389=VERTEX_POINT('',#485986); #156390=VERTEX_POINT('',#485995); #156391=VERTEX_POINT('',#485996); #156392=VERTEX_POINT('',#485998); #156393=VERTEX_POINT('',#486000); #156394=VERTEX_POINT('',#486004); #156395=VERTEX_POINT('',#486006); #156396=VERTEX_POINT('',#486010); #156397=VERTEX_POINT('',#486012); #156398=VERTEX_POINT('',#486016); #156399=VERTEX_POINT('',#486018); #156400=VERTEX_POINT('',#486022); #156401=VERTEX_POINT('',#486024); #156402=VERTEX_POINT('',#486028); #156403=VERTEX_POINT('',#486030); #156404=VERTEX_POINT('',#486034); #156405=VERTEX_POINT('',#486036); #156406=VERTEX_POINT('',#486040); #156407=VERTEX_POINT('',#486042); #156408=VERTEX_POINT('',#486046); #156409=VERTEX_POINT('',#486048); #156410=VERTEX_POINT('',#486052); #156411=VERTEX_POINT('',#486054); #156412=VERTEX_POINT('',#486058); #156413=VERTEX_POINT('',#486060); #156414=VERTEX_POINT('',#486064); #156415=VERTEX_POINT('',#486066); #156416=VERTEX_POINT('',#486070); #156417=VERTEX_POINT('',#486072); #156418=VERTEX_POINT('',#486076); #156419=VERTEX_POINT('',#486078); #156420=VERTEX_POINT('',#486082); #156421=VERTEX_POINT('',#486084); #156422=VERTEX_POINT('',#486088); #156423=VERTEX_POINT('',#486090); #156424=VERTEX_POINT('',#486094); #156425=VERTEX_POINT('',#486096); #156426=VERTEX_POINT('',#486100); #156427=VERTEX_POINT('',#486102); #156428=VERTEX_POINT('',#486106); #156429=VERTEX_POINT('',#486108); #156430=VERTEX_POINT('',#486117); #156431=VERTEX_POINT('',#486118); #156432=VERTEX_POINT('',#486120); #156433=VERTEX_POINT('',#486122); #156434=VERTEX_POINT('',#486126); #156435=VERTEX_POINT('',#486128); #156436=VERTEX_POINT('',#486132); #156437=VERTEX_POINT('',#486134); #156438=VERTEX_POINT('',#486138); #156439=VERTEX_POINT('',#486140); #156440=VERTEX_POINT('',#486144); #156441=VERTEX_POINT('',#486146); #156442=VERTEX_POINT('',#486150); #156443=VERTEX_POINT('',#486152); #156444=VERTEX_POINT('',#486156); #156445=VERTEX_POINT('',#486158); #156446=VERTEX_POINT('',#486162); #156447=VERTEX_POINT('',#486164); #156448=VERTEX_POINT('',#486168); #156449=VERTEX_POINT('',#486170); #156450=VERTEX_POINT('',#486174); #156451=VERTEX_POINT('',#486176); #156452=VERTEX_POINT('',#486180); #156453=VERTEX_POINT('',#486182); #156454=VERTEX_POINT('',#486186); #156455=VERTEX_POINT('',#486188); #156456=VERTEX_POINT('',#486192); #156457=VERTEX_POINT('',#486194); #156458=VERTEX_POINT('',#486198); #156459=VERTEX_POINT('',#486200); #156460=VERTEX_POINT('',#486204); #156461=VERTEX_POINT('',#486206); #156462=VERTEX_POINT('',#486210); #156463=VERTEX_POINT('',#486212); #156464=VERTEX_POINT('',#486216); #156465=VERTEX_POINT('',#486218); #156466=VERTEX_POINT('',#486222); #156467=VERTEX_POINT('',#486224); #156468=VERTEX_POINT('',#486228); #156469=VERTEX_POINT('',#486230); #156470=VERTEX_POINT('',#486234); #156471=VERTEX_POINT('',#486236); #156472=VERTEX_POINT('',#486245); #156473=VERTEX_POINT('',#486247); #156474=VERTEX_POINT('',#486251); #156475=VERTEX_POINT('',#486252); #156476=VERTEX_POINT('',#486254); #156477=VERTEX_POINT('',#486256); #156478=VERTEX_POINT('',#486260); #156479=VERTEX_POINT('',#486262); #156480=VERTEX_POINT('',#486266); #156481=VERTEX_POINT('',#486268); #156482=VERTEX_POINT('',#486272); #156483=VERTEX_POINT('',#486274); #156484=VERTEX_POINT('',#486278); #156485=VERTEX_POINT('',#486280); #156486=VERTEX_POINT('',#486284); #156487=VERTEX_POINT('',#486286); #156488=VERTEX_POINT('',#486290); #156489=VERTEX_POINT('',#486292); #156490=VERTEX_POINT('',#486296); #156491=VERTEX_POINT('',#486298); #156492=VERTEX_POINT('',#486302); #156493=VERTEX_POINT('',#486304); #156494=VERTEX_POINT('',#486308); #156495=VERTEX_POINT('',#486310); #156496=VERTEX_POINT('',#486314); #156497=VERTEX_POINT('',#486316); #156498=VERTEX_POINT('',#486320); #156499=VERTEX_POINT('',#486322); #156500=VERTEX_POINT('',#486326); #156501=VERTEX_POINT('',#486328); #156502=VERTEX_POINT('',#486332); #156503=VERTEX_POINT('',#486334); #156504=VERTEX_POINT('',#486338); #156505=VERTEX_POINT('',#486340); #156506=VERTEX_POINT('',#486344); #156507=VERTEX_POINT('',#486346); #156508=VERTEX_POINT('',#486350); #156509=VERTEX_POINT('',#486352); #156510=VERTEX_POINT('',#486356); #156511=VERTEX_POINT('',#486358); #156512=VERTEX_POINT('',#486362); #156513=VERTEX_POINT('',#486364); #156514=VERTEX_POINT('',#486368); #156515=VERTEX_POINT('',#486370); #156516=VERTEX_POINT('',#486374); #156517=VERTEX_POINT('',#486376); #156518=VERTEX_POINT('',#486380); #156519=VERTEX_POINT('',#486382); #156520=VERTEX_POINT('',#486386); #156521=VERTEX_POINT('',#486388); #156522=VERTEX_POINT('',#486397); #156523=VERTEX_POINT('',#486399); #156524=VERTEX_POINT('',#486403); #156525=VERTEX_POINT('',#486405); #156526=VERTEX_POINT('',#486409); #156527=VERTEX_POINT('',#486411); #156528=VERTEX_POINT('',#486415); #156529=VERTEX_POINT('',#486417); #156530=VERTEX_POINT('',#486421); #156531=VERTEX_POINT('',#486423); #156532=VERTEX_POINT('',#486427); #156533=VERTEX_POINT('',#486429); #156534=VERTEX_POINT('',#486433); #156535=VERTEX_POINT('',#486435); #156536=VERTEX_POINT('',#486439); #156537=VERTEX_POINT('',#486441); #156538=VERTEX_POINT('',#486445); #156539=VERTEX_POINT('',#486447); #156540=VERTEX_POINT('',#486451); #156541=VERTEX_POINT('',#486453); #156542=VERTEX_POINT('',#486457); #156543=VERTEX_POINT('',#486459); #156544=VERTEX_POINT('',#486463); #156545=VERTEX_POINT('',#486465); #156546=VERTEX_POINT('',#486469); #156547=VERTEX_POINT('',#486470); #156548=VERTEX_POINT('',#486472); #156549=VERTEX_POINT('',#486474); #156550=VERTEX_POINT('',#486478); #156551=VERTEX_POINT('',#486480); #156552=VERTEX_POINT('',#486484); #156553=VERTEX_POINT('',#486486); #156554=VERTEX_POINT('',#486490); #156555=VERTEX_POINT('',#486492); #156556=VERTEX_POINT('',#486496); #156557=VERTEX_POINT('',#486498); #156558=VERTEX_POINT('',#486502); #156559=VERTEX_POINT('',#486504); #156560=VERTEX_POINT('',#486508); #156561=VERTEX_POINT('',#486510); #156562=VERTEX_POINT('',#486514); #156563=VERTEX_POINT('',#486516); #156564=VERTEX_POINT('',#486520); #156565=VERTEX_POINT('',#486522); #156566=VERTEX_POINT('',#486526); #156567=VERTEX_POINT('',#486528); #156568=VERTEX_POINT('',#486532); #156569=VERTEX_POINT('',#486534); #156570=VERTEX_POINT('',#486538); #156571=VERTEX_POINT('',#486540); #156572=VERTEX_POINT('',#486544); #156573=VERTEX_POINT('',#486546); #156574=VERTEX_POINT('',#486550); #156575=VERTEX_POINT('',#486552); #156576=VERTEX_POINT('',#486556); #156577=VERTEX_POINT('',#486558); #156578=VERTEX_POINT('',#486562); #156579=VERTEX_POINT('',#486564); #156580=VERTEX_POINT('',#486568); #156581=VERTEX_POINT('',#486570); #156582=VERTEX_POINT('',#486574); #156583=VERTEX_POINT('',#486576); #156584=VERTEX_POINT('',#486580); #156585=VERTEX_POINT('',#486582); #156586=VERTEX_POINT('',#486586); #156587=VERTEX_POINT('',#486588); #156588=VERTEX_POINT('',#486592); #156589=VERTEX_POINT('',#486594); #156590=VERTEX_POINT('',#486598); #156591=VERTEX_POINT('',#486600); #156592=VERTEX_POINT('',#486604); #156593=VERTEX_POINT('',#486606); #156594=VERTEX_POINT('',#486610); #156595=VERTEX_POINT('',#486612); #156596=VERTEX_POINT('',#486616); #156597=VERTEX_POINT('',#486618); #156598=VERTEX_POINT('',#486622); #156599=VERTEX_POINT('',#486624); #156600=VERTEX_POINT('',#486628); #156601=VERTEX_POINT('',#486630); #156602=VERTEX_POINT('',#486634); #156603=VERTEX_POINT('',#486636); #156604=VERTEX_POINT('',#486640); #156605=VERTEX_POINT('',#486642); #156606=VERTEX_POINT('',#486646); #156607=VERTEX_POINT('',#486648); #156608=VERTEX_POINT('',#486652); #156609=VERTEX_POINT('',#486654); #156610=VERTEX_POINT('',#486658); #156611=VERTEX_POINT('',#486660); #156612=VERTEX_POINT('',#486664); #156613=VERTEX_POINT('',#486666); #156614=VERTEX_POINT('',#486670); #156615=VERTEX_POINT('',#486672); #156616=VERTEX_POINT('',#486676); #156617=VERTEX_POINT('',#486678); #156618=VERTEX_POINT('',#486682); #156619=VERTEX_POINT('',#486684); #156620=VERTEX_POINT('',#486688); #156621=VERTEX_POINT('',#486690); #156622=VERTEX_POINT('',#486694); #156623=VERTEX_POINT('',#486696); #156624=VERTEX_POINT('',#486700); #156625=VERTEX_POINT('',#486702); #156626=VERTEX_POINT('',#486706); #156627=VERTEX_POINT('',#486708); #156628=VERTEX_POINT('',#486712); #156629=VERTEX_POINT('',#486714); #156630=VERTEX_POINT('',#486718); #156631=VERTEX_POINT('',#486720); #156632=VERTEX_POINT('',#486724); #156633=VERTEX_POINT('',#486726); #156634=VERTEX_POINT('',#486730); #156635=VERTEX_POINT('',#486732); #156636=VERTEX_POINT('',#486736); #156637=VERTEX_POINT('',#486738); #156638=VERTEX_POINT('',#486742); #156639=VERTEX_POINT('',#486744); #156640=VERTEX_POINT('',#486748); #156641=VERTEX_POINT('',#486750); #156642=VERTEX_POINT('',#486754); #156643=VERTEX_POINT('',#486756); #156644=VERTEX_POINT('',#486760); #156645=VERTEX_POINT('',#486762); #156646=VERTEX_POINT('',#486766); #156647=VERTEX_POINT('',#486768); #156648=VERTEX_POINT('',#486772); #156649=VERTEX_POINT('',#486774); #156650=VERTEX_POINT('',#486778); #156651=VERTEX_POINT('',#486780); #156652=VERTEX_POINT('',#486784); #156653=VERTEX_POINT('',#486786); #156654=VERTEX_POINT('',#486790); #156655=VERTEX_POINT('',#486792); #156656=VERTEX_POINT('',#486796); #156657=VERTEX_POINT('',#486798); #156658=VERTEX_POINT('',#486802); #156659=VERTEX_POINT('',#486804); #156660=VERTEX_POINT('',#486808); #156661=VERTEX_POINT('',#486810); #156662=VERTEX_POINT('',#486814); #156663=VERTEX_POINT('',#486816); #156664=VERTEX_POINT('',#486820); #156665=VERTEX_POINT('',#486822); #156666=VERTEX_POINT('',#486826); #156667=VERTEX_POINT('',#486828); #156668=VERTEX_POINT('',#486832); #156669=VERTEX_POINT('',#486834); #156670=VERTEX_POINT('',#486838); #156671=VERTEX_POINT('',#486840); #156672=VERTEX_POINT('',#486844); #156673=VERTEX_POINT('',#486846); #156674=VERTEX_POINT('',#486850); #156675=VERTEX_POINT('',#486852); #156676=VERTEX_POINT('',#486856); #156677=VERTEX_POINT('',#486858); #156678=VERTEX_POINT('',#486862); #156679=VERTEX_POINT('',#486864); #156680=VERTEX_POINT('',#486868); #156681=VERTEX_POINT('',#486870); #156682=VERTEX_POINT('',#486874); #156683=VERTEX_POINT('',#486876); #156684=VERTEX_POINT('',#486880); #156685=VERTEX_POINT('',#486882); #156686=VERTEX_POINT('',#486886); #156687=VERTEX_POINT('',#486888); #156688=VERTEX_POINT('',#486892); #156689=VERTEX_POINT('',#486894); #156690=VERTEX_POINT('',#486898); #156691=VERTEX_POINT('',#486900); #156692=VERTEX_POINT('',#486904); #156693=VERTEX_POINT('',#486906); #156694=VERTEX_POINT('',#486910); #156695=VERTEX_POINT('',#486912); #156696=VERTEX_POINT('',#486916); #156697=VERTEX_POINT('',#486918); #156698=VERTEX_POINT('',#486922); #156699=VERTEX_POINT('',#486924); #156700=VERTEX_POINT('',#486928); #156701=VERTEX_POINT('',#486930); #156702=VERTEX_POINT('',#486934); #156703=VERTEX_POINT('',#486936); #156704=VERTEX_POINT('',#486940); #156705=VERTEX_POINT('',#486942); #156706=VERTEX_POINT('',#486946); #156707=VERTEX_POINT('',#486948); #156708=VERTEX_POINT('',#486952); #156709=VERTEX_POINT('',#486954); #156710=VERTEX_POINT('',#486958); #156711=VERTEX_POINT('',#486960); #156712=VERTEX_POINT('',#486964); #156713=VERTEX_POINT('',#486966); #156714=VERTEX_POINT('',#486970); #156715=VERTEX_POINT('',#486972); #156716=VERTEX_POINT('',#486976); #156717=VERTEX_POINT('',#486978); #156718=VERTEX_POINT('',#486982); #156719=VERTEX_POINT('',#486984); #156720=VERTEX_POINT('',#486988); #156721=VERTEX_POINT('',#486990); #156722=VERTEX_POINT('',#486994); #156723=VERTEX_POINT('',#486996); #156724=VERTEX_POINT('',#487000); #156725=VERTEX_POINT('',#487002); #156726=VERTEX_POINT('',#487006); #156727=VERTEX_POINT('',#487008); #156728=VERTEX_POINT('',#487012); #156729=VERTEX_POINT('',#487014); #156730=VERTEX_POINT('',#487018); #156731=VERTEX_POINT('',#487020); #156732=VERTEX_POINT('',#487024); #156733=VERTEX_POINT('',#487026); #156734=VERTEX_POINT('',#487030); #156735=VERTEX_POINT('',#487032); #156736=VERTEX_POINT('',#487036); #156737=VERTEX_POINT('',#487038); #156738=VERTEX_POINT('',#487042); #156739=VERTEX_POINT('',#487044); #156740=VERTEX_POINT('',#487048); #156741=VERTEX_POINT('',#487050); #156742=VERTEX_POINT('',#487054); #156743=VERTEX_POINT('',#487056); #156744=VERTEX_POINT('',#487060); #156745=VERTEX_POINT('',#487062); #156746=VERTEX_POINT('',#487066); #156747=VERTEX_POINT('',#487068); #156748=VERTEX_POINT('',#487072); #156749=VERTEX_POINT('',#487074); #156750=VERTEX_POINT('',#487078); #156751=VERTEX_POINT('',#487080); #156752=VERTEX_POINT('',#487084); #156753=VERTEX_POINT('',#487086); #156754=VERTEX_POINT('',#487090); #156755=VERTEX_POINT('',#487092); #156756=VERTEX_POINT('',#487096); #156757=VERTEX_POINT('',#487098); #156758=VERTEX_POINT('',#487102); #156759=VERTEX_POINT('',#487104); #156760=VERTEX_POINT('',#487108); #156761=VERTEX_POINT('',#487110); #156762=VERTEX_POINT('',#487114); #156763=VERTEX_POINT('',#487116); #156764=VERTEX_POINT('',#487120); #156765=VERTEX_POINT('',#487122); #156766=VERTEX_POINT('',#487126); #156767=VERTEX_POINT('',#487128); #156768=VERTEX_POINT('',#487132); #156769=VERTEX_POINT('',#487134); #156770=VERTEX_POINT('',#487138); #156771=VERTEX_POINT('',#487140); #156772=VERTEX_POINT('',#487144); #156773=VERTEX_POINT('',#487146); #156774=VERTEX_POINT('',#487150); #156775=VERTEX_POINT('',#487152); #156776=VERTEX_POINT('',#487156); #156777=VERTEX_POINT('',#487158); #156778=VERTEX_POINT('',#487162); #156779=VERTEX_POINT('',#487164); #156780=VERTEX_POINT('',#487168); #156781=VERTEX_POINT('',#487170); #156782=VERTEX_POINT('',#487174); #156783=VERTEX_POINT('',#487176); #156784=VERTEX_POINT('',#487180); #156785=VERTEX_POINT('',#487182); #156786=VERTEX_POINT('',#487186); #156787=VERTEX_POINT('',#487188); #156788=VERTEX_POINT('',#487192); #156789=VERTEX_POINT('',#487194); #156790=VERTEX_POINT('',#487198); #156791=VERTEX_POINT('',#487200); #156792=VERTEX_POINT('',#487204); #156793=VERTEX_POINT('',#487206); #156794=VERTEX_POINT('',#487215); #156795=VERTEX_POINT('',#487217); #156796=VERTEX_POINT('',#487221); #156797=VERTEX_POINT('',#487222); #156798=VERTEX_POINT('',#487224); #156799=VERTEX_POINT('',#487226); #156800=VERTEX_POINT('',#487230); #156801=VERTEX_POINT('',#487232); #156802=VERTEX_POINT('',#487241); #156803=VERTEX_POINT('',#487243); #156804=VERTEX_POINT('',#487247); #156805=VERTEX_POINT('',#487248); #156806=VERTEX_POINT('',#487250); #156807=VERTEX_POINT('',#487252); #156808=VERTEX_POINT('',#487256); #156809=VERTEX_POINT('',#487258); #156810=VERTEX_POINT('',#487267); #156811=VERTEX_POINT('',#487269); #156812=VERTEX_POINT('',#487273); #156813=VERTEX_POINT('',#487274); #156814=VERTEX_POINT('',#487276); #156815=VERTEX_POINT('',#487278); #156816=VERTEX_POINT('',#487282); #156817=VERTEX_POINT('',#487284); #156818=VERTEX_POINT('',#487293); #156819=VERTEX_POINT('',#487295); #156820=VERTEX_POINT('',#487299); #156821=VERTEX_POINT('',#487300); #156822=VERTEX_POINT('',#487302); #156823=VERTEX_POINT('',#487304); #156824=VERTEX_POINT('',#487308); #156825=VERTEX_POINT('',#487310); #156826=VERTEX_POINT('',#487314); #156827=VERTEX_POINT('',#487316); #156828=VERTEX_POINT('',#487320); #156829=VERTEX_POINT('',#487322); #156830=VERTEX_POINT('',#487326); #156831=VERTEX_POINT('',#487328); #156832=VERTEX_POINT('',#487332); #156833=VERTEX_POINT('',#487334); #156834=VERTEX_POINT('',#487338); #156835=VERTEX_POINT('',#487340); #156836=VERTEX_POINT('',#487349); #156837=VERTEX_POINT('',#487351); #156838=VERTEX_POINT('',#487355); #156839=VERTEX_POINT('',#487356); #156840=VERTEX_POINT('',#487358); #156841=VERTEX_POINT('',#487360); #156842=VERTEX_POINT('',#487364); #156843=VERTEX_POINT('',#487366); #156844=VERTEX_POINT('',#487375); #156845=VERTEX_POINT('',#487377); #156846=VERTEX_POINT('',#487381); #156847=VERTEX_POINT('',#487382); #156848=VERTEX_POINT('',#487384); #156849=VERTEX_POINT('',#487386); #156850=VERTEX_POINT('',#487390); #156851=VERTEX_POINT('',#487392); #156852=VERTEX_POINT('',#487396); #156853=VERTEX_POINT('',#487398); #156854=VERTEX_POINT('',#487407); #156855=VERTEX_POINT('',#487409); #156856=VERTEX_POINT('',#487413); #156857=VERTEX_POINT('',#487414); #156858=VERTEX_POINT('',#487416); #156859=VERTEX_POINT('',#487418); #156860=VERTEX_POINT('',#487422); #156861=VERTEX_POINT('',#487424); #156862=VERTEX_POINT('',#487433); #156863=VERTEX_POINT('',#487434); #156864=VERTEX_POINT('',#487436); #156865=VERTEX_POINT('',#487438); #156866=VERTEX_POINT('',#487442); #156867=VERTEX_POINT('',#487444); #156868=VERTEX_POINT('',#487448); #156869=VERTEX_POINT('',#487450); #156870=VERTEX_POINT('',#487457); #156871=VERTEX_POINT('',#487458); #156872=VERTEX_POINT('',#487460); #156873=VERTEX_POINT('',#487462); #156874=VERTEX_POINT('',#487466); #156875=VERTEX_POINT('',#487468); #156876=VERTEX_POINT('',#487472); #156877=VERTEX_POINT('',#487474); #156878=VERTEX_POINT('',#487478); #156879=VERTEX_POINT('',#487480); #156880=VERTEX_POINT('',#487484); #156881=VERTEX_POINT('',#487486); #156882=VERTEX_POINT('',#487490); #156883=VERTEX_POINT('',#487492); #156884=VERTEX_POINT('',#487496); #156885=VERTEX_POINT('',#487498); #156886=VERTEX_POINT('',#487502); #156887=VERTEX_POINT('',#487504); #156888=VERTEX_POINT('',#487508); #156889=VERTEX_POINT('',#487510); #156890=VERTEX_POINT('',#487514); #156891=VERTEX_POINT('',#487516); #156892=VERTEX_POINT('',#487520); #156893=VERTEX_POINT('',#487522); #156894=VERTEX_POINT('',#487526); #156895=VERTEX_POINT('',#487528); #156896=VERTEX_POINT('',#487532); #156897=VERTEX_POINT('',#487534); #156898=VERTEX_POINT('',#487538); #156899=VERTEX_POINT('',#487540); #156900=VERTEX_POINT('',#487544); #156901=VERTEX_POINT('',#487546); #156902=VERTEX_POINT('',#487550); #156903=VERTEX_POINT('',#487552); #156904=VERTEX_POINT('',#487556); #156905=VERTEX_POINT('',#487558); #156906=VERTEX_POINT('',#487562); #156907=VERTEX_POINT('',#487564); #156908=VERTEX_POINT('',#487568); #156909=VERTEX_POINT('',#487570); #156910=VERTEX_POINT('',#487574); #156911=VERTEX_POINT('',#487576); #156912=VERTEX_POINT('',#487580); #156913=VERTEX_POINT('',#487582); #156914=VERTEX_POINT('',#487586); #156915=VERTEX_POINT('',#487588); #156916=VERTEX_POINT('',#487592); #156917=VERTEX_POINT('',#487594); #156918=VERTEX_POINT('',#487598); #156919=VERTEX_POINT('',#487600); #156920=VERTEX_POINT('',#487604); #156921=VERTEX_POINT('',#487606); #156922=VERTEX_POINT('',#487610); #156923=VERTEX_POINT('',#487612); #156924=VERTEX_POINT('',#487616); #156925=VERTEX_POINT('',#487618); #156926=VERTEX_POINT('',#487622); #156927=VERTEX_POINT('',#487624); #156928=VERTEX_POINT('',#487628); #156929=VERTEX_POINT('',#487630); #156930=VERTEX_POINT('',#487634); #156931=VERTEX_POINT('',#487636); #156932=VERTEX_POINT('',#487640); #156933=VERTEX_POINT('',#487642); #156934=VERTEX_POINT('',#487646); #156935=VERTEX_POINT('',#487648); #156936=VERTEX_POINT('',#487652); #156937=VERTEX_POINT('',#487654); #156938=VERTEX_POINT('',#487658); #156939=VERTEX_POINT('',#487660); #156940=VERTEX_POINT('',#487664); #156941=VERTEX_POINT('',#487666); #156942=VERTEX_POINT('',#487670); #156943=VERTEX_POINT('',#487672); #156944=VERTEX_POINT('',#487676); #156945=VERTEX_POINT('',#487678); #156946=VERTEX_POINT('',#487682); #156947=VERTEX_POINT('',#487684); #156948=VERTEX_POINT('',#487688); #156949=VERTEX_POINT('',#487690); #156950=VERTEX_POINT('',#487694); #156951=VERTEX_POINT('',#487696); #156952=VERTEX_POINT('',#487700); #156953=VERTEX_POINT('',#487702); #156954=VERTEX_POINT('',#487706); #156955=VERTEX_POINT('',#487708); #156956=VERTEX_POINT('',#487712); #156957=VERTEX_POINT('',#487714); #156958=VERTEX_POINT('',#487718); #156959=VERTEX_POINT('',#487720); #156960=VERTEX_POINT('',#487724); #156961=VERTEX_POINT('',#487726); #156962=VERTEX_POINT('',#487730); #156963=VERTEX_POINT('',#487732); #156964=VERTEX_POINT('',#487736); #156965=VERTEX_POINT('',#487738); #156966=VERTEX_POINT('',#487742); #156967=VERTEX_POINT('',#487744); #156968=VERTEX_POINT('',#487748); #156969=VERTEX_POINT('',#487750); #156970=VERTEX_POINT('',#487754); #156971=VERTEX_POINT('',#487756); #156972=VERTEX_POINT('',#487760); #156973=VERTEX_POINT('',#487762); #156974=VERTEX_POINT('',#487766); #156975=VERTEX_POINT('',#487768); #156976=VERTEX_POINT('',#487772); #156977=VERTEX_POINT('',#487774); #156978=VERTEX_POINT('',#487778); #156979=VERTEX_POINT('',#487780); #156980=VERTEX_POINT('',#487784); #156981=VERTEX_POINT('',#487786); #156982=VERTEX_POINT('',#487795); #156983=VERTEX_POINT('',#487797); #156984=VERTEX_POINT('',#487801); #156985=VERTEX_POINT('',#487802); #156986=VERTEX_POINT('',#487804); #156987=VERTEX_POINT('',#487806); #156988=VERTEX_POINT('',#487810); #156989=VERTEX_POINT('',#487812); #156990=VERTEX_POINT('',#487821); #156991=VERTEX_POINT('',#487822); #156992=VERTEX_POINT('',#487824); #156993=VERTEX_POINT('',#487826); #156994=VERTEX_POINT('',#487830); #156995=VERTEX_POINT('',#487832); #156996=VERTEX_POINT('',#487836); #156997=VERTEX_POINT('',#487838); #156998=VERTEX_POINT('',#487845); #156999=VERTEX_POINT('',#487846); #157000=VERTEX_POINT('',#487848); #157001=VERTEX_POINT('',#487850); #157002=VERTEX_POINT('',#487854); #157003=VERTEX_POINT('',#487856); #157004=VERTEX_POINT('',#487860); #157005=VERTEX_POINT('',#487862); #157006=VERTEX_POINT('',#487866); #157007=VERTEX_POINT('',#487868); #157008=VERTEX_POINT('',#487872); #157009=VERTEX_POINT('',#487874); #157010=VERTEX_POINT('',#487878); #157011=VERTEX_POINT('',#487880); #157012=VERTEX_POINT('',#487884); #157013=VERTEX_POINT('',#487886); #157014=VERTEX_POINT('',#487890); #157015=VERTEX_POINT('',#487892); #157016=VERTEX_POINT('',#487896); #157017=VERTEX_POINT('',#487898); #157018=VERTEX_POINT('',#487902); #157019=VERTEX_POINT('',#487904); #157020=VERTEX_POINT('',#487908); #157021=VERTEX_POINT('',#487910); #157022=VERTEX_POINT('',#487914); #157023=VERTEX_POINT('',#487916); #157024=VERTEX_POINT('',#487920); #157025=VERTEX_POINT('',#487922); #157026=VERTEX_POINT('',#487926); #157027=VERTEX_POINT('',#487928); #157028=VERTEX_POINT('',#487932); #157029=VERTEX_POINT('',#487934); #157030=VERTEX_POINT('',#487938); #157031=VERTEX_POINT('',#487940); #157032=VERTEX_POINT('',#487944); #157033=VERTEX_POINT('',#487946); #157034=VERTEX_POINT('',#487950); #157035=VERTEX_POINT('',#487952); #157036=VERTEX_POINT('',#487956); #157037=VERTEX_POINT('',#487958); #157038=VERTEX_POINT('',#487962); #157039=VERTEX_POINT('',#487964); #157040=VERTEX_POINT('',#487968); #157041=VERTEX_POINT('',#487970); #157042=VERTEX_POINT('',#487974); #157043=VERTEX_POINT('',#487976); #157044=VERTEX_POINT('',#487980); #157045=VERTEX_POINT('',#487982); #157046=VERTEX_POINT('',#487986); #157047=VERTEX_POINT('',#487988); #157048=VERTEX_POINT('',#487992); #157049=VERTEX_POINT('',#487994); #157050=VERTEX_POINT('',#487998); #157051=VERTEX_POINT('',#488000); #157052=VERTEX_POINT('',#488004); #157053=VERTEX_POINT('',#488006); #157054=VERTEX_POINT('',#488010); #157055=VERTEX_POINT('',#488012); #157056=VERTEX_POINT('',#488016); #157057=VERTEX_POINT('',#488018); #157058=VERTEX_POINT('',#488022); #157059=VERTEX_POINT('',#488024); #157060=VERTEX_POINT('',#488028); #157061=VERTEX_POINT('',#488030); #157062=VERTEX_POINT('',#488034); #157063=VERTEX_POINT('',#488036); #157064=VERTEX_POINT('',#488040); #157065=VERTEX_POINT('',#488042); #157066=VERTEX_POINT('',#488046); #157067=VERTEX_POINT('',#488048); #157068=VERTEX_POINT('',#488052); #157069=VERTEX_POINT('',#488054); #157070=VERTEX_POINT('',#488058); #157071=VERTEX_POINT('',#488060); #157072=VERTEX_POINT('',#488064); #157073=VERTEX_POINT('',#488066); #157074=VERTEX_POINT('',#488070); #157075=VERTEX_POINT('',#488072); #157076=VERTEX_POINT('',#488076); #157077=VERTEX_POINT('',#488078); #157078=VERTEX_POINT('',#488082); #157079=VERTEX_POINT('',#488084); #157080=VERTEX_POINT('',#488088); #157081=VERTEX_POINT('',#488090); #157082=VERTEX_POINT('',#488094); #157083=VERTEX_POINT('',#488096); #157084=VERTEX_POINT('',#488100); #157085=VERTEX_POINT('',#488102); #157086=VERTEX_POINT('',#488106); #157087=VERTEX_POINT('',#488108); #157088=VERTEX_POINT('',#488112); #157089=VERTEX_POINT('',#488114); #157090=VERTEX_POINT('',#488118); #157091=VERTEX_POINT('',#488120); #157092=VERTEX_POINT('',#488124); #157093=VERTEX_POINT('',#488126); #157094=VERTEX_POINT('',#488130); #157095=VERTEX_POINT('',#488132); #157096=VERTEX_POINT('',#488136); #157097=VERTEX_POINT('',#488138); #157098=VERTEX_POINT('',#488142); #157099=VERTEX_POINT('',#488144); #157100=VERTEX_POINT('',#488148); #157101=VERTEX_POINT('',#488150); #157102=VERTEX_POINT('',#488154); #157103=VERTEX_POINT('',#488156); #157104=VERTEX_POINT('',#488160); #157105=VERTEX_POINT('',#488162); #157106=VERTEX_POINT('',#488166); #157107=VERTEX_POINT('',#488168); #157108=VERTEX_POINT('',#488172); #157109=VERTEX_POINT('',#488174); #157110=VERTEX_POINT('',#488183); #157111=VERTEX_POINT('',#488184); #157112=VERTEX_POINT('',#488186); #157113=VERTEX_POINT('',#488188); #157114=VERTEX_POINT('',#488192); #157115=VERTEX_POINT('',#488194); #157116=VERTEX_POINT('',#488198); #157117=VERTEX_POINT('',#488200); #157118=VERTEX_POINT('',#488207); #157119=VERTEX_POINT('',#488208); #157120=VERTEX_POINT('',#488210); #157121=VERTEX_POINT('',#488212); #157122=VERTEX_POINT('',#488216); #157123=VERTEX_POINT('',#488218); #157124=VERTEX_POINT('',#488222); #157125=VERTEX_POINT('',#488224); #157126=VERTEX_POINT('',#488228); #157127=VERTEX_POINT('',#488230); #157128=VERTEX_POINT('',#488234); #157129=VERTEX_POINT('',#488236); #157130=VERTEX_POINT('',#488240); #157131=VERTEX_POINT('',#488242); #157132=VERTEX_POINT('',#488246); #157133=VERTEX_POINT('',#488248); #157134=VERTEX_POINT('',#488252); #157135=VERTEX_POINT('',#488254); #157136=VERTEX_POINT('',#488258); #157137=VERTEX_POINT('',#488260); #157138=VERTEX_POINT('',#488264); #157139=VERTEX_POINT('',#488266); #157140=VERTEX_POINT('',#488270); #157141=VERTEX_POINT('',#488272); #157142=VERTEX_POINT('',#488276); #157143=VERTEX_POINT('',#488278); #157144=VERTEX_POINT('',#488282); #157145=VERTEX_POINT('',#488284); #157146=VERTEX_POINT('',#488288); #157147=VERTEX_POINT('',#488290); #157148=VERTEX_POINT('',#488294); #157149=VERTEX_POINT('',#488296); #157150=VERTEX_POINT('',#488300); #157151=VERTEX_POINT('',#488302); #157152=VERTEX_POINT('',#488306); #157153=VERTEX_POINT('',#488308); #157154=VERTEX_POINT('',#488312); #157155=VERTEX_POINT('',#488314); #157156=VERTEX_POINT('',#488318); #157157=VERTEX_POINT('',#488320); #157158=VERTEX_POINT('',#488324); #157159=VERTEX_POINT('',#488326); #157160=VERTEX_POINT('',#488330); #157161=VERTEX_POINT('',#488332); #157162=VERTEX_POINT('',#488336); #157163=VERTEX_POINT('',#488338); #157164=VERTEX_POINT('',#488342); #157165=VERTEX_POINT('',#488344); #157166=VERTEX_POINT('',#488348); #157167=VERTEX_POINT('',#488350); #157168=VERTEX_POINT('',#488354); #157169=VERTEX_POINT('',#488356); #157170=VERTEX_POINT('',#488360); #157171=VERTEX_POINT('',#488362); #157172=VERTEX_POINT('',#488366); #157173=VERTEX_POINT('',#488368); #157174=VERTEX_POINT('',#488372); #157175=VERTEX_POINT('',#488374); #157176=VERTEX_POINT('',#488378); #157177=VERTEX_POINT('',#488380); #157178=VERTEX_POINT('',#488384); #157179=VERTEX_POINT('',#488386); #157180=VERTEX_POINT('',#488390); #157181=VERTEX_POINT('',#488392); #157182=VERTEX_POINT('',#488396); #157183=VERTEX_POINT('',#488398); #157184=VERTEX_POINT('',#488402); #157185=VERTEX_POINT('',#488404); #157186=VERTEX_POINT('',#488408); #157187=VERTEX_POINT('',#488410); #157188=VERTEX_POINT('',#488414); #157189=VERTEX_POINT('',#488416); #157190=VERTEX_POINT('',#488420); #157191=VERTEX_POINT('',#488422); #157192=VERTEX_POINT('',#488426); #157193=VERTEX_POINT('',#488428); #157194=VERTEX_POINT('',#488432); #157195=VERTEX_POINT('',#488434); #157196=VERTEX_POINT('',#488438); #157197=VERTEX_POINT('',#488440); #157198=VERTEX_POINT('',#488444); #157199=VERTEX_POINT('',#488446); #157200=VERTEX_POINT('',#488450); #157201=VERTEX_POINT('',#488452); #157202=VERTEX_POINT('',#488456); #157203=VERTEX_POINT('',#488458); #157204=VERTEX_POINT('',#488462); #157205=VERTEX_POINT('',#488464); #157206=VERTEX_POINT('',#488468); #157207=VERTEX_POINT('',#488470); #157208=VERTEX_POINT('',#488474); #157209=VERTEX_POINT('',#488476); #157210=VERTEX_POINT('',#488480); #157211=VERTEX_POINT('',#488482); #157212=VERTEX_POINT('',#488486); #157213=VERTEX_POINT('',#488488); #157214=VERTEX_POINT('',#488492); #157215=VERTEX_POINT('',#488494); #157216=VERTEX_POINT('',#488498); #157217=VERTEX_POINT('',#488500); #157218=VERTEX_POINT('',#488504); #157219=VERTEX_POINT('',#488506); #157220=VERTEX_POINT('',#488510); #157221=VERTEX_POINT('',#488512); #157222=VERTEX_POINT('',#488516); #157223=VERTEX_POINT('',#488518); #157224=VERTEX_POINT('',#488522); #157225=VERTEX_POINT('',#488524); #157226=VERTEX_POINT('',#488528); #157227=VERTEX_POINT('',#488530); #157228=VERTEX_POINT('',#488534); #157229=VERTEX_POINT('',#488536); #157230=VERTEX_POINT('',#488540); #157231=VERTEX_POINT('',#488542); #157232=VERTEX_POINT('',#488546); #157233=VERTEX_POINT('',#488548); #157234=VERTEX_POINT('',#488552); #157235=VERTEX_POINT('',#488554); #157236=VERTEX_POINT('',#488558); #157237=VERTEX_POINT('',#488560); #157238=VERTEX_POINT('',#488564); #157239=VERTEX_POINT('',#488566); #157240=VERTEX_POINT('',#488570); #157241=VERTEX_POINT('',#488572); #157242=VERTEX_POINT('',#488576); #157243=VERTEX_POINT('',#488578); #157244=VERTEX_POINT('',#488582); #157245=VERTEX_POINT('',#488584); #157246=VERTEX_POINT('',#488588); #157247=VERTEX_POINT('',#488590); #157248=VERTEX_POINT('',#488594); #157249=VERTEX_POINT('',#488596); #157250=VERTEX_POINT('',#488600); #157251=VERTEX_POINT('',#488602); #157252=VERTEX_POINT('',#488606); #157253=VERTEX_POINT('',#488608); #157254=VERTEX_POINT('',#488612); #157255=VERTEX_POINT('',#488614); #157256=VERTEX_POINT('',#488618); #157257=VERTEX_POINT('',#488620); #157258=VERTEX_POINT('',#488624); #157259=VERTEX_POINT('',#488626); #157260=VERTEX_POINT('',#488630); #157261=VERTEX_POINT('',#488632); #157262=VERTEX_POINT('',#488641); #157263=VERTEX_POINT('',#488642); #157264=VERTEX_POINT('',#488644); #157265=VERTEX_POINT('',#488646); #157266=VERTEX_POINT('',#488650); #157267=VERTEX_POINT('',#488652); #157268=VERTEX_POINT('',#488656); #157269=VERTEX_POINT('',#488658); #157270=VERTEX_POINT('',#488665); #157271=VERTEX_POINT('',#488666); #157272=VERTEX_POINT('',#488668); #157273=VERTEX_POINT('',#488670); #157274=VERTEX_POINT('',#488674); #157275=VERTEX_POINT('',#488676); #157276=VERTEX_POINT('',#488680); #157277=VERTEX_POINT('',#488682); #157278=VERTEX_POINT('',#488686); #157279=VERTEX_POINT('',#488688); #157280=VERTEX_POINT('',#488692); #157281=VERTEX_POINT('',#488694); #157282=VERTEX_POINT('',#488698); #157283=VERTEX_POINT('',#488700); #157284=VERTEX_POINT('',#488704); #157285=VERTEX_POINT('',#488706); #157286=VERTEX_POINT('',#488710); #157287=VERTEX_POINT('',#488712); #157288=VERTEX_POINT('',#488716); #157289=VERTEX_POINT('',#488718); #157290=VERTEX_POINT('',#488722); #157291=VERTEX_POINT('',#488724); #157292=VERTEX_POINT('',#488728); #157293=VERTEX_POINT('',#488730); #157294=VERTEX_POINT('',#488734); #157295=VERTEX_POINT('',#488736); #157296=VERTEX_POINT('',#488740); #157297=VERTEX_POINT('',#488742); #157298=VERTEX_POINT('',#488746); #157299=VERTEX_POINT('',#488748); #157300=VERTEX_POINT('',#488752); #157301=VERTEX_POINT('',#488754); #157302=VERTEX_POINT('',#488758); #157303=VERTEX_POINT('',#488760); #157304=VERTEX_POINT('',#488764); #157305=VERTEX_POINT('',#488766); #157306=VERTEX_POINT('',#488770); #157307=VERTEX_POINT('',#488772); #157308=VERTEX_POINT('',#488776); #157309=VERTEX_POINT('',#488778); #157310=VERTEX_POINT('',#488782); #157311=VERTEX_POINT('',#488784); #157312=VERTEX_POINT('',#488788); #157313=VERTEX_POINT('',#488790); #157314=VERTEX_POINT('',#488794); #157315=VERTEX_POINT('',#488796); #157316=VERTEX_POINT('',#488800); #157317=VERTEX_POINT('',#488802); #157318=VERTEX_POINT('',#488806); #157319=VERTEX_POINT('',#488808); #157320=VERTEX_POINT('',#488812); #157321=VERTEX_POINT('',#488814); #157322=VERTEX_POINT('',#488818); #157323=VERTEX_POINT('',#488820); #157324=VERTEX_POINT('',#488824); #157325=VERTEX_POINT('',#488826); #157326=VERTEX_POINT('',#488830); #157327=VERTEX_POINT('',#488832); #157328=VERTEX_POINT('',#488836); #157329=VERTEX_POINT('',#488838); #157330=VERTEX_POINT('',#488842); #157331=VERTEX_POINT('',#488844); #157332=VERTEX_POINT('',#488848); #157333=VERTEX_POINT('',#488850); #157334=VERTEX_POINT('',#488854); #157335=VERTEX_POINT('',#488856); #157336=VERTEX_POINT('',#488860); #157337=VERTEX_POINT('',#488862); #157338=VERTEX_POINT('',#488866); #157339=VERTEX_POINT('',#488868); #157340=VERTEX_POINT('',#488872); #157341=VERTEX_POINT('',#488874); #157342=VERTEX_POINT('',#488878); #157343=VERTEX_POINT('',#488880); #157344=VERTEX_POINT('',#488884); #157345=VERTEX_POINT('',#488886); #157346=VERTEX_POINT('',#488890); #157347=VERTEX_POINT('',#488892); #157348=VERTEX_POINT('',#488896); #157349=VERTEX_POINT('',#488898); #157350=VERTEX_POINT('',#488902); #157351=VERTEX_POINT('',#488904); #157352=VERTEX_POINT('',#488908); #157353=VERTEX_POINT('',#488910); #157354=VERTEX_POINT('',#488914); #157355=VERTEX_POINT('',#488916); #157356=VERTEX_POINT('',#488920); #157357=VERTEX_POINT('',#488922); #157358=VERTEX_POINT('',#488926); #157359=VERTEX_POINT('',#488928); #157360=VERTEX_POINT('',#488932); #157361=VERTEX_POINT('',#488934); #157362=VERTEX_POINT('',#488938); #157363=VERTEX_POINT('',#488940); #157364=VERTEX_POINT('',#488944); #157365=VERTEX_POINT('',#488946); #157366=VERTEX_POINT('',#488950); #157367=VERTEX_POINT('',#488952); #157368=VERTEX_POINT('',#488956); #157369=VERTEX_POINT('',#488958); #157370=VERTEX_POINT('',#488962); #157371=VERTEX_POINT('',#488964); #157372=VERTEX_POINT('',#488968); #157373=VERTEX_POINT('',#488970); #157374=VERTEX_POINT('',#488974); #157375=VERTEX_POINT('',#488976); #157376=VERTEX_POINT('',#488980); #157377=VERTEX_POINT('',#488982); #157378=VERTEX_POINT('',#488986); #157379=VERTEX_POINT('',#488988); #157380=VERTEX_POINT('',#488992); #157381=VERTEX_POINT('',#488994); #157382=VERTEX_POINT('',#489003); #157383=VERTEX_POINT('',#489005); #157384=VERTEX_POINT('',#489009); #157385=VERTEX_POINT('',#489010); #157386=VERTEX_POINT('',#489012); #157387=VERTEX_POINT('',#489014); #157388=VERTEX_POINT('',#489018); #157389=VERTEX_POINT('',#489020); #157390=VERTEX_POINT('',#489029); #157391=VERTEX_POINT('',#489030); #157392=VERTEX_POINT('',#489032); #157393=VERTEX_POINT('',#489034); #157394=VERTEX_POINT('',#489038); #157395=VERTEX_POINT('',#489040); #157396=VERTEX_POINT('',#489044); #157397=VERTEX_POINT('',#489046); #157398=VERTEX_POINT('',#489053); #157399=VERTEX_POINT('',#489054); #157400=VERTEX_POINT('',#489056); #157401=VERTEX_POINT('',#489058); #157402=VERTEX_POINT('',#489062); #157403=VERTEX_POINT('',#489064); #157404=VERTEX_POINT('',#489068); #157405=VERTEX_POINT('',#489070); #157406=VERTEX_POINT('',#489074); #157407=VERTEX_POINT('',#489076); #157408=VERTEX_POINT('',#489080); #157409=VERTEX_POINT('',#489082); #157410=VERTEX_POINT('',#489086); #157411=VERTEX_POINT('',#489088); #157412=VERTEX_POINT('',#489092); #157413=VERTEX_POINT('',#489094); #157414=VERTEX_POINT('',#489098); #157415=VERTEX_POINT('',#489100); #157416=VERTEX_POINT('',#489104); #157417=VERTEX_POINT('',#489106); #157418=VERTEX_POINT('',#489110); #157419=VERTEX_POINT('',#489112); #157420=VERTEX_POINT('',#489116); #157421=VERTEX_POINT('',#489118); #157422=VERTEX_POINT('',#489122); #157423=VERTEX_POINT('',#489124); #157424=VERTEX_POINT('',#489128); #157425=VERTEX_POINT('',#489130); #157426=VERTEX_POINT('',#489134); #157427=VERTEX_POINT('',#489136); #157428=VERTEX_POINT('',#489140); #157429=VERTEX_POINT('',#489142); #157430=VERTEX_POINT('',#489146); #157431=VERTEX_POINT('',#489148); #157432=VERTEX_POINT('',#489152); #157433=VERTEX_POINT('',#489154); #157434=VERTEX_POINT('',#489158); #157435=VERTEX_POINT('',#489160); #157436=VERTEX_POINT('',#489164); #157437=VERTEX_POINT('',#489166); #157438=VERTEX_POINT('',#489170); #157439=VERTEX_POINT('',#489172); #157440=VERTEX_POINT('',#489176); #157441=VERTEX_POINT('',#489178); #157442=VERTEX_POINT('',#489182); #157443=VERTEX_POINT('',#489184); #157444=VERTEX_POINT('',#489188); #157445=VERTEX_POINT('',#489190); #157446=VERTEX_POINT('',#489194); #157447=VERTEX_POINT('',#489196); #157448=VERTEX_POINT('',#489200); #157449=VERTEX_POINT('',#489202); #157450=VERTEX_POINT('',#489206); #157451=VERTEX_POINT('',#489208); #157452=VERTEX_POINT('',#489212); #157453=VERTEX_POINT('',#489214); #157454=VERTEX_POINT('',#489218); #157455=VERTEX_POINT('',#489220); #157456=VERTEX_POINT('',#489224); #157457=VERTEX_POINT('',#489226); #157458=VERTEX_POINT('',#489230); #157459=VERTEX_POINT('',#489232); #157460=VERTEX_POINT('',#489236); #157461=VERTEX_POINT('',#489238); #157462=VERTEX_POINT('',#489242); #157463=VERTEX_POINT('',#489244); #157464=VERTEX_POINT('',#489248); #157465=VERTEX_POINT('',#489250); #157466=VERTEX_POINT('',#489254); #157467=VERTEX_POINT('',#489256); #157468=VERTEX_POINT('',#489260); #157469=VERTEX_POINT('',#489262); #157470=VERTEX_POINT('',#489266); #157471=VERTEX_POINT('',#489268); #157472=VERTEX_POINT('',#489272); #157473=VERTEX_POINT('',#489274); #157474=VERTEX_POINT('',#489278); #157475=VERTEX_POINT('',#489280); #157476=VERTEX_POINT('',#489284); #157477=VERTEX_POINT('',#489286); #157478=VERTEX_POINT('',#489290); #157479=VERTEX_POINT('',#489292); #157480=VERTEX_POINT('',#489296); #157481=VERTEX_POINT('',#489298); #157482=VERTEX_POINT('',#489302); #157483=VERTEX_POINT('',#489304); #157484=VERTEX_POINT('',#489308); #157485=VERTEX_POINT('',#489310); #157486=VERTEX_POINT('',#489314); #157487=VERTEX_POINT('',#489316); #157488=VERTEX_POINT('',#489320); #157489=VERTEX_POINT('',#489322); #157490=VERTEX_POINT('',#489326); #157491=VERTEX_POINT('',#489328); #157492=VERTEX_POINT('',#489332); #157493=VERTEX_POINT('',#489334); #157494=VERTEX_POINT('',#489338); #157495=VERTEX_POINT('',#489340); #157496=VERTEX_POINT('',#489344); #157497=VERTEX_POINT('',#489346); #157498=VERTEX_POINT('',#489350); #157499=VERTEX_POINT('',#489352); #157500=VERTEX_POINT('',#489356); #157501=VERTEX_POINT('',#489358); #157502=VERTEX_POINT('',#489362); #157503=VERTEX_POINT('',#489364); #157504=VERTEX_POINT('',#489368); #157505=VERTEX_POINT('',#489370); #157506=VERTEX_POINT('',#489374); #157507=VERTEX_POINT('',#489376); #157508=VERTEX_POINT('',#489380); #157509=VERTEX_POINT('',#489382); #157510=VERTEX_POINT('',#489391); #157511=VERTEX_POINT('',#489393); #157512=VERTEX_POINT('',#489397); #157513=VERTEX_POINT('',#489398); #157514=VERTEX_POINT('',#489400); #157515=VERTEX_POINT('',#489402); #157516=VERTEX_POINT('',#489406); #157517=VERTEX_POINT('',#489408); #157518=VERTEX_POINT('',#489412); #157519=VERTEX_POINT('',#489414); #157520=VERTEX_POINT('',#489418); #157521=VERTEX_POINT('',#489420); #157522=VERTEX_POINT('',#489424); #157523=VERTEX_POINT('',#489426); #157524=VERTEX_POINT('',#489430); #157525=VERTEX_POINT('',#489432); #157526=VERTEX_POINT('',#489436); #157527=VERTEX_POINT('',#489438); #157528=VERTEX_POINT('',#489447); #157529=VERTEX_POINT('',#489449); #157530=VERTEX_POINT('',#489453); #157531=VERTEX_POINT('',#489454); #157532=VERTEX_POINT('',#489456); #157533=VERTEX_POINT('',#489458); #157534=VERTEX_POINT('',#489462); #157535=VERTEX_POINT('',#489464); #157536=VERTEX_POINT('',#489473); #157537=VERTEX_POINT('',#489474); #157538=VERTEX_POINT('',#489476); #157539=VERTEX_POINT('',#489478); #157540=VERTEX_POINT('',#489482); #157541=VERTEX_POINT('',#489484); #157542=VERTEX_POINT('',#489488); #157543=VERTEX_POINT('',#489490); #157544=VERTEX_POINT('',#489497); #157545=VERTEX_POINT('',#489498); #157546=VERTEX_POINT('',#489500); #157547=VERTEX_POINT('',#489502); #157548=VERTEX_POINT('',#489506); #157549=VERTEX_POINT('',#489508); #157550=VERTEX_POINT('',#489512); #157551=VERTEX_POINT('',#489514); #157552=VERTEX_POINT('',#489518); #157553=VERTEX_POINT('',#489520); #157554=VERTEX_POINT('',#489524); #157555=VERTEX_POINT('',#489526); #157556=VERTEX_POINT('',#489530); #157557=VERTEX_POINT('',#489532); #157558=VERTEX_POINT('',#489536); #157559=VERTEX_POINT('',#489538); #157560=VERTEX_POINT('',#489542); #157561=VERTEX_POINT('',#489544); #157562=VERTEX_POINT('',#489548); #157563=VERTEX_POINT('',#489550); #157564=VERTEX_POINT('',#489554); #157565=VERTEX_POINT('',#489556); #157566=VERTEX_POINT('',#489560); #157567=VERTEX_POINT('',#489562); #157568=VERTEX_POINT('',#489566); #157569=VERTEX_POINT('',#489568); #157570=VERTEX_POINT('',#489572); #157571=VERTEX_POINT('',#489574); #157572=VERTEX_POINT('',#489578); #157573=VERTEX_POINT('',#489580); #157574=VERTEX_POINT('',#489584); #157575=VERTEX_POINT('',#489586); #157576=VERTEX_POINT('',#489590); #157577=VERTEX_POINT('',#489592); #157578=VERTEX_POINT('',#489596); #157579=VERTEX_POINT('',#489598); #157580=VERTEX_POINT('',#489602); #157581=VERTEX_POINT('',#489604); #157582=VERTEX_POINT('',#489608); #157583=VERTEX_POINT('',#489610); #157584=VERTEX_POINT('',#489614); #157585=VERTEX_POINT('',#489616); #157586=VERTEX_POINT('',#489620); #157587=VERTEX_POINT('',#489622); #157588=VERTEX_POINT('',#489626); #157589=VERTEX_POINT('',#489628); #157590=VERTEX_POINT('',#489632); #157591=VERTEX_POINT('',#489634); #157592=VERTEX_POINT('',#489638); #157593=VERTEX_POINT('',#489640); #157594=VERTEX_POINT('',#489644); #157595=VERTEX_POINT('',#489646); #157596=VERTEX_POINT('',#489650); #157597=VERTEX_POINT('',#489652); #157598=VERTEX_POINT('',#489656); #157599=VERTEX_POINT('',#489658); #157600=VERTEX_POINT('',#489662); #157601=VERTEX_POINT('',#489664); #157602=VERTEX_POINT('',#489668); #157603=VERTEX_POINT('',#489670); #157604=VERTEX_POINT('',#489674); #157605=VERTEX_POINT('',#489676); #157606=VERTEX_POINT('',#489680); #157607=VERTEX_POINT('',#489682); #157608=VERTEX_POINT('',#489686); #157609=VERTEX_POINT('',#489688); #157610=VERTEX_POINT('',#489692); #157611=VERTEX_POINT('',#489694); #157612=VERTEX_POINT('',#489698); #157613=VERTEX_POINT('',#489700); #157614=VERTEX_POINT('',#489704); #157615=VERTEX_POINT('',#489706); #157616=VERTEX_POINT('',#489710); #157617=VERTEX_POINT('',#489712); #157618=VERTEX_POINT('',#489716); #157619=VERTEX_POINT('',#489718); #157620=VERTEX_POINT('',#489722); #157621=VERTEX_POINT('',#489724); #157622=VERTEX_POINT('',#489728); #157623=VERTEX_POINT('',#489730); #157624=VERTEX_POINT('',#489734); #157625=VERTEX_POINT('',#489736); #157626=VERTEX_POINT('',#489740); #157627=VERTEX_POINT('',#489742); #157628=VERTEX_POINT('',#489746); #157629=VERTEX_POINT('',#489748); #157630=VERTEX_POINT('',#489752); #157631=VERTEX_POINT('',#489754); #157632=VERTEX_POINT('',#489758); #157633=VERTEX_POINT('',#489760); #157634=VERTEX_POINT('',#489764); #157635=VERTEX_POINT('',#489766); #157636=VERTEX_POINT('',#489770); #157637=VERTEX_POINT('',#489772); #157638=VERTEX_POINT('',#489776); #157639=VERTEX_POINT('',#489778); #157640=VERTEX_POINT('',#489782); #157641=VERTEX_POINT('',#489784); #157642=VERTEX_POINT('',#489788); #157643=VERTEX_POINT('',#489790); #157644=VERTEX_POINT('',#489794); #157645=VERTEX_POINT('',#489796); #157646=VERTEX_POINT('',#489800); #157647=VERTEX_POINT('',#489802); #157648=VERTEX_POINT('',#489806); #157649=VERTEX_POINT('',#489808); #157650=VERTEX_POINT('',#489812); #157651=VERTEX_POINT('',#489814); #157652=VERTEX_POINT('',#489818); #157653=VERTEX_POINT('',#489820); #157654=VERTEX_POINT('',#489824); #157655=VERTEX_POINT('',#489826); #157656=VERTEX_POINT('',#489830); #157657=VERTEX_POINT('',#489832); #157658=VERTEX_POINT('',#489836); #157659=VERTEX_POINT('',#489838); #157660=VERTEX_POINT('',#489842); #157661=VERTEX_POINT('',#489844); #157662=VERTEX_POINT('',#489848); #157663=VERTEX_POINT('',#489850); #157664=VERTEX_POINT('',#489854); #157665=VERTEX_POINT('',#489856); #157666=VERTEX_POINT('',#489860); #157667=VERTEX_POINT('',#489862); #157668=VERTEX_POINT('',#489866); #157669=VERTEX_POINT('',#489868); #157670=VERTEX_POINT('',#489872); #157671=VERTEX_POINT('',#489874); #157672=VERTEX_POINT('',#489878); #157673=VERTEX_POINT('',#489880); #157674=VERTEX_POINT('',#489884); #157675=VERTEX_POINT('',#489886); #157676=VERTEX_POINT('',#489890); #157677=VERTEX_POINT('',#489892); #157678=VERTEX_POINT('',#489896); #157679=VERTEX_POINT('',#489898); #157680=VERTEX_POINT('',#489902); #157681=VERTEX_POINT('',#489904); #157682=VERTEX_POINT('',#489908); #157683=VERTEX_POINT('',#489910); #157684=VERTEX_POINT('',#489914); #157685=VERTEX_POINT('',#489916); #157686=VERTEX_POINT('',#489920); #157687=VERTEX_POINT('',#489922); #157688=VERTEX_POINT('',#489931); #157689=VERTEX_POINT('',#489933); #157690=VERTEX_POINT('',#489937); #157691=VERTEX_POINT('',#489938); #157692=VERTEX_POINT('',#489940); #157693=VERTEX_POINT('',#489942); #157694=VERTEX_POINT('',#489946); #157695=VERTEX_POINT('',#489948); #157696=VERTEX_POINT('',#489952); #157697=VERTEX_POINT('',#489954); #157698=VERTEX_POINT('',#489958); #157699=VERTEX_POINT('',#489960); #157700=VERTEX_POINT('',#489964); #157701=VERTEX_POINT('',#489966); #157702=VERTEX_POINT('',#489970); #157703=VERTEX_POINT('',#489972); #157704=VERTEX_POINT('',#489976); #157705=VERTEX_POINT('',#489978); #157706=VERTEX_POINT('',#489987); #157707=VERTEX_POINT('',#489989); #157708=VERTEX_POINT('',#489993); #157709=VERTEX_POINT('',#489994); #157710=VERTEX_POINT('',#489996); #157711=VERTEX_POINT('',#489998); #157712=VERTEX_POINT('',#490002); #157713=VERTEX_POINT('',#490004); #157714=VERTEX_POINT('',#490013); #157715=VERTEX_POINT('',#490015); #157716=VERTEX_POINT('',#490019); #157717=VERTEX_POINT('',#490020); #157718=VERTEX_POINT('',#490022); #157719=VERTEX_POINT('',#490024); #157720=VERTEX_POINT('',#490028); #157721=VERTEX_POINT('',#490030); #157722=VERTEX_POINT('',#490039); #157723=VERTEX_POINT('',#490041); #157724=VERTEX_POINT('',#490045); #157725=VERTEX_POINT('',#490046); #157726=VERTEX_POINT('',#490048); #157727=VERTEX_POINT('',#490050); #157728=VERTEX_POINT('',#490054); #157729=VERTEX_POINT('',#490056); #157730=VERTEX_POINT('',#490065); #157731=VERTEX_POINT('',#490067); #157732=VERTEX_POINT('',#490071); #157733=VERTEX_POINT('',#490072); #157734=VERTEX_POINT('',#490074); #157735=VERTEX_POINT('',#490076); #157736=VERTEX_POINT('',#490080); #157737=VERTEX_POINT('',#490082); #157738=VERTEX_POINT('',#490086); #157739=VERTEX_POINT('',#490088); #157740=VERTEX_POINT('',#490097); #157741=VERTEX_POINT('',#490099); #157742=VERTEX_POINT('',#490103); #157743=VERTEX_POINT('',#490104); #157744=VERTEX_POINT('',#490106); #157745=VERTEX_POINT('',#490108); #157746=VERTEX_POINT('',#490112); #157747=VERTEX_POINT('',#490114); #157748=VERTEX_POINT('',#490118); #157749=VERTEX_POINT('',#490120); #157750=VERTEX_POINT('',#490124); #157751=VERTEX_POINT('',#490126); #157752=VERTEX_POINT('',#490130); #157753=VERTEX_POINT('',#490132); #157754=VERTEX_POINT('',#490136); #157755=VERTEX_POINT('',#490138); #157756=VERTEX_POINT('',#490142); #157757=VERTEX_POINT('',#490144); #157758=VERTEX_POINT('',#490153); #157759=VERTEX_POINT('',#490155); #157760=VERTEX_POINT('',#490159); #157761=VERTEX_POINT('',#490160); #157762=VERTEX_POINT('',#490162); #157763=VERTEX_POINT('',#490164); #157764=VERTEX_POINT('',#490168); #157765=VERTEX_POINT('',#490170); #157766=VERTEX_POINT('',#490179); #157767=VERTEX_POINT('',#490181); #157768=VERTEX_POINT('',#490185); #157769=VERTEX_POINT('',#490186); #157770=VERTEX_POINT('',#490188); #157771=VERTEX_POINT('',#490190); #157772=VERTEX_POINT('',#490194); #157773=VERTEX_POINT('',#490196); #157774=VERTEX_POINT('',#490205); #157775=VERTEX_POINT('',#490206); #157776=VERTEX_POINT('',#490208); #157777=VERTEX_POINT('',#490210); #157778=VERTEX_POINT('',#490214); #157779=VERTEX_POINT('',#490216); #157780=VERTEX_POINT('',#490220); #157781=VERTEX_POINT('',#490222); #157782=VERTEX_POINT('',#490231); #157783=VERTEX_POINT('',#490232); #157784=VERTEX_POINT('',#490234); #157785=VERTEX_POINT('',#490236); #157786=VERTEX_POINT('',#490240); #157787=VERTEX_POINT('',#490242); #157788=VERTEX_POINT('',#490246); #157789=VERTEX_POINT('',#490248); #157790=VERTEX_POINT('',#490257); #157791=VERTEX_POINT('',#490258); #157792=VERTEX_POINT('',#490260); #157793=VERTEX_POINT('',#490262); #157794=VERTEX_POINT('',#490266); #157795=VERTEX_POINT('',#490268); #157796=VERTEX_POINT('',#490272); #157797=VERTEX_POINT('',#490274); #157798=VERTEX_POINT('',#490283); #157799=VERTEX_POINT('',#490284); #157800=VERTEX_POINT('',#490286); #157801=VERTEX_POINT('',#490288); #157802=VERTEX_POINT('',#490292); #157803=VERTEX_POINT('',#490294); #157804=VERTEX_POINT('',#490298); #157805=VERTEX_POINT('',#490300); #157806=VERTEX_POINT('',#490309); #157807=VERTEX_POINT('',#490310); #157808=VERTEX_POINT('',#490312); #157809=VERTEX_POINT('',#490314); #157810=VERTEX_POINT('',#490318); #157811=VERTEX_POINT('',#490320); #157812=VERTEX_POINT('',#490324); #157813=VERTEX_POINT('',#490326); #157814=VERTEX_POINT('',#490335); #157815=VERTEX_POINT('',#490336); #157816=VERTEX_POINT('',#490338); #157817=VERTEX_POINT('',#490340); #157818=VERTEX_POINT('',#490344); #157819=VERTEX_POINT('',#490346); #157820=VERTEX_POINT('',#490350); #157821=VERTEX_POINT('',#490352); #157822=VERTEX_POINT('',#490361); #157823=VERTEX_POINT('',#490362); #157824=VERTEX_POINT('',#490364); #157825=VERTEX_POINT('',#490366); #157826=VERTEX_POINT('',#490370); #157827=VERTEX_POINT('',#490372); #157828=VERTEX_POINT('',#490376); #157829=VERTEX_POINT('',#490378); #157830=VERTEX_POINT('',#490387); #157831=VERTEX_POINT('',#490388); #157832=VERTEX_POINT('',#490390); #157833=VERTEX_POINT('',#490392); #157834=VERTEX_POINT('',#490396); #157835=VERTEX_POINT('',#490398); #157836=VERTEX_POINT('',#490402); #157837=VERTEX_POINT('',#490404); #157838=VERTEX_POINT('',#490413); #157839=VERTEX_POINT('',#490414); #157840=VERTEX_POINT('',#490416); #157841=VERTEX_POINT('',#490418); #157842=VERTEX_POINT('',#490422); #157843=VERTEX_POINT('',#490424); #157844=VERTEX_POINT('',#490428); #157845=VERTEX_POINT('',#490430); #157846=VERTEX_POINT('',#490439); #157847=VERTEX_POINT('',#490440); #157848=VERTEX_POINT('',#490442); #157849=VERTEX_POINT('',#490444); #157850=VERTEX_POINT('',#490448); #157851=VERTEX_POINT('',#490450); #157852=VERTEX_POINT('',#490454); #157853=VERTEX_POINT('',#490456); #157854=VERTEX_POINT('',#490465); #157855=VERTEX_POINT('',#490466); #157856=VERTEX_POINT('',#490468); #157857=VERTEX_POINT('',#490470); #157858=VERTEX_POINT('',#490474); #157859=VERTEX_POINT('',#490476); #157860=VERTEX_POINT('',#490480); #157861=VERTEX_POINT('',#490482); #157862=VERTEX_POINT('',#490491); #157863=VERTEX_POINT('',#490492); #157864=VERTEX_POINT('',#490494); #157865=VERTEX_POINT('',#490496); #157866=VERTEX_POINT('',#490500); #157867=VERTEX_POINT('',#490502); #157868=VERTEX_POINT('',#490506); #157869=VERTEX_POINT('',#490508); #157870=VERTEX_POINT('',#490517); #157871=VERTEX_POINT('',#490518); #157872=VERTEX_POINT('',#490520); #157873=VERTEX_POINT('',#490522); #157874=VERTEX_POINT('',#490526); #157875=VERTEX_POINT('',#490528); #157876=VERTEX_POINT('',#490532); #157877=VERTEX_POINT('',#490534); #157878=VERTEX_POINT('',#490543); #157879=VERTEX_POINT('',#490544); #157880=VERTEX_POINT('',#490546); #157881=VERTEX_POINT('',#490548); #157882=VERTEX_POINT('',#490552); #157883=VERTEX_POINT('',#490554); #157884=VERTEX_POINT('',#490558); #157885=VERTEX_POINT('',#490560); #157886=VERTEX_POINT('',#490569); #157887=VERTEX_POINT('',#490571); #157888=VERTEX_POINT('',#490575); #157889=VERTEX_POINT('',#490577); #157890=VERTEX_POINT('',#490581); #157891=VERTEX_POINT('',#490583); #157892=VERTEX_POINT('',#490587); #157893=VERTEX_POINT('',#490589); #157894=VERTEX_POINT('',#490593); #157895=VERTEX_POINT('',#490595); #157896=VERTEX_POINT('',#490599); #157897=VERTEX_POINT('',#490601); #157898=VERTEX_POINT('',#490605); #157899=VERTEX_POINT('',#490606); #157900=VERTEX_POINT('',#490608); #157901=VERTEX_POINT('',#490610); #157902=VERTEX_POINT('',#490614); #157903=VERTEX_POINT('',#490616); #157904=VERTEX_POINT('',#490620); #157905=VERTEX_POINT('',#490622); #157906=VERTEX_POINT('',#490631); #157907=VERTEX_POINT('',#490632); #157908=VERTEX_POINT('',#490634); #157909=VERTEX_POINT('',#490636); #157910=VERTEX_POINT('',#490640); #157911=VERTEX_POINT('',#490642); #157912=VERTEX_POINT('',#490646); #157913=VERTEX_POINT('',#490648); #157914=VERTEX_POINT('',#490657); #157915=VERTEX_POINT('',#490658); #157916=VERTEX_POINT('',#490660); #157917=VERTEX_POINT('',#490662); #157918=VERTEX_POINT('',#490666); #157919=VERTEX_POINT('',#490668); #157920=VERTEX_POINT('',#490672); #157921=VERTEX_POINT('',#490674); #157922=VERTEX_POINT('',#490683); #157923=VERTEX_POINT('',#490684); #157924=VERTEX_POINT('',#490686); #157925=VERTEX_POINT('',#490688); #157926=VERTEX_POINT('',#490692); #157927=VERTEX_POINT('',#490694); #157928=VERTEX_POINT('',#490698); #157929=VERTEX_POINT('',#490700); #157930=VERTEX_POINT('',#490709); #157931=VERTEX_POINT('',#490710); #157932=VERTEX_POINT('',#490712); #157933=VERTEX_POINT('',#490714); #157934=VERTEX_POINT('',#490718); #157935=VERTEX_POINT('',#490720); #157936=VERTEX_POINT('',#490724); #157937=VERTEX_POINT('',#490726); #157938=VERTEX_POINT('',#490735); #157939=VERTEX_POINT('',#490736); #157940=VERTEX_POINT('',#490738); #157941=VERTEX_POINT('',#490740); #157942=VERTEX_POINT('',#490744); #157943=VERTEX_POINT('',#490746); #157944=VERTEX_POINT('',#490750); #157945=VERTEX_POINT('',#490752); #157946=VERTEX_POINT('',#490761); #157947=VERTEX_POINT('',#490762); #157948=VERTEX_POINT('',#490764); #157949=VERTEX_POINT('',#490766); #157950=VERTEX_POINT('',#490770); #157951=VERTEX_POINT('',#490772); #157952=VERTEX_POINT('',#490776); #157953=VERTEX_POINT('',#490778); #157954=VERTEX_POINT('',#490787); #157955=VERTEX_POINT('',#490788); #157956=VERTEX_POINT('',#490790); #157957=VERTEX_POINT('',#490792); #157958=VERTEX_POINT('',#490796); #157959=VERTEX_POINT('',#490798); #157960=VERTEX_POINT('',#490802); #157961=VERTEX_POINT('',#490804); #157962=VERTEX_POINT('',#490813); #157963=VERTEX_POINT('',#490814); #157964=VERTEX_POINT('',#490816); #157965=VERTEX_POINT('',#490818); #157966=VERTEX_POINT('',#490822); #157967=VERTEX_POINT('',#490824); #157968=VERTEX_POINT('',#490828); #157969=VERTEX_POINT('',#490830); #157970=VERTEX_POINT('',#490839); #157971=VERTEX_POINT('',#490840); #157972=VERTEX_POINT('',#490842); #157973=VERTEX_POINT('',#490844); #157974=VERTEX_POINT('',#490848); #157975=VERTEX_POINT('',#490850); #157976=VERTEX_POINT('',#490854); #157977=VERTEX_POINT('',#490856); #157978=VERTEX_POINT('',#490865); #157979=VERTEX_POINT('',#490866); #157980=VERTEX_POINT('',#490868); #157981=VERTEX_POINT('',#490870); #157982=VERTEX_POINT('',#490874); #157983=VERTEX_POINT('',#490876); #157984=VERTEX_POINT('',#490880); #157985=VERTEX_POINT('',#490882); #157986=VERTEX_POINT('',#490891); #157987=VERTEX_POINT('',#490892); #157988=VERTEX_POINT('',#490894); #157989=VERTEX_POINT('',#490896); #157990=VERTEX_POINT('',#490900); #157991=VERTEX_POINT('',#490902); #157992=VERTEX_POINT('',#490906); #157993=VERTEX_POINT('',#490908); #157994=VERTEX_POINT('',#490917); #157995=VERTEX_POINT('',#490918); #157996=VERTEX_POINT('',#490920); #157997=VERTEX_POINT('',#490922); #157998=VERTEX_POINT('',#490926); #157999=VERTEX_POINT('',#490928); #158000=VERTEX_POINT('',#490932); #158001=VERTEX_POINT('',#490934); #158002=VERTEX_POINT('',#490943); #158003=VERTEX_POINT('',#490944); #158004=VERTEX_POINT('',#490946); #158005=VERTEX_POINT('',#490948); #158006=VERTEX_POINT('',#490952); #158007=VERTEX_POINT('',#490954); #158008=VERTEX_POINT('',#490958); #158009=VERTEX_POINT('',#490960); #158010=VERTEX_POINT('',#490969); #158011=VERTEX_POINT('',#490970); #158012=VERTEX_POINT('',#490972); #158013=VERTEX_POINT('',#490974); #158014=VERTEX_POINT('',#490978); #158015=VERTEX_POINT('',#490980); #158016=VERTEX_POINT('',#490984); #158017=VERTEX_POINT('',#490986); #158018=VERTEX_POINT('',#490995); #158019=VERTEX_POINT('',#490996); #158020=VERTEX_POINT('',#490998); #158021=VERTEX_POINT('',#491000); #158022=VERTEX_POINT('',#491004); #158023=VERTEX_POINT('',#491006); #158024=VERTEX_POINT('',#491010); #158025=VERTEX_POINT('',#491012); #158026=VERTEX_POINT('',#491021); #158027=VERTEX_POINT('',#491022); #158028=VERTEX_POINT('',#491024); #158029=VERTEX_POINT('',#491026); #158030=VERTEX_POINT('',#491030); #158031=VERTEX_POINT('',#491032); #158032=VERTEX_POINT('',#491036); #158033=VERTEX_POINT('',#491038); #158034=VERTEX_POINT('',#491047); #158035=VERTEX_POINT('',#491048); #158036=VERTEX_POINT('',#491050); #158037=VERTEX_POINT('',#491052); #158038=VERTEX_POINT('',#491056); #158039=VERTEX_POINT('',#491058); #158040=VERTEX_POINT('',#491062); #158041=VERTEX_POINT('',#491064); #158042=VERTEX_POINT('',#491073); #158043=VERTEX_POINT('',#491074); #158044=VERTEX_POINT('',#491076); #158045=VERTEX_POINT('',#491078); #158046=VERTEX_POINT('',#491082); #158047=VERTEX_POINT('',#491084); #158048=VERTEX_POINT('',#491088); #158049=VERTEX_POINT('',#491090); #158050=VERTEX_POINT('',#491099); #158051=VERTEX_POINT('',#491100); #158052=VERTEX_POINT('',#491102); #158053=VERTEX_POINT('',#491104); #158054=VERTEX_POINT('',#491108); #158055=VERTEX_POINT('',#491110); #158056=VERTEX_POINT('',#491114); #158057=VERTEX_POINT('',#491116); #158058=VERTEX_POINT('',#491125); #158059=VERTEX_POINT('',#491126); #158060=VERTEX_POINT('',#491128); #158061=VERTEX_POINT('',#491130); #158062=VERTEX_POINT('',#491134); #158063=VERTEX_POINT('',#491136); #158064=VERTEX_POINT('',#491140); #158065=VERTEX_POINT('',#491142); #158066=VERTEX_POINT('',#491151); #158067=VERTEX_POINT('',#491152); #158068=VERTEX_POINT('',#491154); #158069=VERTEX_POINT('',#491156); #158070=VERTEX_POINT('',#491160); #158071=VERTEX_POINT('',#491162); #158072=VERTEX_POINT('',#491166); #158073=VERTEX_POINT('',#491168); #158074=VERTEX_POINT('',#491177); #158075=VERTEX_POINT('',#491178); #158076=VERTEX_POINT('',#491180); #158077=VERTEX_POINT('',#491182); #158078=VERTEX_POINT('',#491186); #158079=VERTEX_POINT('',#491188); #158080=VERTEX_POINT('',#491192); #158081=VERTEX_POINT('',#491194); #158082=VERTEX_POINT('',#491203); #158083=VERTEX_POINT('',#491204); #158084=VERTEX_POINT('',#491206); #158085=VERTEX_POINT('',#491208); #158086=VERTEX_POINT('',#491212); #158087=VERTEX_POINT('',#491214); #158088=VERTEX_POINT('',#491218); #158089=VERTEX_POINT('',#491220); #158090=VERTEX_POINT('',#491229); #158091=VERTEX_POINT('',#491231); #158092=VERTEX_POINT('',#491235); #158093=VERTEX_POINT('',#491237); #158094=VERTEX_POINT('',#491241); #158095=VERTEX_POINT('',#491243); #158096=VERTEX_POINT('',#491247); #158097=VERTEX_POINT('',#491249); #158098=VERTEX_POINT('',#491253); #158099=VERTEX_POINT('',#491255); #158100=VERTEX_POINT('',#491259); #158101=VERTEX_POINT('',#491261); #158102=VERTEX_POINT('',#491265); #158103=VERTEX_POINT('',#491266); #158104=VERTEX_POINT('',#491268); #158105=VERTEX_POINT('',#491270); #158106=VERTEX_POINT('',#491274); #158107=VERTEX_POINT('',#491276); #158108=VERTEX_POINT('',#491280); #158109=VERTEX_POINT('',#491282); #158110=VERTEX_POINT('',#491291); #158111=VERTEX_POINT('',#491292); #158112=VERTEX_POINT('',#491294); #158113=VERTEX_POINT('',#491296); #158114=VERTEX_POINT('',#491300); #158115=VERTEX_POINT('',#491302); #158116=VERTEX_POINT('',#491306); #158117=VERTEX_POINT('',#491308); #158118=VERTEX_POINT('',#491317); #158119=VERTEX_POINT('',#491318); #158120=VERTEX_POINT('',#491320); #158121=VERTEX_POINT('',#491322); #158122=VERTEX_POINT('',#491326); #158123=VERTEX_POINT('',#491328); #158124=VERTEX_POINT('',#491332); #158125=VERTEX_POINT('',#491334); #158126=VERTEX_POINT('',#491343); #158127=VERTEX_POINT('',#491345); #158128=VERTEX_POINT('',#491349); #158129=VERTEX_POINT('',#491351); #158130=VERTEX_POINT('',#491355); #158131=VERTEX_POINT('',#491357); #158132=VERTEX_POINT('',#491361); #158133=VERTEX_POINT('',#491363); #158134=VERTEX_POINT('',#491367); #158135=VERTEX_POINT('',#491369); #158136=VERTEX_POINT('',#491373); #158137=VERTEX_POINT('',#491375); #158138=VERTEX_POINT('',#491379); #158139=VERTEX_POINT('',#491380); #158140=VERTEX_POINT('',#491382); #158141=VERTEX_POINT('',#491384); #158142=VERTEX_POINT('',#491388); #158143=VERTEX_POINT('',#491390); #158144=VERTEX_POINT('',#491394); #158145=VERTEX_POINT('',#491396); #158146=VERTEX_POINT('',#491405); #158147=VERTEX_POINT('',#491406); #158148=VERTEX_POINT('',#491408); #158149=VERTEX_POINT('',#491410); #158150=VERTEX_POINT('',#491414); #158151=VERTEX_POINT('',#491416); #158152=VERTEX_POINT('',#491420); #158153=VERTEX_POINT('',#491422); #158154=VERTEX_POINT('',#491431); #158155=VERTEX_POINT('',#491432); #158156=VERTEX_POINT('',#491434); #158157=VERTEX_POINT('',#491436); #158158=VERTEX_POINT('',#491440); #158159=VERTEX_POINT('',#491442); #158160=VERTEX_POINT('',#491446); #158161=VERTEX_POINT('',#491448); #158162=VERTEX_POINT('',#491457); #158163=VERTEX_POINT('',#491458); #158164=VERTEX_POINT('',#491460); #158165=VERTEX_POINT('',#491462); #158166=VERTEX_POINT('',#491466); #158167=VERTEX_POINT('',#491468); #158168=VERTEX_POINT('',#491472); #158169=VERTEX_POINT('',#491474); #158170=VERTEX_POINT('',#491483); #158171=VERTEX_POINT('',#491484); #158172=VERTEX_POINT('',#491486); #158173=VERTEX_POINT('',#491488); #158174=VERTEX_POINT('',#491492); #158175=VERTEX_POINT('',#491494); #158176=VERTEX_POINT('',#491498); #158177=VERTEX_POINT('',#491500); #158178=VERTEX_POINT('',#491509); #158179=VERTEX_POINT('',#491510); #158180=VERTEX_POINT('',#491512); #158181=VERTEX_POINT('',#491514); #158182=VERTEX_POINT('',#491518); #158183=VERTEX_POINT('',#491520); #158184=VERTEX_POINT('',#491524); #158185=VERTEX_POINT('',#491526); #158186=VERTEX_POINT('',#491535); #158187=VERTEX_POINT('',#491536); #158188=VERTEX_POINT('',#491538); #158189=VERTEX_POINT('',#491540); #158190=VERTEX_POINT('',#491544); #158191=VERTEX_POINT('',#491546); #158192=VERTEX_POINT('',#491550); #158193=VERTEX_POINT('',#491552); #158194=VERTEX_POINT('',#491561); #158195=VERTEX_POINT('',#491562); #158196=VERTEX_POINT('',#491564); #158197=VERTEX_POINT('',#491566); #158198=VERTEX_POINT('',#491570); #158199=VERTEX_POINT('',#491572); #158200=VERTEX_POINT('',#491576); #158201=VERTEX_POINT('',#491578); #158202=VERTEX_POINT('',#491587); #158203=VERTEX_POINT('',#491588); #158204=VERTEX_POINT('',#491590); #158205=VERTEX_POINT('',#491592); #158206=VERTEX_POINT('',#491596); #158207=VERTEX_POINT('',#491598); #158208=VERTEX_POINT('',#491602); #158209=VERTEX_POINT('',#491604); #158210=VERTEX_POINT('',#491613); #158211=VERTEX_POINT('',#491614); #158212=VERTEX_POINT('',#491616); #158213=VERTEX_POINT('',#491618); #158214=VERTEX_POINT('',#491622); #158215=VERTEX_POINT('',#491624); #158216=VERTEX_POINT('',#491628); #158217=VERTEX_POINT('',#491630); #158218=VERTEX_POINT('',#491639); #158219=VERTEX_POINT('',#491640); #158220=VERTEX_POINT('',#491642); #158221=VERTEX_POINT('',#491644); #158222=VERTEX_POINT('',#491648); #158223=VERTEX_POINT('',#491650); #158224=VERTEX_POINT('',#491654); #158225=VERTEX_POINT('',#491656); #158226=VERTEX_POINT('',#491665); #158227=VERTEX_POINT('',#491666); #158228=VERTEX_POINT('',#491668); #158229=VERTEX_POINT('',#491670); #158230=VERTEX_POINT('',#491674); #158231=VERTEX_POINT('',#491676); #158232=VERTEX_POINT('',#491680); #158233=VERTEX_POINT('',#491682); #158234=VERTEX_POINT('',#491691); #158235=VERTEX_POINT('',#491692); #158236=VERTEX_POINT('',#491694); #158237=VERTEX_POINT('',#491696); #158238=VERTEX_POINT('',#491700); #158239=VERTEX_POINT('',#491702); #158240=VERTEX_POINT('',#491706); #158241=VERTEX_POINT('',#491708); #158242=VERTEX_POINT('',#491717); #158243=VERTEX_POINT('',#491718); #158244=VERTEX_POINT('',#491720); #158245=VERTEX_POINT('',#491722); #158246=VERTEX_POINT('',#491726); #158247=VERTEX_POINT('',#491728); #158248=VERTEX_POINT('',#491732); #158249=VERTEX_POINT('',#491734); #158250=VERTEX_POINT('',#491743); #158251=VERTEX_POINT('',#491744); #158252=VERTEX_POINT('',#491746); #158253=VERTEX_POINT('',#491748); #158254=VERTEX_POINT('',#491752); #158255=VERTEX_POINT('',#491754); #158256=VERTEX_POINT('',#491758); #158257=VERTEX_POINT('',#491760); #158258=VERTEX_POINT('',#491769); #158259=VERTEX_POINT('',#491771); #158260=VERTEX_POINT('',#491775); #158261=VERTEX_POINT('',#491777); #158262=VERTEX_POINT('',#491781); #158263=VERTEX_POINT('',#491783); #158264=VERTEX_POINT('',#491787); #158265=VERTEX_POINT('',#491789); #158266=VERTEX_POINT('',#491793); #158267=VERTEX_POINT('',#491795); #158268=VERTEX_POINT('',#491799); #158269=VERTEX_POINT('',#491801); #158270=VERTEX_POINT('',#491805); #158271=VERTEX_POINT('',#491807); #158272=VERTEX_POINT('',#491811); #158273=VERTEX_POINT('',#491813); #158274=VERTEX_POINT('',#491817); #158275=VERTEX_POINT('',#491819); #158276=VERTEX_POINT('',#491823); #158277=VERTEX_POINT('',#491825); #158278=VERTEX_POINT('',#491829); #158279=VERTEX_POINT('',#491831); #158280=VERTEX_POINT('',#491835); #158281=VERTEX_POINT('',#491837); #158282=VERTEX_POINT('',#491841); #158283=VERTEX_POINT('',#491843); #158284=VERTEX_POINT('',#491847); #158285=VERTEX_POINT('',#491849); #158286=VERTEX_POINT('',#491853); #158287=VERTEX_POINT('',#491855); #158288=VERTEX_POINT('',#491859); #158289=VERTEX_POINT('',#491861); #158290=VERTEX_POINT('',#491865); #158291=VERTEX_POINT('',#491867); #158292=VERTEX_POINT('',#491871); #158293=VERTEX_POINT('',#491873); #158294=VERTEX_POINT('',#491877); #158295=VERTEX_POINT('',#491879); #158296=VERTEX_POINT('',#491883); #158297=VERTEX_POINT('',#491885); #158298=VERTEX_POINT('',#491889); #158299=VERTEX_POINT('',#491891); #158300=VERTEX_POINT('',#491895); #158301=VERTEX_POINT('',#491897); #158302=VERTEX_POINT('',#491901); #158303=VERTEX_POINT('',#491903); #158304=VERTEX_POINT('',#491907); #158305=VERTEX_POINT('',#491909); #158306=VERTEX_POINT('',#491913); #158307=VERTEX_POINT('',#491915); #158308=VERTEX_POINT('',#491919); #158309=VERTEX_POINT('',#491921); #158310=VERTEX_POINT('',#491925); #158311=VERTEX_POINT('',#491927); #158312=VERTEX_POINT('',#491931); #158313=VERTEX_POINT('',#491933); #158314=VERTEX_POINT('',#491937); #158315=VERTEX_POINT('',#491939); #158316=VERTEX_POINT('',#491943); #158317=VERTEX_POINT('',#491945); #158318=VERTEX_POINT('',#491949); #158319=VERTEX_POINT('',#491951); #158320=VERTEX_POINT('',#491955); #158321=VERTEX_POINT('',#491957); #158322=VERTEX_POINT('',#491961); #158323=VERTEX_POINT('',#491963); #158324=VERTEX_POINT('',#491967); #158325=VERTEX_POINT('',#491969); #158326=VERTEX_POINT('',#491973); #158327=VERTEX_POINT('',#491975); #158328=VERTEX_POINT('',#491979); #158329=VERTEX_POINT('',#491981); #158330=VERTEX_POINT('',#491985); #158331=VERTEX_POINT('',#491987); #158332=VERTEX_POINT('',#491991); #158333=VERTEX_POINT('',#491993); #158334=VERTEX_POINT('',#491997); #158335=VERTEX_POINT('',#491999); #158336=VERTEX_POINT('',#492003); #158337=VERTEX_POINT('',#492005); #158338=VERTEX_POINT('',#492009); #158339=VERTEX_POINT('',#492011); #158340=VERTEX_POINT('',#492015); #158341=VERTEX_POINT('',#492017); #158342=VERTEX_POINT('',#492021); #158343=VERTEX_POINT('',#492023); #158344=VERTEX_POINT('',#492027); #158345=VERTEX_POINT('',#492029); #158346=VERTEX_POINT('',#492033); #158347=VERTEX_POINT('',#492035); #158348=VERTEX_POINT('',#492039); #158349=VERTEX_POINT('',#492041); #158350=VERTEX_POINT('',#492045); #158351=VERTEX_POINT('',#492047); #158352=VERTEX_POINT('',#492051); #158353=VERTEX_POINT('',#492053); #158354=VERTEX_POINT('',#492057); #158355=VERTEX_POINT('',#492059); #158356=VERTEX_POINT('',#492063); #158357=VERTEX_POINT('',#492064); #158358=VERTEX_POINT('',#492066); #158359=VERTEX_POINT('',#492068); #158360=VERTEX_POINT('',#492072); #158361=VERTEX_POINT('',#492074); #158362=VERTEX_POINT('',#492078); #158363=VERTEX_POINT('',#492080); #158364=VERTEX_POINT('',#492089); #158365=VERTEX_POINT('',#492090); #158366=VERTEX_POINT('',#492092); #158367=VERTEX_POINT('',#492094); #158368=VERTEX_POINT('',#492098); #158369=VERTEX_POINT('',#492100); #158370=VERTEX_POINT('',#492104); #158371=VERTEX_POINT('',#492106); #158372=VERTEX_POINT('',#492115); #158373=VERTEX_POINT('',#492116); #158374=VERTEX_POINT('',#492118); #158375=VERTEX_POINT('',#492120); #158376=VERTEX_POINT('',#492124); #158377=VERTEX_POINT('',#492126); #158378=VERTEX_POINT('',#492130); #158379=VERTEX_POINT('',#492132); #158380=VERTEX_POINT('',#492141); #158381=VERTEX_POINT('',#492142); #158382=VERTEX_POINT('',#492144); #158383=VERTEX_POINT('',#492146); #158384=VERTEX_POINT('',#492150); #158385=VERTEX_POINT('',#492152); #158386=VERTEX_POINT('',#492156); #158387=VERTEX_POINT('',#492158); #158388=VERTEX_POINT('',#492167); #158389=VERTEX_POINT('',#492168); #158390=VERTEX_POINT('',#492170); #158391=VERTEX_POINT('',#492172); #158392=VERTEX_POINT('',#492176); #158393=VERTEX_POINT('',#492178); #158394=VERTEX_POINT('',#492182); #158395=VERTEX_POINT('',#492184); #158396=VERTEX_POINT('',#492193); #158397=VERTEX_POINT('',#492194); #158398=VERTEX_POINT('',#492196); #158399=VERTEX_POINT('',#492198); #158400=VERTEX_POINT('',#492202); #158401=VERTEX_POINT('',#492204); #158402=VERTEX_POINT('',#492208); #158403=VERTEX_POINT('',#492210); #158404=VERTEX_POINT('',#492219); #158405=VERTEX_POINT('',#492220); #158406=VERTEX_POINT('',#492222); #158407=VERTEX_POINT('',#492224); #158408=VERTEX_POINT('',#492228); #158409=VERTEX_POINT('',#492230); #158410=VERTEX_POINT('',#492234); #158411=VERTEX_POINT('',#492236); #158412=VERTEX_POINT('',#492245); #158413=VERTEX_POINT('',#492246); #158414=VERTEX_POINT('',#492248); #158415=VERTEX_POINT('',#492250); #158416=VERTEX_POINT('',#492254); #158417=VERTEX_POINT('',#492256); #158418=VERTEX_POINT('',#492260); #158419=VERTEX_POINT('',#492262); #158420=VERTEX_POINT('',#492271); #158421=VERTEX_POINT('',#492272); #158422=VERTEX_POINT('',#492274); #158423=VERTEX_POINT('',#492276); #158424=VERTEX_POINT('',#492280); #158425=VERTEX_POINT('',#492282); #158426=VERTEX_POINT('',#492286); #158427=VERTEX_POINT('',#492288); #158428=VERTEX_POINT('',#492297); #158429=VERTEX_POINT('',#492298); #158430=VERTEX_POINT('',#492300); #158431=VERTEX_POINT('',#492302); #158432=VERTEX_POINT('',#492306); #158433=VERTEX_POINT('',#492308); #158434=VERTEX_POINT('',#492312); #158435=VERTEX_POINT('',#492314); #158436=VERTEX_POINT('',#492323); #158437=VERTEX_POINT('',#492324); #158438=VERTEX_POINT('',#492326); #158439=VERTEX_POINT('',#492328); #158440=VERTEX_POINT('',#492332); #158441=VERTEX_POINT('',#492334); #158442=VERTEX_POINT('',#492338); #158443=VERTEX_POINT('',#492340); #158444=VERTEX_POINT('',#492349); #158445=VERTEX_POINT('',#492350); #158446=VERTEX_POINT('',#492352); #158447=VERTEX_POINT('',#492354); #158448=VERTEX_POINT('',#492358); #158449=VERTEX_POINT('',#492360); #158450=VERTEX_POINT('',#492364); #158451=VERTEX_POINT('',#492366); #158452=VERTEX_POINT('',#492375); #158453=VERTEX_POINT('',#492376); #158454=VERTEX_POINT('',#492378); #158455=VERTEX_POINT('',#492380); #158456=VERTEX_POINT('',#492384); #158457=VERTEX_POINT('',#492386); #158458=VERTEX_POINT('',#492390); #158459=VERTEX_POINT('',#492392); #158460=VERTEX_POINT('',#492401); #158461=VERTEX_POINT('',#492402); #158462=VERTEX_POINT('',#492404); #158463=VERTEX_POINT('',#492406); #158464=VERTEX_POINT('',#492410); #158465=VERTEX_POINT('',#492412); #158466=VERTEX_POINT('',#492416); #158467=VERTEX_POINT('',#492418); #158468=VERTEX_POINT('',#492427); #158469=VERTEX_POINT('',#492428); #158470=VERTEX_POINT('',#492430); #158471=VERTEX_POINT('',#492432); #158472=VERTEX_POINT('',#492436); #158473=VERTEX_POINT('',#492438); #158474=VERTEX_POINT('',#492442); #158475=VERTEX_POINT('',#492444); #158476=VERTEX_POINT('',#492453); #158477=VERTEX_POINT('',#492455); #158478=VERTEX_POINT('',#492459); #158479=VERTEX_POINT('',#492460); #158480=VERTEX_POINT('',#492462); #158481=VERTEX_POINT('',#492464); #158482=VERTEX_POINT('',#492468); #158483=VERTEX_POINT('',#492470); #158484=VERTEX_POINT('',#492474); #158485=VERTEX_POINT('',#492476); #158486=VERTEX_POINT('',#492485); #158487=VERTEX_POINT('',#492486); #158488=VERTEX_POINT('',#492488); #158489=VERTEX_POINT('',#492490); #158490=VERTEX_POINT('',#492494); #158491=VERTEX_POINT('',#492496); #158492=VERTEX_POINT('',#492500); #158493=VERTEX_POINT('',#492502); #158494=VERTEX_POINT('',#492511); #158495=VERTEX_POINT('',#492512); #158496=VERTEX_POINT('',#492514); #158497=VERTEX_POINT('',#492516); #158498=VERTEX_POINT('',#492520); #158499=VERTEX_POINT('',#492522); #158500=VERTEX_POINT('',#492526); #158501=VERTEX_POINT('',#492528); #158502=VERTEX_POINT('',#492537); #158503=VERTEX_POINT('',#492539); #158504=VERTEX_POINT('',#492543); #158505=VERTEX_POINT('',#492544); #158506=VERTEX_POINT('',#492546); #158507=VERTEX_POINT('',#492548); #158508=VERTEX_POINT('',#492552); #158509=VERTEX_POINT('',#492554); #158510=VERTEX_POINT('',#492558); #158511=VERTEX_POINT('',#492560); #158512=VERTEX_POINT('',#492569); #158513=VERTEX_POINT('',#492570); #158514=VERTEX_POINT('',#492572); #158515=VERTEX_POINT('',#492574); #158516=VERTEX_POINT('',#492578); #158517=VERTEX_POINT('',#492580); #158518=VERTEX_POINT('',#492584); #158519=VERTEX_POINT('',#492586); #158520=VERTEX_POINT('',#492595); #158521=VERTEX_POINT('',#492596); #158522=VERTEX_POINT('',#492598); #158523=VERTEX_POINT('',#492600); #158524=VERTEX_POINT('',#492604); #158525=VERTEX_POINT('',#492606); #158526=VERTEX_POINT('',#492610); #158527=VERTEX_POINT('',#492612); #158528=VERTEX_POINT('',#492621); #158529=VERTEX_POINT('',#492622); #158530=VERTEX_POINT('',#492624); #158531=VERTEX_POINT('',#492626); #158532=VERTEX_POINT('',#492630); #158533=VERTEX_POINT('',#492632); #158534=VERTEX_POINT('',#492636); #158535=VERTEX_POINT('',#492638); #158536=VERTEX_POINT('',#492647); #158537=VERTEX_POINT('',#492648); #158538=VERTEX_POINT('',#492650); #158539=VERTEX_POINT('',#492652); #158540=VERTEX_POINT('',#492656); #158541=VERTEX_POINT('',#492658); #158542=VERTEX_POINT('',#492662); #158543=VERTEX_POINT('',#492664); #158544=VERTEX_POINT('',#492673); #158545=VERTEX_POINT('',#492674); #158546=VERTEX_POINT('',#492676); #158547=VERTEX_POINT('',#492678); #158548=VERTEX_POINT('',#492682); #158549=VERTEX_POINT('',#492684); #158550=VERTEX_POINT('',#492688); #158551=VERTEX_POINT('',#492690); #158552=VERTEX_POINT('',#492699); #158553=VERTEX_POINT('',#492700); #158554=VERTEX_POINT('',#492702); #158555=VERTEX_POINT('',#492704); #158556=VERTEX_POINT('',#492708); #158557=VERTEX_POINT('',#492710); #158558=VERTEX_POINT('',#492714); #158559=VERTEX_POINT('',#492716); #158560=VERTEX_POINT('',#492725); #158561=VERTEX_POINT('',#492726); #158562=VERTEX_POINT('',#492728); #158563=VERTEX_POINT('',#492730); #158564=VERTEX_POINT('',#492734); #158565=VERTEX_POINT('',#492736); #158566=VERTEX_POINT('',#492740); #158567=VERTEX_POINT('',#492742); #158568=VERTEX_POINT('',#492751); #158569=VERTEX_POINT('',#492752); #158570=VERTEX_POINT('',#492754); #158571=VERTEX_POINT('',#492756); #158572=VERTEX_POINT('',#492760); #158573=VERTEX_POINT('',#492762); #158574=VERTEX_POINT('',#492766); #158575=VERTEX_POINT('',#492768); #158576=VERTEX_POINT('',#492777); #158577=VERTEX_POINT('',#492778); #158578=VERTEX_POINT('',#492780); #158579=VERTEX_POINT('',#492782); #158580=VERTEX_POINT('',#492786); #158581=VERTEX_POINT('',#492788); #158582=VERTEX_POINT('',#492792); #158583=VERTEX_POINT('',#492794); #158584=VERTEX_POINT('',#492803); #158585=VERTEX_POINT('',#492804); #158586=VERTEX_POINT('',#492806); #158587=VERTEX_POINT('',#492808); #158588=VERTEX_POINT('',#492812); #158589=VERTEX_POINT('',#492814); #158590=VERTEX_POINT('',#492818); #158591=VERTEX_POINT('',#492820); #158592=VERTEX_POINT('',#492829); #158593=VERTEX_POINT('',#492830); #158594=VERTEX_POINT('',#492832); #158595=VERTEX_POINT('',#492834); #158596=VERTEX_POINT('',#492838); #158597=VERTEX_POINT('',#492840); #158598=VERTEX_POINT('',#492844); #158599=VERTEX_POINT('',#492846); #158600=VERTEX_POINT('',#492855); #158601=VERTEX_POINT('',#492857); #158602=VERTEX_POINT('',#492861); #158603=VERTEX_POINT('',#492862); #158604=VERTEX_POINT('',#492864); #158605=VERTEX_POINT('',#492866); #158606=VERTEX_POINT('',#492870); #158607=VERTEX_POINT('',#492871); #158608=VERTEX_POINT('',#492873); #158609=VERTEX_POINT('',#492875); #158610=VERTEX_POINT('',#492879); #158611=VERTEX_POINT('',#492880); #158612=VERTEX_POINT('',#492882); #158613=VERTEX_POINT('',#492884); #158614=VERTEX_POINT('',#492888); #158615=VERTEX_POINT('',#492889); #158616=VERTEX_POINT('',#492891); #158617=VERTEX_POINT('',#492893); #158618=VERTEX_POINT('',#492897); #158619=VERTEX_POINT('',#492898); #158620=VERTEX_POINT('',#492900); #158621=VERTEX_POINT('',#492902); #158622=VERTEX_POINT('',#492906); #158623=VERTEX_POINT('',#492907); #158624=VERTEX_POINT('',#492909); #158625=VERTEX_POINT('',#492911); #158626=VERTEX_POINT('',#492915); #158627=VERTEX_POINT('',#492916); #158628=VERTEX_POINT('',#492918); #158629=VERTEX_POINT('',#492920); #158630=VERTEX_POINT('',#492924); #158631=VERTEX_POINT('',#492925); #158632=VERTEX_POINT('',#492927); #158633=VERTEX_POINT('',#492929); #158634=VERTEX_POINT('',#492936); #158635=VERTEX_POINT('',#492938); #158636=VERTEX_POINT('',#492948); #158637=VERTEX_POINT('',#492950); #158638=VERTEX_POINT('',#492971); #158639=VERTEX_POINT('',#492972); #158640=VERTEX_POINT('',#492974); #158641=VERTEX_POINT('',#492976); #158642=VERTEX_POINT('',#492980); #158643=VERTEX_POINT('',#492982); #158644=VERTEX_POINT('',#492986); #158645=VERTEX_POINT('',#492988); #158646=VERTEX_POINT('',#492997); #158647=VERTEX_POINT('',#492999); #158648=VERTEX_POINT('',#493003); #158649=VERTEX_POINT('',#493004); #158650=VERTEX_POINT('',#493006); #158651=VERTEX_POINT('',#493008); #158652=VERTEX_POINT('',#493012); #158653=VERTEX_POINT('',#493014); #158654=VERTEX_POINT('',#493018); #158655=VERTEX_POINT('',#493020); #158656=VERTEX_POINT('',#493029); #158657=VERTEX_POINT('',#493030); #158658=VERTEX_POINT('',#493032); #158659=VERTEX_POINT('',#493034); #158660=VERTEX_POINT('',#493038); #158661=VERTEX_POINT('',#493040); #158662=VERTEX_POINT('',#493044); #158663=VERTEX_POINT('',#493046); #158664=VERTEX_POINT('',#493055); #158665=VERTEX_POINT('',#493056); #158666=VERTEX_POINT('',#493058); #158667=VERTEX_POINT('',#493060); #158668=VERTEX_POINT('',#493064); #158669=VERTEX_POINT('',#493066); #158670=VERTEX_POINT('',#493070); #158671=VERTEX_POINT('',#493072); #158672=VERTEX_POINT('',#493081); #158673=VERTEX_POINT('',#493082); #158674=VERTEX_POINT('',#493084); #158675=VERTEX_POINT('',#493086); #158676=VERTEX_POINT('',#493090); #158677=VERTEX_POINT('',#493092); #158678=VERTEX_POINT('',#493096); #158679=VERTEX_POINT('',#493098); #158680=VERTEX_POINT('',#493107); #158681=VERTEX_POINT('',#493109); #158682=VERTEX_POINT('',#493113); #158683=VERTEX_POINT('',#493114); #158684=VERTEX_POINT('',#493116); #158685=VERTEX_POINT('',#493118); #158686=VERTEX_POINT('',#493122); #158687=VERTEX_POINT('',#493124); #158688=VERTEX_POINT('',#493128); #158689=VERTEX_POINT('',#493130); #158690=VERTEX_POINT('',#493139); #158691=VERTEX_POINT('',#493141); #158692=VERTEX_POINT('',#493145); #158693=VERTEX_POINT('',#493146); #158694=VERTEX_POINT('',#493148); #158695=VERTEX_POINT('',#493150); #158696=VERTEX_POINT('',#493154); #158697=VERTEX_POINT('',#493156); #158698=VERTEX_POINT('',#493160); #158699=VERTEX_POINT('',#493162); #158700=VERTEX_POINT('',#493171); #158701=VERTEX_POINT('',#493172); #158702=VERTEX_POINT('',#493174); #158703=VERTEX_POINT('',#493176); #158704=VERTEX_POINT('',#493180); #158705=VERTEX_POINT('',#493182); #158706=VERTEX_POINT('',#493186); #158707=VERTEX_POINT('',#493188); #158708=VERTEX_POINT('',#493197); #158709=VERTEX_POINT('',#493198); #158710=VERTEX_POINT('',#493200); #158711=VERTEX_POINT('',#493202); #158712=VERTEX_POINT('',#493206); #158713=VERTEX_POINT('',#493208); #158714=VERTEX_POINT('',#493212); #158715=VERTEX_POINT('',#493214); #158716=VERTEX_POINT('',#493223); #158717=VERTEX_POINT('',#493224); #158718=VERTEX_POINT('',#493226); #158719=VERTEX_POINT('',#493228); #158720=VERTEX_POINT('',#493232); #158721=VERTEX_POINT('',#493234); #158722=VERTEX_POINT('',#493238); #158723=VERTEX_POINT('',#493240); #158724=VERTEX_POINT('',#493249); #158725=VERTEX_POINT('',#493250); #158726=VERTEX_POINT('',#493252); #158727=VERTEX_POINT('',#493254); #158728=VERTEX_POINT('',#493258); #158729=VERTEX_POINT('',#493259); #158730=VERTEX_POINT('',#493261); #158731=VERTEX_POINT('',#493263); #158732=VERTEX_POINT('',#493267); #158733=VERTEX_POINT('',#493268); #158734=VERTEX_POINT('',#493270); #158735=VERTEX_POINT('',#493272); #158736=VERTEX_POINT('',#493276); #158737=VERTEX_POINT('',#493278); #158738=VERTEX_POINT('',#493282); #158739=VERTEX_POINT('',#493283); #158740=VERTEX_POINT('',#493285); #158741=VERTEX_POINT('',#493287); #158742=VERTEX_POINT('',#493291); #158743=VERTEX_POINT('',#493293); #158744=VERTEX_POINT('',#493297); #158745=VERTEX_POINT('',#493299); #158746=VERTEX_POINT('',#493306); #158747=VERTEX_POINT('',#493308); #158748=VERTEX_POINT('',#493312); #158749=VERTEX_POINT('',#493314); #158750=VERTEX_POINT('',#493321); #158751=VERTEX_POINT('',#493323); #158752=VERTEX_POINT('',#493335); #158753=VERTEX_POINT('',#493336); #158754=VERTEX_POINT('',#493338); #158755=VERTEX_POINT('',#493340); #158756=VERTEX_POINT('',#493344); #158757=VERTEX_POINT('',#493346); #158758=VERTEX_POINT('',#493350); #158759=VERTEX_POINT('',#493352); #158760=VERTEX_POINT('',#493361); #158761=VERTEX_POINT('',#493362); #158762=VERTEX_POINT('',#493364); #158763=VERTEX_POINT('',#493366); #158764=VERTEX_POINT('',#493370); #158765=VERTEX_POINT('',#493372); #158766=VERTEX_POINT('',#493376); #158767=VERTEX_POINT('',#493378); #158768=VERTEX_POINT('',#493387); #158769=VERTEX_POINT('',#493388); #158770=VERTEX_POINT('',#493390); #158771=VERTEX_POINT('',#493392); #158772=VERTEX_POINT('',#493396); #158773=VERTEX_POINT('',#493398); #158774=VERTEX_POINT('',#493402); #158775=VERTEX_POINT('',#493404); #158776=VERTEX_POINT('',#493413); #158777=VERTEX_POINT('',#493414); #158778=VERTEX_POINT('',#493416); #158779=VERTEX_POINT('',#493418); #158780=VERTEX_POINT('',#493422); #158781=VERTEX_POINT('',#493424); #158782=VERTEX_POINT('',#493428); #158783=VERTEX_POINT('',#493430); #158784=VERTEX_POINT('',#493439); #158785=VERTEX_POINT('',#493440); #158786=VERTEX_POINT('',#493442); #158787=VERTEX_POINT('',#493444); #158788=VERTEX_POINT('',#493448); #158789=VERTEX_POINT('',#493450); #158790=VERTEX_POINT('',#493454); #158791=VERTEX_POINT('',#493456); #158792=VERTEX_POINT('',#493465); #158793=VERTEX_POINT('',#493466); #158794=VERTEX_POINT('',#493468); #158795=VERTEX_POINT('',#493470); #158796=VERTEX_POINT('',#493474); #158797=VERTEX_POINT('',#493476); #158798=VERTEX_POINT('',#493480); #158799=VERTEX_POINT('',#493482); #158800=VERTEX_POINT('',#493491); #158801=VERTEX_POINT('',#493492); #158802=VERTEX_POINT('',#493494); #158803=VERTEX_POINT('',#493496); #158804=VERTEX_POINT('',#493500); #158805=VERTEX_POINT('',#493502); #158806=VERTEX_POINT('',#493506); #158807=VERTEX_POINT('',#493508); #158808=VERTEX_POINT('',#493517); #158809=VERTEX_POINT('',#493518); #158810=VERTEX_POINT('',#493520); #158811=VERTEX_POINT('',#493522); #158812=VERTEX_POINT('',#493526); #158813=VERTEX_POINT('',#493528); #158814=VERTEX_POINT('',#493532); #158815=VERTEX_POINT('',#493534); #158816=VERTEX_POINT('',#493543); #158817=VERTEX_POINT('',#493544); #158818=VERTEX_POINT('',#493546); #158819=VERTEX_POINT('',#493548); #158820=VERTEX_POINT('',#493552); #158821=VERTEX_POINT('',#493554); #158822=VERTEX_POINT('',#493558); #158823=VERTEX_POINT('',#493560); #158824=VERTEX_POINT('',#493569); #158825=VERTEX_POINT('',#493570); #158826=VERTEX_POINT('',#493572); #158827=VERTEX_POINT('',#493574); #158828=VERTEX_POINT('',#493578); #158829=VERTEX_POINT('',#493580); #158830=VERTEX_POINT('',#493584); #158831=VERTEX_POINT('',#493586); #158832=VERTEX_POINT('',#493595); #158833=VERTEX_POINT('',#493596); #158834=VERTEX_POINT('',#493598); #158835=VERTEX_POINT('',#493600); #158836=VERTEX_POINT('',#493604); #158837=VERTEX_POINT('',#493606); #158838=VERTEX_POINT('',#493610); #158839=VERTEX_POINT('',#493612); #158840=VERTEX_POINT('',#493621); #158841=VERTEX_POINT('',#493622); #158842=VERTEX_POINT('',#493624); #158843=VERTEX_POINT('',#493626); #158844=VERTEX_POINT('',#493630); #158845=VERTEX_POINT('',#493632); #158846=VERTEX_POINT('',#493636); #158847=VERTEX_POINT('',#493638); #158848=VERTEX_POINT('',#493647); #158849=VERTEX_POINT('',#493648); #158850=VERTEX_POINT('',#493650); #158851=VERTEX_POINT('',#493652); #158852=VERTEX_POINT('',#493656); #158853=VERTEX_POINT('',#493658); #158854=VERTEX_POINT('',#493662); #158855=VERTEX_POINT('',#493664); #158856=VERTEX_POINT('',#493673); #158857=VERTEX_POINT('',#493674); #158858=VERTEX_POINT('',#493676); #158859=VERTEX_POINT('',#493678); #158860=VERTEX_POINT('',#493682); #158861=VERTEX_POINT('',#493684); #158862=VERTEX_POINT('',#493688); #158863=VERTEX_POINT('',#493690); #158864=VERTEX_POINT('',#493699); #158865=VERTEX_POINT('',#493700); #158866=VERTEX_POINT('',#493702); #158867=VERTEX_POINT('',#493704); #158868=VERTEX_POINT('',#493708); #158869=VERTEX_POINT('',#493710); #158870=VERTEX_POINT('',#493714); #158871=VERTEX_POINT('',#493716); #158872=VERTEX_POINT('',#493725); #158873=VERTEX_POINT('',#493726); #158874=VERTEX_POINT('',#493728); #158875=VERTEX_POINT('',#493730); #158876=VERTEX_POINT('',#493734); #158877=VERTEX_POINT('',#493736); #158878=VERTEX_POINT('',#493740); #158879=VERTEX_POINT('',#493742); #158880=VERTEX_POINT('',#493751); #158881=VERTEX_POINT('',#493752); #158882=VERTEX_POINT('',#493754); #158883=VERTEX_POINT('',#493756); #158884=VERTEX_POINT('',#493760); #158885=VERTEX_POINT('',#493762); #158886=VERTEX_POINT('',#493766); #158887=VERTEX_POINT('',#493768); #158888=VERTEX_POINT('',#493777); #158889=VERTEX_POINT('',#493778); #158890=VERTEX_POINT('',#493780); #158891=VERTEX_POINT('',#493782); #158892=VERTEX_POINT('',#493786); #158893=VERTEX_POINT('',#493788); #158894=VERTEX_POINT('',#493792); #158895=VERTEX_POINT('',#493794); #158896=VERTEX_POINT('',#493803); #158897=VERTEX_POINT('',#493804); #158898=VERTEX_POINT('',#493806); #158899=VERTEX_POINT('',#493808); #158900=VERTEX_POINT('',#493812); #158901=VERTEX_POINT('',#493814); #158902=VERTEX_POINT('',#493818); #158903=VERTEX_POINT('',#493820); #158904=VERTEX_POINT('',#493829); #158905=VERTEX_POINT('',#493830); #158906=VERTEX_POINT('',#493832); #158907=VERTEX_POINT('',#493834); #158908=VERTEX_POINT('',#493838); #158909=VERTEX_POINT('',#493840); #158910=VERTEX_POINT('',#493844); #158911=VERTEX_POINT('',#493846); #158912=VERTEX_POINT('',#493855); #158913=VERTEX_POINT('',#493856); #158914=VERTEX_POINT('',#493858); #158915=VERTEX_POINT('',#493860); #158916=VERTEX_POINT('',#493864); #158917=VERTEX_POINT('',#493866); #158918=VERTEX_POINT('',#493870); #158919=VERTEX_POINT('',#493872); #158920=VERTEX_POINT('',#493881); #158921=VERTEX_POINT('',#493882); #158922=VERTEX_POINT('',#493884); #158923=VERTEX_POINT('',#493886); #158924=VERTEX_POINT('',#493890); #158925=VERTEX_POINT('',#493892); #158926=VERTEX_POINT('',#493896); #158927=VERTEX_POINT('',#493898); #158928=VERTEX_POINT('',#493907); #158929=VERTEX_POINT('',#493908); #158930=VERTEX_POINT('',#493910); #158931=VERTEX_POINT('',#493912); #158932=VERTEX_POINT('',#493916); #158933=VERTEX_POINT('',#493918); #158934=VERTEX_POINT('',#493922); #158935=VERTEX_POINT('',#493924); #158936=VERTEX_POINT('',#493933); #158937=VERTEX_POINT('',#493934); #158938=VERTEX_POINT('',#493936); #158939=VERTEX_POINT('',#493938); #158940=VERTEX_POINT('',#493942); #158941=VERTEX_POINT('',#493944); #158942=VERTEX_POINT('',#493948); #158943=VERTEX_POINT('',#493950); #158944=VERTEX_POINT('',#493959); #158945=VERTEX_POINT('',#493960); #158946=VERTEX_POINT('',#493962); #158947=VERTEX_POINT('',#493964); #158948=VERTEX_POINT('',#493968); #158949=VERTEX_POINT('',#493970); #158950=VERTEX_POINT('',#493974); #158951=VERTEX_POINT('',#493976); #158952=VERTEX_POINT('',#493985); #158953=VERTEX_POINT('',#493987); #158954=VERTEX_POINT('',#493991); #158955=VERTEX_POINT('',#493993); #158956=VERTEX_POINT('',#493997); #158957=VERTEX_POINT('',#493999); #158958=VERTEX_POINT('',#494003); #158959=VERTEX_POINT('',#494005); #158960=VERTEX_POINT('',#494009); #158961=VERTEX_POINT('',#494011); #158962=VERTEX_POINT('',#494015); #158963=VERTEX_POINT('',#494017); #158964=VERTEX_POINT('',#494021); #158965=VERTEX_POINT('',#494022); #158966=VERTEX_POINT('',#494024); #158967=VERTEX_POINT('',#494026); #158968=VERTEX_POINT('',#494030); #158969=VERTEX_POINT('',#494032); #158970=VERTEX_POINT('',#494036); #158971=VERTEX_POINT('',#494038); #158972=VERTEX_POINT('',#494047); #158973=VERTEX_POINT('',#494048); #158974=VERTEX_POINT('',#494050); #158975=VERTEX_POINT('',#494052); #158976=VERTEX_POINT('',#494056); #158977=VERTEX_POINT('',#494058); #158978=VERTEX_POINT('',#494062); #158979=VERTEX_POINT('',#494064); #158980=VERTEX_POINT('',#494073); #158981=VERTEX_POINT('',#494074); #158982=VERTEX_POINT('',#494076); #158983=VERTEX_POINT('',#494078); #158984=VERTEX_POINT('',#494082); #158985=VERTEX_POINT('',#494084); #158986=VERTEX_POINT('',#494088); #158987=VERTEX_POINT('',#494090); #158988=VERTEX_POINT('',#494099); #158989=VERTEX_POINT('',#494100); #158990=VERTEX_POINT('',#494102); #158991=VERTEX_POINT('',#494104); #158992=VERTEX_POINT('',#494108); #158993=VERTEX_POINT('',#494110); #158994=VERTEX_POINT('',#494114); #158995=VERTEX_POINT('',#494116); #158996=VERTEX_POINT('',#494125); #158997=VERTEX_POINT('',#494126); #158998=VERTEX_POINT('',#494128); #158999=VERTEX_POINT('',#494130); #159000=VERTEX_POINT('',#494134); #159001=VERTEX_POINT('',#494136); #159002=VERTEX_POINT('',#494140); #159003=VERTEX_POINT('',#494142); #159004=VERTEX_POINT('',#494151); #159005=VERTEX_POINT('',#494152); #159006=VERTEX_POINT('',#494154); #159007=VERTEX_POINT('',#494156); #159008=VERTEX_POINT('',#494160); #159009=VERTEX_POINT('',#494162); #159010=VERTEX_POINT('',#494166); #159011=VERTEX_POINT('',#494168); #159012=VERTEX_POINT('',#494177); #159013=VERTEX_POINT('',#494178); #159014=VERTEX_POINT('',#494180); #159015=VERTEX_POINT('',#494182); #159016=VERTEX_POINT('',#494186); #159017=VERTEX_POINT('',#494188); #159018=VERTEX_POINT('',#494192); #159019=VERTEX_POINT('',#494194); #159020=VERTEX_POINT('',#494203); #159021=VERTEX_POINT('',#494204); #159022=VERTEX_POINT('',#494206); #159023=VERTEX_POINT('',#494208); #159024=VERTEX_POINT('',#494212); #159025=VERTEX_POINT('',#494214); #159026=VERTEX_POINT('',#494218); #159027=VERTEX_POINT('',#494220); #159028=VERTEX_POINT('',#494229); #159029=VERTEX_POINT('',#494230); #159030=VERTEX_POINT('',#494232); #159031=VERTEX_POINT('',#494234); #159032=VERTEX_POINT('',#494238); #159033=VERTEX_POINT('',#494240); #159034=VERTEX_POINT('',#494244); #159035=VERTEX_POINT('',#494246); #159036=VERTEX_POINT('',#494256); #159037=VERTEX_POINT('',#494258); #159038=VERTEX_POINT('',#494262); #159039=VERTEX_POINT('',#494263); #159040=VERTEX_POINT('',#494265); #159041=VERTEX_POINT('',#494267); #159042=VERTEX_POINT('',#494271); #159043=VERTEX_POINT('',#494273); #159044=VERTEX_POINT('',#494282); #159045=VERTEX_POINT('',#494283); #159046=VERTEX_POINT('',#494285); #159047=VERTEX_POINT('',#494287); #159048=VERTEX_POINT('',#494291); #159049=VERTEX_POINT('',#494293); #159050=VERTEX_POINT('',#494297); #159051=VERTEX_POINT('',#494299); #159052=VERTEX_POINT('',#494308); #159053=VERTEX_POINT('',#494309); #159054=VERTEX_POINT('',#494311); #159055=VERTEX_POINT('',#494313); #159056=VERTEX_POINT('',#494317); #159057=VERTEX_POINT('',#494319); #159058=VERTEX_POINT('',#494323); #159059=VERTEX_POINT('',#494325); #159060=VERTEX_POINT('',#494334); #159061=VERTEX_POINT('',#494336); #159062=VERTEX_POINT('',#494340); #159063=VERTEX_POINT('',#494342); #159064=VERTEX_POINT('',#494346); #159065=VERTEX_POINT('',#494347); #159066=VERTEX_POINT('',#494349); #159067=VERTEX_POINT('',#494351); #159068=VERTEX_POINT('',#494355); #159069=VERTEX_POINT('',#494357); #159070=VERTEX_POINT('',#494361); #159071=VERTEX_POINT('',#494363); #159072=VERTEX_POINT('',#494372); #159073=VERTEX_POINT('',#494373); #159074=VERTEX_POINT('',#494375); #159075=VERTEX_POINT('',#494377); #159076=VERTEX_POINT('',#494381); #159077=VERTEX_POINT('',#494383); #159078=VERTEX_POINT('',#494387); #159079=VERTEX_POINT('',#494389); #159080=VERTEX_POINT('',#494398); #159081=VERTEX_POINT('',#494400); #159082=VERTEX_POINT('',#494404); #159083=VERTEX_POINT('',#494406); #159084=VERTEX_POINT('',#494410); #159085=VERTEX_POINT('',#494411); #159086=VERTEX_POINT('',#494413); #159087=VERTEX_POINT('',#494415); #159088=VERTEX_POINT('',#494419); #159089=VERTEX_POINT('',#494421); #159090=VERTEX_POINT('',#494425); #159091=VERTEX_POINT('',#494427); #159092=VERTEX_POINT('',#494436); #159093=VERTEX_POINT('',#494437); #159094=VERTEX_POINT('',#494439); #159095=VERTEX_POINT('',#494441); #159096=VERTEX_POINT('',#494445); #159097=VERTEX_POINT('',#494447); #159098=VERTEX_POINT('',#494451); #159099=VERTEX_POINT('',#494453); #159100=VERTEX_POINT('',#494462); #159101=VERTEX_POINT('',#494464); #159102=VERTEX_POINT('',#494468); #159103=VERTEX_POINT('',#494470); #159104=VERTEX_POINT('',#494474); #159105=VERTEX_POINT('',#494475); #159106=VERTEX_POINT('',#494477); #159107=VERTEX_POINT('',#494479); #159108=VERTEX_POINT('',#494483); #159109=VERTEX_POINT('',#494485); #159110=VERTEX_POINT('',#494489); #159111=VERTEX_POINT('',#494491); #159112=VERTEX_POINT('',#494500); #159113=VERTEX_POINT('',#494501); #159114=VERTEX_POINT('',#494503); #159115=VERTEX_POINT('',#494505); #159116=VERTEX_POINT('',#494509); #159117=VERTEX_POINT('',#494511); #159118=VERTEX_POINT('',#494515); #159119=VERTEX_POINT('',#494517); #159120=VERTEX_POINT('',#494526); #159121=VERTEX_POINT('',#494528); #159122=VERTEX_POINT('',#494532); #159123=VERTEX_POINT('',#494534); #159124=VERTEX_POINT('',#494538); #159125=VERTEX_POINT('',#494539); #159126=VERTEX_POINT('',#494541); #159127=VERTEX_POINT('',#494543); #159128=VERTEX_POINT('',#494547); #159129=VERTEX_POINT('',#494549); #159130=VERTEX_POINT('',#494553); #159131=VERTEX_POINT('',#494555); #159132=VERTEX_POINT('',#494564); #159133=VERTEX_POINT('',#494565); #159134=VERTEX_POINT('',#494567); #159135=VERTEX_POINT('',#494569); #159136=VERTEX_POINT('',#494573); #159137=VERTEX_POINT('',#494575); #159138=VERTEX_POINT('',#494579); #159139=VERTEX_POINT('',#494581); #159140=VERTEX_POINT('',#494590); #159141=VERTEX_POINT('',#494591); #159142=VERTEX_POINT('',#494593); #159143=VERTEX_POINT('',#494595); #159144=VERTEX_POINT('',#494599); #159145=VERTEX_POINT('',#494601); #159146=VERTEX_POINT('',#494605); #159147=VERTEX_POINT('',#494607); #159148=VERTEX_POINT('',#494611); #159149=VERTEX_POINT('',#494613); #159150=VERTEX_POINT('',#494617); #159151=VERTEX_POINT('',#494619); #159152=VERTEX_POINT('',#494623); #159153=VERTEX_POINT('',#494625); #159154=VERTEX_POINT('',#494629); #159155=VERTEX_POINT('',#494631); #159156=VERTEX_POINT('',#494635); #159157=VERTEX_POINT('',#494637); #159158=VERTEX_POINT('',#494641); #159159=VERTEX_POINT('',#494643); #159160=VERTEX_POINT('',#494647); #159161=VERTEX_POINT('',#494649); #159162=VERTEX_POINT('',#494653); #159163=VERTEX_POINT('',#494655); #159164=VERTEX_POINT('',#494659); #159165=VERTEX_POINT('',#494661); #159166=VERTEX_POINT('',#494665); #159167=VERTEX_POINT('',#494667); #159168=VERTEX_POINT('',#494671); #159169=VERTEX_POINT('',#494673); #159170=VERTEX_POINT('',#494677); #159171=VERTEX_POINT('',#494679); #159172=VERTEX_POINT('',#494683); #159173=VERTEX_POINT('',#494685); #159174=VERTEX_POINT('',#494689); #159175=VERTEX_POINT('',#494691); #159176=VERTEX_POINT('',#494695); #159177=VERTEX_POINT('',#494697); #159178=VERTEX_POINT('',#494701); #159179=VERTEX_POINT('',#494703); #159180=VERTEX_POINT('',#494707); #159181=VERTEX_POINT('',#494709); #159182=VERTEX_POINT('',#494713); #159183=VERTEX_POINT('',#494715); #159184=VERTEX_POINT('',#494719); #159185=VERTEX_POINT('',#494721); #159186=VERTEX_POINT('',#494725); #159187=VERTEX_POINT('',#494727); #159188=VERTEX_POINT('',#494731); #159189=VERTEX_POINT('',#494733); #159190=VERTEX_POINT('',#494737); #159191=VERTEX_POINT('',#494739); #159192=VERTEX_POINT('',#494743); #159193=VERTEX_POINT('',#494745); #159194=VERTEX_POINT('',#494749); #159195=VERTEX_POINT('',#494751); #159196=VERTEX_POINT('',#494755); #159197=VERTEX_POINT('',#494757); #159198=VERTEX_POINT('',#494761); #159199=VERTEX_POINT('',#494763); #159200=VERTEX_POINT('',#494767); #159201=VERTEX_POINT('',#494769); #159202=VERTEX_POINT('',#494773); #159203=VERTEX_POINT('',#494775); #159204=VERTEX_POINT('',#494779); #159205=VERTEX_POINT('',#494781); #159206=VERTEX_POINT('',#494785); #159207=VERTEX_POINT('',#494787); #159208=VERTEX_POINT('',#494791); #159209=VERTEX_POINT('',#494793); #159210=VERTEX_POINT('',#494797); #159211=VERTEX_POINT('',#494799); #159212=VERTEX_POINT('',#494803); #159213=VERTEX_POINT('',#494805); #159214=VERTEX_POINT('',#494809); #159215=VERTEX_POINT('',#494811); #159216=VERTEX_POINT('',#494815); #159217=VERTEX_POINT('',#494817); #159218=VERTEX_POINT('',#494821); #159219=VERTEX_POINT('',#494823); #159220=VERTEX_POINT('',#494827); #159221=VERTEX_POINT('',#494829); #159222=VERTEX_POINT('',#494833); #159223=VERTEX_POINT('',#494835); #159224=VERTEX_POINT('',#494839); #159225=VERTEX_POINT('',#494841); #159226=VERTEX_POINT('',#494845); #159227=VERTEX_POINT('',#494847); #159228=VERTEX_POINT('',#494851); #159229=VERTEX_POINT('',#494853); #159230=VERTEX_POINT('',#494857); #159231=VERTEX_POINT('',#494859); #159232=VERTEX_POINT('',#494863); #159233=VERTEX_POINT('',#494865); #159234=VERTEX_POINT('',#494869); #159235=VERTEX_POINT('',#494871); #159236=VERTEX_POINT('',#494875); #159237=VERTEX_POINT('',#494877); #159238=VERTEX_POINT('',#494881); #159239=VERTEX_POINT('',#494883); #159240=VERTEX_POINT('',#494887); #159241=VERTEX_POINT('',#494889); #159242=VERTEX_POINT('',#494893); #159243=VERTEX_POINT('',#494895); #159244=VERTEX_POINT('',#494899); #159245=VERTEX_POINT('',#494901); #159246=VERTEX_POINT('',#494905); #159247=VERTEX_POINT('',#494907); #159248=VERTEX_POINT('',#494911); #159249=VERTEX_POINT('',#494913); #159250=VERTEX_POINT('',#494917); #159251=VERTEX_POINT('',#494919); #159252=VERTEX_POINT('',#494923); #159253=VERTEX_POINT('',#494925); #159254=VERTEX_POINT('',#494929); #159255=VERTEX_POINT('',#494931); #159256=VERTEX_POINT('',#494940); #159257=VERTEX_POINT('',#494942); #159258=VERTEX_POINT('',#494946); #159259=VERTEX_POINT('',#494948); #159260=VERTEX_POINT('',#494952); #159261=VERTEX_POINT('',#494953); #159262=VERTEX_POINT('',#494955); #159263=VERTEX_POINT('',#494957); #159264=VERTEX_POINT('',#494961); #159265=VERTEX_POINT('',#494963); #159266=VERTEX_POINT('',#494967); #159267=VERTEX_POINT('',#494969); #159268=VERTEX_POINT('',#494973); #159269=VERTEX_POINT('',#494975); #159270=VERTEX_POINT('',#494979); #159271=VERTEX_POINT('',#494981); #159272=VERTEX_POINT('',#494985); #159273=VERTEX_POINT('',#494987); #159274=VERTEX_POINT('',#494991); #159275=VERTEX_POINT('',#494993); #159276=VERTEX_POINT('',#495002); #159277=VERTEX_POINT('',#495004); #159278=VERTEX_POINT('',#495008); #159279=VERTEX_POINT('',#495009); #159280=VERTEX_POINT('',#495011); #159281=VERTEX_POINT('',#495013); #159282=VERTEX_POINT('',#495017); #159283=VERTEX_POINT('',#495019); #159284=VERTEX_POINT('',#495023); #159285=VERTEX_POINT('',#495025); #159286=VERTEX_POINT('',#495029); #159287=VERTEX_POINT('',#495031); #159288=VERTEX_POINT('',#495035); #159289=VERTEX_POINT('',#495037); #159290=VERTEX_POINT('',#495041); #159291=VERTEX_POINT('',#495043); #159292=VERTEX_POINT('',#495047); #159293=VERTEX_POINT('',#495049); #159294=VERTEX_POINT('',#495053); #159295=VERTEX_POINT('',#495055); #159296=VERTEX_POINT('',#495059); #159297=VERTEX_POINT('',#495061); #159298=VERTEX_POINT('',#495070); #159299=VERTEX_POINT('',#495072); #159300=VERTEX_POINT('',#495076); #159301=VERTEX_POINT('',#495077); #159302=VERTEX_POINT('',#495079); #159303=VERTEX_POINT('',#495081); #159304=VERTEX_POINT('',#495085); #159305=VERTEX_POINT('',#495087); #159306=VERTEX_POINT('',#495091); #159307=VERTEX_POINT('',#495093); #159308=VERTEX_POINT('',#495097); #159309=VERTEX_POINT('',#495099); #159310=VERTEX_POINT('',#495103); #159311=VERTEX_POINT('',#495105); #159312=VERTEX_POINT('',#495109); #159313=VERTEX_POINT('',#495111); #159314=VERTEX_POINT('',#495115); #159315=VERTEX_POINT('',#495117); #159316=VERTEX_POINT('',#495121); #159317=VERTEX_POINT('',#495123); #159318=VERTEX_POINT('',#495127); #159319=VERTEX_POINT('',#495129); #159320=VERTEX_POINT('',#495133); #159321=VERTEX_POINT('',#495135); #159322=VERTEX_POINT('',#495139); #159323=VERTEX_POINT('',#495141); #159324=VERTEX_POINT('',#495145); #159325=VERTEX_POINT('',#495147); #159326=VERTEX_POINT('',#495156); #159327=VERTEX_POINT('',#495158); #159328=VERTEX_POINT('',#495162); #159329=VERTEX_POINT('',#495164); #159330=VERTEX_POINT('',#495168); #159331=VERTEX_POINT('',#495169); #159332=VERTEX_POINT('',#495171); #159333=VERTEX_POINT('',#495173); #159334=VERTEX_POINT('',#495177); #159335=VERTEX_POINT('',#495179); #159336=VERTEX_POINT('',#495183); #159337=VERTEX_POINT('',#495185); #159338=VERTEX_POINT('',#495189); #159339=VERTEX_POINT('',#495191); #159340=VERTEX_POINT('',#495195); #159341=VERTEX_POINT('',#495197); #159342=VERTEX_POINT('',#495201); #159343=VERTEX_POINT('',#495203); #159344=VERTEX_POINT('',#495207); #159345=VERTEX_POINT('',#495209); #159346=VERTEX_POINT('',#495213); #159347=VERTEX_POINT('',#495215); #159348=VERTEX_POINT('',#495219); #159349=VERTEX_POINT('',#495221); #159350=VERTEX_POINT('',#495225); #159351=VERTEX_POINT('',#495227); #159352=VERTEX_POINT('',#495231); #159353=VERTEX_POINT('',#495233); #159354=VERTEX_POINT('',#495237); #159355=VERTEX_POINT('',#495239); #159356=VERTEX_POINT('',#495243); #159357=VERTEX_POINT('',#495245); #159358=VERTEX_POINT('',#495249); #159359=VERTEX_POINT('',#495251); #159360=VERTEX_POINT('',#495255); #159361=VERTEX_POINT('',#495257); #159362=VERTEX_POINT('',#495261); #159363=VERTEX_POINT('',#495263); #159364=VERTEX_POINT('',#495267); #159365=VERTEX_POINT('',#495269); #159366=VERTEX_POINT('',#495273); #159367=VERTEX_POINT('',#495275); #159368=VERTEX_POINT('',#495279); #159369=VERTEX_POINT('',#495281); #159370=VERTEX_POINT('',#495285); #159371=VERTEX_POINT('',#495287); #159372=VERTEX_POINT('',#495291); #159373=VERTEX_POINT('',#495293); #159374=VERTEX_POINT('',#495297); #159375=VERTEX_POINT('',#495299); #159376=VERTEX_POINT('',#495303); #159377=VERTEX_POINT('',#495305); #159378=VERTEX_POINT('',#495309); #159379=VERTEX_POINT('',#495311); #159380=VERTEX_POINT('',#495315); #159381=VERTEX_POINT('',#495317); #159382=VERTEX_POINT('',#495321); #159383=VERTEX_POINT('',#495323); #159384=VERTEX_POINT('',#495327); #159385=VERTEX_POINT('',#495329); #159386=VERTEX_POINT('',#495333); #159387=VERTEX_POINT('',#495335); #159388=VERTEX_POINT('',#495339); #159389=VERTEX_POINT('',#495341); #159390=VERTEX_POINT('',#495345); #159391=VERTEX_POINT('',#495347); #159392=VERTEX_POINT('',#495351); #159393=VERTEX_POINT('',#495353); #159394=VERTEX_POINT('',#495357); #159395=VERTEX_POINT('',#495359); #159396=VERTEX_POINT('',#495363); #159397=VERTEX_POINT('',#495365); #159398=VERTEX_POINT('',#495369); #159399=VERTEX_POINT('',#495371); #159400=VERTEX_POINT('',#495375); #159401=VERTEX_POINT('',#495377); #159402=VERTEX_POINT('',#495381); #159403=VERTEX_POINT('',#495383); #159404=VERTEX_POINT('',#495387); #159405=VERTEX_POINT('',#495389); #159406=VERTEX_POINT('',#495393); #159407=VERTEX_POINT('',#495395); #159408=VERTEX_POINT('',#495399); #159409=VERTEX_POINT('',#495401); #159410=VERTEX_POINT('',#495405); #159411=VERTEX_POINT('',#495407); #159412=VERTEX_POINT('',#495411); #159413=VERTEX_POINT('',#495413); #159414=VERTEX_POINT('',#495417); #159415=VERTEX_POINT('',#495419); #159416=VERTEX_POINT('',#495423); #159417=VERTEX_POINT('',#495425); #159418=VERTEX_POINT('',#495434); #159419=VERTEX_POINT('',#495436); #159420=VERTEX_POINT('',#495440); #159421=VERTEX_POINT('',#495442); #159422=VERTEX_POINT('',#495446); #159423=VERTEX_POINT('',#495447); #159424=VERTEX_POINT('',#495449); #159425=VERTEX_POINT('',#495451); #159426=VERTEX_POINT('',#495455); #159427=VERTEX_POINT('',#495457); #159428=VERTEX_POINT('',#495461); #159429=VERTEX_POINT('',#495463); #159430=VERTEX_POINT('',#495467); #159431=VERTEX_POINT('',#495469); #159432=VERTEX_POINT('',#495473); #159433=VERTEX_POINT('',#495475); #159434=VERTEX_POINT('',#495479); #159435=VERTEX_POINT('',#495481); #159436=VERTEX_POINT('',#495485); #159437=VERTEX_POINT('',#495487); #159438=VERTEX_POINT('',#495491); #159439=VERTEX_POINT('',#495493); #159440=VERTEX_POINT('',#495497); #159441=VERTEX_POINT('',#495499); #159442=VERTEX_POINT('',#495503); #159443=VERTEX_POINT('',#495505); #159444=VERTEX_POINT('',#495514); #159445=VERTEX_POINT('',#495516); #159446=VERTEX_POINT('',#495520); #159447=VERTEX_POINT('',#495521); #159448=VERTEX_POINT('',#495523); #159449=VERTEX_POINT('',#495525); #159450=VERTEX_POINT('',#495529); #159451=VERTEX_POINT('',#495531); #159452=VERTEX_POINT('',#495535); #159453=VERTEX_POINT('',#495537); #159454=VERTEX_POINT('',#495541); #159455=VERTEX_POINT('',#495543); #159456=VERTEX_POINT('',#495547); #159457=VERTEX_POINT('',#495549); #159458=VERTEX_POINT('',#495553); #159459=VERTEX_POINT('',#495555); #159460=VERTEX_POINT('',#495559); #159461=VERTEX_POINT('',#495561); #159462=VERTEX_POINT('',#495565); #159463=VERTEX_POINT('',#495567); #159464=VERTEX_POINT('',#495571); #159465=VERTEX_POINT('',#495573); #159466=VERTEX_POINT('',#495577); #159467=VERTEX_POINT('',#495579); #159468=VERTEX_POINT('',#495583); #159469=VERTEX_POINT('',#495585); #159470=VERTEX_POINT('',#495589); #159471=VERTEX_POINT('',#495591); #159472=VERTEX_POINT('',#495595); #159473=VERTEX_POINT('',#495597); #159474=VERTEX_POINT('',#495601); #159475=VERTEX_POINT('',#495603); #159476=VERTEX_POINT('',#495607); #159477=VERTEX_POINT('',#495609); #159478=VERTEX_POINT('',#495613); #159479=VERTEX_POINT('',#495615); #159480=VERTEX_POINT('',#495619); #159481=VERTEX_POINT('',#495621); #159482=VERTEX_POINT('',#495625); #159483=VERTEX_POINT('',#495627); #159484=VERTEX_POINT('',#495631); #159485=VERTEX_POINT('',#495633); #159486=VERTEX_POINT('',#495637); #159487=VERTEX_POINT('',#495639); #159488=VERTEX_POINT('',#495643); #159489=VERTEX_POINT('',#495645); #159490=VERTEX_POINT('',#495649); #159491=VERTEX_POINT('',#495651); #159492=VERTEX_POINT('',#495655); #159493=VERTEX_POINT('',#495657); #159494=VERTEX_POINT('',#495666); #159495=VERTEX_POINT('',#495668); #159496=VERTEX_POINT('',#495672); #159497=VERTEX_POINT('',#495673); #159498=VERTEX_POINT('',#495675); #159499=VERTEX_POINT('',#495677); #159500=VERTEX_POINT('',#495681); #159501=VERTEX_POINT('',#495683); #159502=VERTEX_POINT('',#495687); #159503=VERTEX_POINT('',#495689); #159504=VERTEX_POINT('',#495693); #159505=VERTEX_POINT('',#495695); #159506=VERTEX_POINT('',#495699); #159507=VERTEX_POINT('',#495701); #159508=VERTEX_POINT('',#495705); #159509=VERTEX_POINT('',#495707); #159510=VERTEX_POINT('',#495711); #159511=VERTEX_POINT('',#495713); #159512=VERTEX_POINT('',#495717); #159513=VERTEX_POINT('',#495719); #159514=VERTEX_POINT('',#495723); #159515=VERTEX_POINT('',#495725); #159516=VERTEX_POINT('',#495729); #159517=VERTEX_POINT('',#495731); #159518=VERTEX_POINT('',#495735); #159519=VERTEX_POINT('',#495737); #159520=VERTEX_POINT('',#495741); #159521=VERTEX_POINT('',#495743); #159522=VERTEX_POINT('',#495747); #159523=VERTEX_POINT('',#495749); #159524=VERTEX_POINT('',#495753); #159525=VERTEX_POINT('',#495755); #159526=VERTEX_POINT('',#495759); #159527=VERTEX_POINT('',#495761); #159528=VERTEX_POINT('',#495765); #159529=VERTEX_POINT('',#495767); #159530=VERTEX_POINT('',#495771); #159531=VERTEX_POINT('',#495773); #159532=VERTEX_POINT('',#495777); #159533=VERTEX_POINT('',#495779); #159534=VERTEX_POINT('',#495783); #159535=VERTEX_POINT('',#495785); #159536=VERTEX_POINT('',#495789); #159537=VERTEX_POINT('',#495791); #159538=VERTEX_POINT('',#495795); #159539=VERTEX_POINT('',#495797); #159540=VERTEX_POINT('',#495801); #159541=VERTEX_POINT('',#495803); #159542=VERTEX_POINT('',#495807); #159543=VERTEX_POINT('',#495809); #159544=VERTEX_POINT('',#495813); #159545=VERTEX_POINT('',#495815); #159546=VERTEX_POINT('',#495819); #159547=VERTEX_POINT('',#495821); #159548=VERTEX_POINT('',#495830); #159549=VERTEX_POINT('',#495832); #159550=VERTEX_POINT('',#495836); #159551=VERTEX_POINT('',#495838); #159552=VERTEX_POINT('',#495842); #159553=VERTEX_POINT('',#495843); #159554=VERTEX_POINT('',#495845); #159555=VERTEX_POINT('',#495847); #159556=VERTEX_POINT('',#495851); #159557=VERTEX_POINT('',#495853); #159558=VERTEX_POINT('',#495857); #159559=VERTEX_POINT('',#495859); #159560=VERTEX_POINT('',#495863); #159561=VERTEX_POINT('',#495865); #159562=VERTEX_POINT('',#495869); #159563=VERTEX_POINT('',#495871); #159564=VERTEX_POINT('',#495875); #159565=VERTEX_POINT('',#495877); #159566=VERTEX_POINT('',#495881); #159567=VERTEX_POINT('',#495883); #159568=VERTEX_POINT('',#495887); #159569=VERTEX_POINT('',#495889); #159570=VERTEX_POINT('',#495893); #159571=VERTEX_POINT('',#495895); #159572=VERTEX_POINT('',#495899); #159573=VERTEX_POINT('',#495901); #159574=VERTEX_POINT('',#495905); #159575=VERTEX_POINT('',#495907); #159576=VERTEX_POINT('',#495911); #159577=VERTEX_POINT('',#495913); #159578=VERTEX_POINT('',#495917); #159579=VERTEX_POINT('',#495919); #159580=VERTEX_POINT('',#495923); #159581=VERTEX_POINT('',#495925); #159582=VERTEX_POINT('',#495929); #159583=VERTEX_POINT('',#495931); #159584=VERTEX_POINT('',#495935); #159585=VERTEX_POINT('',#495937); #159586=VERTEX_POINT('',#495941); #159587=VERTEX_POINT('',#495943); #159588=VERTEX_POINT('',#495947); #159589=VERTEX_POINT('',#495949); #159590=VERTEX_POINT('',#495953); #159591=VERTEX_POINT('',#495955); #159592=VERTEX_POINT('',#495959); #159593=VERTEX_POINT('',#495961); #159594=VERTEX_POINT('',#495965); #159595=VERTEX_POINT('',#495967); #159596=VERTEX_POINT('',#495971); #159597=VERTEX_POINT('',#495973); #159598=VERTEX_POINT('',#495977); #159599=VERTEX_POINT('',#495979); #159600=VERTEX_POINT('',#495983); #159601=VERTEX_POINT('',#495985); #159602=VERTEX_POINT('',#495989); #159603=VERTEX_POINT('',#495991); #159604=VERTEX_POINT('',#495995); #159605=VERTEX_POINT('',#495997); #159606=VERTEX_POINT('',#496001); #159607=VERTEX_POINT('',#496003); #159608=VERTEX_POINT('',#496007); #159609=VERTEX_POINT('',#496009); #159610=VERTEX_POINT('',#496013); #159611=VERTEX_POINT('',#496015); #159612=VERTEX_POINT('',#496019); #159613=VERTEX_POINT('',#496021); #159614=VERTEX_POINT('',#496025); #159615=VERTEX_POINT('',#496027); #159616=VERTEX_POINT('',#496031); #159617=VERTEX_POINT('',#496033); #159618=VERTEX_POINT('',#496037); #159619=VERTEX_POINT('',#496039); #159620=VERTEX_POINT('',#496043); #159621=VERTEX_POINT('',#496045); #159622=VERTEX_POINT('',#496049); #159623=VERTEX_POINT('',#496051); #159624=VERTEX_POINT('',#496055); #159625=VERTEX_POINT('',#496057); #159626=VERTEX_POINT('',#496061); #159627=VERTEX_POINT('',#496063); #159628=VERTEX_POINT('',#496067); #159629=VERTEX_POINT('',#496069); #159630=VERTEX_POINT('',#496073); #159631=VERTEX_POINT('',#496075); #159632=VERTEX_POINT('',#496079); #159633=VERTEX_POINT('',#496081); #159634=VERTEX_POINT('',#496085); #159635=VERTEX_POINT('',#496087); #159636=VERTEX_POINT('',#496091); #159637=VERTEX_POINT('',#496093); #159638=VERTEX_POINT('',#496097); #159639=VERTEX_POINT('',#496099); #159640=VERTEX_POINT('',#496103); #159641=VERTEX_POINT('',#496105); #159642=VERTEX_POINT('',#496109); #159643=VERTEX_POINT('',#496111); #159644=VERTEX_POINT('',#496115); #159645=VERTEX_POINT('',#496117); #159646=VERTEX_POINT('',#496121); #159647=VERTEX_POINT('',#496123); #159648=VERTEX_POINT('',#496127); #159649=VERTEX_POINT('',#496129); #159650=VERTEX_POINT('',#496133); #159651=VERTEX_POINT('',#496135); #159652=VERTEX_POINT('',#496139); #159653=VERTEX_POINT('',#496141); #159654=VERTEX_POINT('',#496145); #159655=VERTEX_POINT('',#496147); #159656=VERTEX_POINT('',#496151); #159657=VERTEX_POINT('',#496153); #159658=VERTEX_POINT('',#496157); #159659=VERTEX_POINT('',#496159); #159660=VERTEX_POINT('',#496163); #159661=VERTEX_POINT('',#496165); #159662=VERTEX_POINT('',#496169); #159663=VERTEX_POINT('',#496171); #159664=VERTEX_POINT('',#496175); #159665=VERTEX_POINT('',#496177); #159666=VERTEX_POINT('',#496181); #159667=VERTEX_POINT('',#496183); #159668=VERTEX_POINT('',#496187); #159669=VERTEX_POINT('',#496189); #159670=VERTEX_POINT('',#496193); #159671=VERTEX_POINT('',#496195); #159672=VERTEX_POINT('',#496199); #159673=VERTEX_POINT('',#496201); #159674=VERTEX_POINT('',#496210); #159675=VERTEX_POINT('',#496212); #159676=VERTEX_POINT('',#496216); #159677=VERTEX_POINT('',#496218); #159678=VERTEX_POINT('',#496222); #159679=VERTEX_POINT('',#496223); #159680=VERTEX_POINT('',#496225); #159681=VERTEX_POINT('',#496227); #159682=VERTEX_POINT('',#496231); #159683=VERTEX_POINT('',#496233); #159684=VERTEX_POINT('',#496237); #159685=VERTEX_POINT('',#496239); #159686=VERTEX_POINT('',#496243); #159687=VERTEX_POINT('',#496245); #159688=VERTEX_POINT('',#496249); #159689=VERTEX_POINT('',#496251); #159690=VERTEX_POINT('',#496255); #159691=VERTEX_POINT('',#496257); #159692=VERTEX_POINT('',#496261); #159693=VERTEX_POINT('',#496263); #159694=VERTEX_POINT('',#496272); #159695=VERTEX_POINT('',#496274); #159696=VERTEX_POINT('',#496278); #159697=VERTEX_POINT('',#496280); #159698=VERTEX_POINT('',#496284); #159699=VERTEX_POINT('',#496285); #159700=VERTEX_POINT('',#496287); #159701=VERTEX_POINT('',#496289); #159702=VERTEX_POINT('',#496293); #159703=VERTEX_POINT('',#496295); #159704=VERTEX_POINT('',#496299); #159705=VERTEX_POINT('',#496301); #159706=VERTEX_POINT('',#496305); #159707=VERTEX_POINT('',#496307); #159708=VERTEX_POINT('',#496311); #159709=VERTEX_POINT('',#496313); #159710=VERTEX_POINT('',#496317); #159711=VERTEX_POINT('',#496319); #159712=VERTEX_POINT('',#496323); #159713=VERTEX_POINT('',#496325); #159714=VERTEX_POINT('',#496334); #159715=VERTEX_POINT('',#496336); #159716=VERTEX_POINT('',#496340); #159717=VERTEX_POINT('',#496342); #159718=VERTEX_POINT('',#496346); #159719=VERTEX_POINT('',#496347); #159720=VERTEX_POINT('',#496349); #159721=VERTEX_POINT('',#496351); #159722=VERTEX_POINT('',#496355); #159723=VERTEX_POINT('',#496357); #159724=VERTEX_POINT('',#496361); #159725=VERTEX_POINT('',#496363); #159726=VERTEX_POINT('',#496367); #159727=VERTEX_POINT('',#496369); #159728=VERTEX_POINT('',#496373); #159729=VERTEX_POINT('',#496375); #159730=VERTEX_POINT('',#496379); #159731=VERTEX_POINT('',#496381); #159732=VERTEX_POINT('',#496385); #159733=VERTEX_POINT('',#496387); #159734=VERTEX_POINT('',#496396); #159735=VERTEX_POINT('',#496398); #159736=VERTEX_POINT('',#496402); #159737=VERTEX_POINT('',#496404); #159738=VERTEX_POINT('',#496408); #159739=VERTEX_POINT('',#496409); #159740=VERTEX_POINT('',#496411); #159741=VERTEX_POINT('',#496413); #159742=VERTEX_POINT('',#496417); #159743=VERTEX_POINT('',#496419); #159744=VERTEX_POINT('',#496423); #159745=VERTEX_POINT('',#496425); #159746=VERTEX_POINT('',#496429); #159747=VERTEX_POINT('',#496431); #159748=VERTEX_POINT('',#496435); #159749=VERTEX_POINT('',#496437); #159750=VERTEX_POINT('',#496441); #159751=VERTEX_POINT('',#496443); #159752=VERTEX_POINT('',#496447); #159753=VERTEX_POINT('',#496449); #159754=VERTEX_POINT('',#496453); #159755=VERTEX_POINT('',#496455); #159756=VERTEX_POINT('',#496459); #159757=VERTEX_POINT('',#496461); #159758=VERTEX_POINT('',#496465); #159759=VERTEX_POINT('',#496467); #159760=VERTEX_POINT('',#496471); #159761=VERTEX_POINT('',#496473); #159762=VERTEX_POINT('',#496477); #159763=VERTEX_POINT('',#496479); #159764=VERTEX_POINT('',#496488); #159765=VERTEX_POINT('',#496490); #159766=VERTEX_POINT('',#496494); #159767=VERTEX_POINT('',#496495); #159768=VERTEX_POINT('',#496497); #159769=VERTEX_POINT('',#496499); #159770=VERTEX_POINT('',#496503); #159771=VERTEX_POINT('',#496505); #159772=VERTEX_POINT('',#496509); #159773=VERTEX_POINT('',#496511); #159774=VERTEX_POINT('',#496520); #159775=VERTEX_POINT('',#496522); #159776=VERTEX_POINT('',#496526); #159777=VERTEX_POINT('',#496527); #159778=VERTEX_POINT('',#496529); #159779=VERTEX_POINT('',#496531); #159780=VERTEX_POINT('',#496535); #159781=VERTEX_POINT('',#496537); #159782=VERTEX_POINT('',#496541); #159783=VERTEX_POINT('',#496543); #159784=VERTEX_POINT('',#496552); #159785=VERTEX_POINT('',#496554); #159786=VERTEX_POINT('',#496558); #159787=VERTEX_POINT('',#496559); #159788=VERTEX_POINT('',#496561); #159789=VERTEX_POINT('',#496563); #159790=VERTEX_POINT('',#496567); #159791=VERTEX_POINT('',#496569); #159792=VERTEX_POINT('',#496573); #159793=VERTEX_POINT('',#496575); #159794=VERTEX_POINT('',#496584); #159795=VERTEX_POINT('',#496586); #159796=VERTEX_POINT('',#496590); #159797=VERTEX_POINT('',#496591); #159798=VERTEX_POINT('',#496593); #159799=VERTEX_POINT('',#496595); #159800=VERTEX_POINT('',#496599); #159801=VERTEX_POINT('',#496601); #159802=VERTEX_POINT('',#496605); #159803=VERTEX_POINT('',#496607); #159804=VERTEX_POINT('',#496611); #159805=VERTEX_POINT('',#496613); #159806=VERTEX_POINT('',#496617); #159807=VERTEX_POINT('',#496619); #159808=VERTEX_POINT('',#496623); #159809=VERTEX_POINT('',#496625); #159810=VERTEX_POINT('',#496629); #159811=VERTEX_POINT('',#496631); #159812=VERTEX_POINT('',#496635); #159813=VERTEX_POINT('',#496637); #159814=VERTEX_POINT('',#496641); #159815=VERTEX_POINT('',#496643); #159816=VERTEX_POINT('',#496652); #159817=VERTEX_POINT('',#496654); #159818=VERTEX_POINT('',#496658); #159819=VERTEX_POINT('',#496660); #159820=VERTEX_POINT('',#496664); #159821=VERTEX_POINT('',#496665); #159822=VERTEX_POINT('',#496667); #159823=VERTEX_POINT('',#496669); #159824=VERTEX_POINT('',#496673); #159825=VERTEX_POINT('',#496675); #159826=VERTEX_POINT('',#496679); #159827=VERTEX_POINT('',#496681); #159828=VERTEX_POINT('',#496685); #159829=VERTEX_POINT('',#496687); #159830=VERTEX_POINT('',#496691); #159831=VERTEX_POINT('',#496693); #159832=VERTEX_POINT('',#496697); #159833=VERTEX_POINT('',#496699); #159834=VERTEX_POINT('',#496708); #159835=VERTEX_POINT('',#496710); #159836=VERTEX_POINT('',#496714); #159837=VERTEX_POINT('',#496715); #159838=VERTEX_POINT('',#496717); #159839=VERTEX_POINT('',#496719); #159840=VERTEX_POINT('',#496723); #159841=VERTEX_POINT('',#496725); #159842=VERTEX_POINT('',#496729); #159843=VERTEX_POINT('',#496731); #159844=VERTEX_POINT('',#496735); #159845=VERTEX_POINT('',#496737); #159846=VERTEX_POINT('',#496741); #159847=VERTEX_POINT('',#496743); #159848=VERTEX_POINT('',#496747); #159849=VERTEX_POINT('',#496749); #159850=VERTEX_POINT('',#496753); #159851=VERTEX_POINT('',#496755); #159852=VERTEX_POINT('',#496759); #159853=VERTEX_POINT('',#496761); #159854=VERTEX_POINT('',#496765); #159855=VERTEX_POINT('',#496767); #159856=VERTEX_POINT('',#496776); #159857=VERTEX_POINT('',#496778); #159858=VERTEX_POINT('',#496782); #159859=VERTEX_POINT('',#496784); #159860=VERTEX_POINT('',#496788); #159861=VERTEX_POINT('',#496789); #159862=VERTEX_POINT('',#496791); #159863=VERTEX_POINT('',#496793); #159864=VERTEX_POINT('',#496797); #159865=VERTEX_POINT('',#496799); #159866=VERTEX_POINT('',#496803); #159867=VERTEX_POINT('',#496805); #159868=VERTEX_POINT('',#496809); #159869=VERTEX_POINT('',#496811); #159870=VERTEX_POINT('',#496815); #159871=VERTEX_POINT('',#496817); #159872=VERTEX_POINT('',#496821); #159873=VERTEX_POINT('',#496823); #159874=VERTEX_POINT('',#496832); #159875=VERTEX_POINT('',#496834); #159876=VERTEX_POINT('',#496838); #159877=VERTEX_POINT('',#496839); #159878=VERTEX_POINT('',#496841); #159879=VERTEX_POINT('',#496843); #159880=VERTEX_POINT('',#496847); #159881=VERTEX_POINT('',#496849); #159882=VERTEX_POINT('',#496853); #159883=VERTEX_POINT('',#496855); #159884=VERTEX_POINT('',#496859); #159885=VERTEX_POINT('',#496861); #159886=VERTEX_POINT('',#496865); #159887=VERTEX_POINT('',#496867); #159888=VERTEX_POINT('',#496871); #159889=VERTEX_POINT('',#496873); #159890=VERTEX_POINT('',#496877); #159891=VERTEX_POINT('',#496879); #159892=VERTEX_POINT('',#496883); #159893=VERTEX_POINT('',#496885); #159894=VERTEX_POINT('',#496889); #159895=VERTEX_POINT('',#496891); #159896=VERTEX_POINT('',#496900); #159897=VERTEX_POINT('',#496902); #159898=VERTEX_POINT('',#496906); #159899=VERTEX_POINT('',#496907); #159900=VERTEX_POINT('',#496909); #159901=VERTEX_POINT('',#496911); #159902=VERTEX_POINT('',#496915); #159903=VERTEX_POINT('',#496917); #159904=VERTEX_POINT('',#496921); #159905=VERTEX_POINT('',#496923); #159906=VERTEX_POINT('',#496927); #159907=VERTEX_POINT('',#496929); #159908=VERTEX_POINT('',#496933); #159909=VERTEX_POINT('',#496935); #159910=VERTEX_POINT('',#496939); #159911=VERTEX_POINT('',#496941); #159912=VERTEX_POINT('',#496945); #159913=VERTEX_POINT('',#496947); #159914=VERTEX_POINT('',#496951); #159915=VERTEX_POINT('',#496953); #159916=VERTEX_POINT('',#496957); #159917=VERTEX_POINT('',#496959); #159918=VERTEX_POINT('',#496968); #159919=VERTEX_POINT('',#496970); #159920=VERTEX_POINT('',#496974); #159921=VERTEX_POINT('',#496975); #159922=VERTEX_POINT('',#496977); #159923=VERTEX_POINT('',#496979); #159924=VERTEX_POINT('',#496983); #159925=VERTEX_POINT('',#496985); #159926=VERTEX_POINT('',#496989); #159927=VERTEX_POINT('',#496991); #159928=VERTEX_POINT('',#496995); #159929=VERTEX_POINT('',#496997); #159930=VERTEX_POINT('',#497001); #159931=VERTEX_POINT('',#497003); #159932=VERTEX_POINT('',#497007); #159933=VERTEX_POINT('',#497009); #159934=VERTEX_POINT('',#497013); #159935=VERTEX_POINT('',#497015); #159936=VERTEX_POINT('',#497019); #159937=VERTEX_POINT('',#497021); #159938=VERTEX_POINT('',#497025); #159939=VERTEX_POINT('',#497027); #159940=VERTEX_POINT('',#497031); #159941=VERTEX_POINT('',#497033); #159942=VERTEX_POINT('',#497037); #159943=VERTEX_POINT('',#497039); #159944=VERTEX_POINT('',#497043); #159945=VERTEX_POINT('',#497045); #159946=VERTEX_POINT('',#497049); #159947=VERTEX_POINT('',#497051); #159948=VERTEX_POINT('',#497055); #159949=VERTEX_POINT('',#497057); #159950=VERTEX_POINT('',#497061); #159951=VERTEX_POINT('',#497063); #159952=VERTEX_POINT('',#497067); #159953=VERTEX_POINT('',#497069); #159954=VERTEX_POINT('',#497078); #159955=VERTEX_POINT('',#497080); #159956=VERTEX_POINT('',#497084); #159957=VERTEX_POINT('',#497085); #159958=VERTEX_POINT('',#497087); #159959=VERTEX_POINT('',#497089); #159960=VERTEX_POINT('',#497093); #159961=VERTEX_POINT('',#497095); #159962=VERTEX_POINT('',#497099); #159963=VERTEX_POINT('',#497101); #159964=VERTEX_POINT('',#497105); #159965=VERTEX_POINT('',#497107); #159966=VERTEX_POINT('',#497111); #159967=VERTEX_POINT('',#497113); #159968=VERTEX_POINT('',#497117); #159969=VERTEX_POINT('',#497119); #159970=VERTEX_POINT('',#497123); #159971=VERTEX_POINT('',#497125); #159972=VERTEX_POINT('',#497129); #159973=VERTEX_POINT('',#497131); #159974=VERTEX_POINT('',#497135); #159975=VERTEX_POINT('',#497137); #159976=VERTEX_POINT('',#497141); #159977=VERTEX_POINT('',#497143); #159978=VERTEX_POINT('',#497147); #159979=VERTEX_POINT('',#497149); #159980=VERTEX_POINT('',#497153); #159981=VERTEX_POINT('',#497155); #159982=VERTEX_POINT('',#497159); #159983=VERTEX_POINT('',#497161); #159984=VERTEX_POINT('',#497165); #159985=VERTEX_POINT('',#497167); #159986=VERTEX_POINT('',#497176); #159987=VERTEX_POINT('',#497178); #159988=VERTEX_POINT('',#497182); #159989=VERTEX_POINT('',#497183); #159990=VERTEX_POINT('',#497185); #159991=VERTEX_POINT('',#497187); #159992=VERTEX_POINT('',#497191); #159993=VERTEX_POINT('',#497193); #159994=VERTEX_POINT('',#497202); #159995=VERTEX_POINT('',#497204); #159996=VERTEX_POINT('',#497208); #159997=VERTEX_POINT('',#497209); #159998=VERTEX_POINT('',#497211); #159999=VERTEX_POINT('',#497213); #160000=VERTEX_POINT('',#497217); #160001=VERTEX_POINT('',#497219); #160002=VERTEX_POINT('',#497223); #160003=VERTEX_POINT('',#497225); #160004=VERTEX_POINT('',#497229); #160005=VERTEX_POINT('',#497231); #160006=VERTEX_POINT('',#497235); #160007=VERTEX_POINT('',#497237); #160008=VERTEX_POINT('',#497241); #160009=VERTEX_POINT('',#497243); #160010=VERTEX_POINT('',#497247); #160011=VERTEX_POINT('',#497249); #160012=VERTEX_POINT('',#497253); #160013=VERTEX_POINT('',#497255); #160014=VERTEX_POINT('',#497259); #160015=VERTEX_POINT('',#497261); #160016=VERTEX_POINT('',#497265); #160017=VERTEX_POINT('',#497267); #160018=VERTEX_POINT('',#497271); #160019=VERTEX_POINT('',#497273); #160020=VERTEX_POINT('',#497277); #160021=VERTEX_POINT('',#497279); #160022=VERTEX_POINT('',#497283); #160023=VERTEX_POINT('',#497285); #160024=VERTEX_POINT('',#497289); #160025=VERTEX_POINT('',#497291); #160026=VERTEX_POINT('',#497295); #160027=VERTEX_POINT('',#497297); #160028=VERTEX_POINT('',#497301); #160029=VERTEX_POINT('',#497303); #160030=VERTEX_POINT('',#497307); #160031=VERTEX_POINT('',#497309); #160032=VERTEX_POINT('',#497313); #160033=VERTEX_POINT('',#497315); #160034=VERTEX_POINT('',#497319); #160035=VERTEX_POINT('',#497321); #160036=VERTEX_POINT('',#497325); #160037=VERTEX_POINT('',#497327); #160038=VERTEX_POINT('',#497336); #160039=VERTEX_POINT('',#497338); #160040=VERTEX_POINT('',#497342); #160041=VERTEX_POINT('',#497343); #160042=VERTEX_POINT('',#497345); #160043=VERTEX_POINT('',#497347); #160044=VERTEX_POINT('',#497351); #160045=VERTEX_POINT('',#497353); #160046=VERTEX_POINT('',#497357); #160047=VERTEX_POINT('',#497359); #160048=VERTEX_POINT('',#497363); #160049=VERTEX_POINT('',#497365); #160050=VERTEX_POINT('',#497369); #160051=VERTEX_POINT('',#497371); #160052=VERTEX_POINT('',#497375); #160053=VERTEX_POINT('',#497377); #160054=VERTEX_POINT('',#497381); #160055=VERTEX_POINT('',#497383); #160056=VERTEX_POINT('',#497387); #160057=VERTEX_POINT('',#497389); #160058=VERTEX_POINT('',#497393); #160059=VERTEX_POINT('',#497395); #160060=VERTEX_POINT('',#497399); #160061=VERTEX_POINT('',#497401); #160062=VERTEX_POINT('',#497405); #160063=VERTEX_POINT('',#497407); #160064=VERTEX_POINT('',#497411); #160065=VERTEX_POINT('',#497413); #160066=VERTEX_POINT('',#497417); #160067=VERTEX_POINT('',#497419); #160068=VERTEX_POINT('',#497423); #160069=VERTEX_POINT('',#497425); #160070=VERTEX_POINT('',#497434); #160071=VERTEX_POINT('',#497435); #160072=VERTEX_POINT('',#497437); #160073=VERTEX_POINT('',#497439); #160074=VERTEX_POINT('',#497443); #160075=VERTEX_POINT('',#497445); #160076=VERTEX_POINT('',#497449); #160077=VERTEX_POINT('',#497451); #160078=VERTEX_POINT('',#497455); #160079=VERTEX_POINT('',#497457); #160080=VERTEX_POINT('',#497461); #160081=VERTEX_POINT('',#497463); #160082=VERTEX_POINT('',#497467); #160083=VERTEX_POINT('',#497469); #160084=VERTEX_POINT('',#497473); #160085=VERTEX_POINT('',#497475); #160086=VERTEX_POINT('',#497479); #160087=VERTEX_POINT('',#497481); #160088=VERTEX_POINT('',#497485); #160089=VERTEX_POINT('',#497487); #160090=VERTEX_POINT('',#497491); #160091=VERTEX_POINT('',#497493); #160092=VERTEX_POINT('',#497497); #160093=VERTEX_POINT('',#497499); #160094=VERTEX_POINT('',#497503); #160095=VERTEX_POINT('',#497505); #160096=VERTEX_POINT('',#497509); #160097=VERTEX_POINT('',#497511); #160098=VERTEX_POINT('',#497520); #160099=VERTEX_POINT('',#497521); #160100=VERTEX_POINT('',#497523); #160101=VERTEX_POINT('',#497525); #160102=VERTEX_POINT('',#497529); #160103=VERTEX_POINT('',#497531); #160104=VERTEX_POINT('',#497535); #160105=VERTEX_POINT('',#497537); #160106=VERTEX_POINT('',#497541); #160107=VERTEX_POINT('',#497543); #160108=VERTEX_POINT('',#497547); #160109=VERTEX_POINT('',#497549); #160110=VERTEX_POINT('',#497553); #160111=VERTEX_POINT('',#497555); #160112=VERTEX_POINT('',#497559); #160113=VERTEX_POINT('',#497561); #160114=VERTEX_POINT('',#497565); #160115=VERTEX_POINT('',#497567); #160116=VERTEX_POINT('',#497571); #160117=VERTEX_POINT('',#497573); #160118=VERTEX_POINT('',#497577); #160119=VERTEX_POINT('',#497579); #160120=VERTEX_POINT('',#497583); #160121=VERTEX_POINT('',#497585); #160122=VERTEX_POINT('',#497589); #160123=VERTEX_POINT('',#497591); #160124=VERTEX_POINT('',#497595); #160125=VERTEX_POINT('',#497597); #160126=VERTEX_POINT('',#497606); #160127=VERTEX_POINT('',#497608); #160128=VERTEX_POINT('',#497612); #160129=VERTEX_POINT('',#497613); #160130=VERTEX_POINT('',#497615); #160131=VERTEX_POINT('',#497617); #160132=VERTEX_POINT('',#497621); #160133=VERTEX_POINT('',#497623); #160134=VERTEX_POINT('',#497627); #160135=VERTEX_POINT('',#497629); #160136=VERTEX_POINT('',#497633); #160137=VERTEX_POINT('',#497635); #160138=VERTEX_POINT('',#497639); #160139=VERTEX_POINT('',#497641); #160140=VERTEX_POINT('',#497645); #160141=VERTEX_POINT('',#497647); #160142=VERTEX_POINT('',#497651); #160143=VERTEX_POINT('',#497653); #160144=VERTEX_POINT('',#497657); #160145=VERTEX_POINT('',#497659); #160146=VERTEX_POINT('',#497663); #160147=VERTEX_POINT('',#497665); #160148=VERTEX_POINT('',#497669); #160149=VERTEX_POINT('',#497671); #160150=VERTEX_POINT('',#497675); #160151=VERTEX_POINT('',#497677); #160152=VERTEX_POINT('',#497681); #160153=VERTEX_POINT('',#497683); #160154=VERTEX_POINT('',#497687); #160155=VERTEX_POINT('',#497689); #160156=VERTEX_POINT('',#497693); #160157=VERTEX_POINT('',#497695); #160158=VERTEX_POINT('',#497699); #160159=VERTEX_POINT('',#497701); #160160=VERTEX_POINT('',#497705); #160161=VERTEX_POINT('',#497707); #160162=VERTEX_POINT('',#497711); #160163=VERTEX_POINT('',#497713); #160164=VERTEX_POINT('',#497717); #160165=VERTEX_POINT('',#497719); #160166=VERTEX_POINT('',#497723); #160167=VERTEX_POINT('',#497725); #160168=VERTEX_POINT('',#497729); #160169=VERTEX_POINT('',#497731); #160170=VERTEX_POINT('',#497735); #160171=VERTEX_POINT('',#497737); #160172=VERTEX_POINT('',#497741); #160173=VERTEX_POINT('',#497743); #160174=VERTEX_POINT('',#497747); #160175=VERTEX_POINT('',#497749); #160176=VERTEX_POINT('',#497753); #160177=VERTEX_POINT('',#497755); #160178=VERTEX_POINT('',#497759); #160179=VERTEX_POINT('',#497761); #160180=VERTEX_POINT('',#497765); #160181=VERTEX_POINT('',#497767); #160182=VERTEX_POINT('',#497771); #160183=VERTEX_POINT('',#497773); #160184=VERTEX_POINT('',#497777); #160185=VERTEX_POINT('',#497779); #160186=VERTEX_POINT('',#497783); #160187=VERTEX_POINT('',#497785); #160188=VERTEX_POINT('',#497789); #160189=VERTEX_POINT('',#497791); #160190=VERTEX_POINT('',#497795); #160191=VERTEX_POINT('',#497797); #160192=VERTEX_POINT('',#497801); #160193=VERTEX_POINT('',#497803); #160194=VERTEX_POINT('',#497807); #160195=VERTEX_POINT('',#497809); #160196=VERTEX_POINT('',#497813); #160197=VERTEX_POINT('',#497815); #160198=VERTEX_POINT('',#497819); #160199=VERTEX_POINT('',#497821); #160200=VERTEX_POINT('',#497825); #160201=VERTEX_POINT('',#497827); #160202=VERTEX_POINT('',#497831); #160203=VERTEX_POINT('',#497833); #160204=VERTEX_POINT('',#497842); #160205=VERTEX_POINT('',#497844); #160206=VERTEX_POINT('',#497848); #160207=VERTEX_POINT('',#497850); #160208=VERTEX_POINT('',#497854); #160209=VERTEX_POINT('',#497855); #160210=VERTEX_POINT('',#497857); #160211=VERTEX_POINT('',#497859); #160212=VERTEX_POINT('',#497863); #160213=VERTEX_POINT('',#497865); #160214=VERTEX_POINT('',#497869); #160215=VERTEX_POINT('',#497871); #160216=VERTEX_POINT('',#497875); #160217=VERTEX_POINT('',#497877); #160218=VERTEX_POINT('',#497881); #160219=VERTEX_POINT('',#497883); #160220=VERTEX_POINT('',#497887); #160221=VERTEX_POINT('',#497889); #160222=VERTEX_POINT('',#497898); #160223=VERTEX_POINT('',#497900); #160224=VERTEX_POINT('',#497904); #160225=VERTEX_POINT('',#497905); #160226=VERTEX_POINT('',#497907); #160227=VERTEX_POINT('',#497909); #160228=VERTEX_POINT('',#497913); #160229=VERTEX_POINT('',#497915); #160230=VERTEX_POINT('',#497919); #160231=VERTEX_POINT('',#497921); #160232=VERTEX_POINT('',#497930); #160233=VERTEX_POINT('',#497932); #160234=VERTEX_POINT('',#497936); #160235=VERTEX_POINT('',#497938); #160236=VERTEX_POINT('',#497942); #160237=VERTEX_POINT('',#497943); #160238=VERTEX_POINT('',#497945); #160239=VERTEX_POINT('',#497947); #160240=VERTEX_POINT('',#497951); #160241=VERTEX_POINT('',#497953); #160242=VERTEX_POINT('',#497957); #160243=VERTEX_POINT('',#497959); #160244=VERTEX_POINT('',#497963); #160245=VERTEX_POINT('',#497965); #160246=VERTEX_POINT('',#497969); #160247=VERTEX_POINT('',#497971); #160248=VERTEX_POINT('',#497975); #160249=VERTEX_POINT('',#497977); #160250=VERTEX_POINT('',#497981); #160251=VERTEX_POINT('',#497983); #160252=VERTEX_POINT('',#497987); #160253=VERTEX_POINT('',#497989); #160254=VERTEX_POINT('',#497993); #160255=VERTEX_POINT('',#497995); #160256=VERTEX_POINT('',#497999); #160257=VERTEX_POINT('',#498001); #160258=VERTEX_POINT('',#498005); #160259=VERTEX_POINT('',#498007); #160260=VERTEX_POINT('',#498011); #160261=VERTEX_POINT('',#498013); #160262=VERTEX_POINT('',#498017); #160263=VERTEX_POINT('',#498019); #160264=VERTEX_POINT('',#498023); #160265=VERTEX_POINT('',#498025); #160266=VERTEX_POINT('',#498029); #160267=VERTEX_POINT('',#498031); #160268=VERTEX_POINT('',#498035); #160269=VERTEX_POINT('',#498037); #160270=VERTEX_POINT('',#498041); #160271=VERTEX_POINT('',#498043); #160272=VERTEX_POINT('',#498047); #160273=VERTEX_POINT('',#498049); #160274=VERTEX_POINT('',#498053); #160275=VERTEX_POINT('',#498055); #160276=VERTEX_POINT('',#498059); #160277=VERTEX_POINT('',#498061); #160278=VERTEX_POINT('',#498065); #160279=VERTEX_POINT('',#498067); #160280=VERTEX_POINT('',#498071); #160281=VERTEX_POINT('',#498073); #160282=VERTEX_POINT('',#498082); #160283=VERTEX_POINT('',#498084); #160284=VERTEX_POINT('',#498088); #160285=VERTEX_POINT('',#498090); #160286=VERTEX_POINT('',#498094); #160287=VERTEX_POINT('',#498095); #160288=VERTEX_POINT('',#498097); #160289=VERTEX_POINT('',#498099); #160290=VERTEX_POINT('',#498103); #160291=VERTEX_POINT('',#498105); #160292=VERTEX_POINT('',#498109); #160293=VERTEX_POINT('',#498111); #160294=VERTEX_POINT('',#498115); #160295=VERTEX_POINT('',#498117); #160296=VERTEX_POINT('',#498121); #160297=VERTEX_POINT('',#498123); #160298=VERTEX_POINT('',#498127); #160299=VERTEX_POINT('',#498129); #160300=VERTEX_POINT('',#498133); #160301=VERTEX_POINT('',#498135); #160302=VERTEX_POINT('',#498144); #160303=VERTEX_POINT('',#498146); #160304=VERTEX_POINT('',#498150); #160305=VERTEX_POINT('',#498151); #160306=VERTEX_POINT('',#498153); #160307=VERTEX_POINT('',#498155); #160308=VERTEX_POINT('',#498159); #160309=VERTEX_POINT('',#498161); #160310=VERTEX_POINT('',#498165); #160311=VERTEX_POINT('',#498167); #160312=VERTEX_POINT('',#498176); #160313=VERTEX_POINT('',#498178); #160314=VERTEX_POINT('',#498182); #160315=VERTEX_POINT('',#498183); #160316=VERTEX_POINT('',#498185); #160317=VERTEX_POINT('',#498187); #160318=VERTEX_POINT('',#498191); #160319=VERTEX_POINT('',#498193); #160320=VERTEX_POINT('',#498202); #160321=VERTEX_POINT('',#498204); #160322=VERTEX_POINT('',#498208); #160323=VERTEX_POINT('',#498210); #160324=VERTEX_POINT('',#498214); #160325=VERTEX_POINT('',#498216); #160326=VERTEX_POINT('',#498220); #160327=VERTEX_POINT('',#498222); #160328=VERTEX_POINT('',#498226); #160329=VERTEX_POINT('',#498228); #160330=VERTEX_POINT('',#498232); #160331=VERTEX_POINT('',#498234); #160332=VERTEX_POINT('',#498238); #160333=VERTEX_POINT('',#498240); #160334=VERTEX_POINT('',#498244); #160335=VERTEX_POINT('',#498246); #160336=VERTEX_POINT('',#498250); #160337=VERTEX_POINT('',#498252); #160338=VERTEX_POINT('',#498256); #160339=VERTEX_POINT('',#498258); #160340=VERTEX_POINT('',#498262); #160341=VERTEX_POINT('',#498264); #160342=VERTEX_POINT('',#498268); #160343=VERTEX_POINT('',#498270); #160344=VERTEX_POINT('',#498274); #160345=VERTEX_POINT('',#498276); #160346=VERTEX_POINT('',#498280); #160347=VERTEX_POINT('',#498281); #160348=VERTEX_POINT('',#498283); #160349=VERTEX_POINT('',#498285); #160350=VERTEX_POINT('',#498289); #160351=VERTEX_POINT('',#498291); #160352=VERTEX_POINT('',#498295); #160353=VERTEX_POINT('',#498297); #160354=VERTEX_POINT('',#498301); #160355=VERTEX_POINT('',#498303); #160356=VERTEX_POINT('',#498307); #160357=VERTEX_POINT('',#498309); #160358=VERTEX_POINT('',#498313); #160359=VERTEX_POINT('',#498315); #160360=VERTEX_POINT('',#498319); #160361=VERTEX_POINT('',#498321); #160362=VERTEX_POINT('',#498325); #160363=VERTEX_POINT('',#498327); #160364=VERTEX_POINT('',#498331); #160365=VERTEX_POINT('',#498333); #160366=VERTEX_POINT('',#498337); #160367=VERTEX_POINT('',#498339); #160368=VERTEX_POINT('',#498343); #160369=VERTEX_POINT('',#498345); #160370=VERTEX_POINT('',#498349); #160371=VERTEX_POINT('',#498351); #160372=VERTEX_POINT('',#498355); #160373=VERTEX_POINT('',#498357); #160374=VERTEX_POINT('',#498361); #160375=VERTEX_POINT('',#498363); #160376=VERTEX_POINT('',#498367); #160377=VERTEX_POINT('',#498369); #160378=VERTEX_POINT('',#498376); #160379=VERTEX_POINT('',#498377); #160380=VERTEX_POINT('',#498379); #160381=VERTEX_POINT('',#498381); #160382=VERTEX_POINT('',#498385); #160383=VERTEX_POINT('',#498387); #160384=VERTEX_POINT('',#498391); #160385=VERTEX_POINT('',#498393); #160386=VERTEX_POINT('',#498397); #160387=VERTEX_POINT('',#498399); #160388=VERTEX_POINT('',#498403); #160389=VERTEX_POINT('',#498405); #160390=VERTEX_POINT('',#498409); #160391=VERTEX_POINT('',#498411); #160392=VERTEX_POINT('',#498415); #160393=VERTEX_POINT('',#498417); #160394=VERTEX_POINT('',#498421); #160395=VERTEX_POINT('',#498423); #160396=VERTEX_POINT('',#498427); #160397=VERTEX_POINT('',#498429); #160398=VERTEX_POINT('',#498433); #160399=VERTEX_POINT('',#498435); #160400=VERTEX_POINT('',#498439); #160401=VERTEX_POINT('',#498441); #160402=VERTEX_POINT('',#498445); #160403=VERTEX_POINT('',#498447); #160404=VERTEX_POINT('',#498451); #160405=VERTEX_POINT('',#498453); #160406=VERTEX_POINT('',#498457); #160407=VERTEX_POINT('',#498459); #160408=VERTEX_POINT('',#498463); #160409=VERTEX_POINT('',#498465); #160410=VERTEX_POINT('',#498469); #160411=VERTEX_POINT('',#498471); #160412=VERTEX_POINT('',#498475); #160413=VERTEX_POINT('',#498477); #160414=VERTEX_POINT('',#498481); #160415=VERTEX_POINT('',#498483); #160416=VERTEX_POINT('',#498487); #160417=VERTEX_POINT('',#498489); #160418=VERTEX_POINT('',#498493); #160419=VERTEX_POINT('',#498495); #160420=VERTEX_POINT('',#498499); #160421=VERTEX_POINT('',#498501); #160422=VERTEX_POINT('',#498505); #160423=VERTEX_POINT('',#498507); #160424=VERTEX_POINT('',#498511); #160425=VERTEX_POINT('',#498513); #160426=VERTEX_POINT('',#498517); #160427=VERTEX_POINT('',#498519); #160428=VERTEX_POINT('',#498523); #160429=VERTEX_POINT('',#498525); #160430=VERTEX_POINT('',#498529); #160431=VERTEX_POINT('',#498531); #160432=VERTEX_POINT('',#498535); #160433=VERTEX_POINT('',#498537); #160434=VERTEX_POINT('',#498541); #160435=VERTEX_POINT('',#498543); #160436=VERTEX_POINT('',#498547); #160437=VERTEX_POINT('',#498549); #160438=VERTEX_POINT('',#498553); #160439=VERTEX_POINT('',#498555); #160440=VERTEX_POINT('',#498559); #160441=VERTEX_POINT('',#498561); #160442=VERTEX_POINT('',#498565); #160443=VERTEX_POINT('',#498567); #160444=VERTEX_POINT('',#498571); #160445=VERTEX_POINT('',#498573); #160446=VERTEX_POINT('',#498577); #160447=VERTEX_POINT('',#498579); #160448=VERTEX_POINT('',#498583); #160449=VERTEX_POINT('',#498585); #160450=VERTEX_POINT('',#498589); #160451=VERTEX_POINT('',#498591); #160452=VERTEX_POINT('',#498595); #160453=VERTEX_POINT('',#498597); #160454=VERTEX_POINT('',#498601); #160455=VERTEX_POINT('',#498603); #160456=VERTEX_POINT('',#498607); #160457=VERTEX_POINT('',#498609); #160458=VERTEX_POINT('',#498613); #160459=VERTEX_POINT('',#498615); #160460=VERTEX_POINT('',#498619); #160461=VERTEX_POINT('',#498621); #160462=VERTEX_POINT('',#498625); #160463=VERTEX_POINT('',#498627); #160464=VERTEX_POINT('',#498631); #160465=VERTEX_POINT('',#498633); #160466=VERTEX_POINT('',#498637); #160467=VERTEX_POINT('',#498639); #160468=VERTEX_POINT('',#498643); #160469=VERTEX_POINT('',#498645); #160470=VERTEX_POINT('',#498649); #160471=VERTEX_POINT('',#498651); #160472=VERTEX_POINT('',#498655); #160473=VERTEX_POINT('',#498657); #160474=VERTEX_POINT('',#498661); #160475=VERTEX_POINT('',#498663); #160476=VERTEX_POINT('',#498667); #160477=VERTEX_POINT('',#498669); #160478=VERTEX_POINT('',#498673); #160479=VERTEX_POINT('',#498675); #160480=VERTEX_POINT('',#498679); #160481=VERTEX_POINT('',#498681); #160482=VERTEX_POINT('',#498685); #160483=VERTEX_POINT('',#498687); #160484=VERTEX_POINT('',#498691); #160485=VERTEX_POINT('',#498693); #160486=VERTEX_POINT('',#498697); #160487=VERTEX_POINT('',#498699); #160488=VERTEX_POINT('',#498703); #160489=VERTEX_POINT('',#498705); #160490=VERTEX_POINT('',#498709); #160491=VERTEX_POINT('',#498711); #160492=VERTEX_POINT('',#498715); #160493=VERTEX_POINT('',#498717); #160494=VERTEX_POINT('',#498721); #160495=VERTEX_POINT('',#498723); #160496=VERTEX_POINT('',#498727); #160497=VERTEX_POINT('',#498729); #160498=VERTEX_POINT('',#498733); #160499=VERTEX_POINT('',#498735); #160500=VERTEX_POINT('',#498739); #160501=VERTEX_POINT('',#498741); #160502=VERTEX_POINT('',#498745); #160503=VERTEX_POINT('',#498747); #160504=VERTEX_POINT('',#498751); #160505=VERTEX_POINT('',#498753); #160506=VERTEX_POINT('',#498757); #160507=VERTEX_POINT('',#498759); #160508=VERTEX_POINT('',#498763); #160509=VERTEX_POINT('',#498765); #160510=VERTEX_POINT('',#498769); #160511=VERTEX_POINT('',#498771); #160512=VERTEX_POINT('',#498775); #160513=VERTEX_POINT('',#498777); #160514=VERTEX_POINT('',#498781); #160515=VERTEX_POINT('',#498783); #160516=VERTEX_POINT('',#498787); #160517=VERTEX_POINT('',#498789); #160518=VERTEX_POINT('',#498793); #160519=VERTEX_POINT('',#498795); #160520=VERTEX_POINT('',#498799); #160521=VERTEX_POINT('',#498801); #160522=VERTEX_POINT('',#498805); #160523=VERTEX_POINT('',#498807); #160524=VERTEX_POINT('',#498811); #160525=VERTEX_POINT('',#498813); #160526=VERTEX_POINT('',#498817); #160527=VERTEX_POINT('',#498819); #160528=VERTEX_POINT('',#498823); #160529=VERTEX_POINT('',#498825); #160530=VERTEX_POINT('',#498829); #160531=VERTEX_POINT('',#498831); #160532=VERTEX_POINT('',#498835); #160533=VERTEX_POINT('',#498837); #160534=VERTEX_POINT('',#498841); #160535=VERTEX_POINT('',#498843); #160536=VERTEX_POINT('',#498847); #160537=VERTEX_POINT('',#498849); #160538=VERTEX_POINT('',#498853); #160539=VERTEX_POINT('',#498855); #160540=VERTEX_POINT('',#498864); #160541=VERTEX_POINT('',#498866); #160542=VERTEX_POINT('',#498870); #160543=VERTEX_POINT('',#498872); #160544=VERTEX_POINT('',#498876); #160545=VERTEX_POINT('',#498878); #160546=VERTEX_POINT('',#498882); #160547=VERTEX_POINT('',#498884); #160548=VERTEX_POINT('',#498888); #160549=VERTEX_POINT('',#498890); #160550=VERTEX_POINT('',#498894); #160551=VERTEX_POINT('',#498896); #160552=VERTEX_POINT('',#498900); #160553=VERTEX_POINT('',#498902); #160554=VERTEX_POINT('',#498906); #160555=VERTEX_POINT('',#498908); #160556=VERTEX_POINT('',#498912); #160557=VERTEX_POINT('',#498914); #160558=VERTEX_POINT('',#498918); #160559=VERTEX_POINT('',#498920); #160560=VERTEX_POINT('',#498924); #160561=VERTEX_POINT('',#498926); #160562=VERTEX_POINT('',#498930); #160563=VERTEX_POINT('',#498932); #160564=VERTEX_POINT('',#498936); #160565=VERTEX_POINT('',#498937); #160566=VERTEX_POINT('',#498939); #160567=VERTEX_POINT('',#498941); #160568=VERTEX_POINT('',#498945); #160569=VERTEX_POINT('',#498947); #160570=VERTEX_POINT('',#498951); #160571=VERTEX_POINT('',#498953); #160572=VERTEX_POINT('',#498957); #160573=VERTEX_POINT('',#498959); #160574=VERTEX_POINT('',#498963); #160575=VERTEX_POINT('',#498965); #160576=VERTEX_POINT('',#498969); #160577=VERTEX_POINT('',#498971); #160578=VERTEX_POINT('',#498975); #160579=VERTEX_POINT('',#498977); #160580=VERTEX_POINT('',#498981); #160581=VERTEX_POINT('',#498983); #160582=VERTEX_POINT('',#498987); #160583=VERTEX_POINT('',#498989); #160584=VERTEX_POINT('',#498993); #160585=VERTEX_POINT('',#498995); #160586=VERTEX_POINT('',#498999); #160587=VERTEX_POINT('',#499001); #160588=VERTEX_POINT('',#499005); #160589=VERTEX_POINT('',#499007); #160590=VERTEX_POINT('',#499011); #160591=VERTEX_POINT('',#499013); #160592=VERTEX_POINT('',#499017); #160593=VERTEX_POINT('',#499019); #160594=VERTEX_POINT('',#499023); #160595=VERTEX_POINT('',#499025); #160596=VERTEX_POINT('',#499032); #160597=VERTEX_POINT('',#499033); #160598=VERTEX_POINT('',#499035); #160599=VERTEX_POINT('',#499037); #160600=VERTEX_POINT('',#499041); #160601=VERTEX_POINT('',#499043); #160602=VERTEX_POINT('',#499047); #160603=VERTEX_POINT('',#499049); #160604=VERTEX_POINT('',#499053); #160605=VERTEX_POINT('',#499055); #160606=VERTEX_POINT('',#499059); #160607=VERTEX_POINT('',#499061); #160608=VERTEX_POINT('',#499065); #160609=VERTEX_POINT('',#499067); #160610=VERTEX_POINT('',#499071); #160611=VERTEX_POINT('',#499073); #160612=VERTEX_POINT('',#499077); #160613=VERTEX_POINT('',#499079); #160614=VERTEX_POINT('',#499083); #160615=VERTEX_POINT('',#499085); #160616=VERTEX_POINT('',#499089); #160617=VERTEX_POINT('',#499091); #160618=VERTEX_POINT('',#499095); #160619=VERTEX_POINT('',#499097); #160620=VERTEX_POINT('',#499101); #160621=VERTEX_POINT('',#499103); #160622=VERTEX_POINT('',#499107); #160623=VERTEX_POINT('',#499109); #160624=VERTEX_POINT('',#499113); #160625=VERTEX_POINT('',#499115); #160626=VERTEX_POINT('',#499119); #160627=VERTEX_POINT('',#499121); #160628=VERTEX_POINT('',#499128); #160629=VERTEX_POINT('',#499129); #160630=VERTEX_POINT('',#499131); #160631=VERTEX_POINT('',#499133); #160632=VERTEX_POINT('',#499137); #160633=VERTEX_POINT('',#499139); #160634=VERTEX_POINT('',#499143); #160635=VERTEX_POINT('',#499145); #160636=VERTEX_POINT('',#499149); #160637=VERTEX_POINT('',#499151); #160638=VERTEX_POINT('',#499155); #160639=VERTEX_POINT('',#499157); #160640=VERTEX_POINT('',#499161); #160641=VERTEX_POINT('',#499163); #160642=VERTEX_POINT('',#499167); #160643=VERTEX_POINT('',#499169); #160644=VERTEX_POINT('',#499176); #160645=VERTEX_POINT('',#499177); #160646=VERTEX_POINT('',#499179); #160647=VERTEX_POINT('',#499181); #160648=VERTEX_POINT('',#499185); #160649=VERTEX_POINT('',#499187); #160650=VERTEX_POINT('',#499191); #160651=VERTEX_POINT('',#499193); #160652=VERTEX_POINT('',#499197); #160653=VERTEX_POINT('',#499199); #160654=VERTEX_POINT('',#499203); #160655=VERTEX_POINT('',#499205); #160656=VERTEX_POINT('',#499209); #160657=VERTEX_POINT('',#499211); #160658=VERTEX_POINT('',#499215); #160659=VERTEX_POINT('',#499217); #160660=VERTEX_POINT('',#499221); #160661=VERTEX_POINT('',#499223); #160662=VERTEX_POINT('',#499227); #160663=VERTEX_POINT('',#499229); #160664=VERTEX_POINT('',#499233); #160665=VERTEX_POINT('',#499235); #160666=VERTEX_POINT('',#499239); #160667=VERTEX_POINT('',#499241); #160668=VERTEX_POINT('',#499245); #160669=VERTEX_POINT('',#499247); #160670=VERTEX_POINT('',#499251); #160671=VERTEX_POINT('',#499253); #160672=VERTEX_POINT('',#499257); #160673=VERTEX_POINT('',#499259); #160674=VERTEX_POINT('',#499263); #160675=VERTEX_POINT('',#499265); #160676=VERTEX_POINT('',#499269); #160677=VERTEX_POINT('',#499271); #160678=VERTEX_POINT('',#499275); #160679=VERTEX_POINT('',#499277); #160680=VERTEX_POINT('',#499281); #160681=VERTEX_POINT('',#499283); #160682=VERTEX_POINT('',#499287); #160683=VERTEX_POINT('',#499289); #160684=VERTEX_POINT('',#499293); #160685=VERTEX_POINT('',#499295); #160686=VERTEX_POINT('',#499299); #160687=VERTEX_POINT('',#499301); #160688=VERTEX_POINT('',#499305); #160689=VERTEX_POINT('',#499307); #160690=VERTEX_POINT('',#499311); #160691=VERTEX_POINT('',#499313); #160692=VERTEX_POINT('',#499320); #160693=VERTEX_POINT('',#499321); #160694=VERTEX_POINT('',#499323); #160695=VERTEX_POINT('',#499325); #160696=VERTEX_POINT('',#499329); #160697=VERTEX_POINT('',#499331); #160698=VERTEX_POINT('',#499335); #160699=VERTEX_POINT('',#499337); #160700=VERTEX_POINT('',#499341); #160701=VERTEX_POINT('',#499343); #160702=VERTEX_POINT('',#499347); #160703=VERTEX_POINT('',#499349); #160704=VERTEX_POINT('',#499353); #160705=VERTEX_POINT('',#499355); #160706=VERTEX_POINT('',#499359); #160707=VERTEX_POINT('',#499361); #160708=VERTEX_POINT('',#499365); #160709=VERTEX_POINT('',#499367); #160710=VERTEX_POINT('',#499371); #160711=VERTEX_POINT('',#499373); #160712=VERTEX_POINT('',#499377); #160713=VERTEX_POINT('',#499379); #160714=VERTEX_POINT('',#499383); #160715=VERTEX_POINT('',#499385); #160716=VERTEX_POINT('',#499389); #160717=VERTEX_POINT('',#499391); #160718=VERTEX_POINT('',#499395); #160719=VERTEX_POINT('',#499397); #160720=VERTEX_POINT('',#499401); #160721=VERTEX_POINT('',#499403); #160722=VERTEX_POINT('',#499407); #160723=VERTEX_POINT('',#499409); #160724=VERTEX_POINT('',#499413); #160725=VERTEX_POINT('',#499415); #160726=VERTEX_POINT('',#499419); #160727=VERTEX_POINT('',#499421); #160728=VERTEX_POINT('',#499425); #160729=VERTEX_POINT('',#499427); #160730=VERTEX_POINT('',#499431); #160731=VERTEX_POINT('',#499433); #160732=VERTEX_POINT('',#499437); #160733=VERTEX_POINT('',#499439); #160734=VERTEX_POINT('',#499443); #160735=VERTEX_POINT('',#499445); #160736=VERTEX_POINT('',#499449); #160737=VERTEX_POINT('',#499451); #160738=VERTEX_POINT('',#499455); #160739=VERTEX_POINT('',#499457); #160740=VERTEX_POINT('',#499464); #160741=VERTEX_POINT('',#499465); #160742=VERTEX_POINT('',#499467); #160743=VERTEX_POINT('',#499469); #160744=VERTEX_POINT('',#499473); #160745=VERTEX_POINT('',#499475); #160746=VERTEX_POINT('',#499479); #160747=VERTEX_POINT('',#499481); #160748=VERTEX_POINT('',#499485); #160749=VERTEX_POINT('',#499487); #160750=VERTEX_POINT('',#499491); #160751=VERTEX_POINT('',#499493); #160752=VERTEX_POINT('',#499497); #160753=VERTEX_POINT('',#499499); #160754=VERTEX_POINT('',#499503); #160755=VERTEX_POINT('',#499505); #160756=VERTEX_POINT('',#499509); #160757=VERTEX_POINT('',#499511); #160758=VERTEX_POINT('',#499515); #160759=VERTEX_POINT('',#499517); #160760=VERTEX_POINT('',#499521); #160761=VERTEX_POINT('',#499523); #160762=VERTEX_POINT('',#499527); #160763=VERTEX_POINT('',#499529); #160764=VERTEX_POINT('',#499533); #160765=VERTEX_POINT('',#499535); #160766=VERTEX_POINT('',#499539); #160767=VERTEX_POINT('',#499541); #160768=VERTEX_POINT('',#499545); #160769=VERTEX_POINT('',#499547); #160770=VERTEX_POINT('',#499551); #160771=VERTEX_POINT('',#499553); #160772=VERTEX_POINT('',#499557); #160773=VERTEX_POINT('',#499559); #160774=VERTEX_POINT('',#499563); #160775=VERTEX_POINT('',#499565); #160776=VERTEX_POINT('',#499569); #160777=VERTEX_POINT('',#499571); #160778=VERTEX_POINT('',#499575); #160779=VERTEX_POINT('',#499577); #160780=VERTEX_POINT('',#499581); #160781=VERTEX_POINT('',#499583); #160782=VERTEX_POINT('',#499587); #160783=VERTEX_POINT('',#499589); #160784=VERTEX_POINT('',#499593); #160785=VERTEX_POINT('',#499595); #160786=VERTEX_POINT('',#499599); #160787=VERTEX_POINT('',#499601); #160788=VERTEX_POINT('',#499605); #160789=VERTEX_POINT('',#499607); #160790=VERTEX_POINT('',#499611); #160791=VERTEX_POINT('',#499613); #160792=VERTEX_POINT('',#499617); #160793=VERTEX_POINT('',#499619); #160794=VERTEX_POINT('',#499623); #160795=VERTEX_POINT('',#499625); #160796=VERTEX_POINT('',#499629); #160797=VERTEX_POINT('',#499631); #160798=VERTEX_POINT('',#499635); #160799=VERTEX_POINT('',#499637); #160800=VERTEX_POINT('',#499641); #160801=VERTEX_POINT('',#499643); #160802=VERTEX_POINT('',#499647); #160803=VERTEX_POINT('',#499649); #160804=VERTEX_POINT('',#499653); #160805=VERTEX_POINT('',#499655); #160806=VERTEX_POINT('',#499659); #160807=VERTEX_POINT('',#499661); #160808=VERTEX_POINT('',#499665); #160809=VERTEX_POINT('',#499667); #160810=VERTEX_POINT('',#499671); #160811=VERTEX_POINT('',#499673); #160812=VERTEX_POINT('',#499677); #160813=VERTEX_POINT('',#499679); #160814=VERTEX_POINT('',#499683); #160815=VERTEX_POINT('',#499685); #160816=VERTEX_POINT('',#499689); #160817=VERTEX_POINT('',#499691); #160818=VERTEX_POINT('',#499695); #160819=VERTEX_POINT('',#499697); #160820=VERTEX_POINT('',#499701); #160821=VERTEX_POINT('',#499703); #160822=VERTEX_POINT('',#499707); #160823=VERTEX_POINT('',#499709); #160824=VERTEX_POINT('',#499713); #160825=VERTEX_POINT('',#499715); #160826=VERTEX_POINT('',#499719); #160827=VERTEX_POINT('',#499721); #160828=VERTEX_POINT('',#499725); #160829=VERTEX_POINT('',#499727); #160830=VERTEX_POINT('',#499731); #160831=VERTEX_POINT('',#499733); #160832=VERTEX_POINT('',#499737); #160833=VERTEX_POINT('',#499739); #160834=VERTEX_POINT('',#499743); #160835=VERTEX_POINT('',#499745); #160836=VERTEX_POINT('',#499749); #160837=VERTEX_POINT('',#499751); #160838=VERTEX_POINT('',#499755); #160839=VERTEX_POINT('',#499757); #160840=VERTEX_POINT('',#499761); #160841=VERTEX_POINT('',#499763); #160842=VERTEX_POINT('',#499767); #160843=VERTEX_POINT('',#499769); #160844=VERTEX_POINT('',#499773); #160845=VERTEX_POINT('',#499775); #160846=VERTEX_POINT('',#499779); #160847=VERTEX_POINT('',#499781); #160848=VERTEX_POINT('',#499785); #160849=VERTEX_POINT('',#499787); #160850=VERTEX_POINT('',#499791); #160851=VERTEX_POINT('',#499793); #160852=VERTEX_POINT('',#499797); #160853=VERTEX_POINT('',#499799); #160854=VERTEX_POINT('',#499803); #160855=VERTEX_POINT('',#499805); #160856=VERTEX_POINT('',#499809); #160857=VERTEX_POINT('',#499811); #160858=VERTEX_POINT('',#499815); #160859=VERTEX_POINT('',#499817); #160860=VERTEX_POINT('',#499821); #160861=VERTEX_POINT('',#499823); #160862=VERTEX_POINT('',#499827); #160863=VERTEX_POINT('',#499829); #160864=VERTEX_POINT('',#499833); #160865=VERTEX_POINT('',#499835); #160866=VERTEX_POINT('',#499839); #160867=VERTEX_POINT('',#499841); #160868=VERTEX_POINT('',#499845); #160869=VERTEX_POINT('',#499847); #160870=VERTEX_POINT('',#499851); #160871=VERTEX_POINT('',#499853); #160872=VERTEX_POINT('',#499857); #160873=VERTEX_POINT('',#499859); #160874=VERTEX_POINT('',#499863); #160875=VERTEX_POINT('',#499865); #160876=VERTEX_POINT('',#499869); #160877=VERTEX_POINT('',#499871); #160878=VERTEX_POINT('',#499875); #160879=VERTEX_POINT('',#499877); #160880=VERTEX_POINT('',#499881); #160881=VERTEX_POINT('',#499883); #160882=VERTEX_POINT('',#499887); #160883=VERTEX_POINT('',#499889); #160884=VERTEX_POINT('',#499893); #160885=VERTEX_POINT('',#499895); #160886=VERTEX_POINT('',#499899); #160887=VERTEX_POINT('',#499901); #160888=VERTEX_POINT('',#499905); #160889=VERTEX_POINT('',#499907); #160890=VERTEX_POINT('',#499911); #160891=VERTEX_POINT('',#499913); #160892=VERTEX_POINT('',#499917); #160893=VERTEX_POINT('',#499919); #160894=VERTEX_POINT('',#499923); #160895=VERTEX_POINT('',#499925); #160896=VERTEX_POINT('',#499929); #160897=VERTEX_POINT('',#499931); #160898=VERTEX_POINT('',#499935); #160899=VERTEX_POINT('',#499937); #160900=VERTEX_POINT('',#499941); #160901=VERTEX_POINT('',#499943); #160902=VERTEX_POINT('',#499947); #160903=VERTEX_POINT('',#499949); #160904=VERTEX_POINT('',#499953); #160905=VERTEX_POINT('',#499955); #160906=VERTEX_POINT('',#499959); #160907=VERTEX_POINT('',#499961); #160908=VERTEX_POINT('',#499965); #160909=VERTEX_POINT('',#499967); #160910=VERTEX_POINT('',#499971); #160911=VERTEX_POINT('',#499973); #160912=VERTEX_POINT('',#499977); #160913=VERTEX_POINT('',#499979); #160914=VERTEX_POINT('',#499983); #160915=VERTEX_POINT('',#499985); #160916=VERTEX_POINT('',#499989); #160917=VERTEX_POINT('',#499991); #160918=VERTEX_POINT('',#499995); #160919=VERTEX_POINT('',#499997); #160920=VERTEX_POINT('',#500001); #160921=VERTEX_POINT('',#500003); #160922=VERTEX_POINT('',#500007); #160923=VERTEX_POINT('',#500009); #160924=VERTEX_POINT('',#500013); #160925=VERTEX_POINT('',#500015); #160926=VERTEX_POINT('',#500019); #160927=VERTEX_POINT('',#500021); #160928=VERTEX_POINT('',#500025); #160929=VERTEX_POINT('',#500027); #160930=VERTEX_POINT('',#500031); #160931=VERTEX_POINT('',#500033); #160932=VERTEX_POINT('',#500037); #160933=VERTEX_POINT('',#500039); #160934=VERTEX_POINT('',#500043); #160935=VERTEX_POINT('',#500045); #160936=VERTEX_POINT('',#500049); #160937=VERTEX_POINT('',#500051); #160938=VERTEX_POINT('',#500055); #160939=VERTEX_POINT('',#500057); #160940=VERTEX_POINT('',#500061); #160941=VERTEX_POINT('',#500063); #160942=VERTEX_POINT('',#500067); #160943=VERTEX_POINT('',#500069); #160944=VERTEX_POINT('',#500073); #160945=VERTEX_POINT('',#500075); #160946=VERTEX_POINT('',#500079); #160947=VERTEX_POINT('',#500081); #160948=VERTEX_POINT('',#500085); #160949=VERTEX_POINT('',#500087); #160950=VERTEX_POINT('',#500091); #160951=VERTEX_POINT('',#500093); #160952=VERTEX_POINT('',#500097); #160953=VERTEX_POINT('',#500099); #160954=VERTEX_POINT('',#500103); #160955=VERTEX_POINT('',#500105); #160956=VERTEX_POINT('',#500109); #160957=VERTEX_POINT('',#500111); #160958=VERTEX_POINT('',#500115); #160959=VERTEX_POINT('',#500117); #160960=VERTEX_POINT('',#500121); #160961=VERTEX_POINT('',#500123); #160962=VERTEX_POINT('',#500127); #160963=VERTEX_POINT('',#500129); #160964=VERTEX_POINT('',#500133); #160965=VERTEX_POINT('',#500135); #160966=VERTEX_POINT('',#500139); #160967=VERTEX_POINT('',#500141); #160968=VERTEX_POINT('',#500145); #160969=VERTEX_POINT('',#500147); #160970=VERTEX_POINT('',#500151); #160971=VERTEX_POINT('',#500153); #160972=VERTEX_POINT('',#500157); #160973=VERTEX_POINT('',#500159); #160974=VERTEX_POINT('',#500163); #160975=VERTEX_POINT('',#500165); #160976=VERTEX_POINT('',#500169); #160977=VERTEX_POINT('',#500171); #160978=VERTEX_POINT('',#500175); #160979=VERTEX_POINT('',#500177); #160980=VERTEX_POINT('',#500181); #160981=VERTEX_POINT('',#500183); #160982=VERTEX_POINT('',#500187); #160983=VERTEX_POINT('',#500189); #160984=VERTEX_POINT('',#500193); #160985=VERTEX_POINT('',#500195); #160986=VERTEX_POINT('',#500199); #160987=VERTEX_POINT('',#500201); #160988=VERTEX_POINT('',#500205); #160989=VERTEX_POINT('',#500207); #160990=VERTEX_POINT('',#500211); #160991=VERTEX_POINT('',#500213); #160992=VERTEX_POINT('',#500217); #160993=VERTEX_POINT('',#500219); #160994=VERTEX_POINT('',#500223); #160995=VERTEX_POINT('',#500225); #160996=VERTEX_POINT('',#500229); #160997=VERTEX_POINT('',#500231); #160998=VERTEX_POINT('',#500235); #160999=VERTEX_POINT('',#500237); #161000=VERTEX_POINT('',#500241); #161001=VERTEX_POINT('',#500243); #161002=VERTEX_POINT('',#500247); #161003=VERTEX_POINT('',#500249); #161004=VERTEX_POINT('',#500253); #161005=VERTEX_POINT('',#500255); #161006=VERTEX_POINT('',#500259); #161007=VERTEX_POINT('',#500261); #161008=VERTEX_POINT('',#500265); #161009=VERTEX_POINT('',#500267); #161010=VERTEX_POINT('',#500271); #161011=VERTEX_POINT('',#500273); #161012=VERTEX_POINT('',#500277); #161013=VERTEX_POINT('',#500279); #161014=VERTEX_POINT('',#500283); #161015=VERTEX_POINT('',#500285); #161016=VERTEX_POINT('',#500289); #161017=VERTEX_POINT('',#500291); #161018=VERTEX_POINT('',#500295); #161019=VERTEX_POINT('',#500297); #161020=VERTEX_POINT('',#500301); #161021=VERTEX_POINT('',#500303); #161022=VERTEX_POINT('',#500307); #161023=VERTEX_POINT('',#500309); #161024=VERTEX_POINT('',#500313); #161025=VERTEX_POINT('',#500315); #161026=VERTEX_POINT('',#500319); #161027=VERTEX_POINT('',#500321); #161028=VERTEX_POINT('',#500325); #161029=VERTEX_POINT('',#500327); #161030=VERTEX_POINT('',#500331); #161031=VERTEX_POINT('',#500333); #161032=VERTEX_POINT('',#500337); #161033=VERTEX_POINT('',#500339); #161034=VERTEX_POINT('',#500343); #161035=VERTEX_POINT('',#500345); #161036=VERTEX_POINT('',#500349); #161037=VERTEX_POINT('',#500351); #161038=VERTEX_POINT('',#500355); #161039=VERTEX_POINT('',#500357); #161040=VERTEX_POINT('',#500361); #161041=VERTEX_POINT('',#500363); #161042=VERTEX_POINT('',#500367); #161043=VERTEX_POINT('',#500369); #161044=VERTEX_POINT('',#500373); #161045=VERTEX_POINT('',#500375); #161046=VERTEX_POINT('',#500379); #161047=VERTEX_POINT('',#500381); #161048=VERTEX_POINT('',#500385); #161049=VERTEX_POINT('',#500387); #161050=VERTEX_POINT('',#500391); #161051=VERTEX_POINT('',#500393); #161052=VERTEX_POINT('',#500397); #161053=VERTEX_POINT('',#500399); #161054=VERTEX_POINT('',#500403); #161055=VERTEX_POINT('',#500405); #161056=VERTEX_POINT('',#500409); #161057=VERTEX_POINT('',#500411); #161058=VERTEX_POINT('',#500415); #161059=VERTEX_POINT('',#500417); #161060=VERTEX_POINT('',#500426); #161061=VERTEX_POINT('',#500427); #161062=VERTEX_POINT('',#500429); #161063=VERTEX_POINT('',#500431); #161064=VERTEX_POINT('',#500435); #161065=VERTEX_POINT('',#500437); #161066=VERTEX_POINT('',#500441); #161067=VERTEX_POINT('',#500443); #161068=VERTEX_POINT('',#500447); #161069=VERTEX_POINT('',#500449); #161070=VERTEX_POINT('',#500453); #161071=VERTEX_POINT('',#500455); #161072=VERTEX_POINT('',#500459); #161073=VERTEX_POINT('',#500461); #161074=VERTEX_POINT('',#500465); #161075=VERTEX_POINT('',#500467); #161076=VERTEX_POINT('',#500471); #161077=VERTEX_POINT('',#500473); #161078=VERTEX_POINT('',#500477); #161079=VERTEX_POINT('',#500479); #161080=VERTEX_POINT('',#500483); #161081=VERTEX_POINT('',#500485); #161082=VERTEX_POINT('',#500489); #161083=VERTEX_POINT('',#500491); #161084=VERTEX_POINT('',#500495); #161085=VERTEX_POINT('',#500497); #161086=VERTEX_POINT('',#500501); #161087=VERTEX_POINT('',#500503); #161088=VERTEX_POINT('',#500507); #161089=VERTEX_POINT('',#500509); #161090=VERTEX_POINT('',#500513); #161091=VERTEX_POINT('',#500515); #161092=VERTEX_POINT('',#500519); #161093=VERTEX_POINT('',#500521); #161094=VERTEX_POINT('',#500525); #161095=VERTEX_POINT('',#500527); #161096=VERTEX_POINT('',#500531); #161097=VERTEX_POINT('',#500533); #161098=VERTEX_POINT('',#500537); #161099=VERTEX_POINT('',#500539); #161100=VERTEX_POINT('',#500543); #161101=VERTEX_POINT('',#500545); #161102=VERTEX_POINT('',#500549); #161103=VERTEX_POINT('',#500551); #161104=VERTEX_POINT('',#500560); #161105=VERTEX_POINT('',#500561); #161106=VERTEX_POINT('',#500563); #161107=VERTEX_POINT('',#500565); #161108=VERTEX_POINT('',#500569); #161109=VERTEX_POINT('',#500571); #161110=VERTEX_POINT('',#500575); #161111=VERTEX_POINT('',#500577); #161112=VERTEX_POINT('',#500581); #161113=VERTEX_POINT('',#500583); #161114=VERTEX_POINT('',#500587); #161115=VERTEX_POINT('',#500589); #161116=VERTEX_POINT('',#500593); #161117=VERTEX_POINT('',#500595); #161118=VERTEX_POINT('',#500599); #161119=VERTEX_POINT('',#500601); #161120=VERTEX_POINT('',#500605); #161121=VERTEX_POINT('',#500607); #161122=VERTEX_POINT('',#500611); #161123=VERTEX_POINT('',#500613); #161124=VERTEX_POINT('',#500617); #161125=VERTEX_POINT('',#500619); #161126=VERTEX_POINT('',#500623); #161127=VERTEX_POINT('',#500625); #161128=VERTEX_POINT('',#500629); #161129=VERTEX_POINT('',#500631); #161130=VERTEX_POINT('',#500635); #161131=VERTEX_POINT('',#500637); #161132=VERTEX_POINT('',#500641); #161133=VERTEX_POINT('',#500643); #161134=VERTEX_POINT('',#500647); #161135=VERTEX_POINT('',#500649); #161136=VERTEX_POINT('',#500653); #161137=VERTEX_POINT('',#500655); #161138=VERTEX_POINT('',#500659); #161139=VERTEX_POINT('',#500661); #161140=VERTEX_POINT('',#500665); #161141=VERTEX_POINT('',#500667); #161142=VERTEX_POINT('',#500671); #161143=VERTEX_POINT('',#500673); #161144=VERTEX_POINT('',#500677); #161145=VERTEX_POINT('',#500679); #161146=VERTEX_POINT('',#500688); #161147=VERTEX_POINT('',#500689); #161148=VERTEX_POINT('',#500691); #161149=VERTEX_POINT('',#500693); #161150=VERTEX_POINT('',#500697); #161151=VERTEX_POINT('',#500699); #161152=VERTEX_POINT('',#500703); #161153=VERTEX_POINT('',#500705); #161154=VERTEX_POINT('',#500709); #161155=VERTEX_POINT('',#500711); #161156=VERTEX_POINT('',#500715); #161157=VERTEX_POINT('',#500717); #161158=VERTEX_POINT('',#500721); #161159=VERTEX_POINT('',#500723); #161160=VERTEX_POINT('',#500727); #161161=VERTEX_POINT('',#500729); #161162=VERTEX_POINT('',#500733); #161163=VERTEX_POINT('',#500735); #161164=VERTEX_POINT('',#500739); #161165=VERTEX_POINT('',#500741); #161166=VERTEX_POINT('',#500745); #161167=VERTEX_POINT('',#500747); #161168=VERTEX_POINT('',#500751); #161169=VERTEX_POINT('',#500753); #161170=VERTEX_POINT('',#500757); #161171=VERTEX_POINT('',#500759); #161172=VERTEX_POINT('',#500763); #161173=VERTEX_POINT('',#500765); #161174=VERTEX_POINT('',#500769); #161175=VERTEX_POINT('',#500771); #161176=VERTEX_POINT('',#500775); #161177=VERTEX_POINT('',#500777); #161178=VERTEX_POINT('',#500781); #161179=VERTEX_POINT('',#500783); #161180=VERTEX_POINT('',#500787); #161181=VERTEX_POINT('',#500789); #161182=VERTEX_POINT('',#500793); #161183=VERTEX_POINT('',#500795); #161184=VERTEX_POINT('',#500799); #161185=VERTEX_POINT('',#500801); #161186=VERTEX_POINT('',#500810); #161187=VERTEX_POINT('',#500811); #161188=VERTEX_POINT('',#500813); #161189=VERTEX_POINT('',#500815); #161190=VERTEX_POINT('',#500819); #161191=VERTEX_POINT('',#500821); #161192=VERTEX_POINT('',#500825); #161193=VERTEX_POINT('',#500827); #161194=VERTEX_POINT('',#500834); #161195=VERTEX_POINT('',#500835); #161196=VERTEX_POINT('',#500837); #161197=VERTEX_POINT('',#500839); #161198=VERTEX_POINT('',#500843); #161199=VERTEX_POINT('',#500845); #161200=VERTEX_POINT('',#500849); #161201=VERTEX_POINT('',#500851); #161202=VERTEX_POINT('',#500855); #161203=VERTEX_POINT('',#500857); #161204=VERTEX_POINT('',#500861); #161205=VERTEX_POINT('',#500863); #161206=VERTEX_POINT('',#500867); #161207=VERTEX_POINT('',#500869); #161208=VERTEX_POINT('',#500873); #161209=VERTEX_POINT('',#500875); #161210=VERTEX_POINT('',#500879); #161211=VERTEX_POINT('',#500881); #161212=VERTEX_POINT('',#500885); #161213=VERTEX_POINT('',#500887); #161214=VERTEX_POINT('',#500891); #161215=VERTEX_POINT('',#500893); #161216=VERTEX_POINT('',#500897); #161217=VERTEX_POINT('',#500899); #161218=VERTEX_POINT('',#500903); #161219=VERTEX_POINT('',#500905); #161220=VERTEX_POINT('',#500909); #161221=VERTEX_POINT('',#500911); #161222=VERTEX_POINT('',#500915); #161223=VERTEX_POINT('',#500917); #161224=VERTEX_POINT('',#500921); #161225=VERTEX_POINT('',#500923); #161226=VERTEX_POINT('',#500927); #161227=VERTEX_POINT('',#500929); #161228=VERTEX_POINT('',#500933); #161229=VERTEX_POINT('',#500935); #161230=VERTEX_POINT('',#500939); #161231=VERTEX_POINT('',#500941); #161232=VERTEX_POINT('',#500945); #161233=VERTEX_POINT('',#500947); #161234=VERTEX_POINT('',#500951); #161235=VERTEX_POINT('',#500953); #161236=VERTEX_POINT('',#500957); #161237=VERTEX_POINT('',#500959); #161238=VERTEX_POINT('',#500963); #161239=VERTEX_POINT('',#500965); #161240=VERTEX_POINT('',#500969); #161241=VERTEX_POINT('',#500971); #161242=VERTEX_POINT('',#500975); #161243=VERTEX_POINT('',#500977); #161244=VERTEX_POINT('',#500981); #161245=VERTEX_POINT('',#500983); #161246=VERTEX_POINT('',#500987); #161247=VERTEX_POINT('',#500989); #161248=VERTEX_POINT('',#500993); #161249=VERTEX_POINT('',#500995); #161250=VERTEX_POINT('',#500999); #161251=VERTEX_POINT('',#501001); #161252=VERTEX_POINT('',#501005); #161253=VERTEX_POINT('',#501007); #161254=VERTEX_POINT('',#501011); #161255=VERTEX_POINT('',#501013); #161256=VERTEX_POINT('',#501017); #161257=VERTEX_POINT('',#501019); #161258=VERTEX_POINT('',#501023); #161259=VERTEX_POINT('',#501025); #161260=VERTEX_POINT('',#501029); #161261=VERTEX_POINT('',#501031); #161262=VERTEX_POINT('',#501035); #161263=VERTEX_POINT('',#501037); #161264=VERTEX_POINT('',#501041); #161265=VERTEX_POINT('',#501043); #161266=VERTEX_POINT('',#501047); #161267=VERTEX_POINT('',#501049); #161268=VERTEX_POINT('',#501053); #161269=VERTEX_POINT('',#501055); #161270=VERTEX_POINT('',#501059); #161271=VERTEX_POINT('',#501061); #161272=VERTEX_POINT('',#501065); #161273=VERTEX_POINT('',#501067); #161274=VERTEX_POINT('',#501071); #161275=VERTEX_POINT('',#501073); #161276=VERTEX_POINT('',#501077); #161277=VERTEX_POINT('',#501079); #161278=VERTEX_POINT('',#501083); #161279=VERTEX_POINT('',#501085); #161280=VERTEX_POINT('',#501089); #161281=VERTEX_POINT('',#501091); #161282=VERTEX_POINT('',#501095); #161283=VERTEX_POINT('',#501097); #161284=VERTEX_POINT('',#501101); #161285=VERTEX_POINT('',#501103); #161286=VERTEX_POINT('',#501107); #161287=VERTEX_POINT('',#501109); #161288=VERTEX_POINT('',#501113); #161289=VERTEX_POINT('',#501115); #161290=VERTEX_POINT('',#501119); #161291=VERTEX_POINT('',#501121); #161292=VERTEX_POINT('',#501125); #161293=VERTEX_POINT('',#501127); #161294=VERTEX_POINT('',#501131); #161295=VERTEX_POINT('',#501133); #161296=VERTEX_POINT('',#501137); #161297=VERTEX_POINT('',#501139); #161298=VERTEX_POINT('',#501143); #161299=VERTEX_POINT('',#501145); #161300=VERTEX_POINT('',#501149); #161301=VERTEX_POINT('',#501151); #161302=VERTEX_POINT('',#501155); #161303=VERTEX_POINT('',#501157); #161304=VERTEX_POINT('',#501161); #161305=VERTEX_POINT('',#501163); #161306=VERTEX_POINT('',#501167); #161307=VERTEX_POINT('',#501169); #161308=VERTEX_POINT('',#501173); #161309=VERTEX_POINT('',#501175); #161310=VERTEX_POINT('',#501179); #161311=VERTEX_POINT('',#501181); #161312=VERTEX_POINT('',#501185); #161313=VERTEX_POINT('',#501187); #161314=VERTEX_POINT('',#501191); #161315=VERTEX_POINT('',#501193); #161316=VERTEX_POINT('',#501197); #161317=VERTEX_POINT('',#501199); #161318=VERTEX_POINT('',#501203); #161319=VERTEX_POINT('',#501205); #161320=VERTEX_POINT('',#501209); #161321=VERTEX_POINT('',#501211); #161322=VERTEX_POINT('',#501215); #161323=VERTEX_POINT('',#501217); #161324=VERTEX_POINT('',#501221); #161325=VERTEX_POINT('',#501223); #161326=VERTEX_POINT('',#501227); #161327=VERTEX_POINT('',#501229); #161328=VERTEX_POINT('',#501233); #161329=VERTEX_POINT('',#501235); #161330=VERTEX_POINT('',#501239); #161331=VERTEX_POINT('',#501241); #161332=VERTEX_POINT('',#501245); #161333=VERTEX_POINT('',#501247); #161334=VERTEX_POINT('',#501251); #161335=VERTEX_POINT('',#501253); #161336=VERTEX_POINT('',#501257); #161337=VERTEX_POINT('',#501259); #161338=VERTEX_POINT('',#501268); #161339=VERTEX_POINT('',#501269); #161340=VERTEX_POINT('',#501271); #161341=VERTEX_POINT('',#501273); #161342=VERTEX_POINT('',#501277); #161343=VERTEX_POINT('',#501279); #161344=VERTEX_POINT('',#501283); #161345=VERTEX_POINT('',#501285); #161346=VERTEX_POINT('',#501289); #161347=VERTEX_POINT('',#501291); #161348=VERTEX_POINT('',#501295); #161349=VERTEX_POINT('',#501297); #161350=VERTEX_POINT('',#501301); #161351=VERTEX_POINT('',#501303); #161352=VERTEX_POINT('',#501307); #161353=VERTEX_POINT('',#501309); #161354=VERTEX_POINT('',#501313); #161355=VERTEX_POINT('',#501315); #161356=VERTEX_POINT('',#501319); #161357=VERTEX_POINT('',#501321); #161358=VERTEX_POINT('',#501325); #161359=VERTEX_POINT('',#501327); #161360=VERTEX_POINT('',#501331); #161361=VERTEX_POINT('',#501333); #161362=VERTEX_POINT('',#501337); #161363=VERTEX_POINT('',#501339); #161364=VERTEX_POINT('',#501343); #161365=VERTEX_POINT('',#501345); #161366=VERTEX_POINT('',#501349); #161367=VERTEX_POINT('',#501351); #161368=VERTEX_POINT('',#501355); #161369=VERTEX_POINT('',#501357); #161370=VERTEX_POINT('',#501361); #161371=VERTEX_POINT('',#501363); #161372=VERTEX_POINT('',#501367); #161373=VERTEX_POINT('',#501369); #161374=VERTEX_POINT('',#501373); #161375=VERTEX_POINT('',#501375); #161376=VERTEX_POINT('',#501379); #161377=VERTEX_POINT('',#501381); #161378=VERTEX_POINT('',#501385); #161379=VERTEX_POINT('',#501387); #161380=VERTEX_POINT('',#501391); #161381=VERTEX_POINT('',#501393); #161382=VERTEX_POINT('',#501397); #161383=VERTEX_POINT('',#501399); #161384=VERTEX_POINT('',#501403); #161385=VERTEX_POINT('',#501405); #161386=VERTEX_POINT('',#501409); #161387=VERTEX_POINT('',#501411); #161388=VERTEX_POINT('',#501415); #161389=VERTEX_POINT('',#501417); #161390=VERTEX_POINT('',#501421); #161391=VERTEX_POINT('',#501423); #161392=VERTEX_POINT('',#501427); #161393=VERTEX_POINT('',#501429); #161394=VERTEX_POINT('',#501433); #161395=VERTEX_POINT('',#501435); #161396=VERTEX_POINT('',#501439); #161397=VERTEX_POINT('',#501441); #161398=VERTEX_POINT('',#501445); #161399=VERTEX_POINT('',#501447); #161400=VERTEX_POINT('',#501451); #161401=VERTEX_POINT('',#501453); #161402=VERTEX_POINT('',#501457); #161403=VERTEX_POINT('',#501459); #161404=VERTEX_POINT('',#501463); #161405=VERTEX_POINT('',#501465); #161406=VERTEX_POINT('',#501474); #161407=VERTEX_POINT('',#501476); #161408=VERTEX_POINT('',#501480); #161409=VERTEX_POINT('',#501481); #161410=VERTEX_POINT('',#501483); #161411=VERTEX_POINT('',#501485); #161412=VERTEX_POINT('',#501489); #161413=VERTEX_POINT('',#501491); #161414=VERTEX_POINT('',#501495); #161415=VERTEX_POINT('',#501497); #161416=VERTEX_POINT('',#501501); #161417=VERTEX_POINT('',#501503); #161418=VERTEX_POINT('',#501507); #161419=VERTEX_POINT('',#501509); #161420=VERTEX_POINT('',#501513); #161421=VERTEX_POINT('',#501515); #161422=VERTEX_POINT('',#501519); #161423=VERTEX_POINT('',#501521); #161424=VERTEX_POINT('',#501530); #161425=VERTEX_POINT('',#501532); #161426=VERTEX_POINT('',#501536); #161427=VERTEX_POINT('',#501538); #161428=VERTEX_POINT('',#501542); #161429=VERTEX_POINT('',#501544); #161430=VERTEX_POINT('',#501548); #161431=VERTEX_POINT('',#501550); #161432=VERTEX_POINT('',#501554); #161433=VERTEX_POINT('',#501556); #161434=VERTEX_POINT('',#501560); #161435=VERTEX_POINT('',#501562); #161436=VERTEX_POINT('',#501566); #161437=VERTEX_POINT('',#501568); #161438=VERTEX_POINT('',#501572); #161439=VERTEX_POINT('',#501574); #161440=VERTEX_POINT('',#501578); #161441=VERTEX_POINT('',#501580); #161442=VERTEX_POINT('',#501584); #161443=VERTEX_POINT('',#501586); #161444=VERTEX_POINT('',#501590); #161445=VERTEX_POINT('',#501592); #161446=VERTEX_POINT('',#501596); #161447=VERTEX_POINT('',#501598); #161448=VERTEX_POINT('',#501602); #161449=VERTEX_POINT('',#501604); #161450=VERTEX_POINT('',#501608); #161451=VERTEX_POINT('',#501610); #161452=VERTEX_POINT('',#501614); #161453=VERTEX_POINT('',#501616); #161454=VERTEX_POINT('',#501620); #161455=VERTEX_POINT('',#501622); #161456=VERTEX_POINT('',#501626); #161457=VERTEX_POINT('',#501627); #161458=VERTEX_POINT('',#501629); #161459=VERTEX_POINT('',#501631); #161460=VERTEX_POINT('',#501635); #161461=VERTEX_POINT('',#501637); #161462=VERTEX_POINT('',#501641); #161463=VERTEX_POINT('',#501643); #161464=VERTEX_POINT('',#501650); #161465=VERTEX_POINT('',#501651); #161466=VERTEX_POINT('',#501653); #161467=VERTEX_POINT('',#501655); #161468=VERTEX_POINT('',#501659); #161469=VERTEX_POINT('',#501661); #161470=VERTEX_POINT('',#501665); #161471=VERTEX_POINT('',#501667); #161472=VERTEX_POINT('',#501674); #161473=VERTEX_POINT('',#501676); #161474=VERTEX_POINT('',#501680); #161475=VERTEX_POINT('',#501682); #161476=VERTEX_POINT('',#501686); #161477=VERTEX_POINT('',#501688); #161478=VERTEX_POINT('',#501692); #161479=VERTEX_POINT('',#501694); #161480=VERTEX_POINT('',#501698); #161481=VERTEX_POINT('',#501700); #161482=VERTEX_POINT('',#501704); #161483=VERTEX_POINT('',#501706); #161484=VERTEX_POINT('',#501710); #161485=VERTEX_POINT('',#501712); #161486=VERTEX_POINT('',#501716); #161487=VERTEX_POINT('',#501718); #161488=VERTEX_POINT('',#501722); #161489=VERTEX_POINT('',#501723); #161490=VERTEX_POINT('',#501725); #161491=VERTEX_POINT('',#501727); #161492=VERTEX_POINT('',#501731); #161493=VERTEX_POINT('',#501733); #161494=VERTEX_POINT('',#501737); #161495=VERTEX_POINT('',#501739); #161496=VERTEX_POINT('',#501746); #161497=VERTEX_POINT('',#501747); #161498=VERTEX_POINT('',#501749); #161499=VERTEX_POINT('',#501751); #161500=VERTEX_POINT('',#501755); #161501=VERTEX_POINT('',#501757); #161502=VERTEX_POINT('',#501761); #161503=VERTEX_POINT('',#501763); #161504=VERTEX_POINT('',#501770); #161505=VERTEX_POINT('',#501772); #161506=VERTEX_POINT('',#501776); #161507=VERTEX_POINT('',#501778); #161508=VERTEX_POINT('',#501782); #161509=VERTEX_POINT('',#501784); #161510=VERTEX_POINT('',#501788); #161511=VERTEX_POINT('',#501790); #161512=VERTEX_POINT('',#501794); #161513=VERTEX_POINT('',#501796); #161514=VERTEX_POINT('',#501800); #161515=VERTEX_POINT('',#501802); #161516=VERTEX_POINT('',#501806); #161517=VERTEX_POINT('',#501808); #161518=VERTEX_POINT('',#501812); #161519=VERTEX_POINT('',#501814); #161520=VERTEX_POINT('',#501818); #161521=VERTEX_POINT('',#501820); #161522=VERTEX_POINT('',#501824); #161523=VERTEX_POINT('',#501826); #161524=VERTEX_POINT('',#501830); #161525=VERTEX_POINT('',#501832); #161526=VERTEX_POINT('',#501836); #161527=VERTEX_POINT('',#501838); #161528=VERTEX_POINT('',#501842); #161529=VERTEX_POINT('',#501844); #161530=VERTEX_POINT('',#501848); #161531=VERTEX_POINT('',#501850); #161532=VERTEX_POINT('',#501854); #161533=VERTEX_POINT('',#501856); #161534=VERTEX_POINT('',#501860); #161535=VERTEX_POINT('',#501862); #161536=VERTEX_POINT('',#501866); #161537=VERTEX_POINT('',#501868); #161538=VERTEX_POINT('',#501872); #161539=VERTEX_POINT('',#501874); #161540=VERTEX_POINT('',#501878); #161541=VERTEX_POINT('',#501880); #161542=VERTEX_POINT('',#501884); #161543=VERTEX_POINT('',#501886); #161544=VERTEX_POINT('',#501890); #161545=VERTEX_POINT('',#501892); #161546=VERTEX_POINT('',#501896); #161547=VERTEX_POINT('',#501898); #161548=VERTEX_POINT('',#501902); #161549=VERTEX_POINT('',#501904); #161550=VERTEX_POINT('',#501908); #161551=VERTEX_POINT('',#501910); #161552=VERTEX_POINT('',#501914); #161553=VERTEX_POINT('',#501916); #161554=VERTEX_POINT('',#501920); #161555=VERTEX_POINT('',#501922); #161556=VERTEX_POINT('',#501926); #161557=VERTEX_POINT('',#501928); #161558=VERTEX_POINT('',#501932); #161559=VERTEX_POINT('',#501934); #161560=VERTEX_POINT('',#501938); #161561=VERTEX_POINT('',#501940); #161562=VERTEX_POINT('',#501944); #161563=VERTEX_POINT('',#501946); #161564=VERTEX_POINT('',#501950); #161565=VERTEX_POINT('',#501952); #161566=VERTEX_POINT('',#501956); #161567=VERTEX_POINT('',#501958); #161568=VERTEX_POINT('',#501962); #161569=VERTEX_POINT('',#501964); #161570=VERTEX_POINT('',#501968); #161571=VERTEX_POINT('',#501970); #161572=VERTEX_POINT('',#501974); #161573=VERTEX_POINT('',#501976); #161574=VERTEX_POINT('',#501980); #161575=VERTEX_POINT('',#501982); #161576=VERTEX_POINT('',#501986); #161577=VERTEX_POINT('',#501988); #161578=VERTEX_POINT('',#501992); #161579=VERTEX_POINT('',#501994); #161580=VERTEX_POINT('',#501998); #161581=VERTEX_POINT('',#502000); #161582=VERTEX_POINT('',#502004); #161583=VERTEX_POINT('',#502006); #161584=VERTEX_POINT('',#502010); #161585=VERTEX_POINT('',#502012); #161586=VERTEX_POINT('',#502016); #161587=VERTEX_POINT('',#502018); #161588=VERTEX_POINT('',#502022); #161589=VERTEX_POINT('',#502024); #161590=VERTEX_POINT('',#502028); #161591=VERTEX_POINT('',#502030); #161592=VERTEX_POINT('',#502034); #161593=VERTEX_POINT('',#502036); #161594=VERTEX_POINT('',#502040); #161595=VERTEX_POINT('',#502042); #161596=VERTEX_POINT('',#502046); #161597=VERTEX_POINT('',#502048); #161598=VERTEX_POINT('',#502052); #161599=VERTEX_POINT('',#502054); #161600=VERTEX_POINT('',#502058); #161601=VERTEX_POINT('',#502060); #161602=VERTEX_POINT('',#502064); #161603=VERTEX_POINT('',#502066); #161604=VERTEX_POINT('',#502070); #161605=VERTEX_POINT('',#502072); #161606=VERTEX_POINT('',#502076); #161607=VERTEX_POINT('',#502078); #161608=VERTEX_POINT('',#502082); #161609=VERTEX_POINT('',#502084); #161610=VERTEX_POINT('',#502088); #161611=VERTEX_POINT('',#502090); #161612=VERTEX_POINT('',#502094); #161613=VERTEX_POINT('',#502096); #161614=VERTEX_POINT('',#502100); #161615=VERTEX_POINT('',#502102); #161616=VERTEX_POINT('',#502106); #161617=VERTEX_POINT('',#502108); #161618=VERTEX_POINT('',#502112); #161619=VERTEX_POINT('',#502114); #161620=VERTEX_POINT('',#502118); #161621=VERTEX_POINT('',#502120); #161622=VERTEX_POINT('',#502124); #161623=VERTEX_POINT('',#502126); #161624=VERTEX_POINT('',#502130); #161625=VERTEX_POINT('',#502132); #161626=VERTEX_POINT('',#502136); #161627=VERTEX_POINT('',#502138); #161628=VERTEX_POINT('',#502142); #161629=VERTEX_POINT('',#502144); #161630=VERTEX_POINT('',#502148); #161631=VERTEX_POINT('',#502150); #161632=VERTEX_POINT('',#502154); #161633=VERTEX_POINT('',#502156); #161634=VERTEX_POINT('',#502160); #161635=VERTEX_POINT('',#502162); #161636=VERTEX_POINT('',#502166); #161637=VERTEX_POINT('',#502168); #161638=VERTEX_POINT('',#502172); #161639=VERTEX_POINT('',#502174); #161640=VERTEX_POINT('',#502178); #161641=VERTEX_POINT('',#502180); #161642=VERTEX_POINT('',#502184); #161643=VERTEX_POINT('',#502186); #161644=VERTEX_POINT('',#502190); #161645=VERTEX_POINT('',#502192); #161646=VERTEX_POINT('',#502196); #161647=VERTEX_POINT('',#502198); #161648=VERTEX_POINT('',#502202); #161649=VERTEX_POINT('',#502204); #161650=VERTEX_POINT('',#502208); #161651=VERTEX_POINT('',#502210); #161652=VERTEX_POINT('',#502214); #161653=VERTEX_POINT('',#502216); #161654=VERTEX_POINT('',#502220); #161655=VERTEX_POINT('',#502222); #161656=VERTEX_POINT('',#502226); #161657=VERTEX_POINT('',#502228); #161658=VERTEX_POINT('',#502232); #161659=VERTEX_POINT('',#502234); #161660=VERTEX_POINT('',#502238); #161661=VERTEX_POINT('',#502240); #161662=VERTEX_POINT('',#502244); #161663=VERTEX_POINT('',#502246); #161664=VERTEX_POINT('',#502250); #161665=VERTEX_POINT('',#502252); #161666=VERTEX_POINT('',#502256); #161667=VERTEX_POINT('',#502258); #161668=VERTEX_POINT('',#502262); #161669=VERTEX_POINT('',#502264); #161670=VERTEX_POINT('',#502268); #161671=VERTEX_POINT('',#502270); #161672=VERTEX_POINT('',#502274); #161673=VERTEX_POINT('',#502276); #161674=VERTEX_POINT('',#502280); #161675=VERTEX_POINT('',#502282); #161676=VERTEX_POINT('',#502286); #161677=VERTEX_POINT('',#502288); #161678=VERTEX_POINT('',#502292); #161679=VERTEX_POINT('',#502294); #161680=VERTEX_POINT('',#502298); #161681=VERTEX_POINT('',#502300); #161682=VERTEX_POINT('',#502304); #161683=VERTEX_POINT('',#502306); #161684=VERTEX_POINT('',#502310); #161685=VERTEX_POINT('',#502312); #161686=VERTEX_POINT('',#502316); #161687=VERTEX_POINT('',#502318); #161688=VERTEX_POINT('',#502322); #161689=VERTEX_POINT('',#502324); #161690=VERTEX_POINT('',#502328); #161691=VERTEX_POINT('',#502330); #161692=VERTEX_POINT('',#502334); #161693=VERTEX_POINT('',#502336); #161694=VERTEX_POINT('',#502340); #161695=VERTEX_POINT('',#502342); #161696=VERTEX_POINT('',#502346); #161697=VERTEX_POINT('',#502348); #161698=VERTEX_POINT('',#502352); #161699=VERTEX_POINT('',#502354); #161700=VERTEX_POINT('',#502358); #161701=VERTEX_POINT('',#502360); #161702=VERTEX_POINT('',#502364); #161703=VERTEX_POINT('',#502365); #161704=VERTEX_POINT('',#502367); #161705=VERTEX_POINT('',#502369); #161706=VERTEX_POINT('',#502373); #161707=VERTEX_POINT('',#502375); #161708=VERTEX_POINT('',#502379); #161709=VERTEX_POINT('',#502381); #161710=VERTEX_POINT('',#502385); #161711=VERTEX_POINT('',#502387); #161712=VERTEX_POINT('',#502391); #161713=VERTEX_POINT('',#502393); #161714=VERTEX_POINT('',#502397); #161715=VERTEX_POINT('',#502399); #161716=VERTEX_POINT('',#502403); #161717=VERTEX_POINT('',#502405); #161718=VERTEX_POINT('',#502409); #161719=VERTEX_POINT('',#502411); #161720=VERTEX_POINT('',#502415); #161721=VERTEX_POINT('',#502417); #161722=VERTEX_POINT('',#502421); #161723=VERTEX_POINT('',#502423); #161724=VERTEX_POINT('',#502427); #161725=VERTEX_POINT('',#502429); #161726=VERTEX_POINT('',#502433); #161727=VERTEX_POINT('',#502435); #161728=VERTEX_POINT('',#502439); #161729=VERTEX_POINT('',#502441); #161730=VERTEX_POINT('',#502445); #161731=VERTEX_POINT('',#502447); #161732=VERTEX_POINT('',#502451); #161733=VERTEX_POINT('',#502453); #161734=VERTEX_POINT('',#502457); #161735=VERTEX_POINT('',#502459); #161736=VERTEX_POINT('',#502463); #161737=VERTEX_POINT('',#502465); #161738=VERTEX_POINT('',#502469); #161739=VERTEX_POINT('',#502471); #161740=VERTEX_POINT('',#502475); #161741=VERTEX_POINT('',#502477); #161742=VERTEX_POINT('',#502481); #161743=VERTEX_POINT('',#502483); #161744=VERTEX_POINT('',#502487); #161745=VERTEX_POINT('',#502489); #161746=VERTEX_POINT('',#502493); #161747=VERTEX_POINT('',#502495); #161748=VERTEX_POINT('',#502499); #161749=VERTEX_POINT('',#502501); #161750=VERTEX_POINT('',#502505); #161751=VERTEX_POINT('',#502507); #161752=VERTEX_POINT('',#502511); #161753=VERTEX_POINT('',#502513); #161754=VERTEX_POINT('',#502517); #161755=VERTEX_POINT('',#502519); #161756=VERTEX_POINT('',#502523); #161757=VERTEX_POINT('',#502525); #161758=VERTEX_POINT('',#502529); #161759=VERTEX_POINT('',#502531); #161760=VERTEX_POINT('',#502535); #161761=VERTEX_POINT('',#502537); #161762=VERTEX_POINT('',#502541); #161763=VERTEX_POINT('',#502543); #161764=VERTEX_POINT('',#502547); #161765=VERTEX_POINT('',#502549); #161766=VERTEX_POINT('',#502553); #161767=VERTEX_POINT('',#502555); #161768=VERTEX_POINT('',#502559); #161769=VERTEX_POINT('',#502561); #161770=VERTEX_POINT('',#502565); #161771=VERTEX_POINT('',#502567); #161772=VERTEX_POINT('',#502571); #161773=VERTEX_POINT('',#502573); #161774=VERTEX_POINT('',#502577); #161775=VERTEX_POINT('',#502579); #161776=VERTEX_POINT('',#502583); #161777=VERTEX_POINT('',#502585); #161778=VERTEX_POINT('',#502589); #161779=VERTEX_POINT('',#502591); #161780=VERTEX_POINT('',#502595); #161781=VERTEX_POINT('',#502597); #161782=VERTEX_POINT('',#502601); #161783=VERTEX_POINT('',#502603); #161784=VERTEX_POINT('',#502607); #161785=VERTEX_POINT('',#502609); #161786=VERTEX_POINT('',#502613); #161787=VERTEX_POINT('',#502615); #161788=VERTEX_POINT('',#502619); #161789=VERTEX_POINT('',#502621); #161790=VERTEX_POINT('',#502625); #161791=VERTEX_POINT('',#502627); #161792=VERTEX_POINT('',#502631); #161793=VERTEX_POINT('',#502633); #161794=VERTEX_POINT('',#502637); #161795=VERTEX_POINT('',#502639); #161796=VERTEX_POINT('',#502643); #161797=VERTEX_POINT('',#502645); #161798=VERTEX_POINT('',#502649); #161799=VERTEX_POINT('',#502651); #161800=VERTEX_POINT('',#502655); #161801=VERTEX_POINT('',#502657); #161802=VERTEX_POINT('',#502661); #161803=VERTEX_POINT('',#502663); #161804=VERTEX_POINT('',#502667); #161805=VERTEX_POINT('',#502669); #161806=VERTEX_POINT('',#502673); #161807=VERTEX_POINT('',#502675); #161808=VERTEX_POINT('',#502679); #161809=VERTEX_POINT('',#502681); #161810=VERTEX_POINT('',#502685); #161811=VERTEX_POINT('',#502687); #161812=VERTEX_POINT('',#502691); #161813=VERTEX_POINT('',#502693); #161814=VERTEX_POINT('',#502697); #161815=VERTEX_POINT('',#502699); #161816=VERTEX_POINT('',#502703); #161817=VERTEX_POINT('',#502705); #161818=VERTEX_POINT('',#502709); #161819=VERTEX_POINT('',#502711); #161820=VERTEX_POINT('',#502715); #161821=VERTEX_POINT('',#502717); #161822=VERTEX_POINT('',#502721); #161823=VERTEX_POINT('',#502723); #161824=VERTEX_POINT('',#502727); #161825=VERTEX_POINT('',#502729); #161826=VERTEX_POINT('',#502733); #161827=VERTEX_POINT('',#502735); #161828=VERTEX_POINT('',#502739); #161829=VERTEX_POINT('',#502741); #161830=VERTEX_POINT('',#502745); #161831=VERTEX_POINT('',#502747); #161832=VERTEX_POINT('',#502751); #161833=VERTEX_POINT('',#502753); #161834=VERTEX_POINT('',#502757); #161835=VERTEX_POINT('',#502759); #161836=VERTEX_POINT('',#502763); #161837=VERTEX_POINT('',#502765); #161838=VERTEX_POINT('',#502769); #161839=VERTEX_POINT('',#502771); #161840=VERTEX_POINT('',#502775); #161841=VERTEX_POINT('',#502777); #161842=VERTEX_POINT('',#502781); #161843=VERTEX_POINT('',#502783); #161844=VERTEX_POINT('',#502787); #161845=VERTEX_POINT('',#502789); #161846=VERTEX_POINT('',#502793); #161847=VERTEX_POINT('',#502795); #161848=VERTEX_POINT('',#502799); #161849=VERTEX_POINT('',#502801); #161850=VERTEX_POINT('',#502805); #161851=VERTEX_POINT('',#502807); #161852=VERTEX_POINT('',#502811); #161853=VERTEX_POINT('',#502813); #161854=VERTEX_POINT('',#502817); #161855=VERTEX_POINT('',#502819); #161856=VERTEX_POINT('',#502823); #161857=VERTEX_POINT('',#502825); #161858=VERTEX_POINT('',#502829); #161859=VERTEX_POINT('',#502831); #161860=VERTEX_POINT('',#502835); #161861=VERTEX_POINT('',#502837); #161862=VERTEX_POINT('',#502841); #161863=VERTEX_POINT('',#502843); #161864=VERTEX_POINT('',#502847); #161865=VERTEX_POINT('',#502849); #161866=VERTEX_POINT('',#502853); #161867=VERTEX_POINT('',#502855); #161868=VERTEX_POINT('',#502859); #161869=VERTEX_POINT('',#502861); #161870=VERTEX_POINT('',#502865); #161871=VERTEX_POINT('',#502867); #161872=VERTEX_POINT('',#502871); #161873=VERTEX_POINT('',#502873); #161874=VERTEX_POINT('',#502877); #161875=VERTEX_POINT('',#502879); #161876=VERTEX_POINT('',#502883); #161877=VERTEX_POINT('',#502885); #161878=VERTEX_POINT('',#502889); #161879=VERTEX_POINT('',#502891); #161880=VERTEX_POINT('',#502895); #161881=VERTEX_POINT('',#502897); #161882=VERTEX_POINT('',#502901); #161883=VERTEX_POINT('',#502903); #161884=VERTEX_POINT('',#502907); #161885=VERTEX_POINT('',#502909); #161886=VERTEX_POINT('',#502913); #161887=VERTEX_POINT('',#502915); #161888=VERTEX_POINT('',#502919); #161889=VERTEX_POINT('',#502921); #161890=VERTEX_POINT('',#502925); #161891=VERTEX_POINT('',#502927); #161892=VERTEX_POINT('',#502931); #161893=VERTEX_POINT('',#502933); #161894=VERTEX_POINT('',#502937); #161895=VERTEX_POINT('',#502939); #161896=VERTEX_POINT('',#502943); #161897=VERTEX_POINT('',#502945); #161898=VERTEX_POINT('',#502949); #161899=VERTEX_POINT('',#502951); #161900=VERTEX_POINT('',#502955); #161901=VERTEX_POINT('',#502957); #161902=VERTEX_POINT('',#502961); #161903=VERTEX_POINT('',#502963); #161904=VERTEX_POINT('',#502967); #161905=VERTEX_POINT('',#502969); #161906=VERTEX_POINT('',#502973); #161907=VERTEX_POINT('',#502975); #161908=VERTEX_POINT('',#502979); #161909=VERTEX_POINT('',#502981); #161910=VERTEX_POINT('',#502985); #161911=VERTEX_POINT('',#502987); #161912=VERTEX_POINT('',#502991); #161913=VERTEX_POINT('',#502993); #161914=VERTEX_POINT('',#502997); #161915=VERTEX_POINT('',#502999); #161916=VERTEX_POINT('',#503003); #161917=VERTEX_POINT('',#503005); #161918=VERTEX_POINT('',#503009); #161919=VERTEX_POINT('',#503011); #161920=VERTEX_POINT('',#503015); #161921=VERTEX_POINT('',#503017); #161922=VERTEX_POINT('',#503021); #161923=VERTEX_POINT('',#503023); #161924=VERTEX_POINT('',#503027); #161925=VERTEX_POINT('',#503029); #161926=VERTEX_POINT('',#503033); #161927=VERTEX_POINT('',#503035); #161928=VERTEX_POINT('',#503039); #161929=VERTEX_POINT('',#503041); #161930=VERTEX_POINT('',#503045); #161931=VERTEX_POINT('',#503047); #161932=VERTEX_POINT('',#503051); #161933=VERTEX_POINT('',#503053); #161934=VERTEX_POINT('',#503057); #161935=VERTEX_POINT('',#503059); #161936=VERTEX_POINT('',#503063); #161937=VERTEX_POINT('',#503065); #161938=VERTEX_POINT('',#503069); #161939=VERTEX_POINT('',#503071); #161940=VERTEX_POINT('',#503075); #161941=VERTEX_POINT('',#503077); #161942=VERTEX_POINT('',#503081); #161943=VERTEX_POINT('',#503083); #161944=VERTEX_POINT('',#503087); #161945=VERTEX_POINT('',#503089); #161946=VERTEX_POINT('',#503093); #161947=VERTEX_POINT('',#503095); #161948=VERTEX_POINT('',#503099); #161949=VERTEX_POINT('',#503101); #161950=VERTEX_POINT('',#503105); #161951=VERTEX_POINT('',#503107); #161952=VERTEX_POINT('',#503111); #161953=VERTEX_POINT('',#503113); #161954=VERTEX_POINT('',#503117); #161955=VERTEX_POINT('',#503119); #161956=VERTEX_POINT('',#503123); #161957=VERTEX_POINT('',#503125); #161958=VERTEX_POINT('',#503129); #161959=VERTEX_POINT('',#503131); #161960=VERTEX_POINT('',#503135); #161961=VERTEX_POINT('',#503137); #161962=VERTEX_POINT('',#503141); #161963=VERTEX_POINT('',#503143); #161964=VERTEX_POINT('',#503147); #161965=VERTEX_POINT('',#503149); #161966=VERTEX_POINT('',#503156); #161967=VERTEX_POINT('',#503157); #161968=VERTEX_POINT('',#503159); #161969=VERTEX_POINT('',#503161); #161970=VERTEX_POINT('',#503165); #161971=VERTEX_POINT('',#503167); #161972=VERTEX_POINT('',#503171); #161973=VERTEX_POINT('',#503173); #161974=VERTEX_POINT('',#503177); #161975=VERTEX_POINT('',#503179); #161976=VERTEX_POINT('',#503183); #161977=VERTEX_POINT('',#503185); #161978=VERTEX_POINT('',#503189); #161979=VERTEX_POINT('',#503191); #161980=VERTEX_POINT('',#503195); #161981=VERTEX_POINT('',#503197); #161982=VERTEX_POINT('',#503204); #161983=VERTEX_POINT('',#503205); #161984=VERTEX_POINT('',#503207); #161985=VERTEX_POINT('',#503209); #161986=VERTEX_POINT('',#503213); #161987=VERTEX_POINT('',#503215); #161988=VERTEX_POINT('',#503219); #161989=VERTEX_POINT('',#503221); #161990=VERTEX_POINT('',#503225); #161991=VERTEX_POINT('',#503227); #161992=VERTEX_POINT('',#503231); #161993=VERTEX_POINT('',#503233); #161994=VERTEX_POINT('',#503237); #161995=VERTEX_POINT('',#503239); #161996=VERTEX_POINT('',#503243); #161997=VERTEX_POINT('',#503245); #161998=VERTEX_POINT('',#503252); #161999=VERTEX_POINT('',#503253); #162000=VERTEX_POINT('',#503255); #162001=VERTEX_POINT('',#503257); #162002=VERTEX_POINT('',#503261); #162003=VERTEX_POINT('',#503263); #162004=VERTEX_POINT('',#503267); #162005=VERTEX_POINT('',#503269); #162006=VERTEX_POINT('',#503273); #162007=VERTEX_POINT('',#503275); #162008=VERTEX_POINT('',#503279); #162009=VERTEX_POINT('',#503281); #162010=VERTEX_POINT('',#503285); #162011=VERTEX_POINT('',#503287); #162012=VERTEX_POINT('',#503291); #162013=VERTEX_POINT('',#503293); #162014=VERTEX_POINT('',#503300); #162015=VERTEX_POINT('',#503301); #162016=VERTEX_POINT('',#503303); #162017=VERTEX_POINT('',#503305); #162018=VERTEX_POINT('',#503309); #162019=VERTEX_POINT('',#503311); #162020=VERTEX_POINT('',#503315); #162021=VERTEX_POINT('',#503317); #162022=VERTEX_POINT('',#503321); #162023=VERTEX_POINT('',#503323); #162024=VERTEX_POINT('',#503327); #162025=VERTEX_POINT('',#503329); #162026=VERTEX_POINT('',#503333); #162027=VERTEX_POINT('',#503335); #162028=VERTEX_POINT('',#503339); #162029=VERTEX_POINT('',#503341); #162030=VERTEX_POINT('',#503345); #162031=VERTEX_POINT('',#503347); #162032=VERTEX_POINT('',#503351); #162033=VERTEX_POINT('',#503353); #162034=VERTEX_POINT('',#503357); #162035=VERTEX_POINT('',#503359); #162036=VERTEX_POINT('',#503363); #162037=VERTEX_POINT('',#503365); #162038=VERTEX_POINT('',#503369); #162039=VERTEX_POINT('',#503371); #162040=VERTEX_POINT('',#503375); #162041=VERTEX_POINT('',#503377); #162042=VERTEX_POINT('',#503381); #162043=VERTEX_POINT('',#503383); #162044=VERTEX_POINT('',#503387); #162045=VERTEX_POINT('',#503389); #162046=VERTEX_POINT('',#503393); #162047=VERTEX_POINT('',#503395); #162048=VERTEX_POINT('',#503399); #162049=VERTEX_POINT('',#503401); #162050=VERTEX_POINT('',#503405); #162051=VERTEX_POINT('',#503407); #162052=VERTEX_POINT('',#503411); #162053=VERTEX_POINT('',#503413); #162054=VERTEX_POINT('',#503417); #162055=VERTEX_POINT('',#503419); #162056=VERTEX_POINT('',#503423); #162057=VERTEX_POINT('',#503425); #162058=VERTEX_POINT('',#503429); #162059=VERTEX_POINT('',#503431); #162060=VERTEX_POINT('',#503435); #162061=VERTEX_POINT('',#503437); #162062=VERTEX_POINT('',#503441); #162063=VERTEX_POINT('',#503443); #162064=VERTEX_POINT('',#503447); #162065=VERTEX_POINT('',#503449); #162066=VERTEX_POINT('',#503453); #162067=VERTEX_POINT('',#503455); #162068=VERTEX_POINT('',#503459); #162069=VERTEX_POINT('',#503461); #162070=VERTEX_POINT('',#503465); #162071=VERTEX_POINT('',#503467); #162072=VERTEX_POINT('',#503471); #162073=VERTEX_POINT('',#503473); #162074=VERTEX_POINT('',#503477); #162075=VERTEX_POINT('',#503479); #162076=VERTEX_POINT('',#503483); #162077=VERTEX_POINT('',#503485); #162078=VERTEX_POINT('',#503489); #162079=VERTEX_POINT('',#503491); #162080=VERTEX_POINT('',#503495); #162081=VERTEX_POINT('',#503497); #162082=VERTEX_POINT('',#503501); #162083=VERTEX_POINT('',#503503); #162084=VERTEX_POINT('',#503507); #162085=VERTEX_POINT('',#503509); #162086=VERTEX_POINT('',#503513); #162087=VERTEX_POINT('',#503515); #162088=VERTEX_POINT('',#503519); #162089=VERTEX_POINT('',#503521); #162090=VERTEX_POINT('',#503525); #162091=VERTEX_POINT('',#503527); #162092=VERTEX_POINT('',#503531); #162093=VERTEX_POINT('',#503533); #162094=VERTEX_POINT('',#503537); #162095=VERTEX_POINT('',#503539); #162096=VERTEX_POINT('',#503543); #162097=VERTEX_POINT('',#503545); #162098=VERTEX_POINT('',#503549); #162099=VERTEX_POINT('',#503551); #162100=VERTEX_POINT('',#503555); #162101=VERTEX_POINT('',#503557); #162102=VERTEX_POINT('',#503561); #162103=VERTEX_POINT('',#503563); #162104=VERTEX_POINT('',#503567); #162105=VERTEX_POINT('',#503569); #162106=VERTEX_POINT('',#503573); #162107=VERTEX_POINT('',#503575); #162108=VERTEX_POINT('',#503579); #162109=VERTEX_POINT('',#503581); #162110=VERTEX_POINT('',#503585); #162111=VERTEX_POINT('',#503587); #162112=VERTEX_POINT('',#503591); #162113=VERTEX_POINT('',#503593); #162114=VERTEX_POINT('',#503597); #162115=VERTEX_POINT('',#503599); #162116=VERTEX_POINT('',#503603); #162117=VERTEX_POINT('',#503605); #162118=VERTEX_POINT('',#503609); #162119=VERTEX_POINT('',#503611); #162120=VERTEX_POINT('',#503615); #162121=VERTEX_POINT('',#503617); #162122=VERTEX_POINT('',#503621); #162123=VERTEX_POINT('',#503623); #162124=VERTEX_POINT('',#503627); #162125=VERTEX_POINT('',#503629); #162126=VERTEX_POINT('',#503633); #162127=VERTEX_POINT('',#503635); #162128=VERTEX_POINT('',#503639); #162129=VERTEX_POINT('',#503641); #162130=VERTEX_POINT('',#503645); #162131=VERTEX_POINT('',#503647); #162132=VERTEX_POINT('',#503651); #162133=VERTEX_POINT('',#503653); #162134=VERTEX_POINT('',#503660); #162135=VERTEX_POINT('',#503661); #162136=VERTEX_POINT('',#503663); #162137=VERTEX_POINT('',#503665); #162138=VERTEX_POINT('',#503669); #162139=VERTEX_POINT('',#503671); #162140=VERTEX_POINT('',#503675); #162141=VERTEX_POINT('',#503677); #162142=VERTEX_POINT('',#503681); #162143=VERTEX_POINT('',#503683); #162144=VERTEX_POINT('',#503687); #162145=VERTEX_POINT('',#503689); #162146=VERTEX_POINT('',#503693); #162147=VERTEX_POINT('',#503695); #162148=VERTEX_POINT('',#503699); #162149=VERTEX_POINT('',#503701); #162150=VERTEX_POINT('',#503705); #162151=VERTEX_POINT('',#503707); #162152=VERTEX_POINT('',#503711); #162153=VERTEX_POINT('',#503713); #162154=VERTEX_POINT('',#503717); #162155=VERTEX_POINT('',#503719); #162156=VERTEX_POINT('',#503723); #162157=VERTEX_POINT('',#503725); #162158=VERTEX_POINT('',#503729); #162159=VERTEX_POINT('',#503731); #162160=VERTEX_POINT('',#503735); #162161=VERTEX_POINT('',#503737); #162162=VERTEX_POINT('',#503741); #162163=VERTEX_POINT('',#503743); #162164=VERTEX_POINT('',#503747); #162165=VERTEX_POINT('',#503749); #162166=VERTEX_POINT('',#503753); #162167=VERTEX_POINT('',#503755); #162168=VERTEX_POINT('',#503759); #162169=VERTEX_POINT('',#503761); #162170=VERTEX_POINT('',#503765); #162171=VERTEX_POINT('',#503767); #162172=VERTEX_POINT('',#503771); #162173=VERTEX_POINT('',#503773); #162174=VERTEX_POINT('',#503777); #162175=VERTEX_POINT('',#503779); #162176=VERTEX_POINT('',#503783); #162177=VERTEX_POINT('',#503785); #162178=VERTEX_POINT('',#503789); #162179=VERTEX_POINT('',#503791); #162180=VERTEX_POINT('',#503795); #162181=VERTEX_POINT('',#503797); #162182=VERTEX_POINT('',#503801); #162183=VERTEX_POINT('',#503803); #162184=VERTEX_POINT('',#503807); #162185=VERTEX_POINT('',#503809); #162186=VERTEX_POINT('',#503813); #162187=VERTEX_POINT('',#503815); #162188=VERTEX_POINT('',#503819); #162189=VERTEX_POINT('',#503821); #162190=VERTEX_POINT('',#503825); #162191=VERTEX_POINT('',#503827); #162192=VERTEX_POINT('',#503831); #162193=VERTEX_POINT('',#503833); #162194=VERTEX_POINT('',#503837); #162195=VERTEX_POINT('',#503839); #162196=VERTEX_POINT('',#503843); #162197=VERTEX_POINT('',#503845); #162198=VERTEX_POINT('',#503849); #162199=VERTEX_POINT('',#503851); #162200=VERTEX_POINT('',#503855); #162201=VERTEX_POINT('',#503857); #162202=VERTEX_POINT('',#503864); #162203=VERTEX_POINT('',#503865); #162204=VERTEX_POINT('',#503867); #162205=VERTEX_POINT('',#503869); #162206=VERTEX_POINT('',#503873); #162207=VERTEX_POINT('',#503875); #162208=VERTEX_POINT('',#503879); #162209=VERTEX_POINT('',#503881); #162210=VERTEX_POINT('',#503885); #162211=VERTEX_POINT('',#503887); #162212=VERTEX_POINT('',#503891); #162213=VERTEX_POINT('',#503893); #162214=VERTEX_POINT('',#503897); #162215=VERTEX_POINT('',#503899); #162216=VERTEX_POINT('',#503903); #162217=VERTEX_POINT('',#503905); #162218=VERTEX_POINT('',#503909); #162219=VERTEX_POINT('',#503911); #162220=VERTEX_POINT('',#503915); #162221=VERTEX_POINT('',#503917); #162222=VERTEX_POINT('',#503921); #162223=VERTEX_POINT('',#503923); #162224=VERTEX_POINT('',#503927); #162225=VERTEX_POINT('',#503929); #162226=VERTEX_POINT('',#503933); #162227=VERTEX_POINT('',#503935); #162228=VERTEX_POINT('',#503939); #162229=VERTEX_POINT('',#503941); #162230=VERTEX_POINT('',#503945); #162231=VERTEX_POINT('',#503947); #162232=VERTEX_POINT('',#503951); #162233=VERTEX_POINT('',#503953); #162234=VERTEX_POINT('',#503957); #162235=VERTEX_POINT('',#503959); #162236=VERTEX_POINT('',#503963); #162237=VERTEX_POINT('',#503965); #162238=VERTEX_POINT('',#503969); #162239=VERTEX_POINT('',#503971); #162240=VERTEX_POINT('',#503975); #162241=VERTEX_POINT('',#503977); #162242=VERTEX_POINT('',#503981); #162243=VERTEX_POINT('',#503983); #162244=VERTEX_POINT('',#503987); #162245=VERTEX_POINT('',#503989); #162246=VERTEX_POINT('',#503993); #162247=VERTEX_POINT('',#503995); #162248=VERTEX_POINT('',#503999); #162249=VERTEX_POINT('',#504001); #162250=VERTEX_POINT('',#504005); #162251=VERTEX_POINT('',#504007); #162252=VERTEX_POINT('',#504011); #162253=VERTEX_POINT('',#504013); #162254=VERTEX_POINT('',#504017); #162255=VERTEX_POINT('',#504019); #162256=VERTEX_POINT('',#504023); #162257=VERTEX_POINT('',#504025); #162258=VERTEX_POINT('',#504029); #162259=VERTEX_POINT('',#504031); #162260=VERTEX_POINT('',#504035); #162261=VERTEX_POINT('',#504037); #162262=VERTEX_POINT('',#504041); #162263=VERTEX_POINT('',#504043); #162264=VERTEX_POINT('',#504047); #162265=VERTEX_POINT('',#504049); #162266=VERTEX_POINT('',#504053); #162267=VERTEX_POINT('',#504055); #162268=VERTEX_POINT('',#504059); #162269=VERTEX_POINT('',#504061); #162270=VERTEX_POINT('',#504068); #162271=VERTEX_POINT('',#504069); #162272=VERTEX_POINT('',#504071); #162273=VERTEX_POINT('',#504073); #162274=VERTEX_POINT('',#504077); #162275=VERTEX_POINT('',#504079); #162276=VERTEX_POINT('',#504083); #162277=VERTEX_POINT('',#504085); #162278=VERTEX_POINT('',#504089); #162279=VERTEX_POINT('',#504091); #162280=VERTEX_POINT('',#504095); #162281=VERTEX_POINT('',#504097); #162282=VERTEX_POINT('',#504101); #162283=VERTEX_POINT('',#504103); #162284=VERTEX_POINT('',#504107); #162285=VERTEX_POINT('',#504109); #162286=VERTEX_POINT('',#504113); #162287=VERTEX_POINT('',#504115); #162288=VERTEX_POINT('',#504119); #162289=VERTEX_POINT('',#504121); #162290=VERTEX_POINT('',#504125); #162291=VERTEX_POINT('',#504127); #162292=VERTEX_POINT('',#504131); #162293=VERTEX_POINT('',#504133); #162294=VERTEX_POINT('',#504137); #162295=VERTEX_POINT('',#504139); #162296=VERTEX_POINT('',#504143); #162297=VERTEX_POINT('',#504145); #162298=VERTEX_POINT('',#504149); #162299=VERTEX_POINT('',#504151); #162300=VERTEX_POINT('',#504155); #162301=VERTEX_POINT('',#504157); #162302=VERTEX_POINT('',#504161); #162303=VERTEX_POINT('',#504163); #162304=VERTEX_POINT('',#504167); #162305=VERTEX_POINT('',#504169); #162306=VERTEX_POINT('',#504173); #162307=VERTEX_POINT('',#504175); #162308=VERTEX_POINT('',#504179); #162309=VERTEX_POINT('',#504181); #162310=VERTEX_POINT('',#504185); #162311=VERTEX_POINT('',#504187); #162312=VERTEX_POINT('',#504191); #162313=VERTEX_POINT('',#504193); #162314=VERTEX_POINT('',#504197); #162315=VERTEX_POINT('',#504199); #162316=VERTEX_POINT('',#504203); #162317=VERTEX_POINT('',#504205); #162318=VERTEX_POINT('',#504209); #162319=VERTEX_POINT('',#504211); #162320=VERTEX_POINT('',#504215); #162321=VERTEX_POINT('',#504217); #162322=VERTEX_POINT('',#504221); #162323=VERTEX_POINT('',#504223); #162324=VERTEX_POINT('',#504227); #162325=VERTEX_POINT('',#504229); #162326=VERTEX_POINT('',#504233); #162327=VERTEX_POINT('',#504235); #162328=VERTEX_POINT('',#504239); #162329=VERTEX_POINT('',#504241); #162330=VERTEX_POINT('',#504245); #162331=VERTEX_POINT('',#504247); #162332=VERTEX_POINT('',#504251); #162333=VERTEX_POINT('',#504253); #162334=VERTEX_POINT('',#504257); #162335=VERTEX_POINT('',#504259); #162336=VERTEX_POINT('',#504263); #162337=VERTEX_POINT('',#504265); #162338=VERTEX_POINT('',#504269); #162339=VERTEX_POINT('',#504271); #162340=VERTEX_POINT('',#504275); #162341=VERTEX_POINT('',#504277); #162342=VERTEX_POINT('',#504281); #162343=VERTEX_POINT('',#504283); #162344=VERTEX_POINT('',#504287); #162345=VERTEX_POINT('',#504289); #162346=VERTEX_POINT('',#504293); #162347=VERTEX_POINT('',#504295); #162348=VERTEX_POINT('',#504299); #162349=VERTEX_POINT('',#504301); #162350=VERTEX_POINT('',#504308); #162351=VERTEX_POINT('',#504309); #162352=VERTEX_POINT('',#504311); #162353=VERTEX_POINT('',#504313); #162354=VERTEX_POINT('',#504317); #162355=VERTEX_POINT('',#504319); #162356=VERTEX_POINT('',#504323); #162357=VERTEX_POINT('',#504325); #162358=VERTEX_POINT('',#504329); #162359=VERTEX_POINT('',#504331); #162360=VERTEX_POINT('',#504335); #162361=VERTEX_POINT('',#504337); #162362=VERTEX_POINT('',#504341); #162363=VERTEX_POINT('',#504343); #162364=VERTEX_POINT('',#504347); #162365=VERTEX_POINT('',#504349); #162366=VERTEX_POINT('',#504353); #162367=VERTEX_POINT('',#504355); #162368=VERTEX_POINT('',#504359); #162369=VERTEX_POINT('',#504361); #162370=VERTEX_POINT('',#504365); #162371=VERTEX_POINT('',#504367); #162372=VERTEX_POINT('',#504371); #162373=VERTEX_POINT('',#504373); #162374=VERTEX_POINT('',#504377); #162375=VERTEX_POINT('',#504379); #162376=VERTEX_POINT('',#504383); #162377=VERTEX_POINT('',#504385); #162378=VERTEX_POINT('',#504389); #162379=VERTEX_POINT('',#504391); #162380=VERTEX_POINT('',#504395); #162381=VERTEX_POINT('',#504397); #162382=VERTEX_POINT('',#504404); #162383=VERTEX_POINT('',#504405); #162384=VERTEX_POINT('',#504407); #162385=VERTEX_POINT('',#504409); #162386=VERTEX_POINT('',#504413); #162387=VERTEX_POINT('',#504415); #162388=VERTEX_POINT('',#504419); #162389=VERTEX_POINT('',#504421); #162390=VERTEX_POINT('',#504425); #162391=VERTEX_POINT('',#504427); #162392=VERTEX_POINT('',#504431); #162393=VERTEX_POINT('',#504433); #162394=VERTEX_POINT('',#504437); #162395=VERTEX_POINT('',#504439); #162396=VERTEX_POINT('',#504443); #162397=VERTEX_POINT('',#504445); #162398=VERTEX_POINT('',#504449); #162399=VERTEX_POINT('',#504451); #162400=VERTEX_POINT('',#504455); #162401=VERTEX_POINT('',#504457); #162402=VERTEX_POINT('',#504461); #162403=VERTEX_POINT('',#504463); #162404=VERTEX_POINT('',#504467); #162405=VERTEX_POINT('',#504469); #162406=VERTEX_POINT('',#504473); #162407=VERTEX_POINT('',#504475); #162408=VERTEX_POINT('',#504479); #162409=VERTEX_POINT('',#504481); #162410=VERTEX_POINT('',#504485); #162411=VERTEX_POINT('',#504487); #162412=VERTEX_POINT('',#504491); #162413=VERTEX_POINT('',#504493); #162414=VERTEX_POINT('',#504497); #162415=VERTEX_POINT('',#504499); #162416=VERTEX_POINT('',#504503); #162417=VERTEX_POINT('',#504505); #162418=VERTEX_POINT('',#504509); #162419=VERTEX_POINT('',#504511); #162420=VERTEX_POINT('',#504515); #162421=VERTEX_POINT('',#504517); #162422=VERTEX_POINT('',#504521); #162423=VERTEX_POINT('',#504523); #162424=VERTEX_POINT('',#504527); #162425=VERTEX_POINT('',#504529); #162426=VERTEX_POINT('',#504533); #162427=VERTEX_POINT('',#504535); #162428=VERTEX_POINT('',#504539); #162429=VERTEX_POINT('',#504541); #162430=VERTEX_POINT('',#504545); #162431=VERTEX_POINT('',#504547); #162432=VERTEX_POINT('',#504551); #162433=VERTEX_POINT('',#504553); #162434=VERTEX_POINT('',#504557); #162435=VERTEX_POINT('',#504559); #162436=VERTEX_POINT('',#504563); #162437=VERTEX_POINT('',#504565); #162438=VERTEX_POINT('',#504569); #162439=VERTEX_POINT('',#504571); #162440=VERTEX_POINT('',#504575); #162441=VERTEX_POINT('',#504577); #162442=VERTEX_POINT('',#504581); #162443=VERTEX_POINT('',#504583); #162444=VERTEX_POINT('',#504587); #162445=VERTEX_POINT('',#504589); #162446=VERTEX_POINT('',#504593); #162447=VERTEX_POINT('',#504595); #162448=VERTEX_POINT('',#504599); #162449=VERTEX_POINT('',#504601); #162450=VERTEX_POINT('',#504605); #162451=VERTEX_POINT('',#504607); #162452=VERTEX_POINT('',#504611); #162453=VERTEX_POINT('',#504613); #162454=VERTEX_POINT('',#504617); #162455=VERTEX_POINT('',#504619); #162456=VERTEX_POINT('',#504623); #162457=VERTEX_POINT('',#504625); #162458=VERTEX_POINT('',#504629); #162459=VERTEX_POINT('',#504631); #162460=VERTEX_POINT('',#504635); #162461=VERTEX_POINT('',#504637); #162462=VERTEX_POINT('',#504641); #162463=VERTEX_POINT('',#504643); #162464=VERTEX_POINT('',#504647); #162465=VERTEX_POINT('',#504649); #162466=VERTEX_POINT('',#504653); #162467=VERTEX_POINT('',#504655); #162468=VERTEX_POINT('',#504659); #162469=VERTEX_POINT('',#504661); #162470=VERTEX_POINT('',#504665); #162471=VERTEX_POINT('',#504667); #162472=VERTEX_POINT('',#504671); #162473=VERTEX_POINT('',#504673); #162474=VERTEX_POINT('',#504677); #162475=VERTEX_POINT('',#504679); #162476=VERTEX_POINT('',#504683); #162477=VERTEX_POINT('',#504685); #162478=VERTEX_POINT('',#504689); #162479=VERTEX_POINT('',#504691); #162480=VERTEX_POINT('',#504695); #162481=VERTEX_POINT('',#504697); #162482=VERTEX_POINT('',#504701); #162483=VERTEX_POINT('',#504703); #162484=VERTEX_POINT('',#504707); #162485=VERTEX_POINT('',#504709); #162486=VERTEX_POINT('',#504713); #162487=VERTEX_POINT('',#504715); #162488=VERTEX_POINT('',#504719); #162489=VERTEX_POINT('',#504721); #162490=VERTEX_POINT('',#504725); #162491=VERTEX_POINT('',#504727); #162492=VERTEX_POINT('',#504731); #162493=VERTEX_POINT('',#504733); #162494=VERTEX_POINT('',#504737); #162495=VERTEX_POINT('',#504739); #162496=VERTEX_POINT('',#504743); #162497=VERTEX_POINT('',#504745); #162498=VERTEX_POINT('',#504749); #162499=VERTEX_POINT('',#504751); #162500=VERTEX_POINT('',#504755); #162501=VERTEX_POINT('',#504757); #162502=VERTEX_POINT('',#504761); #162503=VERTEX_POINT('',#504763); #162504=VERTEX_POINT('',#504767); #162505=VERTEX_POINT('',#504769); #162506=VERTEX_POINT('',#504773); #162507=VERTEX_POINT('',#504775); #162508=VERTEX_POINT('',#504779); #162509=VERTEX_POINT('',#504781); #162510=VERTEX_POINT('',#504785); #162511=VERTEX_POINT('',#504787); #162512=VERTEX_POINT('',#504791); #162513=VERTEX_POINT('',#504793); #162514=VERTEX_POINT('',#504797); #162515=VERTEX_POINT('',#504799); #162516=VERTEX_POINT('',#504803); #162517=VERTEX_POINT('',#504805); #162518=VERTEX_POINT('',#504809); #162519=VERTEX_POINT('',#504811); #162520=VERTEX_POINT('',#504815); #162521=VERTEX_POINT('',#504817); #162522=VERTEX_POINT('',#504821); #162523=VERTEX_POINT('',#504823); #162524=VERTEX_POINT('',#504827); #162525=VERTEX_POINT('',#504829); #162526=VERTEX_POINT('',#504833); #162527=VERTEX_POINT('',#504835); #162528=VERTEX_POINT('',#504839); #162529=VERTEX_POINT('',#504841); #162530=VERTEX_POINT('',#504845); #162531=VERTEX_POINT('',#504847); #162532=VERTEX_POINT('',#504851); #162533=VERTEX_POINT('',#504853); #162534=VERTEX_POINT('',#504857); #162535=VERTEX_POINT('',#504859); #162536=VERTEX_POINT('',#504863); #162537=VERTEX_POINT('',#504865); #162538=VERTEX_POINT('',#504869); #162539=VERTEX_POINT('',#504871); #162540=VERTEX_POINT('',#504875); #162541=VERTEX_POINT('',#504877); #162542=VERTEX_POINT('',#504881); #162543=VERTEX_POINT('',#504883); #162544=VERTEX_POINT('',#504887); #162545=VERTEX_POINT('',#504889); #162546=VERTEX_POINT('',#504893); #162547=VERTEX_POINT('',#504895); #162548=VERTEX_POINT('',#504899); #162549=VERTEX_POINT('',#504901); #162550=VERTEX_POINT('',#504905); #162551=VERTEX_POINT('',#504907); #162552=VERTEX_POINT('',#504911); #162553=VERTEX_POINT('',#504913); #162554=VERTEX_POINT('',#504917); #162555=VERTEX_POINT('',#504919); #162556=VERTEX_POINT('',#504923); #162557=VERTEX_POINT('',#504925); #162558=VERTEX_POINT('',#504929); #162559=VERTEX_POINT('',#504931); #162560=VERTEX_POINT('',#504935); #162561=VERTEX_POINT('',#504937); #162562=VERTEX_POINT('',#504941); #162563=VERTEX_POINT('',#504943); #162564=VERTEX_POINT('',#504947); #162565=VERTEX_POINT('',#504949); #162566=VERTEX_POINT('',#504953); #162567=VERTEX_POINT('',#504955); #162568=VERTEX_POINT('',#504959); #162569=VERTEX_POINT('',#504961); #162570=VERTEX_POINT('',#504965); #162571=VERTEX_POINT('',#504967); #162572=VERTEX_POINT('',#504971); #162573=VERTEX_POINT('',#504973); #162574=VERTEX_POINT('',#504977); #162575=VERTEX_POINT('',#504979); #162576=VERTEX_POINT('',#504983); #162577=VERTEX_POINT('',#504985); #162578=VERTEX_POINT('',#504989); #162579=VERTEX_POINT('',#504991); #162580=VERTEX_POINT('',#504995); #162581=VERTEX_POINT('',#504997); #162582=VERTEX_POINT('',#505001); #162583=VERTEX_POINT('',#505003); #162584=VERTEX_POINT('',#505007); #162585=VERTEX_POINT('',#505009); #162586=VERTEX_POINT('',#505013); #162587=VERTEX_POINT('',#505015); #162588=VERTEX_POINT('',#505019); #162589=VERTEX_POINT('',#505021); #162590=VERTEX_POINT('',#505025); #162591=VERTEX_POINT('',#505027); #162592=VERTEX_POINT('',#505031); #162593=VERTEX_POINT('',#505033); #162594=VERTEX_POINT('',#505037); #162595=VERTEX_POINT('',#505039); #162596=VERTEX_POINT('',#505043); #162597=VERTEX_POINT('',#505045); #162598=VERTEX_POINT('',#505049); #162599=VERTEX_POINT('',#505051); #162600=VERTEX_POINT('',#505055); #162601=VERTEX_POINT('',#505057); #162602=VERTEX_POINT('',#505061); #162603=VERTEX_POINT('',#505063); #162604=VERTEX_POINT('',#505067); #162605=VERTEX_POINT('',#505069); #162606=VERTEX_POINT('',#505073); #162607=VERTEX_POINT('',#505075); #162608=VERTEX_POINT('',#505079); #162609=VERTEX_POINT('',#505081); #162610=VERTEX_POINT('',#505085); #162611=VERTEX_POINT('',#505087); #162612=VERTEX_POINT('',#505091); #162613=VERTEX_POINT('',#505093); #162614=VERTEX_POINT('',#505097); #162615=VERTEX_POINT('',#505099); #162616=VERTEX_POINT('',#505103); #162617=VERTEX_POINT('',#505105); #162618=VERTEX_POINT('',#505109); #162619=VERTEX_POINT('',#505111); #162620=VERTEX_POINT('',#505115); #162621=VERTEX_POINT('',#505117); #162622=VERTEX_POINT('',#505121); #162623=VERTEX_POINT('',#505123); #162624=VERTEX_POINT('',#505127); #162625=VERTEX_POINT('',#505129); #162626=VERTEX_POINT('',#505133); #162627=VERTEX_POINT('',#505135); #162628=VERTEX_POINT('',#505139); #162629=VERTEX_POINT('',#505141); #162630=VERTEX_POINT('',#505145); #162631=VERTEX_POINT('',#505147); #162632=VERTEX_POINT('',#505151); #162633=VERTEX_POINT('',#505153); #162634=VERTEX_POINT('',#505157); #162635=VERTEX_POINT('',#505159); #162636=VERTEX_POINT('',#505163); #162637=VERTEX_POINT('',#505165); #162638=VERTEX_POINT('',#505169); #162639=VERTEX_POINT('',#505171); #162640=VERTEX_POINT('',#505175); #162641=VERTEX_POINT('',#505177); #162642=VERTEX_POINT('',#505181); #162643=VERTEX_POINT('',#505183); #162644=VERTEX_POINT('',#505187); #162645=VERTEX_POINT('',#505189); #162646=VERTEX_POINT('',#505193); #162647=VERTEX_POINT('',#505195); #162648=VERTEX_POINT('',#505199); #162649=VERTEX_POINT('',#505201); #162650=VERTEX_POINT('',#505205); #162651=VERTEX_POINT('',#505207); #162652=VERTEX_POINT('',#505211); #162653=VERTEX_POINT('',#505213); #162654=VERTEX_POINT('',#505217); #162655=VERTEX_POINT('',#505219); #162656=VERTEX_POINT('',#505223); #162657=VERTEX_POINT('',#505225); #162658=VERTEX_POINT('',#505229); #162659=VERTEX_POINT('',#505231); #162660=VERTEX_POINT('',#505235); #162661=VERTEX_POINT('',#505237); #162662=VERTEX_POINT('',#505241); #162663=VERTEX_POINT('',#505243); #162664=VERTEX_POINT('',#505247); #162665=VERTEX_POINT('',#505249); #162666=VERTEX_POINT('',#505253); #162667=VERTEX_POINT('',#505255); #162668=VERTEX_POINT('',#505259); #162669=VERTEX_POINT('',#505261); #162670=VERTEX_POINT('',#505265); #162671=VERTEX_POINT('',#505267); #162672=VERTEX_POINT('',#505271); #162673=VERTEX_POINT('',#505273); #162674=VERTEX_POINT('',#505277); #162675=VERTEX_POINT('',#505279); #162676=VERTEX_POINT('',#505283); #162677=VERTEX_POINT('',#505285); #162678=VERTEX_POINT('',#505289); #162679=VERTEX_POINT('',#505291); #162680=VERTEX_POINT('',#505295); #162681=VERTEX_POINT('',#505297); #162682=VERTEX_POINT('',#505301); #162683=VERTEX_POINT('',#505303); #162684=VERTEX_POINT('',#505307); #162685=VERTEX_POINT('',#505309); #162686=VERTEX_POINT('',#505313); #162687=VERTEX_POINT('',#505315); #162688=VERTEX_POINT('',#505319); #162689=VERTEX_POINT('',#505321); #162690=VERTEX_POINT('',#505325); #162691=VERTEX_POINT('',#505327); #162692=VERTEX_POINT('',#505331); #162693=VERTEX_POINT('',#505333); #162694=VERTEX_POINT('',#505337); #162695=VERTEX_POINT('',#505339); #162696=VERTEX_POINT('',#505343); #162697=VERTEX_POINT('',#505345); #162698=VERTEX_POINT('',#505349); #162699=VERTEX_POINT('',#505351); #162700=VERTEX_POINT('',#505355); #162701=VERTEX_POINT('',#505357); #162702=VERTEX_POINT('',#505361); #162703=VERTEX_POINT('',#505363); #162704=VERTEX_POINT('',#505367); #162705=VERTEX_POINT('',#505369); #162706=VERTEX_POINT('',#505373); #162707=VERTEX_POINT('',#505375); #162708=VERTEX_POINT('',#505379); #162709=VERTEX_POINT('',#505381); #162710=VERTEX_POINT('',#505385); #162711=VERTEX_POINT('',#505387); #162712=VERTEX_POINT('',#505391); #162713=VERTEX_POINT('',#505393); #162714=VERTEX_POINT('',#505397); #162715=VERTEX_POINT('',#505399); #162716=VERTEX_POINT('',#505403); #162717=VERTEX_POINT('',#505405); #162718=VERTEX_POINT('',#505409); #162719=VERTEX_POINT('',#505411); #162720=VERTEX_POINT('',#505415); #162721=VERTEX_POINT('',#505417); #162722=VERTEX_POINT('',#505421); #162723=VERTEX_POINT('',#505423); #162724=VERTEX_POINT('',#505427); #162725=VERTEX_POINT('',#505429); #162726=VERTEX_POINT('',#505433); #162727=VERTEX_POINT('',#505435); #162728=VERTEX_POINT('',#505439); #162729=VERTEX_POINT('',#505441); #162730=VERTEX_POINT('',#505445); #162731=VERTEX_POINT('',#505447); #162732=VERTEX_POINT('',#505451); #162733=VERTEX_POINT('',#505453); #162734=VERTEX_POINT('',#505457); #162735=VERTEX_POINT('',#505459); #162736=VERTEX_POINT('',#505463); #162737=VERTEX_POINT('',#505465); #162738=VERTEX_POINT('',#505469); #162739=VERTEX_POINT('',#505471); #162740=VERTEX_POINT('',#505475); #162741=VERTEX_POINT('',#505477); #162742=VERTEX_POINT('',#505481); #162743=VERTEX_POINT('',#505483); #162744=VERTEX_POINT('',#505487); #162745=VERTEX_POINT('',#505489); #162746=VERTEX_POINT('',#505493); #162747=VERTEX_POINT('',#505495); #162748=VERTEX_POINT('',#505499); #162749=VERTEX_POINT('',#505501); #162750=VERTEX_POINT('',#505505); #162751=VERTEX_POINT('',#505507); #162752=VERTEX_POINT('',#505511); #162753=VERTEX_POINT('',#505513); #162754=VERTEX_POINT('',#505517); #162755=VERTEX_POINT('',#505519); #162756=VERTEX_POINT('',#505523); #162757=VERTEX_POINT('',#505525); #162758=VERTEX_POINT('',#505529); #162759=VERTEX_POINT('',#505531); #162760=VERTEX_POINT('',#505535); #162761=VERTEX_POINT('',#505537); #162762=VERTEX_POINT('',#505541); #162763=VERTEX_POINT('',#505543); #162764=VERTEX_POINT('',#505547); #162765=VERTEX_POINT('',#505549); #162766=VERTEX_POINT('',#505553); #162767=VERTEX_POINT('',#505555); #162768=VERTEX_POINT('',#505559); #162769=VERTEX_POINT('',#505561); #162770=VERTEX_POINT('',#505565); #162771=VERTEX_POINT('',#505567); #162772=VERTEX_POINT('',#505571); #162773=VERTEX_POINT('',#505573); #162774=VERTEX_POINT('',#505577); #162775=VERTEX_POINT('',#505579); #162776=VERTEX_POINT('',#505583); #162777=VERTEX_POINT('',#505585); #162778=VERTEX_POINT('',#505589); #162779=VERTEX_POINT('',#505591); #162780=VERTEX_POINT('',#505595); #162781=VERTEX_POINT('',#505597); #162782=VERTEX_POINT('',#505601); #162783=VERTEX_POINT('',#505603); #162784=VERTEX_POINT('',#505607); #162785=VERTEX_POINT('',#505609); #162786=VERTEX_POINT('',#505613); #162787=VERTEX_POINT('',#505615); #162788=VERTEX_POINT('',#505619); #162789=VERTEX_POINT('',#505621); #162790=VERTEX_POINT('',#505625); #162791=VERTEX_POINT('',#505627); #162792=VERTEX_POINT('',#505631); #162793=VERTEX_POINT('',#505633); #162794=VERTEX_POINT('',#505637); #162795=VERTEX_POINT('',#505639); #162796=VERTEX_POINT('',#505643); #162797=VERTEX_POINT('',#505645); #162798=VERTEX_POINT('',#505649); #162799=VERTEX_POINT('',#505651); #162800=VERTEX_POINT('',#505655); #162801=VERTEX_POINT('',#505657); #162802=VERTEX_POINT('',#505661); #162803=VERTEX_POINT('',#505663); #162804=VERTEX_POINT('',#505667); #162805=VERTEX_POINT('',#505669); #162806=VERTEX_POINT('',#505673); #162807=VERTEX_POINT('',#505675); #162808=VERTEX_POINT('',#505679); #162809=VERTEX_POINT('',#505681); #162810=VERTEX_POINT('',#505685); #162811=VERTEX_POINT('',#505687); #162812=VERTEX_POINT('',#505691); #162813=VERTEX_POINT('',#505693); #162814=VERTEX_POINT('',#505697); #162815=VERTEX_POINT('',#505699); #162816=VERTEX_POINT('',#505703); #162817=VERTEX_POINT('',#505705); #162818=VERTEX_POINT('',#505709); #162819=VERTEX_POINT('',#505711); #162820=VERTEX_POINT('',#505715); #162821=VERTEX_POINT('',#505717); #162822=VERTEX_POINT('',#505721); #162823=VERTEX_POINT('',#505723); #162824=VERTEX_POINT('',#505727); #162825=VERTEX_POINT('',#505729); #162826=VERTEX_POINT('',#505733); #162827=VERTEX_POINT('',#505735); #162828=VERTEX_POINT('',#505739); #162829=VERTEX_POINT('',#505741); #162830=VERTEX_POINT('',#505745); #162831=VERTEX_POINT('',#505747); #162832=VERTEX_POINT('',#505751); #162833=VERTEX_POINT('',#505753); #162834=VERTEX_POINT('',#505757); #162835=VERTEX_POINT('',#505759); #162836=VERTEX_POINT('',#505763); #162837=VERTEX_POINT('',#505765); #162838=VERTEX_POINT('',#505769); #162839=VERTEX_POINT('',#505771); #162840=VERTEX_POINT('',#505775); #162841=VERTEX_POINT('',#505777); #162842=VERTEX_POINT('',#505781); #162843=VERTEX_POINT('',#505783); #162844=VERTEX_POINT('',#505787); #162845=VERTEX_POINT('',#505789); #162846=VERTEX_POINT('',#505793); #162847=VERTEX_POINT('',#505795); #162848=VERTEX_POINT('',#505799); #162849=VERTEX_POINT('',#505801); #162850=VERTEX_POINT('',#505805); #162851=VERTEX_POINT('',#505807); #162852=VERTEX_POINT('',#505811); #162853=VERTEX_POINT('',#505813); #162854=VERTEX_POINT('',#505817); #162855=VERTEX_POINT('',#505819); #162856=VERTEX_POINT('',#505823); #162857=VERTEX_POINT('',#505825); #162858=VERTEX_POINT('',#505829); #162859=VERTEX_POINT('',#505831); #162860=VERTEX_POINT('',#505835); #162861=VERTEX_POINT('',#505837); #162862=VERTEX_POINT('',#505841); #162863=VERTEX_POINT('',#505843); #162864=VERTEX_POINT('',#505847); #162865=VERTEX_POINT('',#505849); #162866=VERTEX_POINT('',#505853); #162867=VERTEX_POINT('',#505855); #162868=VERTEX_POINT('',#505859); #162869=VERTEX_POINT('',#505861); #162870=VERTEX_POINT('',#505865); #162871=VERTEX_POINT('',#505867); #162872=VERTEX_POINT('',#505871); #162873=VERTEX_POINT('',#505873); #162874=VERTEX_POINT('',#505877); #162875=VERTEX_POINT('',#505879); #162876=VERTEX_POINT('',#505883); #162877=VERTEX_POINT('',#505885); #162878=VERTEX_POINT('',#505889); #162879=VERTEX_POINT('',#505891); #162880=VERTEX_POINT('',#505895); #162881=VERTEX_POINT('',#505897); #162882=VERTEX_POINT('',#505901); #162883=VERTEX_POINT('',#505903); #162884=VERTEX_POINT('',#505907); #162885=VERTEX_POINT('',#505909); #162886=VERTEX_POINT('',#505913); #162887=VERTEX_POINT('',#505915); #162888=VERTEX_POINT('',#505919); #162889=VERTEX_POINT('',#505921); #162890=VERTEX_POINT('',#505925); #162891=VERTEX_POINT('',#505927); #162892=VERTEX_POINT('',#505931); #162893=VERTEX_POINT('',#505933); #162894=VERTEX_POINT('',#505937); #162895=VERTEX_POINT('',#505939); #162896=VERTEX_POINT('',#505943); #162897=VERTEX_POINT('',#505945); #162898=VERTEX_POINT('',#505949); #162899=VERTEX_POINT('',#505951); #162900=VERTEX_POINT('',#505955); #162901=VERTEX_POINT('',#505957); #162902=VERTEX_POINT('',#505961); #162903=VERTEX_POINT('',#505963); #162904=VERTEX_POINT('',#505967); #162905=VERTEX_POINT('',#505969); #162906=VERTEX_POINT('',#505973); #162907=VERTEX_POINT('',#505975); #162908=VERTEX_POINT('',#505979); #162909=VERTEX_POINT('',#505981); #162910=VERTEX_POINT('',#505985); #162911=VERTEX_POINT('',#505987); #162912=VERTEX_POINT('',#505991); #162913=VERTEX_POINT('',#505993); #162914=VERTEX_POINT('',#505997); #162915=VERTEX_POINT('',#505999); #162916=VERTEX_POINT('',#506003); #162917=VERTEX_POINT('',#506005); #162918=VERTEX_POINT('',#506009); #162919=VERTEX_POINT('',#506011); #162920=VERTEX_POINT('',#506015); #162921=VERTEX_POINT('',#506017); #162922=VERTEX_POINT('',#506021); #162923=VERTEX_POINT('',#506023); #162924=VERTEX_POINT('',#506027); #162925=VERTEX_POINT('',#506029); #162926=VERTEX_POINT('',#506033); #162927=VERTEX_POINT('',#506035); #162928=VERTEX_POINT('',#506039); #162929=VERTEX_POINT('',#506041); #162930=VERTEX_POINT('',#506045); #162931=VERTEX_POINT('',#506047); #162932=VERTEX_POINT('',#506051); #162933=VERTEX_POINT('',#506053); #162934=VERTEX_POINT('',#506057); #162935=VERTEX_POINT('',#506059); #162936=VERTEX_POINT('',#506063); #162937=VERTEX_POINT('',#506065); #162938=VERTEX_POINT('',#506069); #162939=VERTEX_POINT('',#506071); #162940=VERTEX_POINT('',#506075); #162941=VERTEX_POINT('',#506077); #162942=VERTEX_POINT('',#506081); #162943=VERTEX_POINT('',#506083); #162944=VERTEX_POINT('',#506087); #162945=VERTEX_POINT('',#506089); #162946=VERTEX_POINT('',#506093); #162947=VERTEX_POINT('',#506095); #162948=VERTEX_POINT('',#506099); #162949=VERTEX_POINT('',#506101); #162950=VERTEX_POINT('',#506105); #162951=VERTEX_POINT('',#506107); #162952=VERTEX_POINT('',#506111); #162953=VERTEX_POINT('',#506113); #162954=VERTEX_POINT('',#506117); #162955=VERTEX_POINT('',#506119); #162956=VERTEX_POINT('',#506123); #162957=VERTEX_POINT('',#506125); #162958=VERTEX_POINT('',#506129); #162959=VERTEX_POINT('',#506131); #162960=VERTEX_POINT('',#506135); #162961=VERTEX_POINT('',#506137); #162962=VERTEX_POINT('',#506141); #162963=VERTEX_POINT('',#506143); #162964=VERTEX_POINT('',#506147); #162965=VERTEX_POINT('',#506149); #162966=VERTEX_POINT('',#506153); #162967=VERTEX_POINT('',#506155); #162968=VERTEX_POINT('',#506159); #162969=VERTEX_POINT('',#506161); #162970=VERTEX_POINT('',#506165); #162971=VERTEX_POINT('',#506167); #162972=VERTEX_POINT('',#506171); #162973=VERTEX_POINT('',#506173); #162974=VERTEX_POINT('',#506177); #162975=VERTEX_POINT('',#506179); #162976=VERTEX_POINT('',#506183); #162977=VERTEX_POINT('',#506185); #162978=VERTEX_POINT('',#506189); #162979=VERTEX_POINT('',#506191); #162980=VERTEX_POINT('',#506195); #162981=VERTEX_POINT('',#506197); #162982=VERTEX_POINT('',#506201); #162983=VERTEX_POINT('',#506203); #162984=VERTEX_POINT('',#506207); #162985=VERTEX_POINT('',#506209); #162986=VERTEX_POINT('',#506213); #162987=VERTEX_POINT('',#506215); #162988=VERTEX_POINT('',#506219); #162989=VERTEX_POINT('',#506221); #162990=VERTEX_POINT('',#506225); #162991=VERTEX_POINT('',#506227); #162992=VERTEX_POINT('',#506231); #162993=VERTEX_POINT('',#506233); #162994=VERTEX_POINT('',#506237); #162995=VERTEX_POINT('',#506239); #162996=VERTEX_POINT('',#506243); #162997=VERTEX_POINT('',#506245); #162998=VERTEX_POINT('',#506249); #162999=VERTEX_POINT('',#506251); #163000=VERTEX_POINT('',#506255); #163001=VERTEX_POINT('',#506257); #163002=VERTEX_POINT('',#506261); #163003=VERTEX_POINT('',#506263); #163004=VERTEX_POINT('',#506267); #163005=VERTEX_POINT('',#506269); #163006=VERTEX_POINT('',#506273); #163007=VERTEX_POINT('',#506275); #163008=VERTEX_POINT('',#506279); #163009=VERTEX_POINT('',#506281); #163010=VERTEX_POINT('',#506285); #163011=VERTEX_POINT('',#506287); #163012=VERTEX_POINT('',#506291); #163013=VERTEX_POINT('',#506293); #163014=VERTEX_POINT('',#506297); #163015=VERTEX_POINT('',#506299); #163016=VERTEX_POINT('',#506303); #163017=VERTEX_POINT('',#506305); #163018=VERTEX_POINT('',#506309); #163019=VERTEX_POINT('',#506311); #163020=VERTEX_POINT('',#506315); #163021=VERTEX_POINT('',#506317); #163022=VERTEX_POINT('',#506321); #163023=VERTEX_POINT('',#506323); #163024=VERTEX_POINT('',#506327); #163025=VERTEX_POINT('',#506329); #163026=VERTEX_POINT('',#506333); #163027=VERTEX_POINT('',#506335); #163028=VERTEX_POINT('',#506339); #163029=VERTEX_POINT('',#506341); #163030=VERTEX_POINT('',#506345); #163031=VERTEX_POINT('',#506347); #163032=VERTEX_POINT('',#506351); #163033=VERTEX_POINT('',#506353); #163034=VERTEX_POINT('',#506357); #163035=VERTEX_POINT('',#506359); #163036=VERTEX_POINT('',#506363); #163037=VERTEX_POINT('',#506365); #163038=VERTEX_POINT('',#506369); #163039=VERTEX_POINT('',#506371); #163040=VERTEX_POINT('',#506375); #163041=VERTEX_POINT('',#506377); #163042=VERTEX_POINT('',#506381); #163043=VERTEX_POINT('',#506383); #163044=VERTEX_POINT('',#506387); #163045=VERTEX_POINT('',#506389); #163046=VERTEX_POINT('',#506393); #163047=VERTEX_POINT('',#506395); #163048=VERTEX_POINT('',#506399); #163049=VERTEX_POINT('',#506401); #163050=VERTEX_POINT('',#506405); #163051=VERTEX_POINT('',#506407); #163052=VERTEX_POINT('',#506411); #163053=VERTEX_POINT('',#506413); #163054=VERTEX_POINT('',#506417); #163055=VERTEX_POINT('',#506419); #163056=VERTEX_POINT('',#506423); #163057=VERTEX_POINT('',#506425); #163058=VERTEX_POINT('',#506429); #163059=VERTEX_POINT('',#506431); #163060=VERTEX_POINT('',#506435); #163061=VERTEX_POINT('',#506437); #163062=VERTEX_POINT('',#506441); #163063=VERTEX_POINT('',#506443); #163064=VERTEX_POINT('',#506447); #163065=VERTEX_POINT('',#506449); #163066=VERTEX_POINT('',#506453); #163067=VERTEX_POINT('',#506455); #163068=VERTEX_POINT('',#506459); #163069=VERTEX_POINT('',#506461); #163070=VERTEX_POINT('',#506465); #163071=VERTEX_POINT('',#506467); #163072=VERTEX_POINT('',#506471); #163073=VERTEX_POINT('',#506473); #163074=VERTEX_POINT('',#506477); #163075=VERTEX_POINT('',#506479); #163076=VERTEX_POINT('',#506483); #163077=VERTEX_POINT('',#506485); #163078=VERTEX_POINT('',#506489); #163079=VERTEX_POINT('',#506491); #163080=VERTEX_POINT('',#506495); #163081=VERTEX_POINT('',#506497); #163082=VERTEX_POINT('',#506501); #163083=VERTEX_POINT('',#506503); #163084=VERTEX_POINT('',#506507); #163085=VERTEX_POINT('',#506509); #163086=VERTEX_POINT('',#506513); #163087=VERTEX_POINT('',#506515); #163088=VERTEX_POINT('',#506519); #163089=VERTEX_POINT('',#506521); #163090=VERTEX_POINT('',#506525); #163091=VERTEX_POINT('',#506527); #163092=VERTEX_POINT('',#506531); #163093=VERTEX_POINT('',#506533); #163094=VERTEX_POINT('',#506537); #163095=VERTEX_POINT('',#506539); #163096=VERTEX_POINT('',#506543); #163097=VERTEX_POINT('',#506545); #163098=VERTEX_POINT('',#506549); #163099=VERTEX_POINT('',#506551); #163100=VERTEX_POINT('',#506555); #163101=VERTEX_POINT('',#506557); #163102=VERTEX_POINT('',#506561); #163103=VERTEX_POINT('',#506563); #163104=VERTEX_POINT('',#506567); #163105=VERTEX_POINT('',#506569); #163106=VERTEX_POINT('',#506573); #163107=VERTEX_POINT('',#506575); #163108=VERTEX_POINT('',#506579); #163109=VERTEX_POINT('',#506581); #163110=VERTEX_POINT('',#506585); #163111=VERTEX_POINT('',#506587); #163112=VERTEX_POINT('',#506591); #163113=VERTEX_POINT('',#506593); #163114=VERTEX_POINT('',#506597); #163115=VERTEX_POINT('',#506599); #163116=VERTEX_POINT('',#506603); #163117=VERTEX_POINT('',#506605); #163118=VERTEX_POINT('',#506609); #163119=VERTEX_POINT('',#506611); #163120=VERTEX_POINT('',#506615); #163121=VERTEX_POINT('',#506617); #163122=VERTEX_POINT('',#506621); #163123=VERTEX_POINT('',#506623); #163124=VERTEX_POINT('',#506627); #163125=VERTEX_POINT('',#506629); #163126=VERTEX_POINT('',#506633); #163127=VERTEX_POINT('',#506635); #163128=VERTEX_POINT('',#506639); #163129=VERTEX_POINT('',#506641); #163130=VERTEX_POINT('',#506645); #163131=VERTEX_POINT('',#506647); #163132=VERTEX_POINT('',#506651); #163133=VERTEX_POINT('',#506653); #163134=VERTEX_POINT('',#506657); #163135=VERTEX_POINT('',#506659); #163136=VERTEX_POINT('',#506663); #163137=VERTEX_POINT('',#506665); #163138=VERTEX_POINT('',#506669); #163139=VERTEX_POINT('',#506671); #163140=VERTEX_POINT('',#506675); #163141=VERTEX_POINT('',#506677); #163142=VERTEX_POINT('',#506681); #163143=VERTEX_POINT('',#506683); #163144=VERTEX_POINT('',#506687); #163145=VERTEX_POINT('',#506689); #163146=VERTEX_POINT('',#506693); #163147=VERTEX_POINT('',#506695); #163148=VERTEX_POINT('',#506699); #163149=VERTEX_POINT('',#506701); #163150=VERTEX_POINT('',#506705); #163151=VERTEX_POINT('',#506707); #163152=VERTEX_POINT('',#506711); #163153=VERTEX_POINT('',#506713); #163154=VERTEX_POINT('',#506717); #163155=VERTEX_POINT('',#506719); #163156=VERTEX_POINT('',#506723); #163157=VERTEX_POINT('',#506725); #163158=VERTEX_POINT('',#506729); #163159=VERTEX_POINT('',#506731); #163160=VERTEX_POINT('',#506735); #163161=VERTEX_POINT('',#506737); #163162=VERTEX_POINT('',#506741); #163163=VERTEX_POINT('',#506743); #163164=VERTEX_POINT('',#506747); #163165=VERTEX_POINT('',#506749); #163166=VERTEX_POINT('',#506753); #163167=VERTEX_POINT('',#506755); #163168=VERTEX_POINT('',#506759); #163169=VERTEX_POINT('',#506761); #163170=VERTEX_POINT('',#506765); #163171=VERTEX_POINT('',#506767); #163172=VERTEX_POINT('',#506771); #163173=VERTEX_POINT('',#506773); #163174=VERTEX_POINT('',#506777); #163175=VERTEX_POINT('',#506779); #163176=VERTEX_POINT('',#506783); #163177=VERTEX_POINT('',#506785); #163178=VERTEX_POINT('',#506789); #163179=VERTEX_POINT('',#506791); #163180=VERTEX_POINT('',#506795); #163181=VERTEX_POINT('',#506797); #163182=VERTEX_POINT('',#506801); #163183=VERTEX_POINT('',#506803); #163184=VERTEX_POINT('',#506807); #163185=VERTEX_POINT('',#506809); #163186=VERTEX_POINT('',#506813); #163187=VERTEX_POINT('',#506815); #163188=VERTEX_POINT('',#506819); #163189=VERTEX_POINT('',#506821); #163190=VERTEX_POINT('',#506825); #163191=VERTEX_POINT('',#506827); #163192=VERTEX_POINT('',#506831); #163193=VERTEX_POINT('',#506833); #163194=VERTEX_POINT('',#506837); #163195=VERTEX_POINT('',#506839); #163196=VERTEX_POINT('',#506843); #163197=VERTEX_POINT('',#506845); #163198=VERTEX_POINT('',#506849); #163199=VERTEX_POINT('',#506851); #163200=VERTEX_POINT('',#506855); #163201=VERTEX_POINT('',#506857); #163202=VERTEX_POINT('',#506861); #163203=VERTEX_POINT('',#506863); #163204=VERTEX_POINT('',#506867); #163205=VERTEX_POINT('',#506869); #163206=VERTEX_POINT('',#506873); #163207=VERTEX_POINT('',#506875); #163208=VERTEX_POINT('',#506879); #163209=VERTEX_POINT('',#506881); #163210=VERTEX_POINT('',#506885); #163211=VERTEX_POINT('',#506887); #163212=VERTEX_POINT('',#506891); #163213=VERTEX_POINT('',#506893); #163214=VERTEX_POINT('',#506897); #163215=VERTEX_POINT('',#506899); #163216=VERTEX_POINT('',#506903); #163217=VERTEX_POINT('',#506905); #163218=VERTEX_POINT('',#506909); #163219=VERTEX_POINT('',#506911); #163220=VERTEX_POINT('',#506915); #163221=VERTEX_POINT('',#506917); #163222=VERTEX_POINT('',#506921); #163223=VERTEX_POINT('',#506923); #163224=VERTEX_POINT('',#506927); #163225=VERTEX_POINT('',#506929); #163226=VERTEX_POINT('',#506933); #163227=VERTEX_POINT('',#506935); #163228=VERTEX_POINT('',#506939); #163229=VERTEX_POINT('',#506941); #163230=VERTEX_POINT('',#506945); #163231=VERTEX_POINT('',#506947); #163232=VERTEX_POINT('',#506951); #163233=VERTEX_POINT('',#506953); #163234=VERTEX_POINT('',#506957); #163235=VERTEX_POINT('',#506959); #163236=VERTEX_POINT('',#506963); #163237=VERTEX_POINT('',#506965); #163238=VERTEX_POINT('',#506969); #163239=VERTEX_POINT('',#506971); #163240=VERTEX_POINT('',#506975); #163241=VERTEX_POINT('',#506977); #163242=VERTEX_POINT('',#506981); #163243=VERTEX_POINT('',#506983); #163244=VERTEX_POINT('',#506987); #163245=VERTEX_POINT('',#506989); #163246=VERTEX_POINT('',#506993); #163247=VERTEX_POINT('',#506995); #163248=VERTEX_POINT('',#506999); #163249=VERTEX_POINT('',#507001); #163250=VERTEX_POINT('',#507005); #163251=VERTEX_POINT('',#507007); #163252=VERTEX_POINT('',#507011); #163253=VERTEX_POINT('',#507013); #163254=VERTEX_POINT('',#507017); #163255=VERTEX_POINT('',#507019); #163256=VERTEX_POINT('',#507023); #163257=VERTEX_POINT('',#507025); #163258=VERTEX_POINT('',#507029); #163259=VERTEX_POINT('',#507031); #163260=VERTEX_POINT('',#507035); #163261=VERTEX_POINT('',#507037); #163262=VERTEX_POINT('',#507041); #163263=VERTEX_POINT('',#507043); #163264=VERTEX_POINT('',#507047); #163265=VERTEX_POINT('',#507049); #163266=VERTEX_POINT('',#507053); #163267=VERTEX_POINT('',#507055); #163268=VERTEX_POINT('',#507059); #163269=VERTEX_POINT('',#507061); #163270=VERTEX_POINT('',#507065); #163271=VERTEX_POINT('',#507067); #163272=VERTEX_POINT('',#507071); #163273=VERTEX_POINT('',#507073); #163274=VERTEX_POINT('',#507077); #163275=VERTEX_POINT('',#507079); #163276=VERTEX_POINT('',#507083); #163277=VERTEX_POINT('',#507085); #163278=VERTEX_POINT('',#507089); #163279=VERTEX_POINT('',#507091); #163280=VERTEX_POINT('',#507095); #163281=VERTEX_POINT('',#507097); #163282=VERTEX_POINT('',#507101); #163283=VERTEX_POINT('',#507103); #163284=VERTEX_POINT('',#507107); #163285=VERTEX_POINT('',#507109); #163286=VERTEX_POINT('',#507113); #163287=VERTEX_POINT('',#507115); #163288=VERTEX_POINT('',#507119); #163289=VERTEX_POINT('',#507121); #163290=VERTEX_POINT('',#507125); #163291=VERTEX_POINT('',#507127); #163292=VERTEX_POINT('',#507131); #163293=VERTEX_POINT('',#507133); #163294=VERTEX_POINT('',#507137); #163295=VERTEX_POINT('',#507139); #163296=VERTEX_POINT('',#507143); #163297=VERTEX_POINT('',#507145); #163298=VERTEX_POINT('',#507149); #163299=VERTEX_POINT('',#507151); #163300=VERTEX_POINT('',#507155); #163301=VERTEX_POINT('',#507157); #163302=VERTEX_POINT('',#507161); #163303=VERTEX_POINT('',#507163); #163304=VERTEX_POINT('',#507167); #163305=VERTEX_POINT('',#507169); #163306=VERTEX_POINT('',#507173); #163307=VERTEX_POINT('',#507175); #163308=VERTEX_POINT('',#507179); #163309=VERTEX_POINT('',#507181); #163310=VERTEX_POINT('',#507185); #163311=VERTEX_POINT('',#507187); #163312=VERTEX_POINT('',#507191); #163313=VERTEX_POINT('',#507193); #163314=VERTEX_POINT('',#507197); #163315=VERTEX_POINT('',#507199); #163316=VERTEX_POINT('',#507203); #163317=VERTEX_POINT('',#507205); #163318=VERTEX_POINT('',#507209); #163319=VERTEX_POINT('',#507211); #163320=VERTEX_POINT('',#507215); #163321=VERTEX_POINT('',#507217); #163322=VERTEX_POINT('',#507221); #163323=VERTEX_POINT('',#507223); #163324=VERTEX_POINT('',#507227); #163325=VERTEX_POINT('',#507229); #163326=VERTEX_POINT('',#507233); #163327=VERTEX_POINT('',#507235); #163328=VERTEX_POINT('',#507239); #163329=VERTEX_POINT('',#507241); #163330=VERTEX_POINT('',#507245); #163331=VERTEX_POINT('',#507247); #163332=VERTEX_POINT('',#507251); #163333=VERTEX_POINT('',#507253); #163334=VERTEX_POINT('',#507257); #163335=VERTEX_POINT('',#507259); #163336=VERTEX_POINT('',#507263); #163337=VERTEX_POINT('',#507265); #163338=VERTEX_POINT('',#507269); #163339=VERTEX_POINT('',#507271); #163340=VERTEX_POINT('',#507275); #163341=VERTEX_POINT('',#507277); #163342=VERTEX_POINT('',#507281); #163343=VERTEX_POINT('',#507283); #163344=VERTEX_POINT('',#507287); #163345=VERTEX_POINT('',#507289); #163346=VERTEX_POINT('',#507293); #163347=VERTEX_POINT('',#507295); #163348=VERTEX_POINT('',#507299); #163349=VERTEX_POINT('',#507301); #163350=VERTEX_POINT('',#507305); #163351=VERTEX_POINT('',#507307); #163352=VERTEX_POINT('',#507311); #163353=VERTEX_POINT('',#507313); #163354=VERTEX_POINT('',#507317); #163355=VERTEX_POINT('',#507319); #163356=VERTEX_POINT('',#507323); #163357=VERTEX_POINT('',#507325); #163358=VERTEX_POINT('',#507329); #163359=VERTEX_POINT('',#507331); #163360=VERTEX_POINT('',#507335); #163361=VERTEX_POINT('',#507337); #163362=VERTEX_POINT('',#507341); #163363=VERTEX_POINT('',#507343); #163364=VERTEX_POINT('',#507347); #163365=VERTEX_POINT('',#507349); #163366=VERTEX_POINT('',#507353); #163367=VERTEX_POINT('',#507355); #163368=VERTEX_POINT('',#507359); #163369=VERTEX_POINT('',#507361); #163370=VERTEX_POINT('',#507365); #163371=VERTEX_POINT('',#507367); #163372=VERTEX_POINT('',#507371); #163373=VERTEX_POINT('',#507373); #163374=VERTEX_POINT('',#507377); #163375=VERTEX_POINT('',#507379); #163376=VERTEX_POINT('',#507383); #163377=VERTEX_POINT('',#507385); #163378=VERTEX_POINT('',#507389); #163379=VERTEX_POINT('',#507391); #163380=VERTEX_POINT('',#507395); #163381=VERTEX_POINT('',#507397); #163382=VERTEX_POINT('',#507401); #163383=VERTEX_POINT('',#507403); #163384=VERTEX_POINT('',#507407); #163385=VERTEX_POINT('',#507409); #163386=VERTEX_POINT('',#507413); #163387=VERTEX_POINT('',#507415); #163388=VERTEX_POINT('',#507419); #163389=VERTEX_POINT('',#507421); #163390=VERTEX_POINT('',#507425); #163391=VERTEX_POINT('',#507427); #163392=VERTEX_POINT('',#507431); #163393=VERTEX_POINT('',#507433); #163394=VERTEX_POINT('',#507437); #163395=VERTEX_POINT('',#507439); #163396=VERTEX_POINT('',#507443); #163397=VERTEX_POINT('',#507445); #163398=VERTEX_POINT('',#507449); #163399=VERTEX_POINT('',#507451); #163400=VERTEX_POINT('',#507455); #163401=VERTEX_POINT('',#507457); #163402=VERTEX_POINT('',#507461); #163403=VERTEX_POINT('',#507463); #163404=VERTEX_POINT('',#507467); #163405=VERTEX_POINT('',#507469); #163406=VERTEX_POINT('',#507473); #163407=VERTEX_POINT('',#507475); #163408=VERTEX_POINT('',#507479); #163409=VERTEX_POINT('',#507481); #163410=VERTEX_POINT('',#507485); #163411=VERTEX_POINT('',#507487); #163412=VERTEX_POINT('',#507491); #163413=VERTEX_POINT('',#507493); #163414=VERTEX_POINT('',#507497); #163415=VERTEX_POINT('',#507499); #163416=VERTEX_POINT('',#507506); #163417=VERTEX_POINT('',#507507); #163418=VERTEX_POINT('',#507509); #163419=VERTEX_POINT('',#507511); #163420=VERTEX_POINT('',#507515); #163421=VERTEX_POINT('',#507517); #163422=VERTEX_POINT('',#507521); #163423=VERTEX_POINT('',#507523); #163424=VERTEX_POINT('',#507527); #163425=VERTEX_POINT('',#507529); #163426=VERTEX_POINT('',#507533); #163427=VERTEX_POINT('',#507535); #163428=VERTEX_POINT('',#507539); #163429=VERTEX_POINT('',#507541); #163430=VERTEX_POINT('',#507545); #163431=VERTEX_POINT('',#507547); #163432=VERTEX_POINT('',#507551); #163433=VERTEX_POINT('',#507553); #163434=VERTEX_POINT('',#507557); #163435=VERTEX_POINT('',#507559); #163436=VERTEX_POINT('',#507563); #163437=VERTEX_POINT('',#507565); #163438=VERTEX_POINT('',#507569); #163439=VERTEX_POINT('',#507571); #163440=VERTEX_POINT('',#507575); #163441=VERTEX_POINT('',#507577); #163442=VERTEX_POINT('',#507581); #163443=VERTEX_POINT('',#507583); #163444=VERTEX_POINT('',#507587); #163445=VERTEX_POINT('',#507589); #163446=VERTEX_POINT('',#507593); #163447=VERTEX_POINT('',#507595); #163448=VERTEX_POINT('',#507602); #163449=VERTEX_POINT('',#507603); #163450=VERTEX_POINT('',#507605); #163451=VERTEX_POINT('',#507607); #163452=VERTEX_POINT('',#507611); #163453=VERTEX_POINT('',#507613); #163454=VERTEX_POINT('',#507617); #163455=VERTEX_POINT('',#507619); #163456=VERTEX_POINT('',#507623); #163457=VERTEX_POINT('',#507625); #163458=VERTEX_POINT('',#507629); #163459=VERTEX_POINT('',#507631); #163460=VERTEX_POINT('',#507635); #163461=VERTEX_POINT('',#507637); #163462=VERTEX_POINT('',#507641); #163463=VERTEX_POINT('',#507643); #163464=VERTEX_POINT('',#507647); #163465=VERTEX_POINT('',#507649); #163466=VERTEX_POINT('',#507653); #163467=VERTEX_POINT('',#507655); #163468=VERTEX_POINT('',#507659); #163469=VERTEX_POINT('',#507661); #163470=VERTEX_POINT('',#507665); #163471=VERTEX_POINT('',#507667); #163472=VERTEX_POINT('',#507671); #163473=VERTEX_POINT('',#507673); #163474=VERTEX_POINT('',#507677); #163475=VERTEX_POINT('',#507679); #163476=VERTEX_POINT('',#507683); #163477=VERTEX_POINT('',#507685); #163478=VERTEX_POINT('',#507689); #163479=VERTEX_POINT('',#507691); #163480=VERTEX_POINT('',#507695); #163481=VERTEX_POINT('',#507697); #163482=VERTEX_POINT('',#507701); #163483=VERTEX_POINT('',#507703); #163484=VERTEX_POINT('',#507707); #163485=VERTEX_POINT('',#507709); #163486=VERTEX_POINT('',#507713); #163487=VERTEX_POINT('',#507715); #163488=VERTEX_POINT('',#507719); #163489=VERTEX_POINT('',#507721); #163490=VERTEX_POINT('',#507725); #163491=VERTEX_POINT('',#507727); #163492=VERTEX_POINT('',#507731); #163493=VERTEX_POINT('',#507733); #163494=VERTEX_POINT('',#507737); #163495=VERTEX_POINT('',#507739); #163496=VERTEX_POINT('',#507743); #163497=VERTEX_POINT('',#507745); #163498=VERTEX_POINT('',#507749); #163499=VERTEX_POINT('',#507751); #163500=VERTEX_POINT('',#507755); #163501=VERTEX_POINT('',#507757); #163502=VERTEX_POINT('',#507761); #163503=VERTEX_POINT('',#507763); #163504=VERTEX_POINT('',#507767); #163505=VERTEX_POINT('',#507769); #163506=VERTEX_POINT('',#507773); #163507=VERTEX_POINT('',#507775); #163508=VERTEX_POINT('',#507779); #163509=VERTEX_POINT('',#507781); #163510=VERTEX_POINT('',#507785); #163511=VERTEX_POINT('',#507787); #163512=VERTEX_POINT('',#507791); #163513=VERTEX_POINT('',#507793); #163514=VERTEX_POINT('',#507797); #163515=VERTEX_POINT('',#507799); #163516=VERTEX_POINT('',#507803); #163517=VERTEX_POINT('',#507805); #163518=VERTEX_POINT('',#507809); #163519=VERTEX_POINT('',#507811); #163520=VERTEX_POINT('',#507815); #163521=VERTEX_POINT('',#507817); #163522=VERTEX_POINT('',#507821); #163523=VERTEX_POINT('',#507823); #163524=VERTEX_POINT('',#507827); #163525=VERTEX_POINT('',#507829); #163526=VERTEX_POINT('',#507833); #163527=VERTEX_POINT('',#507835); #163528=VERTEX_POINT('',#507839); #163529=VERTEX_POINT('',#507841); #163530=VERTEX_POINT('',#507845); #163531=VERTEX_POINT('',#507847); #163532=VERTEX_POINT('',#507851); #163533=VERTEX_POINT('',#507853); #163534=VERTEX_POINT('',#507857); #163535=VERTEX_POINT('',#507859); #163536=VERTEX_POINT('',#507863); #163537=VERTEX_POINT('',#507865); #163538=VERTEX_POINT('',#507869); #163539=VERTEX_POINT('',#507871); #163540=VERTEX_POINT('',#507875); #163541=VERTEX_POINT('',#507877); #163542=VERTEX_POINT('',#507881); #163543=VERTEX_POINT('',#507883); #163544=VERTEX_POINT('',#507887); #163545=VERTEX_POINT('',#507889); #163546=VERTEX_POINT('',#507893); #163547=VERTEX_POINT('',#507895); #163548=VERTEX_POINT('',#507899); #163549=VERTEX_POINT('',#507901); #163550=VERTEX_POINT('',#507905); #163551=VERTEX_POINT('',#507907); #163552=VERTEX_POINT('',#507911); #163553=VERTEX_POINT('',#507913); #163554=VERTEX_POINT('',#507917); #163555=VERTEX_POINT('',#507919); #163556=VERTEX_POINT('',#507923); #163557=VERTEX_POINT('',#507925); #163558=VERTEX_POINT('',#507929); #163559=VERTEX_POINT('',#507931); #163560=VERTEX_POINT('',#507935); #163561=VERTEX_POINT('',#507937); #163562=VERTEX_POINT('',#507941); #163563=VERTEX_POINT('',#507943); #163564=VERTEX_POINT('',#507947); #163565=VERTEX_POINT('',#507949); #163566=VERTEX_POINT('',#507953); #163567=VERTEX_POINT('',#507955); #163568=VERTEX_POINT('',#507959); #163569=VERTEX_POINT('',#507961); #163570=VERTEX_POINT('',#507965); #163571=VERTEX_POINT('',#507967); #163572=VERTEX_POINT('',#507971); #163573=VERTEX_POINT('',#507973); #163574=VERTEX_POINT('',#507977); #163575=VERTEX_POINT('',#507979); #163576=VERTEX_POINT('',#507983); #163577=VERTEX_POINT('',#507985); #163578=VERTEX_POINT('',#507989); #163579=VERTEX_POINT('',#507991); #163580=VERTEX_POINT('',#507995); #163581=VERTEX_POINT('',#507997); #163582=VERTEX_POINT('',#508001); #163583=VERTEX_POINT('',#508003); #163584=VERTEX_POINT('',#508007); #163585=VERTEX_POINT('',#508009); #163586=VERTEX_POINT('',#508013); #163587=VERTEX_POINT('',#508015); #163588=VERTEX_POINT('',#508019); #163589=VERTEX_POINT('',#508021); #163590=VERTEX_POINT('',#508025); #163591=VERTEX_POINT('',#508027); #163592=VERTEX_POINT('',#508031); #163593=VERTEX_POINT('',#508033); #163594=VERTEX_POINT('',#508037); #163595=VERTEX_POINT('',#508039); #163596=VERTEX_POINT('',#508043); #163597=VERTEX_POINT('',#508045); #163598=VERTEX_POINT('',#508049); #163599=VERTEX_POINT('',#508051); #163600=VERTEX_POINT('',#508055); #163601=VERTEX_POINT('',#508057); #163602=VERTEX_POINT('',#508061); #163603=VERTEX_POINT('',#508063); #163604=VERTEX_POINT('',#508067); #163605=VERTEX_POINT('',#508069); #163606=VERTEX_POINT('',#508073); #163607=VERTEX_POINT('',#508075); #163608=VERTEX_POINT('',#508079); #163609=VERTEX_POINT('',#508081); #163610=VERTEX_POINT('',#508085); #163611=VERTEX_POINT('',#508087); #163612=VERTEX_POINT('',#508091); #163613=VERTEX_POINT('',#508093); #163614=VERTEX_POINT('',#508097); #163615=VERTEX_POINT('',#508099); #163616=VERTEX_POINT('',#508103); #163617=VERTEX_POINT('',#508105); #163618=VERTEX_POINT('',#508109); #163619=VERTEX_POINT('',#508111); #163620=VERTEX_POINT('',#508115); #163621=VERTEX_POINT('',#508117); #163622=VERTEX_POINT('',#508121); #163623=VERTEX_POINT('',#508123); #163624=VERTEX_POINT('',#508127); #163625=VERTEX_POINT('',#508129); #163626=VERTEX_POINT('',#508133); #163627=VERTEX_POINT('',#508135); #163628=VERTEX_POINT('',#508139); #163629=VERTEX_POINT('',#508141); #163630=VERTEX_POINT('',#508145); #163631=VERTEX_POINT('',#508147); #163632=VERTEX_POINT('',#508151); #163633=VERTEX_POINT('',#508153); #163634=VERTEX_POINT('',#508157); #163635=VERTEX_POINT('',#508159); #163636=VERTEX_POINT('',#508163); #163637=VERTEX_POINT('',#508165); #163638=VERTEX_POINT('',#508169); #163639=VERTEX_POINT('',#508171); #163640=VERTEX_POINT('',#508175); #163641=VERTEX_POINT('',#508177); #163642=VERTEX_POINT('',#508181); #163643=VERTEX_POINT('',#508183); #163644=VERTEX_POINT('',#508187); #163645=VERTEX_POINT('',#508189); #163646=VERTEX_POINT('',#508193); #163647=VERTEX_POINT('',#508195); #163648=VERTEX_POINT('',#508199); #163649=VERTEX_POINT('',#508201); #163650=VERTEX_POINT('',#508205); #163651=VERTEX_POINT('',#508207); #163652=VERTEX_POINT('',#508211); #163653=VERTEX_POINT('',#508213); #163654=VERTEX_POINT('',#508217); #163655=VERTEX_POINT('',#508219); #163656=VERTEX_POINT('',#508223); #163657=VERTEX_POINT('',#508225); #163658=VERTEX_POINT('',#508229); #163659=VERTEX_POINT('',#508231); #163660=VERTEX_POINT('',#508235); #163661=VERTEX_POINT('',#508237); #163662=VERTEX_POINT('',#508241); #163663=VERTEX_POINT('',#508243); #163664=VERTEX_POINT('',#508247); #163665=VERTEX_POINT('',#508249); #163666=VERTEX_POINT('',#508253); #163667=VERTEX_POINT('',#508255); #163668=VERTEX_POINT('',#508259); #163669=VERTEX_POINT('',#508261); #163670=VERTEX_POINT('',#508265); #163671=VERTEX_POINT('',#508267); #163672=VERTEX_POINT('',#508271); #163673=VERTEX_POINT('',#508273); #163674=VERTEX_POINT('',#508277); #163675=VERTEX_POINT('',#508279); #163676=VERTEX_POINT('',#508283); #163677=VERTEX_POINT('',#508285); #163678=VERTEX_POINT('',#508289); #163679=VERTEX_POINT('',#508291); #163680=VERTEX_POINT('',#508295); #163681=VERTEX_POINT('',#508297); #163682=VERTEX_POINT('',#508301); #163683=VERTEX_POINT('',#508303); #163684=VERTEX_POINT('',#508307); #163685=VERTEX_POINT('',#508309); #163686=VERTEX_POINT('',#508313); #163687=VERTEX_POINT('',#508315); #163688=VERTEX_POINT('',#508319); #163689=VERTEX_POINT('',#508321); #163690=VERTEX_POINT('',#508325); #163691=VERTEX_POINT('',#508327); #163692=VERTEX_POINT('',#508331); #163693=VERTEX_POINT('',#508333); #163694=VERTEX_POINT('',#508337); #163695=VERTEX_POINT('',#508339); #163696=VERTEX_POINT('',#508343); #163697=VERTEX_POINT('',#508345); #163698=VERTEX_POINT('',#508349); #163699=VERTEX_POINT('',#508351); #163700=VERTEX_POINT('',#508355); #163701=VERTEX_POINT('',#508357); #163702=VERTEX_POINT('',#508361); #163703=VERTEX_POINT('',#508363); #163704=VERTEX_POINT('',#508367); #163705=VERTEX_POINT('',#508369); #163706=VERTEX_POINT('',#508373); #163707=VERTEX_POINT('',#508375); #163708=VERTEX_POINT('',#508379); #163709=VERTEX_POINT('',#508381); #163710=VERTEX_POINT('',#508385); #163711=VERTEX_POINT('',#508387); #163712=VERTEX_POINT('',#508391); #163713=VERTEX_POINT('',#508393); #163714=VERTEX_POINT('',#508397); #163715=VERTEX_POINT('',#508399); #163716=VERTEX_POINT('',#508403); #163717=VERTEX_POINT('',#508405); #163718=VERTEX_POINT('',#508409); #163719=VERTEX_POINT('',#508411); #163720=VERTEX_POINT('',#508415); #163721=VERTEX_POINT('',#508417); #163722=VERTEX_POINT('',#508421); #163723=VERTEX_POINT('',#508423); #163724=VERTEX_POINT('',#508427); #163725=VERTEX_POINT('',#508429); #163726=VERTEX_POINT('',#508433); #163727=VERTEX_POINT('',#508435); #163728=VERTEX_POINT('',#508439); #163729=VERTEX_POINT('',#508441); #163730=VERTEX_POINT('',#508445); #163731=VERTEX_POINT('',#508447); #163732=VERTEX_POINT('',#508451); #163733=VERTEX_POINT('',#508453); #163734=VERTEX_POINT('',#508457); #163735=VERTEX_POINT('',#508459); #163736=VERTEX_POINT('',#508463); #163737=VERTEX_POINT('',#508465); #163738=VERTEX_POINT('',#508469); #163739=VERTEX_POINT('',#508471); #163740=VERTEX_POINT('',#508475); #163741=VERTEX_POINT('',#508477); #163742=VERTEX_POINT('',#508481); #163743=VERTEX_POINT('',#508483); #163744=VERTEX_POINT('',#508487); #163745=VERTEX_POINT('',#508489); #163746=VERTEX_POINT('',#508493); #163747=VERTEX_POINT('',#508495); #163748=VERTEX_POINT('',#508499); #163749=VERTEX_POINT('',#508501); #163750=VERTEX_POINT('',#508505); #163751=VERTEX_POINT('',#508507); #163752=VERTEX_POINT('',#508511); #163753=VERTEX_POINT('',#508513); #163754=VERTEX_POINT('',#508517); #163755=VERTEX_POINT('',#508519); #163756=VERTEX_POINT('',#508523); #163757=VERTEX_POINT('',#508525); #163758=VERTEX_POINT('',#508529); #163759=VERTEX_POINT('',#508531); #163760=VERTEX_POINT('',#508535); #163761=VERTEX_POINT('',#508537); #163762=VERTEX_POINT('',#508541); #163763=VERTEX_POINT('',#508543); #163764=VERTEX_POINT('',#508547); #163765=VERTEX_POINT('',#508549); #163766=VERTEX_POINT('',#508553); #163767=VERTEX_POINT('',#508555); #163768=VERTEX_POINT('',#508559); #163769=VERTEX_POINT('',#508561); #163770=VERTEX_POINT('',#508565); #163771=VERTEX_POINT('',#508567); #163772=VERTEX_POINT('',#508571); #163773=VERTEX_POINT('',#508573); #163774=VERTEX_POINT('',#508577); #163775=VERTEX_POINT('',#508579); #163776=VERTEX_POINT('',#508583); #163777=VERTEX_POINT('',#508585); #163778=VERTEX_POINT('',#508589); #163779=VERTEX_POINT('',#508591); #163780=VERTEX_POINT('',#508595); #163781=VERTEX_POINT('',#508597); #163782=VERTEX_POINT('',#508601); #163783=VERTEX_POINT('',#508603); #163784=VERTEX_POINT('',#508607); #163785=VERTEX_POINT('',#508609); #163786=VERTEX_POINT('',#508613); #163787=VERTEX_POINT('',#508615); #163788=VERTEX_POINT('',#508619); #163789=VERTEX_POINT('',#508621); #163790=VERTEX_POINT('',#508625); #163791=VERTEX_POINT('',#508627); #163792=VERTEX_POINT('',#508631); #163793=VERTEX_POINT('',#508633); #163794=VERTEX_POINT('',#508637); #163795=VERTEX_POINT('',#508639); #163796=VERTEX_POINT('',#508643); #163797=VERTEX_POINT('',#508645); #163798=VERTEX_POINT('',#508649); #163799=VERTEX_POINT('',#508651); #163800=VERTEX_POINT('',#508655); #163801=VERTEX_POINT('',#508657); #163802=VERTEX_POINT('',#508661); #163803=VERTEX_POINT('',#508663); #163804=VERTEX_POINT('',#508667); #163805=VERTEX_POINT('',#508669); #163806=VERTEX_POINT('',#508673); #163807=VERTEX_POINT('',#508675); #163808=VERTEX_POINT('',#508679); #163809=VERTEX_POINT('',#508681); #163810=VERTEX_POINT('',#508685); #163811=VERTEX_POINT('',#508687); #163812=VERTEX_POINT('',#508691); #163813=VERTEX_POINT('',#508693); #163814=VERTEX_POINT('',#508697); #163815=VERTEX_POINT('',#508699); #163816=VERTEX_POINT('',#508703); #163817=VERTEX_POINT('',#508705); #163818=VERTEX_POINT('',#508709); #163819=VERTEX_POINT('',#508711); #163820=VERTEX_POINT('',#508715); #163821=VERTEX_POINT('',#508717); #163822=VERTEX_POINT('',#508721); #163823=VERTEX_POINT('',#508723); #163824=VERTEX_POINT('',#508727); #163825=VERTEX_POINT('',#508729); #163826=VERTEX_POINT('',#508733); #163827=VERTEX_POINT('',#508735); #163828=VERTEX_POINT('',#508739); #163829=VERTEX_POINT('',#508741); #163830=VERTEX_POINT('',#508745); #163831=VERTEX_POINT('',#508747); #163832=VERTEX_POINT('',#508751); #163833=VERTEX_POINT('',#508753); #163834=VERTEX_POINT('',#508757); #163835=VERTEX_POINT('',#508759); #163836=VERTEX_POINT('',#508763); #163837=VERTEX_POINT('',#508765); #163838=VERTEX_POINT('',#508769); #163839=VERTEX_POINT('',#508771); #163840=VERTEX_POINT('',#508775); #163841=VERTEX_POINT('',#508777); #163842=VERTEX_POINT('',#508781); #163843=VERTEX_POINT('',#508783); #163844=VERTEX_POINT('',#508787); #163845=VERTEX_POINT('',#508789); #163846=VERTEX_POINT('',#508793); #163847=VERTEX_POINT('',#508795); #163848=VERTEX_POINT('',#508799); #163849=VERTEX_POINT('',#508801); #163850=VERTEX_POINT('',#508805); #163851=VERTEX_POINT('',#508807); #163852=VERTEX_POINT('',#508811); #163853=VERTEX_POINT('',#508813); #163854=VERTEX_POINT('',#508817); #163855=VERTEX_POINT('',#508819); #163856=VERTEX_POINT('',#508823); #163857=VERTEX_POINT('',#508825); #163858=VERTEX_POINT('',#508832); #163859=VERTEX_POINT('',#508833); #163860=VERTEX_POINT('',#508835); #163861=VERTEX_POINT('',#508837); #163862=VERTEX_POINT('',#508841); #163863=VERTEX_POINT('',#508843); #163864=VERTEX_POINT('',#508847); #163865=VERTEX_POINT('',#508849); #163866=VERTEX_POINT('',#508853); #163867=VERTEX_POINT('',#508855); #163868=VERTEX_POINT('',#508859); #163869=VERTEX_POINT('',#508861); #163870=VERTEX_POINT('',#508865); #163871=VERTEX_POINT('',#508867); #163872=VERTEX_POINT('',#508871); #163873=VERTEX_POINT('',#508873); #163874=VERTEX_POINT('',#508877); #163875=VERTEX_POINT('',#508879); #163876=VERTEX_POINT('',#508883); #163877=VERTEX_POINT('',#508885); #163878=VERTEX_POINT('',#508889); #163879=VERTEX_POINT('',#508891); #163880=VERTEX_POINT('',#508895); #163881=VERTEX_POINT('',#508897); #163882=VERTEX_POINT('',#508901); #163883=VERTEX_POINT('',#508903); #163884=VERTEX_POINT('',#508907); #163885=VERTEX_POINT('',#508909); #163886=VERTEX_POINT('',#508913); #163887=VERTEX_POINT('',#508915); #163888=VERTEX_POINT('',#508919); #163889=VERTEX_POINT('',#508921); #163890=VERTEX_POINT('',#508925); #163891=VERTEX_POINT('',#508927); #163892=VERTEX_POINT('',#508931); #163893=VERTEX_POINT('',#508933); #163894=VERTEX_POINT('',#508937); #163895=VERTEX_POINT('',#508939); #163896=VERTEX_POINT('',#508943); #163897=VERTEX_POINT('',#508945); #163898=VERTEX_POINT('',#508949); #163899=VERTEX_POINT('',#508951); #163900=VERTEX_POINT('',#508955); #163901=VERTEX_POINT('',#508957); #163902=VERTEX_POINT('',#508961); #163903=VERTEX_POINT('',#508963); #163904=VERTEX_POINT('',#508967); #163905=VERTEX_POINT('',#508969); #163906=VERTEX_POINT('',#508973); #163907=VERTEX_POINT('',#508975); #163908=VERTEX_POINT('',#508979); #163909=VERTEX_POINT('',#508981); #163910=VERTEX_POINT('',#508985); #163911=VERTEX_POINT('',#508987); #163912=VERTEX_POINT('',#508991); #163913=VERTEX_POINT('',#508993); #163914=VERTEX_POINT('',#508997); #163915=VERTEX_POINT('',#508999); #163916=VERTEX_POINT('',#509003); #163917=VERTEX_POINT('',#509005); #163918=VERTEX_POINT('',#509009); #163919=VERTEX_POINT('',#509011); #163920=VERTEX_POINT('',#509015); #163921=VERTEX_POINT('',#509017); #163922=VERTEX_POINT('',#509021); #163923=VERTEX_POINT('',#509023); #163924=VERTEX_POINT('',#509027); #163925=VERTEX_POINT('',#509029); #163926=VERTEX_POINT('',#509033); #163927=VERTEX_POINT('',#509035); #163928=VERTEX_POINT('',#509039); #163929=VERTEX_POINT('',#509041); #163930=VERTEX_POINT('',#509045); #163931=VERTEX_POINT('',#509047); #163932=VERTEX_POINT('',#509051); #163933=VERTEX_POINT('',#509053); #163934=VERTEX_POINT('',#509057); #163935=VERTEX_POINT('',#509059); #163936=VERTEX_POINT('',#509063); #163937=VERTEX_POINT('',#509065); #163938=VERTEX_POINT('',#509069); #163939=VERTEX_POINT('',#509071); #163940=VERTEX_POINT('',#509075); #163941=VERTEX_POINT('',#509077); #163942=VERTEX_POINT('',#509081); #163943=VERTEX_POINT('',#509083); #163944=VERTEX_POINT('',#509087); #163945=VERTEX_POINT('',#509089); #163946=VERTEX_POINT('',#509093); #163947=VERTEX_POINT('',#509095); #163948=VERTEX_POINT('',#509099); #163949=VERTEX_POINT('',#509101); #163950=VERTEX_POINT('',#509105); #163951=VERTEX_POINT('',#509107); #163952=VERTEX_POINT('',#509111); #163953=VERTEX_POINT('',#509113); #163954=VERTEX_POINT('',#509117); #163955=VERTEX_POINT('',#509119); #163956=VERTEX_POINT('',#509123); #163957=VERTEX_POINT('',#509125); #163958=VERTEX_POINT('',#509129); #163959=VERTEX_POINT('',#509131); #163960=VERTEX_POINT('',#509135); #163961=VERTEX_POINT('',#509137); #163962=VERTEX_POINT('',#509141); #163963=VERTEX_POINT('',#509143); #163964=VERTEX_POINT('',#509147); #163965=VERTEX_POINT('',#509149); #163966=VERTEX_POINT('',#509153); #163967=VERTEX_POINT('',#509155); #163968=VERTEX_POINT('',#509159); #163969=VERTEX_POINT('',#509161); #163970=VERTEX_POINT('',#509165); #163971=VERTEX_POINT('',#509167); #163972=VERTEX_POINT('',#509171); #163973=VERTEX_POINT('',#509173); #163974=VERTEX_POINT('',#509177); #163975=VERTEX_POINT('',#509179); #163976=VERTEX_POINT('',#509183); #163977=VERTEX_POINT('',#509185); #163978=VERTEX_POINT('',#509189); #163979=VERTEX_POINT('',#509191); #163980=VERTEX_POINT('',#509195); #163981=VERTEX_POINT('',#509197); #163982=VERTEX_POINT('',#509201); #163983=VERTEX_POINT('',#509203); #163984=VERTEX_POINT('',#509207); #163985=VERTEX_POINT('',#509209); #163986=VERTEX_POINT('',#509213); #163987=VERTEX_POINT('',#509215); #163988=VERTEX_POINT('',#509219); #163989=VERTEX_POINT('',#509221); #163990=VERTEX_POINT('',#509225); #163991=VERTEX_POINT('',#509227); #163992=VERTEX_POINT('',#509231); #163993=VERTEX_POINT('',#509233); #163994=VERTEX_POINT('',#509237); #163995=VERTEX_POINT('',#509239); #163996=VERTEX_POINT('',#509243); #163997=VERTEX_POINT('',#509245); #163998=VERTEX_POINT('',#509249); #163999=VERTEX_POINT('',#509251); #164000=VERTEX_POINT('',#509255); #164001=VERTEX_POINT('',#509257); #164002=VERTEX_POINT('',#509261); #164003=VERTEX_POINT('',#509263); #164004=VERTEX_POINT('',#509267); #164005=VERTEX_POINT('',#509269); #164006=VERTEX_POINT('',#509273); #164007=VERTEX_POINT('',#509275); #164008=VERTEX_POINT('',#509279); #164009=VERTEX_POINT('',#509281); #164010=VERTEX_POINT('',#509285); #164011=VERTEX_POINT('',#509287); #164012=VERTEX_POINT('',#509291); #164013=VERTEX_POINT('',#509293); #164014=VERTEX_POINT('',#509297); #164015=VERTEX_POINT('',#509299); #164016=VERTEX_POINT('',#509303); #164017=VERTEX_POINT('',#509305); #164018=VERTEX_POINT('',#509309); #164019=VERTEX_POINT('',#509311); #164020=VERTEX_POINT('',#509315); #164021=VERTEX_POINT('',#509317); #164022=VERTEX_POINT('',#509321); #164023=VERTEX_POINT('',#509323); #164024=VERTEX_POINT('',#509327); #164025=VERTEX_POINT('',#509329); #164026=VERTEX_POINT('',#509333); #164027=VERTEX_POINT('',#509335); #164028=VERTEX_POINT('',#509339); #164029=VERTEX_POINT('',#509341); #164030=VERTEX_POINT('',#509345); #164031=VERTEX_POINT('',#509347); #164032=VERTEX_POINT('',#509351); #164033=VERTEX_POINT('',#509353); #164034=VERTEX_POINT('',#509357); #164035=VERTEX_POINT('',#509359); #164036=VERTEX_POINT('',#509363); #164037=VERTEX_POINT('',#509365); #164038=VERTEX_POINT('',#509369); #164039=VERTEX_POINT('',#509371); #164040=VERTEX_POINT('',#509375); #164041=VERTEX_POINT('',#509377); #164042=VERTEX_POINT('',#509381); #164043=VERTEX_POINT('',#509383); #164044=VERTEX_POINT('',#509387); #164045=VERTEX_POINT('',#509389); #164046=VERTEX_POINT('',#509393); #164047=VERTEX_POINT('',#509395); #164048=VERTEX_POINT('',#509399); #164049=VERTEX_POINT('',#509401); #164050=VERTEX_POINT('',#509405); #164051=VERTEX_POINT('',#509407); #164052=VERTEX_POINT('',#509411); #164053=VERTEX_POINT('',#509413); #164054=VERTEX_POINT('',#509417); #164055=VERTEX_POINT('',#509419); #164056=VERTEX_POINT('',#509423); #164057=VERTEX_POINT('',#509425); #164058=VERTEX_POINT('',#509432); #164059=VERTEX_POINT('',#509433); #164060=VERTEX_POINT('',#509435); #164061=VERTEX_POINT('',#509437); #164062=VERTEX_POINT('',#509441); #164063=VERTEX_POINT('',#509443); #164064=VERTEX_POINT('',#509447); #164065=VERTEX_POINT('',#509449); #164066=VERTEX_POINT('',#509453); #164067=VERTEX_POINT('',#509455); #164068=VERTEX_POINT('',#509459); #164069=VERTEX_POINT('',#509461); #164070=VERTEX_POINT('',#509465); #164071=VERTEX_POINT('',#509467); #164072=VERTEX_POINT('',#509471); #164073=VERTEX_POINT('',#509473); #164074=VERTEX_POINT('',#509477); #164075=VERTEX_POINT('',#509479); #164076=VERTEX_POINT('',#509483); #164077=VERTEX_POINT('',#509485); #164078=VERTEX_POINT('',#509489); #164079=VERTEX_POINT('',#509491); #164080=VERTEX_POINT('',#509495); #164081=VERTEX_POINT('',#509497); #164082=VERTEX_POINT('',#509501); #164083=VERTEX_POINT('',#509503); #164084=VERTEX_POINT('',#509507); #164085=VERTEX_POINT('',#509509); #164086=VERTEX_POINT('',#509513); #164087=VERTEX_POINT('',#509515); #164088=VERTEX_POINT('',#509519); #164089=VERTEX_POINT('',#509521); #164090=VERTEX_POINT('',#509525); #164091=VERTEX_POINT('',#509527); #164092=VERTEX_POINT('',#509531); #164093=VERTEX_POINT('',#509533); #164094=VERTEX_POINT('',#509537); #164095=VERTEX_POINT('',#509539); #164096=VERTEX_POINT('',#509543); #164097=VERTEX_POINT('',#509545); #164098=VERTEX_POINT('',#509549); #164099=VERTEX_POINT('',#509551); #164100=VERTEX_POINT('',#509555); #164101=VERTEX_POINT('',#509557); #164102=VERTEX_POINT('',#509561); #164103=VERTEX_POINT('',#509563); #164104=VERTEX_POINT('',#509567); #164105=VERTEX_POINT('',#509569); #164106=VERTEX_POINT('',#509576); #164107=VERTEX_POINT('',#509577); #164108=VERTEX_POINT('',#509579); #164109=VERTEX_POINT('',#509581); #164110=VERTEX_POINT('',#509585); #164111=VERTEX_POINT('',#509587); #164112=VERTEX_POINT('',#509591); #164113=VERTEX_POINT('',#509593); #164114=VERTEX_POINT('',#509597); #164115=VERTEX_POINT('',#509599); #164116=VERTEX_POINT('',#509603); #164117=VERTEX_POINT('',#509605); #164118=VERTEX_POINT('',#509609); #164119=VERTEX_POINT('',#509611); #164120=VERTEX_POINT('',#509615); #164121=VERTEX_POINT('',#509617); #164122=VERTEX_POINT('',#509621); #164123=VERTEX_POINT('',#509623); #164124=VERTEX_POINT('',#509627); #164125=VERTEX_POINT('',#509629); #164126=VERTEX_POINT('',#509633); #164127=VERTEX_POINT('',#509635); #164128=VERTEX_POINT('',#509639); #164129=VERTEX_POINT('',#509641); #164130=VERTEX_POINT('',#509645); #164131=VERTEX_POINT('',#509647); #164132=VERTEX_POINT('',#509651); #164133=VERTEX_POINT('',#509653); #164134=VERTEX_POINT('',#509657); #164135=VERTEX_POINT('',#509659); #164136=VERTEX_POINT('',#509663); #164137=VERTEX_POINT('',#509665); #164138=VERTEX_POINT('',#509669); #164139=VERTEX_POINT('',#509671); #164140=VERTEX_POINT('',#509675); #164141=VERTEX_POINT('',#509677); #164142=VERTEX_POINT('',#509681); #164143=VERTEX_POINT('',#509683); #164144=VERTEX_POINT('',#509687); #164145=VERTEX_POINT('',#509689); #164146=VERTEX_POINT('',#509693); #164147=VERTEX_POINT('',#509695); #164148=VERTEX_POINT('',#509699); #164149=VERTEX_POINT('',#509701); #164150=VERTEX_POINT('',#509705); #164151=VERTEX_POINT('',#509707); #164152=VERTEX_POINT('',#509711); #164153=VERTEX_POINT('',#509713); #164154=VERTEX_POINT('',#509717); #164155=VERTEX_POINT('',#509719); #164156=VERTEX_POINT('',#509723); #164157=VERTEX_POINT('',#509725); #164158=VERTEX_POINT('',#509729); #164159=VERTEX_POINT('',#509731); #164160=VERTEX_POINT('',#509735); #164161=VERTEX_POINT('',#509737); #164162=VERTEX_POINT('',#509741); #164163=VERTEX_POINT('',#509743); #164164=VERTEX_POINT('',#509747); #164165=VERTEX_POINT('',#509749); #164166=VERTEX_POINT('',#509756); #164167=VERTEX_POINT('',#509757); #164168=VERTEX_POINT('',#509759); #164169=VERTEX_POINT('',#509761); #164170=VERTEX_POINT('',#509765); #164171=VERTEX_POINT('',#509767); #164172=VERTEX_POINT('',#509771); #164173=VERTEX_POINT('',#509773); #164174=VERTEX_POINT('',#509777); #164175=VERTEX_POINT('',#509779); #164176=VERTEX_POINT('',#509783); #164177=VERTEX_POINT('',#509785); #164178=VERTEX_POINT('',#509789); #164179=VERTEX_POINT('',#509791); #164180=VERTEX_POINT('',#509795); #164181=VERTEX_POINT('',#509797); #164182=VERTEX_POINT('',#509801); #164183=VERTEX_POINT('',#509803); #164184=VERTEX_POINT('',#509807); #164185=VERTEX_POINT('',#509809); #164186=VERTEX_POINT('',#509813); #164187=VERTEX_POINT('',#509815); #164188=VERTEX_POINT('',#509819); #164189=VERTEX_POINT('',#509821); #164190=VERTEX_POINT('',#509825); #164191=VERTEX_POINT('',#509827); #164192=VERTEX_POINT('',#509831); #164193=VERTEX_POINT('',#509833); #164194=VERTEX_POINT('',#509837); #164195=VERTEX_POINT('',#509839); #164196=VERTEX_POINT('',#509843); #164197=VERTEX_POINT('',#509845); #164198=VERTEX_POINT('',#509849); #164199=VERTEX_POINT('',#509851); #164200=VERTEX_POINT('',#509855); #164201=VERTEX_POINT('',#509857); #164202=VERTEX_POINT('',#509861); #164203=VERTEX_POINT('',#509863); #164204=VERTEX_POINT('',#509867); #164205=VERTEX_POINT('',#509869); #164206=VERTEX_POINT('',#509873); #164207=VERTEX_POINT('',#509875); #164208=VERTEX_POINT('',#509879); #164209=VERTEX_POINT('',#509881); #164210=VERTEX_POINT('',#509885); #164211=VERTEX_POINT('',#509887); #164212=VERTEX_POINT('',#509891); #164213=VERTEX_POINT('',#509893); #164214=VERTEX_POINT('',#509897); #164215=VERTEX_POINT('',#509899); #164216=VERTEX_POINT('',#509903); #164217=VERTEX_POINT('',#509905); #164218=VERTEX_POINT('',#509909); #164219=VERTEX_POINT('',#509911); #164220=VERTEX_POINT('',#509915); #164221=VERTEX_POINT('',#509917); #164222=VERTEX_POINT('',#509921); #164223=VERTEX_POINT('',#509923); #164224=VERTEX_POINT('',#509927); #164225=VERTEX_POINT('',#509929); #164226=VERTEX_POINT('',#509936); #164227=VERTEX_POINT('',#509937); #164228=VERTEX_POINT('',#509939); #164229=VERTEX_POINT('',#509941); #164230=VERTEX_POINT('',#509945); #164231=VERTEX_POINT('',#509947); #164232=VERTEX_POINT('',#509951); #164233=VERTEX_POINT('',#509953); #164234=VERTEX_POINT('',#509957); #164235=VERTEX_POINT('',#509959); #164236=VERTEX_POINT('',#509963); #164237=VERTEX_POINT('',#509965); #164238=VERTEX_POINT('',#509969); #164239=VERTEX_POINT('',#509971); #164240=VERTEX_POINT('',#509975); #164241=VERTEX_POINT('',#509977); #164242=VERTEX_POINT('',#509981); #164243=VERTEX_POINT('',#509983); #164244=VERTEX_POINT('',#509987); #164245=VERTEX_POINT('',#509989); #164246=VERTEX_POINT('',#509993); #164247=VERTEX_POINT('',#509995); #164248=VERTEX_POINT('',#509999); #164249=VERTEX_POINT('',#510001); #164250=VERTEX_POINT('',#510005); #164251=VERTEX_POINT('',#510007); #164252=VERTEX_POINT('',#510011); #164253=VERTEX_POINT('',#510013); #164254=VERTEX_POINT('',#510017); #164255=VERTEX_POINT('',#510019); #164256=VERTEX_POINT('',#510023); #164257=VERTEX_POINT('',#510025); #164258=VERTEX_POINT('',#510029); #164259=VERTEX_POINT('',#510031); #164260=VERTEX_POINT('',#510035); #164261=VERTEX_POINT('',#510037); #164262=VERTEX_POINT('',#510041); #164263=VERTEX_POINT('',#510043); #164264=VERTEX_POINT('',#510047); #164265=VERTEX_POINT('',#510049); #164266=VERTEX_POINT('',#510053); #164267=VERTEX_POINT('',#510055); #164268=VERTEX_POINT('',#510059); #164269=VERTEX_POINT('',#510061); #164270=VERTEX_POINT('',#510065); #164271=VERTEX_POINT('',#510067); #164272=VERTEX_POINT('',#510071); #164273=VERTEX_POINT('',#510073); #164274=VERTEX_POINT('',#510077); #164275=VERTEX_POINT('',#510079); #164276=VERTEX_POINT('',#510083); #164277=VERTEX_POINT('',#510085); #164278=VERTEX_POINT('',#510089); #164279=VERTEX_POINT('',#510091); #164280=VERTEX_POINT('',#510095); #164281=VERTEX_POINT('',#510097); #164282=VERTEX_POINT('',#510101); #164283=VERTEX_POINT('',#510103); #164284=VERTEX_POINT('',#510107); #164285=VERTEX_POINT('',#510109); #164286=VERTEX_POINT('',#510116); #164287=VERTEX_POINT('',#510117); #164288=VERTEX_POINT('',#510119); #164289=VERTEX_POINT('',#510121); #164290=VERTEX_POINT('',#510125); #164291=VERTEX_POINT('',#510127); #164292=VERTEX_POINT('',#510131); #164293=VERTEX_POINT('',#510133); #164294=VERTEX_POINT('',#510137); #164295=VERTEX_POINT('',#510139); #164296=VERTEX_POINT('',#510143); #164297=VERTEX_POINT('',#510145); #164298=VERTEX_POINT('',#510149); #164299=VERTEX_POINT('',#510151); #164300=VERTEX_POINT('',#510155); #164301=VERTEX_POINT('',#510157); #164302=VERTEX_POINT('',#510161); #164303=VERTEX_POINT('',#510163); #164304=VERTEX_POINT('',#510167); #164305=VERTEX_POINT('',#510169); #164306=VERTEX_POINT('',#510173); #164307=VERTEX_POINT('',#510175); #164308=VERTEX_POINT('',#510179); #164309=VERTEX_POINT('',#510181); #164310=VERTEX_POINT('',#510185); #164311=VERTEX_POINT('',#510187); #164312=VERTEX_POINT('',#510191); #164313=VERTEX_POINT('',#510193); #164314=VERTEX_POINT('',#510197); #164315=VERTEX_POINT('',#510199); #164316=VERTEX_POINT('',#510203); #164317=VERTEX_POINT('',#510205); #164318=VERTEX_POINT('',#510209); #164319=VERTEX_POINT('',#510211); #164320=VERTEX_POINT('',#510215); #164321=VERTEX_POINT('',#510217); #164322=VERTEX_POINT('',#510221); #164323=VERTEX_POINT('',#510223); #164324=VERTEX_POINT('',#510227); #164325=VERTEX_POINT('',#510229); #164326=VERTEX_POINT('',#510233); #164327=VERTEX_POINT('',#510235); #164328=VERTEX_POINT('',#510239); #164329=VERTEX_POINT('',#510241); #164330=VERTEX_POINT('',#510245); #164331=VERTEX_POINT('',#510247); #164332=VERTEX_POINT('',#510251); #164333=VERTEX_POINT('',#510253); #164334=VERTEX_POINT('',#510257); #164335=VERTEX_POINT('',#510259); #164336=VERTEX_POINT('',#510263); #164337=VERTEX_POINT('',#510265); #164338=VERTEX_POINT('',#510269); #164339=VERTEX_POINT('',#510271); #164340=VERTEX_POINT('',#510275); #164341=VERTEX_POINT('',#510277); #164342=VERTEX_POINT('',#510281); #164343=VERTEX_POINT('',#510283); #164344=VERTEX_POINT('',#510287); #164345=VERTEX_POINT('',#510289); #164346=VERTEX_POINT('',#510296); #164347=VERTEX_POINT('',#510297); #164348=VERTEX_POINT('',#510299); #164349=VERTEX_POINT('',#510301); #164350=VERTEX_POINT('',#510305); #164351=VERTEX_POINT('',#510307); #164352=VERTEX_POINT('',#510311); #164353=VERTEX_POINT('',#510313); #164354=VERTEX_POINT('',#510317); #164355=VERTEX_POINT('',#510319); #164356=VERTEX_POINT('',#510323); #164357=VERTEX_POINT('',#510325); #164358=VERTEX_POINT('',#510329); #164359=VERTEX_POINT('',#510331); #164360=VERTEX_POINT('',#510335); #164361=VERTEX_POINT('',#510337); #164362=VERTEX_POINT('',#510341); #164363=VERTEX_POINT('',#510343); #164364=VERTEX_POINT('',#510347); #164365=VERTEX_POINT('',#510349); #164366=VERTEX_POINT('',#510353); #164367=VERTEX_POINT('',#510355); #164368=VERTEX_POINT('',#510359); #164369=VERTEX_POINT('',#510361); #164370=VERTEX_POINT('',#510365); #164371=VERTEX_POINT('',#510367); #164372=VERTEX_POINT('',#510371); #164373=VERTEX_POINT('',#510373); #164374=VERTEX_POINT('',#510377); #164375=VERTEX_POINT('',#510379); #164376=VERTEX_POINT('',#510383); #164377=VERTEX_POINT('',#510385); #164378=VERTEX_POINT('',#510389); #164379=VERTEX_POINT('',#510391); #164380=VERTEX_POINT('',#510395); #164381=VERTEX_POINT('',#510397); #164382=VERTEX_POINT('',#510401); #164383=VERTEX_POINT('',#510403); #164384=VERTEX_POINT('',#510407); #164385=VERTEX_POINT('',#510409); #164386=VERTEX_POINT('',#510413); #164387=VERTEX_POINT('',#510415); #164388=VERTEX_POINT('',#510419); #164389=VERTEX_POINT('',#510421); #164390=VERTEX_POINT('',#510425); #164391=VERTEX_POINT('',#510427); #164392=VERTEX_POINT('',#510431); #164393=VERTEX_POINT('',#510433); #164394=VERTEX_POINT('',#510437); #164395=VERTEX_POINT('',#510439); #164396=VERTEX_POINT('',#510443); #164397=VERTEX_POINT('',#510445); #164398=VERTEX_POINT('',#510449); #164399=VERTEX_POINT('',#510451); #164400=VERTEX_POINT('',#510455); #164401=VERTEX_POINT('',#510457); #164402=VERTEX_POINT('',#510461); #164403=VERTEX_POINT('',#510463); #164404=VERTEX_POINT('',#510467); #164405=VERTEX_POINT('',#510469); #164406=VERTEX_POINT('',#510473); #164407=VERTEX_POINT('',#510475); #164408=VERTEX_POINT('',#510479); #164409=VERTEX_POINT('',#510481); #164410=VERTEX_POINT('',#510485); #164411=VERTEX_POINT('',#510487); #164412=VERTEX_POINT('',#510491); #164413=VERTEX_POINT('',#510493); #164414=VERTEX_POINT('',#510497); #164415=VERTEX_POINT('',#510499); #164416=VERTEX_POINT('',#510503); #164417=VERTEX_POINT('',#510505); #164418=VERTEX_POINT('',#510509); #164419=VERTEX_POINT('',#510511); #164420=VERTEX_POINT('',#510515); #164421=VERTEX_POINT('',#510517); #164422=VERTEX_POINT('',#510521); #164423=VERTEX_POINT('',#510523); #164424=VERTEX_POINT('',#510527); #164425=VERTEX_POINT('',#510529); #164426=VERTEX_POINT('',#510533); #164427=VERTEX_POINT('',#510535); #164428=VERTEX_POINT('',#510539); #164429=VERTEX_POINT('',#510541); #164430=VERTEX_POINT('',#510545); #164431=VERTEX_POINT('',#510547); #164432=VERTEX_POINT('',#510551); #164433=VERTEX_POINT('',#510553); #164434=VERTEX_POINT('',#510557); #164435=VERTEX_POINT('',#510559); #164436=VERTEX_POINT('',#510563); #164437=VERTEX_POINT('',#510565); #164438=VERTEX_POINT('',#510569); #164439=VERTEX_POINT('',#510571); #164440=VERTEX_POINT('',#510575); #164441=VERTEX_POINT('',#510577); #164442=VERTEX_POINT('',#510581); #164443=VERTEX_POINT('',#510583); #164444=VERTEX_POINT('',#510587); #164445=VERTEX_POINT('',#510589); #164446=VERTEX_POINT('',#510593); #164447=VERTEX_POINT('',#510595); #164448=VERTEX_POINT('',#510599); #164449=VERTEX_POINT('',#510601); #164450=VERTEX_POINT('',#510605); #164451=VERTEX_POINT('',#510607); #164452=VERTEX_POINT('',#510611); #164453=VERTEX_POINT('',#510613); #164454=VERTEX_POINT('',#510617); #164455=VERTEX_POINT('',#510619); #164456=VERTEX_POINT('',#510623); #164457=VERTEX_POINT('',#510625); #164458=VERTEX_POINT('',#510629); #164459=VERTEX_POINT('',#510631); #164460=VERTEX_POINT('',#510635); #164461=VERTEX_POINT('',#510637); #164462=VERTEX_POINT('',#510641); #164463=VERTEX_POINT('',#510643); #164464=VERTEX_POINT('',#510647); #164465=VERTEX_POINT('',#510649); #164466=VERTEX_POINT('',#510653); #164467=VERTEX_POINT('',#510655); #164468=VERTEX_POINT('',#510659); #164469=VERTEX_POINT('',#510661); #164470=VERTEX_POINT('',#510665); #164471=VERTEX_POINT('',#510667); #164472=VERTEX_POINT('',#510671); #164473=VERTEX_POINT('',#510673); #164474=VERTEX_POINT('',#510677); #164475=VERTEX_POINT('',#510679); #164476=VERTEX_POINT('',#510683); #164477=VERTEX_POINT('',#510685); #164478=VERTEX_POINT('',#510689); #164479=VERTEX_POINT('',#510691); #164480=VERTEX_POINT('',#510695); #164481=VERTEX_POINT('',#510697); #164482=VERTEX_POINT('',#510701); #164483=VERTEX_POINT('',#510703); #164484=VERTEX_POINT('',#510707); #164485=VERTEX_POINT('',#510709); #164486=VERTEX_POINT('',#510713); #164487=VERTEX_POINT('',#510715); #164488=VERTEX_POINT('',#510719); #164489=VERTEX_POINT('',#510721); #164490=VERTEX_POINT('',#510725); #164491=VERTEX_POINT('',#510727); #164492=VERTEX_POINT('',#510731); #164493=VERTEX_POINT('',#510733); #164494=VERTEX_POINT('',#510737); #164495=VERTEX_POINT('',#510739); #164496=VERTEX_POINT('',#510743); #164497=VERTEX_POINT('',#510745); #164498=VERTEX_POINT('',#510749); #164499=VERTEX_POINT('',#510751); #164500=VERTEX_POINT('',#510755); #164501=VERTEX_POINT('',#510757); #164502=VERTEX_POINT('',#510761); #164503=VERTEX_POINT('',#510763); #164504=VERTEX_POINT('',#510767); #164505=VERTEX_POINT('',#510769); #164506=VERTEX_POINT('',#510773); #164507=VERTEX_POINT('',#510775); #164508=VERTEX_POINT('',#510779); #164509=VERTEX_POINT('',#510781); #164510=VERTEX_POINT('',#510785); #164511=VERTEX_POINT('',#510787); #164512=VERTEX_POINT('',#510791); #164513=VERTEX_POINT('',#510793); #164514=VERTEX_POINT('',#510797); #164515=VERTEX_POINT('',#510799); #164516=VERTEX_POINT('',#510803); #164517=VERTEX_POINT('',#510805); #164518=VERTEX_POINT('',#510809); #164519=VERTEX_POINT('',#510811); #164520=VERTEX_POINT('',#510815); #164521=VERTEX_POINT('',#510817); #164522=VERTEX_POINT('',#510821); #164523=VERTEX_POINT('',#510823); #164524=VERTEX_POINT('',#510827); #164525=VERTEX_POINT('',#510829); #164526=VERTEX_POINT('',#510833); #164527=VERTEX_POINT('',#510835); #164528=VERTEX_POINT('',#510839); #164529=VERTEX_POINT('',#510841); #164530=VERTEX_POINT('',#510845); #164531=VERTEX_POINT('',#510847); #164532=VERTEX_POINT('',#510851); #164533=VERTEX_POINT('',#510853); #164534=VERTEX_POINT('',#510857); #164535=VERTEX_POINT('',#510859); #164536=VERTEX_POINT('',#510863); #164537=VERTEX_POINT('',#510865); #164538=VERTEX_POINT('',#510869); #164539=VERTEX_POINT('',#510871); #164540=VERTEX_POINT('',#510875); #164541=VERTEX_POINT('',#510877); #164542=VERTEX_POINT('',#510881); #164543=VERTEX_POINT('',#510883); #164544=VERTEX_POINT('',#510887); #164545=VERTEX_POINT('',#510889); #164546=VERTEX_POINT('',#510893); #164547=VERTEX_POINT('',#510895); #164548=VERTEX_POINT('',#510899); #164549=VERTEX_POINT('',#510901); #164550=VERTEX_POINT('',#510905); #164551=VERTEX_POINT('',#510907); #164552=VERTEX_POINT('',#510911); #164553=VERTEX_POINT('',#510913); #164554=VERTEX_POINT('',#510917); #164555=VERTEX_POINT('',#510919); #164556=VERTEX_POINT('',#510923); #164557=VERTEX_POINT('',#510925); #164558=VERTEX_POINT('',#510929); #164559=VERTEX_POINT('',#510931); #164560=VERTEX_POINT('',#510935); #164561=VERTEX_POINT('',#510937); #164562=VERTEX_POINT('',#510941); #164563=VERTEX_POINT('',#510943); #164564=VERTEX_POINT('',#510947); #164565=VERTEX_POINT('',#510949); #164566=VERTEX_POINT('',#510953); #164567=VERTEX_POINT('',#510955); #164568=VERTEX_POINT('',#510959); #164569=VERTEX_POINT('',#510961); #164570=VERTEX_POINT('',#510965); #164571=VERTEX_POINT('',#510967); #164572=VERTEX_POINT('',#510971); #164573=VERTEX_POINT('',#510973); #164574=VERTEX_POINT('',#510977); #164575=VERTEX_POINT('',#510979); #164576=VERTEX_POINT('',#510983); #164577=VERTEX_POINT('',#510985); #164578=VERTEX_POINT('',#510989); #164579=VERTEX_POINT('',#510991); #164580=VERTEX_POINT('',#510995); #164581=VERTEX_POINT('',#510997); #164582=VERTEX_POINT('',#511001); #164583=VERTEX_POINT('',#511003); #164584=VERTEX_POINT('',#511007); #164585=VERTEX_POINT('',#511009); #164586=VERTEX_POINT('',#511018); #164587=VERTEX_POINT('',#511019); #164588=VERTEX_POINT('',#511021); #164589=VERTEX_POINT('',#511023); #164590=VERTEX_POINT('',#511027); #164591=VERTEX_POINT('',#511029); #164592=VERTEX_POINT('',#511033); #164593=VERTEX_POINT('',#511035); #164594=VERTEX_POINT('',#511039); #164595=VERTEX_POINT('',#511041); #164596=VERTEX_POINT('',#511045); #164597=VERTEX_POINT('',#511047); #164598=VERTEX_POINT('',#511051); #164599=VERTEX_POINT('',#511053); #164600=VERTEX_POINT('',#511057); #164601=VERTEX_POINT('',#511059); #164602=VERTEX_POINT('',#511063); #164603=VERTEX_POINT('',#511065); #164604=VERTEX_POINT('',#511069); #164605=VERTEX_POINT('',#511071); #164606=VERTEX_POINT('',#511075); #164607=VERTEX_POINT('',#511077); #164608=VERTEX_POINT('',#511081); #164609=VERTEX_POINT('',#511083); #164610=VERTEX_POINT('',#511087); #164611=VERTEX_POINT('',#511089); #164612=VERTEX_POINT('',#511093); #164613=VERTEX_POINT('',#511095); #164614=VERTEX_POINT('',#511099); #164615=VERTEX_POINT('',#511101); #164616=VERTEX_POINT('',#511105); #164617=VERTEX_POINT('',#511107); #164618=VERTEX_POINT('',#511111); #164619=VERTEX_POINT('',#511113); #164620=VERTEX_POINT('',#511117); #164621=VERTEX_POINT('',#511119); #164622=VERTEX_POINT('',#511123); #164623=VERTEX_POINT('',#511125); #164624=VERTEX_POINT('',#511129); #164625=VERTEX_POINT('',#511131); #164626=VERTEX_POINT('',#511135); #164627=VERTEX_POINT('',#511137); #164628=VERTEX_POINT('',#511141); #164629=VERTEX_POINT('',#511143); #164630=VERTEX_POINT('',#511147); #164631=VERTEX_POINT('',#511149); #164632=VERTEX_POINT('',#511153); #164633=VERTEX_POINT('',#511155); #164634=VERTEX_POINT('',#511159); #164635=VERTEX_POINT('',#511161); #164636=VERTEX_POINT('',#511165); #164637=VERTEX_POINT('',#511167); #164638=VERTEX_POINT('',#511171); #164639=VERTEX_POINT('',#511173); #164640=VERTEX_POINT('',#511177); #164641=VERTEX_POINT('',#511179); #164642=VERTEX_POINT('',#511183); #164643=VERTEX_POINT('',#511185); #164644=VERTEX_POINT('',#511189); #164645=VERTEX_POINT('',#511191); #164646=VERTEX_POINT('',#511195); #164647=VERTEX_POINT('',#511197); #164648=VERTEX_POINT('',#511201); #164649=VERTEX_POINT('',#511203); #164650=VERTEX_POINT('',#511212); #164651=VERTEX_POINT('',#511213); #164652=VERTEX_POINT('',#511215); #164653=VERTEX_POINT('',#511217); #164654=VERTEX_POINT('',#511221); #164655=VERTEX_POINT('',#511223); #164656=VERTEX_POINT('',#511227); #164657=VERTEX_POINT('',#511229); #164658=VERTEX_POINT('',#511233); #164659=VERTEX_POINT('',#511235); #164660=VERTEX_POINT('',#511239); #164661=VERTEX_POINT('',#511241); #164662=VERTEX_POINT('',#511245); #164663=VERTEX_POINT('',#511247); #164664=VERTEX_POINT('',#511251); #164665=VERTEX_POINT('',#511253); #164666=VERTEX_POINT('',#511257); #164667=VERTEX_POINT('',#511259); #164668=VERTEX_POINT('',#511263); #164669=VERTEX_POINT('',#511265); #164670=VERTEX_POINT('',#511269); #164671=VERTEX_POINT('',#511271); #164672=VERTEX_POINT('',#511275); #164673=VERTEX_POINT('',#511277); #164674=VERTEX_POINT('',#511281); #164675=VERTEX_POINT('',#511283); #164676=VERTEX_POINT('',#511287); #164677=VERTEX_POINT('',#511289); #164678=VERTEX_POINT('',#511293); #164679=VERTEX_POINT('',#511295); #164680=VERTEX_POINT('',#511299); #164681=VERTEX_POINT('',#511301); #164682=VERTEX_POINT('',#511305); #164683=VERTEX_POINT('',#511307); #164684=VERTEX_POINT('',#511311); #164685=VERTEX_POINT('',#511313); #164686=VERTEX_POINT('',#511317); #164687=VERTEX_POINT('',#511319); #164688=VERTEX_POINT('',#511323); #164689=VERTEX_POINT('',#511325); #164690=VERTEX_POINT('',#511329); #164691=VERTEX_POINT('',#511331); #164692=VERTEX_POINT('',#511340); #164693=VERTEX_POINT('',#511341); #164694=VERTEX_POINT('',#511343); #164695=VERTEX_POINT('',#511345); #164696=VERTEX_POINT('',#511349); #164697=VERTEX_POINT('',#511351); #164698=VERTEX_POINT('',#511355); #164699=VERTEX_POINT('',#511357); #164700=VERTEX_POINT('',#511364); #164701=VERTEX_POINT('',#511365); #164702=VERTEX_POINT('',#511367); #164703=VERTEX_POINT('',#511369); #164704=VERTEX_POINT('',#511373); #164705=VERTEX_POINT('',#511375); #164706=VERTEX_POINT('',#511379); #164707=VERTEX_POINT('',#511381); #164708=VERTEX_POINT('',#511385); #164709=VERTEX_POINT('',#511387); #164710=VERTEX_POINT('',#511391); #164711=VERTEX_POINT('',#511393); #164712=VERTEX_POINT('',#511397); #164713=VERTEX_POINT('',#511399); #164714=VERTEX_POINT('',#511403); #164715=VERTEX_POINT('',#511405); #164716=VERTEX_POINT('',#511409); #164717=VERTEX_POINT('',#511411); #164718=VERTEX_POINT('',#511415); #164719=VERTEX_POINT('',#511417); #164720=VERTEX_POINT('',#511421); #164721=VERTEX_POINT('',#511423); #164722=VERTEX_POINT('',#511427); #164723=VERTEX_POINT('',#511429); #164724=VERTEX_POINT('',#511433); #164725=VERTEX_POINT('',#511435); #164726=VERTEX_POINT('',#511439); #164727=VERTEX_POINT('',#511441); #164728=VERTEX_POINT('',#511445); #164729=VERTEX_POINT('',#511447); #164730=VERTEX_POINT('',#511451); #164731=VERTEX_POINT('',#511453); #164732=VERTEX_POINT('',#511457); #164733=VERTEX_POINT('',#511459); #164734=VERTEX_POINT('',#511463); #164735=VERTEX_POINT('',#511465); #164736=VERTEX_POINT('',#511469); #164737=VERTEX_POINT('',#511471); #164738=VERTEX_POINT('',#511475); #164739=VERTEX_POINT('',#511477); #164740=VERTEX_POINT('',#511481); #164741=VERTEX_POINT('',#511483); #164742=VERTEX_POINT('',#511487); #164743=VERTEX_POINT('',#511489); #164744=VERTEX_POINT('',#511493); #164745=VERTEX_POINT('',#511495); #164746=VERTEX_POINT('',#511499); #164747=VERTEX_POINT('',#511501); #164748=VERTEX_POINT('',#511505); #164749=VERTEX_POINT('',#511507); #164750=VERTEX_POINT('',#511511); #164751=VERTEX_POINT('',#511513); #164752=VERTEX_POINT('',#511517); #164753=VERTEX_POINT('',#511519); #164754=VERTEX_POINT('',#511523); #164755=VERTEX_POINT('',#511525); #164756=VERTEX_POINT('',#511529); #164757=VERTEX_POINT('',#511531); #164758=VERTEX_POINT('',#511535); #164759=VERTEX_POINT('',#511537); #164760=VERTEX_POINT('',#511541); #164761=VERTEX_POINT('',#511543); #164762=VERTEX_POINT('',#511547); #164763=VERTEX_POINT('',#511549); #164764=VERTEX_POINT('',#511553); #164765=VERTEX_POINT('',#511555); #164766=VERTEX_POINT('',#511559); #164767=VERTEX_POINT('',#511561); #164768=VERTEX_POINT('',#511565); #164769=VERTEX_POINT('',#511567); #164770=VERTEX_POINT('',#511571); #164771=VERTEX_POINT('',#511573); #164772=VERTEX_POINT('',#511577); #164773=VERTEX_POINT('',#511579); #164774=VERTEX_POINT('',#511583); #164775=VERTEX_POINT('',#511585); #164776=VERTEX_POINT('',#511589); #164777=VERTEX_POINT('',#511591); #164778=VERTEX_POINT('',#511595); #164779=VERTEX_POINT('',#511597); #164780=VERTEX_POINT('',#511601); #164781=VERTEX_POINT('',#511603); #164782=VERTEX_POINT('',#511607); #164783=VERTEX_POINT('',#511609); #164784=VERTEX_POINT('',#511613); #164785=VERTEX_POINT('',#511615); #164786=VERTEX_POINT('',#511619); #164787=VERTEX_POINT('',#511621); #164788=VERTEX_POINT('',#511625); #164789=VERTEX_POINT('',#511627); #164790=VERTEX_POINT('',#511631); #164791=VERTEX_POINT('',#511633); #164792=VERTEX_POINT('',#511637); #164793=VERTEX_POINT('',#511639); #164794=VERTEX_POINT('',#511643); #164795=VERTEX_POINT('',#511645); #164796=VERTEX_POINT('',#511649); #164797=VERTEX_POINT('',#511651); #164798=VERTEX_POINT('',#511655); #164799=VERTEX_POINT('',#511657); #164800=VERTEX_POINT('',#511661); #164801=VERTEX_POINT('',#511663); #164802=VERTEX_POINT('',#511667); #164803=VERTEX_POINT('',#511669); #164804=VERTEX_POINT('',#511673); #164805=VERTEX_POINT('',#511675); #164806=VERTEX_POINT('',#511679); #164807=VERTEX_POINT('',#511681); #164808=VERTEX_POINT('',#511685); #164809=VERTEX_POINT('',#511687); #164810=VERTEX_POINT('',#511691); #164811=VERTEX_POINT('',#511693); #164812=VERTEX_POINT('',#511697); #164813=VERTEX_POINT('',#511699); #164814=VERTEX_POINT('',#511703); #164815=VERTEX_POINT('',#511705); #164816=VERTEX_POINT('',#511709); #164817=VERTEX_POINT('',#511711); #164818=VERTEX_POINT('',#511715); #164819=VERTEX_POINT('',#511717); #164820=VERTEX_POINT('',#511721); #164821=VERTEX_POINT('',#511723); #164822=VERTEX_POINT('',#511727); #164823=VERTEX_POINT('',#511729); #164824=VERTEX_POINT('',#511733); #164825=VERTEX_POINT('',#511735); #164826=VERTEX_POINT('',#511739); #164827=VERTEX_POINT('',#511741); #164828=VERTEX_POINT('',#511745); #164829=VERTEX_POINT('',#511747); #164830=VERTEX_POINT('',#511751); #164831=VERTEX_POINT('',#511753); #164832=VERTEX_POINT('',#511757); #164833=VERTEX_POINT('',#511759); #164834=VERTEX_POINT('',#511763); #164835=VERTEX_POINT('',#511765); #164836=VERTEX_POINT('',#511769); #164837=VERTEX_POINT('',#511771); #164838=VERTEX_POINT('',#511775); #164839=VERTEX_POINT('',#511777); #164840=VERTEX_POINT('',#511781); #164841=VERTEX_POINT('',#511783); #164842=VERTEX_POINT('',#511787); #164843=VERTEX_POINT('',#511789); #164844=VERTEX_POINT('',#511798); #164845=VERTEX_POINT('',#511799); #164846=VERTEX_POINT('',#511801); #164847=VERTEX_POINT('',#511803); #164848=VERTEX_POINT('',#511807); #164849=VERTEX_POINT('',#511809); #164850=VERTEX_POINT('',#511813); #164851=VERTEX_POINT('',#511815); #164852=VERTEX_POINT('',#511819); #164853=VERTEX_POINT('',#511821); #164854=VERTEX_POINT('',#511825); #164855=VERTEX_POINT('',#511827); #164856=VERTEX_POINT('',#511831); #164857=VERTEX_POINT('',#511833); #164858=VERTEX_POINT('',#511837); #164859=VERTEX_POINT('',#511839); #164860=VERTEX_POINT('',#511843); #164861=VERTEX_POINT('',#511845); #164862=VERTEX_POINT('',#511849); #164863=VERTEX_POINT('',#511851); #164864=VERTEX_POINT('',#511855); #164865=VERTEX_POINT('',#511857); #164866=VERTEX_POINT('',#511861); #164867=VERTEX_POINT('',#511863); #164868=VERTEX_POINT('',#511867); #164869=VERTEX_POINT('',#511869); #164870=VERTEX_POINT('',#511873); #164871=VERTEX_POINT('',#511875); #164872=VERTEX_POINT('',#511879); #164873=VERTEX_POINT('',#511881); #164874=VERTEX_POINT('',#511885); #164875=VERTEX_POINT('',#511887); #164876=VERTEX_POINT('',#511891); #164877=VERTEX_POINT('',#511893); #164878=VERTEX_POINT('',#511897); #164879=VERTEX_POINT('',#511899); #164880=VERTEX_POINT('',#511903); #164881=VERTEX_POINT('',#511905); #164882=VERTEX_POINT('',#511909); #164883=VERTEX_POINT('',#511911); #164884=VERTEX_POINT('',#511915); #164885=VERTEX_POINT('',#511917); #164886=VERTEX_POINT('',#511921); #164887=VERTEX_POINT('',#511923); #164888=VERTEX_POINT('',#511927); #164889=VERTEX_POINT('',#511929); #164890=VERTEX_POINT('',#511933); #164891=VERTEX_POINT('',#511935); #164892=VERTEX_POINT('',#511939); #164893=VERTEX_POINT('',#511941); #164894=VERTEX_POINT('',#511945); #164895=VERTEX_POINT('',#511947); #164896=VERTEX_POINT('',#511951); #164897=VERTEX_POINT('',#511953); #164898=VERTEX_POINT('',#511962); #164899=VERTEX_POINT('',#511963); #164900=VERTEX_POINT('',#511965); #164901=VERTEX_POINT('',#511967); #164902=VERTEX_POINT('',#511971); #164903=VERTEX_POINT('',#511973); #164904=VERTEX_POINT('',#511977); #164905=VERTEX_POINT('',#511979); #164906=VERTEX_POINT('',#511983); #164907=VERTEX_POINT('',#511985); #164908=VERTEX_POINT('',#511989); #164909=VERTEX_POINT('',#511991); #164910=VERTEX_POINT('',#511995); #164911=VERTEX_POINT('',#511997); #164912=VERTEX_POINT('',#512001); #164913=VERTEX_POINT('',#512003); #164914=VERTEX_POINT('',#512007); #164915=VERTEX_POINT('',#512009); #164916=VERTEX_POINT('',#512013); #164917=VERTEX_POINT('',#512015); #164918=VERTEX_POINT('',#512019); #164919=VERTEX_POINT('',#512021); #164920=VERTEX_POINT('',#512025); #164921=VERTEX_POINT('',#512027); #164922=VERTEX_POINT('',#512031); #164923=VERTEX_POINT('',#512033); #164924=VERTEX_POINT('',#512037); #164925=VERTEX_POINT('',#512039); #164926=VERTEX_POINT('',#512043); #164927=VERTEX_POINT('',#512045); #164928=VERTEX_POINT('',#512049); #164929=VERTEX_POINT('',#512051); #164930=VERTEX_POINT('',#512055); #164931=VERTEX_POINT('',#512057); #164932=VERTEX_POINT('',#512061); #164933=VERTEX_POINT('',#512063); #164934=VERTEX_POINT('',#512067); #164935=VERTEX_POINT('',#512069); #164936=VERTEX_POINT('',#512073); #164937=VERTEX_POINT('',#512075); #164938=VERTEX_POINT('',#512079); #164939=VERTEX_POINT('',#512081); #164940=VERTEX_POINT('',#512090); #164941=VERTEX_POINT('',#512091); #164942=VERTEX_POINT('',#512093); #164943=VERTEX_POINT('',#512095); #164944=VERTEX_POINT('',#512099); #164945=VERTEX_POINT('',#512101); #164946=VERTEX_POINT('',#512105); #164947=VERTEX_POINT('',#512107); #164948=VERTEX_POINT('',#512111); #164949=VERTEX_POINT('',#512113); #164950=VERTEX_POINT('',#512117); #164951=VERTEX_POINT('',#512119); #164952=VERTEX_POINT('',#512123); #164953=VERTEX_POINT('',#512125); #164954=VERTEX_POINT('',#512129); #164955=VERTEX_POINT('',#512131); #164956=VERTEX_POINT('',#512135); #164957=VERTEX_POINT('',#512137); #164958=VERTEX_POINT('',#512141); #164959=VERTEX_POINT('',#512143); #164960=VERTEX_POINT('',#512147); #164961=VERTEX_POINT('',#512149); #164962=VERTEX_POINT('',#512153); #164963=VERTEX_POINT('',#512155); #164964=VERTEX_POINT('',#512159); #164965=VERTEX_POINT('',#512161); #164966=VERTEX_POINT('',#512165); #164967=VERTEX_POINT('',#512167); #164968=VERTEX_POINT('',#512171); #164969=VERTEX_POINT('',#512173); #164970=VERTEX_POINT('',#512177); #164971=VERTEX_POINT('',#512179); #164972=VERTEX_POINT('',#512183); #164973=VERTEX_POINT('',#512185); #164974=VERTEX_POINT('',#512189); #164975=VERTEX_POINT('',#512191); #164976=VERTEX_POINT('',#512195); #164977=VERTEX_POINT('',#512197); #164978=VERTEX_POINT('',#512201); #164979=VERTEX_POINT('',#512203); #164980=VERTEX_POINT('',#512212); #164981=VERTEX_POINT('',#512214); #164982=VERTEX_POINT('',#512218); #164983=VERTEX_POINT('',#512219); #164984=VERTEX_POINT('',#512221); #164985=VERTEX_POINT('',#512223); #164986=VERTEX_POINT('',#512227); #164987=VERTEX_POINT('',#512229); #164988=VERTEX_POINT('',#512233); #164989=VERTEX_POINT('',#512235); #164990=VERTEX_POINT('',#512239); #164991=VERTEX_POINT('',#512241); #164992=VERTEX_POINT('',#512245); #164993=VERTEX_POINT('',#512247); #164994=VERTEX_POINT('',#512251); #164995=VERTEX_POINT('',#512253); #164996=VERTEX_POINT('',#512257); #164997=VERTEX_POINT('',#512259); #164998=VERTEX_POINT('',#512268); #164999=VERTEX_POINT('',#512269); #165000=VERTEX_POINT('',#512271); #165001=VERTEX_POINT('',#512273); #165002=VERTEX_POINT('',#512277); #165003=VERTEX_POINT('',#512279); #165004=VERTEX_POINT('',#512283); #165005=VERTEX_POINT('',#512285); #165006=VERTEX_POINT('',#512289); #165007=VERTEX_POINT('',#512291); #165008=VERTEX_POINT('',#512295); #165009=VERTEX_POINT('',#512297); #165010=VERTEX_POINT('',#512301); #165011=VERTEX_POINT('',#512303); #165012=VERTEX_POINT('',#512307); #165013=VERTEX_POINT('',#512309); #165014=VERTEX_POINT('',#512313); #165015=VERTEX_POINT('',#512315); #165016=VERTEX_POINT('',#512319); #165017=VERTEX_POINT('',#512321); #165018=VERTEX_POINT('',#512325); #165019=VERTEX_POINT('',#512327); #165020=VERTEX_POINT('',#512331); #165021=VERTEX_POINT('',#512333); #165022=VERTEX_POINT('',#512337); #165023=VERTEX_POINT('',#512339); #165024=VERTEX_POINT('',#512343); #165025=VERTEX_POINT('',#512345); #165026=VERTEX_POINT('',#512349); #165027=VERTEX_POINT('',#512351); #165028=VERTEX_POINT('',#512355); #165029=VERTEX_POINT('',#512357); #165030=VERTEX_POINT('',#512361); #165031=VERTEX_POINT('',#512363); #165032=VERTEX_POINT('',#512367); #165033=VERTEX_POINT('',#512369); #165034=VERTEX_POINT('',#512373); #165035=VERTEX_POINT('',#512375); #165036=VERTEX_POINT('',#512379); #165037=VERTEX_POINT('',#512381); #165038=VERTEX_POINT('',#512385); #165039=VERTEX_POINT('',#512387); #165040=VERTEX_POINT('',#512396); #165041=VERTEX_POINT('',#512397); #165042=VERTEX_POINT('',#512399); #165043=VERTEX_POINT('',#512401); #165044=VERTEX_POINT('',#512405); #165045=VERTEX_POINT('',#512407); #165046=VERTEX_POINT('',#512411); #165047=VERTEX_POINT('',#512413); #165048=VERTEX_POINT('',#512417); #165049=VERTEX_POINT('',#512419); #165050=VERTEX_POINT('',#512423); #165051=VERTEX_POINT('',#512425); #165052=VERTEX_POINT('',#512429); #165053=VERTEX_POINT('',#512431); #165054=VERTEX_POINT('',#512435); #165055=VERTEX_POINT('',#512437); #165056=VERTEX_POINT('',#512441); #165057=VERTEX_POINT('',#512443); #165058=VERTEX_POINT('',#512447); #165059=VERTEX_POINT('',#512449); #165060=VERTEX_POINT('',#512453); #165061=VERTEX_POINT('',#512455); #165062=VERTEX_POINT('',#512459); #165063=VERTEX_POINT('',#512461); #165064=VERTEX_POINT('',#512465); #165065=VERTEX_POINT('',#512467); #165066=VERTEX_POINT('',#512471); #165067=VERTEX_POINT('',#512473); #165068=VERTEX_POINT('',#512477); #165069=VERTEX_POINT('',#512479); #165070=VERTEX_POINT('',#512483); #165071=VERTEX_POINT('',#512485); #165072=VERTEX_POINT('',#512489); #165073=VERTEX_POINT('',#512491); #165074=VERTEX_POINT('',#512495); #165075=VERTEX_POINT('',#512497); #165076=VERTEX_POINT('',#512501); #165077=VERTEX_POINT('',#512503); #165078=VERTEX_POINT('',#512507); #165079=VERTEX_POINT('',#512509); #165080=VERTEX_POINT('',#512513); #165081=VERTEX_POINT('',#512515); #165082=VERTEX_POINT('',#512519); #165083=VERTEX_POINT('',#512521); #165084=VERTEX_POINT('',#512530); #165085=VERTEX_POINT('',#512531); #165086=VERTEX_POINT('',#512533); #165087=VERTEX_POINT('',#512535); #165088=VERTEX_POINT('',#512539); #165089=VERTEX_POINT('',#512541); #165090=VERTEX_POINT('',#512545); #165091=VERTEX_POINT('',#512547); #165092=VERTEX_POINT('',#512551); #165093=VERTEX_POINT('',#512553); #165094=VERTEX_POINT('',#512557); #165095=VERTEX_POINT('',#512559); #165096=VERTEX_POINT('',#512563); #165097=VERTEX_POINT('',#512565); #165098=VERTEX_POINT('',#512569); #165099=VERTEX_POINT('',#512571); #165100=VERTEX_POINT('',#512575); #165101=VERTEX_POINT('',#512577); #165102=VERTEX_POINT('',#512581); #165103=VERTEX_POINT('',#512583); #165104=VERTEX_POINT('',#512587); #165105=VERTEX_POINT('',#512589); #165106=VERTEX_POINT('',#512593); #165107=VERTEX_POINT('',#512595); #165108=VERTEX_POINT('',#512599); #165109=VERTEX_POINT('',#512601); #165110=VERTEX_POINT('',#512605); #165111=VERTEX_POINT('',#512607); #165112=VERTEX_POINT('',#512611); #165113=VERTEX_POINT('',#512613); #165114=VERTEX_POINT('',#512617); #165115=VERTEX_POINT('',#512619); #165116=VERTEX_POINT('',#512623); #165117=VERTEX_POINT('',#512625); #165118=VERTEX_POINT('',#512629); #165119=VERTEX_POINT('',#512631); #165120=VERTEX_POINT('',#512635); #165121=VERTEX_POINT('',#512637); #165122=VERTEX_POINT('',#512641); #165123=VERTEX_POINT('',#512643); #165124=VERTEX_POINT('',#512652); #165125=VERTEX_POINT('',#512653); #165126=VERTEX_POINT('',#512655); #165127=VERTEX_POINT('',#512657); #165128=VERTEX_POINT('',#512661); #165129=VERTEX_POINT('',#512663); #165130=VERTEX_POINT('',#512667); #165131=VERTEX_POINT('',#512669); #165132=VERTEX_POINT('',#512673); #165133=VERTEX_POINT('',#512675); #165134=VERTEX_POINT('',#512679); #165135=VERTEX_POINT('',#512681); #165136=VERTEX_POINT('',#512685); #165137=VERTEX_POINT('',#512687); #165138=VERTEX_POINT('',#512691); #165139=VERTEX_POINT('',#512693); #165140=VERTEX_POINT('',#512697); #165141=VERTEX_POINT('',#512699); #165142=VERTEX_POINT('',#512703); #165143=VERTEX_POINT('',#512705); #165144=VERTEX_POINT('',#512709); #165145=VERTEX_POINT('',#512711); #165146=VERTEX_POINT('',#512715); #165147=VERTEX_POINT('',#512717); #165148=VERTEX_POINT('',#512721); #165149=VERTEX_POINT('',#512723); #165150=VERTEX_POINT('',#512727); #165151=VERTEX_POINT('',#512729); #165152=VERTEX_POINT('',#512733); #165153=VERTEX_POINT('',#512735); #165154=VERTEX_POINT('',#512739); #165155=VERTEX_POINT('',#512741); #165156=VERTEX_POINT('',#512745); #165157=VERTEX_POINT('',#512747); #165158=VERTEX_POINT('',#512751); #165159=VERTEX_POINT('',#512753); #165160=VERTEX_POINT('',#512757); #165161=VERTEX_POINT('',#512759); #165162=VERTEX_POINT('',#512763); #165163=VERTEX_POINT('',#512765); #165164=VERTEX_POINT('',#512769); #165165=VERTEX_POINT('',#512771); #165166=VERTEX_POINT('',#512775); #165167=VERTEX_POINT('',#512777); #165168=VERTEX_POINT('',#512781); #165169=VERTEX_POINT('',#512783); #165170=VERTEX_POINT('',#512787); #165171=VERTEX_POINT('',#512789); #165172=VERTEX_POINT('',#512793); #165173=VERTEX_POINT('',#512795); #165174=VERTEX_POINT('',#512799); #165175=VERTEX_POINT('',#512801); #165176=VERTEX_POINT('',#512805); #165177=VERTEX_POINT('',#512807); #165178=VERTEX_POINT('',#512811); #165179=VERTEX_POINT('',#512813); #165180=VERTEX_POINT('',#512817); #165181=VERTEX_POINT('',#512819); #165182=VERTEX_POINT('',#512823); #165183=VERTEX_POINT('',#512825); #165184=VERTEX_POINT('',#512829); #165185=VERTEX_POINT('',#512831); #165186=VERTEX_POINT('',#512835); #165187=VERTEX_POINT('',#512837); #165188=VERTEX_POINT('',#512841); #165189=VERTEX_POINT('',#512843); #165190=VERTEX_POINT('',#512847); #165191=VERTEX_POINT('',#512849); #165192=VERTEX_POINT('',#512853); #165193=VERTEX_POINT('',#512855); #165194=VERTEX_POINT('',#512859); #165195=VERTEX_POINT('',#512861); #165196=VERTEX_POINT('',#512865); #165197=VERTEX_POINT('',#512867); #165198=VERTEX_POINT('',#512871); #165199=VERTEX_POINT('',#512873); #165200=VERTEX_POINT('',#512877); #165201=VERTEX_POINT('',#512879); #165202=VERTEX_POINT('',#512883); #165203=VERTEX_POINT('',#512885); #165204=VERTEX_POINT('',#512889); #165205=VERTEX_POINT('',#512891); #165206=VERTEX_POINT('',#512895); #165207=VERTEX_POINT('',#512897); #165208=VERTEX_POINT('',#512901); #165209=VERTEX_POINT('',#512903); #165210=VERTEX_POINT('',#512907); #165211=VERTEX_POINT('',#512909); #165212=VERTEX_POINT('',#512913); #165213=VERTEX_POINT('',#512915); #165214=VERTEX_POINT('',#512919); #165215=VERTEX_POINT('',#512921); #165216=VERTEX_POINT('',#512925); #165217=VERTEX_POINT('',#512927); #165218=VERTEX_POINT('',#512936); #165219=VERTEX_POINT('',#512937); #165220=VERTEX_POINT('',#512939); #165221=VERTEX_POINT('',#512941); #165222=VERTEX_POINT('',#512945); #165223=VERTEX_POINT('',#512947); #165224=VERTEX_POINT('',#512951); #165225=VERTEX_POINT('',#512953); #165226=VERTEX_POINT('',#512957); #165227=VERTEX_POINT('',#512959); #165228=VERTEX_POINT('',#512963); #165229=VERTEX_POINT('',#512965); #165230=VERTEX_POINT('',#512969); #165231=VERTEX_POINT('',#512971); #165232=VERTEX_POINT('',#512975); #165233=VERTEX_POINT('',#512977); #165234=VERTEX_POINT('',#512981); #165235=VERTEX_POINT('',#512983); #165236=VERTEX_POINT('',#512987); #165237=VERTEX_POINT('',#512989); #165238=VERTEX_POINT('',#512993); #165239=VERTEX_POINT('',#512995); #165240=VERTEX_POINT('',#512999); #165241=VERTEX_POINT('',#513001); #165242=VERTEX_POINT('',#513005); #165243=VERTEX_POINT('',#513007); #165244=VERTEX_POINT('',#513011); #165245=VERTEX_POINT('',#513013); #165246=VERTEX_POINT('',#513017); #165247=VERTEX_POINT('',#513019); #165248=VERTEX_POINT('',#513023); #165249=VERTEX_POINT('',#513025); #165250=VERTEX_POINT('',#513029); #165251=VERTEX_POINT('',#513031); #165252=VERTEX_POINT('',#513035); #165253=VERTEX_POINT('',#513037); #165254=VERTEX_POINT('',#513041); #165255=VERTEX_POINT('',#513043); #165256=VERTEX_POINT('',#513047); #165257=VERTEX_POINT('',#513049); #165258=VERTEX_POINT('',#513053); #165259=VERTEX_POINT('',#513055); #165260=VERTEX_POINT('',#513059); #165261=VERTEX_POINT('',#513061); #165262=VERTEX_POINT('',#513065); #165263=VERTEX_POINT('',#513067); #165264=VERTEX_POINT('',#513071); #165265=VERTEX_POINT('',#513073); #165266=VERTEX_POINT('',#513077); #165267=VERTEX_POINT('',#513079); #165268=VERTEX_POINT('',#513083); #165269=VERTEX_POINT('',#513085); #165270=VERTEX_POINT('',#513089); #165271=VERTEX_POINT('',#513091); #165272=VERTEX_POINT('',#513095); #165273=VERTEX_POINT('',#513097); #165274=VERTEX_POINT('',#513106); #165275=VERTEX_POINT('',#513108); #165276=VERTEX_POINT('',#513112); #165277=VERTEX_POINT('',#513114); #165278=VERTEX_POINT('',#513118); #165279=VERTEX_POINT('',#513120); #165280=VERTEX_POINT('',#513124); #165281=VERTEX_POINT('',#513126); #165282=VERTEX_POINT('',#513130); #165283=VERTEX_POINT('',#513132); #165284=VERTEX_POINT('',#513136); #165285=VERTEX_POINT('',#513138); #165286=VERTEX_POINT('',#513142); #165287=VERTEX_POINT('',#513144); #165288=VERTEX_POINT('',#513148); #165289=VERTEX_POINT('',#513150); #165290=VERTEX_POINT('',#513154); #165291=VERTEX_POINT('',#513156); #165292=VERTEX_POINT('',#513160); #165293=VERTEX_POINT('',#513162); #165294=VERTEX_POINT('',#513166); #165295=VERTEX_POINT('',#513168); #165296=VERTEX_POINT('',#513172); #165297=VERTEX_POINT('',#513174); #165298=VERTEX_POINT('',#513178); #165299=VERTEX_POINT('',#513180); #165300=VERTEX_POINT('',#513184); #165301=VERTEX_POINT('',#513186); #165302=VERTEX_POINT('',#513190); #165303=VERTEX_POINT('',#513192); #165304=VERTEX_POINT('',#513196); #165305=VERTEX_POINT('',#513198); #165306=VERTEX_POINT('',#513202); #165307=VERTEX_POINT('',#513203); #165308=VERTEX_POINT('',#513205); #165309=VERTEX_POINT('',#513207); #165310=VERTEX_POINT('',#513211); #165311=VERTEX_POINT('',#513213); #165312=VERTEX_POINT('',#513217); #165313=VERTEX_POINT('',#513219); #165314=VERTEX_POINT('',#513223); #165315=VERTEX_POINT('',#513225); #165316=VERTEX_POINT('',#513229); #165317=VERTEX_POINT('',#513231); #165318=VERTEX_POINT('',#513235); #165319=VERTEX_POINT('',#513237); #165320=VERTEX_POINT('',#513241); #165321=VERTEX_POINT('',#513243); #165322=VERTEX_POINT('',#513247); #165323=VERTEX_POINT('',#513249); #165324=VERTEX_POINT('',#513253); #165325=VERTEX_POINT('',#513255); #165326=VERTEX_POINT('',#513259); #165327=VERTEX_POINT('',#513261); #165328=VERTEX_POINT('',#513265); #165329=VERTEX_POINT('',#513267); #165330=VERTEX_POINT('',#513271); #165331=VERTEX_POINT('',#513273); #165332=VERTEX_POINT('',#513277); #165333=VERTEX_POINT('',#513279); #165334=VERTEX_POINT('',#513283); #165335=VERTEX_POINT('',#513285); #165336=VERTEX_POINT('',#513289); #165337=VERTEX_POINT('',#513291); #165338=VERTEX_POINT('',#513295); #165339=VERTEX_POINT('',#513297); #165340=VERTEX_POINT('',#513301); #165341=VERTEX_POINT('',#513303); #165342=VERTEX_POINT('',#513307); #165343=VERTEX_POINT('',#513309); #165344=VERTEX_POINT('',#513313); #165345=VERTEX_POINT('',#513315); #165346=VERTEX_POINT('',#513319); #165347=VERTEX_POINT('',#513321); #165348=VERTEX_POINT('',#513325); #165349=VERTEX_POINT('',#513327); #165350=VERTEX_POINT('',#513331); #165351=VERTEX_POINT('',#513333); #165352=VERTEX_POINT('',#513337); #165353=VERTEX_POINT('',#513339); #165354=VERTEX_POINT('',#513343); #165355=VERTEX_POINT('',#513345); #165356=VERTEX_POINT('',#513349); #165357=VERTEX_POINT('',#513351); #165358=VERTEX_POINT('',#513355); #165359=VERTEX_POINT('',#513357); #165360=VERTEX_POINT('',#513361); #165361=VERTEX_POINT('',#513363); #165362=VERTEX_POINT('',#513367); #165363=VERTEX_POINT('',#513369); #165364=VERTEX_POINT('',#513373); #165365=VERTEX_POINT('',#513375); #165366=VERTEX_POINT('',#513379); #165367=VERTEX_POINT('',#513381); #165368=VERTEX_POINT('',#513385); #165369=VERTEX_POINT('',#513387); #165370=VERTEX_POINT('',#513391); #165371=VERTEX_POINT('',#513393); #165372=VERTEX_POINT('',#513397); #165373=VERTEX_POINT('',#513399); #165374=VERTEX_POINT('',#513403); #165375=VERTEX_POINT('',#513405); #165376=VERTEX_POINT('',#513409); #165377=VERTEX_POINT('',#513411); #165378=VERTEX_POINT('',#513415); #165379=VERTEX_POINT('',#513417); #165380=VERTEX_POINT('',#513421); #165381=VERTEX_POINT('',#513423); #165382=VERTEX_POINT('',#513427); #165383=VERTEX_POINT('',#513429); #165384=VERTEX_POINT('',#513433); #165385=VERTEX_POINT('',#513435); #165386=VERTEX_POINT('',#513439); #165387=VERTEX_POINT('',#513441); #165388=VERTEX_POINT('',#513445); #165389=VERTEX_POINT('',#513447); #165390=VERTEX_POINT('',#513451); #165391=VERTEX_POINT('',#513453); #165392=VERTEX_POINT('',#513457); #165393=VERTEX_POINT('',#513459); #165394=VERTEX_POINT('',#513463); #165395=VERTEX_POINT('',#513465); #165396=VERTEX_POINT('',#513469); #165397=VERTEX_POINT('',#513471); #165398=VERTEX_POINT('',#513475); #165399=VERTEX_POINT('',#513477); #165400=VERTEX_POINT('',#513481); #165401=VERTEX_POINT('',#513483); #165402=VERTEX_POINT('',#513487); #165403=VERTEX_POINT('',#513489); #165404=VERTEX_POINT('',#513493); #165405=VERTEX_POINT('',#513495); #165406=VERTEX_POINT('',#513499); #165407=VERTEX_POINT('',#513501); #165408=VERTEX_POINT('',#513505); #165409=VERTEX_POINT('',#513507); #165410=VERTEX_POINT('',#513511); #165411=VERTEX_POINT('',#513513); #165412=VERTEX_POINT('',#513517); #165413=VERTEX_POINT('',#513519); #165414=VERTEX_POINT('',#513523); #165415=VERTEX_POINT('',#513525); #165416=VERTEX_POINT('',#513529); #165417=VERTEX_POINT('',#513531); #165418=VERTEX_POINT('',#513535); #165419=VERTEX_POINT('',#513537); #165420=VERTEX_POINT('',#513541); #165421=VERTEX_POINT('',#513543); #165422=VERTEX_POINT('',#513547); #165423=VERTEX_POINT('',#513549); #165424=VERTEX_POINT('',#513553); #165425=VERTEX_POINT('',#513555); #165426=VERTEX_POINT('',#513559); #165427=VERTEX_POINT('',#513561); #165428=VERTEX_POINT('',#513565); #165429=VERTEX_POINT('',#513567); #165430=VERTEX_POINT('',#513571); #165431=VERTEX_POINT('',#513573); #165432=VERTEX_POINT('',#513577); #165433=VERTEX_POINT('',#513579); #165434=VERTEX_POINT('',#513583); #165435=VERTEX_POINT('',#513585); #165436=VERTEX_POINT('',#513589); #165437=VERTEX_POINT('',#513591); #165438=VERTEX_POINT('',#513595); #165439=VERTEX_POINT('',#513597); #165440=VERTEX_POINT('',#513601); #165441=VERTEX_POINT('',#513603); #165442=VERTEX_POINT('',#513607); #165443=VERTEX_POINT('',#513609); #165444=VERTEX_POINT('',#513613); #165445=VERTEX_POINT('',#513615); #165446=VERTEX_POINT('',#513619); #165447=VERTEX_POINT('',#513621); #165448=VERTEX_POINT('',#513625); #165449=VERTEX_POINT('',#513627); #165450=VERTEX_POINT('',#513631); #165451=VERTEX_POINT('',#513633); #165452=VERTEX_POINT('',#513637); #165453=VERTEX_POINT('',#513639); #165454=VERTEX_POINT('',#513648); #165455=VERTEX_POINT('',#513650); #165456=VERTEX_POINT('',#513654); #165457=VERTEX_POINT('',#513656); #165458=VERTEX_POINT('',#513660); #165459=VERTEX_POINT('',#513662); #165460=VERTEX_POINT('',#513666); #165461=VERTEX_POINT('',#513668); #165462=VERTEX_POINT('',#513672); #165463=VERTEX_POINT('',#513674); #165464=VERTEX_POINT('',#513678); #165465=VERTEX_POINT('',#513680); #165466=VERTEX_POINT('',#513684); #165467=VERTEX_POINT('',#513686); #165468=VERTEX_POINT('',#513690); #165469=VERTEX_POINT('',#513692); #165470=VERTEX_POINT('',#513696); #165471=VERTEX_POINT('',#513698); #165472=VERTEX_POINT('',#513702); #165473=VERTEX_POINT('',#513704); #165474=VERTEX_POINT('',#513708); #165475=VERTEX_POINT('',#513710); #165476=VERTEX_POINT('',#513714); #165477=VERTEX_POINT('',#513716); #165478=VERTEX_POINT('',#513720); #165479=VERTEX_POINT('',#513722); #165480=VERTEX_POINT('',#513726); #165481=VERTEX_POINT('',#513728); #165482=VERTEX_POINT('',#513732); #165483=VERTEX_POINT('',#513734); #165484=VERTEX_POINT('',#513738); #165485=VERTEX_POINT('',#513740); #165486=VERTEX_POINT('',#513744); #165487=VERTEX_POINT('',#513745); #165488=VERTEX_POINT('',#513747); #165489=VERTEX_POINT('',#513749); #165490=VERTEX_POINT('',#513753); #165491=VERTEX_POINT('',#513755); #165492=VERTEX_POINT('',#513759); #165493=VERTEX_POINT('',#513761); #165494=VERTEX_POINT('',#513765); #165495=VERTEX_POINT('',#513767); #165496=VERTEX_POINT('',#513771); #165497=VERTEX_POINT('',#513773); #165498=VERTEX_POINT('',#513777); #165499=VERTEX_POINT('',#513779); #165500=VERTEX_POINT('',#513783); #165501=VERTEX_POINT('',#513785); #165502=VERTEX_POINT('',#513789); #165503=VERTEX_POINT('',#513791); #165504=VERTEX_POINT('',#513795); #165505=VERTEX_POINT('',#513797); #165506=VERTEX_POINT('',#513801); #165507=VERTEX_POINT('',#513803); #165508=VERTEX_POINT('',#513807); #165509=VERTEX_POINT('',#513809); #165510=VERTEX_POINT('',#513813); #165511=VERTEX_POINT('',#513815); #165512=VERTEX_POINT('',#513819); #165513=VERTEX_POINT('',#513821); #165514=VERTEX_POINT('',#513825); #165515=VERTEX_POINT('',#513827); #165516=VERTEX_POINT('',#513831); #165517=VERTEX_POINT('',#513833); #165518=VERTEX_POINT('',#513837); #165519=VERTEX_POINT('',#513839); #165520=VERTEX_POINT('',#513843); #165521=VERTEX_POINT('',#513845); #165522=VERTEX_POINT('',#513849); #165523=VERTEX_POINT('',#513851); #165524=VERTEX_POINT('',#513855); #165525=VERTEX_POINT('',#513857); #165526=VERTEX_POINT('',#513861); #165527=VERTEX_POINT('',#513863); #165528=VERTEX_POINT('',#513867); #165529=VERTEX_POINT('',#513869); #165530=VERTEX_POINT('',#513873); #165531=VERTEX_POINT('',#513875); #165532=VERTEX_POINT('',#513879); #165533=VERTEX_POINT('',#513881); #165534=VERTEX_POINT('',#513885); #165535=VERTEX_POINT('',#513887); #165536=VERTEX_POINT('',#513891); #165537=VERTEX_POINT('',#513893); #165538=VERTEX_POINT('',#513897); #165539=VERTEX_POINT('',#513899); #165540=VERTEX_POINT('',#513903); #165541=VERTEX_POINT('',#513905); #165542=VERTEX_POINT('',#513909); #165543=VERTEX_POINT('',#513911); #165544=VERTEX_POINT('',#513915); #165545=VERTEX_POINT('',#513917); #165546=VERTEX_POINT('',#513921); #165547=VERTEX_POINT('',#513923); #165548=VERTEX_POINT('',#513927); #165549=VERTEX_POINT('',#513929); #165550=VERTEX_POINT('',#513933); #165551=VERTEX_POINT('',#513935); #165552=VERTEX_POINT('',#513939); #165553=VERTEX_POINT('',#513941); #165554=VERTEX_POINT('',#513945); #165555=VERTEX_POINT('',#513947); #165556=VERTEX_POINT('',#513951); #165557=VERTEX_POINT('',#513953); #165558=VERTEX_POINT('',#513957); #165559=VERTEX_POINT('',#513959); #165560=VERTEX_POINT('',#513963); #165561=VERTEX_POINT('',#513965); #165562=VERTEX_POINT('',#513969); #165563=VERTEX_POINT('',#513971); #165564=VERTEX_POINT('',#513975); #165565=VERTEX_POINT('',#513977); #165566=VERTEX_POINT('',#513981); #165567=VERTEX_POINT('',#513983); #165568=VERTEX_POINT('',#513987); #165569=VERTEX_POINT('',#513989); #165570=VERTEX_POINT('',#513993); #165571=VERTEX_POINT('',#513995); #165572=VERTEX_POINT('',#513999); #165573=VERTEX_POINT('',#514001); #165574=VERTEX_POINT('',#514005); #165575=VERTEX_POINT('',#514007); #165576=VERTEX_POINT('',#514011); #165577=VERTEX_POINT('',#514013); #165578=VERTEX_POINT('',#514017); #165579=VERTEX_POINT('',#514019); #165580=VERTEX_POINT('',#514023); #165581=VERTEX_POINT('',#514025); #165582=VERTEX_POINT('',#514029); #165583=VERTEX_POINT('',#514031); #165584=VERTEX_POINT('',#514035); #165585=VERTEX_POINT('',#514037); #165586=VERTEX_POINT('',#514041); #165587=VERTEX_POINT('',#514043); #165588=VERTEX_POINT('',#514047); #165589=VERTEX_POINT('',#514049); #165590=VERTEX_POINT('',#514053); #165591=VERTEX_POINT('',#514055); #165592=VERTEX_POINT('',#514059); #165593=VERTEX_POINT('',#514061); #165594=VERTEX_POINT('',#514065); #165595=VERTEX_POINT('',#514067); #165596=VERTEX_POINT('',#514071); #165597=VERTEX_POINT('',#514073); #165598=VERTEX_POINT('',#514077); #165599=VERTEX_POINT('',#514079); #165600=VERTEX_POINT('',#514083); #165601=VERTEX_POINT('',#514085); #165602=VERTEX_POINT('',#514089); #165603=VERTEX_POINT('',#514091); #165604=VERTEX_POINT('',#514095); #165605=VERTEX_POINT('',#514097); #165606=VERTEX_POINT('',#514101); #165607=VERTEX_POINT('',#514103); #165608=VERTEX_POINT('',#514107); #165609=VERTEX_POINT('',#514109); #165610=VERTEX_POINT('',#514113); #165611=VERTEX_POINT('',#514115); #165612=VERTEX_POINT('',#514119); #165613=VERTEX_POINT('',#514121); #165614=VERTEX_POINT('',#514125); #165615=VERTEX_POINT('',#514127); #165616=VERTEX_POINT('',#514131); #165617=VERTEX_POINT('',#514133); #165618=VERTEX_POINT('',#514137); #165619=VERTEX_POINT('',#514139); #165620=VERTEX_POINT('',#514143); #165621=VERTEX_POINT('',#514145); #165622=VERTEX_POINT('',#514149); #165623=VERTEX_POINT('',#514151); #165624=VERTEX_POINT('',#514155); #165625=VERTEX_POINT('',#514157); #165626=VERTEX_POINT('',#514166); #165627=VERTEX_POINT('',#514168); #165628=VERTEX_POINT('',#514172); #165629=VERTEX_POINT('',#514174); #165630=VERTEX_POINT('',#514178); #165631=VERTEX_POINT('',#514180); #165632=VERTEX_POINT('',#514184); #165633=VERTEX_POINT('',#514186); #165634=VERTEX_POINT('',#514190); #165635=VERTEX_POINT('',#514192); #165636=VERTEX_POINT('',#514196); #165637=VERTEX_POINT('',#514198); #165638=VERTEX_POINT('',#514202); #165639=VERTEX_POINT('',#514204); #165640=VERTEX_POINT('',#514208); #165641=VERTEX_POINT('',#514210); #165642=VERTEX_POINT('',#514214); #165643=VERTEX_POINT('',#514216); #165644=VERTEX_POINT('',#514220); #165645=VERTEX_POINT('',#514222); #165646=VERTEX_POINT('',#514226); #165647=VERTEX_POINT('',#514228); #165648=VERTEX_POINT('',#514232); #165649=VERTEX_POINT('',#514234); #165650=VERTEX_POINT('',#514238); #165651=VERTEX_POINT('',#514240); #165652=VERTEX_POINT('',#514244); #165653=VERTEX_POINT('',#514246); #165654=VERTEX_POINT('',#514250); #165655=VERTEX_POINT('',#514252); #165656=VERTEX_POINT('',#514256); #165657=VERTEX_POINT('',#514258); #165658=VERTEX_POINT('',#514262); #165659=VERTEX_POINT('',#514264); #165660=VERTEX_POINT('',#514268); #165661=VERTEX_POINT('',#514270); #165662=VERTEX_POINT('',#514274); #165663=VERTEX_POINT('',#514276); #165664=VERTEX_POINT('',#514280); #165665=VERTEX_POINT('',#514282); #165666=VERTEX_POINT('',#514286); #165667=VERTEX_POINT('',#514288); #165668=VERTEX_POINT('',#514292); #165669=VERTEX_POINT('',#514294); #165670=VERTEX_POINT('',#514298); #165671=VERTEX_POINT('',#514300); #165672=VERTEX_POINT('',#514304); #165673=VERTEX_POINT('',#514306); #165674=VERTEX_POINT('',#514310); #165675=VERTEX_POINT('',#514312); #165676=VERTEX_POINT('',#514316); #165677=VERTEX_POINT('',#514318); #165678=VERTEX_POINT('',#514322); #165679=VERTEX_POINT('',#514324); #165680=VERTEX_POINT('',#514328); #165681=VERTEX_POINT('',#514330); #165682=VERTEX_POINT('',#514334); #165683=VERTEX_POINT('',#514336); #165684=VERTEX_POINT('',#514340); #165685=VERTEX_POINT('',#514342); #165686=VERTEX_POINT('',#514346); #165687=VERTEX_POINT('',#514347); #165688=VERTEX_POINT('',#514349); #165689=VERTEX_POINT('',#514351); #165690=VERTEX_POINT('',#514355); #165691=VERTEX_POINT('',#514357); #165692=VERTEX_POINT('',#514361); #165693=VERTEX_POINT('',#514363); #165694=VERTEX_POINT('',#514367); #165695=VERTEX_POINT('',#514369); #165696=VERTEX_POINT('',#514373); #165697=VERTEX_POINT('',#514375); #165698=VERTEX_POINT('',#514379); #165699=VERTEX_POINT('',#514381); #165700=VERTEX_POINT('',#514385); #165701=VERTEX_POINT('',#514387); #165702=VERTEX_POINT('',#514391); #165703=VERTEX_POINT('',#514393); #165704=VERTEX_POINT('',#514397); #165705=VERTEX_POINT('',#514399); #165706=VERTEX_POINT('',#514403); #165707=VERTEX_POINT('',#514405); #165708=VERTEX_POINT('',#514409); #165709=VERTEX_POINT('',#514411); #165710=VERTEX_POINT('',#514415); #165711=VERTEX_POINT('',#514417); #165712=VERTEX_POINT('',#514421); #165713=VERTEX_POINT('',#514423); #165714=VERTEX_POINT('',#514427); #165715=VERTEX_POINT('',#514429); #165716=VERTEX_POINT('',#514433); #165717=VERTEX_POINT('',#514435); #165718=VERTEX_POINT('',#514439); #165719=VERTEX_POINT('',#514441); #165720=VERTEX_POINT('',#514445); #165721=VERTEX_POINT('',#514447); #165722=VERTEX_POINT('',#514451); #165723=VERTEX_POINT('',#514453); #165724=VERTEX_POINT('',#514457); #165725=VERTEX_POINT('',#514459); #165726=VERTEX_POINT('',#514463); #165727=VERTEX_POINT('',#514465); #165728=VERTEX_POINT('',#514469); #165729=VERTEX_POINT('',#514471); #165730=VERTEX_POINT('',#514475); #165731=VERTEX_POINT('',#514477); #165732=VERTEX_POINT('',#514481); #165733=VERTEX_POINT('',#514483); #165734=VERTEX_POINT('',#514487); #165735=VERTEX_POINT('',#514489); #165736=VERTEX_POINT('',#514493); #165737=VERTEX_POINT('',#514495); #165738=VERTEX_POINT('',#514499); #165739=VERTEX_POINT('',#514501); #165740=VERTEX_POINT('',#514505); #165741=VERTEX_POINT('',#514507); #165742=VERTEX_POINT('',#514511); #165743=VERTEX_POINT('',#514513); #165744=VERTEX_POINT('',#514517); #165745=VERTEX_POINT('',#514519); #165746=VERTEX_POINT('',#514523); #165747=VERTEX_POINT('',#514525); #165748=VERTEX_POINT('',#514529); #165749=VERTEX_POINT('',#514531); #165750=VERTEX_POINT('',#514535); #165751=VERTEX_POINT('',#514537); #165752=VERTEX_POINT('',#514541); #165753=VERTEX_POINT('',#514543); #165754=VERTEX_POINT('',#514547); #165755=VERTEX_POINT('',#514549); #165756=VERTEX_POINT('',#514553); #165757=VERTEX_POINT('',#514555); #165758=VERTEX_POINT('',#514559); #165759=VERTEX_POINT('',#514561); #165760=VERTEX_POINT('',#514565); #165761=VERTEX_POINT('',#514567); #165762=VERTEX_POINT('',#514571); #165763=VERTEX_POINT('',#514573); #165764=VERTEX_POINT('',#514577); #165765=VERTEX_POINT('',#514579); #165766=VERTEX_POINT('',#514583); #165767=VERTEX_POINT('',#514585); #165768=VERTEX_POINT('',#514589); #165769=VERTEX_POINT('',#514591); #165770=VERTEX_POINT('',#514595); #165771=VERTEX_POINT('',#514597); #165772=VERTEX_POINT('',#514601); #165773=VERTEX_POINT('',#514603); #165774=VERTEX_POINT('',#514607); #165775=VERTEX_POINT('',#514609); #165776=VERTEX_POINT('',#514618); #165777=VERTEX_POINT('',#514620); #165778=VERTEX_POINT('',#514624); #165779=VERTEX_POINT('',#514626); #165780=VERTEX_POINT('',#514630); #165781=VERTEX_POINT('',#514631); #165782=VERTEX_POINT('',#514633); #165783=VERTEX_POINT('',#514635); #165784=VERTEX_POINT('',#514639); #165785=VERTEX_POINT('',#514641); #165786=VERTEX_POINT('',#514645); #165787=VERTEX_POINT('',#514647); #165788=VERTEX_POINT('',#514651); #165789=VERTEX_POINT('',#514653); #165790=VERTEX_POINT('',#514657); #165791=VERTEX_POINT('',#514659); #165792=VERTEX_POINT('',#514663); #165793=VERTEX_POINT('',#514665); #165794=VERTEX_POINT('',#514674); #165795=VERTEX_POINT('',#514676); #165796=VERTEX_POINT('',#514680); #165797=VERTEX_POINT('',#514682); #165798=VERTEX_POINT('',#514686); #165799=VERTEX_POINT('',#514688); #165800=VERTEX_POINT('',#514692); #165801=VERTEX_POINT('',#514694); #165802=VERTEX_POINT('',#514698); #165803=VERTEX_POINT('',#514700); #165804=VERTEX_POINT('',#514704); #165805=VERTEX_POINT('',#514706); #165806=VERTEX_POINT('',#514710); #165807=VERTEX_POINT('',#514712); #165808=VERTEX_POINT('',#514716); #165809=VERTEX_POINT('',#514718); #165810=VERTEX_POINT('',#514722); #165811=VERTEX_POINT('',#514724); #165812=VERTEX_POINT('',#514728); #165813=VERTEX_POINT('',#514730); #165814=VERTEX_POINT('',#514734); #165815=VERTEX_POINT('',#514736); #165816=VERTEX_POINT('',#514740); #165817=VERTEX_POINT('',#514742); #165818=VERTEX_POINT('',#514746); #165819=VERTEX_POINT('',#514748); #165820=VERTEX_POINT('',#514752); #165821=VERTEX_POINT('',#514754); #165822=VERTEX_POINT('',#514758); #165823=VERTEX_POINT('',#514760); #165824=VERTEX_POINT('',#514764); #165825=VERTEX_POINT('',#514766); #165826=VERTEX_POINT('',#514770); #165827=VERTEX_POINT('',#514772); #165828=VERTEX_POINT('',#514776); #165829=VERTEX_POINT('',#514778); #165830=VERTEX_POINT('',#514782); #165831=VERTEX_POINT('',#514784); #165832=VERTEX_POINT('',#514788); #165833=VERTEX_POINT('',#514790); #165834=VERTEX_POINT('',#514794); #165835=VERTEX_POINT('',#514796); #165836=VERTEX_POINT('',#514800); #165837=VERTEX_POINT('',#514802); #165838=VERTEX_POINT('',#514806); #165839=VERTEX_POINT('',#514808); #165840=VERTEX_POINT('',#514812); #165841=VERTEX_POINT('',#514814); #165842=VERTEX_POINT('',#514818); #165843=VERTEX_POINT('',#514820); #165844=VERTEX_POINT('',#514824); #165845=VERTEX_POINT('',#514826); #165846=VERTEX_POINT('',#514830); #165847=VERTEX_POINT('',#514832); #165848=VERTEX_POINT('',#514836); #165849=VERTEX_POINT('',#514838); #165850=VERTEX_POINT('',#514842); #165851=VERTEX_POINT('',#514844); #165852=VERTEX_POINT('',#514848); #165853=VERTEX_POINT('',#514850); #165854=VERTEX_POINT('',#514854); #165855=VERTEX_POINT('',#514855); #165856=VERTEX_POINT('',#514857); #165857=VERTEX_POINT('',#514859); #165858=VERTEX_POINT('',#514863); #165859=VERTEX_POINT('',#514865); #165860=VERTEX_POINT('',#514869); #165861=VERTEX_POINT('',#514871); #165862=VERTEX_POINT('',#514875); #165863=VERTEX_POINT('',#514877); #165864=VERTEX_POINT('',#514881); #165865=VERTEX_POINT('',#514883); #165866=VERTEX_POINT('',#514887); #165867=VERTEX_POINT('',#514889); #165868=VERTEX_POINT('',#514893); #165869=VERTEX_POINT('',#514895); #165870=VERTEX_POINT('',#514899); #165871=VERTEX_POINT('',#514901); #165872=VERTEX_POINT('',#514905); #165873=VERTEX_POINT('',#514907); #165874=VERTEX_POINT('',#514911); #165875=VERTEX_POINT('',#514913); #165876=VERTEX_POINT('',#514917); #165877=VERTEX_POINT('',#514919); #165878=VERTEX_POINT('',#514923); #165879=VERTEX_POINT('',#514925); #165880=VERTEX_POINT('',#514929); #165881=VERTEX_POINT('',#514931); #165882=VERTEX_POINT('',#514935); #165883=VERTEX_POINT('',#514937); #165884=VERTEX_POINT('',#514941); #165885=VERTEX_POINT('',#514943); #165886=VERTEX_POINT('',#514947); #165887=VERTEX_POINT('',#514949); #165888=VERTEX_POINT('',#514953); #165889=VERTEX_POINT('',#514955); #165890=VERTEX_POINT('',#514959); #165891=VERTEX_POINT('',#514961); #165892=VERTEX_POINT('',#514965); #165893=VERTEX_POINT('',#514967); #165894=VERTEX_POINT('',#514971); #165895=VERTEX_POINT('',#514973); #165896=VERTEX_POINT('',#514977); #165897=VERTEX_POINT('',#514979); #165898=VERTEX_POINT('',#514983); #165899=VERTEX_POINT('',#514985); #165900=VERTEX_POINT('',#514989); #165901=VERTEX_POINT('',#514991); #165902=VERTEX_POINT('',#514995); #165903=VERTEX_POINT('',#514997); #165904=VERTEX_POINT('',#515001); #165905=VERTEX_POINT('',#515003); #165906=VERTEX_POINT('',#515007); #165907=VERTEX_POINT('',#515009); #165908=VERTEX_POINT('',#515013); #165909=VERTEX_POINT('',#515015); #165910=VERTEX_POINT('',#515019); #165911=VERTEX_POINT('',#515021); #165912=VERTEX_POINT('',#515025); #165913=VERTEX_POINT('',#515027); #165914=VERTEX_POINT('',#515031); #165915=VERTEX_POINT('',#515033); #165916=VERTEX_POINT('',#515037); #165917=VERTEX_POINT('',#515039); #165918=VERTEX_POINT('',#515043); #165919=VERTEX_POINT('',#515045); #165920=VERTEX_POINT('',#515049); #165921=VERTEX_POINT('',#515051); #165922=VERTEX_POINT('',#515055); #165923=VERTEX_POINT('',#515057); #165924=VERTEX_POINT('',#515061); #165925=VERTEX_POINT('',#515063); #165926=VERTEX_POINT('',#515067); #165927=VERTEX_POINT('',#515069); #165928=VERTEX_POINT('',#515073); #165929=VERTEX_POINT('',#515075); #165930=VERTEX_POINT('',#515079); #165931=VERTEX_POINT('',#515081); #165932=VERTEX_POINT('',#515085); #165933=VERTEX_POINT('',#515087); #165934=VERTEX_POINT('',#515091); #165935=VERTEX_POINT('',#515093); #165936=VERTEX_POINT('',#515097); #165937=VERTEX_POINT('',#515099); #165938=VERTEX_POINT('',#515103); #165939=VERTEX_POINT('',#515105); #165940=VERTEX_POINT('',#515109); #165941=VERTEX_POINT('',#515111); #165942=VERTEX_POINT('',#515115); #165943=VERTEX_POINT('',#515117); #165944=VERTEX_POINT('',#515126); #165945=VERTEX_POINT('',#515128); #165946=VERTEX_POINT('',#515132); #165947=VERTEX_POINT('',#515134); #165948=VERTEX_POINT('',#515138); #165949=VERTEX_POINT('',#515139); #165950=VERTEX_POINT('',#515141); #165951=VERTEX_POINT('',#515143); #165952=VERTEX_POINT('',#515147); #165953=VERTEX_POINT('',#515149); #165954=VERTEX_POINT('',#515153); #165955=VERTEX_POINT('',#515155); #165956=VERTEX_POINT('',#515159); #165957=VERTEX_POINT('',#515161); #165958=VERTEX_POINT('',#515165); #165959=VERTEX_POINT('',#515167); #165960=VERTEX_POINT('',#515171); #165961=VERTEX_POINT('',#515173); #165962=VERTEX_POINT('',#515182); #165963=VERTEX_POINT('',#515184); #165964=VERTEX_POINT('',#515188); #165965=VERTEX_POINT('',#515190); #165966=VERTEX_POINT('',#515194); #165967=VERTEX_POINT('',#515195); #165968=VERTEX_POINT('',#515197); #165969=VERTEX_POINT('',#515199); #165970=VERTEX_POINT('',#515203); #165971=VERTEX_POINT('',#515205); #165972=VERTEX_POINT('',#515209); #165973=VERTEX_POINT('',#515211); #165974=VERTEX_POINT('',#515215); #165975=VERTEX_POINT('',#515217); #165976=VERTEX_POINT('',#515221); #165977=VERTEX_POINT('',#515223); #165978=VERTEX_POINT('',#515227); #165979=VERTEX_POINT('',#515229); #165980=VERTEX_POINT('',#515233); #165981=VERTEX_POINT('',#515235); #165982=VERTEX_POINT('',#515242); #165983=VERTEX_POINT('',#515243); #165984=VERTEX_POINT('',#515245); #165985=VERTEX_POINT('',#515247); #165986=VERTEX_POINT('',#515251); #165987=VERTEX_POINT('',#515253); #165988=VERTEX_POINT('',#515257); #165989=VERTEX_POINT('',#515259); #165990=VERTEX_POINT('',#515263); #165991=VERTEX_POINT('',#515265); #165992=VERTEX_POINT('',#515269); #165993=VERTEX_POINT('',#515271); #165994=VERTEX_POINT('',#515275); #165995=VERTEX_POINT('',#515277); #165996=VERTEX_POINT('',#515281); #165997=VERTEX_POINT('',#515283); #165998=VERTEX_POINT('',#515287); #165999=VERTEX_POINT('',#515289); #166000=VERTEX_POINT('',#515293); #166001=VERTEX_POINT('',#515295); #166002=VERTEX_POINT('',#515299); #166003=VERTEX_POINT('',#515301); #166004=VERTEX_POINT('',#515305); #166005=VERTEX_POINT('',#515307); #166006=VERTEX_POINT('',#515311); #166007=VERTEX_POINT('',#515313); #166008=VERTEX_POINT('',#515317); #166009=VERTEX_POINT('',#515319); #166010=VERTEX_POINT('',#515323); #166011=VERTEX_POINT('',#515325); #166012=VERTEX_POINT('',#515329); #166013=VERTEX_POINT('',#515331); #166014=VERTEX_POINT('',#515335); #166015=VERTEX_POINT('',#515337); #166016=VERTEX_POINT('',#515341); #166017=VERTEX_POINT('',#515343); #166018=VERTEX_POINT('',#515347); #166019=VERTEX_POINT('',#515349); #166020=VERTEX_POINT('',#515353); #166021=VERTEX_POINT('',#515355); #166022=VERTEX_POINT('',#515359); #166023=VERTEX_POINT('',#515361); #166024=VERTEX_POINT('',#515365); #166025=VERTEX_POINT('',#515367); #166026=VERTEX_POINT('',#515371); #166027=VERTEX_POINT('',#515373); #166028=VERTEX_POINT('',#515377); #166029=VERTEX_POINT('',#515379); #166030=VERTEX_POINT('',#515383); #166031=VERTEX_POINT('',#515385); #166032=VERTEX_POINT('',#515389); #166033=VERTEX_POINT('',#515391); #166034=VERTEX_POINT('',#515395); #166035=VERTEX_POINT('',#515397); #166036=VERTEX_POINT('',#515401); #166037=VERTEX_POINT('',#515403); #166038=VERTEX_POINT('',#515407); #166039=VERTEX_POINT('',#515409); #166040=VERTEX_POINT('',#515413); #166041=VERTEX_POINT('',#515415); #166042=VERTEX_POINT('',#515419); #166043=VERTEX_POINT('',#515421); #166044=VERTEX_POINT('',#515425); #166045=VERTEX_POINT('',#515427); #166046=VERTEX_POINT('',#515431); #166047=VERTEX_POINT('',#515433); #166048=VERTEX_POINT('',#515437); #166049=VERTEX_POINT('',#515439); #166050=VERTEX_POINT('',#515443); #166051=VERTEX_POINT('',#515445); #166052=VERTEX_POINT('',#515449); #166053=VERTEX_POINT('',#515451); #166054=VERTEX_POINT('',#515455); #166055=VERTEX_POINT('',#515457); #166056=VERTEX_POINT('',#515461); #166057=VERTEX_POINT('',#515463); #166058=VERTEX_POINT('',#515467); #166059=VERTEX_POINT('',#515469); #166060=VERTEX_POINT('',#515473); #166061=VERTEX_POINT('',#515475); #166062=VERTEX_POINT('',#515479); #166063=VERTEX_POINT('',#515481); #166064=VERTEX_POINT('',#515485); #166065=VERTEX_POINT('',#515487); #166066=VERTEX_POINT('',#515491); #166067=VERTEX_POINT('',#515493); #166068=VERTEX_POINT('',#515497); #166069=VERTEX_POINT('',#515499); #166070=VERTEX_POINT('',#515503); #166071=VERTEX_POINT('',#515505); #166072=VERTEX_POINT('',#515509); #166073=VERTEX_POINT('',#515511); #166074=VERTEX_POINT('',#515515); #166075=VERTEX_POINT('',#515517); #166076=VERTEX_POINT('',#515521); #166077=VERTEX_POINT('',#515523); #166078=VERTEX_POINT('',#515527); #166079=VERTEX_POINT('',#515529); #166080=VERTEX_POINT('',#515533); #166081=VERTEX_POINT('',#515535); #166082=VERTEX_POINT('',#515539); #166083=VERTEX_POINT('',#515541); #166084=VERTEX_POINT('',#515545); #166085=VERTEX_POINT('',#515547); #166086=VERTEX_POINT('',#515551); #166087=VERTEX_POINT('',#515553); #166088=VERTEX_POINT('',#515557); #166089=VERTEX_POINT('',#515559); #166090=VERTEX_POINT('',#515563); #166091=VERTEX_POINT('',#515565); #166092=VERTEX_POINT('',#515569); #166093=VERTEX_POINT('',#515571); #166094=VERTEX_POINT('',#515575); #166095=VERTEX_POINT('',#515577); #166096=VERTEX_POINT('',#515581); #166097=VERTEX_POINT('',#515583); #166098=VERTEX_POINT('',#515587); #166099=VERTEX_POINT('',#515589); #166100=VERTEX_POINT('',#515593); #166101=VERTEX_POINT('',#515595); #166102=VERTEX_POINT('',#515599); #166103=VERTEX_POINT('',#515601); #166104=VERTEX_POINT('',#515605); #166105=VERTEX_POINT('',#515607); #166106=VERTEX_POINT('',#515611); #166107=VERTEX_POINT('',#515613); #166108=VERTEX_POINT('',#515617); #166109=VERTEX_POINT('',#515619); #166110=VERTEX_POINT('',#515623); #166111=VERTEX_POINT('',#515625); #166112=VERTEX_POINT('',#515629); #166113=VERTEX_POINT('',#515631); #166114=VERTEX_POINT('',#515635); #166115=VERTEX_POINT('',#515637); #166116=VERTEX_POINT('',#515641); #166117=VERTEX_POINT('',#515643); #166118=VERTEX_POINT('',#515647); #166119=VERTEX_POINT('',#515649); #166120=VERTEX_POINT('',#515653); #166121=VERTEX_POINT('',#515655); #166122=VERTEX_POINT('',#515659); #166123=VERTEX_POINT('',#515661); #166124=VERTEX_POINT('',#515665); #166125=VERTEX_POINT('',#515667); #166126=VERTEX_POINT('',#515671); #166127=VERTEX_POINT('',#515673); #166128=VERTEX_POINT('',#515677); #166129=VERTEX_POINT('',#515679); #166130=VERTEX_POINT('',#515683); #166131=VERTEX_POINT('',#515685); #166132=VERTEX_POINT('',#515689); #166133=VERTEX_POINT('',#515691); #166134=VERTEX_POINT('',#515695); #166135=VERTEX_POINT('',#515697); #166136=VERTEX_POINT('',#515701); #166137=VERTEX_POINT('',#515703); #166138=VERTEX_POINT('',#515707); #166139=VERTEX_POINT('',#515709); #166140=VERTEX_POINT('',#515713); #166141=VERTEX_POINT('',#515715); #166142=VERTEX_POINT('',#515719); #166143=VERTEX_POINT('',#515721); #166144=VERTEX_POINT('',#515725); #166145=VERTEX_POINT('',#515727); #166146=VERTEX_POINT('',#515731); #166147=VERTEX_POINT('',#515733); #166148=VERTEX_POINT('',#515737); #166149=VERTEX_POINT('',#515739); #166150=VERTEX_POINT('',#515743); #166151=VERTEX_POINT('',#515745); #166152=VERTEX_POINT('',#515749); #166153=VERTEX_POINT('',#515751); #166154=VERTEX_POINT('',#515755); #166155=VERTEX_POINT('',#515757); #166156=VERTEX_POINT('',#515761); #166157=VERTEX_POINT('',#515763); #166158=VERTEX_POINT('',#515767); #166159=VERTEX_POINT('',#515769); #166160=VERTEX_POINT('',#515773); #166161=VERTEX_POINT('',#515775); #166162=VERTEX_POINT('',#515779); #166163=VERTEX_POINT('',#515781); #166164=VERTEX_POINT('',#515785); #166165=VERTEX_POINT('',#515787); #166166=VERTEX_POINT('',#515791); #166167=VERTEX_POINT('',#515793); #166168=VERTEX_POINT('',#515802); #166169=VERTEX_POINT('',#515804); #166170=VERTEX_POINT('',#515808); #166171=VERTEX_POINT('',#515809); #166172=VERTEX_POINT('',#515811); #166173=VERTEX_POINT('',#515813); #166174=VERTEX_POINT('',#515817); #166175=VERTEX_POINT('',#515819); #166176=VERTEX_POINT('',#515828); #166177=VERTEX_POINT('',#515830); #166178=VERTEX_POINT('',#515834); #166179=VERTEX_POINT('',#515836); #166180=VERTEX_POINT('',#515840); #166181=VERTEX_POINT('',#515842); #166182=VERTEX_POINT('',#515846); #166183=VERTEX_POINT('',#515848); #166184=VERTEX_POINT('',#515852); #166185=VERTEX_POINT('',#515854); #166186=VERTEX_POINT('',#515858); #166187=VERTEX_POINT('',#515860); #166188=VERTEX_POINT('',#515864); #166189=VERTEX_POINT('',#515866); #166190=VERTEX_POINT('',#515870); #166191=VERTEX_POINT('',#515872); #166192=VERTEX_POINT('',#515876); #166193=VERTEX_POINT('',#515878); #166194=VERTEX_POINT('',#515882); #166195=VERTEX_POINT('',#515884); #166196=VERTEX_POINT('',#515888); #166197=VERTEX_POINT('',#515890); #166198=VERTEX_POINT('',#515894); #166199=VERTEX_POINT('',#515896); #166200=VERTEX_POINT('',#515900); #166201=VERTEX_POINT('',#515902); #166202=VERTEX_POINT('',#515906); #166203=VERTEX_POINT('',#515908); #166204=VERTEX_POINT('',#515912); #166205=VERTEX_POINT('',#515914); #166206=VERTEX_POINT('',#515918); #166207=VERTEX_POINT('',#515919); #166208=VERTEX_POINT('',#515921); #166209=VERTEX_POINT('',#515923); #166210=VERTEX_POINT('',#515927); #166211=VERTEX_POINT('',#515929); #166212=VERTEX_POINT('',#515933); #166213=VERTEX_POINT('',#515935); #166214=VERTEX_POINT('',#515939); #166215=VERTEX_POINT('',#515941); #166216=VERTEX_POINT('',#515945); #166217=VERTEX_POINT('',#515947); #166218=VERTEX_POINT('',#515951); #166219=VERTEX_POINT('',#515953); #166220=VERTEX_POINT('',#515957); #166221=VERTEX_POINT('',#515959); #166222=VERTEX_POINT('',#515963); #166223=VERTEX_POINT('',#515965); #166224=VERTEX_POINT('',#515969); #166225=VERTEX_POINT('',#515971); #166226=VERTEX_POINT('',#515975); #166227=VERTEX_POINT('',#515977); #166228=VERTEX_POINT('',#515981); #166229=VERTEX_POINT('',#515983); #166230=VERTEX_POINT('',#515987); #166231=VERTEX_POINT('',#515989); #166232=VERTEX_POINT('',#515993); #166233=VERTEX_POINT('',#515995); #166234=VERTEX_POINT('',#515999); #166235=VERTEX_POINT('',#516001); #166236=VERTEX_POINT('',#516005); #166237=VERTEX_POINT('',#516007); #166238=VERTEX_POINT('',#516011); #166239=VERTEX_POINT('',#516013); #166240=VERTEX_POINT('',#516017); #166241=VERTEX_POINT('',#516019); #166242=VERTEX_POINT('',#516023); #166243=VERTEX_POINT('',#516025); #166244=VERTEX_POINT('',#516029); #166245=VERTEX_POINT('',#516031); #166246=VERTEX_POINT('',#516035); #166247=VERTEX_POINT('',#516037); #166248=VERTEX_POINT('',#516041); #166249=VERTEX_POINT('',#516043); #166250=VERTEX_POINT('',#516047); #166251=VERTEX_POINT('',#516049); #166252=VERTEX_POINT('',#516053); #166253=VERTEX_POINT('',#516055); #166254=VERTEX_POINT('',#516059); #166255=VERTEX_POINT('',#516061); #166256=VERTEX_POINT('',#516065); #166257=VERTEX_POINT('',#516067); #166258=VERTEX_POINT('',#516071); #166259=VERTEX_POINT('',#516073); #166260=VERTEX_POINT('',#516077); #166261=VERTEX_POINT('',#516079); #166262=VERTEX_POINT('',#516083); #166263=VERTEX_POINT('',#516085); #166264=VERTEX_POINT('',#516089); #166265=VERTEX_POINT('',#516091); #166266=VERTEX_POINT('',#516095); #166267=VERTEX_POINT('',#516097); #166268=VERTEX_POINT('',#516101); #166269=VERTEX_POINT('',#516103); #166270=VERTEX_POINT('',#516107); #166271=VERTEX_POINT('',#516109); #166272=VERTEX_POINT('',#516113); #166273=VERTEX_POINT('',#516115); #166274=VERTEX_POINT('',#516119); #166275=VERTEX_POINT('',#516121); #166276=VERTEX_POINT('',#516125); #166277=VERTEX_POINT('',#516127); #166278=VERTEX_POINT('',#516131); #166279=VERTEX_POINT('',#516133); #166280=VERTEX_POINT('',#516137); #166281=VERTEX_POINT('',#516139); #166282=VERTEX_POINT('',#516143); #166283=VERTEX_POINT('',#516145); #166284=VERTEX_POINT('',#516149); #166285=VERTEX_POINT('',#516151); #166286=VERTEX_POINT('',#516155); #166287=VERTEX_POINT('',#516157); #166288=VERTEX_POINT('',#516161); #166289=VERTEX_POINT('',#516163); #166290=VERTEX_POINT('',#516167); #166291=VERTEX_POINT('',#516169); #166292=VERTEX_POINT('',#516173); #166293=VERTEX_POINT('',#516175); #166294=VERTEX_POINT('',#516179); #166295=VERTEX_POINT('',#516181); #166296=VERTEX_POINT('',#516185); #166297=VERTEX_POINT('',#516187); #166298=VERTEX_POINT('',#516191); #166299=VERTEX_POINT('',#516193); #166300=VERTEX_POINT('',#516197); #166301=VERTEX_POINT('',#516199); #166302=VERTEX_POINT('',#516203); #166303=VERTEX_POINT('',#516205); #166304=VERTEX_POINT('',#516209); #166305=VERTEX_POINT('',#516211); #166306=VERTEX_POINT('',#516215); #166307=VERTEX_POINT('',#516217); #166308=VERTEX_POINT('',#516221); #166309=VERTEX_POINT('',#516223); #166310=VERTEX_POINT('',#516227); #166311=VERTEX_POINT('',#516229); #166312=VERTEX_POINT('',#516233); #166313=VERTEX_POINT('',#516235); #166314=VERTEX_POINT('',#516239); #166315=VERTEX_POINT('',#516241); #166316=VERTEX_POINT('',#516245); #166317=VERTEX_POINT('',#516247); #166318=VERTEX_POINT('',#516251); #166319=VERTEX_POINT('',#516253); #166320=VERTEX_POINT('',#516257); #166321=VERTEX_POINT('',#516259); #166322=VERTEX_POINT('',#516263); #166323=VERTEX_POINT('',#516265); #166324=VERTEX_POINT('',#516269); #166325=VERTEX_POINT('',#516271); #166326=VERTEX_POINT('',#516275); #166327=VERTEX_POINT('',#516277); #166328=VERTEX_POINT('',#516281); #166329=VERTEX_POINT('',#516283); #166330=VERTEX_POINT('',#516287); #166331=VERTEX_POINT('',#516289); #166332=VERTEX_POINT('',#516293); #166333=VERTEX_POINT('',#516295); #166334=VERTEX_POINT('',#516299); #166335=VERTEX_POINT('',#516301); #166336=VERTEX_POINT('',#516305); #166337=VERTEX_POINT('',#516307); #166338=VERTEX_POINT('',#516311); #166339=VERTEX_POINT('',#516313); #166340=VERTEX_POINT('',#516317); #166341=VERTEX_POINT('',#516319); #166342=VERTEX_POINT('',#516323); #166343=VERTEX_POINT('',#516325); #166344=VERTEX_POINT('',#516329); #166345=VERTEX_POINT('',#516331); #166346=VERTEX_POINT('',#516335); #166347=VERTEX_POINT('',#516337); #166348=VERTEX_POINT('',#516341); #166349=VERTEX_POINT('',#516343); #166350=VERTEX_POINT('',#516350); #166351=VERTEX_POINT('',#516351); #166352=VERTEX_POINT('',#516353); #166353=VERTEX_POINT('',#516355); #166354=VERTEX_POINT('',#516359); #166355=VERTEX_POINT('',#516361); #166356=VERTEX_POINT('',#516365); #166357=VERTEX_POINT('',#516367); #166358=VERTEX_POINT('',#516371); #166359=VERTEX_POINT('',#516373); #166360=VERTEX_POINT('',#516377); #166361=VERTEX_POINT('',#516379); #166362=VERTEX_POINT('',#516383); #166363=VERTEX_POINT('',#516385); #166364=VERTEX_POINT('',#516389); #166365=VERTEX_POINT('',#516391); #166366=VERTEX_POINT('',#516395); #166367=VERTEX_POINT('',#516397); #166368=VERTEX_POINT('',#516401); #166369=VERTEX_POINT('',#516403); #166370=VERTEX_POINT('',#516407); #166371=VERTEX_POINT('',#516409); #166372=VERTEX_POINT('',#516413); #166373=VERTEX_POINT('',#516415); #166374=VERTEX_POINT('',#516419); #166375=VERTEX_POINT('',#516421); #166376=VERTEX_POINT('',#516425); #166377=VERTEX_POINT('',#516427); #166378=VERTEX_POINT('',#516431); #166379=VERTEX_POINT('',#516433); #166380=VERTEX_POINT('',#516437); #166381=VERTEX_POINT('',#516439); #166382=VERTEX_POINT('',#516443); #166383=VERTEX_POINT('',#516445); #166384=VERTEX_POINT('',#516449); #166385=VERTEX_POINT('',#516451); #166386=VERTEX_POINT('',#516455); #166387=VERTEX_POINT('',#516457); #166388=VERTEX_POINT('',#516461); #166389=VERTEX_POINT('',#516463); #166390=VERTEX_POINT('',#516467); #166391=VERTEX_POINT('',#516469); #166392=VERTEX_POINT('',#516473); #166393=VERTEX_POINT('',#516475); #166394=VERTEX_POINT('',#516479); #166395=VERTEX_POINT('',#516481); #166396=VERTEX_POINT('',#516485); #166397=VERTEX_POINT('',#516487); #166398=VERTEX_POINT('',#516491); #166399=VERTEX_POINT('',#516493); #166400=VERTEX_POINT('',#516497); #166401=VERTEX_POINT('',#516499); #166402=VERTEX_POINT('',#516503); #166403=VERTEX_POINT('',#516505); #166404=VERTEX_POINT('',#516509); #166405=VERTEX_POINT('',#516511); #166406=VERTEX_POINT('',#516515); #166407=VERTEX_POINT('',#516517); #166408=VERTEX_POINT('',#516521); #166409=VERTEX_POINT('',#516523); #166410=VERTEX_POINT('',#516527); #166411=VERTEX_POINT('',#516529); #166412=VERTEX_POINT('',#516533); #166413=VERTEX_POINT('',#516535); #166414=VERTEX_POINT('',#516539); #166415=VERTEX_POINT('',#516541); #166416=VERTEX_POINT('',#516545); #166417=VERTEX_POINT('',#516547); #166418=VERTEX_POINT('',#516551); #166419=VERTEX_POINT('',#516553); #166420=VERTEX_POINT('',#516557); #166421=VERTEX_POINT('',#516559); #166422=VERTEX_POINT('',#516563); #166423=VERTEX_POINT('',#516565); #166424=VERTEX_POINT('',#516569); #166425=VERTEX_POINT('',#516571); #166426=VERTEX_POINT('',#516575); #166427=VERTEX_POINT('',#516577); #166428=VERTEX_POINT('',#516581); #166429=VERTEX_POINT('',#516583); #166430=VERTEX_POINT('',#516587); #166431=VERTEX_POINT('',#516589); #166432=VERTEX_POINT('',#516593); #166433=VERTEX_POINT('',#516595); #166434=VERTEX_POINT('',#516599); #166435=VERTEX_POINT('',#516601); #166436=VERTEX_POINT('',#516605); #166437=VERTEX_POINT('',#516607); #166438=VERTEX_POINT('',#516611); #166439=VERTEX_POINT('',#516613); #166440=VERTEX_POINT('',#516617); #166441=VERTEX_POINT('',#516619); #166442=VERTEX_POINT('',#516623); #166443=VERTEX_POINT('',#516625); #166444=VERTEX_POINT('',#516629); #166445=VERTEX_POINT('',#516631); #166446=VERTEX_POINT('',#516635); #166447=VERTEX_POINT('',#516637); #166448=VERTEX_POINT('',#516641); #166449=VERTEX_POINT('',#516643); #166450=VERTEX_POINT('',#516647); #166451=VERTEX_POINT('',#516649); #166452=VERTEX_POINT('',#516653); #166453=VERTEX_POINT('',#516655); #166454=VERTEX_POINT('',#516659); #166455=VERTEX_POINT('',#516661); #166456=VERTEX_POINT('',#516665); #166457=VERTEX_POINT('',#516667); #166458=VERTEX_POINT('',#516671); #166459=VERTEX_POINT('',#516673); #166460=VERTEX_POINT('',#516677); #166461=VERTEX_POINT('',#516679); #166462=VERTEX_POINT('',#516683); #166463=VERTEX_POINT('',#516685); #166464=VERTEX_POINT('',#516689); #166465=VERTEX_POINT('',#516691); #166466=VERTEX_POINT('',#516695); #166467=VERTEX_POINT('',#516697); #166468=VERTEX_POINT('',#516701); #166469=VERTEX_POINT('',#516703); #166470=VERTEX_POINT('',#516707); #166471=VERTEX_POINT('',#516709); #166472=VERTEX_POINT('',#516713); #166473=VERTEX_POINT('',#516715); #166474=VERTEX_POINT('',#516719); #166475=VERTEX_POINT('',#516721); #166476=VERTEX_POINT('',#516725); #166477=VERTEX_POINT('',#516727); #166478=VERTEX_POINT('',#516731); #166479=VERTEX_POINT('',#516733); #166480=VERTEX_POINT('',#516737); #166481=VERTEX_POINT('',#516739); #166482=VERTEX_POINT('',#516743); #166483=VERTEX_POINT('',#516745); #166484=VERTEX_POINT('',#516749); #166485=VERTEX_POINT('',#516751); #166486=VERTEX_POINT('',#516755); #166487=VERTEX_POINT('',#516757); #166488=VERTEX_POINT('',#516761); #166489=VERTEX_POINT('',#516763); #166490=VERTEX_POINT('',#516767); #166491=VERTEX_POINT('',#516769); #166492=VERTEX_POINT('',#516773); #166493=VERTEX_POINT('',#516775); #166494=VERTEX_POINT('',#516779); #166495=VERTEX_POINT('',#516781); #166496=VERTEX_POINT('',#516785); #166497=VERTEX_POINT('',#516787); #166498=VERTEX_POINT('',#516791); #166499=VERTEX_POINT('',#516793); #166500=VERTEX_POINT('',#516797); #166501=VERTEX_POINT('',#516799); #166502=VERTEX_POINT('',#516803); #166503=VERTEX_POINT('',#516805); #166504=VERTEX_POINT('',#516809); #166505=VERTEX_POINT('',#516811); #166506=VERTEX_POINT('',#516815); #166507=VERTEX_POINT('',#516817); #166508=VERTEX_POINT('',#516821); #166509=VERTEX_POINT('',#516823); #166510=VERTEX_POINT('',#516827); #166511=VERTEX_POINT('',#516829); #166512=VERTEX_POINT('',#516833); #166513=VERTEX_POINT('',#516835); #166514=VERTEX_POINT('',#516839); #166515=VERTEX_POINT('',#516841); #166516=VERTEX_POINT('',#516845); #166517=VERTEX_POINT('',#516847); #166518=VERTEX_POINT('',#516851); #166519=VERTEX_POINT('',#516853); #166520=VERTEX_POINT('',#516857); #166521=VERTEX_POINT('',#516859); #166522=VERTEX_POINT('',#516863); #166523=VERTEX_POINT('',#516865); #166524=VERTEX_POINT('',#516869); #166525=VERTEX_POINT('',#516871); #166526=VERTEX_POINT('',#516875); #166527=VERTEX_POINT('',#516877); #166528=VERTEX_POINT('',#516881); #166529=VERTEX_POINT('',#516883); #166530=VERTEX_POINT('',#516887); #166531=VERTEX_POINT('',#516889); #166532=VERTEX_POINT('',#516893); #166533=VERTEX_POINT('',#516895); #166534=VERTEX_POINT('',#516899); #166535=VERTEX_POINT('',#516901); #166536=VERTEX_POINT('',#516905); #166537=VERTEX_POINT('',#516907); #166538=VERTEX_POINT('',#516911); #166539=VERTEX_POINT('',#516913); #166540=VERTEX_POINT('',#516922); #166541=VERTEX_POINT('',#516924); #166542=VERTEX_POINT('',#516928); #166543=VERTEX_POINT('',#516930); #166544=VERTEX_POINT('',#516934); #166545=VERTEX_POINT('',#516935); #166546=VERTEX_POINT('',#516937); #166547=VERTEX_POINT('',#516939); #166548=VERTEX_POINT('',#516943); #166549=VERTEX_POINT('',#516945); #166550=VERTEX_POINT('',#516949); #166551=VERTEX_POINT('',#516951); #166552=VERTEX_POINT('',#516955); #166553=VERTEX_POINT('',#516957); #166554=VERTEX_POINT('',#516961); #166555=VERTEX_POINT('',#516963); #166556=VERTEX_POINT('',#516967); #166557=VERTEX_POINT('',#516969); #166558=VERTEX_POINT('',#516973); #166559=VERTEX_POINT('',#516975); #166560=VERTEX_POINT('',#516979); #166561=VERTEX_POINT('',#516981); #166562=VERTEX_POINT('',#516985); #166563=VERTEX_POINT('',#516987); #166564=VERTEX_POINT('',#516991); #166565=VERTEX_POINT('',#516993); #166566=VERTEX_POINT('',#516997); #166567=VERTEX_POINT('',#516999); #166568=VERTEX_POINT('',#517003); #166569=VERTEX_POINT('',#517005); #166570=VERTEX_POINT('',#517009); #166571=VERTEX_POINT('',#517011); #166572=VERTEX_POINT('',#517015); #166573=VERTEX_POINT('',#517017); #166574=VERTEX_POINT('',#517021); #166575=VERTEX_POINT('',#517023); #166576=VERTEX_POINT('',#517027); #166577=VERTEX_POINT('',#517029); #166578=VERTEX_POINT('',#517033); #166579=VERTEX_POINT('',#517035); #166580=VERTEX_POINT('',#517039); #166581=VERTEX_POINT('',#517041); #166582=VERTEX_POINT('',#517045); #166583=VERTEX_POINT('',#517047); #166584=VERTEX_POINT('',#517051); #166585=VERTEX_POINT('',#517053); #166586=VERTEX_POINT('',#517057); #166587=VERTEX_POINT('',#517059); #166588=VERTEX_POINT('',#517063); #166589=VERTEX_POINT('',#517065); #166590=VERTEX_POINT('',#517069); #166591=VERTEX_POINT('',#517071); #166592=VERTEX_POINT('',#517075); #166593=VERTEX_POINT('',#517077); #166594=VERTEX_POINT('',#517081); #166595=VERTEX_POINT('',#517083); #166596=VERTEX_POINT('',#517087); #166597=VERTEX_POINT('',#517089); #166598=VERTEX_POINT('',#517093); #166599=VERTEX_POINT('',#517095); #166600=VERTEX_POINT('',#517099); #166601=VERTEX_POINT('',#517101); #166602=VERTEX_POINT('',#517105); #166603=VERTEX_POINT('',#517107); #166604=VERTEX_POINT('',#517111); #166605=VERTEX_POINT('',#517113); #166606=VERTEX_POINT('',#517117); #166607=VERTEX_POINT('',#517119); #166608=VERTEX_POINT('',#517123); #166609=VERTEX_POINT('',#517125); #166610=VERTEX_POINT('',#517129); #166611=VERTEX_POINT('',#517131); #166612=VERTEX_POINT('',#517135); #166613=VERTEX_POINT('',#517137); #166614=VERTEX_POINT('',#517141); #166615=VERTEX_POINT('',#517143); #166616=VERTEX_POINT('',#517147); #166617=VERTEX_POINT('',#517149); #166618=VERTEX_POINT('',#517153); #166619=VERTEX_POINT('',#517155); #166620=VERTEX_POINT('',#517159); #166621=VERTEX_POINT('',#517161); #166622=VERTEX_POINT('',#517165); #166623=VERTEX_POINT('',#517167); #166624=VERTEX_POINT('',#517171); #166625=VERTEX_POINT('',#517173); #166626=VERTEX_POINT('',#517182); #166627=VERTEX_POINT('',#517184); #166628=VERTEX_POINT('',#517188); #166629=VERTEX_POINT('',#517189); #166630=VERTEX_POINT('',#517191); #166631=VERTEX_POINT('',#517193); #166632=VERTEX_POINT('',#517197); #166633=VERTEX_POINT('',#517199); #166634=VERTEX_POINT('',#517208); #166635=VERTEX_POINT('',#517210); #166636=VERTEX_POINT('',#517214); #166637=VERTEX_POINT('',#517216); #166638=VERTEX_POINT('',#517220); #166639=VERTEX_POINT('',#517222); #166640=VERTEX_POINT('',#517226); #166641=VERTEX_POINT('',#517228); #166642=VERTEX_POINT('',#517232); #166643=VERTEX_POINT('',#517234); #166644=VERTEX_POINT('',#517238); #166645=VERTEX_POINT('',#517240); #166646=VERTEX_POINT('',#517244); #166647=VERTEX_POINT('',#517246); #166648=VERTEX_POINT('',#517250); #166649=VERTEX_POINT('',#517252); #166650=VERTEX_POINT('',#517256); #166651=VERTEX_POINT('',#517258); #166652=VERTEX_POINT('',#517262); #166653=VERTEX_POINT('',#517264); #166654=VERTEX_POINT('',#517268); #166655=VERTEX_POINT('',#517269); #166656=VERTEX_POINT('',#517271); #166657=VERTEX_POINT('',#517273); #166658=VERTEX_POINT('',#517277); #166659=VERTEX_POINT('',#517279); #166660=VERTEX_POINT('',#517283); #166661=VERTEX_POINT('',#517285); #166662=VERTEX_POINT('',#517289); #166663=VERTEX_POINT('',#517291); #166664=VERTEX_POINT('',#517295); #166665=VERTEX_POINT('',#517297); #166666=VERTEX_POINT('',#517301); #166667=VERTEX_POINT('',#517303); #166668=VERTEX_POINT('',#517307); #166669=VERTEX_POINT('',#517309); #166670=VERTEX_POINT('',#517313); #166671=VERTEX_POINT('',#517315); #166672=VERTEX_POINT('',#517319); #166673=VERTEX_POINT('',#517321); #166674=VERTEX_POINT('',#517325); #166675=VERTEX_POINT('',#517327); #166676=VERTEX_POINT('',#517331); #166677=VERTEX_POINT('',#517333); #166678=VERTEX_POINT('',#517337); #166679=VERTEX_POINT('',#517339); #166680=VERTEX_POINT('',#517343); #166681=VERTEX_POINT('',#517345); #166682=VERTEX_POINT('',#517349); #166683=VERTEX_POINT('',#517351); #166684=VERTEX_POINT('',#517355); #166685=VERTEX_POINT('',#517357); #166686=VERTEX_POINT('',#517361); #166687=VERTEX_POINT('',#517363); #166688=VERTEX_POINT('',#517367); #166689=VERTEX_POINT('',#517369); #166690=VERTEX_POINT('',#517373); #166691=VERTEX_POINT('',#517375); #166692=VERTEX_POINT('',#517379); #166693=VERTEX_POINT('',#517381); #166694=VERTEX_POINT('',#517385); #166695=VERTEX_POINT('',#517387); #166696=VERTEX_POINT('',#517391); #166697=VERTEX_POINT('',#517393); #166698=VERTEX_POINT('',#517397); #166699=VERTEX_POINT('',#517399); #166700=VERTEX_POINT('',#517403); #166701=VERTEX_POINT('',#517405); #166702=VERTEX_POINT('',#517414); #166703=VERTEX_POINT('',#517416); #166704=VERTEX_POINT('',#517420); #166705=VERTEX_POINT('',#517421); #166706=VERTEX_POINT('',#517423); #166707=VERTEX_POINT('',#517425); #166708=VERTEX_POINT('',#517429); #166709=VERTEX_POINT('',#517431); #166710=VERTEX_POINT('',#517440); #166711=VERTEX_POINT('',#517442); #166712=VERTEX_POINT('',#517446); #166713=VERTEX_POINT('',#517447); #166714=VERTEX_POINT('',#517449); #166715=VERTEX_POINT('',#517451); #166716=VERTEX_POINT('',#517455); #166717=VERTEX_POINT('',#517457); #166718=VERTEX_POINT('',#517466); #166719=VERTEX_POINT('',#517468); #166720=VERTEX_POINT('',#517472); #166721=VERTEX_POINT('',#517473); #166722=VERTEX_POINT('',#517475); #166723=VERTEX_POINT('',#517477); #166724=VERTEX_POINT('',#517481); #166725=VERTEX_POINT('',#517483); #166726=VERTEX_POINT('',#517492); #166727=VERTEX_POINT('',#517494); #166728=VERTEX_POINT('',#517498); #166729=VERTEX_POINT('',#517499); #166730=VERTEX_POINT('',#517501); #166731=VERTEX_POINT('',#517503); #166732=VERTEX_POINT('',#517507); #166733=VERTEX_POINT('',#517509); #166734=VERTEX_POINT('',#517513); #166735=VERTEX_POINT('',#517515); #166736=VERTEX_POINT('',#517519); #166737=VERTEX_POINT('',#517521); #166738=VERTEX_POINT('',#517525); #166739=VERTEX_POINT('',#517527); #166740=VERTEX_POINT('',#517531); #166741=VERTEX_POINT('',#517533); #166742=VERTEX_POINT('',#517537); #166743=VERTEX_POINT('',#517539); #166744=VERTEX_POINT('',#517548); #166745=VERTEX_POINT('',#517550); #166746=VERTEX_POINT('',#517554); #166747=VERTEX_POINT('',#517555); #166748=VERTEX_POINT('',#517557); #166749=VERTEX_POINT('',#517559); #166750=VERTEX_POINT('',#517563); #166751=VERTEX_POINT('',#517565); #166752=VERTEX_POINT('',#517574); #166753=VERTEX_POINT('',#517576); #166754=VERTEX_POINT('',#517580); #166755=VERTEX_POINT('',#517581); #166756=VERTEX_POINT('',#517583); #166757=VERTEX_POINT('',#517585); #166758=VERTEX_POINT('',#517589); #166759=VERTEX_POINT('',#517591); #166760=VERTEX_POINT('',#517595); #166761=VERTEX_POINT('',#517597); #166762=VERTEX_POINT('',#517606); #166763=VERTEX_POINT('',#517608); #166764=VERTEX_POINT('',#517612); #166765=VERTEX_POINT('',#517613); #166766=VERTEX_POINT('',#517615); #166767=VERTEX_POINT('',#517617); #166768=VERTEX_POINT('',#517621); #166769=VERTEX_POINT('',#517623); #166770=VERTEX_POINT('',#517632); #166771=VERTEX_POINT('',#517633); #166772=VERTEX_POINT('',#517635); #166773=VERTEX_POINT('',#517637); #166774=VERTEX_POINT('',#517641); #166775=VERTEX_POINT('',#517643); #166776=VERTEX_POINT('',#517647); #166777=VERTEX_POINT('',#517649); #166778=VERTEX_POINT('',#517656); #166779=VERTEX_POINT('',#517657); #166780=VERTEX_POINT('',#517659); #166781=VERTEX_POINT('',#517661); #166782=VERTEX_POINT('',#517665); #166783=VERTEX_POINT('',#517667); #166784=VERTEX_POINT('',#517671); #166785=VERTEX_POINT('',#517673); #166786=VERTEX_POINT('',#517677); #166787=VERTEX_POINT('',#517679); #166788=VERTEX_POINT('',#517683); #166789=VERTEX_POINT('',#517685); #166790=VERTEX_POINT('',#517689); #166791=VERTEX_POINT('',#517691); #166792=VERTEX_POINT('',#517695); #166793=VERTEX_POINT('',#517697); #166794=VERTEX_POINT('',#517701); #166795=VERTEX_POINT('',#517703); #166796=VERTEX_POINT('',#517707); #166797=VERTEX_POINT('',#517709); #166798=VERTEX_POINT('',#517713); #166799=VERTEX_POINT('',#517715); #166800=VERTEX_POINT('',#517719); #166801=VERTEX_POINT('',#517721); #166802=VERTEX_POINT('',#517725); #166803=VERTEX_POINT('',#517727); #166804=VERTEX_POINT('',#517731); #166805=VERTEX_POINT('',#517733); #166806=VERTEX_POINT('',#517737); #166807=VERTEX_POINT('',#517739); #166808=VERTEX_POINT('',#517743); #166809=VERTEX_POINT('',#517745); #166810=VERTEX_POINT('',#517749); #166811=VERTEX_POINT('',#517751); #166812=VERTEX_POINT('',#517755); #166813=VERTEX_POINT('',#517757); #166814=VERTEX_POINT('',#517761); #166815=VERTEX_POINT('',#517763); #166816=VERTEX_POINT('',#517767); #166817=VERTEX_POINT('',#517769); #166818=VERTEX_POINT('',#517773); #166819=VERTEX_POINT('',#517775); #166820=VERTEX_POINT('',#517779); #166821=VERTEX_POINT('',#517781); #166822=VERTEX_POINT('',#517785); #166823=VERTEX_POINT('',#517787); #166824=VERTEX_POINT('',#517791); #166825=VERTEX_POINT('',#517793); #166826=VERTEX_POINT('',#517797); #166827=VERTEX_POINT('',#517799); #166828=VERTEX_POINT('',#517803); #166829=VERTEX_POINT('',#517805); #166830=VERTEX_POINT('',#517809); #166831=VERTEX_POINT('',#517811); #166832=VERTEX_POINT('',#517815); #166833=VERTEX_POINT('',#517817); #166834=VERTEX_POINT('',#517821); #166835=VERTEX_POINT('',#517823); #166836=VERTEX_POINT('',#517827); #166837=VERTEX_POINT('',#517829); #166838=VERTEX_POINT('',#517833); #166839=VERTEX_POINT('',#517835); #166840=VERTEX_POINT('',#517839); #166841=VERTEX_POINT('',#517841); #166842=VERTEX_POINT('',#517845); #166843=VERTEX_POINT('',#517847); #166844=VERTEX_POINT('',#517851); #166845=VERTEX_POINT('',#517853); #166846=VERTEX_POINT('',#517857); #166847=VERTEX_POINT('',#517859); #166848=VERTEX_POINT('',#517863); #166849=VERTEX_POINT('',#517865); #166850=VERTEX_POINT('',#517869); #166851=VERTEX_POINT('',#517871); #166852=VERTEX_POINT('',#517875); #166853=VERTEX_POINT('',#517877); #166854=VERTEX_POINT('',#517881); #166855=VERTEX_POINT('',#517883); #166856=VERTEX_POINT('',#517887); #166857=VERTEX_POINT('',#517889); #166858=VERTEX_POINT('',#517893); #166859=VERTEX_POINT('',#517895); #166860=VERTEX_POINT('',#517899); #166861=VERTEX_POINT('',#517901); #166862=VERTEX_POINT('',#517905); #166863=VERTEX_POINT('',#517907); #166864=VERTEX_POINT('',#517911); #166865=VERTEX_POINT('',#517913); #166866=VERTEX_POINT('',#517917); #166867=VERTEX_POINT('',#517919); #166868=VERTEX_POINT('',#517923); #166869=VERTEX_POINT('',#517925); #166870=VERTEX_POINT('',#517929); #166871=VERTEX_POINT('',#517931); #166872=VERTEX_POINT('',#517935); #166873=VERTEX_POINT('',#517937); #166874=VERTEX_POINT('',#517941); #166875=VERTEX_POINT('',#517943); #166876=VERTEX_POINT('',#517947); #166877=VERTEX_POINT('',#517949); #166878=VERTEX_POINT('',#517953); #166879=VERTEX_POINT('',#517955); #166880=VERTEX_POINT('',#517959); #166881=VERTEX_POINT('',#517961); #166882=VERTEX_POINT('',#517965); #166883=VERTEX_POINT('',#517967); #166884=VERTEX_POINT('',#517971); #166885=VERTEX_POINT('',#517973); #166886=VERTEX_POINT('',#517977); #166887=VERTEX_POINT('',#517979); #166888=VERTEX_POINT('',#517983); #166889=VERTEX_POINT('',#517985); #166890=VERTEX_POINT('',#517994); #166891=VERTEX_POINT('',#517996); #166892=VERTEX_POINT('',#518000); #166893=VERTEX_POINT('',#518001); #166894=VERTEX_POINT('',#518003); #166895=VERTEX_POINT('',#518005); #166896=VERTEX_POINT('',#518009); #166897=VERTEX_POINT('',#518011); #166898=VERTEX_POINT('',#518020); #166899=VERTEX_POINT('',#518021); #166900=VERTEX_POINT('',#518023); #166901=VERTEX_POINT('',#518025); #166902=VERTEX_POINT('',#518029); #166903=VERTEX_POINT('',#518031); #166904=VERTEX_POINT('',#518035); #166905=VERTEX_POINT('',#518037); #166906=VERTEX_POINT('',#518044); #166907=VERTEX_POINT('',#518045); #166908=VERTEX_POINT('',#518047); #166909=VERTEX_POINT('',#518049); #166910=VERTEX_POINT('',#518053); #166911=VERTEX_POINT('',#518055); #166912=VERTEX_POINT('',#518059); #166913=VERTEX_POINT('',#518061); #166914=VERTEX_POINT('',#518065); #166915=VERTEX_POINT('',#518067); #166916=VERTEX_POINT('',#518071); #166917=VERTEX_POINT('',#518073); #166918=VERTEX_POINT('',#518077); #166919=VERTEX_POINT('',#518079); #166920=VERTEX_POINT('',#518083); #166921=VERTEX_POINT('',#518085); #166922=VERTEX_POINT('',#518089); #166923=VERTEX_POINT('',#518091); #166924=VERTEX_POINT('',#518095); #166925=VERTEX_POINT('',#518097); #166926=VERTEX_POINT('',#518101); #166927=VERTEX_POINT('',#518103); #166928=VERTEX_POINT('',#518107); #166929=VERTEX_POINT('',#518109); #166930=VERTEX_POINT('',#518113); #166931=VERTEX_POINT('',#518115); #166932=VERTEX_POINT('',#518119); #166933=VERTEX_POINT('',#518121); #166934=VERTEX_POINT('',#518125); #166935=VERTEX_POINT('',#518127); #166936=VERTEX_POINT('',#518131); #166937=VERTEX_POINT('',#518133); #166938=VERTEX_POINT('',#518137); #166939=VERTEX_POINT('',#518139); #166940=VERTEX_POINT('',#518143); #166941=VERTEX_POINT('',#518145); #166942=VERTEX_POINT('',#518149); #166943=VERTEX_POINT('',#518151); #166944=VERTEX_POINT('',#518155); #166945=VERTEX_POINT('',#518157); #166946=VERTEX_POINT('',#518161); #166947=VERTEX_POINT('',#518163); #166948=VERTEX_POINT('',#518167); #166949=VERTEX_POINT('',#518169); #166950=VERTEX_POINT('',#518173); #166951=VERTEX_POINT('',#518175); #166952=VERTEX_POINT('',#518179); #166953=VERTEX_POINT('',#518181); #166954=VERTEX_POINT('',#518185); #166955=VERTEX_POINT('',#518187); #166956=VERTEX_POINT('',#518191); #166957=VERTEX_POINT('',#518193); #166958=VERTEX_POINT('',#518197); #166959=VERTEX_POINT('',#518199); #166960=VERTEX_POINT('',#518203); #166961=VERTEX_POINT('',#518205); #166962=VERTEX_POINT('',#518209); #166963=VERTEX_POINT('',#518211); #166964=VERTEX_POINT('',#518215); #166965=VERTEX_POINT('',#518217); #166966=VERTEX_POINT('',#518221); #166967=VERTEX_POINT('',#518223); #166968=VERTEX_POINT('',#518227); #166969=VERTEX_POINT('',#518229); #166970=VERTEX_POINT('',#518233); #166971=VERTEX_POINT('',#518235); #166972=VERTEX_POINT('',#518239); #166973=VERTEX_POINT('',#518241); #166974=VERTEX_POINT('',#518245); #166975=VERTEX_POINT('',#518247); #166976=VERTEX_POINT('',#518251); #166977=VERTEX_POINT('',#518253); #166978=VERTEX_POINT('',#518257); #166979=VERTEX_POINT('',#518259); #166980=VERTEX_POINT('',#518263); #166981=VERTEX_POINT('',#518265); #166982=VERTEX_POINT('',#518269); #166983=VERTEX_POINT('',#518271); #166984=VERTEX_POINT('',#518275); #166985=VERTEX_POINT('',#518277); #166986=VERTEX_POINT('',#518281); #166987=VERTEX_POINT('',#518283); #166988=VERTEX_POINT('',#518287); #166989=VERTEX_POINT('',#518289); #166990=VERTEX_POINT('',#518293); #166991=VERTEX_POINT('',#518295); #166992=VERTEX_POINT('',#518299); #166993=VERTEX_POINT('',#518301); #166994=VERTEX_POINT('',#518305); #166995=VERTEX_POINT('',#518307); #166996=VERTEX_POINT('',#518311); #166997=VERTEX_POINT('',#518313); #166998=VERTEX_POINT('',#518317); #166999=VERTEX_POINT('',#518319); #167000=VERTEX_POINT('',#518323); #167001=VERTEX_POINT('',#518325); #167002=VERTEX_POINT('',#518329); #167003=VERTEX_POINT('',#518331); #167004=VERTEX_POINT('',#518335); #167005=VERTEX_POINT('',#518337); #167006=VERTEX_POINT('',#518341); #167007=VERTEX_POINT('',#518343); #167008=VERTEX_POINT('',#518347); #167009=VERTEX_POINT('',#518349); #167010=VERTEX_POINT('',#518353); #167011=VERTEX_POINT('',#518355); #167012=VERTEX_POINT('',#518359); #167013=VERTEX_POINT('',#518361); #167014=VERTEX_POINT('',#518365); #167015=VERTEX_POINT('',#518367); #167016=VERTEX_POINT('',#518371); #167017=VERTEX_POINT('',#518373); #167018=VERTEX_POINT('',#518382); #167019=VERTEX_POINT('',#518383); #167020=VERTEX_POINT('',#518385); #167021=VERTEX_POINT('',#518387); #167022=VERTEX_POINT('',#518391); #167023=VERTEX_POINT('',#518393); #167024=VERTEX_POINT('',#518397); #167025=VERTEX_POINT('',#518399); #167026=VERTEX_POINT('',#518406); #167027=VERTEX_POINT('',#518407); #167028=VERTEX_POINT('',#518409); #167029=VERTEX_POINT('',#518411); #167030=VERTEX_POINT('',#518415); #167031=VERTEX_POINT('',#518417); #167032=VERTEX_POINT('',#518421); #167033=VERTEX_POINT('',#518423); #167034=VERTEX_POINT('',#518427); #167035=VERTEX_POINT('',#518429); #167036=VERTEX_POINT('',#518433); #167037=VERTEX_POINT('',#518435); #167038=VERTEX_POINT('',#518439); #167039=VERTEX_POINT('',#518441); #167040=VERTEX_POINT('',#518445); #167041=VERTEX_POINT('',#518447); #167042=VERTEX_POINT('',#518451); #167043=VERTEX_POINT('',#518453); #167044=VERTEX_POINT('',#518457); #167045=VERTEX_POINT('',#518459); #167046=VERTEX_POINT('',#518463); #167047=VERTEX_POINT('',#518465); #167048=VERTEX_POINT('',#518469); #167049=VERTEX_POINT('',#518471); #167050=VERTEX_POINT('',#518475); #167051=VERTEX_POINT('',#518477); #167052=VERTEX_POINT('',#518481); #167053=VERTEX_POINT('',#518483); #167054=VERTEX_POINT('',#518487); #167055=VERTEX_POINT('',#518489); #167056=VERTEX_POINT('',#518493); #167057=VERTEX_POINT('',#518495); #167058=VERTEX_POINT('',#518499); #167059=VERTEX_POINT('',#518501); #167060=VERTEX_POINT('',#518505); #167061=VERTEX_POINT('',#518507); #167062=VERTEX_POINT('',#518511); #167063=VERTEX_POINT('',#518513); #167064=VERTEX_POINT('',#518517); #167065=VERTEX_POINT('',#518519); #167066=VERTEX_POINT('',#518523); #167067=VERTEX_POINT('',#518525); #167068=VERTEX_POINT('',#518529); #167069=VERTEX_POINT('',#518531); #167070=VERTEX_POINT('',#518535); #167071=VERTEX_POINT('',#518537); #167072=VERTEX_POINT('',#518541); #167073=VERTEX_POINT('',#518543); #167074=VERTEX_POINT('',#518547); #167075=VERTEX_POINT('',#518549); #167076=VERTEX_POINT('',#518553); #167077=VERTEX_POINT('',#518555); #167078=VERTEX_POINT('',#518559); #167079=VERTEX_POINT('',#518561); #167080=VERTEX_POINT('',#518565); #167081=VERTEX_POINT('',#518567); #167082=VERTEX_POINT('',#518571); #167083=VERTEX_POINT('',#518573); #167084=VERTEX_POINT('',#518577); #167085=VERTEX_POINT('',#518579); #167086=VERTEX_POINT('',#518583); #167087=VERTEX_POINT('',#518585); #167088=VERTEX_POINT('',#518589); #167089=VERTEX_POINT('',#518591); #167090=VERTEX_POINT('',#518595); #167091=VERTEX_POINT('',#518597); #167092=VERTEX_POINT('',#518601); #167093=VERTEX_POINT('',#518603); #167094=VERTEX_POINT('',#518607); #167095=VERTEX_POINT('',#518609); #167096=VERTEX_POINT('',#518613); #167097=VERTEX_POINT('',#518615); #167098=VERTEX_POINT('',#518619); #167099=VERTEX_POINT('',#518621); #167100=VERTEX_POINT('',#518625); #167101=VERTEX_POINT('',#518627); #167102=VERTEX_POINT('',#518631); #167103=VERTEX_POINT('',#518633); #167104=VERTEX_POINT('',#518637); #167105=VERTEX_POINT('',#518639); #167106=VERTEX_POINT('',#518643); #167107=VERTEX_POINT('',#518645); #167108=VERTEX_POINT('',#518649); #167109=VERTEX_POINT('',#518651); #167110=VERTEX_POINT('',#518655); #167111=VERTEX_POINT('',#518657); #167112=VERTEX_POINT('',#518661); #167113=VERTEX_POINT('',#518663); #167114=VERTEX_POINT('',#518667); #167115=VERTEX_POINT('',#518669); #167116=VERTEX_POINT('',#518673); #167117=VERTEX_POINT('',#518675); #167118=VERTEX_POINT('',#518679); #167119=VERTEX_POINT('',#518681); #167120=VERTEX_POINT('',#518685); #167121=VERTEX_POINT('',#518687); #167122=VERTEX_POINT('',#518691); #167123=VERTEX_POINT('',#518693); #167124=VERTEX_POINT('',#518697); #167125=VERTEX_POINT('',#518699); #167126=VERTEX_POINT('',#518703); #167127=VERTEX_POINT('',#518705); #167128=VERTEX_POINT('',#518709); #167129=VERTEX_POINT('',#518711); #167130=VERTEX_POINT('',#518715); #167131=VERTEX_POINT('',#518717); #167132=VERTEX_POINT('',#518721); #167133=VERTEX_POINT('',#518723); #167134=VERTEX_POINT('',#518727); #167135=VERTEX_POINT('',#518729); #167136=VERTEX_POINT('',#518733); #167137=VERTEX_POINT('',#518735); #167138=VERTEX_POINT('',#518739); #167139=VERTEX_POINT('',#518741); #167140=VERTEX_POINT('',#518745); #167141=VERTEX_POINT('',#518747); #167142=VERTEX_POINT('',#518751); #167143=VERTEX_POINT('',#518753); #167144=VERTEX_POINT('',#518757); #167145=VERTEX_POINT('',#518759); #167146=VERTEX_POINT('',#518763); #167147=VERTEX_POINT('',#518765); #167148=VERTEX_POINT('',#518769); #167149=VERTEX_POINT('',#518771); #167150=VERTEX_POINT('',#518775); #167151=VERTEX_POINT('',#518777); #167152=VERTEX_POINT('',#518781); #167153=VERTEX_POINT('',#518783); #167154=VERTEX_POINT('',#518787); #167155=VERTEX_POINT('',#518789); #167156=VERTEX_POINT('',#518793); #167157=VERTEX_POINT('',#518795); #167158=VERTEX_POINT('',#518799); #167159=VERTEX_POINT('',#518801); #167160=VERTEX_POINT('',#518805); #167161=VERTEX_POINT('',#518807); #167162=VERTEX_POINT('',#518811); #167163=VERTEX_POINT('',#518813); #167164=VERTEX_POINT('',#518817); #167165=VERTEX_POINT('',#518819); #167166=VERTEX_POINT('',#518823); #167167=VERTEX_POINT('',#518825); #167168=VERTEX_POINT('',#518829); #167169=VERTEX_POINT('',#518831); #167170=VERTEX_POINT('',#518840); #167171=VERTEX_POINT('',#518841); #167172=VERTEX_POINT('',#518843); #167173=VERTEX_POINT('',#518845); #167174=VERTEX_POINT('',#518849); #167175=VERTEX_POINT('',#518851); #167176=VERTEX_POINT('',#518855); #167177=VERTEX_POINT('',#518857); #167178=VERTEX_POINT('',#518864); #167179=VERTEX_POINT('',#518865); #167180=VERTEX_POINT('',#518867); #167181=VERTEX_POINT('',#518869); #167182=VERTEX_POINT('',#518873); #167183=VERTEX_POINT('',#518875); #167184=VERTEX_POINT('',#518879); #167185=VERTEX_POINT('',#518881); #167186=VERTEX_POINT('',#518885); #167187=VERTEX_POINT('',#518887); #167188=VERTEX_POINT('',#518891); #167189=VERTEX_POINT('',#518893); #167190=VERTEX_POINT('',#518897); #167191=VERTEX_POINT('',#518899); #167192=VERTEX_POINT('',#518903); #167193=VERTEX_POINT('',#518905); #167194=VERTEX_POINT('',#518909); #167195=VERTEX_POINT('',#518911); #167196=VERTEX_POINT('',#518915); #167197=VERTEX_POINT('',#518917); #167198=VERTEX_POINT('',#518921); #167199=VERTEX_POINT('',#518923); #167200=VERTEX_POINT('',#518927); #167201=VERTEX_POINT('',#518929); #167202=VERTEX_POINT('',#518933); #167203=VERTEX_POINT('',#518935); #167204=VERTEX_POINT('',#518939); #167205=VERTEX_POINT('',#518941); #167206=VERTEX_POINT('',#518945); #167207=VERTEX_POINT('',#518947); #167208=VERTEX_POINT('',#518951); #167209=VERTEX_POINT('',#518953); #167210=VERTEX_POINT('',#518957); #167211=VERTEX_POINT('',#518959); #167212=VERTEX_POINT('',#518963); #167213=VERTEX_POINT('',#518965); #167214=VERTEX_POINT('',#518969); #167215=VERTEX_POINT('',#518971); #167216=VERTEX_POINT('',#518975); #167217=VERTEX_POINT('',#518977); #167218=VERTEX_POINT('',#518981); #167219=VERTEX_POINT('',#518983); #167220=VERTEX_POINT('',#518987); #167221=VERTEX_POINT('',#518989); #167222=VERTEX_POINT('',#518993); #167223=VERTEX_POINT('',#518995); #167224=VERTEX_POINT('',#518999); #167225=VERTEX_POINT('',#519001); #167226=VERTEX_POINT('',#519005); #167227=VERTEX_POINT('',#519007); #167228=VERTEX_POINT('',#519011); #167229=VERTEX_POINT('',#519013); #167230=VERTEX_POINT('',#519017); #167231=VERTEX_POINT('',#519019); #167232=VERTEX_POINT('',#519023); #167233=VERTEX_POINT('',#519025); #167234=VERTEX_POINT('',#519029); #167235=VERTEX_POINT('',#519031); #167236=VERTEX_POINT('',#519035); #167237=VERTEX_POINT('',#519037); #167238=VERTEX_POINT('',#519041); #167239=VERTEX_POINT('',#519043); #167240=VERTEX_POINT('',#519047); #167241=VERTEX_POINT('',#519049); #167242=VERTEX_POINT('',#519053); #167243=VERTEX_POINT('',#519055); #167244=VERTEX_POINT('',#519059); #167245=VERTEX_POINT('',#519061); #167246=VERTEX_POINT('',#519065); #167247=VERTEX_POINT('',#519067); #167248=VERTEX_POINT('',#519071); #167249=VERTEX_POINT('',#519073); #167250=VERTEX_POINT('',#519077); #167251=VERTEX_POINT('',#519079); #167252=VERTEX_POINT('',#519083); #167253=VERTEX_POINT('',#519085); #167254=VERTEX_POINT('',#519089); #167255=VERTEX_POINT('',#519091); #167256=VERTEX_POINT('',#519095); #167257=VERTEX_POINT('',#519097); #167258=VERTEX_POINT('',#519101); #167259=VERTEX_POINT('',#519103); #167260=VERTEX_POINT('',#519107); #167261=VERTEX_POINT('',#519109); #167262=VERTEX_POINT('',#519113); #167263=VERTEX_POINT('',#519115); #167264=VERTEX_POINT('',#519119); #167265=VERTEX_POINT('',#519121); #167266=VERTEX_POINT('',#519125); #167267=VERTEX_POINT('',#519127); #167268=VERTEX_POINT('',#519131); #167269=VERTEX_POINT('',#519133); #167270=VERTEX_POINT('',#519137); #167271=VERTEX_POINT('',#519139); #167272=VERTEX_POINT('',#519143); #167273=VERTEX_POINT('',#519145); #167274=VERTEX_POINT('',#519149); #167275=VERTEX_POINT('',#519151); #167276=VERTEX_POINT('',#519155); #167277=VERTEX_POINT('',#519157); #167278=VERTEX_POINT('',#519161); #167279=VERTEX_POINT('',#519163); #167280=VERTEX_POINT('',#519167); #167281=VERTEX_POINT('',#519169); #167282=VERTEX_POINT('',#519173); #167283=VERTEX_POINT('',#519175); #167284=VERTEX_POINT('',#519179); #167285=VERTEX_POINT('',#519181); #167286=VERTEX_POINT('',#519185); #167287=VERTEX_POINT('',#519187); #167288=VERTEX_POINT('',#519191); #167289=VERTEX_POINT('',#519193); #167290=VERTEX_POINT('',#519202); #167291=VERTEX_POINT('',#519204); #167292=VERTEX_POINT('',#519208); #167293=VERTEX_POINT('',#519209); #167294=VERTEX_POINT('',#519211); #167295=VERTEX_POINT('',#519213); #167296=VERTEX_POINT('',#519217); #167297=VERTEX_POINT('',#519219); #167298=VERTEX_POINT('',#519228); #167299=VERTEX_POINT('',#519229); #167300=VERTEX_POINT('',#519231); #167301=VERTEX_POINT('',#519233); #167302=VERTEX_POINT('',#519237); #167303=VERTEX_POINT('',#519239); #167304=VERTEX_POINT('',#519243); #167305=VERTEX_POINT('',#519245); #167306=VERTEX_POINT('',#519252); #167307=VERTEX_POINT('',#519253); #167308=VERTEX_POINT('',#519255); #167309=VERTEX_POINT('',#519257); #167310=VERTEX_POINT('',#519261); #167311=VERTEX_POINT('',#519263); #167312=VERTEX_POINT('',#519267); #167313=VERTEX_POINT('',#519269); #167314=VERTEX_POINT('',#519273); #167315=VERTEX_POINT('',#519275); #167316=VERTEX_POINT('',#519279); #167317=VERTEX_POINT('',#519281); #167318=VERTEX_POINT('',#519285); #167319=VERTEX_POINT('',#519287); #167320=VERTEX_POINT('',#519291); #167321=VERTEX_POINT('',#519293); #167322=VERTEX_POINT('',#519297); #167323=VERTEX_POINT('',#519299); #167324=VERTEX_POINT('',#519303); #167325=VERTEX_POINT('',#519305); #167326=VERTEX_POINT('',#519309); #167327=VERTEX_POINT('',#519311); #167328=VERTEX_POINT('',#519315); #167329=VERTEX_POINT('',#519317); #167330=VERTEX_POINT('',#519321); #167331=VERTEX_POINT('',#519323); #167332=VERTEX_POINT('',#519327); #167333=VERTEX_POINT('',#519329); #167334=VERTEX_POINT('',#519333); #167335=VERTEX_POINT('',#519335); #167336=VERTEX_POINT('',#519339); #167337=VERTEX_POINT('',#519341); #167338=VERTEX_POINT('',#519345); #167339=VERTEX_POINT('',#519347); #167340=VERTEX_POINT('',#519351); #167341=VERTEX_POINT('',#519353); #167342=VERTEX_POINT('',#519357); #167343=VERTEX_POINT('',#519359); #167344=VERTEX_POINT('',#519363); #167345=VERTEX_POINT('',#519365); #167346=VERTEX_POINT('',#519369); #167347=VERTEX_POINT('',#519371); #167348=VERTEX_POINT('',#519375); #167349=VERTEX_POINT('',#519377); #167350=VERTEX_POINT('',#519381); #167351=VERTEX_POINT('',#519383); #167352=VERTEX_POINT('',#519387); #167353=VERTEX_POINT('',#519389); #167354=VERTEX_POINT('',#519393); #167355=VERTEX_POINT('',#519395); #167356=VERTEX_POINT('',#519399); #167357=VERTEX_POINT('',#519401); #167358=VERTEX_POINT('',#519405); #167359=VERTEX_POINT('',#519407); #167360=VERTEX_POINT('',#519411); #167361=VERTEX_POINT('',#519413); #167362=VERTEX_POINT('',#519417); #167363=VERTEX_POINT('',#519419); #167364=VERTEX_POINT('',#519423); #167365=VERTEX_POINT('',#519425); #167366=VERTEX_POINT('',#519429); #167367=VERTEX_POINT('',#519431); #167368=VERTEX_POINT('',#519435); #167369=VERTEX_POINT('',#519437); #167370=VERTEX_POINT('',#519441); #167371=VERTEX_POINT('',#519443); #167372=VERTEX_POINT('',#519447); #167373=VERTEX_POINT('',#519449); #167374=VERTEX_POINT('',#519453); #167375=VERTEX_POINT('',#519455); #167376=VERTEX_POINT('',#519459); #167377=VERTEX_POINT('',#519461); #167378=VERTEX_POINT('',#519465); #167379=VERTEX_POINT('',#519467); #167380=VERTEX_POINT('',#519471); #167381=VERTEX_POINT('',#519473); #167382=VERTEX_POINT('',#519477); #167383=VERTEX_POINT('',#519479); #167384=VERTEX_POINT('',#519483); #167385=VERTEX_POINT('',#519485); #167386=VERTEX_POINT('',#519489); #167387=VERTEX_POINT('',#519491); #167388=VERTEX_POINT('',#519495); #167389=VERTEX_POINT('',#519497); #167390=VERTEX_POINT('',#519501); #167391=VERTEX_POINT('',#519503); #167392=VERTEX_POINT('',#519507); #167393=VERTEX_POINT('',#519509); #167394=VERTEX_POINT('',#519513); #167395=VERTEX_POINT('',#519515); #167396=VERTEX_POINT('',#519519); #167397=VERTEX_POINT('',#519521); #167398=VERTEX_POINT('',#519525); #167399=VERTEX_POINT('',#519527); #167400=VERTEX_POINT('',#519531); #167401=VERTEX_POINT('',#519533); #167402=VERTEX_POINT('',#519537); #167403=VERTEX_POINT('',#519539); #167404=VERTEX_POINT('',#519543); #167405=VERTEX_POINT('',#519545); #167406=VERTEX_POINT('',#519549); #167407=VERTEX_POINT('',#519551); #167408=VERTEX_POINT('',#519555); #167409=VERTEX_POINT('',#519557); #167410=VERTEX_POINT('',#519561); #167411=VERTEX_POINT('',#519563); #167412=VERTEX_POINT('',#519567); #167413=VERTEX_POINT('',#519569); #167414=VERTEX_POINT('',#519573); #167415=VERTEX_POINT('',#519575); #167416=VERTEX_POINT('',#519579); #167417=VERTEX_POINT('',#519581); #167418=VERTEX_POINT('',#519590); #167419=VERTEX_POINT('',#519592); #167420=VERTEX_POINT('',#519596); #167421=VERTEX_POINT('',#519597); #167422=VERTEX_POINT('',#519599); #167423=VERTEX_POINT('',#519601); #167424=VERTEX_POINT('',#519605); #167425=VERTEX_POINT('',#519607); #167426=VERTEX_POINT('',#519611); #167427=VERTEX_POINT('',#519613); #167428=VERTEX_POINT('',#519617); #167429=VERTEX_POINT('',#519619); #167430=VERTEX_POINT('',#519623); #167431=VERTEX_POINT('',#519625); #167432=VERTEX_POINT('',#519629); #167433=VERTEX_POINT('',#519631); #167434=VERTEX_POINT('',#519635); #167435=VERTEX_POINT('',#519637); #167436=VERTEX_POINT('',#519646); #167437=VERTEX_POINT('',#519648); #167438=VERTEX_POINT('',#519652); #167439=VERTEX_POINT('',#519653); #167440=VERTEX_POINT('',#519655); #167441=VERTEX_POINT('',#519657); #167442=VERTEX_POINT('',#519661); #167443=VERTEX_POINT('',#519663); #167444=VERTEX_POINT('',#519672); #167445=VERTEX_POINT('',#519673); #167446=VERTEX_POINT('',#519675); #167447=VERTEX_POINT('',#519677); #167448=VERTEX_POINT('',#519681); #167449=VERTEX_POINT('',#519683); #167450=VERTEX_POINT('',#519687); #167451=VERTEX_POINT('',#519689); #167452=VERTEX_POINT('',#519696); #167453=VERTEX_POINT('',#519697); #167454=VERTEX_POINT('',#519699); #167455=VERTEX_POINT('',#519701); #167456=VERTEX_POINT('',#519705); #167457=VERTEX_POINT('',#519707); #167458=VERTEX_POINT('',#519711); #167459=VERTEX_POINT('',#519713); #167460=VERTEX_POINT('',#519717); #167461=VERTEX_POINT('',#519719); #167462=VERTEX_POINT('',#519723); #167463=VERTEX_POINT('',#519725); #167464=VERTEX_POINT('',#519729); #167465=VERTEX_POINT('',#519731); #167466=VERTEX_POINT('',#519735); #167467=VERTEX_POINT('',#519737); #167468=VERTEX_POINT('',#519741); #167469=VERTEX_POINT('',#519743); #167470=VERTEX_POINT('',#519747); #167471=VERTEX_POINT('',#519749); #167472=VERTEX_POINT('',#519753); #167473=VERTEX_POINT('',#519755); #167474=VERTEX_POINT('',#519759); #167475=VERTEX_POINT('',#519761); #167476=VERTEX_POINT('',#519765); #167477=VERTEX_POINT('',#519767); #167478=VERTEX_POINT('',#519771); #167479=VERTEX_POINT('',#519773); #167480=VERTEX_POINT('',#519777); #167481=VERTEX_POINT('',#519779); #167482=VERTEX_POINT('',#519783); #167483=VERTEX_POINT('',#519785); #167484=VERTEX_POINT('',#519789); #167485=VERTEX_POINT('',#519791); #167486=VERTEX_POINT('',#519795); #167487=VERTEX_POINT('',#519797); #167488=VERTEX_POINT('',#519801); #167489=VERTEX_POINT('',#519803); #167490=VERTEX_POINT('',#519807); #167491=VERTEX_POINT('',#519809); #167492=VERTEX_POINT('',#519813); #167493=VERTEX_POINT('',#519815); #167494=VERTEX_POINT('',#519819); #167495=VERTEX_POINT('',#519821); #167496=VERTEX_POINT('',#519825); #167497=VERTEX_POINT('',#519827); #167498=VERTEX_POINT('',#519831); #167499=VERTEX_POINT('',#519833); #167500=VERTEX_POINT('',#519837); #167501=VERTEX_POINT('',#519839); #167502=VERTEX_POINT('',#519843); #167503=VERTEX_POINT('',#519845); #167504=VERTEX_POINT('',#519849); #167505=VERTEX_POINT('',#519851); #167506=VERTEX_POINT('',#519855); #167507=VERTEX_POINT('',#519857); #167508=VERTEX_POINT('',#519861); #167509=VERTEX_POINT('',#519863); #167510=VERTEX_POINT('',#519867); #167511=VERTEX_POINT('',#519869); #167512=VERTEX_POINT('',#519873); #167513=VERTEX_POINT('',#519875); #167514=VERTEX_POINT('',#519879); #167515=VERTEX_POINT('',#519881); #167516=VERTEX_POINT('',#519885); #167517=VERTEX_POINT('',#519887); #167518=VERTEX_POINT('',#519891); #167519=VERTEX_POINT('',#519893); #167520=VERTEX_POINT('',#519897); #167521=VERTEX_POINT('',#519899); #167522=VERTEX_POINT('',#519903); #167523=VERTEX_POINT('',#519905); #167524=VERTEX_POINT('',#519909); #167525=VERTEX_POINT('',#519911); #167526=VERTEX_POINT('',#519915); #167527=VERTEX_POINT('',#519917); #167528=VERTEX_POINT('',#519921); #167529=VERTEX_POINT('',#519923); #167530=VERTEX_POINT('',#519927); #167531=VERTEX_POINT('',#519929); #167532=VERTEX_POINT('',#519933); #167533=VERTEX_POINT('',#519935); #167534=VERTEX_POINT('',#519939); #167535=VERTEX_POINT('',#519941); #167536=VERTEX_POINT('',#519945); #167537=VERTEX_POINT('',#519947); #167538=VERTEX_POINT('',#519951); #167539=VERTEX_POINT('',#519953); #167540=VERTEX_POINT('',#519957); #167541=VERTEX_POINT('',#519959); #167542=VERTEX_POINT('',#519963); #167543=VERTEX_POINT('',#519965); #167544=VERTEX_POINT('',#519969); #167545=VERTEX_POINT('',#519971); #167546=VERTEX_POINT('',#519975); #167547=VERTEX_POINT('',#519977); #167548=VERTEX_POINT('',#519981); #167549=VERTEX_POINT('',#519983); #167550=VERTEX_POINT('',#519987); #167551=VERTEX_POINT('',#519989); #167552=VERTEX_POINT('',#519993); #167553=VERTEX_POINT('',#519995); #167554=VERTEX_POINT('',#519999); #167555=VERTEX_POINT('',#520001); #167556=VERTEX_POINT('',#520005); #167557=VERTEX_POINT('',#520007); #167558=VERTEX_POINT('',#520011); #167559=VERTEX_POINT('',#520013); #167560=VERTEX_POINT('',#520017); #167561=VERTEX_POINT('',#520019); #167562=VERTEX_POINT('',#520023); #167563=VERTEX_POINT('',#520025); #167564=VERTEX_POINT('',#520029); #167565=VERTEX_POINT('',#520031); #167566=VERTEX_POINT('',#520035); #167567=VERTEX_POINT('',#520037); #167568=VERTEX_POINT('',#520041); #167569=VERTEX_POINT('',#520043); #167570=VERTEX_POINT('',#520047); #167571=VERTEX_POINT('',#520049); #167572=VERTEX_POINT('',#520053); #167573=VERTEX_POINT('',#520055); #167574=VERTEX_POINT('',#520059); #167575=VERTEX_POINT('',#520061); #167576=VERTEX_POINT('',#520065); #167577=VERTEX_POINT('',#520067); #167578=VERTEX_POINT('',#520071); #167579=VERTEX_POINT('',#520073); #167580=VERTEX_POINT('',#520077); #167581=VERTEX_POINT('',#520079); #167582=VERTEX_POINT('',#520083); #167583=VERTEX_POINT('',#520085); #167584=VERTEX_POINT('',#520089); #167585=VERTEX_POINT('',#520091); #167586=VERTEX_POINT('',#520095); #167587=VERTEX_POINT('',#520097); #167588=VERTEX_POINT('',#520101); #167589=VERTEX_POINT('',#520103); #167590=VERTEX_POINT('',#520107); #167591=VERTEX_POINT('',#520109); #167592=VERTEX_POINT('',#520113); #167593=VERTEX_POINT('',#520115); #167594=VERTEX_POINT('',#520119); #167595=VERTEX_POINT('',#520121); #167596=VERTEX_POINT('',#520130); #167597=VERTEX_POINT('',#520132); #167598=VERTEX_POINT('',#520136); #167599=VERTEX_POINT('',#520137); #167600=VERTEX_POINT('',#520139); #167601=VERTEX_POINT('',#520141); #167602=VERTEX_POINT('',#520145); #167603=VERTEX_POINT('',#520147); #167604=VERTEX_POINT('',#520151); #167605=VERTEX_POINT('',#520153); #167606=VERTEX_POINT('',#520157); #167607=VERTEX_POINT('',#520159); #167608=VERTEX_POINT('',#520163); #167609=VERTEX_POINT('',#520165); #167610=VERTEX_POINT('',#520169); #167611=VERTEX_POINT('',#520171); #167612=VERTEX_POINT('',#520175); #167613=VERTEX_POINT('',#520177); #167614=VERTEX_POINT('',#520186); #167615=VERTEX_POINT('',#520188); #167616=VERTEX_POINT('',#520192); #167617=VERTEX_POINT('',#520193); #167618=VERTEX_POINT('',#520195); #167619=VERTEX_POINT('',#520197); #167620=VERTEX_POINT('',#520201); #167621=VERTEX_POINT('',#520203); #167622=VERTEX_POINT('',#520212); #167623=VERTEX_POINT('',#520214); #167624=VERTEX_POINT('',#520218); #167625=VERTEX_POINT('',#520219); #167626=VERTEX_POINT('',#520221); #167627=VERTEX_POINT('',#520223); #167628=VERTEX_POINT('',#520227); #167629=VERTEX_POINT('',#520229); #167630=VERTEX_POINT('',#520238); #167631=VERTEX_POINT('',#520240); #167632=VERTEX_POINT('',#520244); #167633=VERTEX_POINT('',#520245); #167634=VERTEX_POINT('',#520247); #167635=VERTEX_POINT('',#520249); #167636=VERTEX_POINT('',#520253); #167637=VERTEX_POINT('',#520255); #167638=VERTEX_POINT('',#520264); #167639=VERTEX_POINT('',#520266); #167640=VERTEX_POINT('',#520270); #167641=VERTEX_POINT('',#520271); #167642=VERTEX_POINT('',#520273); #167643=VERTEX_POINT('',#520275); #167644=VERTEX_POINT('',#520279); #167645=VERTEX_POINT('',#520281); #167646=VERTEX_POINT('',#520285); #167647=VERTEX_POINT('',#520287); #167648=VERTEX_POINT('',#520296); #167649=VERTEX_POINT('',#520298); #167650=VERTEX_POINT('',#520302); #167651=VERTEX_POINT('',#520303); #167652=VERTEX_POINT('',#520305); #167653=VERTEX_POINT('',#520307); #167654=VERTEX_POINT('',#520311); #167655=VERTEX_POINT('',#520313); #167656=VERTEX_POINT('',#520317); #167657=VERTEX_POINT('',#520319); #167658=VERTEX_POINT('',#520323); #167659=VERTEX_POINT('',#520325); #167660=VERTEX_POINT('',#520329); #167661=VERTEX_POINT('',#520331); #167662=VERTEX_POINT('',#520335); #167663=VERTEX_POINT('',#520337); #167664=VERTEX_POINT('',#520341); #167665=VERTEX_POINT('',#520343); #167666=VERTEX_POINT('',#520352); #167667=VERTEX_POINT('',#520354); #167668=VERTEX_POINT('',#520358); #167669=VERTEX_POINT('',#520359); #167670=VERTEX_POINT('',#520361); #167671=VERTEX_POINT('',#520363); #167672=VERTEX_POINT('',#520367); #167673=VERTEX_POINT('',#520369); #167674=VERTEX_POINT('',#520378); #167675=VERTEX_POINT('',#520380); #167676=VERTEX_POINT('',#520384); #167677=VERTEX_POINT('',#520385); #167678=VERTEX_POINT('',#520387); #167679=VERTEX_POINT('',#520389); #167680=VERTEX_POINT('',#520393); #167681=VERTEX_POINT('',#520395); #167682=VERTEX_POINT('',#520404); #167683=VERTEX_POINT('',#520405); #167684=VERTEX_POINT('',#520407); #167685=VERTEX_POINT('',#520409); #167686=VERTEX_POINT('',#520413); #167687=VERTEX_POINT('',#520415); #167688=VERTEX_POINT('',#520419); #167689=VERTEX_POINT('',#520421); #167690=VERTEX_POINT('',#520430); #167691=VERTEX_POINT('',#520431); #167692=VERTEX_POINT('',#520433); #167693=VERTEX_POINT('',#520435); #167694=VERTEX_POINT('',#520439); #167695=VERTEX_POINT('',#520441); #167696=VERTEX_POINT('',#520445); #167697=VERTEX_POINT('',#520447); #167698=VERTEX_POINT('',#520456); #167699=VERTEX_POINT('',#520457); #167700=VERTEX_POINT('',#520459); #167701=VERTEX_POINT('',#520461); #167702=VERTEX_POINT('',#520465); #167703=VERTEX_POINT('',#520467); #167704=VERTEX_POINT('',#520471); #167705=VERTEX_POINT('',#520473); #167706=VERTEX_POINT('',#520482); #167707=VERTEX_POINT('',#520483); #167708=VERTEX_POINT('',#520485); #167709=VERTEX_POINT('',#520487); #167710=VERTEX_POINT('',#520491); #167711=VERTEX_POINT('',#520493); #167712=VERTEX_POINT('',#520497); #167713=VERTEX_POINT('',#520499); #167714=VERTEX_POINT('',#520508); #167715=VERTEX_POINT('',#520509); #167716=VERTEX_POINT('',#520511); #167717=VERTEX_POINT('',#520513); #167718=VERTEX_POINT('',#520517); #167719=VERTEX_POINT('',#520519); #167720=VERTEX_POINT('',#520523); #167721=VERTEX_POINT('',#520525); #167722=VERTEX_POINT('',#520534); #167723=VERTEX_POINT('',#520535); #167724=VERTEX_POINT('',#520537); #167725=VERTEX_POINT('',#520539); #167726=VERTEX_POINT('',#520543); #167727=VERTEX_POINT('',#520545); #167728=VERTEX_POINT('',#520549); #167729=VERTEX_POINT('',#520551); #167730=VERTEX_POINT('',#520560); #167731=VERTEX_POINT('',#520561); #167732=VERTEX_POINT('',#520563); #167733=VERTEX_POINT('',#520565); #167734=VERTEX_POINT('',#520569); #167735=VERTEX_POINT('',#520571); #167736=VERTEX_POINT('',#520575); #167737=VERTEX_POINT('',#520577); #167738=VERTEX_POINT('',#520586); #167739=VERTEX_POINT('',#520587); #167740=VERTEX_POINT('',#520589); #167741=VERTEX_POINT('',#520591); #167742=VERTEX_POINT('',#520595); #167743=VERTEX_POINT('',#520597); #167744=VERTEX_POINT('',#520601); #167745=VERTEX_POINT('',#520603); #167746=VERTEX_POINT('',#520612); #167747=VERTEX_POINT('',#520613); #167748=VERTEX_POINT('',#520615); #167749=VERTEX_POINT('',#520617); #167750=VERTEX_POINT('',#520621); #167751=VERTEX_POINT('',#520623); #167752=VERTEX_POINT('',#520627); #167753=VERTEX_POINT('',#520629); #167754=VERTEX_POINT('',#520638); #167755=VERTEX_POINT('',#520639); #167756=VERTEX_POINT('',#520641); #167757=VERTEX_POINT('',#520643); #167758=VERTEX_POINT('',#520647); #167759=VERTEX_POINT('',#520649); #167760=VERTEX_POINT('',#520653); #167761=VERTEX_POINT('',#520655); #167762=VERTEX_POINT('',#520664); #167763=VERTEX_POINT('',#520665); #167764=VERTEX_POINT('',#520667); #167765=VERTEX_POINT('',#520669); #167766=VERTEX_POINT('',#520673); #167767=VERTEX_POINT('',#520675); #167768=VERTEX_POINT('',#520679); #167769=VERTEX_POINT('',#520681); #167770=VERTEX_POINT('',#520690); #167771=VERTEX_POINT('',#520691); #167772=VERTEX_POINT('',#520693); #167773=VERTEX_POINT('',#520695); #167774=VERTEX_POINT('',#520699); #167775=VERTEX_POINT('',#520701); #167776=VERTEX_POINT('',#520705); #167777=VERTEX_POINT('',#520707); #167778=VERTEX_POINT('',#520716); #167779=VERTEX_POINT('',#520717); #167780=VERTEX_POINT('',#520719); #167781=VERTEX_POINT('',#520721); #167782=VERTEX_POINT('',#520725); #167783=VERTEX_POINT('',#520727); #167784=VERTEX_POINT('',#520731); #167785=VERTEX_POINT('',#520733); #167786=VERTEX_POINT('',#520742); #167787=VERTEX_POINT('',#520743); #167788=VERTEX_POINT('',#520745); #167789=VERTEX_POINT('',#520747); #167790=VERTEX_POINT('',#520751); #167791=VERTEX_POINT('',#520753); #167792=VERTEX_POINT('',#520757); #167793=VERTEX_POINT('',#520759); #167794=VERTEX_POINT('',#520768); #167795=VERTEX_POINT('',#520769); #167796=VERTEX_POINT('',#520771); #167797=VERTEX_POINT('',#520773); #167798=VERTEX_POINT('',#520777); #167799=VERTEX_POINT('',#520779); #167800=VERTEX_POINT('',#520783); #167801=VERTEX_POINT('',#520785); #167802=VERTEX_POINT('',#520794); #167803=VERTEX_POINT('',#520795); #167804=VERTEX_POINT('',#520797); #167805=VERTEX_POINT('',#520799); #167806=VERTEX_POINT('',#520803); #167807=VERTEX_POINT('',#520805); #167808=VERTEX_POINT('',#520809); #167809=VERTEX_POINT('',#520811); #167810=VERTEX_POINT('',#520820); #167811=VERTEX_POINT('',#520821); #167812=VERTEX_POINT('',#520823); #167813=VERTEX_POINT('',#520825); #167814=VERTEX_POINT('',#520829); #167815=VERTEX_POINT('',#520831); #167816=VERTEX_POINT('',#520835); #167817=VERTEX_POINT('',#520837); #167818=VERTEX_POINT('',#520846); #167819=VERTEX_POINT('',#520847); #167820=VERTEX_POINT('',#520849); #167821=VERTEX_POINT('',#520851); #167822=VERTEX_POINT('',#520855); #167823=VERTEX_POINT('',#520857); #167824=VERTEX_POINT('',#520861); #167825=VERTEX_POINT('',#520863); #167826=VERTEX_POINT('',#520872); #167827=VERTEX_POINT('',#520873); #167828=VERTEX_POINT('',#520875); #167829=VERTEX_POINT('',#520877); #167830=VERTEX_POINT('',#520881); #167831=VERTEX_POINT('',#520883); #167832=VERTEX_POINT('',#520887); #167833=VERTEX_POINT('',#520889); #167834=VERTEX_POINT('',#520898); #167835=VERTEX_POINT('',#520899); #167836=VERTEX_POINT('',#520901); #167837=VERTEX_POINT('',#520903); #167838=VERTEX_POINT('',#520907); #167839=VERTEX_POINT('',#520909); #167840=VERTEX_POINT('',#520913); #167841=VERTEX_POINT('',#520915); #167842=VERTEX_POINT('',#520924); #167843=VERTEX_POINT('',#520925); #167844=VERTEX_POINT('',#520927); #167845=VERTEX_POINT('',#520929); #167846=VERTEX_POINT('',#520933); #167847=VERTEX_POINT('',#520935); #167848=VERTEX_POINT('',#520939); #167849=VERTEX_POINT('',#520941); #167850=VERTEX_POINT('',#520950); #167851=VERTEX_POINT('',#520951); #167852=VERTEX_POINT('',#520953); #167853=VERTEX_POINT('',#520955); #167854=VERTEX_POINT('',#520959); #167855=VERTEX_POINT('',#520961); #167856=VERTEX_POINT('',#520965); #167857=VERTEX_POINT('',#520967); #167858=VERTEX_POINT('',#520976); #167859=VERTEX_POINT('',#520977); #167860=VERTEX_POINT('',#520979); #167861=VERTEX_POINT('',#520981); #167862=VERTEX_POINT('',#520985); #167863=VERTEX_POINT('',#520987); #167864=VERTEX_POINT('',#520991); #167865=VERTEX_POINT('',#520993); #167866=VERTEX_POINT('',#521002); #167867=VERTEX_POINT('',#521004); #167868=VERTEX_POINT('',#521008); #167869=VERTEX_POINT('',#521010); #167870=VERTEX_POINT('',#521014); #167871=VERTEX_POINT('',#521015); #167872=VERTEX_POINT('',#521017); #167873=VERTEX_POINT('',#521019); #167874=VERTEX_POINT('',#521023); #167875=VERTEX_POINT('',#521025); #167876=VERTEX_POINT('',#521029); #167877=VERTEX_POINT('',#521031); #167878=VERTEX_POINT('',#521040); #167879=VERTEX_POINT('',#521041); #167880=VERTEX_POINT('',#521043); #167881=VERTEX_POINT('',#521045); #167882=VERTEX_POINT('',#521049); #167883=VERTEX_POINT('',#521051); #167884=VERTEX_POINT('',#521055); #167885=VERTEX_POINT('',#521057); #167886=VERTEX_POINT('',#521066); #167887=VERTEX_POINT('',#521067); #167888=VERTEX_POINT('',#521069); #167889=VERTEX_POINT('',#521071); #167890=VERTEX_POINT('',#521075); #167891=VERTEX_POINT('',#521077); #167892=VERTEX_POINT('',#521081); #167893=VERTEX_POINT('',#521083); #167894=VERTEX_POINT('',#521092); #167895=VERTEX_POINT('',#521093); #167896=VERTEX_POINT('',#521095); #167897=VERTEX_POINT('',#521097); #167898=VERTEX_POINT('',#521101); #167899=VERTEX_POINT('',#521103); #167900=VERTEX_POINT('',#521107); #167901=VERTEX_POINT('',#521109); #167902=VERTEX_POINT('',#521118); #167903=VERTEX_POINT('',#521119); #167904=VERTEX_POINT('',#521121); #167905=VERTEX_POINT('',#521123); #167906=VERTEX_POINT('',#521127); #167907=VERTEX_POINT('',#521129); #167908=VERTEX_POINT('',#521133); #167909=VERTEX_POINT('',#521135); #167910=VERTEX_POINT('',#521144); #167911=VERTEX_POINT('',#521145); #167912=VERTEX_POINT('',#521147); #167913=VERTEX_POINT('',#521149); #167914=VERTEX_POINT('',#521153); #167915=VERTEX_POINT('',#521155); #167916=VERTEX_POINT('',#521159); #167917=VERTEX_POINT('',#521161); #167918=VERTEX_POINT('',#521170); #167919=VERTEX_POINT('',#521171); #167920=VERTEX_POINT('',#521173); #167921=VERTEX_POINT('',#521175); #167922=VERTEX_POINT('',#521179); #167923=VERTEX_POINT('',#521181); #167924=VERTEX_POINT('',#521185); #167925=VERTEX_POINT('',#521187); #167926=VERTEX_POINT('',#521196); #167927=VERTEX_POINT('',#521197); #167928=VERTEX_POINT('',#521199); #167929=VERTEX_POINT('',#521201); #167930=VERTEX_POINT('',#521205); #167931=VERTEX_POINT('',#521207); #167932=VERTEX_POINT('',#521211); #167933=VERTEX_POINT('',#521213); #167934=VERTEX_POINT('',#521222); #167935=VERTEX_POINT('',#521223); #167936=VERTEX_POINT('',#521225); #167937=VERTEX_POINT('',#521227); #167938=VERTEX_POINT('',#521231); #167939=VERTEX_POINT('',#521233); #167940=VERTEX_POINT('',#521237); #167941=VERTEX_POINT('',#521239); #167942=VERTEX_POINT('',#521248); #167943=VERTEX_POINT('',#521250); #167944=VERTEX_POINT('',#521254); #167945=VERTEX_POINT('',#521256); #167946=VERTEX_POINT('',#521260); #167947=VERTEX_POINT('',#521261); #167948=VERTEX_POINT('',#521263); #167949=VERTEX_POINT('',#521265); #167950=VERTEX_POINT('',#521269); #167951=VERTEX_POINT('',#521271); #167952=VERTEX_POINT('',#521275); #167953=VERTEX_POINT('',#521277); #167954=VERTEX_POINT('',#521286); #167955=VERTEX_POINT('',#521287); #167956=VERTEX_POINT('',#521289); #167957=VERTEX_POINT('',#521291); #167958=VERTEX_POINT('',#521295); #167959=VERTEX_POINT('',#521297); #167960=VERTEX_POINT('',#521301); #167961=VERTEX_POINT('',#521303); #167962=VERTEX_POINT('',#521312); #167963=VERTEX_POINT('',#521313); #167964=VERTEX_POINT('',#521315); #167965=VERTEX_POINT('',#521317); #167966=VERTEX_POINT('',#521321); #167967=VERTEX_POINT('',#521323); #167968=VERTEX_POINT('',#521327); #167969=VERTEX_POINT('',#521329); #167970=VERTEX_POINT('',#521338); #167971=VERTEX_POINT('',#521339); #167972=VERTEX_POINT('',#521341); #167973=VERTEX_POINT('',#521343); #167974=VERTEX_POINT('',#521347); #167975=VERTEX_POINT('',#521349); #167976=VERTEX_POINT('',#521353); #167977=VERTEX_POINT('',#521355); #167978=VERTEX_POINT('',#521364); #167979=VERTEX_POINT('',#521365); #167980=VERTEX_POINT('',#521367); #167981=VERTEX_POINT('',#521369); #167982=VERTEX_POINT('',#521373); #167983=VERTEX_POINT('',#521375); #167984=VERTEX_POINT('',#521379); #167985=VERTEX_POINT('',#521381); #167986=VERTEX_POINT('',#521390); #167987=VERTEX_POINT('',#521391); #167988=VERTEX_POINT('',#521393); #167989=VERTEX_POINT('',#521395); #167990=VERTEX_POINT('',#521399); #167991=VERTEX_POINT('',#521401); #167992=VERTEX_POINT('',#521405); #167993=VERTEX_POINT('',#521407); #167994=VERTEX_POINT('',#521416); #167995=VERTEX_POINT('',#521417); #167996=VERTEX_POINT('',#521419); #167997=VERTEX_POINT('',#521421); #167998=VERTEX_POINT('',#521425); #167999=VERTEX_POINT('',#521427); #168000=VERTEX_POINT('',#521431); #168001=VERTEX_POINT('',#521433); #168002=VERTEX_POINT('',#521442); #168003=VERTEX_POINT('',#521443); #168004=VERTEX_POINT('',#521445); #168005=VERTEX_POINT('',#521447); #168006=VERTEX_POINT('',#521451); #168007=VERTEX_POINT('',#521453); #168008=VERTEX_POINT('',#521457); #168009=VERTEX_POINT('',#521459); #168010=VERTEX_POINT('',#521468); #168011=VERTEX_POINT('',#521469); #168012=VERTEX_POINT('',#521471); #168013=VERTEX_POINT('',#521473); #168014=VERTEX_POINT('',#521477); #168015=VERTEX_POINT('',#521479); #168016=VERTEX_POINT('',#521483); #168017=VERTEX_POINT('',#521485); #168018=VERTEX_POINT('',#521494); #168019=VERTEX_POINT('',#521496); #168020=VERTEX_POINT('',#521500); #168021=VERTEX_POINT('',#521501); #168022=VERTEX_POINT('',#521503); #168023=VERTEX_POINT('',#521505); #168024=VERTEX_POINT('',#521509); #168025=VERTEX_POINT('',#521511); #168026=VERTEX_POINT('',#521515); #168027=VERTEX_POINT('',#521517); #168028=VERTEX_POINT('',#521526); #168029=VERTEX_POINT('',#521527); #168030=VERTEX_POINT('',#521529); #168031=VERTEX_POINT('',#521531); #168032=VERTEX_POINT('',#521535); #168033=VERTEX_POINT('',#521537); #168034=VERTEX_POINT('',#521541); #168035=VERTEX_POINT('',#521543); #168036=VERTEX_POINT('',#521552); #168037=VERTEX_POINT('',#521553); #168038=VERTEX_POINT('',#521555); #168039=VERTEX_POINT('',#521557); #168040=VERTEX_POINT('',#521561); #168041=VERTEX_POINT('',#521563); #168042=VERTEX_POINT('',#521567); #168043=VERTEX_POINT('',#521569); #168044=VERTEX_POINT('',#521578); #168045=VERTEX_POINT('',#521579); #168046=VERTEX_POINT('',#521581); #168047=VERTEX_POINT('',#521583); #168048=VERTEX_POINT('',#521587); #168049=VERTEX_POINT('',#521589); #168050=VERTEX_POINT('',#521593); #168051=VERTEX_POINT('',#521595); #168052=VERTEX_POINT('',#521604); #168053=VERTEX_POINT('',#521605); #168054=VERTEX_POINT('',#521607); #168055=VERTEX_POINT('',#521609); #168056=VERTEX_POINT('',#521613); #168057=VERTEX_POINT('',#521615); #168058=VERTEX_POINT('',#521619); #168059=VERTEX_POINT('',#521621); #168060=VERTEX_POINT('',#521630); #168061=VERTEX_POINT('',#521632); #168062=VERTEX_POINT('',#521636); #168063=VERTEX_POINT('',#521638); #168064=VERTEX_POINT('',#521642); #168065=VERTEX_POINT('',#521644); #168066=VERTEX_POINT('',#521648); #168067=VERTEX_POINT('',#521650); #168068=VERTEX_POINT('',#521654); #168069=VERTEX_POINT('',#521656); #168070=VERTEX_POINT('',#521660); #168071=VERTEX_POINT('',#521662); #168072=VERTEX_POINT('',#521666); #168073=VERTEX_POINT('',#521668); #168074=VERTEX_POINT('',#521672); #168075=VERTEX_POINT('',#521674); #168076=VERTEX_POINT('',#521678); #168077=VERTEX_POINT('',#521680); #168078=VERTEX_POINT('',#521684); #168079=VERTEX_POINT('',#521686); #168080=VERTEX_POINT('',#521690); #168081=VERTEX_POINT('',#521692); #168082=VERTEX_POINT('',#521696); #168083=VERTEX_POINT('',#521698); #168084=VERTEX_POINT('',#521702); #168085=VERTEX_POINT('',#521704); #168086=VERTEX_POINT('',#521708); #168087=VERTEX_POINT('',#521710); #168088=VERTEX_POINT('',#521714); #168089=VERTEX_POINT('',#521716); #168090=VERTEX_POINT('',#521720); #168091=VERTEX_POINT('',#521721); #168092=VERTEX_POINT('',#521723); #168093=VERTEX_POINT('',#521725); #168094=VERTEX_POINT('',#521729); #168095=VERTEX_POINT('',#521731); #168096=VERTEX_POINT('',#521735); #168097=VERTEX_POINT('',#521737); #168098=VERTEX_POINT('',#521746); #168099=VERTEX_POINT('',#521747); #168100=VERTEX_POINT('',#521749); #168101=VERTEX_POINT('',#521751); #168102=VERTEX_POINT('',#521755); #168103=VERTEX_POINT('',#521757); #168104=VERTEX_POINT('',#521761); #168105=VERTEX_POINT('',#521763); #168106=VERTEX_POINT('',#521772); #168107=VERTEX_POINT('',#521773); #168108=VERTEX_POINT('',#521775); #168109=VERTEX_POINT('',#521777); #168110=VERTEX_POINT('',#521781); #168111=VERTEX_POINT('',#521783); #168112=VERTEX_POINT('',#521787); #168113=VERTEX_POINT('',#521789); #168114=VERTEX_POINT('',#521798); #168115=VERTEX_POINT('',#521799); #168116=VERTEX_POINT('',#521801); #168117=VERTEX_POINT('',#521803); #168118=VERTEX_POINT('',#521807); #168119=VERTEX_POINT('',#521809); #168120=VERTEX_POINT('',#521813); #168121=VERTEX_POINT('',#521815); #168122=VERTEX_POINT('',#521824); #168123=VERTEX_POINT('',#521826); #168124=VERTEX_POINT('',#521830); #168125=VERTEX_POINT('',#521831); #168126=VERTEX_POINT('',#521833); #168127=VERTEX_POINT('',#521835); #168128=VERTEX_POINT('',#521839); #168129=VERTEX_POINT('',#521841); #168130=VERTEX_POINT('',#521845); #168131=VERTEX_POINT('',#521847); #168132=VERTEX_POINT('',#521856); #168133=VERTEX_POINT('',#521857); #168134=VERTEX_POINT('',#521859); #168135=VERTEX_POINT('',#521861); #168136=VERTEX_POINT('',#521865); #168137=VERTEX_POINT('',#521867); #168138=VERTEX_POINT('',#521871); #168139=VERTEX_POINT('',#521873); #168140=VERTEX_POINT('',#521882); #168141=VERTEX_POINT('',#521883); #168142=VERTEX_POINT('',#521885); #168143=VERTEX_POINT('',#521887); #168144=VERTEX_POINT('',#521891); #168145=VERTEX_POINT('',#521893); #168146=VERTEX_POINT('',#521897); #168147=VERTEX_POINT('',#521899); #168148=VERTEX_POINT('',#521908); #168149=VERTEX_POINT('',#521909); #168150=VERTEX_POINT('',#521911); #168151=VERTEX_POINT('',#521913); #168152=VERTEX_POINT('',#521917); #168153=VERTEX_POINT('',#521919); #168154=VERTEX_POINT('',#521923); #168155=VERTEX_POINT('',#521925); #168156=VERTEX_POINT('',#521934); #168157=VERTEX_POINT('',#521935); #168158=VERTEX_POINT('',#521937); #168159=VERTEX_POINT('',#521939); #168160=VERTEX_POINT('',#521943); #168161=VERTEX_POINT('',#521945); #168162=VERTEX_POINT('',#521949); #168163=VERTEX_POINT('',#521951); #168164=VERTEX_POINT('',#521960); #168165=VERTEX_POINT('',#521962); #168166=VERTEX_POINT('',#521966); #168167=VERTEX_POINT('',#521968); #168168=VERTEX_POINT('',#521972); #168169=VERTEX_POINT('',#521974); #168170=VERTEX_POINT('',#521978); #168171=VERTEX_POINT('',#521980); #168172=VERTEX_POINT('',#521984); #168173=VERTEX_POINT('',#521986); #168174=VERTEX_POINT('',#521990); #168175=VERTEX_POINT('',#521992); #168176=VERTEX_POINT('',#521996); #168177=VERTEX_POINT('',#521998); #168178=VERTEX_POINT('',#522002); #168179=VERTEX_POINT('',#522004); #168180=VERTEX_POINT('',#522008); #168181=VERTEX_POINT('',#522010); #168182=VERTEX_POINT('',#522014); #168183=VERTEX_POINT('',#522016); #168184=VERTEX_POINT('',#522020); #168185=VERTEX_POINT('',#522022); #168186=VERTEX_POINT('',#522026); #168187=VERTEX_POINT('',#522028); #168188=VERTEX_POINT('',#522032); #168189=VERTEX_POINT('',#522034); #168190=VERTEX_POINT('',#522038); #168191=VERTEX_POINT('',#522040); #168192=VERTEX_POINT('',#522044); #168193=VERTEX_POINT('',#522046); #168194=VERTEX_POINT('',#522050); #168195=VERTEX_POINT('',#522051); #168196=VERTEX_POINT('',#522053); #168197=VERTEX_POINT('',#522055); #168198=VERTEX_POINT('',#522059); #168199=VERTEX_POINT('',#522061); #168200=VERTEX_POINT('',#522065); #168201=VERTEX_POINT('',#522067); #168202=VERTEX_POINT('',#522076); #168203=VERTEX_POINT('',#522077); #168204=VERTEX_POINT('',#522079); #168205=VERTEX_POINT('',#522081); #168206=VERTEX_POINT('',#522085); #168207=VERTEX_POINT('',#522087); #168208=VERTEX_POINT('',#522091); #168209=VERTEX_POINT('',#522093); #168210=VERTEX_POINT('',#522102); #168211=VERTEX_POINT('',#522103); #168212=VERTEX_POINT('',#522105); #168213=VERTEX_POINT('',#522107); #168214=VERTEX_POINT('',#522111); #168215=VERTEX_POINT('',#522113); #168216=VERTEX_POINT('',#522117); #168217=VERTEX_POINT('',#522119); #168218=VERTEX_POINT('',#522128); #168219=VERTEX_POINT('',#522129); #168220=VERTEX_POINT('',#522131); #168221=VERTEX_POINT('',#522133); #168222=VERTEX_POINT('',#522137); #168223=VERTEX_POINT('',#522139); #168224=VERTEX_POINT('',#522143); #168225=VERTEX_POINT('',#522145); #168226=VERTEX_POINT('',#522154); #168227=VERTEX_POINT('',#522156); #168228=VERTEX_POINT('',#522160); #168229=VERTEX_POINT('',#522161); #168230=VERTEX_POINT('',#522163); #168231=VERTEX_POINT('',#522165); #168232=VERTEX_POINT('',#522169); #168233=VERTEX_POINT('',#522171); #168234=VERTEX_POINT('',#522175); #168235=VERTEX_POINT('',#522177); #168236=VERTEX_POINT('',#522186); #168237=VERTEX_POINT('',#522188); #168238=VERTEX_POINT('',#522192); #168239=VERTEX_POINT('',#522193); #168240=VERTEX_POINT('',#522195); #168241=VERTEX_POINT('',#522197); #168242=VERTEX_POINT('',#522201); #168243=VERTEX_POINT('',#522203); #168244=VERTEX_POINT('',#522207); #168245=VERTEX_POINT('',#522209); #168246=VERTEX_POINT('',#522218); #168247=VERTEX_POINT('',#522220); #168248=VERTEX_POINT('',#522224); #168249=VERTEX_POINT('',#522225); #168250=VERTEX_POINT('',#522227); #168251=VERTEX_POINT('',#522229); #168252=VERTEX_POINT('',#522233); #168253=VERTEX_POINT('',#522235); #168254=VERTEX_POINT('',#522239); #168255=VERTEX_POINT('',#522241); #168256=VERTEX_POINT('',#522250); #168257=VERTEX_POINT('',#522252); #168258=VERTEX_POINT('',#522256); #168259=VERTEX_POINT('',#522258); #168260=VERTEX_POINT('',#522262); #168261=VERTEX_POINT('',#522264); #168262=VERTEX_POINT('',#522268); #168263=VERTEX_POINT('',#522270); #168264=VERTEX_POINT('',#522274); #168265=VERTEX_POINT('',#522276); #168266=VERTEX_POINT('',#522280); #168267=VERTEX_POINT('',#522282); #168268=VERTEX_POINT('',#522286); #168269=VERTEX_POINT('',#522288); #168270=VERTEX_POINT('',#522292); #168271=VERTEX_POINT('',#522294); #168272=VERTEX_POINT('',#522298); #168273=VERTEX_POINT('',#522300); #168274=VERTEX_POINT('',#522304); #168275=VERTEX_POINT('',#522306); #168276=VERTEX_POINT('',#522310); #168277=VERTEX_POINT('',#522312); #168278=VERTEX_POINT('',#522316); #168279=VERTEX_POINT('',#522318); #168280=VERTEX_POINT('',#522322); #168281=VERTEX_POINT('',#522324); #168282=VERTEX_POINT('',#522328); #168283=VERTEX_POINT('',#522330); #168284=VERTEX_POINT('',#522334); #168285=VERTEX_POINT('',#522336); #168286=VERTEX_POINT('',#522340); #168287=VERTEX_POINT('',#522341); #168288=VERTEX_POINT('',#522343); #168289=VERTEX_POINT('',#522345); #168290=VERTEX_POINT('',#522349); #168291=VERTEX_POINT('',#522351); #168292=VERTEX_POINT('',#522355); #168293=VERTEX_POINT('',#522357); #168294=VERTEX_POINT('',#522366); #168295=VERTEX_POINT('',#522367); #168296=VERTEX_POINT('',#522369); #168297=VERTEX_POINT('',#522371); #168298=VERTEX_POINT('',#522375); #168299=VERTEX_POINT('',#522377); #168300=VERTEX_POINT('',#522381); #168301=VERTEX_POINT('',#522383); #168302=VERTEX_POINT('',#522392); #168303=VERTEX_POINT('',#522393); #168304=VERTEX_POINT('',#522395); #168305=VERTEX_POINT('',#522397); #168306=VERTEX_POINT('',#522401); #168307=VERTEX_POINT('',#522403); #168308=VERTEX_POINT('',#522407); #168309=VERTEX_POINT('',#522409); #168310=VERTEX_POINT('',#522418); #168311=VERTEX_POINT('',#522419); #168312=VERTEX_POINT('',#522421); #168313=VERTEX_POINT('',#522423); #168314=VERTEX_POINT('',#522427); #168315=VERTEX_POINT('',#522429); #168316=VERTEX_POINT('',#522433); #168317=VERTEX_POINT('',#522435); #168318=VERTEX_POINT('',#522444); #168319=VERTEX_POINT('',#522445); #168320=VERTEX_POINT('',#522447); #168321=VERTEX_POINT('',#522449); #168322=VERTEX_POINT('',#522453); #168323=VERTEX_POINT('',#522455); #168324=VERTEX_POINT('',#522459); #168325=VERTEX_POINT('',#522461); #168326=VERTEX_POINT('',#522470); #168327=VERTEX_POINT('',#522471); #168328=VERTEX_POINT('',#522473); #168329=VERTEX_POINT('',#522475); #168330=VERTEX_POINT('',#522479); #168331=VERTEX_POINT('',#522481); #168332=VERTEX_POINT('',#522485); #168333=VERTEX_POINT('',#522487); #168334=VERTEX_POINT('',#522496); #168335=VERTEX_POINT('',#522497); #168336=VERTEX_POINT('',#522499); #168337=VERTEX_POINT('',#522501); #168338=VERTEX_POINT('',#522505); #168339=VERTEX_POINT('',#522507); #168340=VERTEX_POINT('',#522511); #168341=VERTEX_POINT('',#522513); #168342=VERTEX_POINT('',#522522); #168343=VERTEX_POINT('',#522523); #168344=VERTEX_POINT('',#522525); #168345=VERTEX_POINT('',#522527); #168346=VERTEX_POINT('',#522531); #168347=VERTEX_POINT('',#522533); #168348=VERTEX_POINT('',#522537); #168349=VERTEX_POINT('',#522539); #168350=VERTEX_POINT('',#522548); #168351=VERTEX_POINT('',#522549); #168352=VERTEX_POINT('',#522551); #168353=VERTEX_POINT('',#522553); #168354=VERTEX_POINT('',#522557); #168355=VERTEX_POINT('',#522559); #168356=VERTEX_POINT('',#522563); #168357=VERTEX_POINT('',#522565); #168358=VERTEX_POINT('',#522574); #168359=VERTEX_POINT('',#522575); #168360=VERTEX_POINT('',#522577); #168361=VERTEX_POINT('',#522579); #168362=VERTEX_POINT('',#522583); #168363=VERTEX_POINT('',#522585); #168364=VERTEX_POINT('',#522589); #168365=VERTEX_POINT('',#522591); #168366=VERTEX_POINT('',#522600); #168367=VERTEX_POINT('',#522601); #168368=VERTEX_POINT('',#522603); #168369=VERTEX_POINT('',#522605); #168370=VERTEX_POINT('',#522609); #168371=VERTEX_POINT('',#522611); #168372=VERTEX_POINT('',#522615); #168373=VERTEX_POINT('',#522617); #168374=VERTEX_POINT('',#522626); #168375=VERTEX_POINT('',#522627); #168376=VERTEX_POINT('',#522629); #168377=VERTEX_POINT('',#522631); #168378=VERTEX_POINT('',#522635); #168379=VERTEX_POINT('',#522637); #168380=VERTEX_POINT('',#522641); #168381=VERTEX_POINT('',#522643); #168382=VERTEX_POINT('',#522652); #168383=VERTEX_POINT('',#522653); #168384=VERTEX_POINT('',#522655); #168385=VERTEX_POINT('',#522657); #168386=VERTEX_POINT('',#522661); #168387=VERTEX_POINT('',#522663); #168388=VERTEX_POINT('',#522667); #168389=VERTEX_POINT('',#522669); #168390=VERTEX_POINT('',#522678); #168391=VERTEX_POINT('',#522679); #168392=VERTEX_POINT('',#522681); #168393=VERTEX_POINT('',#522683); #168394=VERTEX_POINT('',#522687); #168395=VERTEX_POINT('',#522689); #168396=VERTEX_POINT('',#522693); #168397=VERTEX_POINT('',#522695); #168398=VERTEX_POINT('',#522704); #168399=VERTEX_POINT('',#522705); #168400=VERTEX_POINT('',#522707); #168401=VERTEX_POINT('',#522709); #168402=VERTEX_POINT('',#522713); #168403=VERTEX_POINT('',#522715); #168404=VERTEX_POINT('',#522719); #168405=VERTEX_POINT('',#522721); #168406=VERTEX_POINT('',#522730); #168407=VERTEX_POINT('',#522731); #168408=VERTEX_POINT('',#522733); #168409=VERTEX_POINT('',#522735); #168410=VERTEX_POINT('',#522739); #168411=VERTEX_POINT('',#522741); #168412=VERTEX_POINT('',#522745); #168413=VERTEX_POINT('',#522747); #168414=VERTEX_POINT('',#522756); #168415=VERTEX_POINT('',#522757); #168416=VERTEX_POINT('',#522759); #168417=VERTEX_POINT('',#522761); #168418=VERTEX_POINT('',#522765); #168419=VERTEX_POINT('',#522767); #168420=VERTEX_POINT('',#522771); #168421=VERTEX_POINT('',#522773); #168422=VERTEX_POINT('',#522782); #168423=VERTEX_POINT('',#522783); #168424=VERTEX_POINT('',#522785); #168425=VERTEX_POINT('',#522787); #168426=VERTEX_POINT('',#522791); #168427=VERTEX_POINT('',#522793); #168428=VERTEX_POINT('',#522797); #168429=VERTEX_POINT('',#522799); #168430=VERTEX_POINT('',#522808); #168431=VERTEX_POINT('',#522809); #168432=VERTEX_POINT('',#522811); #168433=VERTEX_POINT('',#522813); #168434=VERTEX_POINT('',#522817); #168435=VERTEX_POINT('',#522819); #168436=VERTEX_POINT('',#522823); #168437=VERTEX_POINT('',#522825); #168438=VERTEX_POINT('',#522834); #168439=VERTEX_POINT('',#522835); #168440=VERTEX_POINT('',#522837); #168441=VERTEX_POINT('',#522839); #168442=VERTEX_POINT('',#522843); #168443=VERTEX_POINT('',#522845); #168444=VERTEX_POINT('',#522849); #168445=VERTEX_POINT('',#522851); #168446=VERTEX_POINT('',#522860); #168447=VERTEX_POINT('',#522861); #168448=VERTEX_POINT('',#522863); #168449=VERTEX_POINT('',#522865); #168450=VERTEX_POINT('',#522869); #168451=VERTEX_POINT('',#522871); #168452=VERTEX_POINT('',#522875); #168453=VERTEX_POINT('',#522877); #168454=VERTEX_POINT('',#522886); #168455=VERTEX_POINT('',#522888); #168456=VERTEX_POINT('',#522892); #168457=VERTEX_POINT('',#522894); #168458=VERTEX_POINT('',#522898); #168459=VERTEX_POINT('',#522900); #168460=VERTEX_POINT('',#522904); #168461=VERTEX_POINT('',#522906); #168462=VERTEX_POINT('',#522910); #168463=VERTEX_POINT('',#522912); #168464=VERTEX_POINT('',#522916); #168465=VERTEX_POINT('',#522918); #168466=VERTEX_POINT('',#522922); #168467=VERTEX_POINT('',#522924); #168468=VERTEX_POINT('',#522928); #168469=VERTEX_POINT('',#522930); #168470=VERTEX_POINT('',#522934); #168471=VERTEX_POINT('',#522936); #168472=VERTEX_POINT('',#522940); #168473=VERTEX_POINT('',#522942); #168474=VERTEX_POINT('',#522946); #168475=VERTEX_POINT('',#522948); #168476=VERTEX_POINT('',#522952); #168477=VERTEX_POINT('',#522954); #168478=VERTEX_POINT('',#522958); #168479=VERTEX_POINT('',#522960); #168480=VERTEX_POINT('',#522964); #168481=VERTEX_POINT('',#522966); #168482=VERTEX_POINT('',#522970); #168483=VERTEX_POINT('',#522972); #168484=VERTEX_POINT('',#522976); #168485=VERTEX_POINT('',#522977); #168486=VERTEX_POINT('',#522979); #168487=VERTEX_POINT('',#522981); #168488=VERTEX_POINT('',#522985); #168489=VERTEX_POINT('',#522987); #168490=VERTEX_POINT('',#522991); #168491=VERTEX_POINT('',#522993); #168492=VERTEX_POINT('',#523002); #168493=VERTEX_POINT('',#523003); #168494=VERTEX_POINT('',#523005); #168495=VERTEX_POINT('',#523007); #168496=VERTEX_POINT('',#523011); #168497=VERTEX_POINT('',#523013); #168498=VERTEX_POINT('',#523017); #168499=VERTEX_POINT('',#523019); #168500=VERTEX_POINT('',#523028); #168501=VERTEX_POINT('',#523029); #168502=VERTEX_POINT('',#523031); #168503=VERTEX_POINT('',#523033); #168504=VERTEX_POINT('',#523037); #168505=VERTEX_POINT('',#523039); #168506=VERTEX_POINT('',#523043); #168507=VERTEX_POINT('',#523045); #168508=VERTEX_POINT('',#523054); #168509=VERTEX_POINT('',#523055); #168510=VERTEX_POINT('',#523057); #168511=VERTEX_POINT('',#523059); #168512=VERTEX_POINT('',#523063); #168513=VERTEX_POINT('',#523065); #168514=VERTEX_POINT('',#523069); #168515=VERTEX_POINT('',#523071); #168516=VERTEX_POINT('',#523080); #168517=VERTEX_POINT('',#523081); #168518=VERTEX_POINT('',#523083); #168519=VERTEX_POINT('',#523085); #168520=VERTEX_POINT('',#523089); #168521=VERTEX_POINT('',#523091); #168522=VERTEX_POINT('',#523095); #168523=VERTEX_POINT('',#523097); #168524=VERTEX_POINT('',#523106); #168525=VERTEX_POINT('',#523107); #168526=VERTEX_POINT('',#523109); #168527=VERTEX_POINT('',#523111); #168528=VERTEX_POINT('',#523115); #168529=VERTEX_POINT('',#523117); #168530=VERTEX_POINT('',#523121); #168531=VERTEX_POINT('',#523123); #168532=VERTEX_POINT('',#523132); #168533=VERTEX_POINT('',#523133); #168534=VERTEX_POINT('',#523135); #168535=VERTEX_POINT('',#523137); #168536=VERTEX_POINT('',#523141); #168537=VERTEX_POINT('',#523143); #168538=VERTEX_POINT('',#523147); #168539=VERTEX_POINT('',#523149); #168540=VERTEX_POINT('',#523158); #168541=VERTEX_POINT('',#523160); #168542=VERTEX_POINT('',#523164); #168543=VERTEX_POINT('',#523165); #168544=VERTEX_POINT('',#523167); #168545=VERTEX_POINT('',#523169); #168546=VERTEX_POINT('',#523173); #168547=VERTEX_POINT('',#523175); #168548=VERTEX_POINT('',#523179); #168549=VERTEX_POINT('',#523181); #168550=VERTEX_POINT('',#523190); #168551=VERTEX_POINT('',#523192); #168552=VERTEX_POINT('',#523196); #168553=VERTEX_POINT('',#523198); #168554=VERTEX_POINT('',#523202); #168555=VERTEX_POINT('',#523203); #168556=VERTEX_POINT('',#523205); #168557=VERTEX_POINT('',#523207); #168558=VERTEX_POINT('',#523211); #168559=VERTEX_POINT('',#523213); #168560=VERTEX_POINT('',#523217); #168561=VERTEX_POINT('',#523219); #168562=VERTEX_POINT('',#523228); #168563=VERTEX_POINT('',#523230); #168564=VERTEX_POINT('',#523234); #168565=VERTEX_POINT('',#523236); #168566=VERTEX_POINT('',#523240); #168567=VERTEX_POINT('',#523241); #168568=VERTEX_POINT('',#523243); #168569=VERTEX_POINT('',#523245); #168570=VERTEX_POINT('',#523249); #168571=VERTEX_POINT('',#523251); #168572=VERTEX_POINT('',#523255); #168573=VERTEX_POINT('',#523257); #168574=VERTEX_POINT('',#523266); #168575=VERTEX_POINT('',#523268); #168576=VERTEX_POINT('',#523272); #168577=VERTEX_POINT('',#523273); #168578=VERTEX_POINT('',#523275); #168579=VERTEX_POINT('',#523277); #168580=VERTEX_POINT('',#523281); #168581=VERTEX_POINT('',#523283); #168582=VERTEX_POINT('',#523287); #168583=VERTEX_POINT('',#523289); #168584=VERTEX_POINT('',#523298); #168585=VERTEX_POINT('',#523299); #168586=VERTEX_POINT('',#523301); #168587=VERTEX_POINT('',#523303); #168588=VERTEX_POINT('',#523307); #168589=VERTEX_POINT('',#523309); #168590=VERTEX_POINT('',#523313); #168591=VERTEX_POINT('',#523315); #168592=VERTEX_POINT('',#523325); #168593=VERTEX_POINT('',#523327); #168594=VERTEX_POINT('',#523331); #168595=VERTEX_POINT('',#523333); #168596=VERTEX_POINT('',#523337); #168597=VERTEX_POINT('',#523339); #168598=VERTEX_POINT('',#523343); #168599=VERTEX_POINT('',#523345); #168600=VERTEX_POINT('',#523349); #168601=VERTEX_POINT('',#523351); #168602=VERTEX_POINT('',#523355); #168603=VERTEX_POINT('',#523357); #168604=VERTEX_POINT('',#523361); #168605=VERTEX_POINT('',#523363); #168606=VERTEX_POINT('',#523367); #168607=VERTEX_POINT('',#523369); #168608=VERTEX_POINT('',#523373); #168609=VERTEX_POINT('',#523375); #168610=VERTEX_POINT('',#523379); #168611=VERTEX_POINT('',#523381); #168612=VERTEX_POINT('',#523385); #168613=VERTEX_POINT('',#523387); #168614=VERTEX_POINT('',#523391); #168615=VERTEX_POINT('',#523393); #168616=VERTEX_POINT('',#523397); #168617=VERTEX_POINT('',#523399); #168618=VERTEX_POINT('',#523403); #168619=VERTEX_POINT('',#523405); #168620=VERTEX_POINT('',#523409); #168621=VERTEX_POINT('',#523411); #168622=VERTEX_POINT('',#523415); #168623=VERTEX_POINT('',#523417); #168624=VERTEX_POINT('',#523421); #168625=VERTEX_POINT('',#523423); #168626=VERTEX_POINT('',#523427); #168627=VERTEX_POINT('',#523429); #168628=VERTEX_POINT('',#523433); #168629=VERTEX_POINT('',#523435); #168630=VERTEX_POINT('',#523439); #168631=VERTEX_POINT('',#523441); #168632=VERTEX_POINT('',#523445); #168633=VERTEX_POINT('',#523447); #168634=VERTEX_POINT('',#523451); #168635=VERTEX_POINT('',#523453); #168636=VERTEX_POINT('',#523457); #168637=VERTEX_POINT('',#523459); #168638=VERTEX_POINT('',#523463); #168639=VERTEX_POINT('',#523465); #168640=VERTEX_POINT('',#523469); #168641=VERTEX_POINT('',#523471); #168642=VERTEX_POINT('',#523475); #168643=VERTEX_POINT('',#523477); #168644=VERTEX_POINT('',#523481); #168645=VERTEX_POINT('',#523483); #168646=VERTEX_POINT('',#523487); #168647=VERTEX_POINT('',#523489); #168648=VERTEX_POINT('',#523493); #168649=VERTEX_POINT('',#523495); #168650=VERTEX_POINT('',#523499); #168651=VERTEX_POINT('',#523501); #168652=VERTEX_POINT('',#523505); #168653=VERTEX_POINT('',#523507); #168654=VERTEX_POINT('',#523511); #168655=VERTEX_POINT('',#523513); #168656=VERTEX_POINT('',#523517); #168657=VERTEX_POINT('',#523519); #168658=VERTEX_POINT('',#523523); #168659=VERTEX_POINT('',#523525); #168660=VERTEX_POINT('',#523529); #168661=VERTEX_POINT('',#523531); #168662=VERTEX_POINT('',#523535); #168663=VERTEX_POINT('',#523537); #168664=VERTEX_POINT('',#523541); #168665=VERTEX_POINT('',#523543); #168666=VERTEX_POINT('',#523547); #168667=VERTEX_POINT('',#523549); #168668=VERTEX_POINT('',#523553); #168669=VERTEX_POINT('',#523555); #168670=VERTEX_POINT('',#523559); #168671=VERTEX_POINT('',#523560); #168672=VERTEX_POINT('',#523562); #168673=VERTEX_POINT('',#523564); #168674=VERTEX_POINT('',#523568); #168675=VERTEX_POINT('',#523570); #168676=VERTEX_POINT('',#523574); #168677=VERTEX_POINT('',#523576); #168678=VERTEX_POINT('',#523583); #168679=VERTEX_POINT('',#523585); #168680=VERTEX_POINT('',#523589); #168681=VERTEX_POINT('',#523590); #168682=VERTEX_POINT('',#523592); #168683=VERTEX_POINT('',#523594); #168684=VERTEX_POINT('',#523598); #168685=VERTEX_POINT('',#523600); #168686=VERTEX_POINT('',#523604); #168687=VERTEX_POINT('',#523606); #168688=VERTEX_POINT('',#523613); #168689=VERTEX_POINT('',#523615); #168690=VERTEX_POINT('',#523619); #168691=VERTEX_POINT('',#523621); #168692=VERTEX_POINT('',#523625); #168693=VERTEX_POINT('',#523627); #168694=VERTEX_POINT('',#523631); #168695=VERTEX_POINT('',#523633); #168696=VERTEX_POINT('',#523637); #168697=VERTEX_POINT('',#523639); #168698=VERTEX_POINT('',#523643); #168699=VERTEX_POINT('',#523645); #168700=VERTEX_POINT('',#523649); #168701=VERTEX_POINT('',#523651); #168702=VERTEX_POINT('',#523655); #168703=VERTEX_POINT('',#523657); #168704=VERTEX_POINT('',#523661); #168705=VERTEX_POINT('',#523663); #168706=VERTEX_POINT('',#523667); #168707=VERTEX_POINT('',#523669); #168708=VERTEX_POINT('',#523673); #168709=VERTEX_POINT('',#523675); #168710=VERTEX_POINT('',#523679); #168711=VERTEX_POINT('',#523680); #168712=VERTEX_POINT('',#523682); #168713=VERTEX_POINT('',#523684); #168714=VERTEX_POINT('',#523688); #168715=VERTEX_POINT('',#523690); #168716=VERTEX_POINT('',#523694); #168717=VERTEX_POINT('',#523696); #168718=VERTEX_POINT('',#523703); #168719=VERTEX_POINT('',#523705); #168720=VERTEX_POINT('',#523709); #168721=VERTEX_POINT('',#523710); #168722=VERTEX_POINT('',#523712); #168723=VERTEX_POINT('',#523714); #168724=VERTEX_POINT('',#523718); #168725=VERTEX_POINT('',#523720); #168726=VERTEX_POINT('',#523724); #168727=VERTEX_POINT('',#523726); #168728=VERTEX_POINT('',#523733); #168729=VERTEX_POINT('',#523735); #168730=VERTEX_POINT('',#523739); #168731=VERTEX_POINT('',#523740); #168732=VERTEX_POINT('',#523742); #168733=VERTEX_POINT('',#523744); #168734=VERTEX_POINT('',#523748); #168735=VERTEX_POINT('',#523750); #168736=VERTEX_POINT('',#523754); #168737=VERTEX_POINT('',#523756); #168738=VERTEX_POINT('',#523763); #168739=VERTEX_POINT('',#523765); #168740=VERTEX_POINT('',#523769); #168741=VERTEX_POINT('',#523770); #168742=VERTEX_POINT('',#523772); #168743=VERTEX_POINT('',#523774); #168744=VERTEX_POINT('',#523778); #168745=VERTEX_POINT('',#523780); #168746=VERTEX_POINT('',#523784); #168747=VERTEX_POINT('',#523786); #168748=VERTEX_POINT('',#523793); #168749=VERTEX_POINT('',#523795); #168750=VERTEX_POINT('',#523799); #168751=VERTEX_POINT('',#523801); #168752=VERTEX_POINT('',#523805); #168753=VERTEX_POINT('',#523807); #168754=VERTEX_POINT('',#523811); #168755=VERTEX_POINT('',#523813); #168756=VERTEX_POINT('',#523817); #168757=VERTEX_POINT('',#523819); #168758=VERTEX_POINT('',#523823); #168759=VERTEX_POINT('',#523825); #168760=VERTEX_POINT('',#523829); #168761=VERTEX_POINT('',#523831); #168762=VERTEX_POINT('',#523835); #168763=VERTEX_POINT('',#523837); #168764=VERTEX_POINT('',#523841); #168765=VERTEX_POINT('',#523843); #168766=VERTEX_POINT('',#523847); #168767=VERTEX_POINT('',#523849); #168768=VERTEX_POINT('',#523853); #168769=VERTEX_POINT('',#523855); #168770=VERTEX_POINT('',#523859); #168771=VERTEX_POINT('',#523861); #168772=VERTEX_POINT('',#523865); #168773=VERTEX_POINT('',#523867); #168774=VERTEX_POINT('',#523871); #168775=VERTEX_POINT('',#523873); #168776=VERTEX_POINT('',#523877); #168777=VERTEX_POINT('',#523879); #168778=VERTEX_POINT('',#523883); #168779=VERTEX_POINT('',#523885); #168780=VERTEX_POINT('',#523889); #168781=VERTEX_POINT('',#523891); #168782=VERTEX_POINT('',#523895); #168783=VERTEX_POINT('',#523897); #168784=VERTEX_POINT('',#523901); #168785=VERTEX_POINT('',#523903); #168786=VERTEX_POINT('',#523907); #168787=VERTEX_POINT('',#523909); #168788=VERTEX_POINT('',#523913); #168789=VERTEX_POINT('',#523915); #168790=VERTEX_POINT('',#523919); #168791=VERTEX_POINT('',#523921); #168792=VERTEX_POINT('',#523925); #168793=VERTEX_POINT('',#523927); #168794=VERTEX_POINT('',#523931); #168795=VERTEX_POINT('',#523933); #168796=VERTEX_POINT('',#523937); #168797=VERTEX_POINT('',#523939); #168798=VERTEX_POINT('',#523943); #168799=VERTEX_POINT('',#523945); #168800=VERTEX_POINT('',#523949); #168801=VERTEX_POINT('',#523951); #168802=VERTEX_POINT('',#523955); #168803=VERTEX_POINT('',#523957); #168804=VERTEX_POINT('',#523961); #168805=VERTEX_POINT('',#523963); #168806=VERTEX_POINT('',#523967); #168807=VERTEX_POINT('',#523969); #168808=VERTEX_POINT('',#523973); #168809=VERTEX_POINT('',#523975); #168810=VERTEX_POINT('',#523979); #168811=VERTEX_POINT('',#523981); #168812=VERTEX_POINT('',#523985); #168813=VERTEX_POINT('',#523987); #168814=VERTEX_POINT('',#523991); #168815=VERTEX_POINT('',#523993); #168816=VERTEX_POINT('',#523997); #168817=VERTEX_POINT('',#523999); #168818=VERTEX_POINT('',#524003); #168819=VERTEX_POINT('',#524005); #168820=VERTEX_POINT('',#524009); #168821=VERTEX_POINT('',#524011); #168822=VERTEX_POINT('',#524015); #168823=VERTEX_POINT('',#524017); #168824=VERTEX_POINT('',#524021); #168825=VERTEX_POINT('',#524023); #168826=VERTEX_POINT('',#524027); #168827=VERTEX_POINT('',#524029); #168828=VERTEX_POINT('',#524033); #168829=VERTEX_POINT('',#524035); #168830=VERTEX_POINT('',#524039); #168831=VERTEX_POINT('',#524041); #168832=VERTEX_POINT('',#524045); #168833=VERTEX_POINT('',#524047); #168834=VERTEX_POINT('',#524051); #168835=VERTEX_POINT('',#524053); #168836=VERTEX_POINT('',#524057); #168837=VERTEX_POINT('',#524059); #168838=VERTEX_POINT('',#524063); #168839=VERTEX_POINT('',#524065); #168840=VERTEX_POINT('',#524069); #168841=VERTEX_POINT('',#524071); #168842=VERTEX_POINT('',#524075); #168843=VERTEX_POINT('',#524077); #168844=VERTEX_POINT('',#524081); #168845=VERTEX_POINT('',#524083); #168846=VERTEX_POINT('',#524087); #168847=VERTEX_POINT('',#524089); #168848=VERTEX_POINT('',#524093); #168849=VERTEX_POINT('',#524095); #168850=VERTEX_POINT('',#524099); #168851=VERTEX_POINT('',#524101); #168852=VERTEX_POINT('',#524105); #168853=VERTEX_POINT('',#524107); #168854=VERTEX_POINT('',#524111); #168855=VERTEX_POINT('',#524113); #168856=VERTEX_POINT('',#524117); #168857=VERTEX_POINT('',#524119); #168858=VERTEX_POINT('',#524123); #168859=VERTEX_POINT('',#524125); #168860=VERTEX_POINT('',#524129); #168861=VERTEX_POINT('',#524131); #168862=VERTEX_POINT('',#524135); #168863=VERTEX_POINT('',#524137); #168864=VERTEX_POINT('',#524141); #168865=VERTEX_POINT('',#524143); #168866=VERTEX_POINT('',#524147); #168867=VERTEX_POINT('',#524149); #168868=VERTEX_POINT('',#524153); #168869=VERTEX_POINT('',#524155); #168870=VERTEX_POINT('',#524159); #168871=VERTEX_POINT('',#524161); #168872=VERTEX_POINT('',#524165); #168873=VERTEX_POINT('',#524167); #168874=VERTEX_POINT('',#524171); #168875=VERTEX_POINT('',#524173); #168876=VERTEX_POINT('',#524177); #168877=VERTEX_POINT('',#524179); #168878=VERTEX_POINT('',#524183); #168879=VERTEX_POINT('',#524185); #168880=VERTEX_POINT('',#524189); #168881=VERTEX_POINT('',#524191); #168882=VERTEX_POINT('',#524195); #168883=VERTEX_POINT('',#524197); #168884=VERTEX_POINT('',#524201); #168885=VERTEX_POINT('',#524203); #168886=VERTEX_POINT('',#524207); #168887=VERTEX_POINT('',#524209); #168888=VERTEX_POINT('',#524213); #168889=VERTEX_POINT('',#524215); #168890=VERTEX_POINT('',#524219); #168891=VERTEX_POINT('',#524221); #168892=VERTEX_POINT('',#524225); #168893=VERTEX_POINT('',#524227); #168894=VERTEX_POINT('',#524231); #168895=VERTEX_POINT('',#524233); #168896=VERTEX_POINT('',#524237); #168897=VERTEX_POINT('',#524239); #168898=VERTEX_POINT('',#524243); #168899=VERTEX_POINT('',#524245); #168900=VERTEX_POINT('',#524249); #168901=VERTEX_POINT('',#524251); #168902=VERTEX_POINT('',#524255); #168903=VERTEX_POINT('',#524257); #168904=VERTEX_POINT('',#524261); #168905=VERTEX_POINT('',#524263); #168906=VERTEX_POINT('',#524267); #168907=VERTEX_POINT('',#524269); #168908=VERTEX_POINT('',#524273); #168909=VERTEX_POINT('',#524275); #168910=VERTEX_POINT('',#524279); #168911=VERTEX_POINT('',#524281); #168912=VERTEX_POINT('',#524285); #168913=VERTEX_POINT('',#524287); #168914=VERTEX_POINT('',#524291); #168915=VERTEX_POINT('',#524293); #168916=VERTEX_POINT('',#524297); #168917=VERTEX_POINT('',#524299); #168918=VERTEX_POINT('',#524303); #168919=VERTEX_POINT('',#524305); #168920=VERTEX_POINT('',#524309); #168921=VERTEX_POINT('',#524311); #168922=VERTEX_POINT('',#524315); #168923=VERTEX_POINT('',#524317); #168924=VERTEX_POINT('',#524321); #168925=VERTEX_POINT('',#524323); #168926=VERTEX_POINT('',#524327); #168927=VERTEX_POINT('',#524329); #168928=VERTEX_POINT('',#524333); #168929=VERTEX_POINT('',#524335); #168930=VERTEX_POINT('',#524339); #168931=VERTEX_POINT('',#524341); #168932=VERTEX_POINT('',#524345); #168933=VERTEX_POINT('',#524347); #168934=VERTEX_POINT('',#524351); #168935=VERTEX_POINT('',#524353); #168936=VERTEX_POINT('',#524357); #168937=VERTEX_POINT('',#524359); #168938=VERTEX_POINT('',#524363); #168939=VERTEX_POINT('',#524365); #168940=VERTEX_POINT('',#524369); #168941=VERTEX_POINT('',#524371); #168942=VERTEX_POINT('',#524375); #168943=VERTEX_POINT('',#524377); #168944=VERTEX_POINT('',#524381); #168945=VERTEX_POINT('',#524383); #168946=VERTEX_POINT('',#524387); #168947=VERTEX_POINT('',#524389); #168948=VERTEX_POINT('',#524393); #168949=VERTEX_POINT('',#524395); #168950=VERTEX_POINT('',#524399); #168951=VERTEX_POINT('',#524401); #168952=VERTEX_POINT('',#524405); #168953=VERTEX_POINT('',#524407); #168954=VERTEX_POINT('',#524411); #168955=VERTEX_POINT('',#524413); #168956=VERTEX_POINT('',#524417); #168957=VERTEX_POINT('',#524419); #168958=VERTEX_POINT('',#524423); #168959=VERTEX_POINT('',#524425); #168960=VERTEX_POINT('',#524429); #168961=VERTEX_POINT('',#524431); #168962=VERTEX_POINT('',#524435); #168963=VERTEX_POINT('',#524437); #168964=VERTEX_POINT('',#524441); #168965=VERTEX_POINT('',#524443); #168966=VERTEX_POINT('',#524447); #168967=VERTEX_POINT('',#524449); #168968=VERTEX_POINT('',#524453); #168969=VERTEX_POINT('',#524455); #168970=VERTEX_POINT('',#524459); #168971=VERTEX_POINT('',#524461); #168972=VERTEX_POINT('',#524465); #168973=VERTEX_POINT('',#524467); #168974=VERTEX_POINT('',#524471); #168975=VERTEX_POINT('',#524473); #168976=VERTEX_POINT('',#524477); #168977=VERTEX_POINT('',#524479); #168978=VERTEX_POINT('',#524483); #168979=VERTEX_POINT('',#524485); #168980=VERTEX_POINT('',#524489); #168981=VERTEX_POINT('',#524491); #168982=VERTEX_POINT('',#524495); #168983=VERTEX_POINT('',#524497); #168984=VERTEX_POINT('',#524501); #168985=VERTEX_POINT('',#524503); #168986=VERTEX_POINT('',#524507); #168987=VERTEX_POINT('',#524509); #168988=VERTEX_POINT('',#524513); #168989=VERTEX_POINT('',#524515); #168990=VERTEX_POINT('',#524519); #168991=VERTEX_POINT('',#524521); #168992=VERTEX_POINT('',#524525); #168993=VERTEX_POINT('',#524527); #168994=VERTEX_POINT('',#524531); #168995=VERTEX_POINT('',#524533); #168996=VERTEX_POINT('',#524537); #168997=VERTEX_POINT('',#524539); #168998=VERTEX_POINT('',#524543); #168999=VERTEX_POINT('',#524545); #169000=VERTEX_POINT('',#524549); #169001=VERTEX_POINT('',#524551); #169002=VERTEX_POINT('',#524555); #169003=VERTEX_POINT('',#524557); #169004=VERTEX_POINT('',#524561); #169005=VERTEX_POINT('',#524563); #169006=VERTEX_POINT('',#524567); #169007=VERTEX_POINT('',#524569); #169008=VERTEX_POINT('',#524573); #169009=VERTEX_POINT('',#524575); #169010=VERTEX_POINT('',#524579); #169011=VERTEX_POINT('',#524581); #169012=VERTEX_POINT('',#524585); #169013=VERTEX_POINT('',#524587); #169014=VERTEX_POINT('',#524591); #169015=VERTEX_POINT('',#524593); #169016=VERTEX_POINT('',#524597); #169017=VERTEX_POINT('',#524599); #169018=VERTEX_POINT('',#524603); #169019=VERTEX_POINT('',#524605); #169020=VERTEX_POINT('',#524609); #169021=VERTEX_POINT('',#524611); #169022=VERTEX_POINT('',#524615); #169023=VERTEX_POINT('',#524617); #169024=VERTEX_POINT('',#524621); #169025=VERTEX_POINT('',#524623); #169026=VERTEX_POINT('',#524627); #169027=VERTEX_POINT('',#524629); #169028=VERTEX_POINT('',#524633); #169029=VERTEX_POINT('',#524635); #169030=VERTEX_POINT('',#524639); #169031=VERTEX_POINT('',#524641); #169032=VERTEX_POINT('',#524645); #169033=VERTEX_POINT('',#524647); #169034=VERTEX_POINT('',#524651); #169035=VERTEX_POINT('',#524653); #169036=VERTEX_POINT('',#524657); #169037=VERTEX_POINT('',#524659); #169038=VERTEX_POINT('',#524663); #169039=VERTEX_POINT('',#524665); #169040=VERTEX_POINT('',#524669); #169041=VERTEX_POINT('',#524671); #169042=VERTEX_POINT('',#524675); #169043=VERTEX_POINT('',#524677); #169044=VERTEX_POINT('',#524681); #169045=VERTEX_POINT('',#524683); #169046=VERTEX_POINT('',#524687); #169047=VERTEX_POINT('',#524689); #169048=VERTEX_POINT('',#524693); #169049=VERTEX_POINT('',#524695); #169050=VERTEX_POINT('',#524699); #169051=VERTEX_POINT('',#524701); #169052=VERTEX_POINT('',#524705); #169053=VERTEX_POINT('',#524707); #169054=VERTEX_POINT('',#524711); #169055=VERTEX_POINT('',#524713); #169056=VERTEX_POINT('',#524717); #169057=VERTEX_POINT('',#524719); #169058=VERTEX_POINT('',#524723); #169059=VERTEX_POINT('',#524725); #169060=VERTEX_POINT('',#524729); #169061=VERTEX_POINT('',#524731); #169062=VERTEX_POINT('',#524735); #169063=VERTEX_POINT('',#524737); #169064=VERTEX_POINT('',#524741); #169065=VERTEX_POINT('',#524743); #169066=VERTEX_POINT('',#524747); #169067=VERTEX_POINT('',#524749); #169068=VERTEX_POINT('',#524753); #169069=VERTEX_POINT('',#524755); #169070=VERTEX_POINT('',#524759); #169071=VERTEX_POINT('',#524761); #169072=VERTEX_POINT('',#524765); #169073=VERTEX_POINT('',#524767); #169074=VERTEX_POINT('',#524771); #169075=VERTEX_POINT('',#524773); #169076=VERTEX_POINT('',#524777); #169077=VERTEX_POINT('',#524779); #169078=VERTEX_POINT('',#524783); #169079=VERTEX_POINT('',#524785); #169080=VERTEX_POINT('',#524789); #169081=VERTEX_POINT('',#524791); #169082=VERTEX_POINT('',#524795); #169083=VERTEX_POINT('',#524797); #169084=VERTEX_POINT('',#524801); #169085=VERTEX_POINT('',#524803); #169086=VERTEX_POINT('',#524807); #169087=VERTEX_POINT('',#524809); #169088=VERTEX_POINT('',#524813); #169089=VERTEX_POINT('',#524815); #169090=VERTEX_POINT('',#524819); #169091=VERTEX_POINT('',#524821); #169092=VERTEX_POINT('',#524825); #169093=VERTEX_POINT('',#524827); #169094=VERTEX_POINT('',#524831); #169095=VERTEX_POINT('',#524833); #169096=VERTEX_POINT('',#524837); #169097=VERTEX_POINT('',#524839); #169098=VERTEX_POINT('',#524843); #169099=VERTEX_POINT('',#524845); #169100=VERTEX_POINT('',#524849); #169101=VERTEX_POINT('',#524851); #169102=VERTEX_POINT('',#524855); #169103=VERTEX_POINT('',#524857); #169104=VERTEX_POINT('',#524861); #169105=VERTEX_POINT('',#524863); #169106=VERTEX_POINT('',#524867); #169107=VERTEX_POINT('',#524869); #169108=VERTEX_POINT('',#524873); #169109=VERTEX_POINT('',#524875); #169110=VERTEX_POINT('',#524879); #169111=VERTEX_POINT('',#524881); #169112=VERTEX_POINT('',#524885); #169113=VERTEX_POINT('',#524887); #169114=VERTEX_POINT('',#524891); #169115=VERTEX_POINT('',#524893); #169116=VERTEX_POINT('',#524897); #169117=VERTEX_POINT('',#524899); #169118=VERTEX_POINT('',#524903); #169119=VERTEX_POINT('',#524905); #169120=VERTEX_POINT('',#524909); #169121=VERTEX_POINT('',#524911); #169122=VERTEX_POINT('',#524915); #169123=VERTEX_POINT('',#524917); #169124=VERTEX_POINT('',#524921); #169125=VERTEX_POINT('',#524923); #169126=VERTEX_POINT('',#524927); #169127=VERTEX_POINT('',#524929); #169128=VERTEX_POINT('',#524933); #169129=VERTEX_POINT('',#524935); #169130=VERTEX_POINT('',#524939); #169131=VERTEX_POINT('',#524941); #169132=VERTEX_POINT('',#524945); #169133=VERTEX_POINT('',#524947); #169134=VERTEX_POINT('',#524951); #169135=VERTEX_POINT('',#524953); #169136=VERTEX_POINT('',#524957); #169137=VERTEX_POINT('',#524959); #169138=VERTEX_POINT('',#524963); #169139=VERTEX_POINT('',#524965); #169140=VERTEX_POINT('',#524969); #169141=VERTEX_POINT('',#524971); #169142=VERTEX_POINT('',#524975); #169143=VERTEX_POINT('',#524977); #169144=VERTEX_POINT('',#524981); #169145=VERTEX_POINT('',#524983); #169146=VERTEX_POINT('',#524987); #169147=VERTEX_POINT('',#524989); #169148=VERTEX_POINT('',#524993); #169149=VERTEX_POINT('',#524995); #169150=VERTEX_POINT('',#524999); #169151=VERTEX_POINT('',#525001); #169152=VERTEX_POINT('',#525005); #169153=VERTEX_POINT('',#525007); #169154=VERTEX_POINT('',#525011); #169155=VERTEX_POINT('',#525013); #169156=VERTEX_POINT('',#525017); #169157=VERTEX_POINT('',#525019); #169158=VERTEX_POINT('',#525023); #169159=VERTEX_POINT('',#525025); #169160=VERTEX_POINT('',#525029); #169161=VERTEX_POINT('',#525031); #169162=VERTEX_POINT('',#525035); #169163=VERTEX_POINT('',#525037); #169164=VERTEX_POINT('',#525041); #169165=VERTEX_POINT('',#525043); #169166=VERTEX_POINT('',#525047); #169167=VERTEX_POINT('',#525049); #169168=VERTEX_POINT('',#525053); #169169=VERTEX_POINT('',#525055); #169170=VERTEX_POINT('',#525059); #169171=VERTEX_POINT('',#525061); #169172=VERTEX_POINT('',#525065); #169173=VERTEX_POINT('',#525067); #169174=VERTEX_POINT('',#525071); #169175=VERTEX_POINT('',#525073); #169176=VERTEX_POINT('',#525077); #169177=VERTEX_POINT('',#525079); #169178=VERTEX_POINT('',#525083); #169179=VERTEX_POINT('',#525085); #169180=VERTEX_POINT('',#525089); #169181=VERTEX_POINT('',#525091); #169182=VERTEX_POINT('',#525095); #169183=VERTEX_POINT('',#525097); #169184=VERTEX_POINT('',#525101); #169185=VERTEX_POINT('',#525103); #169186=VERTEX_POINT('',#525107); #169187=VERTEX_POINT('',#525109); #169188=VERTEX_POINT('',#525113); #169189=VERTEX_POINT('',#525115); #169190=VERTEX_POINT('',#525119); #169191=VERTEX_POINT('',#525121); #169192=VERTEX_POINT('',#525125); #169193=VERTEX_POINT('',#525127); #169194=VERTEX_POINT('',#525131); #169195=VERTEX_POINT('',#525133); #169196=VERTEX_POINT('',#525137); #169197=VERTEX_POINT('',#525139); #169198=VERTEX_POINT('',#525143); #169199=VERTEX_POINT('',#525145); #169200=VERTEX_POINT('',#525149); #169201=VERTEX_POINT('',#525151); #169202=VERTEX_POINT('',#525155); #169203=VERTEX_POINT('',#525157); #169204=VERTEX_POINT('',#525161); #169205=VERTEX_POINT('',#525163); #169206=VERTEX_POINT('',#525167); #169207=VERTEX_POINT('',#525169); #169208=VERTEX_POINT('',#525173); #169209=VERTEX_POINT('',#525175); #169210=VERTEX_POINT('',#525179); #169211=VERTEX_POINT('',#525181); #169212=VERTEX_POINT('',#525185); #169213=VERTEX_POINT('',#525187); #169214=VERTEX_POINT('',#525191); #169215=VERTEX_POINT('',#525193); #169216=VERTEX_POINT('',#525197); #169217=VERTEX_POINT('',#525199); #169218=VERTEX_POINT('',#525203); #169219=VERTEX_POINT('',#525205); #169220=VERTEX_POINT('',#525209); #169221=VERTEX_POINT('',#525211); #169222=VERTEX_POINT('',#525215); #169223=VERTEX_POINT('',#525217); #169224=VERTEX_POINT('',#525221); #169225=VERTEX_POINT('',#525223); #169226=VERTEX_POINT('',#525227); #169227=VERTEX_POINT('',#525229); #169228=VERTEX_POINT('',#525233); #169229=VERTEX_POINT('',#525235); #169230=VERTEX_POINT('',#525239); #169231=VERTEX_POINT('',#525241); #169232=VERTEX_POINT('',#525245); #169233=VERTEX_POINT('',#525247); #169234=VERTEX_POINT('',#525251); #169235=VERTEX_POINT('',#525253); #169236=VERTEX_POINT('',#525257); #169237=VERTEX_POINT('',#525259); #169238=VERTEX_POINT('',#525263); #169239=VERTEX_POINT('',#525265); #169240=VERTEX_POINT('',#525269); #169241=VERTEX_POINT('',#525271); #169242=VERTEX_POINT('',#525275); #169243=VERTEX_POINT('',#525277); #169244=VERTEX_POINT('',#525281); #169245=VERTEX_POINT('',#525283); #169246=VERTEX_POINT('',#525287); #169247=VERTEX_POINT('',#525289); #169248=VERTEX_POINT('',#525293); #169249=VERTEX_POINT('',#525295); #169250=VERTEX_POINT('',#525299); #169251=VERTEX_POINT('',#525301); #169252=VERTEX_POINT('',#525305); #169253=VERTEX_POINT('',#525307); #169254=VERTEX_POINT('',#525311); #169255=VERTEX_POINT('',#525313); #169256=VERTEX_POINT('',#525317); #169257=VERTEX_POINT('',#525319); #169258=VERTEX_POINT('',#525323); #169259=VERTEX_POINT('',#525325); #169260=VERTEX_POINT('',#525329); #169261=VERTEX_POINT('',#525331); #169262=VERTEX_POINT('',#525335); #169263=VERTEX_POINT('',#525337); #169264=VERTEX_POINT('',#525341); #169265=VERTEX_POINT('',#525343); #169266=VERTEX_POINT('',#525347); #169267=VERTEX_POINT('',#525349); #169268=VERTEX_POINT('',#525353); #169269=VERTEX_POINT('',#525355); #169270=VERTEX_POINT('',#525359); #169271=VERTEX_POINT('',#525361); #169272=VERTEX_POINT('',#525365); #169273=VERTEX_POINT('',#525367); #169274=VERTEX_POINT('',#525371); #169275=VERTEX_POINT('',#525373); #169276=VERTEX_POINT('',#525377); #169277=VERTEX_POINT('',#525379); #169278=VERTEX_POINT('',#525383); #169279=VERTEX_POINT('',#525385); #169280=VERTEX_POINT('',#525389); #169281=VERTEX_POINT('',#525391); #169282=VERTEX_POINT('',#525395); #169283=VERTEX_POINT('',#525397); #169284=VERTEX_POINT('',#525401); #169285=VERTEX_POINT('',#525403); #169286=VERTEX_POINT('',#525407); #169287=VERTEX_POINT('',#525409); #169288=VERTEX_POINT('',#525413); #169289=VERTEX_POINT('',#525415); #169290=VERTEX_POINT('',#525419); #169291=VERTEX_POINT('',#525421); #169292=VERTEX_POINT('',#525425); #169293=VERTEX_POINT('',#525427); #169294=VERTEX_POINT('',#525434); #169295=VERTEX_POINT('',#525436); #169296=VERTEX_POINT('',#525440); #169297=VERTEX_POINT('',#525442); #169298=VERTEX_POINT('',#525446); #169299=VERTEX_POINT('',#525448); #169300=VERTEX_POINT('',#525452); #169301=VERTEX_POINT('',#525454); #169302=VERTEX_POINT('',#525458); #169303=VERTEX_POINT('',#525460); #169304=VERTEX_POINT('',#525464); #169305=VERTEX_POINT('',#525466); #169306=VERTEX_POINT('',#525470); #169307=VERTEX_POINT('',#525472); #169308=VERTEX_POINT('',#525476); #169309=VERTEX_POINT('',#525478); #169310=VERTEX_POINT('',#525482); #169311=VERTEX_POINT('',#525484); #169312=VERTEX_POINT('',#525488); #169313=VERTEX_POINT('',#525490); #169314=VERTEX_POINT('',#525494); #169315=VERTEX_POINT('',#525496); #169316=VERTEX_POINT('',#525500); #169317=VERTEX_POINT('',#525502); #169318=VERTEX_POINT('',#525506); #169319=VERTEX_POINT('',#525508); #169320=VERTEX_POINT('',#525512); #169321=VERTEX_POINT('',#525514); #169322=VERTEX_POINT('',#525518); #169323=VERTEX_POINT('',#525520); #169324=VERTEX_POINT('',#525524); #169325=VERTEX_POINT('',#525526); #169326=VERTEX_POINT('',#525530); #169327=VERTEX_POINT('',#525532); #169328=VERTEX_POINT('',#525536); #169329=VERTEX_POINT('',#525538); #169330=VERTEX_POINT('',#525542); #169331=VERTEX_POINT('',#525544); #169332=VERTEX_POINT('',#525548); #169333=VERTEX_POINT('',#525550); #169334=VERTEX_POINT('',#525554); #169335=VERTEX_POINT('',#525556); #169336=VERTEX_POINT('',#525560); #169337=VERTEX_POINT('',#525562); #169338=VERTEX_POINT('',#525566); #169339=VERTEX_POINT('',#525568); #169340=VERTEX_POINT('',#525572); #169341=VERTEX_POINT('',#525574); #169342=VERTEX_POINT('',#525578); #169343=VERTEX_POINT('',#525580); #169344=VERTEX_POINT('',#525584); #169345=VERTEX_POINT('',#525586); #169346=VERTEX_POINT('',#525590); #169347=VERTEX_POINT('',#525592); #169348=VERTEX_POINT('',#525596); #169349=VERTEX_POINT('',#525598); #169350=VERTEX_POINT('',#525602); #169351=VERTEX_POINT('',#525604); #169352=VERTEX_POINT('',#525608); #169353=VERTEX_POINT('',#525610); #169354=VERTEX_POINT('',#525614); #169355=VERTEX_POINT('',#525616); #169356=VERTEX_POINT('',#525620); #169357=VERTEX_POINT('',#525622); #169358=VERTEX_POINT('',#525626); #169359=VERTEX_POINT('',#525628); #169360=VERTEX_POINT('',#525632); #169361=VERTEX_POINT('',#525634); #169362=VERTEX_POINT('',#525638); #169363=VERTEX_POINT('',#525640); #169364=VERTEX_POINT('',#525644); #169365=VERTEX_POINT('',#525646); #169366=VERTEX_POINT('',#525650); #169367=VERTEX_POINT('',#525652); #169368=VERTEX_POINT('',#525656); #169369=VERTEX_POINT('',#525658); #169370=VERTEX_POINT('',#525662); #169371=VERTEX_POINT('',#525664); #169372=VERTEX_POINT('',#525668); #169373=VERTEX_POINT('',#525669); #169374=VERTEX_POINT('',#525671); #169375=VERTEX_POINT('',#525673); #169376=VERTEX_POINT('',#525677); #169377=VERTEX_POINT('',#525679); #169378=VERTEX_POINT('',#525683); #169379=VERTEX_POINT('',#525685); #169380=VERTEX_POINT('',#525692); #169381=VERTEX_POINT('',#525694); #169382=VERTEX_POINT('',#525698); #169383=VERTEX_POINT('',#525699); #169384=VERTEX_POINT('',#525701); #169385=VERTEX_POINT('',#525703); #169386=VERTEX_POINT('',#525707); #169387=VERTEX_POINT('',#525709); #169388=VERTEX_POINT('',#525713); #169389=VERTEX_POINT('',#525715); #169390=VERTEX_POINT('',#525722); #169391=VERTEX_POINT('',#525724); #169392=VERTEX_POINT('',#525728); #169393=VERTEX_POINT('',#525730); #169394=VERTEX_POINT('',#525734); #169395=VERTEX_POINT('',#525736); #169396=VERTEX_POINT('',#525740); #169397=VERTEX_POINT('',#525742); #169398=VERTEX_POINT('',#525746); #169399=VERTEX_POINT('',#525748); #169400=VERTEX_POINT('',#525752); #169401=VERTEX_POINT('',#525754); #169402=VERTEX_POINT('',#525758); #169403=VERTEX_POINT('',#525760); #169404=VERTEX_POINT('',#525764); #169405=VERTEX_POINT('',#525766); #169406=VERTEX_POINT('',#525770); #169407=VERTEX_POINT('',#525772); #169408=VERTEX_POINT('',#525776); #169409=VERTEX_POINT('',#525778); #169410=VERTEX_POINT('',#525782); #169411=VERTEX_POINT('',#525784); #169412=VERTEX_POINT('',#525788); #169413=VERTEX_POINT('',#525789); #169414=VERTEX_POINT('',#525791); #169415=VERTEX_POINT('',#525793); #169416=VERTEX_POINT('',#525797); #169417=VERTEX_POINT('',#525799); #169418=VERTEX_POINT('',#525803); #169419=VERTEX_POINT('',#525805); #169420=VERTEX_POINT('',#525812); #169421=VERTEX_POINT('',#525814); #169422=VERTEX_POINT('',#525818); #169423=VERTEX_POINT('',#525819); #169424=VERTEX_POINT('',#525821); #169425=VERTEX_POINT('',#525823); #169426=VERTEX_POINT('',#525827); #169427=VERTEX_POINT('',#525829); #169428=VERTEX_POINT('',#525833); #169429=VERTEX_POINT('',#525835); #169430=VERTEX_POINT('',#525842); #169431=VERTEX_POINT('',#525844); #169432=VERTEX_POINT('',#525848); #169433=VERTEX_POINT('',#525849); #169434=VERTEX_POINT('',#525851); #169435=VERTEX_POINT('',#525853); #169436=VERTEX_POINT('',#525857); #169437=VERTEX_POINT('',#525859); #169438=VERTEX_POINT('',#525863); #169439=VERTEX_POINT('',#525865); #169440=VERTEX_POINT('',#525872); #169441=VERTEX_POINT('',#525874); #169442=VERTEX_POINT('',#525878); #169443=VERTEX_POINT('',#525879); #169444=VERTEX_POINT('',#525881); #169445=VERTEX_POINT('',#525883); #169446=VERTEX_POINT('',#525887); #169447=VERTEX_POINT('',#525889); #169448=VERTEX_POINT('',#525893); #169449=VERTEX_POINT('',#525895); #169450=VERTEX_POINT('',#525902); #169451=VERTEX_POINT('',#525904); #169452=VERTEX_POINT('',#525908); #169453=VERTEX_POINT('',#525910); #169454=VERTEX_POINT('',#525914); #169455=VERTEX_POINT('',#525916); #169456=VERTEX_POINT('',#525920); #169457=VERTEX_POINT('',#525922); #169458=VERTEX_POINT('',#525926); #169459=VERTEX_POINT('',#525928); #169460=VERTEX_POINT('',#525932); #169461=VERTEX_POINT('',#525934); #169462=VERTEX_POINT('',#525938); #169463=VERTEX_POINT('',#525940); #169464=VERTEX_POINT('',#525944); #169465=VERTEX_POINT('',#525946); #169466=VERTEX_POINT('',#525950); #169467=VERTEX_POINT('',#525952); #169468=VERTEX_POINT('',#525956); #169469=VERTEX_POINT('',#525958); #169470=VERTEX_POINT('',#525962); #169471=VERTEX_POINT('',#525964); #169472=VERTEX_POINT('',#525968); #169473=VERTEX_POINT('',#525970); #169474=VERTEX_POINT('',#525974); #169475=VERTEX_POINT('',#525976); #169476=VERTEX_POINT('',#525980); #169477=VERTEX_POINT('',#525982); #169478=VERTEX_POINT('',#525986); #169479=VERTEX_POINT('',#525988); #169480=VERTEX_POINT('',#525992); #169481=VERTEX_POINT('',#525994); #169482=VERTEX_POINT('',#525998); #169483=VERTEX_POINT('',#526000); #169484=VERTEX_POINT('',#526004); #169485=VERTEX_POINT('',#526006); #169486=VERTEX_POINT('',#526010); #169487=VERTEX_POINT('',#526012); #169488=VERTEX_POINT('',#526016); #169489=VERTEX_POINT('',#526018); #169490=VERTEX_POINT('',#526022); #169491=VERTEX_POINT('',#526024); #169492=VERTEX_POINT('',#526028); #169493=VERTEX_POINT('',#526030); #169494=VERTEX_POINT('',#526034); #169495=VERTEX_POINT('',#526036); #169496=VERTEX_POINT('',#526040); #169497=VERTEX_POINT('',#526042); #169498=VERTEX_POINT('',#526046); #169499=VERTEX_POINT('',#526048); #169500=VERTEX_POINT('',#526052); #169501=VERTEX_POINT('',#526054); #169502=VERTEX_POINT('',#526058); #169503=VERTEX_POINT('',#526060); #169504=VERTEX_POINT('',#526064); #169505=VERTEX_POINT('',#526066); #169506=VERTEX_POINT('',#526070); #169507=VERTEX_POINT('',#526072); #169508=VERTEX_POINT('',#526076); #169509=VERTEX_POINT('',#526078); #169510=VERTEX_POINT('',#526082); #169511=VERTEX_POINT('',#526084); #169512=VERTEX_POINT('',#526088); #169513=VERTEX_POINT('',#526090); #169514=VERTEX_POINT('',#526094); #169515=VERTEX_POINT('',#526096); #169516=VERTEX_POINT('',#526100); #169517=VERTEX_POINT('',#526102); #169518=VERTEX_POINT('',#526106); #169519=VERTEX_POINT('',#526108); #169520=VERTEX_POINT('',#526112); #169521=VERTEX_POINT('',#526114); #169522=VERTEX_POINT('',#526118); #169523=VERTEX_POINT('',#526120); #169524=VERTEX_POINT('',#526124); #169525=VERTEX_POINT('',#526126); #169526=VERTEX_POINT('',#526130); #169527=VERTEX_POINT('',#526132); #169528=VERTEX_POINT('',#526136); #169529=VERTEX_POINT('',#526138); #169530=VERTEX_POINT('',#526142); #169531=VERTEX_POINT('',#526144); #169532=VERTEX_POINT('',#526148); #169533=VERTEX_POINT('',#526150); #169534=VERTEX_POINT('',#526154); #169535=VERTEX_POINT('',#526156); #169536=VERTEX_POINT('',#526160); #169537=VERTEX_POINT('',#526162); #169538=VERTEX_POINT('',#526166); #169539=VERTEX_POINT('',#526168); #169540=VERTEX_POINT('',#526172); #169541=VERTEX_POINT('',#526174); #169542=VERTEX_POINT('',#526178); #169543=VERTEX_POINT('',#526180); #169544=VERTEX_POINT('',#526184); #169545=VERTEX_POINT('',#526186); #169546=VERTEX_POINT('',#526190); #169547=VERTEX_POINT('',#526192); #169548=VERTEX_POINT('',#526196); #169549=VERTEX_POINT('',#526198); #169550=VERTEX_POINT('',#526202); #169551=VERTEX_POINT('',#526204); #169552=VERTEX_POINT('',#526208); #169553=VERTEX_POINT('',#526210); #169554=VERTEX_POINT('',#526214); #169555=VERTEX_POINT('',#526216); #169556=VERTEX_POINT('',#526220); #169557=VERTEX_POINT('',#526222); #169558=VERTEX_POINT('',#526226); #169559=VERTEX_POINT('',#526228); #169560=VERTEX_POINT('',#526232); #169561=VERTEX_POINT('',#526234); #169562=VERTEX_POINT('',#526238); #169563=VERTEX_POINT('',#526240); #169564=VERTEX_POINT('',#526244); #169565=VERTEX_POINT('',#526246); #169566=VERTEX_POINT('',#526250); #169567=VERTEX_POINT('',#526252); #169568=VERTEX_POINT('',#526256); #169569=VERTEX_POINT('',#526258); #169570=VERTEX_POINT('',#526262); #169571=VERTEX_POINT('',#526264); #169572=VERTEX_POINT('',#526268); #169573=VERTEX_POINT('',#526270); #169574=VERTEX_POINT('',#526274); #169575=VERTEX_POINT('',#526276); #169576=VERTEX_POINT('',#526280); #169577=VERTEX_POINT('',#526282); #169578=VERTEX_POINT('',#526286); #169579=VERTEX_POINT('',#526288); #169580=VERTEX_POINT('',#526292); #169581=VERTEX_POINT('',#526294); #169582=VERTEX_POINT('',#526298); #169583=VERTEX_POINT('',#526300); #169584=VERTEX_POINT('',#526304); #169585=VERTEX_POINT('',#526306); #169586=VERTEX_POINT('',#526310); #169587=VERTEX_POINT('',#526312); #169588=VERTEX_POINT('',#526316); #169589=VERTEX_POINT('',#526318); #169590=VERTEX_POINT('',#526322); #169591=VERTEX_POINT('',#526324); #169592=VERTEX_POINT('',#526328); #169593=VERTEX_POINT('',#526330); #169594=VERTEX_POINT('',#526334); #169595=VERTEX_POINT('',#526336); #169596=VERTEX_POINT('',#526340); #169597=VERTEX_POINT('',#526342); #169598=VERTEX_POINT('',#526346); #169599=VERTEX_POINT('',#526348); #169600=VERTEX_POINT('',#526352); #169601=VERTEX_POINT('',#526354); #169602=VERTEX_POINT('',#526358); #169603=VERTEX_POINT('',#526360); #169604=VERTEX_POINT('',#526364); #169605=VERTEX_POINT('',#526366); #169606=VERTEX_POINT('',#526370); #169607=VERTEX_POINT('',#526372); #169608=VERTEX_POINT('',#526376); #169609=VERTEX_POINT('',#526378); #169610=VERTEX_POINT('',#526382); #169611=VERTEX_POINT('',#526384); #169612=VERTEX_POINT('',#526388); #169613=VERTEX_POINT('',#526390); #169614=VERTEX_POINT('',#526394); #169615=VERTEX_POINT('',#526396); #169616=VERTEX_POINT('',#526400); #169617=VERTEX_POINT('',#526402); #169618=VERTEX_POINT('',#526406); #169619=VERTEX_POINT('',#526408); #169620=VERTEX_POINT('',#526412); #169621=VERTEX_POINT('',#526414); #169622=VERTEX_POINT('',#526418); #169623=VERTEX_POINT('',#526420); #169624=VERTEX_POINT('',#526424); #169625=VERTEX_POINT('',#526426); #169626=VERTEX_POINT('',#526430); #169627=VERTEX_POINT('',#526432); #169628=VERTEX_POINT('',#526436); #169629=VERTEX_POINT('',#526438); #169630=VERTEX_POINT('',#526442); #169631=VERTEX_POINT('',#526444); #169632=VERTEX_POINT('',#526448); #169633=VERTEX_POINT('',#526450); #169634=VERTEX_POINT('',#526454); #169635=VERTEX_POINT('',#526456); #169636=VERTEX_POINT('',#526460); #169637=VERTEX_POINT('',#526462); #169638=VERTEX_POINT('',#526466); #169639=VERTEX_POINT('',#526468); #169640=VERTEX_POINT('',#526472); #169641=VERTEX_POINT('',#526474); #169642=VERTEX_POINT('',#526478); #169643=VERTEX_POINT('',#526480); #169644=VERTEX_POINT('',#526484); #169645=VERTEX_POINT('',#526486); #169646=VERTEX_POINT('',#526490); #169647=VERTEX_POINT('',#526492); #169648=VERTEX_POINT('',#526496); #169649=VERTEX_POINT('',#526498); #169650=VERTEX_POINT('',#526502); #169651=VERTEX_POINT('',#526504); #169652=VERTEX_POINT('',#526508); #169653=VERTEX_POINT('',#526510); #169654=VERTEX_POINT('',#526514); #169655=VERTEX_POINT('',#526516); #169656=VERTEX_POINT('',#526520); #169657=VERTEX_POINT('',#526522); #169658=VERTEX_POINT('',#526526); #169659=VERTEX_POINT('',#526528); #169660=VERTEX_POINT('',#526532); #169661=VERTEX_POINT('',#526534); #169662=VERTEX_POINT('',#526538); #169663=VERTEX_POINT('',#526540); #169664=VERTEX_POINT('',#526544); #169665=VERTEX_POINT('',#526546); #169666=VERTEX_POINT('',#526550); #169667=VERTEX_POINT('',#526552); #169668=VERTEX_POINT('',#526556); #169669=VERTEX_POINT('',#526558); #169670=VERTEX_POINT('',#526562); #169671=VERTEX_POINT('',#526564); #169672=VERTEX_POINT('',#526568); #169673=VERTEX_POINT('',#526570); #169674=VERTEX_POINT('',#526574); #169675=VERTEX_POINT('',#526576); #169676=VERTEX_POINT('',#526580); #169677=VERTEX_POINT('',#526582); #169678=VERTEX_POINT('',#526586); #169679=VERTEX_POINT('',#526588); #169680=VERTEX_POINT('',#526592); #169681=VERTEX_POINT('',#526594); #169682=VERTEX_POINT('',#526598); #169683=VERTEX_POINT('',#526600); #169684=VERTEX_POINT('',#526604); #169685=VERTEX_POINT('',#526606); #169686=VERTEX_POINT('',#526610); #169687=VERTEX_POINT('',#526612); #169688=VERTEX_POINT('',#526616); #169689=VERTEX_POINT('',#526618); #169690=VERTEX_POINT('',#526622); #169691=VERTEX_POINT('',#526624); #169692=VERTEX_POINT('',#526628); #169693=VERTEX_POINT('',#526630); #169694=VERTEX_POINT('',#526634); #169695=VERTEX_POINT('',#526636); #169696=VERTEX_POINT('',#526640); #169697=VERTEX_POINT('',#526642); #169698=VERTEX_POINT('',#526646); #169699=VERTEX_POINT('',#526648); #169700=VERTEX_POINT('',#526652); #169701=VERTEX_POINT('',#526654); #169702=VERTEX_POINT('',#526658); #169703=VERTEX_POINT('',#526660); #169704=VERTEX_POINT('',#526664); #169705=VERTEX_POINT('',#526666); #169706=VERTEX_POINT('',#526670); #169707=VERTEX_POINT('',#526672); #169708=VERTEX_POINT('',#526676); #169709=VERTEX_POINT('',#526678); #169710=VERTEX_POINT('',#526682); #169711=VERTEX_POINT('',#526684); #169712=VERTEX_POINT('',#526688); #169713=VERTEX_POINT('',#526690); #169714=VERTEX_POINT('',#526694); #169715=VERTEX_POINT('',#526696); #169716=VERTEX_POINT('',#526700); #169717=VERTEX_POINT('',#526702); #169718=VERTEX_POINT('',#526706); #169719=VERTEX_POINT('',#526708); #169720=VERTEX_POINT('',#526712); #169721=VERTEX_POINT('',#526714); #169722=VERTEX_POINT('',#526718); #169723=VERTEX_POINT('',#526720); #169724=VERTEX_POINT('',#526724); #169725=VERTEX_POINT('',#526726); #169726=VERTEX_POINT('',#526730); #169727=VERTEX_POINT('',#526732); #169728=VERTEX_POINT('',#526736); #169729=VERTEX_POINT('',#526738); #169730=VERTEX_POINT('',#526742); #169731=VERTEX_POINT('',#526744); #169732=VERTEX_POINT('',#526748); #169733=VERTEX_POINT('',#526750); #169734=VERTEX_POINT('',#526754); #169735=VERTEX_POINT('',#526756); #169736=VERTEX_POINT('',#526760); #169737=VERTEX_POINT('',#526762); #169738=VERTEX_POINT('',#526766); #169739=VERTEX_POINT('',#526768); #169740=VERTEX_POINT('',#526772); #169741=VERTEX_POINT('',#526774); #169742=VERTEX_POINT('',#526778); #169743=VERTEX_POINT('',#526780); #169744=VERTEX_POINT('',#526784); #169745=VERTEX_POINT('',#526786); #169746=VERTEX_POINT('',#526790); #169747=VERTEX_POINT('',#526792); #169748=VERTEX_POINT('',#526796); #169749=VERTEX_POINT('',#526798); #169750=VERTEX_POINT('',#526802); #169751=VERTEX_POINT('',#526804); #169752=VERTEX_POINT('',#526808); #169753=VERTEX_POINT('',#526810); #169754=VERTEX_POINT('',#526814); #169755=VERTEX_POINT('',#526816); #169756=VERTEX_POINT('',#526820); #169757=VERTEX_POINT('',#526822); #169758=VERTEX_POINT('',#526826); #169759=VERTEX_POINT('',#526828); #169760=VERTEX_POINT('',#526832); #169761=VERTEX_POINT('',#526834); #169762=VERTEX_POINT('',#526838); #169763=VERTEX_POINT('',#526840); #169764=VERTEX_POINT('',#526844); #169765=VERTEX_POINT('',#526846); #169766=VERTEX_POINT('',#526850); #169767=VERTEX_POINT('',#526852); #169768=VERTEX_POINT('',#526856); #169769=VERTEX_POINT('',#526858); #169770=VERTEX_POINT('',#526862); #169771=VERTEX_POINT('',#526864); #169772=VERTEX_POINT('',#526868); #169773=VERTEX_POINT('',#526870); #169774=VERTEX_POINT('',#526874); #169775=VERTEX_POINT('',#526876); #169776=VERTEX_POINT('',#526880); #169777=VERTEX_POINT('',#526882); #169778=VERTEX_POINT('',#526886); #169779=VERTEX_POINT('',#526888); #169780=VERTEX_POINT('',#526892); #169781=VERTEX_POINT('',#526894); #169782=VERTEX_POINT('',#526898); #169783=VERTEX_POINT('',#526900); #169784=VERTEX_POINT('',#526904); #169785=VERTEX_POINT('',#526906); #169786=VERTEX_POINT('',#526910); #169787=VERTEX_POINT('',#526912); #169788=VERTEX_POINT('',#526916); #169789=VERTEX_POINT('',#526918); #169790=VERTEX_POINT('',#526922); #169791=VERTEX_POINT('',#526924); #169792=VERTEX_POINT('',#526928); #169793=VERTEX_POINT('',#526930); #169794=VERTEX_POINT('',#526934); #169795=VERTEX_POINT('',#526936); #169796=VERTEX_POINT('',#526940); #169797=VERTEX_POINT('',#526942); #169798=VERTEX_POINT('',#526946); #169799=VERTEX_POINT('',#526948); #169800=VERTEX_POINT('',#526952); #169801=VERTEX_POINT('',#526954); #169802=VERTEX_POINT('',#526958); #169803=VERTEX_POINT('',#526960); #169804=VERTEX_POINT('',#526964); #169805=VERTEX_POINT('',#526966); #169806=VERTEX_POINT('',#526970); #169807=VERTEX_POINT('',#526972); #169808=VERTEX_POINT('',#526976); #169809=VERTEX_POINT('',#526978); #169810=VERTEX_POINT('',#526982); #169811=VERTEX_POINT('',#526984); #169812=VERTEX_POINT('',#526988); #169813=VERTEX_POINT('',#526990); #169814=VERTEX_POINT('',#526994); #169815=VERTEX_POINT('',#526996); #169816=VERTEX_POINT('',#527000); #169817=VERTEX_POINT('',#527002); #169818=VERTEX_POINT('',#527006); #169819=VERTEX_POINT('',#527008); #169820=VERTEX_POINT('',#527012); #169821=VERTEX_POINT('',#527014); #169822=VERTEX_POINT('',#527018); #169823=VERTEX_POINT('',#527020); #169824=VERTEX_POINT('',#527024); #169825=VERTEX_POINT('',#527026); #169826=VERTEX_POINT('',#527030); #169827=VERTEX_POINT('',#527032); #169828=VERTEX_POINT('',#527036); #169829=VERTEX_POINT('',#527038); #169830=VERTEX_POINT('',#527042); #169831=VERTEX_POINT('',#527044); #169832=VERTEX_POINT('',#527048); #169833=VERTEX_POINT('',#527050); #169834=VERTEX_POINT('',#527054); #169835=VERTEX_POINT('',#527056); #169836=VERTEX_POINT('',#527060); #169837=VERTEX_POINT('',#527062); #169838=VERTEX_POINT('',#527066); #169839=VERTEX_POINT('',#527068); #169840=VERTEX_POINT('',#527072); #169841=VERTEX_POINT('',#527074); #169842=VERTEX_POINT('',#527078); #169843=VERTEX_POINT('',#527080); #169844=VERTEX_POINT('',#527084); #169845=VERTEX_POINT('',#527086); #169846=VERTEX_POINT('',#527090); #169847=VERTEX_POINT('',#527092); #169848=VERTEX_POINT('',#527096); #169849=VERTEX_POINT('',#527098); #169850=VERTEX_POINT('',#527102); #169851=VERTEX_POINT('',#527104); #169852=VERTEX_POINT('',#527108); #169853=VERTEX_POINT('',#527110); #169854=VERTEX_POINT('',#527114); #169855=VERTEX_POINT('',#527116); #169856=VERTEX_POINT('',#527120); #169857=VERTEX_POINT('',#527122); #169858=VERTEX_POINT('',#527126); #169859=VERTEX_POINT('',#527128); #169860=VERTEX_POINT('',#527132); #169861=VERTEX_POINT('',#527134); #169862=VERTEX_POINT('',#527138); #169863=VERTEX_POINT('',#527140); #169864=VERTEX_POINT('',#527144); #169865=VERTEX_POINT('',#527146); #169866=VERTEX_POINT('',#527150); #169867=VERTEX_POINT('',#527152); #169868=VERTEX_POINT('',#527156); #169869=VERTEX_POINT('',#527158); #169870=VERTEX_POINT('',#527162); #169871=VERTEX_POINT('',#527164); #169872=VERTEX_POINT('',#527168); #169873=VERTEX_POINT('',#527170); #169874=VERTEX_POINT('',#527174); #169875=VERTEX_POINT('',#527176); #169876=VERTEX_POINT('',#527180); #169877=VERTEX_POINT('',#527182); #169878=VERTEX_POINT('',#527186); #169879=VERTEX_POINT('',#527188); #169880=VERTEX_POINT('',#527192); #169881=VERTEX_POINT('',#527194); #169882=VERTEX_POINT('',#527198); #169883=VERTEX_POINT('',#527200); #169884=VERTEX_POINT('',#527204); #169885=VERTEX_POINT('',#527206); #169886=VERTEX_POINT('',#527210); #169887=VERTEX_POINT('',#527212); #169888=VERTEX_POINT('',#527216); #169889=VERTEX_POINT('',#527218); #169890=VERTEX_POINT('',#527222); #169891=VERTEX_POINT('',#527224); #169892=VERTEX_POINT('',#527228); #169893=VERTEX_POINT('',#527230); #169894=VERTEX_POINT('',#527234); #169895=VERTEX_POINT('',#527236); #169896=VERTEX_POINT('',#527240); #169897=VERTEX_POINT('',#527242); #169898=VERTEX_POINT('',#527246); #169899=VERTEX_POINT('',#527248); #169900=VERTEX_POINT('',#527252); #169901=VERTEX_POINT('',#527254); #169902=VERTEX_POINT('',#527258); #169903=VERTEX_POINT('',#527260); #169904=VERTEX_POINT('',#527264); #169905=VERTEX_POINT('',#527266); #169906=VERTEX_POINT('',#527270); #169907=VERTEX_POINT('',#527272); #169908=VERTEX_POINT('',#527276); #169909=VERTEX_POINT('',#527278); #169910=VERTEX_POINT('',#527282); #169911=VERTEX_POINT('',#527284); #169912=VERTEX_POINT('',#527288); #169913=VERTEX_POINT('',#527290); #169914=VERTEX_POINT('',#527294); #169915=VERTEX_POINT('',#527296); #169916=VERTEX_POINT('',#527300); #169917=VERTEX_POINT('',#527302); #169918=VERTEX_POINT('',#527306); #169919=VERTEX_POINT('',#527308); #169920=VERTEX_POINT('',#527312); #169921=VERTEX_POINT('',#527314); #169922=VERTEX_POINT('',#527318); #169923=VERTEX_POINT('',#527320); #169924=VERTEX_POINT('',#527324); #169925=VERTEX_POINT('',#527326); #169926=VERTEX_POINT('',#527330); #169927=VERTEX_POINT('',#527332); #169928=VERTEX_POINT('',#527336); #169929=VERTEX_POINT('',#527338); #169930=VERTEX_POINT('',#527342); #169931=VERTEX_POINT('',#527344); #169932=VERTEX_POINT('',#527348); #169933=VERTEX_POINT('',#527350); #169934=VERTEX_POINT('',#527354); #169935=VERTEX_POINT('',#527356); #169936=VERTEX_POINT('',#527360); #169937=VERTEX_POINT('',#527362); #169938=VERTEX_POINT('',#527366); #169939=VERTEX_POINT('',#527368); #169940=VERTEX_POINT('',#527372); #169941=VERTEX_POINT('',#527374); #169942=VERTEX_POINT('',#527378); #169943=VERTEX_POINT('',#527380); #169944=VERTEX_POINT('',#527384); #169945=VERTEX_POINT('',#527386); #169946=VERTEX_POINT('',#527390); #169947=VERTEX_POINT('',#527392); #169948=VERTEX_POINT('',#527396); #169949=VERTEX_POINT('',#527398); #169950=VERTEX_POINT('',#527402); #169951=VERTEX_POINT('',#527404); #169952=VERTEX_POINT('',#527408); #169953=VERTEX_POINT('',#527410); #169954=VERTEX_POINT('',#527414); #169955=VERTEX_POINT('',#527416); #169956=VERTEX_POINT('',#527420); #169957=VERTEX_POINT('',#527422); #169958=VERTEX_POINT('',#527426); #169959=VERTEX_POINT('',#527428); #169960=VERTEX_POINT('',#527432); #169961=VERTEX_POINT('',#527434); #169962=VERTEX_POINT('',#527438); #169963=VERTEX_POINT('',#527440); #169964=VERTEX_POINT('',#527444); #169965=VERTEX_POINT('',#527446); #169966=VERTEX_POINT('',#527450); #169967=VERTEX_POINT('',#527452); #169968=VERTEX_POINT('',#527456); #169969=VERTEX_POINT('',#527458); #169970=VERTEX_POINT('',#527462); #169971=VERTEX_POINT('',#527464); #169972=VERTEX_POINT('',#527468); #169973=VERTEX_POINT('',#527470); #169974=VERTEX_POINT('',#527474); #169975=VERTEX_POINT('',#527476); #169976=VERTEX_POINT('',#527480); #169977=VERTEX_POINT('',#527482); #169978=VERTEX_POINT('',#527486); #169979=VERTEX_POINT('',#527488); #169980=VERTEX_POINT('',#527492); #169981=VERTEX_POINT('',#527494); #169982=VERTEX_POINT('',#527498); #169983=VERTEX_POINT('',#527500); #169984=VERTEX_POINT('',#527504); #169985=VERTEX_POINT('',#527506); #169986=VERTEX_POINT('',#527510); #169987=VERTEX_POINT('',#527512); #169988=VERTEX_POINT('',#527516); #169989=VERTEX_POINT('',#527518); #169990=VERTEX_POINT('',#527522); #169991=VERTEX_POINT('',#527524); #169992=VERTEX_POINT('',#527528); #169993=VERTEX_POINT('',#527530); #169994=VERTEX_POINT('',#527534); #169995=VERTEX_POINT('',#527536); #169996=VERTEX_POINT('',#527545); #169997=VERTEX_POINT('',#527546); #169998=VERTEX_POINT('',#527548); #169999=VERTEX_POINT('',#527550); #170000=VERTEX_POINT('',#527554); #170001=VERTEX_POINT('',#527556); #170002=VERTEX_POINT('',#527560); #170003=VERTEX_POINT('',#527562); #170004=VERTEX_POINT('',#527564); #170005=VERTEX_POINT('',#527566); #170006=VERTEX_POINT('',#527568); #170007=VERTEX_POINT('',#527570); #170008=VERTEX_POINT('',#527572); #170009=VERTEX_POINT('',#527574); #170010=VERTEX_POINT('',#527576); #170011=VERTEX_POINT('',#527578); #170012=VERTEX_POINT('',#527580); #170013=VERTEX_POINT('',#527582); #170014=VERTEX_POINT('',#527584); #170015=VERTEX_POINT('',#527586); #170016=VERTEX_POINT('',#527588); #170017=VERTEX_POINT('',#527590); #170018=VERTEX_POINT('',#527592); #170019=VERTEX_POINT('',#527594); #170020=VERTEX_POINT('',#527596); #170021=VERTEX_POINT('',#527598); #170022=VERTEX_POINT('',#527600); #170023=VERTEX_POINT('',#527602); #170024=VERTEX_POINT('',#527604); #170025=VERTEX_POINT('',#527608); #170026=VERTEX_POINT('',#527612); #170027=VERTEX_POINT('',#527614); #170028=VERTEX_POINT('',#527618); #170029=VERTEX_POINT('',#527620); #170030=VERTEX_POINT('',#527622); #170031=VERTEX_POINT('',#527624); #170032=VERTEX_POINT('',#527628); #170033=VERTEX_POINT('',#527631); #170034=VERTEX_POINT('',#527633); #170035=VERTEX_POINT('',#527636); #170036=VERTEX_POINT('',#527637); #170037=VERTEX_POINT('',#527639); #170038=VERTEX_POINT('',#527641); #170039=VERTEX_POINT('',#527644); #170040=VERTEX_POINT('',#527645); #170041=VERTEX_POINT('',#527647); #170042=VERTEX_POINT('',#527649); #170043=VERTEX_POINT('',#527652); #170044=VERTEX_POINT('',#527653); #170045=VERTEX_POINT('',#527655); #170046=VERTEX_POINT('',#527657); #170047=VERTEX_POINT('',#527660); #170048=VERTEX_POINT('',#527661); #170049=VERTEX_POINT('',#527663); #170050=VERTEX_POINT('',#527665); #170051=VERTEX_POINT('',#527669); #170052=VERTEX_POINT('',#527670); #170053=VERTEX_POINT('',#527674); #170054=VERTEX_POINT('',#527675); #170055=VERTEX_POINT('',#527677); #170056=VERTEX_POINT('',#527679); #170057=VERTEX_POINT('',#527683); #170058=VERTEX_POINT('',#527684); #170059=VERTEX_POINT('',#527688); #170060=VERTEX_POINT('',#527689); #170061=VERTEX_POINT('',#527691); #170062=VERTEX_POINT('',#527693); #170063=VERTEX_POINT('',#527697); #170064=VERTEX_POINT('',#527698); #170065=VERTEX_POINT('',#527702); #170066=VERTEX_POINT('',#527703); #170067=VERTEX_POINT('',#527705); #170068=VERTEX_POINT('',#527707); #170069=VERTEX_POINT('',#527711); #170070=VERTEX_POINT('',#527712); #170071=VERTEX_POINT('',#527717); #170072=VERTEX_POINT('',#527718); #170073=VERTEX_POINT('',#527723); #170074=VERTEX_POINT('',#527724); #170075=VERTEX_POINT('',#527728); #170076=VERTEX_POINT('',#527729); #170077=VERTEX_POINT('',#527731); #170078=VERTEX_POINT('',#527733); #170079=VERTEX_POINT('',#527737); #170080=VERTEX_POINT('',#527739); #170081=VERTEX_POINT('',#527743); #170082=VERTEX_POINT('',#527745); #170083=VERTEX_POINT('',#527752); #170084=VERTEX_POINT('',#527754); #170085=VERTEX_POINT('',#527765); #170086=VERTEX_POINT('',#527767); #170087=VERTEX_POINT('',#527769); #170088=VERTEX_POINT('',#527782); #170089=VERTEX_POINT('',#527783); #170090=VERTEX_POINT('',#527785); #170091=VERTEX_POINT('',#527787); #170092=VERTEX_POINT('',#527791); #170093=VERTEX_POINT('',#527793); #170094=VERTEX_POINT('',#527797); #170095=VERTEX_POINT('',#527799); #170096=VERTEX_POINT('',#527807); #170097=VERTEX_POINT('',#527809); #170098=VERTEX_POINT('',#527813); #170099=VERTEX_POINT('',#527815); #170100=VERTEX_POINT('',#527823); #170101=VERTEX_POINT('',#527824); #170102=VERTEX_POINT('',#527826); #170103=VERTEX_POINT('',#527828); #170104=VERTEX_POINT('',#527856); #170105=VERTEX_POINT('',#527857); #170106=VERTEX_POINT('',#527859); #170107=VERTEX_POINT('',#527861); #170108=VERTEX_POINT('',#527865); #170109=VERTEX_POINT('',#527867); #170110=VERTEX_POINT('',#527871); #170111=VERTEX_POINT('',#527873); #170112=VERTEX_POINT('',#527881); #170113=VERTEX_POINT('',#527883); #170114=VERTEX_POINT('',#527887); #170115=VERTEX_POINT('',#527889); #170116=VERTEX_POINT('',#527897); #170117=VERTEX_POINT('',#527898); #170118=VERTEX_POINT('',#527900); #170119=VERTEX_POINT('',#527902); #170120=VERTEX_POINT('',#527906); #170121=VERTEX_POINT('',#527907); #170122=VERTEX_POINT('',#527909); #170123=VERTEX_POINT('',#527911); #170124=VERTEX_POINT('',#527915); #170125=VERTEX_POINT('',#527917); #170126=VERTEX_POINT('',#527921); #170127=VERTEX_POINT('',#527923); #170128=VERTEX_POINT('',#527931); #170129=VERTEX_POINT('',#527933); #170130=VERTEX_POINT('',#527937); #170131=VERTEX_POINT('',#527939); #170132=VERTEX_POINT('',#527947); #170133=VERTEX_POINT('',#527948); #170134=VERTEX_POINT('',#527950); #170135=VERTEX_POINT('',#527952); #170136=VERTEX_POINT('',#527956); #170137=VERTEX_POINT('',#527957); #170138=VERTEX_POINT('',#527959); #170139=VERTEX_POINT('',#527961); #170140=VERTEX_POINT('',#527965); #170141=VERTEX_POINT('',#527967); #170142=VERTEX_POINT('',#527971); #170143=VERTEX_POINT('',#527973); #170144=VERTEX_POINT('',#527981); #170145=VERTEX_POINT('',#527983); #170146=VERTEX_POINT('',#527987); #170147=VERTEX_POINT('',#527989); #170148=VERTEX_POINT('',#527997); #170149=VERTEX_POINT('',#527998); #170150=VERTEX_POINT('',#528000); #170151=VERTEX_POINT('',#528002); #170152=VERTEX_POINT('',#528058); #170153=VERTEX_POINT('',#528059); #170154=VERTEX_POINT('',#528061); #170155=VERTEX_POINT('',#528065); #170156=VERTEX_POINT('',#528066); #170157=VERTEX_POINT('',#528068); #170158=VERTEX_POINT('',#528072); #170159=VERTEX_POINT('',#528073); #170160=VERTEX_POINT('',#528075); #170161=VERTEX_POINT('',#528079); #170162=VERTEX_POINT('',#528080); #170163=VERTEX_POINT('',#528082); #170164=VERTEX_POINT('',#528086); #170165=VERTEX_POINT('',#528087); #170166=VERTEX_POINT('',#528089); #170167=VERTEX_POINT('',#528096); #170168=VERTEX_POINT('',#528097); #170169=VERTEX_POINT('',#528099); #170170=VERTEX_POINT('',#528103); #170171=VERTEX_POINT('',#528104); #170172=VERTEX_POINT('',#528106); #170173=VERTEX_POINT('',#528116); #170174=VERTEX_POINT('',#528117); #170175=VERTEX_POINT('',#528119); #170176=VERTEX_POINT('',#528156); #170177=VERTEX_POINT('',#528157); #170178=VERTEX_POINT('',#528159); #170179=VERTEX_POINT('',#528163); #170180=VERTEX_POINT('',#528164); #170181=VERTEX_POINT('',#528166); #170182=VERTEX_POINT('',#528170); #170183=VERTEX_POINT('',#528171); #170184=VERTEX_POINT('',#528173); #170185=VERTEX_POINT('',#528177); #170186=VERTEX_POINT('',#528178); #170187=VERTEX_POINT('',#528180); #170188=VERTEX_POINT('',#528184); #170189=VERTEX_POINT('',#528185); #170190=VERTEX_POINT('',#528187); #170191=VERTEX_POINT('',#528194); #170192=VERTEX_POINT('',#528195); #170193=VERTEX_POINT('',#528197); #170194=VERTEX_POINT('',#528201); #170195=VERTEX_POINT('',#528202); #170196=VERTEX_POINT('',#528204); #170197=VERTEX_POINT('',#528214); #170198=VERTEX_POINT('',#528215); #170199=VERTEX_POINT('',#528217); #170200=VERTEX_POINT('',#528254); #170201=VERTEX_POINT('',#528255); #170202=VERTEX_POINT('',#528257); #170203=VERTEX_POINT('',#528259); #170204=VERTEX_POINT('',#528263); #170205=VERTEX_POINT('',#528265); #170206=VERTEX_POINT('',#528269); #170207=VERTEX_POINT('',#528271); #170208=VERTEX_POINT('',#528280); #170209=VERTEX_POINT('',#528281); #170210=VERTEX_POINT('',#528283); #170211=VERTEX_POINT('',#528285); #170212=VERTEX_POINT('',#528289); #170213=VERTEX_POINT('',#528290); #170214=VERTEX_POINT('',#528292); #170215=VERTEX_POINT('',#528294); #170216=VERTEX_POINT('',#528306); #170217=VERTEX_POINT('',#528307); #170218=VERTEX_POINT('',#528309); #170219=VERTEX_POINT('',#528311); #170220=VERTEX_POINT('',#528315); #170221=VERTEX_POINT('',#528317); #170222=VERTEX_POINT('',#528321); #170223=VERTEX_POINT('',#528323); #170224=VERTEX_POINT('',#528337); #170225=VERTEX_POINT('',#528338); #170226=VERTEX_POINT('',#528340); #170227=VERTEX_POINT('',#528342); #170228=VERTEX_POINT('',#528344); #170229=VERTEX_POINT('',#528346); #170230=VERTEX_POINT('',#528348); #170231=VERTEX_POINT('',#528350); #170232=VERTEX_POINT('',#528352); #170233=VERTEX_POINT('',#528354); #170234=VERTEX_POINT('',#528356); #170235=VERTEX_POINT('',#528358); #170236=VERTEX_POINT('',#528360); #170237=VERTEX_POINT('',#528362); #170238=VERTEX_POINT('',#528364); #170239=VERTEX_POINT('',#528366); #170240=VERTEX_POINT('',#528370); #170241=VERTEX_POINT('',#528371); #170242=VERTEX_POINT('',#528373); #170243=VERTEX_POINT('',#528375); #170244=VERTEX_POINT('',#528379); #170245=VERTEX_POINT('',#528380); #170246=VERTEX_POINT('',#528382); #170247=VERTEX_POINT('',#528384); #170248=VERTEX_POINT('',#528386); #170249=VERTEX_POINT('',#528388); #170250=VERTEX_POINT('',#528390); #170251=VERTEX_POINT('',#528392); #170252=VERTEX_POINT('',#528396); #170253=VERTEX_POINT('',#528397); #170254=VERTEX_POINT('',#528399); #170255=VERTEX_POINT('',#528401); #170256=VERTEX_POINT('',#528403); #170257=VERTEX_POINT('',#528405); #170258=VERTEX_POINT('',#528407); #170259=VERTEX_POINT('',#528409); #170260=VERTEX_POINT('',#528419); #170261=VERTEX_POINT('',#528420); #170262=VERTEX_POINT('',#528422); #170263=VERTEX_POINT('',#528424); #170264=VERTEX_POINT('',#528426); #170265=VERTEX_POINT('',#528428); #170266=VERTEX_POINT('',#528430); #170267=VERTEX_POINT('',#528432); #170268=VERTEX_POINT('',#528434); #170269=VERTEX_POINT('',#528436); #170270=VERTEX_POINT('',#528438); #170271=VERTEX_POINT('',#528440); #170272=VERTEX_POINT('',#528442); #170273=VERTEX_POINT('',#528444); #170274=VERTEX_POINT('',#528446); #170275=VERTEX_POINT('',#528448); #170276=VERTEX_POINT('',#528452); #170277=VERTEX_POINT('',#528454); #170278=VERTEX_POINT('',#528458); #170279=VERTEX_POINT('',#528459); #170280=VERTEX_POINT('',#528461); #170281=VERTEX_POINT('',#528463); #170282=VERTEX_POINT('',#528465); #170283=VERTEX_POINT('',#528467); #170284=VERTEX_POINT('',#528469); #170285=VERTEX_POINT('',#528471); #170286=VERTEX_POINT('',#528473); #170287=VERTEX_POINT('',#528475); #170288=VERTEX_POINT('',#528477); #170289=VERTEX_POINT('',#528479); #170290=VERTEX_POINT('',#528481); #170291=VERTEX_POINT('',#528483); #170292=VERTEX_POINT('',#528485); #170293=VERTEX_POINT('',#528487); #170294=VERTEX_POINT('',#528489); #170295=VERTEX_POINT('',#528491); #170296=VERTEX_POINT('',#528493); #170297=VERTEX_POINT('',#528497); #170298=VERTEX_POINT('',#528499); #170299=VERTEX_POINT('',#528501); #170300=VERTEX_POINT('',#528503); #170301=VERTEX_POINT('',#528507); #170302=VERTEX_POINT('',#528508); #170303=VERTEX_POINT('',#528511); #170304=VERTEX_POINT('',#528513); #170305=VERTEX_POINT('',#528517); #170306=VERTEX_POINT('',#528518); #170307=VERTEX_POINT('',#528524); #170308=VERTEX_POINT('',#528527); #170309=VERTEX_POINT('',#528529); #170310=VERTEX_POINT('',#528536); #170311=VERTEX_POINT('',#528537); #170312=VERTEX_POINT('',#528539); #170313=VERTEX_POINT('',#528541); #170314=VERTEX_POINT('',#528545); #170315=VERTEX_POINT('',#528546); #170316=VERTEX_POINT('',#528548); #170317=VERTEX_POINT('',#528550); #170318=VERTEX_POINT('',#528554); #170319=VERTEX_POINT('',#528555); #170320=VERTEX_POINT('',#528557); #170321=VERTEX_POINT('',#528559); #170322=VERTEX_POINT('',#528563); #170323=VERTEX_POINT('',#528564); #170324=VERTEX_POINT('',#528566); #170325=VERTEX_POINT('',#528568); #170326=VERTEX_POINT('',#528572); #170327=VERTEX_POINT('',#528573); #170328=VERTEX_POINT('',#528575); #170329=VERTEX_POINT('',#528577); #170330=VERTEX_POINT('',#528581); #170331=VERTEX_POINT('',#528582); #170332=VERTEX_POINT('',#528584); #170333=VERTEX_POINT('',#528586); #170334=VERTEX_POINT('',#528590); #170335=VERTEX_POINT('',#528591); #170336=VERTEX_POINT('',#528593); #170337=VERTEX_POINT('',#528595); #170338=VERTEX_POINT('',#528599); #170339=VERTEX_POINT('',#528600); #170340=VERTEX_POINT('',#528602); #170341=VERTEX_POINT('',#528604); #170342=VERTEX_POINT('',#528608); #170343=VERTEX_POINT('',#528609); #170344=VERTEX_POINT('',#528611); #170345=VERTEX_POINT('',#528613); #170346=VERTEX_POINT('',#528617); #170347=VERTEX_POINT('',#528618); #170348=VERTEX_POINT('',#528620); #170349=VERTEX_POINT('',#528622); #170350=VERTEX_POINT('',#528626); #170351=VERTEX_POINT('',#528627); #170352=VERTEX_POINT('',#528629); #170353=VERTEX_POINT('',#528631); #170354=VERTEX_POINT('',#528635); #170355=VERTEX_POINT('',#528636); #170356=VERTEX_POINT('',#528638); #170357=VERTEX_POINT('',#528640); #170358=VERTEX_POINT('',#528644); #170359=VERTEX_POINT('',#528646); #170360=VERTEX_POINT('',#528650); #170361=VERTEX_POINT('',#528652); #170362=VERTEX_POINT('',#528656); #170363=VERTEX_POINT('',#528657); #170364=VERTEX_POINT('',#528659); #170365=VERTEX_POINT('',#528661); #170366=VERTEX_POINT('',#528668); #170367=VERTEX_POINT('',#528670); #170368=VERTEX_POINT('',#528674); #170369=VERTEX_POINT('',#528676); #170370=VERTEX_POINT('',#528680); #170371=VERTEX_POINT('',#528681); #170372=VERTEX_POINT('',#528683); #170373=VERTEX_POINT('',#528685); #170374=VERTEX_POINT('',#528692); #170375=VERTEX_POINT('',#528694); #170376=VERTEX_POINT('',#528698); #170377=VERTEX_POINT('',#528700); #170378=VERTEX_POINT('',#528704); #170379=VERTEX_POINT('',#528705); #170380=VERTEX_POINT('',#528707); #170381=VERTEX_POINT('',#528709); #170382=VERTEX_POINT('',#528716); #170383=VERTEX_POINT('',#528718); #170384=VERTEX_POINT('',#528722); #170385=VERTEX_POINT('',#528724); #170386=VERTEX_POINT('',#528728); #170387=VERTEX_POINT('',#528729); #170388=VERTEX_POINT('',#528731); #170389=VERTEX_POINT('',#528733); #170390=VERTEX_POINT('',#528740); #170391=VERTEX_POINT('',#528742); #170392=VERTEX_POINT('',#528746); #170393=VERTEX_POINT('',#528748); #170394=VERTEX_POINT('',#528752); #170395=VERTEX_POINT('',#528753); #170396=VERTEX_POINT('',#528755); #170397=VERTEX_POINT('',#528757); #170398=VERTEX_POINT('',#528764); #170399=VERTEX_POINT('',#528766); #170400=VERTEX_POINT('',#528770); #170401=VERTEX_POINT('',#528772); #170402=VERTEX_POINT('',#528776); #170403=VERTEX_POINT('',#528777); #170404=VERTEX_POINT('',#528779); #170405=VERTEX_POINT('',#528781); #170406=VERTEX_POINT('',#528788); #170407=VERTEX_POINT('',#528790); #170408=VERTEX_POINT('',#528794); #170409=VERTEX_POINT('',#528796); #170410=VERTEX_POINT('',#528800); #170411=VERTEX_POINT('',#528802); #170412=VERTEX_POINT('',#528806); #170413=VERTEX_POINT('',#528808); #170414=VERTEX_POINT('',#528812); #170415=VERTEX_POINT('',#528813); #170416=VERTEX_POINT('',#528815); #170417=VERTEX_POINT('',#528817); #170418=VERTEX_POINT('',#528824); #170419=VERTEX_POINT('',#528826); #170420=VERTEX_POINT('',#528830); #170421=VERTEX_POINT('',#528832); #170422=VERTEX_POINT('',#528836); #170423=VERTEX_POINT('',#528837); #170424=VERTEX_POINT('',#528839); #170425=VERTEX_POINT('',#528841); #170426=VERTEX_POINT('',#528848); #170427=VERTEX_POINT('',#528850); #170428=VERTEX_POINT('',#528854); #170429=VERTEX_POINT('',#528856); #170430=VERTEX_POINT('',#528860); #170431=VERTEX_POINT('',#528861); #170432=VERTEX_POINT('',#528863); #170433=VERTEX_POINT('',#528865); #170434=VERTEX_POINT('',#528872); #170435=VERTEX_POINT('',#528874); #170436=VERTEX_POINT('',#528878); #170437=VERTEX_POINT('',#528880); #170438=VERTEX_POINT('',#528884); #170439=VERTEX_POINT('',#528885); #170440=VERTEX_POINT('',#528887); #170441=VERTEX_POINT('',#528889); #170442=VERTEX_POINT('',#528896); #170443=VERTEX_POINT('',#528898); #170444=VERTEX_POINT('',#528902); #170445=VERTEX_POINT('',#528904); #170446=VERTEX_POINT('',#528908); #170447=VERTEX_POINT('',#528909); #170448=VERTEX_POINT('',#528911); #170449=VERTEX_POINT('',#528913); #170450=VERTEX_POINT('',#528920); #170451=VERTEX_POINT('',#528922); #170452=VERTEX_POINT('',#528926); #170453=VERTEX_POINT('',#528928); #170454=VERTEX_POINT('',#528932); #170455=VERTEX_POINT('',#528933); #170456=VERTEX_POINT('',#528935); #170457=VERTEX_POINT('',#528940); #170458=VERTEX_POINT('',#528942); #170459=VERTEX_POINT('',#528944); #170460=VERTEX_POINT('',#528946); #170461=VERTEX_POINT('',#528950); #170462=VERTEX_POINT('',#528969); #170463=VERTEX_POINT('',#528971); #170464=VERTEX_POINT('',#528973); #170465=VERTEX_POINT('',#528975); #170466=VERTEX_POINT('',#528979); #170467=VERTEX_POINT('',#528981); #170468=VERTEX_POINT('',#528983); #170469=VERTEX_POINT('',#528985); #170470=VERTEX_POINT('',#528989); #170471=VERTEX_POINT('',#528990); #170472=VERTEX_POINT('',#528992); #170473=VERTEX_POINT('',#528994); #170474=VERTEX_POINT('',#528998); #170475=VERTEX_POINT('',#529000); #170476=VERTEX_POINT('',#529004); #170477=VERTEX_POINT('',#529005); #170478=VERTEX_POINT('',#529007); #170479=VERTEX_POINT('',#529009); #170480=VERTEX_POINT('',#529013); #170481=VERTEX_POINT('',#529014); #170482=VERTEX_POINT('',#529016); #170483=VERTEX_POINT('',#529018); #170484=VERTEX_POINT('',#529020); #170485=VERTEX_POINT('',#529022); #170486=VERTEX_POINT('',#529024); #170487=VERTEX_POINT('',#529026); #170488=VERTEX_POINT('',#529028); #170489=VERTEX_POINT('',#529030); #170490=VERTEX_POINT('',#529032); #170491=VERTEX_POINT('',#529034); #170492=VERTEX_POINT('',#529036); #170493=VERTEX_POINT('',#529038); #170494=VERTEX_POINT('',#529042); #170495=VERTEX_POINT('',#529043); #170496=VERTEX_POINT('',#529045); #170497=VERTEX_POINT('',#529047); #170498=VERTEX_POINT('',#529051); #170499=VERTEX_POINT('',#529053); #170500=VERTEX_POINT('',#529057); #170501=VERTEX_POINT('',#529058); #170502=VERTEX_POINT('',#529060); #170503=VERTEX_POINT('',#529062); #170504=VERTEX_POINT('',#529064); #170505=VERTEX_POINT('',#529066); #170506=VERTEX_POINT('',#529068); #170507=VERTEX_POINT('',#529070); #170508=VERTEX_POINT('',#529072); #170509=VERTEX_POINT('',#529074); #170510=VERTEX_POINT('',#529085); #170511=VERTEX_POINT('',#529088); #170512=VERTEX_POINT('',#529090); #170513=VERTEX_POINT('',#529092); #170514=VERTEX_POINT('',#529094); #170515=VERTEX_POINT('',#529096); #170516=VERTEX_POINT('',#529100); #170517=VERTEX_POINT('',#529101); #170518=VERTEX_POINT('',#529103); #170519=VERTEX_POINT('',#529105); #170520=VERTEX_POINT('',#529118); #170521=VERTEX_POINT('',#529120); #170522=VERTEX_POINT('',#529124); #170523=VERTEX_POINT('',#529126); #170524=VERTEX_POINT('',#529134); #170525=VERTEX_POINT('',#529142); #170526=VERTEX_POINT('',#529145); #170527=VERTEX_POINT('',#529147); #170528=VERTEX_POINT('',#529149); #170529=VERTEX_POINT('',#529152); #170530=VERTEX_POINT('',#529154); #170531=VERTEX_POINT('',#529156); #170532=VERTEX_POINT('',#529160); #170533=VERTEX_POINT('',#529162); #170534=VERTEX_POINT('',#529164); #170535=VERTEX_POINT('',#529166); #170536=VERTEX_POINT('',#529170); #170537=VERTEX_POINT('',#529172); #170538=VERTEX_POINT('',#529176); #170539=VERTEX_POINT('',#529177); #170540=VERTEX_POINT('',#529180); #170541=VERTEX_POINT('',#529186); #170542=VERTEX_POINT('',#529188); #170543=VERTEX_POINT('',#529191); #170544=VERTEX_POINT('',#529193); #170545=VERTEX_POINT('',#529197); #170546=VERTEX_POINT('',#529198); #170547=VERTEX_POINT('',#529200); #170548=VERTEX_POINT('',#529202); #170549=VERTEX_POINT('',#529204); #170550=VERTEX_POINT('',#529206); #170551=VERTEX_POINT('',#529208); #170552=VERTEX_POINT('',#529210); #170553=VERTEX_POINT('',#529213); #170554=VERTEX_POINT('',#529214); #170555=VERTEX_POINT('',#529216); #170556=VERTEX_POINT('',#529218); #170557=VERTEX_POINT('',#529222); #170558=VERTEX_POINT('',#529224); #170559=VERTEX_POINT('',#529228); #170560=VERTEX_POINT('',#529230); #170561=VERTEX_POINT('',#529234); #170562=VERTEX_POINT('',#529236); #170563=VERTEX_POINT('',#529240); #170564=VERTEX_POINT('',#529242); #170565=VERTEX_POINT('',#529246); #170566=VERTEX_POINT('',#529248); #170567=VERTEX_POINT('',#529252); #170568=VERTEX_POINT('',#529253); #170569=VERTEX_POINT('',#529255); #170570=VERTEX_POINT('',#529257); #170571=VERTEX_POINT('',#529261); #170572=VERTEX_POINT('',#529262); #170573=VERTEX_POINT('',#529264); #170574=VERTEX_POINT('',#529266); #170575=VERTEX_POINT('',#529270); #170576=VERTEX_POINT('',#529272); #170577=VERTEX_POINT('',#529279); #170578=VERTEX_POINT('',#529281); #170579=VERTEX_POINT('',#529285); #170580=VERTEX_POINT('',#529287); #170581=VERTEX_POINT('',#529337); #170582=VERTEX_POINT('',#529339); #170583=VERTEX_POINT('',#529347); #170584=VERTEX_POINT('',#529349); #170585=VERTEX_POINT('',#529351); #170586=VERTEX_POINT('',#529354); #170587=VERTEX_POINT('',#529358); #170588=VERTEX_POINT('',#529359); #170589=VERTEX_POINT('',#529361); #170590=VERTEX_POINT('',#529363); #170591=VERTEX_POINT('',#529372); #170592=VERTEX_POINT('',#529374); #170593=VERTEX_POINT('',#529389); #170594=VERTEX_POINT('',#529393); #170595=VERTEX_POINT('',#529395); #170596=VERTEX_POINT('',#529398); #170597=VERTEX_POINT('',#529406); #170598=VERTEX_POINT('',#529408); #170599=VERTEX_POINT('',#529411); #170600=VERTEX_POINT('',#529415); #170601=VERTEX_POINT('',#529418); #170602=VERTEX_POINT('',#529422); #170603=VERTEX_POINT('',#529430); #170604=VERTEX_POINT('',#529434); #170605=VERTEX_POINT('',#529439); #170606=VERTEX_POINT('',#529441); #170607=VERTEX_POINT('',#529504); #170608=VERTEX_POINT('',#529505); #170609=VERTEX_POINT('',#529507); #170610=VERTEX_POINT('',#529510); #170611=VERTEX_POINT('',#529514); #170612=VERTEX_POINT('',#529519); #170613=VERTEX_POINT('',#529521); #170614=VERTEX_POINT('',#529527); #170615=VERTEX_POINT('',#529533); #170616=VERTEX_POINT('',#529535); #170617=VERTEX_POINT('',#529540); #170618=VERTEX_POINT('',#529542); #170619=VERTEX_POINT('',#529544); #170620=VERTEX_POINT('',#529548); #170621=VERTEX_POINT('',#529550); #170622=VERTEX_POINT('',#529552); #170623=VERTEX_POINT('',#529554); #170624=VERTEX_POINT('',#529556); #170625=VERTEX_POINT('',#529558); #170626=VERTEX_POINT('',#529560); #170627=VERTEX_POINT('',#529562); #170628=VERTEX_POINT('',#529564); #170629=VERTEX_POINT('',#529566); #170630=VERTEX_POINT('',#529568); #170631=VERTEX_POINT('',#529570); #170632=VERTEX_POINT('',#529572); #170633=VERTEX_POINT('',#529574); #170634=VERTEX_POINT('',#529578); #170635=VERTEX_POINT('',#529582); #170636=VERTEX_POINT('',#529590); #170637=VERTEX_POINT('',#529594); #170638=VERTEX_POINT('',#529602); #170639=VERTEX_POINT('',#529606); #170640=VERTEX_POINT('',#529610); #170641=VERTEX_POINT('',#529614); #170642=VERTEX_POINT('',#529618); #170643=VERTEX_POINT('',#529622); #170644=VERTEX_POINT('',#529626); #170645=VERTEX_POINT('',#529630); #170646=VERTEX_POINT('',#529634); #170647=VERTEX_POINT('',#529638); #170648=VERTEX_POINT('',#529642); #170649=VERTEX_POINT('',#529646); #170650=VERTEX_POINT('',#529650); #170651=VERTEX_POINT('',#529654); #170652=VERTEX_POINT('',#529660); #170653=VERTEX_POINT('',#529664); #170654=VERTEX_POINT('',#529668); #170655=VERTEX_POINT('',#529672); #170656=VERTEX_POINT('',#529676); #170657=VERTEX_POINT('',#529680); #170658=VERTEX_POINT('',#529684); #170659=VERTEX_POINT('',#529688); #170660=VERTEX_POINT('',#529692); #170661=VERTEX_POINT('',#529696); #170662=VERTEX_POINT('',#529700); #170663=VERTEX_POINT('',#529704); #170664=VERTEX_POINT('',#529708); #170665=VERTEX_POINT('',#529712); #170666=VERTEX_POINT('',#529735); #170667=VERTEX_POINT('',#529736); #170668=VERTEX_POINT('',#529738); #170669=VERTEX_POINT('',#529740); #170670=VERTEX_POINT('',#529744); #170671=VERTEX_POINT('',#529746); #170672=VERTEX_POINT('',#529748); #170673=VERTEX_POINT('',#529750); #170674=VERTEX_POINT('',#529752); #170675=VERTEX_POINT('',#529754); #170676=VERTEX_POINT('',#529756); #170677=VERTEX_POINT('',#529758); #170678=VERTEX_POINT('',#529760); #170679=VERTEX_POINT('',#529762); #170680=VERTEX_POINT('',#529766); #170681=VERTEX_POINT('',#529767); #170682=VERTEX_POINT('',#529769); #170683=VERTEX_POINT('',#529771); #170684=VERTEX_POINT('',#529773); #170685=VERTEX_POINT('',#529775); #170686=VERTEX_POINT('',#529777); #170687=VERTEX_POINT('',#529779); #170688=VERTEX_POINT('',#529781); #170689=VERTEX_POINT('',#529783); #170690=VERTEX_POINT('',#529785); #170691=VERTEX_POINT('',#529787); #170692=VERTEX_POINT('',#529791); #170693=VERTEX_POINT('',#529793); #170694=VERTEX_POINT('',#529797); #170695=VERTEX_POINT('',#529798); #170696=VERTEX_POINT('',#529800); #170697=VERTEX_POINT('',#529803); #170698=VERTEX_POINT('',#529807); #170699=VERTEX_POINT('',#529808); #170700=VERTEX_POINT('',#529813); #170701=VERTEX_POINT('',#529816); #170702=VERTEX_POINT('',#529846); #170703=VERTEX_POINT('',#529847); #170704=VERTEX_POINT('',#529849); #170705=VERTEX_POINT('',#529851); #170706=VERTEX_POINT('',#529855); #170707=VERTEX_POINT('',#529857); #170708=VERTEX_POINT('',#529859); #170709=VERTEX_POINT('',#529861); #170710=VERTEX_POINT('',#529863); #170711=VERTEX_POINT('',#529865); #170712=VERTEX_POINT('',#529867); #170713=VERTEX_POINT('',#529869); #170714=VERTEX_POINT('',#529871); #170715=VERTEX_POINT('',#529873); #170716=VERTEX_POINT('',#529877); #170717=VERTEX_POINT('',#529878); #170718=VERTEX_POINT('',#529880); #170719=VERTEX_POINT('',#529882); #170720=VERTEX_POINT('',#529884); #170721=VERTEX_POINT('',#529886); #170722=VERTEX_POINT('',#529888); #170723=VERTEX_POINT('',#529890); #170724=VERTEX_POINT('',#529892); #170725=VERTEX_POINT('',#529894); #170726=VERTEX_POINT('',#529896); #170727=VERTEX_POINT('',#529898); #170728=VERTEX_POINT('',#529902); #170729=VERTEX_POINT('',#529904); #170730=VERTEX_POINT('',#529908); #170731=VERTEX_POINT('',#529909); #170732=VERTEX_POINT('',#529911); #170733=VERTEX_POINT('',#529914); #170734=VERTEX_POINT('',#529918); #170735=VERTEX_POINT('',#529919); #170736=VERTEX_POINT('',#529924); #170737=VERTEX_POINT('',#529927); #170738=VERTEX_POINT('',#529957); #170739=VERTEX_POINT('',#529958); #170740=VERTEX_POINT('',#529960); #170741=VERTEX_POINT('',#529962); #170742=VERTEX_POINT('',#529966); #170743=VERTEX_POINT('',#529968); #170744=VERTEX_POINT('',#529970); #170745=VERTEX_POINT('',#529972); #170746=VERTEX_POINT('',#529974); #170747=VERTEX_POINT('',#529976); #170748=VERTEX_POINT('',#529978); #170749=VERTEX_POINT('',#529980); #170750=VERTEX_POINT('',#529982); #170751=VERTEX_POINT('',#529984); #170752=VERTEX_POINT('',#529988); #170753=VERTEX_POINT('',#529989); #170754=VERTEX_POINT('',#529991); #170755=VERTEX_POINT('',#529993); #170756=VERTEX_POINT('',#529995); #170757=VERTEX_POINT('',#529997); #170758=VERTEX_POINT('',#529999); #170759=VERTEX_POINT('',#530001); #170760=VERTEX_POINT('',#530003); #170761=VERTEX_POINT('',#530005); #170762=VERTEX_POINT('',#530007); #170763=VERTEX_POINT('',#530009); #170764=VERTEX_POINT('',#530013); #170765=VERTEX_POINT('',#530015); #170766=VERTEX_POINT('',#530019); #170767=VERTEX_POINT('',#530020); #170768=VERTEX_POINT('',#530022); #170769=VERTEX_POINT('',#530025); #170770=VERTEX_POINT('',#530029); #170771=VERTEX_POINT('',#530030); #170772=VERTEX_POINT('',#530035); #170773=VERTEX_POINT('',#530038); #170774=VERTEX_POINT('',#530068); #170775=VERTEX_POINT('',#530069); #170776=VERTEX_POINT('',#530071); #170777=VERTEX_POINT('',#530073); #170778=VERTEX_POINT('',#530077); #170779=VERTEX_POINT('',#530079); #170780=VERTEX_POINT('',#530081); #170781=VERTEX_POINT('',#530083); #170782=VERTEX_POINT('',#530085); #170783=VERTEX_POINT('',#530087); #170784=VERTEX_POINT('',#530089); #170785=VERTEX_POINT('',#530091); #170786=VERTEX_POINT('',#530093); #170787=VERTEX_POINT('',#530095); #170788=VERTEX_POINT('',#530099); #170789=VERTEX_POINT('',#530100); #170790=VERTEX_POINT('',#530102); #170791=VERTEX_POINT('',#530104); #170792=VERTEX_POINT('',#530106); #170793=VERTEX_POINT('',#530108); #170794=VERTEX_POINT('',#530110); #170795=VERTEX_POINT('',#530112); #170796=VERTEX_POINT('',#530114); #170797=VERTEX_POINT('',#530116); #170798=VERTEX_POINT('',#530118); #170799=VERTEX_POINT('',#530120); #170800=VERTEX_POINT('',#530124); #170801=VERTEX_POINT('',#530126); #170802=VERTEX_POINT('',#530130); #170803=VERTEX_POINT('',#530131); #170804=VERTEX_POINT('',#530133); #170805=VERTEX_POINT('',#530136); #170806=VERTEX_POINT('',#530140); #170807=VERTEX_POINT('',#530141); #170808=VERTEX_POINT('',#530146); #170809=VERTEX_POINT('',#530149); #170810=VERTEX_POINT('',#530179); #170811=VERTEX_POINT('',#530180); #170812=VERTEX_POINT('',#530182); #170813=VERTEX_POINT('',#530184); #170814=VERTEX_POINT('',#530188); #170815=VERTEX_POINT('',#530190); #170816=VERTEX_POINT('',#530192); #170817=VERTEX_POINT('',#530194); #170818=VERTEX_POINT('',#530196); #170819=VERTEX_POINT('',#530198); #170820=VERTEX_POINT('',#530200); #170821=VERTEX_POINT('',#530202); #170822=VERTEX_POINT('',#530204); #170823=VERTEX_POINT('',#530206); #170824=VERTEX_POINT('',#530210); #170825=VERTEX_POINT('',#530211); #170826=VERTEX_POINT('',#530213); #170827=VERTEX_POINT('',#530215); #170828=VERTEX_POINT('',#530217); #170829=VERTEX_POINT('',#530219); #170830=VERTEX_POINT('',#530221); #170831=VERTEX_POINT('',#530223); #170832=VERTEX_POINT('',#530225); #170833=VERTEX_POINT('',#530227); #170834=VERTEX_POINT('',#530229); #170835=VERTEX_POINT('',#530231); #170836=VERTEX_POINT('',#530235); #170837=VERTEX_POINT('',#530237); #170838=VERTEX_POINT('',#530241); #170839=VERTEX_POINT('',#530242); #170840=VERTEX_POINT('',#530244); #170841=VERTEX_POINT('',#530247); #170842=VERTEX_POINT('',#530251); #170843=VERTEX_POINT('',#530252); #170844=VERTEX_POINT('',#530257); #170845=VERTEX_POINT('',#530260); #170846=VERTEX_POINT('',#530290); #170847=VERTEX_POINT('',#530291); #170848=VERTEX_POINT('',#530293); #170849=VERTEX_POINT('',#530295); #170850=VERTEX_POINT('',#530299); #170851=VERTEX_POINT('',#530301); #170852=VERTEX_POINT('',#530303); #170853=VERTEX_POINT('',#530305); #170854=VERTEX_POINT('',#530307); #170855=VERTEX_POINT('',#530309); #170856=VERTEX_POINT('',#530311); #170857=VERTEX_POINT('',#530313); #170858=VERTEX_POINT('',#530315); #170859=VERTEX_POINT('',#530317); #170860=VERTEX_POINT('',#530321); #170861=VERTEX_POINT('',#530322); #170862=VERTEX_POINT('',#530324); #170863=VERTEX_POINT('',#530326); #170864=VERTEX_POINT('',#530328); #170865=VERTEX_POINT('',#530330); #170866=VERTEX_POINT('',#530332); #170867=VERTEX_POINT('',#530334); #170868=VERTEX_POINT('',#530336); #170869=VERTEX_POINT('',#530338); #170870=VERTEX_POINT('',#530340); #170871=VERTEX_POINT('',#530342); #170872=VERTEX_POINT('',#530346); #170873=VERTEX_POINT('',#530348); #170874=VERTEX_POINT('',#530352); #170875=VERTEX_POINT('',#530353); #170876=VERTEX_POINT('',#530355); #170877=VERTEX_POINT('',#530358); #170878=VERTEX_POINT('',#530362); #170879=VERTEX_POINT('',#530363); #170880=VERTEX_POINT('',#530368); #170881=VERTEX_POINT('',#530371); #170882=VERTEX_POINT('',#530401); #170883=VERTEX_POINT('',#530402); #170884=VERTEX_POINT('',#530404); #170885=VERTEX_POINT('',#530406); #170886=VERTEX_POINT('',#530410); #170887=VERTEX_POINT('',#530412); #170888=VERTEX_POINT('',#530414); #170889=VERTEX_POINT('',#530416); #170890=VERTEX_POINT('',#530418); #170891=VERTEX_POINT('',#530420); #170892=VERTEX_POINT('',#530422); #170893=VERTEX_POINT('',#530424); #170894=VERTEX_POINT('',#530426); #170895=VERTEX_POINT('',#530428); #170896=VERTEX_POINT('',#530432); #170897=VERTEX_POINT('',#530433); #170898=VERTEX_POINT('',#530435); #170899=VERTEX_POINT('',#530437); #170900=VERTEX_POINT('',#530439); #170901=VERTEX_POINT('',#530441); #170902=VERTEX_POINT('',#530443); #170903=VERTEX_POINT('',#530445); #170904=VERTEX_POINT('',#530447); #170905=VERTEX_POINT('',#530449); #170906=VERTEX_POINT('',#530451); #170907=VERTEX_POINT('',#530453); #170908=VERTEX_POINT('',#530457); #170909=VERTEX_POINT('',#530459); #170910=VERTEX_POINT('',#530463); #170911=VERTEX_POINT('',#530464); #170912=VERTEX_POINT('',#530466); #170913=VERTEX_POINT('',#530469); #170914=VERTEX_POINT('',#530473); #170915=VERTEX_POINT('',#530474); #170916=VERTEX_POINT('',#530479); #170917=VERTEX_POINT('',#530482); #170918=VERTEX_POINT('',#530512); #170919=VERTEX_POINT('',#530513); #170920=VERTEX_POINT('',#530515); #170921=VERTEX_POINT('',#530517); #170922=VERTEX_POINT('',#530521); #170923=VERTEX_POINT('',#530523); #170924=VERTEX_POINT('',#530525); #170925=VERTEX_POINT('',#530527); #170926=VERTEX_POINT('',#530529); #170927=VERTEX_POINT('',#530531); #170928=VERTEX_POINT('',#530533); #170929=VERTEX_POINT('',#530535); #170930=VERTEX_POINT('',#530537); #170931=VERTEX_POINT('',#530539); #170932=VERTEX_POINT('',#530543); #170933=VERTEX_POINT('',#530544); #170934=VERTEX_POINT('',#530546); #170935=VERTEX_POINT('',#530548); #170936=VERTEX_POINT('',#530550); #170937=VERTEX_POINT('',#530552); #170938=VERTEX_POINT('',#530554); #170939=VERTEX_POINT('',#530556); #170940=VERTEX_POINT('',#530558); #170941=VERTEX_POINT('',#530560); #170942=VERTEX_POINT('',#530562); #170943=VERTEX_POINT('',#530564); #170944=VERTEX_POINT('',#530568); #170945=VERTEX_POINT('',#530570); #170946=VERTEX_POINT('',#530574); #170947=VERTEX_POINT('',#530575); #170948=VERTEX_POINT('',#530577); #170949=VERTEX_POINT('',#530580); #170950=VERTEX_POINT('',#530584); #170951=VERTEX_POINT('',#530585); #170952=VERTEX_POINT('',#530590); #170953=VERTEX_POINT('',#530592); #170954=VERTEX_POINT('',#530623); #170955=VERTEX_POINT('',#530624); #170956=VERTEX_POINT('',#530626); #170957=VERTEX_POINT('',#530628); #170958=VERTEX_POINT('',#530632); #170959=VERTEX_POINT('',#530634); #170960=VERTEX_POINT('',#530636); #170961=VERTEX_POINT('',#530638); #170962=VERTEX_POINT('',#530640); #170963=VERTEX_POINT('',#530642); #170964=VERTEX_POINT('',#530644); #170965=VERTEX_POINT('',#530646); #170966=VERTEX_POINT('',#530648); #170967=VERTEX_POINT('',#530650); #170968=VERTEX_POINT('',#530654); #170969=VERTEX_POINT('',#530655); #170970=VERTEX_POINT('',#530657); #170971=VERTEX_POINT('',#530659); #170972=VERTEX_POINT('',#530661); #170973=VERTEX_POINT('',#530663); #170974=VERTEX_POINT('',#530665); #170975=VERTEX_POINT('',#530667); #170976=VERTEX_POINT('',#530669); #170977=VERTEX_POINT('',#530671); #170978=VERTEX_POINT('',#530673); #170979=VERTEX_POINT('',#530675); #170980=VERTEX_POINT('',#530679); #170981=VERTEX_POINT('',#530681); #170982=VERTEX_POINT('',#530685); #170983=VERTEX_POINT('',#530686); #170984=VERTEX_POINT('',#530688); #170985=VERTEX_POINT('',#530691); #170986=VERTEX_POINT('',#530695); #170987=VERTEX_POINT('',#530696); #170988=VERTEX_POINT('',#530701); #170989=VERTEX_POINT('',#530703); #170990=VERTEX_POINT('',#530734); #170991=VERTEX_POINT('',#530735); #170992=VERTEX_POINT('',#530737); #170993=VERTEX_POINT('',#530739); #170994=VERTEX_POINT('',#530743); #170995=VERTEX_POINT('',#530745); #170996=VERTEX_POINT('',#530747); #170997=VERTEX_POINT('',#530749); #170998=VERTEX_POINT('',#530751); #170999=VERTEX_POINT('',#530753); #171000=VERTEX_POINT('',#530755); #171001=VERTEX_POINT('',#530757); #171002=VERTEX_POINT('',#530759); #171003=VERTEX_POINT('',#530761); #171004=VERTEX_POINT('',#530765); #171005=VERTEX_POINT('',#530766); #171006=VERTEX_POINT('',#530768); #171007=VERTEX_POINT('',#530770); #171008=VERTEX_POINT('',#530772); #171009=VERTEX_POINT('',#530774); #171010=VERTEX_POINT('',#530776); #171011=VERTEX_POINT('',#530778); #171012=VERTEX_POINT('',#530780); #171013=VERTEX_POINT('',#530782); #171014=VERTEX_POINT('',#530784); #171015=VERTEX_POINT('',#530786); #171016=VERTEX_POINT('',#530790); #171017=VERTEX_POINT('',#530792); #171018=VERTEX_POINT('',#530796); #171019=VERTEX_POINT('',#530797); #171020=VERTEX_POINT('',#530799); #171021=VERTEX_POINT('',#530802); #171022=VERTEX_POINT('',#530806); #171023=VERTEX_POINT('',#530807); #171024=VERTEX_POINT('',#530812); #171025=VERTEX_POINT('',#530814); #171026=VERTEX_POINT('',#530845); #171027=VERTEX_POINT('',#530846); #171028=VERTEX_POINT('',#530848); #171029=VERTEX_POINT('',#530850); #171030=VERTEX_POINT('',#530854); #171031=VERTEX_POINT('',#530856); #171032=VERTEX_POINT('',#530858); #171033=VERTEX_POINT('',#530860); #171034=VERTEX_POINT('',#530862); #171035=VERTEX_POINT('',#530864); #171036=VERTEX_POINT('',#530866); #171037=VERTEX_POINT('',#530868); #171038=VERTEX_POINT('',#530870); #171039=VERTEX_POINT('',#530872); #171040=VERTEX_POINT('',#530876); #171041=VERTEX_POINT('',#530877); #171042=VERTEX_POINT('',#530879); #171043=VERTEX_POINT('',#530881); #171044=VERTEX_POINT('',#530883); #171045=VERTEX_POINT('',#530885); #171046=VERTEX_POINT('',#530887); #171047=VERTEX_POINT('',#530889); #171048=VERTEX_POINT('',#530891); #171049=VERTEX_POINT('',#530893); #171050=VERTEX_POINT('',#530895); #171051=VERTEX_POINT('',#530897); #171052=VERTEX_POINT('',#530901); #171053=VERTEX_POINT('',#530903); #171054=VERTEX_POINT('',#530907); #171055=VERTEX_POINT('',#530908); #171056=VERTEX_POINT('',#530910); #171057=VERTEX_POINT('',#530913); #171058=VERTEX_POINT('',#530917); #171059=VERTEX_POINT('',#530918); #171060=VERTEX_POINT('',#530923); #171061=VERTEX_POINT('',#530925); #171062=VERTEX_POINT('',#530956); #171063=VERTEX_POINT('',#530957); #171064=VERTEX_POINT('',#530959); #171065=VERTEX_POINT('',#530961); #171066=VERTEX_POINT('',#530965); #171067=VERTEX_POINT('',#530967); #171068=VERTEX_POINT('',#530969); #171069=VERTEX_POINT('',#530971); #171070=VERTEX_POINT('',#530973); #171071=VERTEX_POINT('',#530975); #171072=VERTEX_POINT('',#530977); #171073=VERTEX_POINT('',#530979); #171074=VERTEX_POINT('',#530981); #171075=VERTEX_POINT('',#530983); #171076=VERTEX_POINT('',#530987); #171077=VERTEX_POINT('',#530988); #171078=VERTEX_POINT('',#530990); #171079=VERTEX_POINT('',#530992); #171080=VERTEX_POINT('',#530994); #171081=VERTEX_POINT('',#530996); #171082=VERTEX_POINT('',#530998); #171083=VERTEX_POINT('',#531000); #171084=VERTEX_POINT('',#531002); #171085=VERTEX_POINT('',#531004); #171086=VERTEX_POINT('',#531006); #171087=VERTEX_POINT('',#531008); #171088=VERTEX_POINT('',#531012); #171089=VERTEX_POINT('',#531014); #171090=VERTEX_POINT('',#531018); #171091=VERTEX_POINT('',#531019); #171092=VERTEX_POINT('',#531021); #171093=VERTEX_POINT('',#531024); #171094=VERTEX_POINT('',#531028); #171095=VERTEX_POINT('',#531029); #171096=VERTEX_POINT('',#531034); #171097=VERTEX_POINT('',#531036); #171098=VERTEX_POINT('',#531067); #171099=VERTEX_POINT('',#531068); #171100=VERTEX_POINT('',#531070); #171101=VERTEX_POINT('',#531072); #171102=VERTEX_POINT('',#531076); #171103=VERTEX_POINT('',#531078); #171104=VERTEX_POINT('',#531080); #171105=VERTEX_POINT('',#531082); #171106=VERTEX_POINT('',#531084); #171107=VERTEX_POINT('',#531086); #171108=VERTEX_POINT('',#531088); #171109=VERTEX_POINT('',#531090); #171110=VERTEX_POINT('',#531092); #171111=VERTEX_POINT('',#531094); #171112=VERTEX_POINT('',#531098); #171113=VERTEX_POINT('',#531099); #171114=VERTEX_POINT('',#531101); #171115=VERTEX_POINT('',#531103); #171116=VERTEX_POINT('',#531105); #171117=VERTEX_POINT('',#531107); #171118=VERTEX_POINT('',#531109); #171119=VERTEX_POINT('',#531111); #171120=VERTEX_POINT('',#531113); #171121=VERTEX_POINT('',#531115); #171122=VERTEX_POINT('',#531117); #171123=VERTEX_POINT('',#531119); #171124=VERTEX_POINT('',#531123); #171125=VERTEX_POINT('',#531125); #171126=VERTEX_POINT('',#531129); #171127=VERTEX_POINT('',#531130); #171128=VERTEX_POINT('',#531132); #171129=VERTEX_POINT('',#531135); #171130=VERTEX_POINT('',#531139); #171131=VERTEX_POINT('',#531140); #171132=VERTEX_POINT('',#531145); #171133=VERTEX_POINT('',#531147); #171134=VERTEX_POINT('',#531178); #171135=VERTEX_POINT('',#531179); #171136=VERTEX_POINT('',#531181); #171137=VERTEX_POINT('',#531183); #171138=VERTEX_POINT('',#531187); #171139=VERTEX_POINT('',#531189); #171140=VERTEX_POINT('',#531191); #171141=VERTEX_POINT('',#531193); #171142=VERTEX_POINT('',#531195); #171143=VERTEX_POINT('',#531197); #171144=VERTEX_POINT('',#531199); #171145=VERTEX_POINT('',#531201); #171146=VERTEX_POINT('',#531203); #171147=VERTEX_POINT('',#531205); #171148=VERTEX_POINT('',#531209); #171149=VERTEX_POINT('',#531210); #171150=VERTEX_POINT('',#531212); #171151=VERTEX_POINT('',#531214); #171152=VERTEX_POINT('',#531216); #171153=VERTEX_POINT('',#531218); #171154=VERTEX_POINT('',#531220); #171155=VERTEX_POINT('',#531222); #171156=VERTEX_POINT('',#531224); #171157=VERTEX_POINT('',#531226); #171158=VERTEX_POINT('',#531228); #171159=VERTEX_POINT('',#531230); #171160=VERTEX_POINT('',#531234); #171161=VERTEX_POINT('',#531236); #171162=VERTEX_POINT('',#531240); #171163=VERTEX_POINT('',#531241); #171164=VERTEX_POINT('',#531243); #171165=VERTEX_POINT('',#531246); #171166=VERTEX_POINT('',#531250); #171167=VERTEX_POINT('',#531251); #171168=VERTEX_POINT('',#531256); #171169=VERTEX_POINT('',#531258); #171170=VERTEX_POINT('',#531289); #171171=VERTEX_POINT('',#531290); #171172=VERTEX_POINT('',#531292); #171173=VERTEX_POINT('',#531294); #171174=VERTEX_POINT('',#531298); #171175=VERTEX_POINT('',#531300); #171176=VERTEX_POINT('',#531304); #171177=VERTEX_POINT('',#531306); #171178=VERTEX_POINT('',#531310); #171179=VERTEX_POINT('',#531312); #171180=VERTEX_POINT('',#531316); #171181=VERTEX_POINT('',#531318); #171182=VERTEX_POINT('',#531322); #171183=VERTEX_POINT('',#531324); #171184=VERTEX_POINT('',#531328); #171185=VERTEX_POINT('',#531330); #171186=VERTEX_POINT('',#531334); #171187=VERTEX_POINT('',#531336); #171188=VERTEX_POINT('',#531340); #171189=VERTEX_POINT('',#531342); #171190=VERTEX_POINT('',#531346); #171191=VERTEX_POINT('',#531348); #171192=VERTEX_POINT('',#531352); #171193=VERTEX_POINT('',#531354); #171194=VERTEX_POINT('',#531358); #171195=VERTEX_POINT('',#531360); #171196=VERTEX_POINT('',#531364); #171197=VERTEX_POINT('',#531366); #171198=VERTEX_POINT('',#531370); #171199=VERTEX_POINT('',#531372); #171200=VERTEX_POINT('',#531376); #171201=VERTEX_POINT('',#531378); #171202=VERTEX_POINT('',#531382); #171203=VERTEX_POINT('',#531384); #171204=VERTEX_POINT('',#531388); #171205=VERTEX_POINT('',#531390); #171206=VERTEX_POINT('',#531394); #171207=VERTEX_POINT('',#531396); #171208=VERTEX_POINT('',#531400); #171209=VERTEX_POINT('',#531402); #171210=VERTEX_POINT('',#531406); #171211=VERTEX_POINT('',#531408); #171212=VERTEX_POINT('',#531412); #171213=VERTEX_POINT('',#531414); #171214=VERTEX_POINT('',#531418); #171215=VERTEX_POINT('',#531420); #171216=VERTEX_POINT('',#531424); #171217=VERTEX_POINT('',#531426); #171218=VERTEX_POINT('',#531430); #171219=VERTEX_POINT('',#531432); #171220=VERTEX_POINT('',#531436); #171221=VERTEX_POINT('',#531438); #171222=VERTEX_POINT('',#531442); #171223=VERTEX_POINT('',#531444); #171224=VERTEX_POINT('',#531448); #171225=VERTEX_POINT('',#531450); #171226=VERTEX_POINT('',#531454); #171227=VERTEX_POINT('',#531456); #171228=VERTEX_POINT('',#531460); #171229=VERTEX_POINT('',#531462); #171230=VERTEX_POINT('',#531466); #171231=VERTEX_POINT('',#531468); #171232=VERTEX_POINT('',#531472); #171233=VERTEX_POINT('',#531474); #171234=VERTEX_POINT('',#531478); #171235=VERTEX_POINT('',#531480); #171236=VERTEX_POINT('',#531484); #171237=VERTEX_POINT('',#531486); #171238=VERTEX_POINT('',#531490); #171239=VERTEX_POINT('',#531492); #171240=VERTEX_POINT('',#531496); #171241=VERTEX_POINT('',#531498); #171242=VERTEX_POINT('',#531508); #171243=VERTEX_POINT('',#531509); #171244=VERTEX_POINT('',#531511); #171245=VERTEX_POINT('',#531513); #171246=VERTEX_POINT('',#531517); #171247=VERTEX_POINT('',#531518); #171248=VERTEX_POINT('',#531520); #171249=VERTEX_POINT('',#531522); #171250=VERTEX_POINT('',#531526); #171251=VERTEX_POINT('',#531527); #171252=VERTEX_POINT('',#531529); #171253=VERTEX_POINT('',#531531); #171254=VERTEX_POINT('',#531533); #171255=VERTEX_POINT('',#531535); #171256=VERTEX_POINT('',#531537); #171257=VERTEX_POINT('',#531539); #171258=VERTEX_POINT('',#531541); #171259=VERTEX_POINT('',#531543); #171260=VERTEX_POINT('',#531545); #171261=VERTEX_POINT('',#531547); #171262=VERTEX_POINT('',#531549); #171263=VERTEX_POINT('',#531551); #171264=VERTEX_POINT('',#531553); #171265=VERTEX_POINT('',#531555); #171266=VERTEX_POINT('',#531557); #171267=VERTEX_POINT('',#531559); #171268=VERTEX_POINT('',#531561); #171269=VERTEX_POINT('',#531563); #171270=VERTEX_POINT('',#531565); #171271=VERTEX_POINT('',#531567); #171272=VERTEX_POINT('',#531569); #171273=VERTEX_POINT('',#531571); #171274=VERTEX_POINT('',#531573); #171275=VERTEX_POINT('',#531575); #171276=VERTEX_POINT('',#531577); #171277=VERTEX_POINT('',#531579); #171278=VERTEX_POINT('',#531581); #171279=VERTEX_POINT('',#531583); #171280=VERTEX_POINT('',#531585); #171281=VERTEX_POINT('',#531587); #171282=VERTEX_POINT('',#531589); #171283=VERTEX_POINT('',#531591); #171284=VERTEX_POINT('',#531593); #171285=VERTEX_POINT('',#531595); #171286=VERTEX_POINT('',#531597); #171287=VERTEX_POINT('',#531599); #171288=VERTEX_POINT('',#531601); #171289=VERTEX_POINT('',#531604); #171290=VERTEX_POINT('',#531605); #171291=VERTEX_POINT('',#531607); #171292=VERTEX_POINT('',#531609); #171293=VERTEX_POINT('',#531611); #171294=VERTEX_POINT('',#531613); #171295=VERTEX_POINT('',#531615); #171296=VERTEX_POINT('',#531617); #171297=VERTEX_POINT('',#531621); #171298=VERTEX_POINT('',#531622); #171299=VERTEX_POINT('',#531624); #171300=VERTEX_POINT('',#531626); #171301=VERTEX_POINT('',#531628); #171302=VERTEX_POINT('',#531630); #171303=VERTEX_POINT('',#531632); #171304=VERTEX_POINT('',#531634); #171305=VERTEX_POINT('',#531636); #171306=VERTEX_POINT('',#531638); #171307=VERTEX_POINT('',#531640); #171308=VERTEX_POINT('',#531642); #171309=VERTEX_POINT('',#531644); #171310=VERTEX_POINT('',#531646); #171311=VERTEX_POINT('',#531648); #171312=VERTEX_POINT('',#531650); #171313=VERTEX_POINT('',#531652); #171314=VERTEX_POINT('',#531654); #171315=VERTEX_POINT('',#531656); #171316=VERTEX_POINT('',#531658); #171317=VERTEX_POINT('',#531660); #171318=VERTEX_POINT('',#531662); #171319=VERTEX_POINT('',#531664); #171320=VERTEX_POINT('',#531666); #171321=VERTEX_POINT('',#531668); #171322=VERTEX_POINT('',#531670); #171323=VERTEX_POINT('',#531672); #171324=VERTEX_POINT('',#531674); #171325=VERTEX_POINT('',#531676); #171326=VERTEX_POINT('',#531678); #171327=VERTEX_POINT('',#531680); #171328=VERTEX_POINT('',#531682); #171329=VERTEX_POINT('',#531684); #171330=VERTEX_POINT('',#531686); #171331=VERTEX_POINT('',#531688); #171332=VERTEX_POINT('',#531690); #171333=VERTEX_POINT('',#531692); #171334=VERTEX_POINT('',#531694); #171335=VERTEX_POINT('',#531696); #171336=VERTEX_POINT('',#531699); #171337=VERTEX_POINT('',#531700); #171338=VERTEX_POINT('',#531702); #171339=VERTEX_POINT('',#531704); #171340=VERTEX_POINT('',#531706); #171341=VERTEX_POINT('',#531708); #171342=VERTEX_POINT('',#531710); #171343=VERTEX_POINT('',#531712); #171344=VERTEX_POINT('',#531720); #171345=VERTEX_POINT('',#531724); #171346=VERTEX_POINT('',#531725); #171347=VERTEX_POINT('',#531727); #171348=VERTEX_POINT('',#531729); #171349=VERTEX_POINT('',#531731); #171350=VERTEX_POINT('',#531735); #171351=VERTEX_POINT('',#531736); #171352=VERTEX_POINT('',#531738); #171353=VERTEX_POINT('',#531740); #171354=VERTEX_POINT('',#531742); #171355=VERTEX_POINT('',#531746); #171356=VERTEX_POINT('',#531747); #171357=VERTEX_POINT('',#531750); #171358=VERTEX_POINT('',#531752); #171359=VERTEX_POINT('',#531755); #171360=VERTEX_POINT('',#531757); #171361=VERTEX_POINT('',#531759); #171362=VERTEX_POINT('',#531761); #171363=VERTEX_POINT('',#531764); #171364=VERTEX_POINT('',#531765); #171365=VERTEX_POINT('',#531767); #171366=VERTEX_POINT('',#531769); #171367=VERTEX_POINT('',#531771); #171368=VERTEX_POINT('',#531773); #171369=VERTEX_POINT('',#531775); #171370=VERTEX_POINT('',#531777); #171371=VERTEX_POINT('',#531780); #171372=VERTEX_POINT('',#531781); #171373=VERTEX_POINT('',#531783); #171374=VERTEX_POINT('',#531785); #171375=VERTEX_POINT('',#531787); #171376=VERTEX_POINT('',#531789); #171377=VERTEX_POINT('',#531791); #171378=VERTEX_POINT('',#531793); #171379=VERTEX_POINT('',#531797); #171380=VERTEX_POINT('',#531798); #171381=VERTEX_POINT('',#531800); #171382=VERTEX_POINT('',#531802); #171383=VERTEX_POINT('',#531804); #171384=VERTEX_POINT('',#531806); #171385=VERTEX_POINT('',#531808); #171386=VERTEX_POINT('',#531810); #171387=VERTEX_POINT('',#531812); #171388=VERTEX_POINT('',#531814); #171389=VERTEX_POINT('',#531816); #171390=VERTEX_POINT('',#531818); #171391=VERTEX_POINT('',#531820); #171392=VERTEX_POINT('',#531822); #171393=VERTEX_POINT('',#531824); #171394=VERTEX_POINT('',#531826); #171395=VERTEX_POINT('',#531828); #171396=VERTEX_POINT('',#531830); #171397=VERTEX_POINT('',#531832); #171398=VERTEX_POINT('',#531834); #171399=VERTEX_POINT('',#531836); #171400=VERTEX_POINT('',#531838); #171401=VERTEX_POINT('',#531840); #171402=VERTEX_POINT('',#531842); #171403=VERTEX_POINT('',#531844); #171404=VERTEX_POINT('',#531846); #171405=VERTEX_POINT('',#531848); #171406=VERTEX_POINT('',#531850); #171407=VERTEX_POINT('',#531852); #171408=VERTEX_POINT('',#531854); #171409=VERTEX_POINT('',#531856); #171410=VERTEX_POINT('',#531858); #171411=VERTEX_POINT('',#531860); #171412=VERTEX_POINT('',#531862); #171413=VERTEX_POINT('',#531864); #171414=VERTEX_POINT('',#531866); #171415=VERTEX_POINT('',#531868); #171416=VERTEX_POINT('',#531870); #171417=VERTEX_POINT('',#531872); #171418=VERTEX_POINT('',#531874); #171419=VERTEX_POINT('',#531876); #171420=VERTEX_POINT('',#531878); #171421=VERTEX_POINT('',#531881); #171422=VERTEX_POINT('',#531882); #171423=VERTEX_POINT('',#531884); #171424=VERTEX_POINT('',#531886); #171425=VERTEX_POINT('',#531890); #171426=VERTEX_POINT('',#531891); #171427=VERTEX_POINT('',#531893); #171428=VERTEX_POINT('',#531895); #171429=VERTEX_POINT('',#531897); #171430=VERTEX_POINT('',#531899); #171431=VERTEX_POINT('',#531901); #171432=VERTEX_POINT('',#531903); #171433=VERTEX_POINT('',#531907); #171434=VERTEX_POINT('',#531908); #171435=VERTEX_POINT('',#531911); #171436=VERTEX_POINT('',#531913); #171437=VERTEX_POINT('',#531915); #171438=VERTEX_POINT('',#531917); #171439=VERTEX_POINT('',#531919); #171440=VERTEX_POINT('',#531921); #171441=VERTEX_POINT('',#531923); #171442=VERTEX_POINT('',#531925); #171443=VERTEX_POINT('',#531927); #171444=VERTEX_POINT('',#531929); #171445=VERTEX_POINT('',#531931); #171446=VERTEX_POINT('',#531933); #171447=VERTEX_POINT('',#531935); #171448=VERTEX_POINT('',#531937); #171449=VERTEX_POINT('',#531939); #171450=VERTEX_POINT('',#531941); #171451=VERTEX_POINT('',#531943); #171452=VERTEX_POINT('',#531945); #171453=VERTEX_POINT('',#531947); #171454=VERTEX_POINT('',#531949); #171455=VERTEX_POINT('',#531951); #171456=VERTEX_POINT('',#531953); #171457=VERTEX_POINT('',#531955); #171458=VERTEX_POINT('',#531957); #171459=VERTEX_POINT('',#531959); #171460=VERTEX_POINT('',#531962); #171461=VERTEX_POINT('',#531964); #171462=VERTEX_POINT('',#531966); #171463=VERTEX_POINT('',#531968); #171464=VERTEX_POINT('',#531970); #171465=VERTEX_POINT('',#531972); #171466=VERTEX_POINT('',#531976); #171467=VERTEX_POINT('',#531977); #171468=VERTEX_POINT('',#531979); #171469=VERTEX_POINT('',#531981); #171470=VERTEX_POINT('',#531983); #171471=VERTEX_POINT('',#531985); #171472=VERTEX_POINT('',#531987); #171473=VERTEX_POINT('',#531989); #171474=VERTEX_POINT('',#531993); #171475=VERTEX_POINT('',#531995); #171476=VERTEX_POINT('',#531999); #171477=VERTEX_POINT('',#532001); #171478=VERTEX_POINT('',#532005); #171479=VERTEX_POINT('',#532007); #171480=VERTEX_POINT('',#532011); #171481=VERTEX_POINT('',#532013); #171482=VERTEX_POINT('',#532017); #171483=VERTEX_POINT('',#532018); #171484=VERTEX_POINT('',#532020); #171485=VERTEX_POINT('',#532022); #171486=VERTEX_POINT('',#532024); #171487=VERTEX_POINT('',#532026); #171488=VERTEX_POINT('',#532030); #171489=VERTEX_POINT('',#532032); #171490=VERTEX_POINT('',#532034); #171491=VERTEX_POINT('',#532039); #171492=VERTEX_POINT('',#532040); #171493=VERTEX_POINT('',#532042); #171494=VERTEX_POINT('',#532044); #171495=VERTEX_POINT('',#532046); #171496=VERTEX_POINT('',#532048); #171497=VERTEX_POINT('',#532050); #171498=VERTEX_POINT('',#532052); #171499=VERTEX_POINT('',#532054); #171500=VERTEX_POINT('',#532056); #171501=VERTEX_POINT('',#532058); #171502=VERTEX_POINT('',#532060); #171503=VERTEX_POINT('',#532062); #171504=VERTEX_POINT('',#532064); #171505=VERTEX_POINT('',#532066); #171506=VERTEX_POINT('',#532068); #171507=VERTEX_POINT('',#532070); #171508=VERTEX_POINT('',#532072); #171509=VERTEX_POINT('',#532074); #171510=VERTEX_POINT('',#532076); #171511=VERTEX_POINT('',#532078); #171512=VERTEX_POINT('',#532080); #171513=VERTEX_POINT('',#532082); #171514=VERTEX_POINT('',#532084); #171515=VERTEX_POINT('',#532086); #171516=VERTEX_POINT('',#532088); #171517=VERTEX_POINT('',#532090); #171518=VERTEX_POINT('',#532092); #171519=VERTEX_POINT('',#532094); #171520=VERTEX_POINT('',#532096); #171521=VERTEX_POINT('',#532098); #171522=VERTEX_POINT('',#532100); #171523=VERTEX_POINT('',#532102); #171524=VERTEX_POINT('',#532104); #171525=VERTEX_POINT('',#532106); #171526=VERTEX_POINT('',#532108); #171527=VERTEX_POINT('',#532110); #171528=VERTEX_POINT('',#532112); #171529=VERTEX_POINT('',#532114); #171530=VERTEX_POINT('',#532116); #171531=VERTEX_POINT('',#532118); #171532=VERTEX_POINT('',#532120); #171533=VERTEX_POINT('',#532123); #171534=VERTEX_POINT('',#532124); #171535=VERTEX_POINT('',#532126); #171536=VERTEX_POINT('',#532128); #171537=VERTEX_POINT('',#532132); #171538=VERTEX_POINT('',#532143); #171539=VERTEX_POINT('',#532145); #171540=VERTEX_POINT('',#532149); #171541=VERTEX_POINT('',#532151); #171542=VERTEX_POINT('',#532155); #171543=VERTEX_POINT('',#532156); #171544=VERTEX_POINT('',#532158); #171545=VERTEX_POINT('',#532160); #171546=VERTEX_POINT('',#532162); #171547=VERTEX_POINT('',#532164); #171548=VERTEX_POINT('',#532166); #171549=VERTEX_POINT('',#532168); #171550=VERTEX_POINT('',#532170); #171551=VERTEX_POINT('',#532172); #171552=VERTEX_POINT('',#532174); #171553=VERTEX_POINT('',#532176); #171554=VERTEX_POINT('',#532178); #171555=VERTEX_POINT('',#532180); #171556=VERTEX_POINT('',#532182); #171557=VERTEX_POINT('',#532184); #171558=VERTEX_POINT('',#532186); #171559=VERTEX_POINT('',#532188); #171560=VERTEX_POINT('',#532190); #171561=VERTEX_POINT('',#532192); #171562=VERTEX_POINT('',#532194); #171563=VERTEX_POINT('',#532196); #171564=VERTEX_POINT('',#532198); #171565=VERTEX_POINT('',#532200); #171566=VERTEX_POINT('',#532202); #171567=VERTEX_POINT('',#532204); #171568=VERTEX_POINT('',#532206); #171569=VERTEX_POINT('',#532208); #171570=VERTEX_POINT('',#532210); #171571=VERTEX_POINT('',#532212); #171572=VERTEX_POINT('',#532214); #171573=VERTEX_POINT('',#532216); #171574=VERTEX_POINT('',#532218); #171575=VERTEX_POINT('',#532220); #171576=VERTEX_POINT('',#532222); #171577=VERTEX_POINT('',#532224); #171578=VERTEX_POINT('',#532226); #171579=VERTEX_POINT('',#532228); #171580=VERTEX_POINT('',#532230); #171581=VERTEX_POINT('',#532233); #171582=VERTEX_POINT('',#532234); #171583=VERTEX_POINT('',#532236); #171584=VERTEX_POINT('',#532238); #171585=VERTEX_POINT('',#532240); #171586=VERTEX_POINT('',#532242); #171587=VERTEX_POINT('',#532246); #171588=VERTEX_POINT('',#532248); #171589=VERTEX_POINT('',#532250); #171590=VERTEX_POINT('',#532252); #171591=VERTEX_POINT('',#532256); #171592=VERTEX_POINT('',#532258); #171593=VERTEX_POINT('',#532261); #171594=VERTEX_POINT('',#532263); #171595=VERTEX_POINT('',#532265); #171596=VERTEX_POINT('',#532267); #171597=VERTEX_POINT('',#532269); #171598=VERTEX_POINT('',#532271); #171599=VERTEX_POINT('',#532274); #171600=VERTEX_POINT('',#532276); #171601=VERTEX_POINT('',#532278); #171602=VERTEX_POINT('',#532280); #171603=VERTEX_POINT('',#532282); #171604=VERTEX_POINT('',#532284); #171605=VERTEX_POINT('',#532286); #171606=VERTEX_POINT('',#532288); #171607=VERTEX_POINT('',#532290); #171608=VERTEX_POINT('',#532292); #171609=VERTEX_POINT('',#532294); #171610=VERTEX_POINT('',#532296); #171611=VERTEX_POINT('',#532298); #171612=VERTEX_POINT('',#532300); #171613=VERTEX_POINT('',#532302); #171614=VERTEX_POINT('',#532304); #171615=VERTEX_POINT('',#532306); #171616=VERTEX_POINT('',#532308); #171617=VERTEX_POINT('',#532310); #171618=VERTEX_POINT('',#532312); #171619=VERTEX_POINT('',#532314); #171620=VERTEX_POINT('',#532316); #171621=VERTEX_POINT('',#532318); #171622=VERTEX_POINT('',#532320); #171623=VERTEX_POINT('',#532322); #171624=VERTEX_POINT('',#532325); #171625=VERTEX_POINT('',#532327); #171626=VERTEX_POINT('',#532330); #171627=VERTEX_POINT('',#532332); #171628=VERTEX_POINT('',#532339); #171629=VERTEX_POINT('',#532345); #171630=VERTEX_POINT('',#532358); #171631=VERTEX_POINT('',#532360); #171632=VERTEX_POINT('',#532364); #171633=VERTEX_POINT('',#532369); #171634=VERTEX_POINT('',#532371); #171635=VERTEX_POINT('',#532373); #171636=VERTEX_POINT('',#532396); #171637=VERTEX_POINT('',#532400); #171638=VERTEX_POINT('',#532403); #171639=VERTEX_POINT('',#532407); #171640=VERTEX_POINT('',#532411); #171641=VERTEX_POINT('',#532413); #171642=VERTEX_POINT('',#532415); #171643=VERTEX_POINT('',#532417); #171644=VERTEX_POINT('',#532419); #171645=VERTEX_POINT('',#532421); #171646=VERTEX_POINT('',#532423); #171647=VERTEX_POINT('',#532425); #171648=VERTEX_POINT('',#532428); #171649=VERTEX_POINT('',#532430); #171650=VERTEX_POINT('',#532432); #171651=VERTEX_POINT('',#532440); #171652=VERTEX_POINT('',#532446); #171653=VERTEX_POINT('',#532460); #171654=VERTEX_POINT('',#532462); #171655=VERTEX_POINT('',#532464); #171656=VERTEX_POINT('',#532466); #171657=VERTEX_POINT('',#532468); #171658=VERTEX_POINT('',#532470); #171659=VERTEX_POINT('',#532472); #171660=VERTEX_POINT('',#532474); #171661=VERTEX_POINT('',#532476); #171662=VERTEX_POINT('',#532478); #171663=VERTEX_POINT('',#532480); #171664=VERTEX_POINT('',#532482); #171665=VERTEX_POINT('',#532484); #171666=VERTEX_POINT('',#532486); #171667=VERTEX_POINT('',#532489); #171668=VERTEX_POINT('',#532491); #171669=VERTEX_POINT('',#532493); #171670=VERTEX_POINT('',#532495); #171671=VERTEX_POINT('',#532497); #171672=VERTEX_POINT('',#532499); #171673=VERTEX_POINT('',#532501); #171674=VERTEX_POINT('',#532503); #171675=VERTEX_POINT('',#532505); #171676=VERTEX_POINT('',#532507); #171677=VERTEX_POINT('',#532509); #171678=VERTEX_POINT('',#532511); #171679=VERTEX_POINT('',#532513); #171680=VERTEX_POINT('',#532515); #171681=VERTEX_POINT('',#532517); #171682=VERTEX_POINT('',#532519); #171683=VERTEX_POINT('',#532521); #171684=VERTEX_POINT('',#532523); #171685=VERTEX_POINT('',#532526); #171686=VERTEX_POINT('',#532527); #171687=VERTEX_POINT('',#532529); #171688=VERTEX_POINT('',#532531); #171689=VERTEX_POINT('',#532533); #171690=VERTEX_POINT('',#532535); #171691=VERTEX_POINT('',#532547); #171692=VERTEX_POINT('',#532551); #171693=VERTEX_POINT('',#532554); #171694=VERTEX_POINT('',#532556); #171695=VERTEX_POINT('',#532559); #171696=VERTEX_POINT('',#532561); #171697=VERTEX_POINT('',#532564); #171698=VERTEX_POINT('',#532566); #171699=VERTEX_POINT('',#532569); #171700=VERTEX_POINT('',#532571); #171701=VERTEX_POINT('',#532582); #171702=VERTEX_POINT('',#532588); #171703=VERTEX_POINT('',#532601); #171704=VERTEX_POINT('',#532605); #171705=VERTEX_POINT('',#532614); #171706=VERTEX_POINT('',#532616); #171707=VERTEX_POINT('',#532618); #171708=VERTEX_POINT('',#532620); #171709=VERTEX_POINT('',#532651); #171710=VERTEX_POINT('',#532738); #171711=VERTEX_POINT('',#532796); #171712=VERTEX_POINT('',#532798); #171713=VERTEX_POINT('',#532800); #171714=VERTEX_POINT('',#532802); #171715=VERTEX_POINT('',#532804); #171716=VERTEX_POINT('',#532806); #171717=VERTEX_POINT('',#532809); #171718=VERTEX_POINT('',#532811); #171719=VERTEX_POINT('',#532813); #171720=VERTEX_POINT('',#532815); #171721=VERTEX_POINT('',#532817); #171722=VERTEX_POINT('',#532819); #171723=VERTEX_POINT('',#532823); #171724=VERTEX_POINT('',#532824); #171725=VERTEX_POINT('',#532826); #171726=VERTEX_POINT('',#532828); #171727=VERTEX_POINT('',#532830); #171728=VERTEX_POINT('',#532832); #171729=VERTEX_POINT('',#532835); #171730=VERTEX_POINT('',#532837); #171731=VERTEX_POINT('',#532839); #171732=VERTEX_POINT('',#532841); #171733=VERTEX_POINT('',#532843); #171734=VERTEX_POINT('',#532845); #171735=VERTEX_POINT('',#532884); #171736=VERTEX_POINT('',#532886); #171737=VERTEX_POINT('',#532888); #171738=VERTEX_POINT('',#532890); #171739=VERTEX_POINT('',#532892); #171740=VERTEX_POINT('',#532894); #171741=VERTEX_POINT('',#532897); #171742=VERTEX_POINT('',#532899); #171743=VERTEX_POINT('',#532901); #171744=VERTEX_POINT('',#532903); #171745=VERTEX_POINT('',#532905); #171746=VERTEX_POINT('',#532907); #171747=VERTEX_POINT('',#532911); #171748=VERTEX_POINT('',#532912); #171749=VERTEX_POINT('',#532914); #171750=VERTEX_POINT('',#532916); #171751=VERTEX_POINT('',#532918); #171752=VERTEX_POINT('',#532920); #171753=VERTEX_POINT('',#532923); #171754=VERTEX_POINT('',#532925); #171755=VERTEX_POINT('',#532927); #171756=VERTEX_POINT('',#532929); #171757=VERTEX_POINT('',#532931); #171758=VERTEX_POINT('',#532933); #171759=VERTEX_POINT('',#532964); #171760=VERTEX_POINT('',#532965); #171761=VERTEX_POINT('',#532967); #171762=VERTEX_POINT('',#532969); #171763=VERTEX_POINT('',#532973); #171764=VERTEX_POINT('',#532975); #171765=VERTEX_POINT('',#532979); #171766=VERTEX_POINT('',#532981); #171767=VERTEX_POINT('',#532988); #171768=VERTEX_POINT('',#532992); #171769=VERTEX_POINT('',#532993); #171770=VERTEX_POINT('',#533052); #171771=VERTEX_POINT('',#533053); #171772=VERTEX_POINT('',#533058); #171773=VERTEX_POINT('',#533059); #171774=VERTEX_POINT('',#533073); #171775=VERTEX_POINT('',#533075); #171776=VERTEX_POINT('',#533079); #171777=VERTEX_POINT('',#533081); #171778=VERTEX_POINT('',#533144); #171779=VERTEX_POINT('',#533168); #171780=VERTEX_POINT('',#533170); #171781=VERTEX_POINT('',#533172); #171782=VERTEX_POINT('',#533174); #171783=VERTEX_POINT('',#533176); #171784=VERTEX_POINT('',#533178); #171785=VERTEX_POINT('',#533181); #171786=VERTEX_POINT('',#533183); #171787=VERTEX_POINT('',#533185); #171788=VERTEX_POINT('',#533187); #171789=VERTEX_POINT('',#533189); #171790=VERTEX_POINT('',#533191); #171791=VERTEX_POINT('',#533195); #171792=VERTEX_POINT('',#533196); #171793=VERTEX_POINT('',#533198); #171794=VERTEX_POINT('',#533200); #171795=VERTEX_POINT('',#533202); #171796=VERTEX_POINT('',#533204); #171797=VERTEX_POINT('',#533207); #171798=VERTEX_POINT('',#533209); #171799=VERTEX_POINT('',#533211); #171800=VERTEX_POINT('',#533213); #171801=VERTEX_POINT('',#533215); #171802=VERTEX_POINT('',#533217); #171803=VERTEX_POINT('',#533256); #171804=VERTEX_POINT('',#533258); #171805=VERTEX_POINT('',#533260); #171806=VERTEX_POINT('',#533262); #171807=VERTEX_POINT('',#533264); #171808=VERTEX_POINT('',#533266); #171809=VERTEX_POINT('',#533269); #171810=VERTEX_POINT('',#533271); #171811=VERTEX_POINT('',#533273); #171812=VERTEX_POINT('',#533275); #171813=VERTEX_POINT('',#533277); #171814=VERTEX_POINT('',#533279); #171815=VERTEX_POINT('',#533283); #171816=VERTEX_POINT('',#533284); #171817=VERTEX_POINT('',#533286); #171818=VERTEX_POINT('',#533288); #171819=VERTEX_POINT('',#533290); #171820=VERTEX_POINT('',#533292); #171821=VERTEX_POINT('',#533295); #171822=VERTEX_POINT('',#533297); #171823=VERTEX_POINT('',#533299); #171824=VERTEX_POINT('',#533301); #171825=VERTEX_POINT('',#533303); #171826=VERTEX_POINT('',#533305); #171827=VERTEX_POINT('',#533336); #171828=VERTEX_POINT('',#533337); #171829=VERTEX_POINT('',#533339); #171830=VERTEX_POINT('',#533341); #171831=VERTEX_POINT('',#533345); #171832=VERTEX_POINT('',#533347); #171833=VERTEX_POINT('',#533354); #171834=VERTEX_POINT('',#533355); #171835=VERTEX_POINT('',#533360); #171836=VERTEX_POINT('',#533362); #171837=VERTEX_POINT('',#533364); #171838=VERTEX_POINT('',#533424); #171839=VERTEX_POINT('',#533426); #171840=VERTEX_POINT('',#533430); #171841=VERTEX_POINT('',#533431); #171842=VERTEX_POINT('',#533445); #171843=VERTEX_POINT('',#533447); #171844=VERTEX_POINT('',#533451); #171845=VERTEX_POINT('',#533453); #171846=VERTEX_POINT('',#533462); #171847=VERTEX_POINT('',#533463); #171848=VERTEX_POINT('',#533465); #171849=VERTEX_POINT('',#533467); #171850=VERTEX_POINT('',#533471); #171851=VERTEX_POINT('',#533473); #171852=VERTEX_POINT('',#533477); #171853=VERTEX_POINT('',#533478); #171854=VERTEX_POINT('',#533480); #171855=VERTEX_POINT('',#533482); #171856=VERTEX_POINT('',#533486); #171857=VERTEX_POINT('',#533488); #171858=VERTEX_POINT('',#533492); #171859=VERTEX_POINT('',#533494); #171860=VERTEX_POINT('',#533498); #171861=VERTEX_POINT('',#533500); #171862=VERTEX_POINT('',#533504); #171863=VERTEX_POINT('',#533506); #171864=VERTEX_POINT('',#533510); #171865=VERTEX_POINT('',#533512); #171866=VERTEX_POINT('',#533516); #171867=VERTEX_POINT('',#533518); #171868=VERTEX_POINT('',#533522); #171869=VERTEX_POINT('',#533524); #171870=VERTEX_POINT('',#533528); #171871=VERTEX_POINT('',#533530); #171872=VERTEX_POINT('',#533534); #171873=VERTEX_POINT('',#533536); #171874=VERTEX_POINT('',#533540); #171875=VERTEX_POINT('',#533542); #171876=VERTEX_POINT('',#533549); #171877=VERTEX_POINT('',#533550); #171878=VERTEX_POINT('',#533552); #171879=VERTEX_POINT('',#533554); #171880=VERTEX_POINT('',#533558); #171881=VERTEX_POINT('',#533560); #171882=VERTEX_POINT('',#533564); #171883=VERTEX_POINT('',#533566); #171884=VERTEX_POINT('',#533570); #171885=VERTEX_POINT('',#533572); #171886=VERTEX_POINT('',#533576); #171887=VERTEX_POINT('',#533578); #171888=VERTEX_POINT('',#533582); #171889=VERTEX_POINT('',#533584); #171890=VERTEX_POINT('',#533588); #171891=VERTEX_POINT('',#533590); #171892=VERTEX_POINT('',#533594); #171893=VERTEX_POINT('',#533596); #171894=VERTEX_POINT('',#533600); #171895=VERTEX_POINT('',#533602); #171896=VERTEX_POINT('',#533606); #171897=VERTEX_POINT('',#533608); #171898=VERTEX_POINT('',#533612); #171899=VERTEX_POINT('',#533614); #171900=VERTEX_POINT('',#533621); #171901=VERTEX_POINT('',#533622); #171902=VERTEX_POINT('',#533624); #171903=VERTEX_POINT('',#533626); #171904=VERTEX_POINT('',#533630); #171905=VERTEX_POINT('',#533631); #171906=VERTEX_POINT('',#533643); #171907=VERTEX_POINT('',#533644); #171908=VERTEX_POINT('',#533646); #171909=VERTEX_POINT('',#533651); #171910=VERTEX_POINT('',#533653); #171911=VERTEX_POINT('',#533680); #171912=VERTEX_POINT('',#533681); #171913=VERTEX_POINT('',#533731); #171914=VERTEX_POINT('',#533733); #171915=VERTEX_POINT('',#533736); #171916=VERTEX_POINT('',#533740); #171917=VERTEX_POINT('',#533742); #171918=VERTEX_POINT('',#533746); #171919=VERTEX_POINT('',#533750); #171920=VERTEX_POINT('',#533754); #171921=VERTEX_POINT('',#533758); #171922=VERTEX_POINT('',#533759); #171923=VERTEX_POINT('',#533761); #171924=VERTEX_POINT('',#533763); #171925=VERTEX_POINT('',#533768); #171926=VERTEX_POINT('',#533772); #171927=VERTEX_POINT('',#533787); #171928=VERTEX_POINT('',#533788); #171929=VERTEX_POINT('',#533790); #171930=VERTEX_POINT('',#533819); #171931=VERTEX_POINT('',#533841); #171932=VERTEX_POINT('',#533843); #171933=VERTEX_POINT('',#533857); #171934=VERTEX_POINT('',#533858); #171935=VERTEX_POINT('',#533864); #171936=VERTEX_POINT('',#533880); #171937=VERTEX_POINT('',#533884); #171938=VERTEX_POINT('',#533885); #171939=VERTEX_POINT('',#533887); #171940=VERTEX_POINT('',#533889); #171941=VERTEX_POINT('',#533893); #171942=VERTEX_POINT('',#533894); #171943=VERTEX_POINT('',#533896); #171944=VERTEX_POINT('',#533898); #171945=VERTEX_POINT('',#533902); #171946=VERTEX_POINT('',#533906); #171947=VERTEX_POINT('',#533907); #171948=VERTEX_POINT('',#533909); #171949=VERTEX_POINT('',#533911); #171950=VERTEX_POINT('',#533915); #171951=VERTEX_POINT('',#533917); #171952=VERTEX_POINT('',#533921); #171953=VERTEX_POINT('',#533923); #171954=VERTEX_POINT('',#533930); #171955=VERTEX_POINT('',#533934); #171956=VERTEX_POINT('',#533936); #171957=VERTEX_POINT('',#533940); #171958=VERTEX_POINT('',#533942); #171959=VERTEX_POINT('',#533946); #171960=VERTEX_POINT('',#533948); #171961=VERTEX_POINT('',#533952); #171962=VERTEX_POINT('',#533954); #171963=VERTEX_POINT('',#533958); #171964=VERTEX_POINT('',#533960); #171965=VERTEX_POINT('',#533964); #171966=VERTEX_POINT('',#533966); #171967=VERTEX_POINT('',#533970); #171968=VERTEX_POINT('',#533975); #171969=VERTEX_POINT('',#533979); #171970=VERTEX_POINT('',#533981); #171971=VERTEX_POINT('',#533985); #171972=VERTEX_POINT('',#533987); #171973=VERTEX_POINT('',#533991); #171974=VERTEX_POINT('',#533993); #171975=VERTEX_POINT('',#533997); #171976=VERTEX_POINT('',#533999); #171977=VERTEX_POINT('',#534003); #171978=VERTEX_POINT('',#534008); #171979=VERTEX_POINT('',#534012); #171980=VERTEX_POINT('',#534014); #171981=VERTEX_POINT('',#534018); #171982=VERTEX_POINT('',#534020); #171983=VERTEX_POINT('',#534024); #171984=VERTEX_POINT('',#534026); #171985=VERTEX_POINT('',#534030); #171986=VERTEX_POINT('',#534032); #171987=VERTEX_POINT('',#534036); #171988=VERTEX_POINT('',#534038); #171989=VERTEX_POINT('',#534042); #171990=VERTEX_POINT('',#534044); #171991=VERTEX_POINT('',#534049); #171992=VERTEX_POINT('',#534055); #171993=VERTEX_POINT('',#534057); #171994=VERTEX_POINT('',#534061); #171995=VERTEX_POINT('',#534063); #171996=VERTEX_POINT('',#534067); #171997=VERTEX_POINT('',#534069); #171998=VERTEX_POINT('',#534073); #171999=VERTEX_POINT('',#534075); #172000=VERTEX_POINT('',#534079); #172001=VERTEX_POINT('',#534080); #172002=VERTEX_POINT('',#534082); #172003=VERTEX_POINT('',#534084); #172004=VERTEX_POINT('',#534088); #172005=VERTEX_POINT('',#534090); #172006=VERTEX_POINT('',#534094); #172007=VERTEX_POINT('',#534096); #172008=VERTEX_POINT('',#534100); #172009=VERTEX_POINT('',#534102); #172010=VERTEX_POINT('',#534104); #172011=VERTEX_POINT('',#534106); #172012=VERTEX_POINT('',#534110); #172013=VERTEX_POINT('',#534112); #172014=VERTEX_POINT('',#534115); #172015=VERTEX_POINT('',#534119); #172016=VERTEX_POINT('',#534120); #172017=VERTEX_POINT('',#534125); #172018=VERTEX_POINT('',#534126); #172019=VERTEX_POINT('',#534132); #172020=VERTEX_POINT('',#534136); #172021=VERTEX_POINT('',#534138); #172022=VERTEX_POINT('',#534153); #172023=VERTEX_POINT('',#534155); #172024=VERTEX_POINT('',#534159); #172025=VERTEX_POINT('',#534161); #172026=VERTEX_POINT('',#534240); #172027=VERTEX_POINT('',#534248); #172028=VERTEX_POINT('',#534258); #172029=VERTEX_POINT('',#534260); #172030=VERTEX_POINT('',#534333); #172031=VERTEX_POINT('',#534334); #172032=VERTEX_POINT('',#534336); #172033=VERTEX_POINT('',#534338); #172034=VERTEX_POINT('',#534342); #172035=VERTEX_POINT('',#534344); #172036=VERTEX_POINT('',#534346); #172037=VERTEX_POINT('',#534348); #172038=VERTEX_POINT('',#534350); #172039=VERTEX_POINT('',#534352); #172040=VERTEX_POINT('',#534354); #172041=VERTEX_POINT('',#534356); #172042=VERTEX_POINT('',#534358); #172043=VERTEX_POINT('',#534360); #172044=VERTEX_POINT('',#534364); #172045=VERTEX_POINT('',#534365); #172046=VERTEX_POINT('',#534367); #172047=VERTEX_POINT('',#534369); #172048=VERTEX_POINT('',#534371); #172049=VERTEX_POINT('',#534373); #172050=VERTEX_POINT('',#534375); #172051=VERTEX_POINT('',#534377); #172052=VERTEX_POINT('',#534379); #172053=VERTEX_POINT('',#534381); #172054=VERTEX_POINT('',#534383); #172055=VERTEX_POINT('',#534385); #172056=VERTEX_POINT('',#534389); #172057=VERTEX_POINT('',#534391); #172058=VERTEX_POINT('',#534395); #172059=VERTEX_POINT('',#534396); #172060=VERTEX_POINT('',#534398); #172061=VERTEX_POINT('',#534401); #172062=VERTEX_POINT('',#534405); #172063=VERTEX_POINT('',#534406); #172064=VERTEX_POINT('',#534411); #172065=VERTEX_POINT('',#534414); #172066=VERTEX_POINT('',#534466); #172067=VERTEX_POINT('',#534467); #172068=VERTEX_POINT('',#534469); #172069=VERTEX_POINT('',#534471); #172070=VERTEX_POINT('',#534473); #172071=VERTEX_POINT('',#534475); #172072=VERTEX_POINT('',#534477); #172073=VERTEX_POINT('',#534479); #172074=VERTEX_POINT('',#534481); #172075=VERTEX_POINT('',#534483); #172076=VERTEX_POINT('',#534485); #172077=VERTEX_POINT('',#534487); #172078=VERTEX_POINT('',#534491); #172079=VERTEX_POINT('',#534492); #172080=VERTEX_POINT('',#534494); #172081=VERTEX_POINT('',#534496); #172082=VERTEX_POINT('',#534500); #172083=VERTEX_POINT('',#534501); #172084=VERTEX_POINT('',#534503); #172085=VERTEX_POINT('',#534505); #172086=VERTEX_POINT('',#534509); #172087=VERTEX_POINT('',#534510); #172088=VERTEX_POINT('',#534512); #172089=VERTEX_POINT('',#534514); #172090=VERTEX_POINT('',#534517); #172091=VERTEX_POINT('',#534518); #172092=VERTEX_POINT('',#534520); #172093=VERTEX_POINT('',#534522); #172094=VERTEX_POINT('',#534526); #172095=VERTEX_POINT('',#534527); #172096=VERTEX_POINT('',#534529); #172097=VERTEX_POINT('',#534531); #172098=VERTEX_POINT('',#534534); #172099=VERTEX_POINT('',#534535); #172100=VERTEX_POINT('',#534537); #172101=VERTEX_POINT('',#534539); #172102=VERTEX_POINT('',#534543); #172103=VERTEX_POINT('',#534544); #172104=VERTEX_POINT('',#534546); #172105=VERTEX_POINT('',#534548); #172106=VERTEX_POINT('',#534551); #172107=VERTEX_POINT('',#534552); #172108=VERTEX_POINT('',#534554); #172109=VERTEX_POINT('',#534556); #172110=VERTEX_POINT('',#534559); #172111=VERTEX_POINT('',#534560); #172112=VERTEX_POINT('',#534562); #172113=VERTEX_POINT('',#534564); #172114=VERTEX_POINT('',#534568); #172115=VERTEX_POINT('',#534571); #172116=VERTEX_POINT('',#534575); #172117=VERTEX_POINT('',#534577); #172118=VERTEX_POINT('',#534582); #172119=VERTEX_POINT('',#534586); #172120=VERTEX_POINT('',#534589); #172121=VERTEX_POINT('',#534593); #172122=VERTEX_POINT('',#534598); #172123=VERTEX_POINT('',#534602); #172124=VERTEX_POINT('',#534603); #172125=VERTEX_POINT('',#534605); #172126=VERTEX_POINT('',#534607); #172127=VERTEX_POINT('',#534609); #172128=VERTEX_POINT('',#534611); #172129=VERTEX_POINT('',#534616); #172130=VERTEX_POINT('',#534617); #172131=VERTEX_POINT('',#534619); #172132=VERTEX_POINT('',#534625); #172133=VERTEX_POINT('',#534627); #172134=VERTEX_POINT('',#534629); #172135=VERTEX_POINT('',#534632); #172136=VERTEX_POINT('',#534636); #172137=VERTEX_POINT('',#534637); #172138=VERTEX_POINT('',#534639); #172139=VERTEX_POINT('',#534641); #172140=VERTEX_POINT('',#534643); #172141=VERTEX_POINT('',#534645); #172142=VERTEX_POINT('',#534647); #172143=VERTEX_POINT('',#534653); #172144=VERTEX_POINT('',#534655); #172145=VERTEX_POINT('',#534657); #172146=VERTEX_POINT('',#534662); #172147=VERTEX_POINT('',#534663); #172148=VERTEX_POINT('',#534665); #172149=VERTEX_POINT('',#534667); #172150=VERTEX_POINT('',#534669); #172151=VERTEX_POINT('',#534671); #172152=VERTEX_POINT('',#534673); #172153=VERTEX_POINT('',#534676); #172154=VERTEX_POINT('',#534679); #172155=VERTEX_POINT('',#534682); #172156=VERTEX_POINT('',#534684); #172157=VERTEX_POINT('',#534686); #172158=VERTEX_POINT('',#534690); #172159=VERTEX_POINT('',#534692); #172160=VERTEX_POINT('',#534694); #172161=VERTEX_POINT('',#534696); #172162=VERTEX_POINT('',#534700); #172163=VERTEX_POINT('',#534704); #172164=VERTEX_POINT('',#534705); #172165=VERTEX_POINT('',#534708); #172166=VERTEX_POINT('',#534737); #172167=VERTEX_POINT('',#534739); #172168=VERTEX_POINT('',#534743); #172169=VERTEX_POINT('',#534747); #172170=VERTEX_POINT('',#534753); #172171=VERTEX_POINT('',#534754); #172172=VERTEX_POINT('',#534756); #172173=VERTEX_POINT('',#534758); #172174=VERTEX_POINT('',#534770); #172175=VERTEX_POINT('',#534772); #172176=VERTEX_POINT('',#534776); #172177=VERTEX_POINT('',#534780); #172178=VERTEX_POINT('',#534786); #172179=VERTEX_POINT('',#534787); #172180=VERTEX_POINT('',#534789); #172181=VERTEX_POINT('',#534791); #172182=VERTEX_POINT('',#534803); #172183=VERTEX_POINT('',#534805); #172184=VERTEX_POINT('',#534809); #172185=VERTEX_POINT('',#534810); #172186=VERTEX_POINT('',#534812); #172187=VERTEX_POINT('',#534814); #172188=VERTEX_POINT('',#534818); #172189=VERTEX_POINT('',#534820); #172190=VERTEX_POINT('',#534836); #172191=VERTEX_POINT('',#534838); #172192=VERTEX_POINT('',#534842); #172193=VERTEX_POINT('',#534843); #172194=VERTEX_POINT('',#534845); #172195=VERTEX_POINT('',#534847); #172196=VERTEX_POINT('',#534851); #172197=VERTEX_POINT('',#534853); #172198=VERTEX_POINT('',#534889); #172199=VERTEX_POINT('',#534890); #172200=VERTEX_POINT('',#534892); #172201=VERTEX_POINT('',#534896); #172202=VERTEX_POINT('',#534897); #172203=VERTEX_POINT('',#534899); #172204=VERTEX_POINT('',#534903); #172205=VERTEX_POINT('',#534904); #172206=VERTEX_POINT('',#534906); #172207=VERTEX_POINT('',#534910); #172208=VERTEX_POINT('',#534911); #172209=VERTEX_POINT('',#534913); #172210=VERTEX_POINT('',#534917); #172211=VERTEX_POINT('',#534918); #172212=VERTEX_POINT('',#534920); #172213=VERTEX_POINT('',#534927); #172214=VERTEX_POINT('',#534928); #172215=VERTEX_POINT('',#534930); #172216=VERTEX_POINT('',#534934); #172217=VERTEX_POINT('',#534935); #172218=VERTEX_POINT('',#534937); #172219=VERTEX_POINT('',#534947); #172220=VERTEX_POINT('',#534948); #172221=VERTEX_POINT('',#534950); #172222=VERTEX_POINT('',#534987); #172223=VERTEX_POINT('',#534988); #172224=VERTEX_POINT('',#534990); #172225=VERTEX_POINT('',#534994); #172226=VERTEX_POINT('',#534995); #172227=VERTEX_POINT('',#534997); #172228=VERTEX_POINT('',#535001); #172229=VERTEX_POINT('',#535002); #172230=VERTEX_POINT('',#535004); #172231=VERTEX_POINT('',#535008); #172232=VERTEX_POINT('',#535009); #172233=VERTEX_POINT('',#535011); #172234=VERTEX_POINT('',#535015); #172235=VERTEX_POINT('',#535016); #172236=VERTEX_POINT('',#535018); #172237=VERTEX_POINT('',#535025); #172238=VERTEX_POINT('',#535026); #172239=VERTEX_POINT('',#535028); #172240=VERTEX_POINT('',#535032); #172241=VERTEX_POINT('',#535033); #172242=VERTEX_POINT('',#535035); #172243=VERTEX_POINT('',#535045); #172244=VERTEX_POINT('',#535046); #172245=VERTEX_POINT('',#535048); #172246=VERTEX_POINT('',#535085); #172247=VERTEX_POINT('',#535086); #172248=VERTEX_POINT('',#535088); #172249=VERTEX_POINT('',#535090); #172250=VERTEX_POINT('',#535094); #172251=VERTEX_POINT('',#535096); #172252=VERTEX_POINT('',#535100); #172253=VERTEX_POINT('',#535102); #172254=VERTEX_POINT('',#535114); #172255=VERTEX_POINT('',#535115); #172256=VERTEX_POINT('',#535117); #172257=VERTEX_POINT('',#535121); #172258=VERTEX_POINT('',#535122); #172259=VERTEX_POINT('',#535124); #172260=VERTEX_POINT('',#535128); #172261=VERTEX_POINT('',#535129); #172262=VERTEX_POINT('',#535131); #172263=VERTEX_POINT('',#535135); #172264=VERTEX_POINT('',#535136); #172265=VERTEX_POINT('',#535138); #172266=VERTEX_POINT('',#535142); #172267=VERTEX_POINT('',#535143); #172268=VERTEX_POINT('',#535145); #172269=VERTEX_POINT('',#535152); #172270=VERTEX_POINT('',#535153); #172271=VERTEX_POINT('',#535155); #172272=VERTEX_POINT('',#535159); #172273=VERTEX_POINT('',#535160); #172274=VERTEX_POINT('',#535162); #172275=VERTEX_POINT('',#535172); #172276=VERTEX_POINT('',#535173); #172277=VERTEX_POINT('',#535175); #172278=VERTEX_POINT('',#535212); #172279=VERTEX_POINT('',#535213); #172280=VERTEX_POINT('',#535215); #172281=VERTEX_POINT('',#535219); #172282=VERTEX_POINT('',#535220); #172283=VERTEX_POINT('',#535222); #172284=VERTEX_POINT('',#535226); #172285=VERTEX_POINT('',#535227); #172286=VERTEX_POINT('',#535229); #172287=VERTEX_POINT('',#535233); #172288=VERTEX_POINT('',#535234); #172289=VERTEX_POINT('',#535236); #172290=VERTEX_POINT('',#535240); #172291=VERTEX_POINT('',#535241); #172292=VERTEX_POINT('',#535243); #172293=VERTEX_POINT('',#535250); #172294=VERTEX_POINT('',#535251); #172295=VERTEX_POINT('',#535253); #172296=VERTEX_POINT('',#535257); #172297=VERTEX_POINT('',#535258); #172298=VERTEX_POINT('',#535260); #172299=VERTEX_POINT('',#535270); #172300=VERTEX_POINT('',#535271); #172301=VERTEX_POINT('',#535273); #172302=VERTEX_POINT('',#535310); #172303=VERTEX_POINT('',#535311); #172304=VERTEX_POINT('',#535313); #172305=VERTEX_POINT('',#535315); #172306=VERTEX_POINT('',#535319); #172307=VERTEX_POINT('',#535321); #172308=VERTEX_POINT('',#535325); #172309=VERTEX_POINT('',#535327); #172310=VERTEX_POINT('',#535339); #172311=VERTEX_POINT('',#535340); #172312=VERTEX_POINT('',#535342); #172313=VERTEX_POINT('',#535346); #172314=VERTEX_POINT('',#535347); #172315=VERTEX_POINT('',#535349); #172316=VERTEX_POINT('',#535353); #172317=VERTEX_POINT('',#535354); #172318=VERTEX_POINT('',#535356); #172319=VERTEX_POINT('',#535360); #172320=VERTEX_POINT('',#535361); #172321=VERTEX_POINT('',#535363); #172322=VERTEX_POINT('',#535367); #172323=VERTEX_POINT('',#535368); #172324=VERTEX_POINT('',#535370); #172325=VERTEX_POINT('',#535377); #172326=VERTEX_POINT('',#535378); #172327=VERTEX_POINT('',#535380); #172328=VERTEX_POINT('',#535384); #172329=VERTEX_POINT('',#535385); #172330=VERTEX_POINT('',#535387); #172331=VERTEX_POINT('',#535397); #172332=VERTEX_POINT('',#535398); #172333=VERTEX_POINT('',#535400); #172334=VERTEX_POINT('',#535437); #172335=VERTEX_POINT('',#535438); #172336=VERTEX_POINT('',#535440); #172337=VERTEX_POINT('',#535444); #172338=VERTEX_POINT('',#535445); #172339=VERTEX_POINT('',#535447); #172340=VERTEX_POINT('',#535451); #172341=VERTEX_POINT('',#535452); #172342=VERTEX_POINT('',#535454); #172343=VERTEX_POINT('',#535458); #172344=VERTEX_POINT('',#535459); #172345=VERTEX_POINT('',#535461); #172346=VERTEX_POINT('',#535465); #172347=VERTEX_POINT('',#535466); #172348=VERTEX_POINT('',#535468); #172349=VERTEX_POINT('',#535475); #172350=VERTEX_POINT('',#535476); #172351=VERTEX_POINT('',#535478); #172352=VERTEX_POINT('',#535482); #172353=VERTEX_POINT('',#535483); #172354=VERTEX_POINT('',#535485); #172355=VERTEX_POINT('',#535495); #172356=VERTEX_POINT('',#535496); #172357=VERTEX_POINT('',#535498); #172358=VERTEX_POINT('',#535535); #172359=VERTEX_POINT('',#535536); #172360=VERTEX_POINT('',#535538); #172361=VERTEX_POINT('',#535540); #172362=VERTEX_POINT('',#535544); #172363=VERTEX_POINT('',#535546); #172364=VERTEX_POINT('',#535550); #172365=VERTEX_POINT('',#535552); #172366=VERTEX_POINT('',#535564); #172367=VERTEX_POINT('',#535566); #172368=VERTEX_POINT('',#535571); #172369=VERTEX_POINT('',#535574); #172370=VERTEX_POINT('',#535578); #172371=VERTEX_POINT('',#535582); #172372=VERTEX_POINT('',#535586); #172373=VERTEX_POINT('',#535590); #172374=VERTEX_POINT('',#535594); #172375=VERTEX_POINT('',#535598); #172376=VERTEX_POINT('',#535603); #172377=VERTEX_POINT('',#535604); #172378=VERTEX_POINT('',#535606); #172379=VERTEX_POINT('',#535608); #172380=VERTEX_POINT('',#535610); #172381=VERTEX_POINT('',#535612); #172382=VERTEX_POINT('',#535614); #172383=VERTEX_POINT('',#535616); #172384=VERTEX_POINT('',#535618); #172385=VERTEX_POINT('',#535620); #172386=VERTEX_POINT('',#535622); #172387=VERTEX_POINT('',#535624); #172388=VERTEX_POINT('',#535626); #172389=VERTEX_POINT('',#535628); #172390=VERTEX_POINT('',#535630); #172391=VERTEX_POINT('',#535632); #172392=VERTEX_POINT('',#535636); #172393=VERTEX_POINT('',#535638); #172394=VERTEX_POINT('',#535642); #172395=VERTEX_POINT('',#535646); #172396=VERTEX_POINT('',#535650); #172397=VERTEX_POINT('',#535654); #172398=VERTEX_POINT('',#535658); #172399=VERTEX_POINT('',#535662); #172400=VERTEX_POINT('',#535666); #172401=VERTEX_POINT('',#535670); #172402=VERTEX_POINT('',#535674); #172403=VERTEX_POINT('',#535678); #172404=VERTEX_POINT('',#535682); #172405=VERTEX_POINT('',#535686); #172406=VERTEX_POINT('',#535690); #172407=VERTEX_POINT('',#535694); #172408=VERTEX_POINT('',#535701); #172409=VERTEX_POINT('',#535703); #172410=VERTEX_POINT('',#535709); #172411=VERTEX_POINT('',#535711); #172412=VERTEX_POINT('',#535717); #172413=VERTEX_POINT('',#535718); #172414=VERTEX_POINT('',#535720); #172415=VERTEX_POINT('',#535722); #172416=VERTEX_POINT('',#535726); #172417=VERTEX_POINT('',#535728); #172418=VERTEX_POINT('',#535732); #172419=VERTEX_POINT('',#535736); #172420=VERTEX_POINT('',#535743); #172421=VERTEX_POINT('',#535744); #172422=VERTEX_POINT('',#535746); #172423=VERTEX_POINT('',#535748); #172424=VERTEX_POINT('',#535752); #172425=VERTEX_POINT('',#535754); #172426=VERTEX_POINT('',#535758); #172427=VERTEX_POINT('',#535762); #172428=VERTEX_POINT('',#535769); #172429=VERTEX_POINT('',#535770); #172430=VERTEX_POINT('',#535772); #172431=VERTEX_POINT('',#535774); #172432=VERTEX_POINT('',#535778); #172433=VERTEX_POINT('',#535780); #172434=VERTEX_POINT('',#535784); #172435=VERTEX_POINT('',#535788); #172436=VERTEX_POINT('',#535795); #172437=VERTEX_POINT('',#535796); #172438=VERTEX_POINT('',#535798); #172439=VERTEX_POINT('',#535800); #172440=VERTEX_POINT('',#535804); #172441=VERTEX_POINT('',#535806); #172442=VERTEX_POINT('',#535810); #172443=VERTEX_POINT('',#535814); #172444=VERTEX_POINT('',#535821); #172445=VERTEX_POINT('',#535822); #172446=VERTEX_POINT('',#535824); #172447=VERTEX_POINT('',#535826); #172448=VERTEX_POINT('',#535830); #172449=VERTEX_POINT('',#535832); #172450=VERTEX_POINT('',#535836); #172451=VERTEX_POINT('',#535840); #172452=VERTEX_POINT('',#535847); #172453=VERTEX_POINT('',#535848); #172454=VERTEX_POINT('',#535850); #172455=VERTEX_POINT('',#535852); #172456=VERTEX_POINT('',#535856); #172457=VERTEX_POINT('',#535858); #172458=VERTEX_POINT('',#535862); #172459=VERTEX_POINT('',#535866); #172460=VERTEX_POINT('',#535873); #172461=VERTEX_POINT('',#535874); #172462=VERTEX_POINT('',#535876); #172463=VERTEX_POINT('',#535878); #172464=VERTEX_POINT('',#535882); #172465=VERTEX_POINT('',#535884); #172466=VERTEX_POINT('',#535888); #172467=VERTEX_POINT('',#535892); #172468=VERTEX_POINT('',#535899); #172469=VERTEX_POINT('',#535900); #172470=VERTEX_POINT('',#535902); #172471=VERTEX_POINT('',#535904); #172472=VERTEX_POINT('',#535908); #172473=VERTEX_POINT('',#535910); #172474=VERTEX_POINT('',#535914); #172475=VERTEX_POINT('',#535918); #172476=VERTEX_POINT('',#535925); #172477=VERTEX_POINT('',#535926); #172478=VERTEX_POINT('',#535928); #172479=VERTEX_POINT('',#535930); #172480=VERTEX_POINT('',#535934); #172481=VERTEX_POINT('',#535936); #172482=VERTEX_POINT('',#535940); #172483=VERTEX_POINT('',#535944); #172484=VERTEX_POINT('',#535954); #172485=VERTEX_POINT('',#535956); #172486=VERTEX_POINT('',#535961); #172487=VERTEX_POINT('',#535962); #172488=VERTEX_POINT('',#535964); #172489=VERTEX_POINT('',#535966); #172490=VERTEX_POINT('',#535970); #172491=VERTEX_POINT('',#535972); #172492=VERTEX_POINT('',#535976); #172493=VERTEX_POINT('',#535978); #172494=VERTEX_POINT('',#535985); #172495=VERTEX_POINT('',#535987); #172496=VERTEX_POINT('',#535991); #172497=VERTEX_POINT('',#535996); #172498=VERTEX_POINT('',#536003); #172499=VERTEX_POINT('',#536004); #172500=VERTEX_POINT('',#536006); #172501=VERTEX_POINT('',#536008); #172502=VERTEX_POINT('',#536012); #172503=VERTEX_POINT('',#536014); #172504=VERTEX_POINT('',#536018); #172505=VERTEX_POINT('',#536020); #172506=VERTEX_POINT('',#536029); #172507=VERTEX_POINT('',#536030); #172508=VERTEX_POINT('',#536032); #172509=VERTEX_POINT('',#536034); #172510=VERTEX_POINT('',#536038); #172511=VERTEX_POINT('',#536040); #172512=VERTEX_POINT('',#536044); #172513=VERTEX_POINT('',#536046); #172514=VERTEX_POINT('',#536050); #172515=VERTEX_POINT('',#536052); #172516=VERTEX_POINT('',#536056); #172517=VERTEX_POINT('',#536058); #172518=VERTEX_POINT('',#536067); #172519=VERTEX_POINT('',#536068); #172520=VERTEX_POINT('',#536070); #172521=VERTEX_POINT('',#536072); #172522=VERTEX_POINT('',#536076); #172523=VERTEX_POINT('',#536078); #172524=VERTEX_POINT('',#536082); #172525=VERTEX_POINT('',#536084); #172526=VERTEX_POINT('',#536088); #172527=VERTEX_POINT('',#536090); #172528=VERTEX_POINT('',#536094); #172529=VERTEX_POINT('',#536096); #172530=VERTEX_POINT('',#536105); #172531=VERTEX_POINT('',#536106); #172532=VERTEX_POINT('',#536108); #172533=VERTEX_POINT('',#536110); #172534=VERTEX_POINT('',#536114); #172535=VERTEX_POINT('',#536116); #172536=VERTEX_POINT('',#536120); #172537=VERTEX_POINT('',#536122); #172538=VERTEX_POINT('',#536126); #172539=VERTEX_POINT('',#536128); #172540=VERTEX_POINT('',#536132); #172541=VERTEX_POINT('',#536134); #172542=VERTEX_POINT('',#536143); #172543=VERTEX_POINT('',#536144); #172544=VERTEX_POINT('',#536146); #172545=VERTEX_POINT('',#536148); #172546=VERTEX_POINT('',#536152); #172547=VERTEX_POINT('',#536154); #172548=VERTEX_POINT('',#536158); #172549=VERTEX_POINT('',#536160); #172550=VERTEX_POINT('',#536164); #172551=VERTEX_POINT('',#536166); #172552=VERTEX_POINT('',#536170); #172553=VERTEX_POINT('',#536172); #172554=VERTEX_POINT('',#536181); #172555=VERTEX_POINT('',#536182); #172556=VERTEX_POINT('',#536184); #172557=VERTEX_POINT('',#536186); #172558=VERTEX_POINT('',#536190); #172559=VERTEX_POINT('',#536192); #172560=VERTEX_POINT('',#536196); #172561=VERTEX_POINT('',#536198); #172562=VERTEX_POINT('',#536202); #172563=VERTEX_POINT('',#536204); #172564=VERTEX_POINT('',#536208); #172565=VERTEX_POINT('',#536210); #172566=VERTEX_POINT('',#536219); #172567=VERTEX_POINT('',#536220); #172568=VERTEX_POINT('',#536222); #172569=VERTEX_POINT('',#536224); #172570=VERTEX_POINT('',#536228); #172571=VERTEX_POINT('',#536230); #172572=VERTEX_POINT('',#536234); #172573=VERTEX_POINT('',#536236); #172574=VERTEX_POINT('',#536240); #172575=VERTEX_POINT('',#536242); #172576=VERTEX_POINT('',#536246); #172577=VERTEX_POINT('',#536248); #172578=VERTEX_POINT('',#536257); #172579=VERTEX_POINT('',#536258); #172580=VERTEX_POINT('',#536260); #172581=VERTEX_POINT('',#536262); #172582=VERTEX_POINT('',#536266); #172583=VERTEX_POINT('',#536268); #172584=VERTEX_POINT('',#536272); #172585=VERTEX_POINT('',#536274); #172586=VERTEX_POINT('',#536278); #172587=VERTEX_POINT('',#536280); #172588=VERTEX_POINT('',#536284); #172589=VERTEX_POINT('',#536286); #172590=VERTEX_POINT('',#536295); #172591=VERTEX_POINT('',#536296); #172592=VERTEX_POINT('',#536298); #172593=VERTEX_POINT('',#536300); #172594=VERTEX_POINT('',#536304); #172595=VERTEX_POINT('',#536306); #172596=VERTEX_POINT('',#536310); #172597=VERTEX_POINT('',#536312); #172598=VERTEX_POINT('',#536316); #172599=VERTEX_POINT('',#536318); #172600=VERTEX_POINT('',#536322); #172601=VERTEX_POINT('',#536324); #172602=VERTEX_POINT('',#536333); #172603=VERTEX_POINT('',#536334); #172604=VERTEX_POINT('',#536336); #172605=VERTEX_POINT('',#536338); #172606=VERTEX_POINT('',#536342); #172607=VERTEX_POINT('',#536344); #172608=VERTEX_POINT('',#536348); #172609=VERTEX_POINT('',#536350); #172610=VERTEX_POINT('',#536359); #172611=VERTEX_POINT('',#536360); #172612=VERTEX_POINT('',#536362); #172613=VERTEX_POINT('',#536364); #172614=VERTEX_POINT('',#536368); #172615=VERTEX_POINT('',#536370); #172616=VERTEX_POINT('',#536374); #172617=VERTEX_POINT('',#536376); #172618=VERTEX_POINT('',#536385); #172619=VERTEX_POINT('',#536386); #172620=VERTEX_POINT('',#536388); #172621=VERTEX_POINT('',#536390); #172622=VERTEX_POINT('',#536394); #172623=VERTEX_POINT('',#536396); #172624=VERTEX_POINT('',#536400); #172625=VERTEX_POINT('',#536402); #172626=VERTEX_POINT('',#536411); #172627=VERTEX_POINT('',#536412); #172628=VERTEX_POINT('',#536414); #172629=VERTEX_POINT('',#536416); #172630=VERTEX_POINT('',#536420); #172631=VERTEX_POINT('',#536422); #172632=VERTEX_POINT('',#536426); #172633=VERTEX_POINT('',#536428); #172634=VERTEX_POINT('',#536437); #172635=VERTEX_POINT('',#536438); #172636=VERTEX_POINT('',#536440); #172637=VERTEX_POINT('',#536442); #172638=VERTEX_POINT('',#536446); #172639=VERTEX_POINT('',#536448); #172640=VERTEX_POINT('',#536452); #172641=VERTEX_POINT('',#536454); #172642=VERTEX_POINT('',#536463); #172643=VERTEX_POINT('',#536464); #172644=VERTEX_POINT('',#536466); #172645=VERTEX_POINT('',#536468); #172646=VERTEX_POINT('',#536472); #172647=VERTEX_POINT('',#536474); #172648=VERTEX_POINT('',#536478); #172649=VERTEX_POINT('',#536480); #172650=VERTEX_POINT('',#536489); #172651=VERTEX_POINT('',#536490); #172652=VERTEX_POINT('',#536492); #172653=VERTEX_POINT('',#536494); #172654=VERTEX_POINT('',#536498); #172655=VERTEX_POINT('',#536500); #172656=VERTEX_POINT('',#536504); #172657=VERTEX_POINT('',#536506); #172658=VERTEX_POINT('',#536515); #172659=VERTEX_POINT('',#536516); #172660=VERTEX_POINT('',#536518); #172661=VERTEX_POINT('',#536520); #172662=VERTEX_POINT('',#536524); #172663=VERTEX_POINT('',#536526); #172664=VERTEX_POINT('',#536530); #172665=VERTEX_POINT('',#536532); #172666=VERTEX_POINT('',#536544); #172667=VERTEX_POINT('',#536546); #172668=VERTEX_POINT('',#536551); #172669=VERTEX_POINT('',#536552); #172670=VERTEX_POINT('',#536554); #172671=VERTEX_POINT('',#536556); #172672=VERTEX_POINT('',#536560); #172673=VERTEX_POINT('',#536562); #172674=VERTEX_POINT('',#536566); #172675=VERTEX_POINT('',#536568); #172676=VERTEX_POINT('',#536575); #172677=VERTEX_POINT('',#536577); #172678=VERTEX_POINT('',#536581); #172679=VERTEX_POINT('',#536586); #172680=VERTEX_POINT('',#536593); #172681=VERTEX_POINT('',#536594); #172682=VERTEX_POINT('',#536596); #172683=VERTEX_POINT('',#536598); #172684=VERTEX_POINT('',#536602); #172685=VERTEX_POINT('',#536604); #172686=VERTEX_POINT('',#536608); #172687=VERTEX_POINT('',#536610); #172688=VERTEX_POINT('',#536619); #172689=VERTEX_POINT('',#536620); #172690=VERTEX_POINT('',#536622); #172691=VERTEX_POINT('',#536624); #172692=VERTEX_POINT('',#536628); #172693=VERTEX_POINT('',#536630); #172694=VERTEX_POINT('',#536634); #172695=VERTEX_POINT('',#536636); #172696=VERTEX_POINT('',#536640); #172697=VERTEX_POINT('',#536642); #172698=VERTEX_POINT('',#536646); #172699=VERTEX_POINT('',#536648); #172700=VERTEX_POINT('',#536657); #172701=VERTEX_POINT('',#536658); #172702=VERTEX_POINT('',#536660); #172703=VERTEX_POINT('',#536662); #172704=VERTEX_POINT('',#536666); #172705=VERTEX_POINT('',#536668); #172706=VERTEX_POINT('',#536672); #172707=VERTEX_POINT('',#536674); #172708=VERTEX_POINT('',#536678); #172709=VERTEX_POINT('',#536680); #172710=VERTEX_POINT('',#536684); #172711=VERTEX_POINT('',#536686); #172712=VERTEX_POINT('',#536695); #172713=VERTEX_POINT('',#536696); #172714=VERTEX_POINT('',#536698); #172715=VERTEX_POINT('',#536700); #172716=VERTEX_POINT('',#536704); #172717=VERTEX_POINT('',#536706); #172718=VERTEX_POINT('',#536710); #172719=VERTEX_POINT('',#536712); #172720=VERTEX_POINT('',#536716); #172721=VERTEX_POINT('',#536718); #172722=VERTEX_POINT('',#536722); #172723=VERTEX_POINT('',#536724); #172724=VERTEX_POINT('',#536733); #172725=VERTEX_POINT('',#536734); #172726=VERTEX_POINT('',#536736); #172727=VERTEX_POINT('',#536738); #172728=VERTEX_POINT('',#536742); #172729=VERTEX_POINT('',#536744); #172730=VERTEX_POINT('',#536748); #172731=VERTEX_POINT('',#536750); #172732=VERTEX_POINT('',#536754); #172733=VERTEX_POINT('',#536756); #172734=VERTEX_POINT('',#536760); #172735=VERTEX_POINT('',#536762); #172736=VERTEX_POINT('',#536771); #172737=VERTEX_POINT('',#536772); #172738=VERTEX_POINT('',#536774); #172739=VERTEX_POINT('',#536776); #172740=VERTEX_POINT('',#536780); #172741=VERTEX_POINT('',#536782); #172742=VERTEX_POINT('',#536786); #172743=VERTEX_POINT('',#536788); #172744=VERTEX_POINT('',#536792); #172745=VERTEX_POINT('',#536794); #172746=VERTEX_POINT('',#536798); #172747=VERTEX_POINT('',#536800); #172748=VERTEX_POINT('',#536809); #172749=VERTEX_POINT('',#536810); #172750=VERTEX_POINT('',#536812); #172751=VERTEX_POINT('',#536814); #172752=VERTEX_POINT('',#536818); #172753=VERTEX_POINT('',#536820); #172754=VERTEX_POINT('',#536824); #172755=VERTEX_POINT('',#536826); #172756=VERTEX_POINT('',#536830); #172757=VERTEX_POINT('',#536832); #172758=VERTEX_POINT('',#536836); #172759=VERTEX_POINT('',#536838); #172760=VERTEX_POINT('',#536847); #172761=VERTEX_POINT('',#536848); #172762=VERTEX_POINT('',#536850); #172763=VERTEX_POINT('',#536852); #172764=VERTEX_POINT('',#536856); #172765=VERTEX_POINT('',#536858); #172766=VERTEX_POINT('',#536862); #172767=VERTEX_POINT('',#536864); #172768=VERTEX_POINT('',#536868); #172769=VERTEX_POINT('',#536870); #172770=VERTEX_POINT('',#536874); #172771=VERTEX_POINT('',#536876); #172772=VERTEX_POINT('',#536885); #172773=VERTEX_POINT('',#536886); #172774=VERTEX_POINT('',#536888); #172775=VERTEX_POINT('',#536890); #172776=VERTEX_POINT('',#536894); #172777=VERTEX_POINT('',#536896); #172778=VERTEX_POINT('',#536900); #172779=VERTEX_POINT('',#536902); #172780=VERTEX_POINT('',#536906); #172781=VERTEX_POINT('',#536908); #172782=VERTEX_POINT('',#536912); #172783=VERTEX_POINT('',#536914); #172784=VERTEX_POINT('',#536923); #172785=VERTEX_POINT('',#536924); #172786=VERTEX_POINT('',#536926); #172787=VERTEX_POINT('',#536928); #172788=VERTEX_POINT('',#536932); #172789=VERTEX_POINT('',#536934); #172790=VERTEX_POINT('',#536938); #172791=VERTEX_POINT('',#536940); #172792=VERTEX_POINT('',#536949); #172793=VERTEX_POINT('',#536950); #172794=VERTEX_POINT('',#536952); #172795=VERTEX_POINT('',#536954); #172796=VERTEX_POINT('',#536958); #172797=VERTEX_POINT('',#536960); #172798=VERTEX_POINT('',#536964); #172799=VERTEX_POINT('',#536966); #172800=VERTEX_POINT('',#536975); #172801=VERTEX_POINT('',#536976); #172802=VERTEX_POINT('',#536978); #172803=VERTEX_POINT('',#536980); #172804=VERTEX_POINT('',#536984); #172805=VERTEX_POINT('',#536986); #172806=VERTEX_POINT('',#536990); #172807=VERTEX_POINT('',#536992); #172808=VERTEX_POINT('',#537001); #172809=VERTEX_POINT('',#537002); #172810=VERTEX_POINT('',#537004); #172811=VERTEX_POINT('',#537006); #172812=VERTEX_POINT('',#537010); #172813=VERTEX_POINT('',#537012); #172814=VERTEX_POINT('',#537016); #172815=VERTEX_POINT('',#537018); #172816=VERTEX_POINT('',#537027); #172817=VERTEX_POINT('',#537028); #172818=VERTEX_POINT('',#537030); #172819=VERTEX_POINT('',#537032); #172820=VERTEX_POINT('',#537036); #172821=VERTEX_POINT('',#537038); #172822=VERTEX_POINT('',#537042); #172823=VERTEX_POINT('',#537044); #172824=VERTEX_POINT('',#537053); #172825=VERTEX_POINT('',#537054); #172826=VERTEX_POINT('',#537056); #172827=VERTEX_POINT('',#537058); #172828=VERTEX_POINT('',#537062); #172829=VERTEX_POINT('',#537064); #172830=VERTEX_POINT('',#537068); #172831=VERTEX_POINT('',#537070); #172832=VERTEX_POINT('',#537079); #172833=VERTEX_POINT('',#537080); #172834=VERTEX_POINT('',#537082); #172835=VERTEX_POINT('',#537084); #172836=VERTEX_POINT('',#537088); #172837=VERTEX_POINT('',#537090); #172838=VERTEX_POINT('',#537094); #172839=VERTEX_POINT('',#537096); #172840=VERTEX_POINT('',#537105); #172841=VERTEX_POINT('',#537106); #172842=VERTEX_POINT('',#537108); #172843=VERTEX_POINT('',#537110); #172844=VERTEX_POINT('',#537114); #172845=VERTEX_POINT('',#537116); #172846=VERTEX_POINT('',#537120); #172847=VERTEX_POINT('',#537122); #172848=VERTEX_POINT('',#537134); #172849=VERTEX_POINT('',#537135); #172850=VERTEX_POINT('',#537137); #172851=VERTEX_POINT('',#537139); #172852=VERTEX_POINT('',#537143); #172853=VERTEX_POINT('',#537145); #172854=VERTEX_POINT('',#537149); #172855=VERTEX_POINT('',#537151); #172856=VERTEX_POINT('',#537158); #172857=VERTEX_POINT('',#537160); #172858=VERTEX_POINT('',#537164); #172859=VERTEX_POINT('',#537169); #172860=VERTEX_POINT('',#537176); #172861=VERTEX_POINT('',#537177); #172862=VERTEX_POINT('',#537179); #172863=VERTEX_POINT('',#537181); #172864=VERTEX_POINT('',#537185); #172865=VERTEX_POINT('',#537187); #172866=VERTEX_POINT('',#537191); #172867=VERTEX_POINT('',#537193); #172868=VERTEX_POINT('',#537202); #172869=VERTEX_POINT('',#537203); #172870=VERTEX_POINT('',#537205); #172871=VERTEX_POINT('',#537207); #172872=VERTEX_POINT('',#537211); #172873=VERTEX_POINT('',#537213); #172874=VERTEX_POINT('',#537217); #172875=VERTEX_POINT('',#537219); #172876=VERTEX_POINT('',#537228); #172877=VERTEX_POINT('',#537229); #172878=VERTEX_POINT('',#537231); #172879=VERTEX_POINT('',#537233); #172880=VERTEX_POINT('',#537237); #172881=VERTEX_POINT('',#537239); #172882=VERTEX_POINT('',#537243); #172883=VERTEX_POINT('',#537245); #172884=VERTEX_POINT('',#537254); #172885=VERTEX_POINT('',#537255); #172886=VERTEX_POINT('',#537257); #172887=VERTEX_POINT('',#537259); #172888=VERTEX_POINT('',#537263); #172889=VERTEX_POINT('',#537265); #172890=VERTEX_POINT('',#537269); #172891=VERTEX_POINT('',#537271); #172892=VERTEX_POINT('',#537283); #172893=VERTEX_POINT('',#537285); #172894=VERTEX_POINT('',#537289); #172895=VERTEX_POINT('',#537291); #172896=VERTEX_POINT('',#537297); #172897=VERTEX_POINT('',#537298); #172898=VERTEX_POINT('',#537300); #172899=VERTEX_POINT('',#537314); #172900=VERTEX_POINT('',#537323); #172901=VERTEX_POINT('',#537350); #172902=VERTEX_POINT('',#537351); #172903=VERTEX_POINT('',#537404); #172904=VERTEX_POINT('',#537405); #172905=VERTEX_POINT('',#537407); #172906=VERTEX_POINT('',#537415); #172907=VERTEX_POINT('',#537431); #172908=VERTEX_POINT('',#537432); #172909=VERTEX_POINT('',#537434); #172910=VERTEX_POINT('',#537451); #172911=VERTEX_POINT('',#537476); #172912=VERTEX_POINT('',#537477); #172913=VERTEX_POINT('',#537527); #172914=VERTEX_POINT('',#537528); #172915=VERTEX_POINT('',#537530); #172916=VERTEX_POINT('',#537535); #172917=VERTEX_POINT('',#537544); #172918=VERTEX_POINT('',#538159); #172919=VERTEX_POINT('',#538164); #172920=VERTEX_POINT('',#538185); #172921=VERTEX_POINT('',#538208); #172922=VERTEX_POINT('',#538261); #172923=VERTEX_POINT('',#538282); #172924=VERTEX_POINT('',#538328); #172925=VERTEX_POINT('',#538329); #172926=VERTEX_POINT('',#538331); #172927=VERTEX_POINT('',#538333); #172928=VERTEX_POINT('',#538335); #172929=VERTEX_POINT('',#538337); #172930=VERTEX_POINT('',#538353); #172931=VERTEX_POINT('',#538354); #172932=VERTEX_POINT('',#538356); #172933=VERTEX_POINT('',#538367); #172934=VERTEX_POINT('',#538377); #172935=VERTEX_POINT('',#538378); #172936=VERTEX_POINT('',#538425); #172937=VERTEX_POINT('',#538426); #172938=VERTEX_POINT('',#538473); #172939=VERTEX_POINT('',#538474); #172940=VERTEX_POINT('',#538521); #172941=VERTEX_POINT('',#538522); #172942=VERTEX_POINT('',#538575); #172943=VERTEX_POINT('',#538576); #172944=VERTEX_POINT('',#538623); #172945=VERTEX_POINT('',#538624); #172946=VERTEX_POINT('',#538671); #172947=VERTEX_POINT('',#538672); #172948=VERTEX_POINT('',#538674); #172949=VERTEX_POINT('',#538681); #172950=VERTEX_POINT('',#538682); #172951=VERTEX_POINT('',#538694); #172952=VERTEX_POINT('',#538706); #172953=VERTEX_POINT('',#538707); #172954=VERTEX_POINT('',#538730); #172955=VERTEX_POINT('',#538731); #172956=VERTEX_POINT('',#538755); #172957=VERTEX_POINT('',#538756); #172958=VERTEX_POINT('',#538780); #172959=VERTEX_POINT('',#538781); #172960=VERTEX_POINT('',#538804); #172961=VERTEX_POINT('',#538805); #172962=VERTEX_POINT('',#538828); #172963=VERTEX_POINT('',#538829); #172964=VERTEX_POINT('',#538831); #172965=VERTEX_POINT('',#538833); #172966=VERTEX_POINT('',#538837); #172967=VERTEX_POINT('',#538838); #172968=VERTEX_POINT('',#538840); #172969=VERTEX_POINT('',#538842); #172970=VERTEX_POINT('',#538846); #172971=VERTEX_POINT('',#538847); #172972=VERTEX_POINT('',#538849); #172973=VERTEX_POINT('',#538851); #172974=VERTEX_POINT('',#538855); #172975=VERTEX_POINT('',#538856); #172976=VERTEX_POINT('',#538858); #172977=VERTEX_POINT('',#538860); #172978=VERTEX_POINT('',#538864); #172979=VERTEX_POINT('',#538865); #172980=VERTEX_POINT('',#538867); #172981=VERTEX_POINT('',#538869); #172982=VERTEX_POINT('',#538873); #172983=VERTEX_POINT('',#538874); #172984=VERTEX_POINT('',#538876); #172985=VERTEX_POINT('',#538878); #172986=VERTEX_POINT('',#538882); #172987=VERTEX_POINT('',#538883); #172988=VERTEX_POINT('',#538885); #172989=VERTEX_POINT('',#538887); #172990=VERTEX_POINT('',#538898); #172991=VERTEX_POINT('',#538903); #172992=VERTEX_POINT('',#538917); #172993=VERTEX_POINT('',#538918); #172994=VERTEX_POINT('',#538923); #172995=VERTEX_POINT('',#538925); #172996=VERTEX_POINT('',#538932); #172997=VERTEX_POINT('',#538934); #172998=VERTEX_POINT('',#538941); #172999=VERTEX_POINT('',#538943); #173000=VERTEX_POINT('',#538945); #173001=VERTEX_POINT('',#538947); #173002=VERTEX_POINT('',#538949); #173003=VERTEX_POINT('',#538951); #173004=VERTEX_POINT('',#538953); #173005=VERTEX_POINT('',#538955); #173006=VERTEX_POINT('',#538957); #173007=VERTEX_POINT('',#538961); #173008=VERTEX_POINT('',#538963); #173009=VERTEX_POINT('',#538974); #173010=VERTEX_POINT('',#538979); #173011=VERTEX_POINT('',#538993); #173012=VERTEX_POINT('',#538994); #173013=VERTEX_POINT('',#538999); #173014=VERTEX_POINT('',#539001); #173015=VERTEX_POINT('',#539013); #173016=VERTEX_POINT('',#539014); #173017=VERTEX_POINT('',#539016); #173018=VERTEX_POINT('',#539018); #173019=VERTEX_POINT('',#539024); #173020=VERTEX_POINT('',#539026); #173021=VERTEX_POINT('',#539032); #173022=VERTEX_POINT('',#539033); #173023=VERTEX_POINT('',#539035); #173024=VERTEX_POINT('',#539037); #173025=VERTEX_POINT('',#539043); #173026=VERTEX_POINT('',#539045); #173027=VERTEX_POINT('',#539051); #173028=VERTEX_POINT('',#539052); #173029=VERTEX_POINT('',#539054); #173030=VERTEX_POINT('',#539056); #173031=VERTEX_POINT('',#539062); #173032=VERTEX_POINT('',#539064); #173033=VERTEX_POINT('',#539070); #173034=VERTEX_POINT('',#539076); #173035=VERTEX_POINT('',#539082); #173036=VERTEX_POINT('',#539083); #173037=VERTEX_POINT('',#539085); #173038=VERTEX_POINT('',#539087); #173039=VERTEX_POINT('',#539093); #173040=VERTEX_POINT('',#539095); #173041=VERTEX_POINT('',#539101); #173042=VERTEX_POINT('',#539102); #173043=VERTEX_POINT('',#539104); #173044=VERTEX_POINT('',#539106); #173045=VERTEX_POINT('',#539112); #173046=VERTEX_POINT('',#539114); #173047=VERTEX_POINT('',#539120); #173048=VERTEX_POINT('',#539121); #173049=VERTEX_POINT('',#539123); #173050=VERTEX_POINT('',#539125); #173051=VERTEX_POINT('',#539131); #173052=VERTEX_POINT('',#539133); #173053=VERTEX_POINT('',#539139); #173054=VERTEX_POINT('',#539140); #173055=VERTEX_POINT('',#539142); #173056=VERTEX_POINT('',#539144); #173057=VERTEX_POINT('',#539150); #173058=VERTEX_POINT('',#539152); #173059=VERTEX_POINT('',#539195); #173060=VERTEX_POINT('',#539196); #173061=VERTEX_POINT('',#539198); #173062=VERTEX_POINT('',#539200); #173063=VERTEX_POINT('',#539206); #173064=VERTEX_POINT('',#539208); #173065=VERTEX_POINT('',#539214); #173066=VERTEX_POINT('',#539215); #173067=VERTEX_POINT('',#539217); #173068=VERTEX_POINT('',#539219); #173069=VERTEX_POINT('',#539225); #173070=VERTEX_POINT('',#539227); #173071=VERTEX_POINT('',#539233); #173072=VERTEX_POINT('',#539234); #173073=VERTEX_POINT('',#539236); #173074=VERTEX_POINT('',#539238); #173075=VERTEX_POINT('',#539244); #173076=VERTEX_POINT('',#539246); #173077=VERTEX_POINT('',#539252); #173078=VERTEX_POINT('',#539253); #173079=VERTEX_POINT('',#539255); #173080=VERTEX_POINT('',#539257); #173081=VERTEX_POINT('',#539263); #173082=VERTEX_POINT('',#539265); #173083=VERTEX_POINT('',#539271); #173084=VERTEX_POINT('',#539284); #173085=VERTEX_POINT('',#539285); #173086=VERTEX_POINT('',#539292); #173087=VERTEX_POINT('',#539296); #173088=VERTEX_POINT('',#539298); #173089=VERTEX_POINT('',#539304); #173090=VERTEX_POINT('',#539308); #173091=VERTEX_POINT('',#539310); #173092=VERTEX_POINT('',#539321); #173093=VERTEX_POINT('',#539325); #173094=VERTEX_POINT('',#539335); #173095=VERTEX_POINT('',#539336); #173096=VERTEX_POINT('',#539341); #173097=VERTEX_POINT('',#539345); #173098=VERTEX_POINT('',#539346); #173099=VERTEX_POINT('',#539351); #173100=VERTEX_POINT('',#539355); #173101=VERTEX_POINT('',#539356); #173102=VERTEX_POINT('',#539361); #173103=VERTEX_POINT('',#539381); #173104=VERTEX_POINT('',#539385); #173105=VERTEX_POINT('',#539386); #173106=VERTEX_POINT('',#539388); #173107=VERTEX_POINT('',#539390); #173108=VERTEX_POINT('',#539394); #173109=VERTEX_POINT('',#539395); #173110=VERTEX_POINT('',#539397); #173111=VERTEX_POINT('',#539399); #173112=VERTEX_POINT('',#539403); #173113=VERTEX_POINT('',#539405); #173114=VERTEX_POINT('',#539409); #173115=VERTEX_POINT('',#539411); #173116=VERTEX_POINT('',#539415); #173117=VERTEX_POINT('',#539417); #173118=VERTEX_POINT('',#539419); #173119=VERTEX_POINT('',#539421); #173120=VERTEX_POINT('',#539423); #173121=VERTEX_POINT('',#539425); #173122=VERTEX_POINT('',#539427); #173123=VERTEX_POINT('',#539429); #173124=VERTEX_POINT('',#539431); #173125=VERTEX_POINT('',#539433); #173126=VERTEX_POINT('',#539437); #173127=VERTEX_POINT('',#539442); #173128=VERTEX_POINT('',#539444); #173129=VERTEX_POINT('',#539448); #173130=VERTEX_POINT('',#539450); #173131=VERTEX_POINT('',#539454); #173132=VERTEX_POINT('',#539458); #173133=VERTEX_POINT('',#539462); #173134=VERTEX_POINT('',#539468); #173135=VERTEX_POINT('',#539472); #173136=VERTEX_POINT('',#539482); #173137=VERTEX_POINT('',#539483); #173138=VERTEX_POINT('',#539485); #173139=VERTEX_POINT('',#539487); #173140=VERTEX_POINT('',#539489); #173141=VERTEX_POINT('',#539491); #173142=VERTEX_POINT('',#539493); #173143=VERTEX_POINT('',#539495); #173144=VERTEX_POINT('',#539497); #173145=VERTEX_POINT('',#539499); #173146=VERTEX_POINT('',#539501); #173147=VERTEX_POINT('',#539503); #173148=VERTEX_POINT('',#539507); #173149=VERTEX_POINT('',#539509); #173150=VERTEX_POINT('',#539513); #173151=VERTEX_POINT('',#539515); #173152=VERTEX_POINT('',#539517); #173153=VERTEX_POINT('',#539519); #173154=VERTEX_POINT('',#539521); #173155=VERTEX_POINT('',#539523); #173156=VERTEX_POINT('',#539525); #173157=VERTEX_POINT('',#539527); #173158=VERTEX_POINT('',#539529); #173159=VERTEX_POINT('',#539531); #173160=VERTEX_POINT('',#539555); #173161=VERTEX_POINT('',#539556); #173162=VERTEX_POINT('',#539558); #173163=VERTEX_POINT('',#539560); #173164=VERTEX_POINT('',#539564); #173165=VERTEX_POINT('',#539566); #173166=VERTEX_POINT('',#539570); #173167=VERTEX_POINT('',#539571); #173168=VERTEX_POINT('',#539573); #173169=VERTEX_POINT('',#539575); #173170=VERTEX_POINT('',#539579); #173171=VERTEX_POINT('',#539581); #173172=VERTEX_POINT('',#539585); #173173=VERTEX_POINT('',#539587); #173174=VERTEX_POINT('',#539589); #173175=VERTEX_POINT('',#539591); #173176=VERTEX_POINT('',#539593); #173177=VERTEX_POINT('',#539595); #173178=VERTEX_POINT('',#539597); #173179=VERTEX_POINT('',#539599); #173180=VERTEX_POINT('',#539601); #173181=VERTEX_POINT('',#539603); #173182=VERTEX_POINT('',#539607); #173183=VERTEX_POINT('',#539612); #173184=VERTEX_POINT('',#539613); #173185=VERTEX_POINT('',#539618); #173186=VERTEX_POINT('',#539620); #173187=VERTEX_POINT('',#539622); #173188=VERTEX_POINT('',#539625); #173189=VERTEX_POINT('',#539627); #173190=VERTEX_POINT('',#539629); #173191=VERTEX_POINT('',#539631); #173192=VERTEX_POINT('',#539652); #173193=VERTEX_POINT('',#539653); #173194=VERTEX_POINT('',#539655); #173195=VERTEX_POINT('',#539657); #173196=VERTEX_POINT('',#539661); #173197=VERTEX_POINT('',#539662); #173198=VERTEX_POINT('',#539664); #173199=VERTEX_POINT('',#539666); #173200=VERTEX_POINT('',#539670); #173201=VERTEX_POINT('',#539672); #173202=VERTEX_POINT('',#539676); #173203=VERTEX_POINT('',#539678); #173204=VERTEX_POINT('',#539682); #173205=VERTEX_POINT('',#539684); #173206=VERTEX_POINT('',#539686); #173207=VERTEX_POINT('',#539688); #173208=VERTEX_POINT('',#539690); #173209=VERTEX_POINT('',#539692); #173210=VERTEX_POINT('',#539694); #173211=VERTEX_POINT('',#539696); #173212=VERTEX_POINT('',#539698); #173213=VERTEX_POINT('',#539700); #173214=VERTEX_POINT('',#539704); #173215=VERTEX_POINT('',#539709); #173216=VERTEX_POINT('',#539711); #173217=VERTEX_POINT('',#539715); #173218=VERTEX_POINT('',#539717); #173219=VERTEX_POINT('',#539721); #173220=VERTEX_POINT('',#539725); #173221=VERTEX_POINT('',#539729); #173222=VERTEX_POINT('',#539735); #173223=VERTEX_POINT('',#539739); #173224=VERTEX_POINT('',#539749); #173225=VERTEX_POINT('',#539750); #173226=VERTEX_POINT('',#539752); #173227=VERTEX_POINT('',#539754); #173228=VERTEX_POINT('',#539756); #173229=VERTEX_POINT('',#539758); #173230=VERTEX_POINT('',#539760); #173231=VERTEX_POINT('',#539762); #173232=VERTEX_POINT('',#539764); #173233=VERTEX_POINT('',#539766); #173234=VERTEX_POINT('',#539768); #173235=VERTEX_POINT('',#539770); #173236=VERTEX_POINT('',#539774); #173237=VERTEX_POINT('',#539776); #173238=VERTEX_POINT('',#539780); #173239=VERTEX_POINT('',#539782); #173240=VERTEX_POINT('',#539784); #173241=VERTEX_POINT('',#539786); #173242=VERTEX_POINT('',#539788); #173243=VERTEX_POINT('',#539790); #173244=VERTEX_POINT('',#539792); #173245=VERTEX_POINT('',#539794); #173246=VERTEX_POINT('',#539796); #173247=VERTEX_POINT('',#539798); #173248=VERTEX_POINT('',#539822); #173249=VERTEX_POINT('',#539824); #173250=VERTEX_POINT('',#539835); #173251=VERTEX_POINT('',#539837); #173252=VERTEX_POINT('',#539839); #173253=VERTEX_POINT('',#539841); #173254=VERTEX_POINT('',#539845); #173255=VERTEX_POINT('',#539847); #173256=VERTEX_POINT('',#539849); #173257=VERTEX_POINT('',#539851); #173258=VERTEX_POINT('',#539853); #173259=VERTEX_POINT('',#539855); #173260=VERTEX_POINT('',#539860); #173261=VERTEX_POINT('',#539862); #173262=VERTEX_POINT('',#539864); #173263=VERTEX_POINT('',#539866); #173264=VERTEX_POINT('',#539868); #173265=VERTEX_POINT('',#539870); #173266=VERTEX_POINT('',#539883); #173267=VERTEX_POINT('',#539884); #173268=VERTEX_POINT('',#539886); #173269=VERTEX_POINT('',#539888); #173270=VERTEX_POINT('',#539890); #173271=VERTEX_POINT('',#539895); #173272=VERTEX_POINT('',#539897); #173273=VERTEX_POINT('',#539899); #173274=VERTEX_POINT('',#539901); #173275=VERTEX_POINT('',#539903); #173276=VERTEX_POINT('',#539906); #173277=VERTEX_POINT('',#539908); #173278=VERTEX_POINT('',#539910); #173279=VERTEX_POINT('',#539912); #173280=VERTEX_POINT('',#539914); #173281=VERTEX_POINT('',#539916); #173282=VERTEX_POINT('',#539918); #173283=VERTEX_POINT('',#539920); #173284=VERTEX_POINT('',#539922); #173285=VERTEX_POINT('',#540113); #173286=VERTEX_POINT('',#540218); #173287=VERTEX_POINT('',#540323); #173288=VERTEX_POINT('',#540521); #173289=VERTEX_POINT('',#540720); #173290=VERTEX_POINT('',#540721); #173291=VERTEX_POINT('',#540723); #173292=VERTEX_POINT('',#540725); #173293=VERTEX_POINT('',#540727); #173294=VERTEX_POINT('',#540729); #173295=VERTEX_POINT('',#540733); #173296=VERTEX_POINT('',#540735); #173297=VERTEX_POINT('',#540739); #173298=VERTEX_POINT('',#540741); #173299=VERTEX_POINT('',#540745); #173300=VERTEX_POINT('',#540749); #173301=VERTEX_POINT('',#540755); #173302=VERTEX_POINT('',#540757); #173303=VERTEX_POINT('',#540759); #173304=VERTEX_POINT('',#540761); #173305=VERTEX_POINT('',#540763); #173306=VERTEX_POINT('',#540765); #173307=VERTEX_POINT('',#540767); #173308=VERTEX_POINT('',#540769); #173309=VERTEX_POINT('',#540774); #173310=VERTEX_POINT('',#540775); #173311=VERTEX_POINT('',#540777); #173312=VERTEX_POINT('',#540779); #173313=VERTEX_POINT('',#540783); #173314=VERTEX_POINT('',#540785); #173315=VERTEX_POINT('',#540789); #173316=VERTEX_POINT('',#540790); #173317=VERTEX_POINT('',#540792); #173318=VERTEX_POINT('',#540794); #173319=VERTEX_POINT('',#540798); #173320=VERTEX_POINT('',#540799); #173321=VERTEX_POINT('',#540801); #173322=VERTEX_POINT('',#540803); #173323=VERTEX_POINT('',#540807); #173324=VERTEX_POINT('',#540809); #173325=VERTEX_POINT('',#540813); #173326=VERTEX_POINT('',#540814); #173327=VERTEX_POINT('',#540816); #173328=VERTEX_POINT('',#540818); #173329=VERTEX_POINT('',#540822); #173330=VERTEX_POINT('',#540824); #173331=VERTEX_POINT('',#540828); #173332=VERTEX_POINT('',#540829); #173333=VERTEX_POINT('',#540834); #173334=VERTEX_POINT('',#540836); #173335=VERTEX_POINT('',#540838); #173336=VERTEX_POINT('',#540840); #173337=VERTEX_POINT('',#540842); #173338=VERTEX_POINT('',#540846); #173339=VERTEX_POINT('',#540848); #173340=VERTEX_POINT('',#540852); #173341=VERTEX_POINT('',#540856); #173342=VERTEX_POINT('',#540862); #173343=VERTEX_POINT('',#540863); #173344=VERTEX_POINT('',#540865); #173345=VERTEX_POINT('',#540867); #173346=VERTEX_POINT('',#540871); #173347=VERTEX_POINT('',#540873); #173348=VERTEX_POINT('',#540877); #173349=VERTEX_POINT('',#540878); #173350=VERTEX_POINT('',#540883); #173351=VERTEX_POINT('',#540884); #173352=VERTEX_POINT('',#540886); #173353=VERTEX_POINT('',#540888); #173354=VERTEX_POINT('',#540895); #173355=VERTEX_POINT('',#540896); #173356=VERTEX_POINT('',#540898); #173357=VERTEX_POINT('',#540900); #173358=VERTEX_POINT('',#540911); #173359=VERTEX_POINT('',#540912); #173360=VERTEX_POINT('',#540914); #173361=VERTEX_POINT('',#540916); #173362=VERTEX_POINT('',#540920); #173363=VERTEX_POINT('',#540921); #173364=VERTEX_POINT('',#540924); #173365=VERTEX_POINT('',#540926); #173366=VERTEX_POINT('',#540928); #173367=VERTEX_POINT('',#540930); #173368=VERTEX_POINT('',#540932); #173369=VERTEX_POINT('',#540934); #173370=VERTEX_POINT('',#540936); #173371=VERTEX_POINT('',#540939); #173372=VERTEX_POINT('',#540943); #173373=VERTEX_POINT('',#540944); #173374=VERTEX_POINT('',#540949); #173375=VERTEX_POINT('',#540954); #173376=VERTEX_POINT('',#540955); #173377=VERTEX_POINT('',#540957); #173378=VERTEX_POINT('',#540959); #173379=VERTEX_POINT('',#540962); #173380=VERTEX_POINT('',#540964); #173381=VERTEX_POINT('',#540971); #173382=VERTEX_POINT('',#540972); #173383=VERTEX_POINT('',#540975); #173384=VERTEX_POINT('',#540978); #173385=VERTEX_POINT('',#540980); #173386=VERTEX_POINT('',#540982); #173387=VERTEX_POINT('',#540988); #173388=VERTEX_POINT('',#540989); #173389=VERTEX_POINT('',#540991); #173390=VERTEX_POINT('',#540993); #173391=VERTEX_POINT('',#540997); #173392=VERTEX_POINT('',#540999); #173393=VERTEX_POINT('',#541003); #173394=VERTEX_POINT('',#541005); #173395=VERTEX_POINT('',#541009); #173396=VERTEX_POINT('',#541011); #173397=VERTEX_POINT('',#541015); #173398=VERTEX_POINT('',#541017); #173399=VERTEX_POINT('',#541021); #173400=VERTEX_POINT('',#541026); #173401=VERTEX_POINT('',#541030); #173402=VERTEX_POINT('',#541031); #173403=VERTEX_POINT('',#541036); #173404=VERTEX_POINT('',#541040); #173405=VERTEX_POINT('',#541044); #173406=VERTEX_POINT('',#541048); #173407=VERTEX_POINT('',#541054); #173408=VERTEX_POINT('',#541060); #173409=VERTEX_POINT('',#541061); #173410=VERTEX_POINT('',#541069); #173411=VERTEX_POINT('',#541070); #173412=VERTEX_POINT('',#541073); #173413=VERTEX_POINT('',#541075); #173414=VERTEX_POINT('',#541084); #173415=VERTEX_POINT('',#541088); #173416=VERTEX_POINT('',#541100); #173417=VERTEX_POINT('',#541103); #173418=VERTEX_POINT('',#541110); #173419=VERTEX_POINT('',#541117); #173420=VERTEX_POINT('',#541118); #173421=VERTEX_POINT('',#541121); #173422=VERTEX_POINT('',#541123); #173423=VERTEX_POINT('',#541125); #173424=VERTEX_POINT('',#541134); #173425=VERTEX_POINT('',#541136); #173426=VERTEX_POINT('',#541142); #173427=VERTEX_POINT('',#541144); #173428=VERTEX_POINT('',#541146); #173429=VERTEX_POINT('',#541148); #173430=VERTEX_POINT('',#541150); #173431=VERTEX_POINT('',#541152); #173432=VERTEX_POINT('',#541154); #173433=VERTEX_POINT('',#541156); #173434=VERTEX_POINT('',#541158); #173435=VERTEX_POINT('',#541160); #173436=VERTEX_POINT('',#541163); #173437=VERTEX_POINT('',#541165); #173438=VERTEX_POINT('',#541167); #173439=VERTEX_POINT('',#541178); #173440=VERTEX_POINT('',#541180); #173441=VERTEX_POINT('',#541182); #173442=VERTEX_POINT('',#541184); #173443=VERTEX_POINT('',#541186); #173444=VERTEX_POINT('',#541188); #173445=VERTEX_POINT('',#541190); #173446=VERTEX_POINT('',#541192); #173447=VERTEX_POINT('',#541194); #173448=VERTEX_POINT('',#541206); #173449=VERTEX_POINT('',#541208); #173450=VERTEX_POINT('',#541210); #173451=VERTEX_POINT('',#541212); #173452=VERTEX_POINT('',#541214); #173453=VERTEX_POINT('',#541216); #173454=VERTEX_POINT('',#541220); #173455=VERTEX_POINT('',#541222); #173456=VERTEX_POINT('',#541224); #173457=VERTEX_POINT('',#541226); #173458=VERTEX_POINT('',#541228); #173459=VERTEX_POINT('',#541230); #173460=VERTEX_POINT('',#541232); #173461=VERTEX_POINT('',#541234); #173462=VERTEX_POINT('',#541236); #173463=VERTEX_POINT('',#541238); #173464=VERTEX_POINT('',#541266); #173465=VERTEX_POINT('',#541267); #173466=VERTEX_POINT('',#541269); #173467=VERTEX_POINT('',#541271); #173468=VERTEX_POINT('',#541275); #173469=VERTEX_POINT('',#541276); #173470=VERTEX_POINT('',#541278); #173471=VERTEX_POINT('',#541280); #173472=VERTEX_POINT('',#541297); #173473=VERTEX_POINT('',#541299); #173474=VERTEX_POINT('',#541303); #173475=VERTEX_POINT('',#541307); #173476=VERTEX_POINT('',#541319); #173477=VERTEX_POINT('',#541320); #173478=VERTEX_POINT('',#541322); #173479=VERTEX_POINT('',#541324); #173480=VERTEX_POINT('',#541328); #173481=VERTEX_POINT('',#541329); #173482=VERTEX_POINT('',#541331); #173483=VERTEX_POINT('',#541333); #173484=VERTEX_POINT('',#541349); #173485=VERTEX_POINT('',#541351); #173486=VERTEX_POINT('',#541355); #173487=VERTEX_POINT('',#541359); #173488=VERTEX_POINT('',#541376); #173489=VERTEX_POINT('',#541378); #173490=VERTEX_POINT('',#541380); #173491=VERTEX_POINT('',#541382); #173492=VERTEX_POINT('',#541386); #173493=VERTEX_POINT('',#541388); #173494=VERTEX_POINT('',#541392); #173495=VERTEX_POINT('',#541394); #173496=VERTEX_POINT('',#541398); #173497=VERTEX_POINT('',#541400); #173498=VERTEX_POINT('',#541402); #173499=VERTEX_POINT('',#541404); #173500=VERTEX_POINT('',#541411); #173501=VERTEX_POINT('',#541413); #173502=VERTEX_POINT('',#541418); #173503=VERTEX_POINT('',#541422); #173504=VERTEX_POINT('',#541427); #173505=VERTEX_POINT('',#541428); #173506=VERTEX_POINT('',#541430); #173507=VERTEX_POINT('',#541432); #173508=VERTEX_POINT('',#541436); #173509=VERTEX_POINT('',#541438); #173510=VERTEX_POINT('',#541440); #173511=VERTEX_POINT('',#541442); #173512=VERTEX_POINT('',#541444); #173513=VERTEX_POINT('',#541446); #173514=VERTEX_POINT('',#541450); #173515=VERTEX_POINT('',#541452); #173516=VERTEX_POINT('',#541460); #173517=VERTEX_POINT('',#541461); #173518=VERTEX_POINT('',#541466); #173519=VERTEX_POINT('',#541468); #173520=VERTEX_POINT('',#541471); #173521=VERTEX_POINT('',#541477); #173522=VERTEX_POINT('',#541537); #173523=VERTEX_POINT('',#541538); #173524=VERTEX_POINT('',#541540); #173525=VERTEX_POINT('',#541542); #173526=VERTEX_POINT('',#541546); #173527=VERTEX_POINT('',#541547); #173528=VERTEX_POINT('',#541549); #173529=VERTEX_POINT('',#541551); #173530=VERTEX_POINT('',#541555); #173531=VERTEX_POINT('',#541556); #173532=VERTEX_POINT('',#541558); #173533=VERTEX_POINT('',#541560); #173534=VERTEX_POINT('',#541564); #173535=VERTEX_POINT('',#541565); #173536=VERTEX_POINT('',#541567); #173537=VERTEX_POINT('',#541569); #173538=VERTEX_POINT('',#541573); #173539=VERTEX_POINT('',#541574); #173540=VERTEX_POINT('',#541579); #173541=VERTEX_POINT('',#541581); #173542=VERTEX_POINT('',#541592); #173543=VERTEX_POINT('',#541594); #173544=VERTEX_POINT('',#541598); #173545=VERTEX_POINT('',#541600); #173546=VERTEX_POINT('',#541617); #173547=VERTEX_POINT('',#541618); #173548=VERTEX_POINT('',#541623); #173549=VERTEX_POINT('',#541624); #173550=VERTEX_POINT('',#541646); #173551=VERTEX_POINT('',#541647); #173552=VERTEX_POINT('',#541649); #173553=VERTEX_POINT('',#541651); #173554=VERTEX_POINT('',#541655); #173555=VERTEX_POINT('',#541656); #173556=VERTEX_POINT('',#541658); #173557=VERTEX_POINT('',#541660); #173558=VERTEX_POINT('',#541664); #173559=VERTEX_POINT('',#541665); #173560=VERTEX_POINT('',#541667); #173561=VERTEX_POINT('',#541669); #173562=VERTEX_POINT('',#541673); #173563=VERTEX_POINT('',#541674); #173564=VERTEX_POINT('',#541676); #173565=VERTEX_POINT('',#541678); #173566=VERTEX_POINT('',#541682); #173567=VERTEX_POINT('',#541684); #173568=VERTEX_POINT('',#541688); #173569=VERTEX_POINT('',#541690); #173570=VERTEX_POINT('',#541701); #173571=VERTEX_POINT('',#541702); #173572=VERTEX_POINT('',#541707); #173573=VERTEX_POINT('',#541709); #173574=VERTEX_POINT('',#541723); #173575=VERTEX_POINT('',#541725); #173576=VERTEX_POINT('',#541729); #173577=VERTEX_POINT('',#541730); #173578=VERTEX_POINT('',#541758); #173579=VERTEX_POINT('',#541760); #173580=VERTEX_POINT('',#541765); #173581=VERTEX_POINT('',#541766); #173582=VERTEX_POINT('',#541768); #173583=VERTEX_POINT('',#541770); #173584=VERTEX_POINT('',#541774); #173585=VERTEX_POINT('',#541776); #173586=VERTEX_POINT('',#541780); #173587=VERTEX_POINT('',#541782); #173588=VERTEX_POINT('',#541789); #173589=VERTEX_POINT('',#541791); #173590=VERTEX_POINT('',#541795); #173591=VERTEX_POINT('',#541800); #173592=VERTEX_POINT('',#541807); #173593=VERTEX_POINT('',#541808); #173594=VERTEX_POINT('',#541810); #173595=VERTEX_POINT('',#541812); #173596=VERTEX_POINT('',#541816); #173597=VERTEX_POINT('',#541818); #173598=VERTEX_POINT('',#541822); #173599=VERTEX_POINT('',#541823); #173600=VERTEX_POINT('',#541825); #173601=VERTEX_POINT('',#541827); #173602=VERTEX_POINT('',#541834); #173603=VERTEX_POINT('',#541836); #173604=VERTEX_POINT('',#541845); #173605=VERTEX_POINT('',#541846); #173606=VERTEX_POINT('',#541848); #173607=VERTEX_POINT('',#541850); #173608=VERTEX_POINT('',#541854); #173609=VERTEX_POINT('',#541856); #173610=VERTEX_POINT('',#541860); #173611=VERTEX_POINT('',#541861); #173612=VERTEX_POINT('',#541863); #173613=VERTEX_POINT('',#541865); #173614=VERTEX_POINT('',#541872); #173615=VERTEX_POINT('',#541874); #173616=VERTEX_POINT('',#541883); #173617=VERTEX_POINT('',#541884); #173618=VERTEX_POINT('',#541886); #173619=VERTEX_POINT('',#541888); #173620=VERTEX_POINT('',#541892); #173621=VERTEX_POINT('',#541894); #173622=VERTEX_POINT('',#541898); #173623=VERTEX_POINT('',#541899); #173624=VERTEX_POINT('',#541901); #173625=VERTEX_POINT('',#541903); #173626=VERTEX_POINT('',#541910); #173627=VERTEX_POINT('',#541912); #173628=VERTEX_POINT('',#541921); #173629=VERTEX_POINT('',#541922); #173630=VERTEX_POINT('',#541924); #173631=VERTEX_POINT('',#541926); #173632=VERTEX_POINT('',#541930); #173633=VERTEX_POINT('',#541932); #173634=VERTEX_POINT('',#541936); #173635=VERTEX_POINT('',#541937); #173636=VERTEX_POINT('',#541939); #173637=VERTEX_POINT('',#541941); #173638=VERTEX_POINT('',#541948); #173639=VERTEX_POINT('',#541950); #173640=VERTEX_POINT('',#541959); #173641=VERTEX_POINT('',#541960); #173642=VERTEX_POINT('',#541962); #173643=VERTEX_POINT('',#541964); #173644=VERTEX_POINT('',#541968); #173645=VERTEX_POINT('',#541970); #173646=VERTEX_POINT('',#541974); #173647=VERTEX_POINT('',#541975); #173648=VERTEX_POINT('',#541977); #173649=VERTEX_POINT('',#541979); #173650=VERTEX_POINT('',#541986); #173651=VERTEX_POINT('',#541988); #173652=VERTEX_POINT('',#541997); #173653=VERTEX_POINT('',#541998); #173654=VERTEX_POINT('',#542000); #173655=VERTEX_POINT('',#542002); #173656=VERTEX_POINT('',#542006); #173657=VERTEX_POINT('',#542008); #173658=VERTEX_POINT('',#542012); #173659=VERTEX_POINT('',#542013); #173660=VERTEX_POINT('',#542015); #173661=VERTEX_POINT('',#542017); #173662=VERTEX_POINT('',#542024); #173663=VERTEX_POINT('',#542026); #173664=VERTEX_POINT('',#542035); #173665=VERTEX_POINT('',#542036); #173666=VERTEX_POINT('',#542038); #173667=VERTEX_POINT('',#542040); #173668=VERTEX_POINT('',#542044); #173669=VERTEX_POINT('',#542046); #173670=VERTEX_POINT('',#542050); #173671=VERTEX_POINT('',#542051); #173672=VERTEX_POINT('',#542053); #173673=VERTEX_POINT('',#542055); #173674=VERTEX_POINT('',#542062); #173675=VERTEX_POINT('',#542064); #173676=VERTEX_POINT('',#542073); #173677=VERTEX_POINT('',#542074); #173678=VERTEX_POINT('',#542076); #173679=VERTEX_POINT('',#542078); #173680=VERTEX_POINT('',#542082); #173681=VERTEX_POINT('',#542084); #173682=VERTEX_POINT('',#542088); #173683=VERTEX_POINT('',#542089); #173684=VERTEX_POINT('',#542091); #173685=VERTEX_POINT('',#542093); #173686=VERTEX_POINT('',#542100); #173687=VERTEX_POINT('',#542102); #173688=VERTEX_POINT('',#542111); #173689=VERTEX_POINT('',#542112); #173690=VERTEX_POINT('',#542114); #173691=VERTEX_POINT('',#542116); #173692=VERTEX_POINT('',#542120); #173693=VERTEX_POINT('',#542122); #173694=VERTEX_POINT('',#542126); #173695=VERTEX_POINT('',#542127); #173696=VERTEX_POINT('',#542129); #173697=VERTEX_POINT('',#542131); #173698=VERTEX_POINT('',#542138); #173699=VERTEX_POINT('',#542140); #173700=VERTEX_POINT('',#542149); #173701=VERTEX_POINT('',#542150); #173702=VERTEX_POINT('',#542152); #173703=VERTEX_POINT('',#542154); #173704=VERTEX_POINT('',#542158); #173705=VERTEX_POINT('',#542160); #173706=VERTEX_POINT('',#542164); #173707=VERTEX_POINT('',#542165); #173708=VERTEX_POINT('',#542167); #173709=VERTEX_POINT('',#542169); #173710=VERTEX_POINT('',#542176); #173711=VERTEX_POINT('',#542178); #173712=VERTEX_POINT('',#542187); #173713=VERTEX_POINT('',#542188); #173714=VERTEX_POINT('',#542190); #173715=VERTEX_POINT('',#542192); #173716=VERTEX_POINT('',#542196); #173717=VERTEX_POINT('',#542198); #173718=VERTEX_POINT('',#542202); #173719=VERTEX_POINT('',#542203); #173720=VERTEX_POINT('',#542205); #173721=VERTEX_POINT('',#542207); #173722=VERTEX_POINT('',#542214); #173723=VERTEX_POINT('',#542216); #173724=VERTEX_POINT('',#542225); #173725=VERTEX_POINT('',#542226); #173726=VERTEX_POINT('',#542228); #173727=VERTEX_POINT('',#542230); #173728=VERTEX_POINT('',#542234); #173729=VERTEX_POINT('',#542236); #173730=VERTEX_POINT('',#542240); #173731=VERTEX_POINT('',#542241); #173732=VERTEX_POINT('',#542243); #173733=VERTEX_POINT('',#542245); #173734=VERTEX_POINT('',#542252); #173735=VERTEX_POINT('',#542254); #173736=VERTEX_POINT('',#542263); #173737=VERTEX_POINT('',#542264); #173738=VERTEX_POINT('',#542266); #173739=VERTEX_POINT('',#542268); #173740=VERTEX_POINT('',#542272); #173741=VERTEX_POINT('',#542274); #173742=VERTEX_POINT('',#542278); #173743=VERTEX_POINT('',#542279); #173744=VERTEX_POINT('',#542281); #173745=VERTEX_POINT('',#542283); #173746=VERTEX_POINT('',#542290); #173747=VERTEX_POINT('',#542292); #173748=VERTEX_POINT('',#542301); #173749=VERTEX_POINT('',#542302); #173750=VERTEX_POINT('',#542304); #173751=VERTEX_POINT('',#542306); #173752=VERTEX_POINT('',#542310); #173753=VERTEX_POINT('',#542312); #173754=VERTEX_POINT('',#542316); #173755=VERTEX_POINT('',#542317); #173756=VERTEX_POINT('',#542319); #173757=VERTEX_POINT('',#542321); #173758=VERTEX_POINT('',#542328); #173759=VERTEX_POINT('',#542330); #173760=VERTEX_POINT('',#542339); #173761=VERTEX_POINT('',#542340); #173762=VERTEX_POINT('',#542342); #173763=VERTEX_POINT('',#542344); #173764=VERTEX_POINT('',#542348); #173765=VERTEX_POINT('',#542350); #173766=VERTEX_POINT('',#542354); #173767=VERTEX_POINT('',#542355); #173768=VERTEX_POINT('',#542357); #173769=VERTEX_POINT('',#542359); #173770=VERTEX_POINT('',#542366); #173771=VERTEX_POINT('',#542368); #173772=VERTEX_POINT('',#542377); #173773=VERTEX_POINT('',#542378); #173774=VERTEX_POINT('',#542380); #173775=VERTEX_POINT('',#542382); #173776=VERTEX_POINT('',#542386); #173777=VERTEX_POINT('',#542388); #173778=VERTEX_POINT('',#542392); #173779=VERTEX_POINT('',#542393); #173780=VERTEX_POINT('',#542395); #173781=VERTEX_POINT('',#542397); #173782=VERTEX_POINT('',#542404); #173783=VERTEX_POINT('',#542406); #173784=VERTEX_POINT('',#542415); #173785=VERTEX_POINT('',#542416); #173786=VERTEX_POINT('',#542418); #173787=VERTEX_POINT('',#542420); #173788=VERTEX_POINT('',#542424); #173789=VERTEX_POINT('',#542426); #173790=VERTEX_POINT('',#542430); #173791=VERTEX_POINT('',#542431); #173792=VERTEX_POINT('',#542433); #173793=VERTEX_POINT('',#542435); #173794=VERTEX_POINT('',#542442); #173795=VERTEX_POINT('',#542444); #173796=VERTEX_POINT('',#542453); #173797=VERTEX_POINT('',#542454); #173798=VERTEX_POINT('',#542456); #173799=VERTEX_POINT('',#542458); #173800=VERTEX_POINT('',#542462); #173801=VERTEX_POINT('',#542464); #173802=VERTEX_POINT('',#542468); #173803=VERTEX_POINT('',#542469); #173804=VERTEX_POINT('',#542471); #173805=VERTEX_POINT('',#542473); #173806=VERTEX_POINT('',#542480); #173807=VERTEX_POINT('',#542482); #173808=VERTEX_POINT('',#542491); #173809=VERTEX_POINT('',#542492); #173810=VERTEX_POINT('',#542494); #173811=VERTEX_POINT('',#542496); #173812=VERTEX_POINT('',#542500); #173813=VERTEX_POINT('',#542502); #173814=VERTEX_POINT('',#542506); #173815=VERTEX_POINT('',#542507); #173816=VERTEX_POINT('',#542509); #173817=VERTEX_POINT('',#542511); #173818=VERTEX_POINT('',#542518); #173819=VERTEX_POINT('',#542520); #173820=VERTEX_POINT('',#542529); #173821=VERTEX_POINT('',#542530); #173822=VERTEX_POINT('',#542532); #173823=VERTEX_POINT('',#542534); #173824=VERTEX_POINT('',#542538); #173825=VERTEX_POINT('',#542540); #173826=VERTEX_POINT('',#542544); #173827=VERTEX_POINT('',#542545); #173828=VERTEX_POINT('',#542547); #173829=VERTEX_POINT('',#542549); #173830=VERTEX_POINT('',#542556); #173831=VERTEX_POINT('',#542558); #173832=VERTEX_POINT('',#542567); #173833=VERTEX_POINT('',#542568); #173834=VERTEX_POINT('',#542570); #173835=VERTEX_POINT('',#542572); #173836=VERTEX_POINT('',#542576); #173837=VERTEX_POINT('',#542578); #173838=VERTEX_POINT('',#542582); #173839=VERTEX_POINT('',#542583); #173840=VERTEX_POINT('',#542585); #173841=VERTEX_POINT('',#542587); #173842=VERTEX_POINT('',#542594); #173843=VERTEX_POINT('',#542596); #173844=VERTEX_POINT('',#542605); #173845=VERTEX_POINT('',#542606); #173846=VERTEX_POINT('',#542608); #173847=VERTEX_POINT('',#542610); #173848=VERTEX_POINT('',#542614); #173849=VERTEX_POINT('',#542616); #173850=VERTEX_POINT('',#542620); #173851=VERTEX_POINT('',#542621); #173852=VERTEX_POINT('',#542623); #173853=VERTEX_POINT('',#542625); #173854=VERTEX_POINT('',#542632); #173855=VERTEX_POINT('',#542634); #173856=VERTEX_POINT('',#542643); #173857=VERTEX_POINT('',#542644); #173858=VERTEX_POINT('',#542646); #173859=VERTEX_POINT('',#542648); #173860=VERTEX_POINT('',#542652); #173861=VERTEX_POINT('',#542654); #173862=VERTEX_POINT('',#542658); #173863=VERTEX_POINT('',#542659); #173864=VERTEX_POINT('',#542661); #173865=VERTEX_POINT('',#542663); #173866=VERTEX_POINT('',#542670); #173867=VERTEX_POINT('',#542672); #173868=VERTEX_POINT('',#542681); #173869=VERTEX_POINT('',#542682); #173870=VERTEX_POINT('',#542684); #173871=VERTEX_POINT('',#542686); #173872=VERTEX_POINT('',#542690); #173873=VERTEX_POINT('',#542692); #173874=VERTEX_POINT('',#542696); #173875=VERTEX_POINT('',#542697); #173876=VERTEX_POINT('',#542699); #173877=VERTEX_POINT('',#542701); #173878=VERTEX_POINT('',#542708); #173879=VERTEX_POINT('',#542710); #173880=VERTEX_POINT('',#542719); #173881=VERTEX_POINT('',#542720); #173882=VERTEX_POINT('',#542722); #173883=VERTEX_POINT('',#542724); #173884=VERTEX_POINT('',#542728); #173885=VERTEX_POINT('',#542729); #173886=VERTEX_POINT('',#542734); #173887=VERTEX_POINT('',#542736); #173888=VERTEX_POINT('',#542740); #173889=VERTEX_POINT('',#542742); #173890=VERTEX_POINT('',#542746); #173891=VERTEX_POINT('',#542748); #173892=VERTEX_POINT('',#542757); #173893=VERTEX_POINT('',#542758); #173894=VERTEX_POINT('',#542760); #173895=VERTEX_POINT('',#542762); #173896=VERTEX_POINT('',#542766); #173897=VERTEX_POINT('',#542767); #173898=VERTEX_POINT('',#542772); #173899=VERTEX_POINT('',#542774); #173900=VERTEX_POINT('',#542778); #173901=VERTEX_POINT('',#542780); #173902=VERTEX_POINT('',#542784); #173903=VERTEX_POINT('',#542786); #173904=VERTEX_POINT('',#542795); #173905=VERTEX_POINT('',#542796); #173906=VERTEX_POINT('',#542798); #173907=VERTEX_POINT('',#542800); #173908=VERTEX_POINT('',#542804); #173909=VERTEX_POINT('',#542805); #173910=VERTEX_POINT('',#542810); #173911=VERTEX_POINT('',#542812); #173912=VERTEX_POINT('',#542816); #173913=VERTEX_POINT('',#542818); #173914=VERTEX_POINT('',#542822); #173915=VERTEX_POINT('',#542824); #173916=VERTEX_POINT('',#542833); #173917=VERTEX_POINT('',#542834); #173918=VERTEX_POINT('',#542836); #173919=VERTEX_POINT('',#542838); #173920=VERTEX_POINT('',#542842); #173921=VERTEX_POINT('',#542843); #173922=VERTEX_POINT('',#542848); #173923=VERTEX_POINT('',#542850); #173924=VERTEX_POINT('',#542854); #173925=VERTEX_POINT('',#542856); #173926=VERTEX_POINT('',#542860); #173927=VERTEX_POINT('',#542862); #173928=VERTEX_POINT('',#542871); #173929=VERTEX_POINT('',#542872); #173930=VERTEX_POINT('',#542874); #173931=VERTEX_POINT('',#542876); #173932=VERTEX_POINT('',#542880); #173933=VERTEX_POINT('',#542881); #173934=VERTEX_POINT('',#542886); #173935=VERTEX_POINT('',#542888); #173936=VERTEX_POINT('',#542892); #173937=VERTEX_POINT('',#542894); #173938=VERTEX_POINT('',#542898); #173939=VERTEX_POINT('',#542900); #173940=VERTEX_POINT('',#542909); #173941=VERTEX_POINT('',#542910); #173942=VERTEX_POINT('',#542912); #173943=VERTEX_POINT('',#542914); #173944=VERTEX_POINT('',#542918); #173945=VERTEX_POINT('',#542919); #173946=VERTEX_POINT('',#542924); #173947=VERTEX_POINT('',#542926); #173948=VERTEX_POINT('',#542930); #173949=VERTEX_POINT('',#542932); #173950=VERTEX_POINT('',#542936); #173951=VERTEX_POINT('',#542938); #173952=VERTEX_POINT('',#542947); #173953=VERTEX_POINT('',#542948); #173954=VERTEX_POINT('',#542950); #173955=VERTEX_POINT('',#542952); #173956=VERTEX_POINT('',#542956); #173957=VERTEX_POINT('',#542957); #173958=VERTEX_POINT('',#542962); #173959=VERTEX_POINT('',#542964); #173960=VERTEX_POINT('',#542968); #173961=VERTEX_POINT('',#542970); #173962=VERTEX_POINT('',#542974); #173963=VERTEX_POINT('',#542976); #173964=VERTEX_POINT('',#542985); #173965=VERTEX_POINT('',#542986); #173966=VERTEX_POINT('',#542988); #173967=VERTEX_POINT('',#542990); #173968=VERTEX_POINT('',#542994); #173969=VERTEX_POINT('',#542995); #173970=VERTEX_POINT('',#543000); #173971=VERTEX_POINT('',#543002); #173972=VERTEX_POINT('',#543006); #173973=VERTEX_POINT('',#543008); #173974=VERTEX_POINT('',#543012); #173975=VERTEX_POINT('',#543014); #173976=VERTEX_POINT('',#543023); #173977=VERTEX_POINT('',#543024); #173978=VERTEX_POINT('',#543026); #173979=VERTEX_POINT('',#543028); #173980=VERTEX_POINT('',#543032); #173981=VERTEX_POINT('',#543033); #173982=VERTEX_POINT('',#543038); #173983=VERTEX_POINT('',#543040); #173984=VERTEX_POINT('',#543044); #173985=VERTEX_POINT('',#543046); #173986=VERTEX_POINT('',#543050); #173987=VERTEX_POINT('',#543052); #173988=VERTEX_POINT('',#543061); #173989=VERTEX_POINT('',#543062); #173990=VERTEX_POINT('',#543064); #173991=VERTEX_POINT('',#543066); #173992=VERTEX_POINT('',#543070); #173993=VERTEX_POINT('',#543071); #173994=VERTEX_POINT('',#543076); #173995=VERTEX_POINT('',#543078); #173996=VERTEX_POINT('',#543082); #173997=VERTEX_POINT('',#543084); #173998=VERTEX_POINT('',#543088); #173999=VERTEX_POINT('',#543090); #174000=VERTEX_POINT('',#543099); #174001=VERTEX_POINT('',#543100); #174002=VERTEX_POINT('',#543102); #174003=VERTEX_POINT('',#543104); #174004=VERTEX_POINT('',#543108); #174005=VERTEX_POINT('',#543109); #174006=VERTEX_POINT('',#543114); #174007=VERTEX_POINT('',#543116); #174008=VERTEX_POINT('',#543120); #174009=VERTEX_POINT('',#543122); #174010=VERTEX_POINT('',#543126); #174011=VERTEX_POINT('',#543128); #174012=VERTEX_POINT('',#543137); #174013=VERTEX_POINT('',#543138); #174014=VERTEX_POINT('',#543140); #174015=VERTEX_POINT('',#543142); #174016=VERTEX_POINT('',#543146); #174017=VERTEX_POINT('',#543147); #174018=VERTEX_POINT('',#543152); #174019=VERTEX_POINT('',#543154); #174020=VERTEX_POINT('',#543158); #174021=VERTEX_POINT('',#543160); #174022=VERTEX_POINT('',#543164); #174023=VERTEX_POINT('',#543166); #174024=VERTEX_POINT('',#543175); #174025=VERTEX_POINT('',#543176); #174026=VERTEX_POINT('',#543178); #174027=VERTEX_POINT('',#543180); #174028=VERTEX_POINT('',#543184); #174029=VERTEX_POINT('',#543185); #174030=VERTEX_POINT('',#543190); #174031=VERTEX_POINT('',#543192); #174032=VERTEX_POINT('',#543196); #174033=VERTEX_POINT('',#543198); #174034=VERTEX_POINT('',#543202); #174035=VERTEX_POINT('',#543204); #174036=VERTEX_POINT('',#543213); #174037=VERTEX_POINT('',#543214); #174038=VERTEX_POINT('',#543216); #174039=VERTEX_POINT('',#543218); #174040=VERTEX_POINT('',#543222); #174041=VERTEX_POINT('',#543223); #174042=VERTEX_POINT('',#543228); #174043=VERTEX_POINT('',#543230); #174044=VERTEX_POINT('',#543234); #174045=VERTEX_POINT('',#543236); #174046=VERTEX_POINT('',#543240); #174047=VERTEX_POINT('',#543242); #174048=VERTEX_POINT('',#543251); #174049=VERTEX_POINT('',#543252); #174050=VERTEX_POINT('',#543254); #174051=VERTEX_POINT('',#543256); #174052=VERTEX_POINT('',#543260); #174053=VERTEX_POINT('',#543261); #174054=VERTEX_POINT('',#543266); #174055=VERTEX_POINT('',#543268); #174056=VERTEX_POINT('',#543272); #174057=VERTEX_POINT('',#543274); #174058=VERTEX_POINT('',#543278); #174059=VERTEX_POINT('',#543280); #174060=VERTEX_POINT('',#543289); #174061=VERTEX_POINT('',#543290); #174062=VERTEX_POINT('',#543292); #174063=VERTEX_POINT('',#543294); #174064=VERTEX_POINT('',#543298); #174065=VERTEX_POINT('',#543299); #174066=VERTEX_POINT('',#543304); #174067=VERTEX_POINT('',#543306); #174068=VERTEX_POINT('',#543310); #174069=VERTEX_POINT('',#543312); #174070=VERTEX_POINT('',#543316); #174071=VERTEX_POINT('',#543318); #174072=VERTEX_POINT('',#543327); #174073=VERTEX_POINT('',#543328); #174074=VERTEX_POINT('',#543330); #174075=VERTEX_POINT('',#543332); #174076=VERTEX_POINT('',#543336); #174077=VERTEX_POINT('',#543337); #174078=VERTEX_POINT('',#543342); #174079=VERTEX_POINT('',#543344); #174080=VERTEX_POINT('',#543348); #174081=VERTEX_POINT('',#543350); #174082=VERTEX_POINT('',#543354); #174083=VERTEX_POINT('',#543356); #174084=VERTEX_POINT('',#543365); #174085=VERTEX_POINT('',#543366); #174086=VERTEX_POINT('',#543368); #174087=VERTEX_POINT('',#543370); #174088=VERTEX_POINT('',#543374); #174089=VERTEX_POINT('',#543375); #174090=VERTEX_POINT('',#543380); #174091=VERTEX_POINT('',#543382); #174092=VERTEX_POINT('',#543386); #174093=VERTEX_POINT('',#543388); #174094=VERTEX_POINT('',#543392); #174095=VERTEX_POINT('',#543394); #174096=VERTEX_POINT('',#543403); #174097=VERTEX_POINT('',#543404); #174098=VERTEX_POINT('',#543406); #174099=VERTEX_POINT('',#543408); #174100=VERTEX_POINT('',#543412); #174101=VERTEX_POINT('',#543413); #174102=VERTEX_POINT('',#543418); #174103=VERTEX_POINT('',#543420); #174104=VERTEX_POINT('',#543424); #174105=VERTEX_POINT('',#543426); #174106=VERTEX_POINT('',#543430); #174107=VERTEX_POINT('',#543432); #174108=VERTEX_POINT('',#543441); #174109=VERTEX_POINT('',#543442); #174110=VERTEX_POINT('',#543444); #174111=VERTEX_POINT('',#543446); #174112=VERTEX_POINT('',#543450); #174113=VERTEX_POINT('',#543451); #174114=VERTEX_POINT('',#543456); #174115=VERTEX_POINT('',#543458); #174116=VERTEX_POINT('',#543462); #174117=VERTEX_POINT('',#543464); #174118=VERTEX_POINT('',#543468); #174119=VERTEX_POINT('',#543470); #174120=VERTEX_POINT('',#543479); #174121=VERTEX_POINT('',#543480); #174122=VERTEX_POINT('',#543482); #174123=VERTEX_POINT('',#543484); #174124=VERTEX_POINT('',#543488); #174125=VERTEX_POINT('',#543489); #174126=VERTEX_POINT('',#543494); #174127=VERTEX_POINT('',#543496); #174128=VERTEX_POINT('',#543500); #174129=VERTEX_POINT('',#543502); #174130=VERTEX_POINT('',#543506); #174131=VERTEX_POINT('',#543508); #174132=VERTEX_POINT('',#543517); #174133=VERTEX_POINT('',#543518); #174134=VERTEX_POINT('',#543520); #174135=VERTEX_POINT('',#543522); #174136=VERTEX_POINT('',#543526); #174137=VERTEX_POINT('',#543527); #174138=VERTEX_POINT('',#543532); #174139=VERTEX_POINT('',#543534); #174140=VERTEX_POINT('',#543538); #174141=VERTEX_POINT('',#543540); #174142=VERTEX_POINT('',#543544); #174143=VERTEX_POINT('',#543546); #174144=VERTEX_POINT('',#543555); #174145=VERTEX_POINT('',#543556); #174146=VERTEX_POINT('',#543558); #174147=VERTEX_POINT('',#543560); #174148=VERTEX_POINT('',#543564); #174149=VERTEX_POINT('',#543565); #174150=VERTEX_POINT('',#543570); #174151=VERTEX_POINT('',#543572); #174152=VERTEX_POINT('',#543576); #174153=VERTEX_POINT('',#543578); #174154=VERTEX_POINT('',#543582); #174155=VERTEX_POINT('',#543584); #174156=VERTEX_POINT('',#543593); #174157=VERTEX_POINT('',#543594); #174158=VERTEX_POINT('',#543596); #174159=VERTEX_POINT('',#543598); #174160=VERTEX_POINT('',#543602); #174161=VERTEX_POINT('',#543603); #174162=VERTEX_POINT('',#543608); #174163=VERTEX_POINT('',#543610); #174164=VERTEX_POINT('',#543614); #174165=VERTEX_POINT('',#543616); #174166=VERTEX_POINT('',#543620); #174167=VERTEX_POINT('',#543622); #174168=VERTEX_POINT('',#543631); #174169=VERTEX_POINT('',#543632); #174170=VERTEX_POINT('',#543634); #174171=VERTEX_POINT('',#543636); #174172=VERTEX_POINT('',#543640); #174173=VERTEX_POINT('',#543642); #174174=VERTEX_POINT('',#543646); #174175=VERTEX_POINT('',#543648); #174176=VERTEX_POINT('',#543660); #174177=VERTEX_POINT('',#543661); #174178=VERTEX_POINT('',#543663); #174179=VERTEX_POINT('',#543667); #174180=VERTEX_POINT('',#543668); #174181=VERTEX_POINT('',#543670); #174182=VERTEX_POINT('',#543674); #174183=VERTEX_POINT('',#543675); #174184=VERTEX_POINT('',#543677); #174185=VERTEX_POINT('',#543681); #174186=VERTEX_POINT('',#543682); #174187=VERTEX_POINT('',#543684); #174188=VERTEX_POINT('',#543688); #174189=VERTEX_POINT('',#543689); #174190=VERTEX_POINT('',#543691); #174191=VERTEX_POINT('',#543698); #174192=VERTEX_POINT('',#543699); #174193=VERTEX_POINT('',#543701); #174194=VERTEX_POINT('',#543705); #174195=VERTEX_POINT('',#543706); #174196=VERTEX_POINT('',#543708); #174197=VERTEX_POINT('',#543718); #174198=VERTEX_POINT('',#543719); #174199=VERTEX_POINT('',#543721); #174200=VERTEX_POINT('',#543758); #174201=VERTEX_POINT('',#543759); #174202=VERTEX_POINT('',#543761); #174203=VERTEX_POINT('',#543765); #174204=VERTEX_POINT('',#543766); #174205=VERTEX_POINT('',#543768); #174206=VERTEX_POINT('',#543772); #174207=VERTEX_POINT('',#543773); #174208=VERTEX_POINT('',#543775); #174209=VERTEX_POINT('',#543779); #174210=VERTEX_POINT('',#543780); #174211=VERTEX_POINT('',#543782); #174212=VERTEX_POINT('',#543786); #174213=VERTEX_POINT('',#543787); #174214=VERTEX_POINT('',#543789); #174215=VERTEX_POINT('',#543796); #174216=VERTEX_POINT('',#543797); #174217=VERTEX_POINT('',#543799); #174218=VERTEX_POINT('',#543803); #174219=VERTEX_POINT('',#543804); #174220=VERTEX_POINT('',#543806); #174221=VERTEX_POINT('',#543816); #174222=VERTEX_POINT('',#543817); #174223=VERTEX_POINT('',#543819); #174224=VERTEX_POINT('',#543856); #174225=VERTEX_POINT('',#543857); #174226=VERTEX_POINT('',#543859); #174227=VERTEX_POINT('',#543861); #174228=VERTEX_POINT('',#543865); #174229=VERTEX_POINT('',#543867); #174230=VERTEX_POINT('',#543871); #174231=VERTEX_POINT('',#543873); #174232=VERTEX_POINT('',#543885); #174233=VERTEX_POINT('',#543886); #174234=VERTEX_POINT('',#543888); #174235=VERTEX_POINT('',#543890); #174236=VERTEX_POINT('',#543894); #174237=VERTEX_POINT('',#543896); #174238=VERTEX_POINT('',#543900); #174239=VERTEX_POINT('',#543902); #174240=VERTEX_POINT('',#543909); #174241=VERTEX_POINT('',#543911); #174242=VERTEX_POINT('',#543915); #174243=VERTEX_POINT('',#543920); #174244=VERTEX_POINT('',#543927); #174245=VERTEX_POINT('',#543928); #174246=VERTEX_POINT('',#543930); #174247=VERTEX_POINT('',#543932); #174248=VERTEX_POINT('',#543936); #174249=VERTEX_POINT('',#543938); #174250=VERTEX_POINT('',#543942); #174251=VERTEX_POINT('',#543944); #174252=VERTEX_POINT('',#543953); #174253=VERTEX_POINT('',#543954); #174254=VERTEX_POINT('',#543956); #174255=VERTEX_POINT('',#543958); #174256=VERTEX_POINT('',#543962); #174257=VERTEX_POINT('',#543964); #174258=VERTEX_POINT('',#543968); #174259=VERTEX_POINT('',#543970); #174260=VERTEX_POINT('',#543979); #174261=VERTEX_POINT('',#543980); #174262=VERTEX_POINT('',#543982); #174263=VERTEX_POINT('',#543984); #174264=VERTEX_POINT('',#543988); #174265=VERTEX_POINT('',#543990); #174266=VERTEX_POINT('',#543994); #174267=VERTEX_POINT('',#543996); #174268=VERTEX_POINT('',#544005); #174269=VERTEX_POINT('',#544006); #174270=VERTEX_POINT('',#544008); #174271=VERTEX_POINT('',#544010); #174272=VERTEX_POINT('',#544014); #174273=VERTEX_POINT('',#544016); #174274=VERTEX_POINT('',#544020); #174275=VERTEX_POINT('',#544022); #174276=VERTEX_POINT('',#544031); #174277=VERTEX_POINT('',#544032); #174278=VERTEX_POINT('',#544034); #174279=VERTEX_POINT('',#544036); #174280=VERTEX_POINT('',#544040); #174281=VERTEX_POINT('',#544042); #174282=VERTEX_POINT('',#544046); #174283=VERTEX_POINT('',#544048); #174284=VERTEX_POINT('',#544060); #174285=VERTEX_POINT('',#544062); #174286=VERTEX_POINT('',#544067); #174287=VERTEX_POINT('',#544068); #174288=VERTEX_POINT('',#544070); #174289=VERTEX_POINT('',#544072); #174290=VERTEX_POINT('',#544076); #174291=VERTEX_POINT('',#544078); #174292=VERTEX_POINT('',#544082); #174293=VERTEX_POINT('',#544084); #174294=VERTEX_POINT('',#544091); #174295=VERTEX_POINT('',#544093); #174296=VERTEX_POINT('',#544097); #174297=VERTEX_POINT('',#544102); #174298=VERTEX_POINT('',#544104); #174299=VERTEX_POINT('',#544106); #174300=VERTEX_POINT('',#544110); #174301=VERTEX_POINT('',#544115); #174302=VERTEX_POINT('',#544125); #174303=VERTEX_POINT('',#544126); #174304=VERTEX_POINT('',#544128); #174305=VERTEX_POINT('',#544130); #174306=VERTEX_POINT('',#544132); #174307=VERTEX_POINT('',#544134); #174308=VERTEX_POINT('',#544136); #174309=VERTEX_POINT('',#544138); #174310=VERTEX_POINT('',#544140); #174311=VERTEX_POINT('',#544142); #174312=VERTEX_POINT('',#544144); #174313=VERTEX_POINT('',#544146); #174314=VERTEX_POINT('',#544150); #174315=VERTEX_POINT('',#544152); #174316=VERTEX_POINT('',#544156); #174317=VERTEX_POINT('',#544160); #174318=VERTEX_POINT('',#544164); #174319=VERTEX_POINT('',#544168); #174320=VERTEX_POINT('',#544172); #174321=VERTEX_POINT('',#544176); #174322=VERTEX_POINT('',#544180); #174323=VERTEX_POINT('',#544184); #174324=VERTEX_POINT('',#544188); #174325=VERTEX_POINT('',#544192); #174326=VERTEX_POINT('',#544199); #174327=VERTEX_POINT('',#544200); #174328=VERTEX_POINT('',#544202); #174329=VERTEX_POINT('',#544204); #174330=VERTEX_POINT('',#544206); #174331=VERTEX_POINT('',#544208); #174332=VERTEX_POINT('',#544210); #174333=VERTEX_POINT('',#544212); #174334=VERTEX_POINT('',#544214); #174335=VERTEX_POINT('',#544216); #174336=VERTEX_POINT('',#544218); #174337=VERTEX_POINT('',#544220); #174338=VERTEX_POINT('',#544224); #174339=VERTEX_POINT('',#544226); #174340=VERTEX_POINT('',#544230); #174341=VERTEX_POINT('',#544234); #174342=VERTEX_POINT('',#544238); #174343=VERTEX_POINT('',#544242); #174344=VERTEX_POINT('',#544246); #174345=VERTEX_POINT('',#544250); #174346=VERTEX_POINT('',#544254); #174347=VERTEX_POINT('',#544258); #174348=VERTEX_POINT('',#544262); #174349=VERTEX_POINT('',#544266); #174350=VERTEX_POINT('',#544273); #174351=VERTEX_POINT('',#544274); #174352=VERTEX_POINT('',#544276); #174353=VERTEX_POINT('',#544278); #174354=VERTEX_POINT('',#544280); #174355=VERTEX_POINT('',#544282); #174356=VERTEX_POINT('',#544284); #174357=VERTEX_POINT('',#544286); #174358=VERTEX_POINT('',#544288); #174359=VERTEX_POINT('',#544290); #174360=VERTEX_POINT('',#544292); #174361=VERTEX_POINT('',#544294); #174362=VERTEX_POINT('',#544298); #174363=VERTEX_POINT('',#544300); #174364=VERTEX_POINT('',#544304); #174365=VERTEX_POINT('',#544308); #174366=VERTEX_POINT('',#544312); #174367=VERTEX_POINT('',#544316); #174368=VERTEX_POINT('',#544320); #174369=VERTEX_POINT('',#544324); #174370=VERTEX_POINT('',#544328); #174371=VERTEX_POINT('',#544332); #174372=VERTEX_POINT('',#544336); #174373=VERTEX_POINT('',#544340); #174374=VERTEX_POINT('',#544347); #174375=VERTEX_POINT('',#544348); #174376=VERTEX_POINT('',#544350); #174377=VERTEX_POINT('',#544352); #174378=VERTEX_POINT('',#544354); #174379=VERTEX_POINT('',#544356); #174380=VERTEX_POINT('',#544358); #174381=VERTEX_POINT('',#544360); #174382=VERTEX_POINT('',#544362); #174383=VERTEX_POINT('',#544364); #174384=VERTEX_POINT('',#544366); #174385=VERTEX_POINT('',#544368); #174386=VERTEX_POINT('',#544372); #174387=VERTEX_POINT('',#544374); #174388=VERTEX_POINT('',#544378); #174389=VERTEX_POINT('',#544382); #174390=VERTEX_POINT('',#544386); #174391=VERTEX_POINT('',#544390); #174392=VERTEX_POINT('',#544394); #174393=VERTEX_POINT('',#544398); #174394=VERTEX_POINT('',#544402); #174395=VERTEX_POINT('',#544406); #174396=VERTEX_POINT('',#544410); #174397=VERTEX_POINT('',#544414); #174398=VERTEX_POINT('',#544421); #174399=VERTEX_POINT('',#544422); #174400=VERTEX_POINT('',#544424); #174401=VERTEX_POINT('',#544426); #174402=VERTEX_POINT('',#544428); #174403=VERTEX_POINT('',#544430); #174404=VERTEX_POINT('',#544432); #174405=VERTEX_POINT('',#544434); #174406=VERTEX_POINT('',#544436); #174407=VERTEX_POINT('',#544438); #174408=VERTEX_POINT('',#544440); #174409=VERTEX_POINT('',#544442); #174410=VERTEX_POINT('',#544446); #174411=VERTEX_POINT('',#544448); #174412=VERTEX_POINT('',#544452); #174413=VERTEX_POINT('',#544456); #174414=VERTEX_POINT('',#544460); #174415=VERTEX_POINT('',#544464); #174416=VERTEX_POINT('',#544468); #174417=VERTEX_POINT('',#544472); #174418=VERTEX_POINT('',#544476); #174419=VERTEX_POINT('',#544480); #174420=VERTEX_POINT('',#544484); #174421=VERTEX_POINT('',#544488); #174422=VERTEX_POINT('',#544495); #174423=VERTEX_POINT('',#544496); #174424=VERTEX_POINT('',#544498); #174425=VERTEX_POINT('',#544500); #174426=VERTEX_POINT('',#544502); #174427=VERTEX_POINT('',#544504); #174428=VERTEX_POINT('',#544506); #174429=VERTEX_POINT('',#544508); #174430=VERTEX_POINT('',#544510); #174431=VERTEX_POINT('',#544512); #174432=VERTEX_POINT('',#544514); #174433=VERTEX_POINT('',#544516); #174434=VERTEX_POINT('',#544520); #174435=VERTEX_POINT('',#544522); #174436=VERTEX_POINT('',#544526); #174437=VERTEX_POINT('',#544530); #174438=VERTEX_POINT('',#544534); #174439=VERTEX_POINT('',#544538); #174440=VERTEX_POINT('',#544542); #174441=VERTEX_POINT('',#544546); #174442=VERTEX_POINT('',#544550); #174443=VERTEX_POINT('',#544554); #174444=VERTEX_POINT('',#544558); #174445=VERTEX_POINT('',#544562); #174446=VERTEX_POINT('',#544569); #174447=VERTEX_POINT('',#544570); #174448=VERTEX_POINT('',#544572); #174449=VERTEX_POINT('',#544574); #174450=VERTEX_POINT('',#544576); #174451=VERTEX_POINT('',#544578); #174452=VERTEX_POINT('',#544580); #174453=VERTEX_POINT('',#544582); #174454=VERTEX_POINT('',#544584); #174455=VERTEX_POINT('',#544586); #174456=VERTEX_POINT('',#544588); #174457=VERTEX_POINT('',#544590); #174458=VERTEX_POINT('',#544594); #174459=VERTEX_POINT('',#544596); #174460=VERTEX_POINT('',#544600); #174461=VERTEX_POINT('',#544604); #174462=VERTEX_POINT('',#544608); #174463=VERTEX_POINT('',#544612); #174464=VERTEX_POINT('',#544616); #174465=VERTEX_POINT('',#544620); #174466=VERTEX_POINT('',#544624); #174467=VERTEX_POINT('',#544628); #174468=VERTEX_POINT('',#544632); #174469=VERTEX_POINT('',#544636); #174470=VERTEX_POINT('',#544643); #174471=VERTEX_POINT('',#544644); #174472=VERTEX_POINT('',#544646); #174473=VERTEX_POINT('',#544648); #174474=VERTEX_POINT('',#544650); #174475=VERTEX_POINT('',#544652); #174476=VERTEX_POINT('',#544654); #174477=VERTEX_POINT('',#544656); #174478=VERTEX_POINT('',#544658); #174479=VERTEX_POINT('',#544660); #174480=VERTEX_POINT('',#544662); #174481=VERTEX_POINT('',#544664); #174482=VERTEX_POINT('',#544668); #174483=VERTEX_POINT('',#544670); #174484=VERTEX_POINT('',#544674); #174485=VERTEX_POINT('',#544678); #174486=VERTEX_POINT('',#544682); #174487=VERTEX_POINT('',#544686); #174488=VERTEX_POINT('',#544690); #174489=VERTEX_POINT('',#544694); #174490=VERTEX_POINT('',#544698); #174491=VERTEX_POINT('',#544702); #174492=VERTEX_POINT('',#544706); #174493=VERTEX_POINT('',#544710); #174494=VERTEX_POINT('',#544720); #174495=VERTEX_POINT('',#544722); #174496=VERTEX_POINT('',#544727); #174497=VERTEX_POINT('',#544728); #174498=VERTEX_POINT('',#544730); #174499=VERTEX_POINT('',#544732); #174500=VERTEX_POINT('',#544736); #174501=VERTEX_POINT('',#544738); #174502=VERTEX_POINT('',#544742); #174503=VERTEX_POINT('',#544744); #174504=VERTEX_POINT('',#544751); #174505=VERTEX_POINT('',#544753); #174506=VERTEX_POINT('',#544757); #174507=VERTEX_POINT('',#544762); #174508=VERTEX_POINT('',#544764); #174509=VERTEX_POINT('',#544766); #174510=VERTEX_POINT('',#544770); #174511=VERTEX_POINT('',#544775); #174512=VERTEX_POINT('',#544785); #174513=VERTEX_POINT('',#544786); #174514=VERTEX_POINT('',#544788); #174515=VERTEX_POINT('',#544790); #174516=VERTEX_POINT('',#544792); #174517=VERTEX_POINT('',#544794); #174518=VERTEX_POINT('',#544796); #174519=VERTEX_POINT('',#544798); #174520=VERTEX_POINT('',#544800); #174521=VERTEX_POINT('',#544802); #174522=VERTEX_POINT('',#544804); #174523=VERTEX_POINT('',#544806); #174524=VERTEX_POINT('',#544810); #174525=VERTEX_POINT('',#544812); #174526=VERTEX_POINT('',#544816); #174527=VERTEX_POINT('',#544820); #174528=VERTEX_POINT('',#544824); #174529=VERTEX_POINT('',#544828); #174530=VERTEX_POINT('',#544832); #174531=VERTEX_POINT('',#544836); #174532=VERTEX_POINT('',#544840); #174533=VERTEX_POINT('',#544844); #174534=VERTEX_POINT('',#544848); #174535=VERTEX_POINT('',#544852); #174536=VERTEX_POINT('',#544859); #174537=VERTEX_POINT('',#544860); #174538=VERTEX_POINT('',#544862); #174539=VERTEX_POINT('',#544864); #174540=VERTEX_POINT('',#544866); #174541=VERTEX_POINT('',#544868); #174542=VERTEX_POINT('',#544870); #174543=VERTEX_POINT('',#544872); #174544=VERTEX_POINT('',#544874); #174545=VERTEX_POINT('',#544876); #174546=VERTEX_POINT('',#544878); #174547=VERTEX_POINT('',#544880); #174548=VERTEX_POINT('',#544884); #174549=VERTEX_POINT('',#544886); #174550=VERTEX_POINT('',#544890); #174551=VERTEX_POINT('',#544894); #174552=VERTEX_POINT('',#544898); #174553=VERTEX_POINT('',#544902); #174554=VERTEX_POINT('',#544906); #174555=VERTEX_POINT('',#544910); #174556=VERTEX_POINT('',#544914); #174557=VERTEX_POINT('',#544918); #174558=VERTEX_POINT('',#544922); #174559=VERTEX_POINT('',#544926); #174560=VERTEX_POINT('',#544933); #174561=VERTEX_POINT('',#544934); #174562=VERTEX_POINT('',#544936); #174563=VERTEX_POINT('',#544938); #174564=VERTEX_POINT('',#544940); #174565=VERTEX_POINT('',#544942); #174566=VERTEX_POINT('',#544944); #174567=VERTEX_POINT('',#544946); #174568=VERTEX_POINT('',#544948); #174569=VERTEX_POINT('',#544950); #174570=VERTEX_POINT('',#544952); #174571=VERTEX_POINT('',#544954); #174572=VERTEX_POINT('',#544958); #174573=VERTEX_POINT('',#544960); #174574=VERTEX_POINT('',#544964); #174575=VERTEX_POINT('',#544968); #174576=VERTEX_POINT('',#544972); #174577=VERTEX_POINT('',#544976); #174578=VERTEX_POINT('',#544980); #174579=VERTEX_POINT('',#544984); #174580=VERTEX_POINT('',#544988); #174581=VERTEX_POINT('',#544992); #174582=VERTEX_POINT('',#544996); #174583=VERTEX_POINT('',#545000); #174584=VERTEX_POINT('',#545007); #174585=VERTEX_POINT('',#545008); #174586=VERTEX_POINT('',#545010); #174587=VERTEX_POINT('',#545012); #174588=VERTEX_POINT('',#545014); #174589=VERTEX_POINT('',#545016); #174590=VERTEX_POINT('',#545018); #174591=VERTEX_POINT('',#545020); #174592=VERTEX_POINT('',#545022); #174593=VERTEX_POINT('',#545024); #174594=VERTEX_POINT('',#545026); #174595=VERTEX_POINT('',#545028); #174596=VERTEX_POINT('',#545032); #174597=VERTEX_POINT('',#545034); #174598=VERTEX_POINT('',#545038); #174599=VERTEX_POINT('',#545042); #174600=VERTEX_POINT('',#545046); #174601=VERTEX_POINT('',#545050); #174602=VERTEX_POINT('',#545054); #174603=VERTEX_POINT('',#545058); #174604=VERTEX_POINT('',#545062); #174605=VERTEX_POINT('',#545066); #174606=VERTEX_POINT('',#545070); #174607=VERTEX_POINT('',#545074); #174608=VERTEX_POINT('',#545081); #174609=VERTEX_POINT('',#545082); #174610=VERTEX_POINT('',#545084); #174611=VERTEX_POINT('',#545086); #174612=VERTEX_POINT('',#545088); #174613=VERTEX_POINT('',#545090); #174614=VERTEX_POINT('',#545092); #174615=VERTEX_POINT('',#545094); #174616=VERTEX_POINT('',#545096); #174617=VERTEX_POINT('',#545098); #174618=VERTEX_POINT('',#545100); #174619=VERTEX_POINT('',#545102); #174620=VERTEX_POINT('',#545106); #174621=VERTEX_POINT('',#545108); #174622=VERTEX_POINT('',#545112); #174623=VERTEX_POINT('',#545116); #174624=VERTEX_POINT('',#545120); #174625=VERTEX_POINT('',#545124); #174626=VERTEX_POINT('',#545128); #174627=VERTEX_POINT('',#545132); #174628=VERTEX_POINT('',#545136); #174629=VERTEX_POINT('',#545140); #174630=VERTEX_POINT('',#545144); #174631=VERTEX_POINT('',#545148); #174632=VERTEX_POINT('',#545158); #174633=VERTEX_POINT('',#545159); #174634=VERTEX_POINT('',#545161); #174635=VERTEX_POINT('',#545165); #174636=VERTEX_POINT('',#545166); #174637=VERTEX_POINT('',#545168); #174638=VERTEX_POINT('',#545172); #174639=VERTEX_POINT('',#545173); #174640=VERTEX_POINT('',#545175); #174641=VERTEX_POINT('',#545179); #174642=VERTEX_POINT('',#545180); #174643=VERTEX_POINT('',#545182); #174644=VERTEX_POINT('',#545186); #174645=VERTEX_POINT('',#545187); #174646=VERTEX_POINT('',#545189); #174647=VERTEX_POINT('',#545196); #174648=VERTEX_POINT('',#545197); #174649=VERTEX_POINT('',#545199); #174650=VERTEX_POINT('',#545203); #174651=VERTEX_POINT('',#545204); #174652=VERTEX_POINT('',#545206); #174653=VERTEX_POINT('',#545216); #174654=VERTEX_POINT('',#545217); #174655=VERTEX_POINT('',#545219); #174656=VERTEX_POINT('',#545256); #174657=VERTEX_POINT('',#545257); #174658=VERTEX_POINT('',#545259); #174659=VERTEX_POINT('',#545263); #174660=VERTEX_POINT('',#545264); #174661=VERTEX_POINT('',#545266); #174662=VERTEX_POINT('',#545270); #174663=VERTEX_POINT('',#545271); #174664=VERTEX_POINT('',#545273); #174665=VERTEX_POINT('',#545277); #174666=VERTEX_POINT('',#545278); #174667=VERTEX_POINT('',#545280); #174668=VERTEX_POINT('',#545284); #174669=VERTEX_POINT('',#545285); #174670=VERTEX_POINT('',#545287); #174671=VERTEX_POINT('',#545294); #174672=VERTEX_POINT('',#545295); #174673=VERTEX_POINT('',#545297); #174674=VERTEX_POINT('',#545301); #174675=VERTEX_POINT('',#545302); #174676=VERTEX_POINT('',#545304); #174677=VERTEX_POINT('',#545314); #174678=VERTEX_POINT('',#545315); #174679=VERTEX_POINT('',#545317); #174680=VERTEX_POINT('',#545354); #174681=VERTEX_POINT('',#545355); #174682=VERTEX_POINT('',#545357); #174683=VERTEX_POINT('',#545359); #174684=VERTEX_POINT('',#545363); #174685=VERTEX_POINT('',#545365); #174686=VERTEX_POINT('',#545369); #174687=VERTEX_POINT('',#545371); #174688=VERTEX_POINT('',#545383); #174689=VERTEX_POINT('',#545384); #174690=VERTEX_POINT('',#545386); #174691=VERTEX_POINT('',#545388); #174692=VERTEX_POINT('',#545392); #174693=VERTEX_POINT('',#545394); #174694=VERTEX_POINT('',#545398); #174695=VERTEX_POINT('',#545400); #174696=VERTEX_POINT('',#545407); #174697=VERTEX_POINT('',#545409); #174698=VERTEX_POINT('',#545413); #174699=VERTEX_POINT('',#545418); #174700=VERTEX_POINT('',#545419); #174701=VERTEX_POINT('',#545421); #174702=VERTEX_POINT('',#545423); #174703=VERTEX_POINT('',#545427); #174704=VERTEX_POINT('',#545429); #174705=VERTEX_POINT('',#545433); #174706=VERTEX_POINT('',#545435); #174707=VERTEX_POINT('',#545442); #174708=VERTEX_POINT('',#545444); #174709=VERTEX_POINT('',#545448); #174710=VERTEX_POINT('',#545453); #174711=VERTEX_POINT('',#545459); #174712=VERTEX_POINT('',#545465); #174713=VERTEX_POINT('',#545466); #174714=VERTEX_POINT('',#545468); #174715=VERTEX_POINT('',#545470); #174716=VERTEX_POINT('',#545474); #174717=VERTEX_POINT('',#545476); #174718=VERTEX_POINT('',#545480); #174719=VERTEX_POINT('',#545481); #174720=VERTEX_POINT('',#545483); #174721=VERTEX_POINT('',#545485); #174722=VERTEX_POINT('',#545489); #174723=VERTEX_POINT('',#545490); #174724=VERTEX_POINT('',#545492); #174725=VERTEX_POINT('',#545494); #174726=VERTEX_POINT('',#545498); #174727=VERTEX_POINT('',#545500); #174728=VERTEX_POINT('',#545507); #174729=VERTEX_POINT('',#545508); #174730=VERTEX_POINT('',#545510); #174731=VERTEX_POINT('',#545512); #174732=VERTEX_POINT('',#545516); #174733=VERTEX_POINT('',#545518); #174734=VERTEX_POINT('',#545522); #174735=VERTEX_POINT('',#545524); #174736=VERTEX_POINT('',#545531); #174737=VERTEX_POINT('',#545533); #174738=VERTEX_POINT('',#545537); #174739=VERTEX_POINT('',#545542); #174740=VERTEX_POINT('',#545543); #174741=VERTEX_POINT('',#545545); #174742=VERTEX_POINT('',#545547); #174743=VERTEX_POINT('',#545551); #174744=VERTEX_POINT('',#545553); #174745=VERTEX_POINT('',#545557); #174746=VERTEX_POINT('',#545559); #174747=VERTEX_POINT('',#545566); #174748=VERTEX_POINT('',#545568); #174749=VERTEX_POINT('',#545572); #174750=VERTEX_POINT('',#545577); #174751=VERTEX_POINT('',#545583); #174752=VERTEX_POINT('',#545589); #174753=VERTEX_POINT('',#545591); #174754=VERTEX_POINT('',#545595); #174755=VERTEX_POINT('',#545596); #174756=VERTEX_POINT('',#545598); #174757=VERTEX_POINT('',#545600); #174758=VERTEX_POINT('',#545604); #174759=VERTEX_POINT('',#545606); #174760=VERTEX_POINT('',#545610); #174761=VERTEX_POINT('',#545612); #174762=VERTEX_POINT('',#545616); #174763=VERTEX_POINT('',#545618); #174764=VERTEX_POINT('',#545625); #174765=VERTEX_POINT('',#545626); #174766=VERTEX_POINT('',#545628); #174767=VERTEX_POINT('',#545630); #174768=VERTEX_POINT('',#545634); #174769=VERTEX_POINT('',#545636); #174770=VERTEX_POINT('',#545640); #174771=VERTEX_POINT('',#545642); #174772=VERTEX_POINT('',#545649); #174773=VERTEX_POINT('',#545651); #174774=VERTEX_POINT('',#545655); #174775=VERTEX_POINT('',#545660); #174776=VERTEX_POINT('',#545661); #174777=VERTEX_POINT('',#545663); #174778=VERTEX_POINT('',#545665); #174779=VERTEX_POINT('',#545669); #174780=VERTEX_POINT('',#545671); #174781=VERTEX_POINT('',#545675); #174782=VERTEX_POINT('',#545677); #174783=VERTEX_POINT('',#545684); #174784=VERTEX_POINT('',#545686); #174785=VERTEX_POINT('',#545690); #174786=VERTEX_POINT('',#545695); #174787=VERTEX_POINT('',#545701); #174788=VERTEX_POINT('',#545707); #174789=VERTEX_POINT('',#545709); #174790=VERTEX_POINT('',#545713); #174791=VERTEX_POINT('',#545715); #174792=VERTEX_POINT('',#545719); #174793=VERTEX_POINT('',#545721); #174794=VERTEX_POINT('',#545725); #174795=VERTEX_POINT('',#545726); #174796=VERTEX_POINT('',#545728); #174797=VERTEX_POINT('',#545730); #174798=VERTEX_POINT('',#545734); #174799=VERTEX_POINT('',#545736); #174800=VERTEX_POINT('',#545743); #174801=VERTEX_POINT('',#545744); #174802=VERTEX_POINT('',#545746); #174803=VERTEX_POINT('',#545748); #174804=VERTEX_POINT('',#545752); #174805=VERTEX_POINT('',#545754); #174806=VERTEX_POINT('',#545758); #174807=VERTEX_POINT('',#545760); #174808=VERTEX_POINT('',#545767); #174809=VERTEX_POINT('',#545769); #174810=VERTEX_POINT('',#545773); #174811=VERTEX_POINT('',#545778); #174812=VERTEX_POINT('',#545779); #174813=VERTEX_POINT('',#545781); #174814=VERTEX_POINT('',#545783); #174815=VERTEX_POINT('',#545787); #174816=VERTEX_POINT('',#545789); #174817=VERTEX_POINT('',#545793); #174818=VERTEX_POINT('',#545795); #174819=VERTEX_POINT('',#545802); #174820=VERTEX_POINT('',#545804); #174821=VERTEX_POINT('',#545808); #174822=VERTEX_POINT('',#545813); #174823=VERTEX_POINT('',#545819); #174824=VERTEX_POINT('',#545828); #174825=VERTEX_POINT('',#545830); #174826=VERTEX_POINT('',#545832); #174827=VERTEX_POINT('',#545834); #174828=VERTEX_POINT('',#545838); #174829=VERTEX_POINT('',#545842); #174830=VERTEX_POINT('',#545846); #174831=VERTEX_POINT('',#545850); #174832=VERTEX_POINT('',#545860); #174833=VERTEX_POINT('',#545862); #174834=VERTEX_POINT('',#545868); #174835=VERTEX_POINT('',#545870); #174836=VERTEX_POINT('',#545874); #174837=VERTEX_POINT('',#545876); #174838=VERTEX_POINT('',#545882); #174839=VERTEX_POINT('',#545884); #174840=VERTEX_POINT('',#545890); #174841=VERTEX_POINT('',#545892); #174842=VERTEX_POINT('',#545898); #174843=VERTEX_POINT('',#545900); #174844=EDGE_CURVE('',#144500,#144500,#136748,.T.); #174845=EDGE_CURVE('',#144500,#144501,#60910,.T.); #174846=EDGE_CURVE('',#144501,#144501,#136749,.T.); #174847=EDGE_CURVE('',#144502,#144502,#136750,.T.); #174848=EDGE_CURVE('',#144502,#144503,#60911,.T.); #174849=EDGE_CURVE('',#144503,#144503,#136751,.T.); #174850=EDGE_CURVE('',#144504,#144504,#136752,.T.); #174851=EDGE_CURVE('',#144504,#144505,#60912,.T.); #174852=EDGE_CURVE('',#144505,#144505,#136753,.T.); #174853=EDGE_CURVE('',#144506,#144506,#136754,.T.); #174854=EDGE_CURVE('',#144506,#144507,#60913,.T.); #174855=EDGE_CURVE('',#144507,#144507,#136755,.T.); #174856=EDGE_CURVE('',#144508,#144508,#136756,.T.); #174857=EDGE_CURVE('',#144508,#144509,#60914,.T.); #174858=EDGE_CURVE('',#144509,#144509,#136757,.T.); #174859=EDGE_CURVE('',#144510,#144510,#136758,.T.); #174860=EDGE_CURVE('',#144510,#144511,#60915,.T.); #174861=EDGE_CURVE('',#144511,#144511,#136759,.T.); #174862=EDGE_CURVE('',#144512,#144512,#136760,.T.); #174863=EDGE_CURVE('',#144512,#144513,#60916,.T.); #174864=EDGE_CURVE('',#144513,#144513,#136761,.T.); #174865=EDGE_CURVE('',#144514,#144514,#136762,.T.); #174866=EDGE_CURVE('',#144514,#144515,#60917,.T.); #174867=EDGE_CURVE('',#144515,#144515,#136763,.T.); #174868=EDGE_CURVE('',#144516,#144516,#136764,.T.); #174869=EDGE_CURVE('',#144516,#144517,#60918,.T.); #174870=EDGE_CURVE('',#144517,#144517,#136765,.T.); #174871=EDGE_CURVE('',#144518,#144518,#136766,.T.); #174872=EDGE_CURVE('',#144518,#144519,#60919,.T.); #174873=EDGE_CURVE('',#144519,#144519,#136767,.T.); #174874=EDGE_CURVE('',#144520,#144520,#136768,.T.); #174875=EDGE_CURVE('',#144520,#144521,#60920,.T.); #174876=EDGE_CURVE('',#144521,#144521,#136769,.T.); #174877=EDGE_CURVE('',#144522,#144522,#136770,.T.); #174878=EDGE_CURVE('',#144522,#144523,#60921,.T.); #174879=EDGE_CURVE('',#144523,#144523,#136771,.T.); #174880=EDGE_CURVE('',#144524,#144524,#136772,.T.); #174881=EDGE_CURVE('',#144524,#144525,#60922,.T.); #174882=EDGE_CURVE('',#144525,#144525,#136773,.T.); #174883=EDGE_CURVE('',#144526,#144526,#136774,.T.); #174884=EDGE_CURVE('',#144526,#144527,#60923,.T.); #174885=EDGE_CURVE('',#144527,#144527,#136775,.T.); #174886=EDGE_CURVE('',#144528,#144528,#136776,.T.); #174887=EDGE_CURVE('',#144528,#144529,#60924,.T.); #174888=EDGE_CURVE('',#144529,#144529,#136777,.T.); #174889=EDGE_CURVE('',#144530,#144530,#136778,.T.); #174890=EDGE_CURVE('',#144530,#144531,#60925,.T.); #174891=EDGE_CURVE('',#144531,#144531,#136779,.T.); #174892=EDGE_CURVE('',#144532,#144532,#136780,.T.); #174893=EDGE_CURVE('',#144532,#144533,#60926,.T.); #174894=EDGE_CURVE('',#144533,#144533,#136781,.T.); #174895=EDGE_CURVE('',#144534,#144534,#136782,.T.); #174896=EDGE_CURVE('',#144534,#144535,#60927,.T.); #174897=EDGE_CURVE('',#144535,#144535,#136783,.T.); #174898=EDGE_CURVE('',#144536,#144536,#136784,.T.); #174899=EDGE_CURVE('',#144536,#144537,#60928,.T.); #174900=EDGE_CURVE('',#144537,#144537,#136785,.T.); #174901=EDGE_CURVE('',#144538,#144538,#136786,.T.); #174902=EDGE_CURVE('',#144538,#144539,#60929,.T.); #174903=EDGE_CURVE('',#144539,#144539,#136787,.T.); #174904=EDGE_CURVE('',#144540,#144540,#136788,.T.); #174905=EDGE_CURVE('',#144540,#144541,#60930,.T.); #174906=EDGE_CURVE('',#144541,#144541,#136789,.T.); #174907=EDGE_CURVE('',#144542,#144542,#136790,.T.); #174908=EDGE_CURVE('',#144542,#144543,#60931,.T.); #174909=EDGE_CURVE('',#144543,#144543,#136791,.T.); #174910=EDGE_CURVE('',#144544,#144544,#136792,.T.); #174911=EDGE_CURVE('',#144544,#144545,#60932,.T.); #174912=EDGE_CURVE('',#144545,#144545,#136793,.T.); #174913=EDGE_CURVE('',#144546,#144546,#136794,.T.); #174914=EDGE_CURVE('',#144546,#144547,#60933,.T.); #174915=EDGE_CURVE('',#144547,#144547,#136795,.T.); #174916=EDGE_CURVE('',#144548,#144548,#136796,.T.); #174917=EDGE_CURVE('',#144548,#144549,#60934,.T.); #174918=EDGE_CURVE('',#144549,#144549,#136797,.T.); #174919=EDGE_CURVE('',#144550,#144550,#136798,.T.); #174920=EDGE_CURVE('',#144550,#144551,#60935,.T.); #174921=EDGE_CURVE('',#144551,#144551,#136799,.T.); #174922=EDGE_CURVE('',#144552,#144552,#136800,.T.); #174923=EDGE_CURVE('',#144552,#144553,#60936,.T.); #174924=EDGE_CURVE('',#144553,#144553,#136801,.T.); #174925=EDGE_CURVE('',#144554,#144554,#136802,.T.); #174926=EDGE_CURVE('',#144554,#144555,#60937,.T.); #174927=EDGE_CURVE('',#144555,#144555,#136803,.T.); #174928=EDGE_CURVE('',#144556,#144556,#136804,.T.); #174929=EDGE_CURVE('',#144556,#144557,#60938,.T.); #174930=EDGE_CURVE('',#144557,#144557,#136805,.T.); #174931=EDGE_CURVE('',#144558,#144558,#136806,.T.); #174932=EDGE_CURVE('',#144558,#144559,#60939,.T.); #174933=EDGE_CURVE('',#144559,#144559,#136807,.T.); #174934=EDGE_CURVE('',#144560,#144560,#136808,.T.); #174935=EDGE_CURVE('',#144560,#144561,#60940,.T.); #174936=EDGE_CURVE('',#144561,#144561,#136809,.T.); #174937=EDGE_CURVE('',#144562,#144562,#136810,.T.); #174938=EDGE_CURVE('',#144562,#144563,#60941,.T.); #174939=EDGE_CURVE('',#144563,#144563,#136811,.T.); #174940=EDGE_CURVE('',#144564,#144564,#136812,.T.); #174941=EDGE_CURVE('',#144564,#144565,#60942,.T.); #174942=EDGE_CURVE('',#144565,#144565,#136813,.T.); #174943=EDGE_CURVE('',#144566,#144566,#136814,.T.); #174944=EDGE_CURVE('',#144566,#144567,#60943,.T.); #174945=EDGE_CURVE('',#144567,#144567,#136815,.T.); #174946=EDGE_CURVE('',#144568,#144568,#136816,.T.); #174947=EDGE_CURVE('',#144568,#144569,#60944,.T.); #174948=EDGE_CURVE('',#144569,#144569,#136817,.T.); #174949=EDGE_CURVE('',#144570,#144570,#136818,.T.); #174950=EDGE_CURVE('',#144570,#144571,#60945,.T.); #174951=EDGE_CURVE('',#144571,#144571,#136819,.T.); #174952=EDGE_CURVE('',#144572,#144572,#136820,.T.); #174953=EDGE_CURVE('',#144572,#144573,#60946,.T.); #174954=EDGE_CURVE('',#144573,#144573,#136821,.T.); #174955=EDGE_CURVE('',#144574,#144574,#136822,.T.); #174956=EDGE_CURVE('',#144574,#144575,#60947,.T.); #174957=EDGE_CURVE('',#144575,#144575,#136823,.T.); #174958=EDGE_CURVE('',#144576,#144576,#136824,.T.); #174959=EDGE_CURVE('',#144576,#144577,#60948,.T.); #174960=EDGE_CURVE('',#144577,#144577,#136825,.T.); #174961=EDGE_CURVE('',#144578,#144579,#60949,.T.); #174962=EDGE_CURVE('',#144580,#144578,#60950,.T.); #174963=EDGE_CURVE('',#144581,#144580,#60951,.T.); #174964=EDGE_CURVE('',#144581,#144579,#60952,.T.); #174965=EDGE_CURVE('',#144579,#144582,#136826,.T.); #174966=EDGE_CURVE('',#144583,#144581,#136827,.T.); #174967=EDGE_CURVE('',#144583,#144582,#60953,.T.); #174968=EDGE_CURVE('',#144582,#144584,#60954,.T.); #174969=EDGE_CURVE('',#144585,#144583,#60955,.T.); #174970=EDGE_CURVE('',#144585,#144584,#60956,.T.); #174971=EDGE_CURVE('',#144584,#144578,#136828,.T.); #174972=EDGE_CURVE('',#144580,#144585,#136829,.T.); #174973=EDGE_CURVE('',#144586,#144586,#136830,.T.); #174974=EDGE_CURVE('',#144586,#144587,#60957,.T.); #174975=EDGE_CURVE('',#144587,#144587,#136831,.T.); #174976=EDGE_CURVE('',#144588,#144589,#60958,.T.); #174977=EDGE_CURVE('',#144590,#144588,#60959,.T.); #174978=EDGE_CURVE('',#144591,#144590,#60960,.T.); #174979=EDGE_CURVE('',#144591,#144589,#60961,.T.); #174980=EDGE_CURVE('',#144589,#144592,#136832,.T.); #174981=EDGE_CURVE('',#144593,#144591,#136833,.T.); #174982=EDGE_CURVE('',#144593,#144592,#60962,.T.); #174983=EDGE_CURVE('',#144592,#144594,#60963,.T.); #174984=EDGE_CURVE('',#144595,#144593,#60964,.T.); #174985=EDGE_CURVE('',#144595,#144594,#60965,.T.); #174986=EDGE_CURVE('',#144594,#144588,#136834,.T.); #174987=EDGE_CURVE('',#144590,#144595,#136835,.T.); #174988=EDGE_CURVE('',#144596,#144596,#136836,.T.); #174989=EDGE_CURVE('',#144596,#144597,#60966,.T.); #174990=EDGE_CURVE('',#144597,#144597,#136837,.T.); #174991=EDGE_CURVE('',#144598,#144598,#136838,.T.); #174992=EDGE_CURVE('',#144598,#144599,#60967,.T.); #174993=EDGE_CURVE('',#144599,#144599,#136839,.T.); #174994=EDGE_CURVE('',#144600,#144600,#136840,.T.); #174995=EDGE_CURVE('',#144600,#144601,#60968,.T.); #174996=EDGE_CURVE('',#144601,#144601,#136841,.T.); #174997=EDGE_CURVE('',#144602,#144602,#136842,.T.); #174998=EDGE_CURVE('',#144602,#144603,#60969,.T.); #174999=EDGE_CURVE('',#144603,#144603,#136843,.T.); #175000=EDGE_CURVE('',#144604,#144604,#136844,.T.); #175001=EDGE_CURVE('',#144604,#144605,#60970,.T.); #175002=EDGE_CURVE('',#144605,#144605,#136845,.T.); #175003=EDGE_CURVE('',#144606,#144606,#136846,.T.); #175004=EDGE_CURVE('',#144606,#144607,#60971,.T.); #175005=EDGE_CURVE('',#144607,#144607,#136847,.T.); #175006=EDGE_CURVE('',#144608,#144608,#136848,.T.); #175007=EDGE_CURVE('',#144608,#144609,#60972,.T.); #175008=EDGE_CURVE('',#144609,#144609,#136849,.T.); #175009=EDGE_CURVE('',#144610,#144610,#136850,.T.); #175010=EDGE_CURVE('',#144610,#144611,#60973,.T.); #175011=EDGE_CURVE('',#144611,#144611,#136851,.T.); #175012=EDGE_CURVE('',#144612,#144612,#136852,.T.); #175013=EDGE_CURVE('',#144612,#144613,#60974,.T.); #175014=EDGE_CURVE('',#144613,#144613,#136853,.T.); #175015=EDGE_CURVE('',#144614,#144614,#136854,.T.); #175016=EDGE_CURVE('',#144614,#144615,#60975,.T.); #175017=EDGE_CURVE('',#144615,#144615,#136855,.T.); #175018=EDGE_CURVE('',#144616,#144616,#136856,.T.); #175019=EDGE_CURVE('',#144616,#144617,#60976,.T.); #175020=EDGE_CURVE('',#144617,#144617,#136857,.T.); #175021=EDGE_CURVE('',#144618,#144619,#60977,.T.); #175022=EDGE_CURVE('',#144620,#144618,#60978,.T.); #175023=EDGE_CURVE('',#144621,#144620,#60979,.T.); #175024=EDGE_CURVE('',#144621,#144619,#60980,.T.); #175025=EDGE_CURVE('',#144619,#144622,#136858,.T.); #175026=EDGE_CURVE('',#144623,#144621,#136859,.T.); #175027=EDGE_CURVE('',#144623,#144622,#60981,.T.); #175028=EDGE_CURVE('',#144622,#144624,#60982,.T.); #175029=EDGE_CURVE('',#144625,#144623,#60983,.T.); #175030=EDGE_CURVE('',#144625,#144624,#60984,.T.); #175031=EDGE_CURVE('',#144624,#144618,#136860,.T.); #175032=EDGE_CURVE('',#144620,#144625,#136861,.T.); #175033=EDGE_CURVE('',#144626,#144626,#136862,.T.); #175034=EDGE_CURVE('',#144626,#144627,#60985,.T.); #175035=EDGE_CURVE('',#144627,#144627,#136863,.T.); #175036=EDGE_CURVE('',#144628,#144629,#60986,.T.); #175037=EDGE_CURVE('',#144630,#144628,#60987,.T.); #175038=EDGE_CURVE('',#144631,#144630,#60988,.T.); #175039=EDGE_CURVE('',#144631,#144629,#60989,.T.); #175040=EDGE_CURVE('',#144629,#144632,#136864,.T.); #175041=EDGE_CURVE('',#144633,#144631,#136865,.T.); #175042=EDGE_CURVE('',#144633,#144632,#60990,.T.); #175043=EDGE_CURVE('',#144632,#144634,#60991,.T.); #175044=EDGE_CURVE('',#144635,#144633,#60992,.T.); #175045=EDGE_CURVE('',#144635,#144634,#60993,.T.); #175046=EDGE_CURVE('',#144634,#144628,#136866,.T.); #175047=EDGE_CURVE('',#144630,#144635,#136867,.T.); #175048=EDGE_CURVE('',#144636,#144636,#136868,.T.); #175049=EDGE_CURVE('',#144636,#144637,#60994,.T.); #175050=EDGE_CURVE('',#144637,#144637,#136869,.T.); #175051=EDGE_CURVE('',#144638,#144639,#60995,.T.); #175052=EDGE_CURVE('',#144640,#144638,#60996,.T.); #175053=EDGE_CURVE('',#144641,#144640,#60997,.T.); #175054=EDGE_CURVE('',#144641,#144639,#60998,.T.); #175055=EDGE_CURVE('',#144639,#144642,#136870,.T.); #175056=EDGE_CURVE('',#144643,#144641,#136871,.T.); #175057=EDGE_CURVE('',#144643,#144642,#60999,.T.); #175058=EDGE_CURVE('',#144642,#144644,#61000,.T.); #175059=EDGE_CURVE('',#144645,#144643,#61001,.T.); #175060=EDGE_CURVE('',#144645,#144644,#61002,.T.); #175061=EDGE_CURVE('',#144644,#144638,#136872,.T.); #175062=EDGE_CURVE('',#144640,#144645,#136873,.T.); #175063=EDGE_CURVE('',#144646,#144646,#136874,.T.); #175064=EDGE_CURVE('',#144646,#144647,#61003,.T.); #175065=EDGE_CURVE('',#144647,#144647,#136875,.T.); #175066=EDGE_CURVE('',#144648,#144649,#61004,.T.); #175067=EDGE_CURVE('',#144650,#144648,#61005,.T.); #175068=EDGE_CURVE('',#144651,#144650,#61006,.T.); #175069=EDGE_CURVE('',#144651,#144649,#61007,.T.); #175070=EDGE_CURVE('',#144649,#144652,#136876,.T.); #175071=EDGE_CURVE('',#144653,#144651,#136877,.T.); #175072=EDGE_CURVE('',#144653,#144652,#61008,.T.); #175073=EDGE_CURVE('',#144652,#144654,#61009,.T.); #175074=EDGE_CURVE('',#144655,#144653,#61010,.T.); #175075=EDGE_CURVE('',#144655,#144654,#61011,.T.); #175076=EDGE_CURVE('',#144654,#144648,#136878,.T.); #175077=EDGE_CURVE('',#144650,#144655,#136879,.T.); #175078=EDGE_CURVE('',#144656,#144656,#136880,.T.); #175079=EDGE_CURVE('',#144656,#144657,#61012,.T.); #175080=EDGE_CURVE('',#144657,#144657,#136881,.T.); #175081=EDGE_CURVE('',#144658,#144658,#136882,.T.); #175082=EDGE_CURVE('',#144658,#144659,#61013,.T.); #175083=EDGE_CURVE('',#144659,#144659,#136883,.T.); #175084=EDGE_CURVE('',#144660,#144660,#136884,.T.); #175085=EDGE_CURVE('',#144660,#144661,#61014,.T.); #175086=EDGE_CURVE('',#144661,#144661,#136885,.T.); #175087=EDGE_CURVE('',#144662,#144662,#136886,.T.); #175088=EDGE_CURVE('',#144662,#144663,#61015,.T.); #175089=EDGE_CURVE('',#144663,#144663,#136887,.T.); #175090=EDGE_CURVE('',#144664,#144664,#136888,.T.); #175091=EDGE_CURVE('',#144664,#144665,#61016,.T.); #175092=EDGE_CURVE('',#144665,#144665,#136889,.T.); #175093=EDGE_CURVE('',#144666,#144666,#136890,.T.); #175094=EDGE_CURVE('',#144666,#144667,#61017,.T.); #175095=EDGE_CURVE('',#144667,#144667,#136891,.T.); #175096=EDGE_CURVE('',#144668,#144668,#136892,.T.); #175097=EDGE_CURVE('',#144668,#144669,#61018,.T.); #175098=EDGE_CURVE('',#144669,#144669,#136893,.T.); #175099=EDGE_CURVE('',#144670,#144670,#136894,.T.); #175100=EDGE_CURVE('',#144670,#144671,#61019,.T.); #175101=EDGE_CURVE('',#144671,#144671,#136895,.T.); #175102=EDGE_CURVE('',#144672,#144672,#136896,.T.); #175103=EDGE_CURVE('',#144672,#144673,#61020,.T.); #175104=EDGE_CURVE('',#144673,#144673,#136897,.T.); #175105=EDGE_CURVE('',#144674,#144674,#136898,.T.); #175106=EDGE_CURVE('',#144674,#144675,#61021,.T.); #175107=EDGE_CURVE('',#144675,#144675,#136899,.T.); #175108=EDGE_CURVE('',#144676,#144676,#136900,.T.); #175109=EDGE_CURVE('',#144676,#144677,#61022,.T.); #175110=EDGE_CURVE('',#144677,#144677,#136901,.T.); #175111=EDGE_CURVE('',#144678,#144678,#136902,.T.); #175112=EDGE_CURVE('',#144678,#144679,#61023,.T.); #175113=EDGE_CURVE('',#144679,#144679,#136903,.T.); #175114=EDGE_CURVE('',#144680,#144680,#136904,.T.); #175115=EDGE_CURVE('',#144680,#144681,#61024,.T.); #175116=EDGE_CURVE('',#144681,#144681,#136905,.T.); #175117=EDGE_CURVE('',#144682,#144682,#136906,.T.); #175118=EDGE_CURVE('',#144682,#144683,#61025,.T.); #175119=EDGE_CURVE('',#144683,#144683,#136907,.T.); #175120=EDGE_CURVE('',#144684,#144684,#136908,.T.); #175121=EDGE_CURVE('',#144684,#144685,#61026,.T.); #175122=EDGE_CURVE('',#144685,#144685,#136909,.T.); #175123=EDGE_CURVE('',#144686,#144686,#136910,.T.); #175124=EDGE_CURVE('',#144686,#144687,#61027,.T.); #175125=EDGE_CURVE('',#144687,#144687,#136911,.T.); #175126=EDGE_CURVE('',#144688,#144688,#136912,.T.); #175127=EDGE_CURVE('',#144688,#144689,#61028,.T.); #175128=EDGE_CURVE('',#144689,#144689,#136913,.T.); #175129=EDGE_CURVE('',#144690,#144690,#136914,.T.); #175130=EDGE_CURVE('',#144690,#144691,#61029,.T.); #175131=EDGE_CURVE('',#144691,#144691,#136915,.T.); #175132=EDGE_CURVE('',#144692,#144692,#136916,.T.); #175133=EDGE_CURVE('',#144692,#144693,#61030,.T.); #175134=EDGE_CURVE('',#144693,#144693,#136917,.T.); #175135=EDGE_CURVE('',#144694,#144694,#136918,.T.); #175136=EDGE_CURVE('',#144694,#144695,#61031,.T.); #175137=EDGE_CURVE('',#144695,#144695,#136919,.T.); #175138=EDGE_CURVE('',#144696,#144696,#136920,.T.); #175139=EDGE_CURVE('',#144696,#144697,#61032,.T.); #175140=EDGE_CURVE('',#144697,#144697,#136921,.T.); #175141=EDGE_CURVE('',#144698,#144698,#136922,.T.); #175142=EDGE_CURVE('',#144698,#144699,#61033,.T.); #175143=EDGE_CURVE('',#144699,#144699,#136923,.T.); #175144=EDGE_CURVE('',#144700,#144700,#136924,.T.); #175145=EDGE_CURVE('',#144700,#144701,#61034,.T.); #175146=EDGE_CURVE('',#144701,#144701,#136925,.T.); #175147=EDGE_CURVE('',#144702,#144702,#136926,.T.); #175148=EDGE_CURVE('',#144702,#144703,#61035,.T.); #175149=EDGE_CURVE('',#144703,#144703,#136927,.T.); #175150=EDGE_CURVE('',#144704,#144704,#136928,.T.); #175151=EDGE_CURVE('',#144704,#144705,#61036,.T.); #175152=EDGE_CURVE('',#144705,#144705,#136929,.T.); #175153=EDGE_CURVE('',#144706,#144706,#136930,.T.); #175154=EDGE_CURVE('',#144706,#144707,#61037,.T.); #175155=EDGE_CURVE('',#144707,#144707,#136931,.T.); #175156=EDGE_CURVE('',#144708,#144708,#136932,.T.); #175157=EDGE_CURVE('',#144708,#144709,#61038,.T.); #175158=EDGE_CURVE('',#144709,#144709,#136933,.T.); #175159=EDGE_CURVE('',#144710,#144710,#136934,.T.); #175160=EDGE_CURVE('',#144710,#144711,#61039,.T.); #175161=EDGE_CURVE('',#144711,#144711,#136935,.T.); #175162=EDGE_CURVE('',#144712,#144712,#136936,.T.); #175163=EDGE_CURVE('',#144712,#144713,#61040,.T.); #175164=EDGE_CURVE('',#144713,#144713,#136937,.T.); #175165=EDGE_CURVE('',#144714,#144714,#136938,.T.); #175166=EDGE_CURVE('',#144714,#144715,#61041,.T.); #175167=EDGE_CURVE('',#144715,#144715,#136939,.T.); #175168=EDGE_CURVE('',#144716,#144716,#136940,.T.); #175169=EDGE_CURVE('',#144716,#144717,#61042,.T.); #175170=EDGE_CURVE('',#144717,#144717,#136941,.T.); #175171=EDGE_CURVE('',#144718,#144718,#136942,.T.); #175172=EDGE_CURVE('',#144718,#144719,#61043,.T.); #175173=EDGE_CURVE('',#144719,#144719,#136943,.T.); #175174=EDGE_CURVE('',#144720,#144720,#136944,.T.); #175175=EDGE_CURVE('',#144720,#144721,#61044,.T.); #175176=EDGE_CURVE('',#144721,#144721,#136945,.T.); #175177=EDGE_CURVE('',#144722,#144722,#136946,.T.); #175178=EDGE_CURVE('',#144722,#144723,#61045,.T.); #175179=EDGE_CURVE('',#144723,#144723,#136947,.T.); #175180=EDGE_CURVE('',#144724,#144724,#136948,.T.); #175181=EDGE_CURVE('',#144724,#144725,#61046,.T.); #175182=EDGE_CURVE('',#144725,#144725,#136949,.T.); #175183=EDGE_CURVE('',#144726,#144726,#136950,.T.); #175184=EDGE_CURVE('',#144726,#144727,#61047,.T.); #175185=EDGE_CURVE('',#144727,#144727,#136951,.T.); #175186=EDGE_CURVE('',#144728,#144728,#136952,.T.); #175187=EDGE_CURVE('',#144728,#144729,#61048,.T.); #175188=EDGE_CURVE('',#144729,#144729,#136953,.T.); #175189=EDGE_CURVE('',#144730,#144730,#136954,.T.); #175190=EDGE_CURVE('',#144730,#144731,#61049,.T.); #175191=EDGE_CURVE('',#144731,#144731,#136955,.T.); #175192=EDGE_CURVE('',#144732,#144732,#136956,.T.); #175193=EDGE_CURVE('',#144732,#144733,#61050,.T.); #175194=EDGE_CURVE('',#144733,#144733,#136957,.T.); #175195=EDGE_CURVE('',#144734,#144734,#136958,.T.); #175196=EDGE_CURVE('',#144734,#144735,#61051,.T.); #175197=EDGE_CURVE('',#144735,#144735,#136959,.T.); #175198=EDGE_CURVE('',#144736,#144736,#136960,.T.); #175199=EDGE_CURVE('',#144736,#144737,#61052,.T.); #175200=EDGE_CURVE('',#144737,#144737,#136961,.T.); #175201=EDGE_CURVE('',#144738,#144738,#136962,.T.); #175202=EDGE_CURVE('',#144738,#144739,#61053,.T.); #175203=EDGE_CURVE('',#144739,#144739,#136963,.T.); #175204=EDGE_CURVE('',#144740,#144740,#136964,.T.); #175205=EDGE_CURVE('',#144740,#144741,#61054,.T.); #175206=EDGE_CURVE('',#144741,#144741,#136965,.T.); #175207=EDGE_CURVE('',#144742,#144742,#136966,.T.); #175208=EDGE_CURVE('',#144742,#144743,#61055,.T.); #175209=EDGE_CURVE('',#144743,#144743,#136967,.T.); #175210=EDGE_CURVE('',#144744,#144744,#136968,.T.); #175211=EDGE_CURVE('',#144744,#144745,#61056,.T.); #175212=EDGE_CURVE('',#144745,#144745,#136969,.T.); #175213=EDGE_CURVE('',#144746,#144746,#136970,.T.); #175214=EDGE_CURVE('',#144746,#144747,#61057,.T.); #175215=EDGE_CURVE('',#144747,#144747,#136971,.T.); #175216=EDGE_CURVE('',#144748,#144748,#136972,.T.); #175217=EDGE_CURVE('',#144748,#144749,#61058,.T.); #175218=EDGE_CURVE('',#144749,#144749,#136973,.T.); #175219=EDGE_CURVE('',#144750,#144750,#136974,.T.); #175220=EDGE_CURVE('',#144750,#144751,#61059,.T.); #175221=EDGE_CURVE('',#144751,#144751,#136975,.T.); #175222=EDGE_CURVE('',#144752,#144752,#136976,.T.); #175223=EDGE_CURVE('',#144752,#144753,#61060,.T.); #175224=EDGE_CURVE('',#144753,#144753,#136977,.T.); #175225=EDGE_CURVE('',#144754,#144754,#136978,.T.); #175226=EDGE_CURVE('',#144754,#144755,#61061,.T.); #175227=EDGE_CURVE('',#144755,#144755,#136979,.T.); #175228=EDGE_CURVE('',#144756,#144756,#136980,.T.); #175229=EDGE_CURVE('',#144756,#144757,#61062,.T.); #175230=EDGE_CURVE('',#144757,#144757,#136981,.T.); #175231=EDGE_CURVE('',#144758,#144758,#136982,.T.); #175232=EDGE_CURVE('',#144758,#144759,#61063,.T.); #175233=EDGE_CURVE('',#144759,#144759,#136983,.T.); #175234=EDGE_CURVE('',#144760,#144760,#136984,.T.); #175235=EDGE_CURVE('',#144760,#144761,#61064,.T.); #175236=EDGE_CURVE('',#144761,#144761,#136985,.T.); #175237=EDGE_CURVE('',#144762,#144762,#136986,.T.); #175238=EDGE_CURVE('',#144762,#144763,#61065,.T.); #175239=EDGE_CURVE('',#144763,#144763,#136987,.T.); #175240=EDGE_CURVE('',#144764,#144764,#136988,.T.); #175241=EDGE_CURVE('',#144764,#144765,#61066,.T.); #175242=EDGE_CURVE('',#144765,#144765,#136989,.T.); #175243=EDGE_CURVE('',#144766,#144766,#136990,.T.); #175244=EDGE_CURVE('',#144766,#144767,#61067,.T.); #175245=EDGE_CURVE('',#144767,#144767,#136991,.T.); #175246=EDGE_CURVE('',#144768,#144768,#136992,.T.); #175247=EDGE_CURVE('',#144768,#144769,#61068,.T.); #175248=EDGE_CURVE('',#144769,#144769,#136993,.T.); #175249=EDGE_CURVE('',#144770,#144770,#136994,.T.); #175250=EDGE_CURVE('',#144770,#144771,#61069,.T.); #175251=EDGE_CURVE('',#144771,#144771,#136995,.T.); #175252=EDGE_CURVE('',#144772,#144772,#136996,.T.); #175253=EDGE_CURVE('',#144772,#144773,#61070,.T.); #175254=EDGE_CURVE('',#144773,#144773,#136997,.T.); #175255=EDGE_CURVE('',#144774,#144774,#136998,.T.); #175256=EDGE_CURVE('',#144774,#144775,#61071,.T.); #175257=EDGE_CURVE('',#144775,#144775,#136999,.T.); #175258=EDGE_CURVE('',#144776,#144776,#137000,.T.); #175259=EDGE_CURVE('',#144776,#144777,#61072,.T.); #175260=EDGE_CURVE('',#144777,#144777,#137001,.T.); #175261=EDGE_CURVE('',#144778,#144778,#137002,.T.); #175262=EDGE_CURVE('',#144778,#144779,#61073,.T.); #175263=EDGE_CURVE('',#144779,#144779,#137003,.T.); #175264=EDGE_CURVE('',#144780,#144780,#137004,.T.); #175265=EDGE_CURVE('',#144780,#144781,#61074,.T.); #175266=EDGE_CURVE('',#144781,#144781,#137005,.T.); #175267=EDGE_CURVE('',#144782,#144782,#137006,.T.); #175268=EDGE_CURVE('',#144782,#144783,#61075,.T.); #175269=EDGE_CURVE('',#144783,#144783,#137007,.T.); #175270=EDGE_CURVE('',#144784,#144784,#137008,.T.); #175271=EDGE_CURVE('',#144784,#144785,#61076,.T.); #175272=EDGE_CURVE('',#144785,#144785,#137009,.T.); #175273=EDGE_CURVE('',#144786,#144786,#137010,.T.); #175274=EDGE_CURVE('',#144786,#144787,#61077,.T.); #175275=EDGE_CURVE('',#144787,#144787,#137011,.T.); #175276=EDGE_CURVE('',#144788,#144788,#137012,.T.); #175277=EDGE_CURVE('',#144788,#144789,#61078,.T.); #175278=EDGE_CURVE('',#144789,#144789,#137013,.T.); #175279=EDGE_CURVE('',#144790,#144790,#137014,.T.); #175280=EDGE_CURVE('',#144790,#144791,#61079,.T.); #175281=EDGE_CURVE('',#144791,#144791,#137015,.T.); #175282=EDGE_CURVE('',#144792,#144792,#137016,.T.); #175283=EDGE_CURVE('',#144792,#144793,#61080,.T.); #175284=EDGE_CURVE('',#144793,#144793,#137017,.T.); #175285=EDGE_CURVE('',#144794,#144794,#137018,.T.); #175286=EDGE_CURVE('',#144794,#144795,#61081,.T.); #175287=EDGE_CURVE('',#144795,#144795,#137019,.T.); #175288=EDGE_CURVE('',#144796,#144796,#137020,.T.); #175289=EDGE_CURVE('',#144796,#144797,#61082,.T.); #175290=EDGE_CURVE('',#144797,#144797,#137021,.T.); #175291=EDGE_CURVE('',#144798,#144798,#137022,.T.); #175292=EDGE_CURVE('',#144798,#144799,#61083,.T.); #175293=EDGE_CURVE('',#144799,#144799,#137023,.T.); #175294=EDGE_CURVE('',#144800,#144800,#137024,.T.); #175295=EDGE_CURVE('',#144800,#144801,#61084,.T.); #175296=EDGE_CURVE('',#144801,#144801,#137025,.T.); #175297=EDGE_CURVE('',#144802,#144802,#137026,.T.); #175298=EDGE_CURVE('',#144802,#144803,#61085,.T.); #175299=EDGE_CURVE('',#144803,#144803,#137027,.T.); #175300=EDGE_CURVE('',#144804,#144804,#137028,.T.); #175301=EDGE_CURVE('',#144804,#144805,#61086,.T.); #175302=EDGE_CURVE('',#144805,#144805,#137029,.T.); #175303=EDGE_CURVE('',#144806,#144806,#137030,.T.); #175304=EDGE_CURVE('',#144806,#144807,#61087,.T.); #175305=EDGE_CURVE('',#144807,#144807,#137031,.T.); #175306=EDGE_CURVE('',#144808,#144808,#137032,.T.); #175307=EDGE_CURVE('',#144808,#144809,#61088,.T.); #175308=EDGE_CURVE('',#144809,#144809,#137033,.T.); #175309=EDGE_CURVE('',#144810,#144810,#137034,.T.); #175310=EDGE_CURVE('',#144810,#144811,#61089,.T.); #175311=EDGE_CURVE('',#144811,#144811,#137035,.T.); #175312=EDGE_CURVE('',#144812,#144812,#137036,.T.); #175313=EDGE_CURVE('',#144812,#144813,#61090,.T.); #175314=EDGE_CURVE('',#144813,#144813,#137037,.T.); #175315=EDGE_CURVE('',#144814,#144814,#137038,.T.); #175316=EDGE_CURVE('',#144814,#144815,#61091,.T.); #175317=EDGE_CURVE('',#144815,#144815,#137039,.T.); #175318=EDGE_CURVE('',#144816,#144816,#137040,.T.); #175319=EDGE_CURVE('',#144816,#144817,#61092,.T.); #175320=EDGE_CURVE('',#144817,#144817,#137041,.T.); #175321=EDGE_CURVE('',#144818,#144818,#137042,.T.); #175322=EDGE_CURVE('',#144818,#144819,#61093,.T.); #175323=EDGE_CURVE('',#144819,#144819,#137043,.T.); #175324=EDGE_CURVE('',#144820,#144820,#137044,.T.); #175325=EDGE_CURVE('',#144820,#144821,#61094,.T.); #175326=EDGE_CURVE('',#144821,#144821,#137045,.T.); #175327=EDGE_CURVE('',#144822,#144822,#137046,.T.); #175328=EDGE_CURVE('',#144822,#144823,#61095,.T.); #175329=EDGE_CURVE('',#144823,#144823,#137047,.T.); #175330=EDGE_CURVE('',#144824,#144824,#137048,.T.); #175331=EDGE_CURVE('',#144824,#144825,#61096,.T.); #175332=EDGE_CURVE('',#144825,#144825,#137049,.T.); #175333=EDGE_CURVE('',#144826,#144826,#137050,.T.); #175334=EDGE_CURVE('',#144826,#144827,#61097,.T.); #175335=EDGE_CURVE('',#144827,#144827,#137051,.T.); #175336=EDGE_CURVE('',#144828,#144828,#137052,.T.); #175337=EDGE_CURVE('',#144828,#144829,#61098,.T.); #175338=EDGE_CURVE('',#144829,#144829,#137053,.T.); #175339=EDGE_CURVE('',#144830,#144830,#137054,.T.); #175340=EDGE_CURVE('',#144830,#144831,#61099,.T.); #175341=EDGE_CURVE('',#144831,#144831,#137055,.T.); #175342=EDGE_CURVE('',#144832,#144832,#137056,.T.); #175343=EDGE_CURVE('',#144832,#144833,#61100,.T.); #175344=EDGE_CURVE('',#144833,#144833,#137057,.T.); #175345=EDGE_CURVE('',#144834,#144834,#137058,.T.); #175346=EDGE_CURVE('',#144834,#144835,#61101,.T.); #175347=EDGE_CURVE('',#144835,#144835,#137059,.T.); #175348=EDGE_CURVE('',#144836,#144836,#137060,.T.); #175349=EDGE_CURVE('',#144836,#144837,#61102,.T.); #175350=EDGE_CURVE('',#144837,#144837,#137061,.T.); #175351=EDGE_CURVE('',#144838,#144838,#137062,.T.); #175352=EDGE_CURVE('',#144838,#144839,#61103,.T.); #175353=EDGE_CURVE('',#144839,#144839,#137063,.T.); #175354=EDGE_CURVE('',#144840,#144840,#137064,.T.); #175355=EDGE_CURVE('',#144840,#144841,#61104,.T.); #175356=EDGE_CURVE('',#144841,#144841,#137065,.T.); #175357=EDGE_CURVE('',#144842,#144842,#137066,.T.); #175358=EDGE_CURVE('',#144842,#144843,#61105,.T.); #175359=EDGE_CURVE('',#144843,#144843,#137067,.T.); #175360=EDGE_CURVE('',#144844,#144844,#137068,.T.); #175361=EDGE_CURVE('',#144844,#144845,#61106,.T.); #175362=EDGE_CURVE('',#144845,#144845,#137069,.T.); #175363=EDGE_CURVE('',#144846,#144846,#137070,.T.); #175364=EDGE_CURVE('',#144846,#144847,#61107,.T.); #175365=EDGE_CURVE('',#144847,#144847,#137071,.T.); #175366=EDGE_CURVE('',#144848,#144848,#137072,.T.); #175367=EDGE_CURVE('',#144848,#144849,#61108,.T.); #175368=EDGE_CURVE('',#144849,#144849,#137073,.T.); #175369=EDGE_CURVE('',#144850,#144850,#137074,.T.); #175370=EDGE_CURVE('',#144850,#144851,#61109,.T.); #175371=EDGE_CURVE('',#144851,#144851,#137075,.T.); #175372=EDGE_CURVE('',#144852,#144852,#137076,.T.); #175373=EDGE_CURVE('',#144852,#144853,#61110,.T.); #175374=EDGE_CURVE('',#144853,#144853,#137077,.T.); #175375=EDGE_CURVE('',#144854,#144854,#137078,.T.); #175376=EDGE_CURVE('',#144854,#144855,#61111,.T.); #175377=EDGE_CURVE('',#144855,#144855,#137079,.T.); #175378=EDGE_CURVE('',#144856,#144856,#137080,.T.); #175379=EDGE_CURVE('',#144856,#144857,#61112,.T.); #175380=EDGE_CURVE('',#144857,#144857,#137081,.T.); #175381=EDGE_CURVE('',#144858,#144858,#137082,.T.); #175382=EDGE_CURVE('',#144858,#144859,#61113,.T.); #175383=EDGE_CURVE('',#144859,#144859,#137083,.T.); #175384=EDGE_CURVE('',#144860,#144860,#137084,.T.); #175385=EDGE_CURVE('',#144860,#144861,#61114,.T.); #175386=EDGE_CURVE('',#144861,#144861,#137085,.T.); #175387=EDGE_CURVE('',#144862,#144862,#137086,.T.); #175388=EDGE_CURVE('',#144862,#144863,#61115,.T.); #175389=EDGE_CURVE('',#144863,#144863,#137087,.T.); #175390=EDGE_CURVE('',#144864,#144864,#137088,.T.); #175391=EDGE_CURVE('',#144864,#144865,#61116,.T.); #175392=EDGE_CURVE('',#144865,#144865,#137089,.T.); #175393=EDGE_CURVE('',#144866,#144866,#137090,.T.); #175394=EDGE_CURVE('',#144866,#144867,#61117,.T.); #175395=EDGE_CURVE('',#144867,#144867,#137091,.T.); #175396=EDGE_CURVE('',#144868,#144868,#137092,.T.); #175397=EDGE_CURVE('',#144868,#144869,#61118,.T.); #175398=EDGE_CURVE('',#144869,#144869,#137093,.T.); #175399=EDGE_CURVE('',#144870,#144870,#137094,.T.); #175400=EDGE_CURVE('',#144870,#144871,#61119,.T.); #175401=EDGE_CURVE('',#144871,#144871,#137095,.T.); #175402=EDGE_CURVE('',#144872,#144872,#137096,.T.); #175403=EDGE_CURVE('',#144872,#144873,#61120,.T.); #175404=EDGE_CURVE('',#144873,#144873,#137097,.T.); #175405=EDGE_CURVE('',#144874,#144874,#137098,.T.); #175406=EDGE_CURVE('',#144874,#144875,#61121,.T.); #175407=EDGE_CURVE('',#144875,#144875,#137099,.T.); #175408=EDGE_CURVE('',#144876,#144876,#137100,.T.); #175409=EDGE_CURVE('',#144876,#144877,#61122,.T.); #175410=EDGE_CURVE('',#144877,#144877,#137101,.T.); #175411=EDGE_CURVE('',#144878,#144878,#137102,.T.); #175412=EDGE_CURVE('',#144878,#144879,#61123,.T.); #175413=EDGE_CURVE('',#144879,#144879,#137103,.T.); #175414=EDGE_CURVE('',#144880,#144880,#137104,.T.); #175415=EDGE_CURVE('',#144880,#144881,#61124,.T.); #175416=EDGE_CURVE('',#144881,#144881,#137105,.T.); #175417=EDGE_CURVE('',#144882,#144882,#137106,.T.); #175418=EDGE_CURVE('',#144882,#144883,#61125,.T.); #175419=EDGE_CURVE('',#144883,#144883,#137107,.T.); #175420=EDGE_CURVE('',#144884,#144884,#137108,.T.); #175421=EDGE_CURVE('',#144884,#144885,#61126,.T.); #175422=EDGE_CURVE('',#144885,#144885,#137109,.T.); #175423=EDGE_CURVE('',#144886,#144886,#137110,.T.); #175424=EDGE_CURVE('',#144886,#144887,#61127,.T.); #175425=EDGE_CURVE('',#144887,#144887,#137111,.T.); #175426=EDGE_CURVE('',#144888,#144888,#137112,.T.); #175427=EDGE_CURVE('',#144888,#144889,#61128,.T.); #175428=EDGE_CURVE('',#144889,#144889,#137113,.T.); #175429=EDGE_CURVE('',#144890,#144890,#137114,.T.); #175430=EDGE_CURVE('',#144890,#144891,#61129,.T.); #175431=EDGE_CURVE('',#144891,#144891,#137115,.T.); #175432=EDGE_CURVE('',#144892,#144892,#137116,.T.); #175433=EDGE_CURVE('',#144892,#144893,#61130,.T.); #175434=EDGE_CURVE('',#144893,#144893,#137117,.T.); #175435=EDGE_CURVE('',#144894,#144894,#137118,.T.); #175436=EDGE_CURVE('',#144894,#144895,#61131,.T.); #175437=EDGE_CURVE('',#144895,#144895,#137119,.T.); #175438=EDGE_CURVE('',#144896,#144896,#137120,.T.); #175439=EDGE_CURVE('',#144896,#144897,#61132,.T.); #175440=EDGE_CURVE('',#144897,#144897,#137121,.T.); #175441=EDGE_CURVE('',#144898,#144898,#137122,.T.); #175442=EDGE_CURVE('',#144898,#144899,#61133,.T.); #175443=EDGE_CURVE('',#144899,#144899,#137123,.T.); #175444=EDGE_CURVE('',#144900,#144900,#137124,.T.); #175445=EDGE_CURVE('',#144900,#144901,#61134,.T.); #175446=EDGE_CURVE('',#144901,#144901,#137125,.T.); #175447=EDGE_CURVE('',#144902,#144902,#137126,.T.); #175448=EDGE_CURVE('',#144902,#144903,#61135,.T.); #175449=EDGE_CURVE('',#144903,#144903,#137127,.T.); #175450=EDGE_CURVE('',#144904,#144904,#137128,.T.); #175451=EDGE_CURVE('',#144904,#144905,#61136,.T.); #175452=EDGE_CURVE('',#144905,#144905,#137129,.T.); #175453=EDGE_CURVE('',#144906,#144906,#137130,.T.); #175454=EDGE_CURVE('',#144906,#144907,#61137,.T.); #175455=EDGE_CURVE('',#144907,#144907,#137131,.T.); #175456=EDGE_CURVE('',#144908,#144908,#137132,.T.); #175457=EDGE_CURVE('',#144908,#144909,#61138,.T.); #175458=EDGE_CURVE('',#144909,#144909,#137133,.T.); #175459=EDGE_CURVE('',#144910,#144910,#137134,.T.); #175460=EDGE_CURVE('',#144910,#144911,#61139,.T.); #175461=EDGE_CURVE('',#144911,#144911,#137135,.T.); #175462=EDGE_CURVE('',#144912,#144912,#137136,.T.); #175463=EDGE_CURVE('',#144912,#144913,#61140,.T.); #175464=EDGE_CURVE('',#144913,#144913,#137137,.T.); #175465=EDGE_CURVE('',#144914,#144914,#137138,.T.); #175466=EDGE_CURVE('',#144914,#144915,#61141,.T.); #175467=EDGE_CURVE('',#144915,#144915,#137139,.T.); #175468=EDGE_CURVE('',#144916,#144916,#137140,.T.); #175469=EDGE_CURVE('',#144916,#144917,#61142,.T.); #175470=EDGE_CURVE('',#144917,#144917,#137141,.T.); #175471=EDGE_CURVE('',#144918,#144918,#137142,.T.); #175472=EDGE_CURVE('',#144918,#144919,#61143,.T.); #175473=EDGE_CURVE('',#144919,#144919,#137143,.T.); #175474=EDGE_CURVE('',#144920,#144920,#137144,.T.); #175475=EDGE_CURVE('',#144920,#144921,#61144,.T.); #175476=EDGE_CURVE('',#144921,#144921,#137145,.T.); #175477=EDGE_CURVE('',#144922,#144922,#137146,.T.); #175478=EDGE_CURVE('',#144922,#144923,#61145,.T.); #175479=EDGE_CURVE('',#144923,#144923,#137147,.T.); #175480=EDGE_CURVE('',#144924,#144924,#137148,.T.); #175481=EDGE_CURVE('',#144924,#144925,#61146,.T.); #175482=EDGE_CURVE('',#144925,#144925,#137149,.T.); #175483=EDGE_CURVE('',#144926,#144926,#137150,.T.); #175484=EDGE_CURVE('',#144926,#144927,#61147,.T.); #175485=EDGE_CURVE('',#144927,#144927,#137151,.T.); #175486=EDGE_CURVE('',#144928,#144928,#137152,.T.); #175487=EDGE_CURVE('',#144928,#144929,#61148,.T.); #175488=EDGE_CURVE('',#144929,#144929,#137153,.T.); #175489=EDGE_CURVE('',#144930,#144930,#137154,.T.); #175490=EDGE_CURVE('',#144930,#144931,#61149,.T.); #175491=EDGE_CURVE('',#144931,#144931,#137155,.T.); #175492=EDGE_CURVE('',#144932,#144932,#137156,.T.); #175493=EDGE_CURVE('',#144932,#144933,#61150,.T.); #175494=EDGE_CURVE('',#144933,#144933,#137157,.T.); #175495=EDGE_CURVE('',#144934,#144934,#137158,.T.); #175496=EDGE_CURVE('',#144934,#144935,#61151,.T.); #175497=EDGE_CURVE('',#144935,#144935,#137159,.T.); #175498=EDGE_CURVE('',#144936,#144936,#137160,.T.); #175499=EDGE_CURVE('',#144936,#144937,#61152,.T.); #175500=EDGE_CURVE('',#144937,#144937,#137161,.T.); #175501=EDGE_CURVE('',#144938,#144938,#137162,.T.); #175502=EDGE_CURVE('',#144938,#144939,#61153,.T.); #175503=EDGE_CURVE('',#144939,#144939,#137163,.T.); #175504=EDGE_CURVE('',#144940,#144940,#137164,.T.); #175505=EDGE_CURVE('',#144940,#144941,#61154,.T.); #175506=EDGE_CURVE('',#144941,#144941,#137165,.T.); #175507=EDGE_CURVE('',#144942,#144942,#137166,.T.); #175508=EDGE_CURVE('',#144942,#144943,#61155,.T.); #175509=EDGE_CURVE('',#144943,#144943,#137167,.T.); #175510=EDGE_CURVE('',#144944,#144944,#137168,.T.); #175511=EDGE_CURVE('',#144944,#144945,#61156,.T.); #175512=EDGE_CURVE('',#144945,#144945,#137169,.T.); #175513=EDGE_CURVE('',#144946,#144946,#137170,.T.); #175514=EDGE_CURVE('',#144946,#144947,#61157,.T.); #175515=EDGE_CURVE('',#144947,#144947,#137171,.T.); #175516=EDGE_CURVE('',#144948,#144948,#137172,.T.); #175517=EDGE_CURVE('',#144948,#144949,#61158,.T.); #175518=EDGE_CURVE('',#144949,#144949,#137173,.T.); #175519=EDGE_CURVE('',#144950,#144950,#137174,.T.); #175520=EDGE_CURVE('',#144950,#144951,#61159,.T.); #175521=EDGE_CURVE('',#144951,#144951,#137175,.T.); #175522=EDGE_CURVE('',#144952,#144952,#137176,.T.); #175523=EDGE_CURVE('',#144952,#144953,#61160,.T.); #175524=EDGE_CURVE('',#144953,#144953,#137177,.T.); #175525=EDGE_CURVE('',#144954,#144954,#137178,.T.); #175526=EDGE_CURVE('',#144954,#144955,#61161,.T.); #175527=EDGE_CURVE('',#144955,#144955,#137179,.T.); #175528=EDGE_CURVE('',#144956,#144956,#137180,.T.); #175529=EDGE_CURVE('',#144956,#144957,#61162,.T.); #175530=EDGE_CURVE('',#144957,#144957,#137181,.T.); #175531=EDGE_CURVE('',#144958,#144958,#137182,.T.); #175532=EDGE_CURVE('',#144958,#144959,#61163,.T.); #175533=EDGE_CURVE('',#144959,#144959,#137183,.T.); #175534=EDGE_CURVE('',#144960,#144960,#137184,.T.); #175535=EDGE_CURVE('',#144960,#144961,#61164,.T.); #175536=EDGE_CURVE('',#144961,#144961,#137185,.T.); #175537=EDGE_CURVE('',#144962,#144962,#137186,.T.); #175538=EDGE_CURVE('',#144962,#144963,#61165,.T.); #175539=EDGE_CURVE('',#144963,#144963,#137187,.T.); #175540=EDGE_CURVE('',#144964,#144964,#137188,.T.); #175541=EDGE_CURVE('',#144964,#144965,#61166,.T.); #175542=EDGE_CURVE('',#144965,#144965,#137189,.T.); #175543=EDGE_CURVE('',#144966,#144966,#137190,.T.); #175544=EDGE_CURVE('',#144966,#144967,#61167,.T.); #175545=EDGE_CURVE('',#144967,#144967,#137191,.T.); #175546=EDGE_CURVE('',#144968,#144968,#137192,.T.); #175547=EDGE_CURVE('',#144968,#144969,#61168,.T.); #175548=EDGE_CURVE('',#144969,#144969,#137193,.T.); #175549=EDGE_CURVE('',#144970,#144970,#137194,.T.); #175550=EDGE_CURVE('',#144970,#144971,#61169,.T.); #175551=EDGE_CURVE('',#144971,#144971,#137195,.T.); #175552=EDGE_CURVE('',#144972,#144972,#137196,.T.); #175553=EDGE_CURVE('',#144972,#144973,#61170,.T.); #175554=EDGE_CURVE('',#144973,#144973,#137197,.T.); #175555=EDGE_CURVE('',#144974,#144974,#137198,.T.); #175556=EDGE_CURVE('',#144974,#144975,#61171,.T.); #175557=EDGE_CURVE('',#144975,#144975,#137199,.T.); #175558=EDGE_CURVE('',#144976,#144976,#137200,.T.); #175559=EDGE_CURVE('',#144976,#144977,#61172,.T.); #175560=EDGE_CURVE('',#144977,#144977,#137201,.T.); #175561=EDGE_CURVE('',#144978,#144978,#137202,.T.); #175562=EDGE_CURVE('',#144978,#144979,#61173,.T.); #175563=EDGE_CURVE('',#144979,#144979,#137203,.T.); #175564=EDGE_CURVE('',#144980,#144980,#137204,.T.); #175565=EDGE_CURVE('',#144980,#144981,#61174,.T.); #175566=EDGE_CURVE('',#144981,#144981,#137205,.T.); #175567=EDGE_CURVE('',#144982,#144982,#137206,.T.); #175568=EDGE_CURVE('',#144982,#144983,#61175,.T.); #175569=EDGE_CURVE('',#144983,#144983,#137207,.T.); #175570=EDGE_CURVE('',#144984,#144984,#137208,.T.); #175571=EDGE_CURVE('',#144984,#144985,#61176,.T.); #175572=EDGE_CURVE('',#144985,#144985,#137209,.T.); #175573=EDGE_CURVE('',#144986,#144986,#137210,.T.); #175574=EDGE_CURVE('',#144986,#144987,#61177,.T.); #175575=EDGE_CURVE('',#144987,#144987,#137211,.T.); #175576=EDGE_CURVE('',#144988,#144988,#137212,.T.); #175577=EDGE_CURVE('',#144988,#144989,#61178,.T.); #175578=EDGE_CURVE('',#144989,#144989,#137213,.T.); #175579=EDGE_CURVE('',#144990,#144990,#137214,.T.); #175580=EDGE_CURVE('',#144990,#144991,#61179,.T.); #175581=EDGE_CURVE('',#144991,#144991,#137215,.T.); #175582=EDGE_CURVE('',#144992,#144992,#137216,.T.); #175583=EDGE_CURVE('',#144992,#144993,#61180,.T.); #175584=EDGE_CURVE('',#144993,#144993,#137217,.T.); #175585=EDGE_CURVE('',#144994,#144994,#137218,.T.); #175586=EDGE_CURVE('',#144994,#144995,#61181,.T.); #175587=EDGE_CURVE('',#144995,#144995,#137219,.T.); #175588=EDGE_CURVE('',#144996,#144996,#137220,.T.); #175589=EDGE_CURVE('',#144996,#144997,#61182,.T.); #175590=EDGE_CURVE('',#144997,#144997,#137221,.T.); #175591=EDGE_CURVE('',#144998,#144998,#137222,.T.); #175592=EDGE_CURVE('',#144998,#144999,#61183,.T.); #175593=EDGE_CURVE('',#144999,#144999,#137223,.T.); #175594=EDGE_CURVE('',#145000,#145000,#137224,.T.); #175595=EDGE_CURVE('',#145000,#145001,#61184,.T.); #175596=EDGE_CURVE('',#145001,#145001,#137225,.T.); #175597=EDGE_CURVE('',#145002,#145002,#137226,.T.); #175598=EDGE_CURVE('',#145002,#145003,#61185,.T.); #175599=EDGE_CURVE('',#145003,#145003,#137227,.T.); #175600=EDGE_CURVE('',#145004,#145004,#137228,.T.); #175601=EDGE_CURVE('',#145004,#145005,#61186,.T.); #175602=EDGE_CURVE('',#145005,#145005,#137229,.T.); #175603=EDGE_CURVE('',#145006,#145006,#137230,.T.); #175604=EDGE_CURVE('',#145006,#145007,#61187,.T.); #175605=EDGE_CURVE('',#145007,#145007,#137231,.T.); #175606=EDGE_CURVE('',#145008,#145008,#137232,.T.); #175607=EDGE_CURVE('',#145008,#145009,#61188,.T.); #175608=EDGE_CURVE('',#145009,#145009,#137233,.T.); #175609=EDGE_CURVE('',#145010,#145010,#137234,.T.); #175610=EDGE_CURVE('',#145010,#145011,#61189,.T.); #175611=EDGE_CURVE('',#145011,#145011,#137235,.T.); #175612=EDGE_CURVE('',#145012,#145012,#137236,.T.); #175613=EDGE_CURVE('',#145012,#145013,#61190,.T.); #175614=EDGE_CURVE('',#145013,#145013,#137237,.T.); #175615=EDGE_CURVE('',#145014,#145014,#137238,.T.); #175616=EDGE_CURVE('',#145014,#145015,#61191,.T.); #175617=EDGE_CURVE('',#145015,#145015,#137239,.T.); #175618=EDGE_CURVE('',#145016,#145016,#137240,.T.); #175619=EDGE_CURVE('',#145016,#145017,#61192,.T.); #175620=EDGE_CURVE('',#145017,#145017,#137241,.T.); #175621=EDGE_CURVE('',#145018,#145018,#137242,.T.); #175622=EDGE_CURVE('',#145018,#145019,#61193,.T.); #175623=EDGE_CURVE('',#145019,#145019,#137243,.T.); #175624=EDGE_CURVE('',#145020,#145020,#137244,.T.); #175625=EDGE_CURVE('',#145020,#145021,#61194,.T.); #175626=EDGE_CURVE('',#145021,#145021,#137245,.T.); #175627=EDGE_CURVE('',#145022,#145022,#137246,.T.); #175628=EDGE_CURVE('',#145022,#145023,#61195,.T.); #175629=EDGE_CURVE('',#145023,#145023,#137247,.T.); #175630=EDGE_CURVE('',#145024,#145024,#137248,.T.); #175631=EDGE_CURVE('',#145024,#145025,#61196,.T.); #175632=EDGE_CURVE('',#145025,#145025,#137249,.T.); #175633=EDGE_CURVE('',#145026,#145026,#137250,.T.); #175634=EDGE_CURVE('',#145026,#145027,#61197,.T.); #175635=EDGE_CURVE('',#145027,#145027,#137251,.T.); #175636=EDGE_CURVE('',#145028,#145028,#137252,.T.); #175637=EDGE_CURVE('',#145028,#145029,#61198,.T.); #175638=EDGE_CURVE('',#145029,#145029,#137253,.T.); #175639=EDGE_CURVE('',#145030,#145030,#137254,.T.); #175640=EDGE_CURVE('',#145030,#145031,#61199,.T.); #175641=EDGE_CURVE('',#145031,#145031,#137255,.T.); #175642=EDGE_CURVE('',#145032,#145032,#137256,.T.); #175643=EDGE_CURVE('',#145032,#145033,#61200,.T.); #175644=EDGE_CURVE('',#145033,#145033,#137257,.T.); #175645=EDGE_CURVE('',#145034,#145034,#137258,.T.); #175646=EDGE_CURVE('',#145034,#145035,#61201,.T.); #175647=EDGE_CURVE('',#145035,#145035,#137259,.T.); #175648=EDGE_CURVE('',#145036,#145036,#137260,.T.); #175649=EDGE_CURVE('',#145036,#145037,#61202,.T.); #175650=EDGE_CURVE('',#145037,#145037,#137261,.T.); #175651=EDGE_CURVE('',#145038,#145038,#137262,.T.); #175652=EDGE_CURVE('',#145038,#145039,#61203,.T.); #175653=EDGE_CURVE('',#145039,#145039,#137263,.T.); #175654=EDGE_CURVE('',#145040,#145040,#137264,.T.); #175655=EDGE_CURVE('',#145040,#145041,#61204,.T.); #175656=EDGE_CURVE('',#145041,#145041,#137265,.T.); #175657=EDGE_CURVE('',#145042,#145042,#137266,.T.); #175658=EDGE_CURVE('',#145042,#145043,#61205,.T.); #175659=EDGE_CURVE('',#145043,#145043,#137267,.T.); #175660=EDGE_CURVE('',#145044,#145044,#137268,.T.); #175661=EDGE_CURVE('',#145044,#145045,#61206,.T.); #175662=EDGE_CURVE('',#145045,#145045,#137269,.T.); #175663=EDGE_CURVE('',#145046,#145046,#137270,.T.); #175664=EDGE_CURVE('',#145046,#145047,#61207,.T.); #175665=EDGE_CURVE('',#145047,#145047,#137271,.T.); #175666=EDGE_CURVE('',#145048,#145048,#137272,.T.); #175667=EDGE_CURVE('',#145048,#145049,#61208,.T.); #175668=EDGE_CURVE('',#145049,#145049,#137273,.T.); #175669=EDGE_CURVE('',#145050,#145050,#137274,.T.); #175670=EDGE_CURVE('',#145050,#145051,#61209,.T.); #175671=EDGE_CURVE('',#145051,#145051,#137275,.T.); #175672=EDGE_CURVE('',#145052,#145052,#137276,.T.); #175673=EDGE_CURVE('',#145052,#145053,#61210,.T.); #175674=EDGE_CURVE('',#145053,#145053,#137277,.T.); #175675=EDGE_CURVE('',#145054,#145054,#137278,.T.); #175676=EDGE_CURVE('',#145054,#145055,#61211,.T.); #175677=EDGE_CURVE('',#145055,#145055,#137279,.T.); #175678=EDGE_CURVE('',#145056,#145056,#137280,.T.); #175679=EDGE_CURVE('',#145056,#145057,#61212,.T.); #175680=EDGE_CURVE('',#145057,#145057,#137281,.T.); #175681=EDGE_CURVE('',#145058,#145058,#137282,.T.); #175682=EDGE_CURVE('',#145058,#145059,#61213,.T.); #175683=EDGE_CURVE('',#145059,#145059,#137283,.T.); #175684=EDGE_CURVE('',#145060,#145060,#137284,.T.); #175685=EDGE_CURVE('',#145060,#145061,#61214,.T.); #175686=EDGE_CURVE('',#145061,#145061,#137285,.T.); #175687=EDGE_CURVE('',#145062,#145062,#137286,.T.); #175688=EDGE_CURVE('',#145062,#145063,#61215,.T.); #175689=EDGE_CURVE('',#145063,#145063,#137287,.T.); #175690=EDGE_CURVE('',#145064,#145064,#137288,.T.); #175691=EDGE_CURVE('',#145064,#145065,#61216,.T.); #175692=EDGE_CURVE('',#145065,#145065,#137289,.T.); #175693=EDGE_CURVE('',#145066,#145066,#137290,.T.); #175694=EDGE_CURVE('',#145066,#145067,#61217,.T.); #175695=EDGE_CURVE('',#145067,#145067,#137291,.T.); #175696=EDGE_CURVE('',#145068,#145068,#137292,.T.); #175697=EDGE_CURVE('',#145068,#145069,#61218,.T.); #175698=EDGE_CURVE('',#145069,#145069,#137293,.T.); #175699=EDGE_CURVE('',#145070,#145070,#137294,.T.); #175700=EDGE_CURVE('',#145070,#145071,#61219,.T.); #175701=EDGE_CURVE('',#145071,#145071,#137295,.T.); #175702=EDGE_CURVE('',#145072,#145072,#137296,.T.); #175703=EDGE_CURVE('',#145072,#145073,#61220,.T.); #175704=EDGE_CURVE('',#145073,#145073,#137297,.T.); #175705=EDGE_CURVE('',#145074,#145074,#137298,.T.); #175706=EDGE_CURVE('',#145074,#145075,#61221,.T.); #175707=EDGE_CURVE('',#145075,#145075,#137299,.T.); #175708=EDGE_CURVE('',#145076,#145076,#137300,.T.); #175709=EDGE_CURVE('',#145076,#145077,#61222,.T.); #175710=EDGE_CURVE('',#145077,#145077,#137301,.T.); #175711=EDGE_CURVE('',#145078,#145078,#137302,.T.); #175712=EDGE_CURVE('',#145078,#145079,#61223,.T.); #175713=EDGE_CURVE('',#145079,#145079,#137303,.T.); #175714=EDGE_CURVE('',#145080,#145080,#137304,.T.); #175715=EDGE_CURVE('',#145080,#145081,#61224,.T.); #175716=EDGE_CURVE('',#145081,#145081,#137305,.T.); #175717=EDGE_CURVE('',#145082,#145082,#137306,.T.); #175718=EDGE_CURVE('',#145082,#145083,#61225,.T.); #175719=EDGE_CURVE('',#145083,#145083,#137307,.T.); #175720=EDGE_CURVE('',#145084,#145084,#137308,.T.); #175721=EDGE_CURVE('',#145084,#145085,#61226,.T.); #175722=EDGE_CURVE('',#145085,#145085,#137309,.T.); #175723=EDGE_CURVE('',#145086,#145086,#137310,.T.); #175724=EDGE_CURVE('',#145086,#145087,#61227,.T.); #175725=EDGE_CURVE('',#145087,#145087,#137311,.T.); #175726=EDGE_CURVE('',#145088,#145088,#137312,.T.); #175727=EDGE_CURVE('',#145088,#145089,#61228,.T.); #175728=EDGE_CURVE('',#145089,#145089,#137313,.T.); #175729=EDGE_CURVE('',#145090,#145090,#137314,.T.); #175730=EDGE_CURVE('',#145090,#145091,#61229,.T.); #175731=EDGE_CURVE('',#145091,#145091,#137315,.T.); #175732=EDGE_CURVE('',#145092,#145092,#137316,.T.); #175733=EDGE_CURVE('',#145092,#145093,#61230,.T.); #175734=EDGE_CURVE('',#145093,#145093,#137317,.T.); #175735=EDGE_CURVE('',#145094,#145094,#137318,.T.); #175736=EDGE_CURVE('',#145094,#145095,#61231,.T.); #175737=EDGE_CURVE('',#145095,#145095,#137319,.T.); #175738=EDGE_CURVE('',#145096,#145096,#137320,.T.); #175739=EDGE_CURVE('',#145096,#145097,#61232,.T.); #175740=EDGE_CURVE('',#145097,#145097,#137321,.T.); #175741=EDGE_CURVE('',#145098,#145098,#137322,.T.); #175742=EDGE_CURVE('',#145098,#145099,#61233,.T.); #175743=EDGE_CURVE('',#145099,#145099,#137323,.T.); #175744=EDGE_CURVE('',#145100,#145100,#137324,.T.); #175745=EDGE_CURVE('',#145100,#145101,#61234,.T.); #175746=EDGE_CURVE('',#145101,#145101,#137325,.T.); #175747=EDGE_CURVE('',#145102,#145102,#137326,.T.); #175748=EDGE_CURVE('',#145102,#145103,#61235,.T.); #175749=EDGE_CURVE('',#145103,#145103,#137327,.T.); #175750=EDGE_CURVE('',#145104,#145104,#137328,.T.); #175751=EDGE_CURVE('',#145104,#145105,#61236,.T.); #175752=EDGE_CURVE('',#145105,#145105,#137329,.T.); #175753=EDGE_CURVE('',#145106,#145106,#137330,.T.); #175754=EDGE_CURVE('',#145106,#145107,#61237,.T.); #175755=EDGE_CURVE('',#145107,#145107,#137331,.T.); #175756=EDGE_CURVE('',#145108,#145108,#137332,.T.); #175757=EDGE_CURVE('',#145108,#145109,#61238,.T.); #175758=EDGE_CURVE('',#145109,#145109,#137333,.T.); #175759=EDGE_CURVE('',#145110,#145110,#137334,.T.); #175760=EDGE_CURVE('',#145110,#145111,#61239,.T.); #175761=EDGE_CURVE('',#145111,#145111,#137335,.T.); #175762=EDGE_CURVE('',#145112,#145112,#137336,.T.); #175763=EDGE_CURVE('',#145112,#145113,#61240,.T.); #175764=EDGE_CURVE('',#145113,#145113,#137337,.T.); #175765=EDGE_CURVE('',#145114,#145114,#137338,.T.); #175766=EDGE_CURVE('',#145114,#145115,#61241,.T.); #175767=EDGE_CURVE('',#145115,#145115,#137339,.T.); #175768=EDGE_CURVE('',#145116,#145116,#137340,.T.); #175769=EDGE_CURVE('',#145116,#145117,#61242,.T.); #175770=EDGE_CURVE('',#145117,#145117,#137341,.T.); #175771=EDGE_CURVE('',#145118,#145118,#137342,.T.); #175772=EDGE_CURVE('',#145118,#145119,#61243,.T.); #175773=EDGE_CURVE('',#145119,#145119,#137343,.T.); #175774=EDGE_CURVE('',#145120,#145120,#137344,.T.); #175775=EDGE_CURVE('',#145120,#145121,#61244,.T.); #175776=EDGE_CURVE('',#145121,#145121,#137345,.T.); #175777=EDGE_CURVE('',#145122,#145122,#137346,.T.); #175778=EDGE_CURVE('',#145122,#145123,#61245,.T.); #175779=EDGE_CURVE('',#145123,#145123,#137347,.T.); #175780=EDGE_CURVE('',#145124,#145124,#137348,.T.); #175781=EDGE_CURVE('',#145124,#145125,#61246,.T.); #175782=EDGE_CURVE('',#145125,#145125,#137349,.T.); #175783=EDGE_CURVE('',#145126,#145126,#137350,.T.); #175784=EDGE_CURVE('',#145126,#145127,#61247,.T.); #175785=EDGE_CURVE('',#145127,#145127,#137351,.T.); #175786=EDGE_CURVE('',#145128,#145128,#137352,.T.); #175787=EDGE_CURVE('',#145128,#145129,#61248,.T.); #175788=EDGE_CURVE('',#145129,#145129,#137353,.T.); #175789=EDGE_CURVE('',#145130,#145130,#137354,.T.); #175790=EDGE_CURVE('',#145130,#145131,#61249,.T.); #175791=EDGE_CURVE('',#145131,#145131,#137355,.T.); #175792=EDGE_CURVE('',#145132,#145132,#137356,.T.); #175793=EDGE_CURVE('',#145132,#145133,#61250,.T.); #175794=EDGE_CURVE('',#145133,#145133,#137357,.T.); #175795=EDGE_CURVE('',#145134,#145134,#137358,.T.); #175796=EDGE_CURVE('',#145134,#145135,#61251,.T.); #175797=EDGE_CURVE('',#145135,#145135,#137359,.T.); #175798=EDGE_CURVE('',#145136,#145136,#137360,.T.); #175799=EDGE_CURVE('',#145136,#145137,#61252,.T.); #175800=EDGE_CURVE('',#145137,#145137,#137361,.T.); #175801=EDGE_CURVE('',#145138,#145138,#137362,.T.); #175802=EDGE_CURVE('',#145138,#145139,#61253,.T.); #175803=EDGE_CURVE('',#145139,#145139,#137363,.T.); #175804=EDGE_CURVE('',#145140,#145140,#137364,.T.); #175805=EDGE_CURVE('',#145140,#145141,#61254,.T.); #175806=EDGE_CURVE('',#145141,#145141,#137365,.T.); #175807=EDGE_CURVE('',#145142,#145142,#137366,.T.); #175808=EDGE_CURVE('',#145142,#145143,#61255,.T.); #175809=EDGE_CURVE('',#145143,#145143,#137367,.T.); #175810=EDGE_CURVE('',#145144,#145144,#137368,.T.); #175811=EDGE_CURVE('',#145144,#145145,#61256,.T.); #175812=EDGE_CURVE('',#145145,#145145,#137369,.T.); #175813=EDGE_CURVE('',#145146,#145146,#137370,.T.); #175814=EDGE_CURVE('',#145146,#145147,#61257,.T.); #175815=EDGE_CURVE('',#145147,#145147,#137371,.T.); #175816=EDGE_CURVE('',#145148,#145148,#137372,.T.); #175817=EDGE_CURVE('',#145148,#145149,#61258,.T.); #175818=EDGE_CURVE('',#145149,#145149,#137373,.T.); #175819=EDGE_CURVE('',#145150,#145150,#137374,.T.); #175820=EDGE_CURVE('',#145150,#145151,#61259,.T.); #175821=EDGE_CURVE('',#145151,#145151,#137375,.T.); #175822=EDGE_CURVE('',#145152,#145152,#137376,.T.); #175823=EDGE_CURVE('',#145152,#145153,#61260,.T.); #175824=EDGE_CURVE('',#145153,#145153,#137377,.T.); #175825=EDGE_CURVE('',#145154,#145154,#137378,.T.); #175826=EDGE_CURVE('',#145154,#145155,#61261,.T.); #175827=EDGE_CURVE('',#145155,#145155,#137379,.T.); #175828=EDGE_CURVE('',#145156,#145156,#137380,.T.); #175829=EDGE_CURVE('',#145156,#145157,#61262,.T.); #175830=EDGE_CURVE('',#145157,#145157,#137381,.T.); #175831=EDGE_CURVE('',#145158,#145158,#137382,.T.); #175832=EDGE_CURVE('',#145158,#145159,#61263,.T.); #175833=EDGE_CURVE('',#145159,#145159,#137383,.T.); #175834=EDGE_CURVE('',#145160,#145160,#137384,.T.); #175835=EDGE_CURVE('',#145160,#145161,#61264,.T.); #175836=EDGE_CURVE('',#145161,#145161,#137385,.T.); #175837=EDGE_CURVE('',#145162,#145162,#137386,.T.); #175838=EDGE_CURVE('',#145162,#145163,#61265,.T.); #175839=EDGE_CURVE('',#145163,#145163,#137387,.T.); #175840=EDGE_CURVE('',#145164,#145164,#137388,.T.); #175841=EDGE_CURVE('',#145164,#145165,#61266,.T.); #175842=EDGE_CURVE('',#145165,#145165,#137389,.T.); #175843=EDGE_CURVE('',#145166,#145166,#137390,.T.); #175844=EDGE_CURVE('',#145166,#145167,#61267,.T.); #175845=EDGE_CURVE('',#145167,#145167,#137391,.T.); #175846=EDGE_CURVE('',#145168,#145168,#137392,.T.); #175847=EDGE_CURVE('',#145168,#145169,#61268,.T.); #175848=EDGE_CURVE('',#145169,#145169,#137393,.T.); #175849=EDGE_CURVE('',#145170,#145170,#137394,.T.); #175850=EDGE_CURVE('',#145170,#145171,#61269,.T.); #175851=EDGE_CURVE('',#145171,#145171,#137395,.T.); #175852=EDGE_CURVE('',#145172,#145172,#137396,.T.); #175853=EDGE_CURVE('',#145172,#145173,#61270,.T.); #175854=EDGE_CURVE('',#145173,#145173,#137397,.T.); #175855=EDGE_CURVE('',#145174,#145174,#137398,.T.); #175856=EDGE_CURVE('',#145174,#145175,#61271,.T.); #175857=EDGE_CURVE('',#145175,#145175,#137399,.T.); #175858=EDGE_CURVE('',#145176,#145176,#137400,.T.); #175859=EDGE_CURVE('',#145176,#145177,#61272,.T.); #175860=EDGE_CURVE('',#145177,#145177,#137401,.T.); #175861=EDGE_CURVE('',#145178,#145178,#137402,.T.); #175862=EDGE_CURVE('',#145178,#145179,#61273,.T.); #175863=EDGE_CURVE('',#145179,#145179,#137403,.T.); #175864=EDGE_CURVE('',#145180,#145180,#137404,.T.); #175865=EDGE_CURVE('',#145180,#145181,#61274,.T.); #175866=EDGE_CURVE('',#145181,#145181,#137405,.T.); #175867=EDGE_CURVE('',#145182,#145182,#137406,.T.); #175868=EDGE_CURVE('',#145182,#145183,#61275,.T.); #175869=EDGE_CURVE('',#145183,#145183,#137407,.T.); #175870=EDGE_CURVE('',#145184,#145184,#137408,.T.); #175871=EDGE_CURVE('',#145184,#145185,#61276,.T.); #175872=EDGE_CURVE('',#145185,#145185,#137409,.T.); #175873=EDGE_CURVE('',#145186,#145186,#137410,.T.); #175874=EDGE_CURVE('',#145186,#145187,#61277,.T.); #175875=EDGE_CURVE('',#145187,#145187,#137411,.T.); #175876=EDGE_CURVE('',#145188,#145188,#137412,.T.); #175877=EDGE_CURVE('',#145188,#145189,#61278,.T.); #175878=EDGE_CURVE('',#145189,#145189,#137413,.T.); #175879=EDGE_CURVE('',#145190,#145190,#137414,.T.); #175880=EDGE_CURVE('',#145190,#145191,#61279,.T.); #175881=EDGE_CURVE('',#145191,#145191,#137415,.T.); #175882=EDGE_CURVE('',#145192,#145192,#137416,.T.); #175883=EDGE_CURVE('',#145192,#145193,#61280,.T.); #175884=EDGE_CURVE('',#145193,#145193,#137417,.T.); #175885=EDGE_CURVE('',#145194,#145194,#137418,.T.); #175886=EDGE_CURVE('',#145194,#145195,#61281,.T.); #175887=EDGE_CURVE('',#145195,#145195,#137419,.T.); #175888=EDGE_CURVE('',#145196,#145196,#137420,.T.); #175889=EDGE_CURVE('',#145196,#145197,#61282,.T.); #175890=EDGE_CURVE('',#145197,#145197,#137421,.T.); #175891=EDGE_CURVE('',#145198,#145198,#137422,.T.); #175892=EDGE_CURVE('',#145198,#145199,#61283,.T.); #175893=EDGE_CURVE('',#145199,#145199,#137423,.T.); #175894=EDGE_CURVE('',#145200,#145200,#137424,.T.); #175895=EDGE_CURVE('',#145200,#145201,#61284,.T.); #175896=EDGE_CURVE('',#145201,#145201,#137425,.T.); #175897=EDGE_CURVE('',#145202,#145202,#137426,.T.); #175898=EDGE_CURVE('',#145202,#145203,#61285,.T.); #175899=EDGE_CURVE('',#145203,#145203,#137427,.T.); #175900=EDGE_CURVE('',#145204,#145204,#137428,.T.); #175901=EDGE_CURVE('',#145204,#145205,#61286,.T.); #175902=EDGE_CURVE('',#145205,#145205,#137429,.T.); #175903=EDGE_CURVE('',#145206,#145206,#137430,.T.); #175904=EDGE_CURVE('',#145206,#145207,#61287,.T.); #175905=EDGE_CURVE('',#145207,#145207,#137431,.T.); #175906=EDGE_CURVE('',#145208,#145208,#137432,.T.); #175907=EDGE_CURVE('',#145208,#145209,#61288,.T.); #175908=EDGE_CURVE('',#145209,#145209,#137433,.T.); #175909=EDGE_CURVE('',#145210,#145210,#137434,.T.); #175910=EDGE_CURVE('',#145210,#145211,#61289,.T.); #175911=EDGE_CURVE('',#145211,#145211,#137435,.T.); #175912=EDGE_CURVE('',#145212,#145212,#137436,.T.); #175913=EDGE_CURVE('',#145212,#145213,#61290,.T.); #175914=EDGE_CURVE('',#145213,#145213,#137437,.T.); #175915=EDGE_CURVE('',#145214,#145214,#137438,.T.); #175916=EDGE_CURVE('',#145214,#145215,#61291,.T.); #175917=EDGE_CURVE('',#145215,#145215,#137439,.T.); #175918=EDGE_CURVE('',#145216,#145216,#137440,.T.); #175919=EDGE_CURVE('',#145216,#145217,#61292,.T.); #175920=EDGE_CURVE('',#145217,#145217,#137441,.T.); #175921=EDGE_CURVE('',#145218,#145218,#137442,.T.); #175922=EDGE_CURVE('',#145218,#145219,#61293,.T.); #175923=EDGE_CURVE('',#145219,#145219,#137443,.T.); #175924=EDGE_CURVE('',#145220,#145220,#137444,.T.); #175925=EDGE_CURVE('',#145220,#145221,#61294,.T.); #175926=EDGE_CURVE('',#145221,#145221,#137445,.T.); #175927=EDGE_CURVE('',#145222,#145222,#137446,.T.); #175928=EDGE_CURVE('',#145222,#145223,#61295,.T.); #175929=EDGE_CURVE('',#145223,#145223,#137447,.T.); #175930=EDGE_CURVE('',#145224,#145224,#137448,.T.); #175931=EDGE_CURVE('',#145224,#145225,#61296,.T.); #175932=EDGE_CURVE('',#145225,#145225,#137449,.T.); #175933=EDGE_CURVE('',#145226,#145226,#137450,.T.); #175934=EDGE_CURVE('',#145226,#145227,#61297,.T.); #175935=EDGE_CURVE('',#145227,#145227,#137451,.T.); #175936=EDGE_CURVE('',#145228,#145228,#137452,.T.); #175937=EDGE_CURVE('',#145228,#145229,#61298,.T.); #175938=EDGE_CURVE('',#145229,#145229,#137453,.T.); #175939=EDGE_CURVE('',#145230,#145230,#137454,.T.); #175940=EDGE_CURVE('',#145230,#145231,#61299,.T.); #175941=EDGE_CURVE('',#145231,#145231,#137455,.T.); #175942=EDGE_CURVE('',#145232,#145232,#137456,.T.); #175943=EDGE_CURVE('',#145232,#145233,#61300,.T.); #175944=EDGE_CURVE('',#145233,#145233,#137457,.T.); #175945=EDGE_CURVE('',#145234,#145234,#137458,.T.); #175946=EDGE_CURVE('',#145234,#145235,#61301,.T.); #175947=EDGE_CURVE('',#145235,#145235,#137459,.T.); #175948=EDGE_CURVE('',#145236,#145236,#137460,.T.); #175949=EDGE_CURVE('',#145236,#145237,#61302,.T.); #175950=EDGE_CURVE('',#145237,#145237,#137461,.T.); #175951=EDGE_CURVE('',#145238,#145238,#137462,.T.); #175952=EDGE_CURVE('',#145238,#145239,#61303,.T.); #175953=EDGE_CURVE('',#145239,#145239,#137463,.T.); #175954=EDGE_CURVE('',#145240,#145240,#137464,.T.); #175955=EDGE_CURVE('',#145240,#145241,#61304,.T.); #175956=EDGE_CURVE('',#145241,#145241,#137465,.T.); #175957=EDGE_CURVE('',#145242,#145242,#137466,.T.); #175958=EDGE_CURVE('',#145242,#145243,#61305,.T.); #175959=EDGE_CURVE('',#145243,#145243,#137467,.T.); #175960=EDGE_CURVE('',#145244,#145244,#137468,.T.); #175961=EDGE_CURVE('',#145244,#145245,#61306,.T.); #175962=EDGE_CURVE('',#145245,#145245,#137469,.T.); #175963=EDGE_CURVE('',#145246,#145246,#137470,.T.); #175964=EDGE_CURVE('',#145246,#145247,#61307,.T.); #175965=EDGE_CURVE('',#145247,#145247,#137471,.T.); #175966=EDGE_CURVE('',#145248,#145248,#137472,.T.); #175967=EDGE_CURVE('',#145248,#145249,#61308,.T.); #175968=EDGE_CURVE('',#145249,#145249,#137473,.T.); #175969=EDGE_CURVE('',#145250,#145250,#137474,.T.); #175970=EDGE_CURVE('',#145250,#145251,#61309,.T.); #175971=EDGE_CURVE('',#145251,#145251,#137475,.T.); #175972=EDGE_CURVE('',#145252,#145252,#137476,.T.); #175973=EDGE_CURVE('',#145252,#145253,#61310,.T.); #175974=EDGE_CURVE('',#145253,#145253,#137477,.T.); #175975=EDGE_CURVE('',#145254,#145254,#137478,.T.); #175976=EDGE_CURVE('',#145254,#145255,#61311,.T.); #175977=EDGE_CURVE('',#145255,#145255,#137479,.T.); #175978=EDGE_CURVE('',#145256,#145256,#137480,.T.); #175979=EDGE_CURVE('',#145256,#145257,#61312,.T.); #175980=EDGE_CURVE('',#145257,#145257,#137481,.T.); #175981=EDGE_CURVE('',#145258,#145258,#137482,.T.); #175982=EDGE_CURVE('',#145258,#145259,#61313,.T.); #175983=EDGE_CURVE('',#145259,#145259,#137483,.T.); #175984=EDGE_CURVE('',#145260,#145260,#137484,.T.); #175985=EDGE_CURVE('',#145260,#145261,#61314,.T.); #175986=EDGE_CURVE('',#145261,#145261,#137485,.T.); #175987=EDGE_CURVE('',#145262,#145262,#137486,.T.); #175988=EDGE_CURVE('',#145262,#145263,#61315,.T.); #175989=EDGE_CURVE('',#145263,#145263,#137487,.T.); #175990=EDGE_CURVE('',#145264,#145264,#137488,.T.); #175991=EDGE_CURVE('',#145264,#145265,#61316,.T.); #175992=EDGE_CURVE('',#145265,#145265,#137489,.T.); #175993=EDGE_CURVE('',#145266,#145266,#137490,.T.); #175994=EDGE_CURVE('',#145266,#145267,#61317,.T.); #175995=EDGE_CURVE('',#145267,#145267,#137491,.T.); #175996=EDGE_CURVE('',#145268,#145268,#137492,.T.); #175997=EDGE_CURVE('',#145268,#145269,#61318,.T.); #175998=EDGE_CURVE('',#145269,#145269,#137493,.T.); #175999=EDGE_CURVE('',#145270,#145270,#137494,.T.); #176000=EDGE_CURVE('',#145270,#145271,#61319,.T.); #176001=EDGE_CURVE('',#145271,#145271,#137495,.T.); #176002=EDGE_CURVE('',#145272,#145272,#137496,.T.); #176003=EDGE_CURVE('',#145272,#145273,#61320,.T.); #176004=EDGE_CURVE('',#145273,#145273,#137497,.T.); #176005=EDGE_CURVE('',#145274,#145274,#137498,.T.); #176006=EDGE_CURVE('',#145274,#145275,#61321,.T.); #176007=EDGE_CURVE('',#145275,#145275,#137499,.T.); #176008=EDGE_CURVE('',#145276,#145276,#137500,.T.); #176009=EDGE_CURVE('',#145276,#145277,#61322,.T.); #176010=EDGE_CURVE('',#145277,#145277,#137501,.T.); #176011=EDGE_CURVE('',#145278,#145278,#137502,.T.); #176012=EDGE_CURVE('',#145278,#145279,#61323,.T.); #176013=EDGE_CURVE('',#145279,#145279,#137503,.T.); #176014=EDGE_CURVE('',#145280,#145280,#137504,.T.); #176015=EDGE_CURVE('',#145280,#145281,#61324,.T.); #176016=EDGE_CURVE('',#145281,#145281,#137505,.T.); #176017=EDGE_CURVE('',#145282,#145282,#137506,.T.); #176018=EDGE_CURVE('',#145282,#145283,#61325,.T.); #176019=EDGE_CURVE('',#145283,#145283,#137507,.T.); #176020=EDGE_CURVE('',#145284,#145284,#137508,.T.); #176021=EDGE_CURVE('',#145284,#145285,#61326,.T.); #176022=EDGE_CURVE('',#145285,#145285,#137509,.T.); #176023=EDGE_CURVE('',#145286,#145286,#137510,.T.); #176024=EDGE_CURVE('',#145286,#145287,#61327,.T.); #176025=EDGE_CURVE('',#145287,#145287,#137511,.T.); #176026=EDGE_CURVE('',#145288,#145288,#137512,.T.); #176027=EDGE_CURVE('',#145288,#145289,#61328,.T.); #176028=EDGE_CURVE('',#145289,#145289,#137513,.T.); #176029=EDGE_CURVE('',#145290,#145290,#137514,.T.); #176030=EDGE_CURVE('',#145290,#145291,#61329,.T.); #176031=EDGE_CURVE('',#145291,#145291,#137515,.T.); #176032=EDGE_CURVE('',#145292,#145292,#137516,.T.); #176033=EDGE_CURVE('',#145292,#145293,#61330,.T.); #176034=EDGE_CURVE('',#145293,#145293,#137517,.T.); #176035=EDGE_CURVE('',#145294,#145294,#137518,.T.); #176036=EDGE_CURVE('',#145294,#145295,#61331,.T.); #176037=EDGE_CURVE('',#145295,#145295,#137519,.T.); #176038=EDGE_CURVE('',#145296,#145296,#137520,.T.); #176039=EDGE_CURVE('',#145296,#145297,#61332,.T.); #176040=EDGE_CURVE('',#145297,#145297,#137521,.T.); #176041=EDGE_CURVE('',#145298,#145298,#137522,.T.); #176042=EDGE_CURVE('',#145298,#145299,#61333,.T.); #176043=EDGE_CURVE('',#145299,#145299,#137523,.T.); #176044=EDGE_CURVE('',#145300,#145300,#137524,.T.); #176045=EDGE_CURVE('',#145300,#145301,#61334,.T.); #176046=EDGE_CURVE('',#145301,#145301,#137525,.T.); #176047=EDGE_CURVE('',#145302,#145302,#137526,.T.); #176048=EDGE_CURVE('',#145302,#145303,#61335,.T.); #176049=EDGE_CURVE('',#145303,#145303,#137527,.T.); #176050=EDGE_CURVE('',#145304,#145304,#137528,.T.); #176051=EDGE_CURVE('',#145304,#145305,#61336,.T.); #176052=EDGE_CURVE('',#145305,#145305,#137529,.T.); #176053=EDGE_CURVE('',#145306,#145306,#137530,.T.); #176054=EDGE_CURVE('',#145306,#145307,#61337,.T.); #176055=EDGE_CURVE('',#145307,#145307,#137531,.T.); #176056=EDGE_CURVE('',#145308,#145308,#137532,.T.); #176057=EDGE_CURVE('',#145308,#145309,#61338,.T.); #176058=EDGE_CURVE('',#145309,#145309,#137533,.T.); #176059=EDGE_CURVE('',#145310,#145310,#137534,.T.); #176060=EDGE_CURVE('',#145310,#145311,#61339,.T.); #176061=EDGE_CURVE('',#145311,#145311,#137535,.T.); #176062=EDGE_CURVE('',#145312,#145312,#137536,.T.); #176063=EDGE_CURVE('',#145312,#145313,#61340,.T.); #176064=EDGE_CURVE('',#145313,#145313,#137537,.T.); #176065=EDGE_CURVE('',#145314,#145314,#137538,.T.); #176066=EDGE_CURVE('',#145314,#145315,#61341,.T.); #176067=EDGE_CURVE('',#145315,#145315,#137539,.T.); #176068=EDGE_CURVE('',#145316,#145316,#137540,.T.); #176069=EDGE_CURVE('',#145316,#145317,#61342,.T.); #176070=EDGE_CURVE('',#145317,#145317,#137541,.T.); #176071=EDGE_CURVE('',#145318,#145318,#137542,.T.); #176072=EDGE_CURVE('',#145318,#145319,#61343,.T.); #176073=EDGE_CURVE('',#145319,#145319,#137543,.T.); #176074=EDGE_CURVE('',#145320,#145320,#137544,.T.); #176075=EDGE_CURVE('',#145320,#145321,#61344,.T.); #176076=EDGE_CURVE('',#145321,#145321,#137545,.T.); #176077=EDGE_CURVE('',#145322,#145322,#137546,.T.); #176078=EDGE_CURVE('',#145322,#145323,#61345,.T.); #176079=EDGE_CURVE('',#145323,#145323,#137547,.T.); #176080=EDGE_CURVE('',#145324,#145324,#137548,.T.); #176081=EDGE_CURVE('',#145324,#145325,#61346,.T.); #176082=EDGE_CURVE('',#145325,#145325,#137549,.T.); #176083=EDGE_CURVE('',#145326,#145326,#137550,.T.); #176084=EDGE_CURVE('',#145326,#145327,#61347,.T.); #176085=EDGE_CURVE('',#145327,#145327,#137551,.T.); #176086=EDGE_CURVE('',#145328,#145328,#137552,.T.); #176087=EDGE_CURVE('',#145328,#145329,#61348,.T.); #176088=EDGE_CURVE('',#145329,#145329,#137553,.T.); #176089=EDGE_CURVE('',#145330,#145330,#137554,.T.); #176090=EDGE_CURVE('',#145330,#145331,#61349,.T.); #176091=EDGE_CURVE('',#145331,#145331,#137555,.T.); #176092=EDGE_CURVE('',#145332,#145332,#137556,.T.); #176093=EDGE_CURVE('',#145332,#145333,#61350,.T.); #176094=EDGE_CURVE('',#145333,#145333,#137557,.T.); #176095=EDGE_CURVE('',#145334,#145334,#137558,.T.); #176096=EDGE_CURVE('',#145334,#145335,#61351,.T.); #176097=EDGE_CURVE('',#145335,#145335,#137559,.T.); #176098=EDGE_CURVE('',#145336,#145336,#137560,.T.); #176099=EDGE_CURVE('',#145336,#145337,#61352,.T.); #176100=EDGE_CURVE('',#145337,#145337,#137561,.T.); #176101=EDGE_CURVE('',#145338,#145338,#137562,.T.); #176102=EDGE_CURVE('',#145338,#145339,#61353,.T.); #176103=EDGE_CURVE('',#145339,#145339,#137563,.T.); #176104=EDGE_CURVE('',#145340,#145340,#137564,.T.); #176105=EDGE_CURVE('',#145340,#145341,#61354,.T.); #176106=EDGE_CURVE('',#145341,#145341,#137565,.T.); #176107=EDGE_CURVE('',#145342,#145342,#137566,.T.); #176108=EDGE_CURVE('',#145342,#145343,#61355,.T.); #176109=EDGE_CURVE('',#145343,#145343,#137567,.T.); #176110=EDGE_CURVE('',#145344,#145344,#137568,.T.); #176111=EDGE_CURVE('',#145344,#145345,#61356,.T.); #176112=EDGE_CURVE('',#145345,#145345,#137569,.T.); #176113=EDGE_CURVE('',#145346,#145346,#137570,.T.); #176114=EDGE_CURVE('',#145346,#145347,#61357,.T.); #176115=EDGE_CURVE('',#145347,#145347,#137571,.T.); #176116=EDGE_CURVE('',#145348,#145348,#137572,.T.); #176117=EDGE_CURVE('',#145348,#145349,#61358,.T.); #176118=EDGE_CURVE('',#145349,#145349,#137573,.T.); #176119=EDGE_CURVE('',#145350,#145350,#137574,.T.); #176120=EDGE_CURVE('',#145350,#145351,#61359,.T.); #176121=EDGE_CURVE('',#145351,#145351,#137575,.T.); #176122=EDGE_CURVE('',#145352,#145352,#137576,.T.); #176123=EDGE_CURVE('',#145352,#145353,#61360,.T.); #176124=EDGE_CURVE('',#145353,#145353,#137577,.T.); #176125=EDGE_CURVE('',#145354,#145354,#137578,.T.); #176126=EDGE_CURVE('',#145354,#145355,#61361,.T.); #176127=EDGE_CURVE('',#145355,#145355,#137579,.T.); #176128=EDGE_CURVE('',#145356,#145356,#137580,.T.); #176129=EDGE_CURVE('',#145356,#145357,#61362,.T.); #176130=EDGE_CURVE('',#145357,#145357,#137581,.T.); #176131=EDGE_CURVE('',#145358,#145358,#137582,.T.); #176132=EDGE_CURVE('',#145358,#145359,#61363,.T.); #176133=EDGE_CURVE('',#145359,#145359,#137583,.T.); #176134=EDGE_CURVE('',#145360,#145360,#137584,.T.); #176135=EDGE_CURVE('',#145360,#145361,#61364,.T.); #176136=EDGE_CURVE('',#145361,#145361,#137585,.T.); #176137=EDGE_CURVE('',#145362,#145362,#137586,.T.); #176138=EDGE_CURVE('',#145362,#145363,#61365,.T.); #176139=EDGE_CURVE('',#145363,#145363,#137587,.T.); #176140=EDGE_CURVE('',#145364,#145364,#137588,.T.); #176141=EDGE_CURVE('',#145364,#145365,#61366,.T.); #176142=EDGE_CURVE('',#145365,#145365,#137589,.T.); #176143=EDGE_CURVE('',#145366,#145366,#137590,.T.); #176144=EDGE_CURVE('',#145366,#145367,#61367,.T.); #176145=EDGE_CURVE('',#145367,#145367,#137591,.T.); #176146=EDGE_CURVE('',#145368,#145368,#137592,.T.); #176147=EDGE_CURVE('',#145368,#145369,#61368,.T.); #176148=EDGE_CURVE('',#145369,#145369,#137593,.T.); #176149=EDGE_CURVE('',#145370,#145370,#137594,.T.); #176150=EDGE_CURVE('',#145370,#145371,#61369,.T.); #176151=EDGE_CURVE('',#145371,#145371,#137595,.T.); #176152=EDGE_CURVE('',#145372,#145372,#137596,.T.); #176153=EDGE_CURVE('',#145372,#145373,#61370,.T.); #176154=EDGE_CURVE('',#145373,#145373,#137597,.T.); #176155=EDGE_CURVE('',#145374,#145374,#137598,.T.); #176156=EDGE_CURVE('',#145374,#145375,#61371,.T.); #176157=EDGE_CURVE('',#145375,#145375,#137599,.T.); #176158=EDGE_CURVE('',#145376,#145376,#137600,.T.); #176159=EDGE_CURVE('',#145376,#145377,#61372,.T.); #176160=EDGE_CURVE('',#145377,#145377,#137601,.T.); #176161=EDGE_CURVE('',#145378,#145378,#137602,.T.); #176162=EDGE_CURVE('',#145378,#145379,#61373,.T.); #176163=EDGE_CURVE('',#145379,#145379,#137603,.T.); #176164=EDGE_CURVE('',#145380,#145380,#137604,.T.); #176165=EDGE_CURVE('',#145380,#145381,#61374,.T.); #176166=EDGE_CURVE('',#145381,#145381,#137605,.T.); #176167=EDGE_CURVE('',#145382,#145382,#137606,.T.); #176168=EDGE_CURVE('',#145382,#145383,#61375,.T.); #176169=EDGE_CURVE('',#145383,#145383,#137607,.T.); #176170=EDGE_CURVE('',#145384,#145384,#137608,.T.); #176171=EDGE_CURVE('',#145384,#145385,#61376,.T.); #176172=EDGE_CURVE('',#145385,#145385,#137609,.T.); #176173=EDGE_CURVE('',#145386,#145386,#137610,.T.); #176174=EDGE_CURVE('',#145386,#145387,#61377,.T.); #176175=EDGE_CURVE('',#145387,#145387,#137611,.T.); #176176=EDGE_CURVE('',#145388,#145388,#137612,.T.); #176177=EDGE_CURVE('',#145388,#145389,#61378,.T.); #176178=EDGE_CURVE('',#145389,#145389,#137613,.T.); #176179=EDGE_CURVE('',#145390,#145390,#137614,.T.); #176180=EDGE_CURVE('',#145390,#145391,#61379,.T.); #176181=EDGE_CURVE('',#145391,#145391,#137615,.T.); #176182=EDGE_CURVE('',#145392,#145392,#137616,.T.); #176183=EDGE_CURVE('',#145392,#145393,#61380,.T.); #176184=EDGE_CURVE('',#145393,#145393,#137617,.T.); #176185=EDGE_CURVE('',#145394,#145394,#137618,.T.); #176186=EDGE_CURVE('',#145394,#145395,#61381,.T.); #176187=EDGE_CURVE('',#145395,#145395,#137619,.T.); #176188=EDGE_CURVE('',#145396,#145396,#137620,.T.); #176189=EDGE_CURVE('',#145396,#145397,#61382,.T.); #176190=EDGE_CURVE('',#145397,#145397,#137621,.T.); #176191=EDGE_CURVE('',#145398,#145398,#137622,.T.); #176192=EDGE_CURVE('',#145398,#145399,#61383,.T.); #176193=EDGE_CURVE('',#145399,#145399,#137623,.T.); #176194=EDGE_CURVE('',#145400,#145400,#137624,.T.); #176195=EDGE_CURVE('',#145400,#145401,#61384,.T.); #176196=EDGE_CURVE('',#145401,#145401,#137625,.T.); #176197=EDGE_CURVE('',#145402,#145402,#137626,.T.); #176198=EDGE_CURVE('',#145402,#145403,#61385,.T.); #176199=EDGE_CURVE('',#145403,#145403,#137627,.T.); #176200=EDGE_CURVE('',#145404,#145404,#137628,.T.); #176201=EDGE_CURVE('',#145404,#145405,#61386,.T.); #176202=EDGE_CURVE('',#145405,#145405,#137629,.T.); #176203=EDGE_CURVE('',#145406,#145406,#137630,.T.); #176204=EDGE_CURVE('',#145406,#145407,#61387,.T.); #176205=EDGE_CURVE('',#145407,#145407,#137631,.T.); #176206=EDGE_CURVE('',#145408,#145408,#137632,.T.); #176207=EDGE_CURVE('',#145408,#145409,#61388,.T.); #176208=EDGE_CURVE('',#145409,#145409,#137633,.T.); #176209=EDGE_CURVE('',#145410,#145410,#137634,.T.); #176210=EDGE_CURVE('',#145410,#145411,#61389,.T.); #176211=EDGE_CURVE('',#145411,#145411,#137635,.T.); #176212=EDGE_CURVE('',#145412,#145412,#137636,.T.); #176213=EDGE_CURVE('',#145412,#145413,#61390,.T.); #176214=EDGE_CURVE('',#145413,#145413,#137637,.T.); #176215=EDGE_CURVE('',#145414,#145414,#137638,.T.); #176216=EDGE_CURVE('',#145414,#145415,#61391,.T.); #176217=EDGE_CURVE('',#145415,#145415,#137639,.T.); #176218=EDGE_CURVE('',#145416,#145416,#137640,.T.); #176219=EDGE_CURVE('',#145416,#145417,#61392,.T.); #176220=EDGE_CURVE('',#145417,#145417,#137641,.T.); #176221=EDGE_CURVE('',#145418,#145418,#137642,.T.); #176222=EDGE_CURVE('',#145418,#145419,#61393,.T.); #176223=EDGE_CURVE('',#145419,#145419,#137643,.T.); #176224=EDGE_CURVE('',#145420,#145420,#137644,.T.); #176225=EDGE_CURVE('',#145420,#145421,#61394,.T.); #176226=EDGE_CURVE('',#145421,#145421,#137645,.T.); #176227=EDGE_CURVE('',#145422,#145422,#137646,.T.); #176228=EDGE_CURVE('',#145422,#145423,#61395,.T.); #176229=EDGE_CURVE('',#145423,#145423,#137647,.T.); #176230=EDGE_CURVE('',#145424,#145424,#137648,.T.); #176231=EDGE_CURVE('',#145424,#145425,#61396,.T.); #176232=EDGE_CURVE('',#145425,#145425,#137649,.T.); #176233=EDGE_CURVE('',#145426,#145426,#137650,.T.); #176234=EDGE_CURVE('',#145426,#145427,#61397,.T.); #176235=EDGE_CURVE('',#145427,#145427,#137651,.T.); #176236=EDGE_CURVE('',#145428,#145428,#137652,.T.); #176237=EDGE_CURVE('',#145428,#145429,#61398,.T.); #176238=EDGE_CURVE('',#145429,#145429,#137653,.T.); #176239=EDGE_CURVE('',#145430,#145430,#137654,.T.); #176240=EDGE_CURVE('',#145430,#145431,#61399,.T.); #176241=EDGE_CURVE('',#145431,#145431,#137655,.T.); #176242=EDGE_CURVE('',#145432,#145432,#137656,.T.); #176243=EDGE_CURVE('',#145432,#145433,#61400,.T.); #176244=EDGE_CURVE('',#145433,#145433,#137657,.T.); #176245=EDGE_CURVE('',#145434,#145434,#137658,.T.); #176246=EDGE_CURVE('',#145434,#145435,#61401,.T.); #176247=EDGE_CURVE('',#145435,#145435,#137659,.T.); #176248=EDGE_CURVE('',#145436,#145436,#137660,.T.); #176249=EDGE_CURVE('',#145436,#145437,#61402,.T.); #176250=EDGE_CURVE('',#145437,#145437,#137661,.T.); #176251=EDGE_CURVE('',#145438,#145438,#137662,.T.); #176252=EDGE_CURVE('',#145438,#145439,#61403,.T.); #176253=EDGE_CURVE('',#145439,#145439,#137663,.T.); #176254=EDGE_CURVE('',#145440,#145440,#137664,.T.); #176255=EDGE_CURVE('',#145440,#145441,#61404,.T.); #176256=EDGE_CURVE('',#145441,#145441,#137665,.T.); #176257=EDGE_CURVE('',#145442,#145442,#137666,.T.); #176258=EDGE_CURVE('',#145442,#145443,#61405,.T.); #176259=EDGE_CURVE('',#145443,#145443,#137667,.T.); #176260=EDGE_CURVE('',#145444,#145444,#137668,.T.); #176261=EDGE_CURVE('',#145444,#145445,#61406,.T.); #176262=EDGE_CURVE('',#145445,#145445,#137669,.T.); #176263=EDGE_CURVE('',#145446,#145446,#137670,.T.); #176264=EDGE_CURVE('',#145446,#145447,#61407,.T.); #176265=EDGE_CURVE('',#145447,#145447,#137671,.T.); #176266=EDGE_CURVE('',#145448,#145448,#137672,.T.); #176267=EDGE_CURVE('',#145448,#145449,#61408,.T.); #176268=EDGE_CURVE('',#145449,#145449,#137673,.T.); #176269=EDGE_CURVE('',#145450,#145450,#137674,.T.); #176270=EDGE_CURVE('',#145450,#145451,#61409,.T.); #176271=EDGE_CURVE('',#145451,#145451,#137675,.T.); #176272=EDGE_CURVE('',#145452,#145452,#137676,.T.); #176273=EDGE_CURVE('',#145452,#145453,#61410,.T.); #176274=EDGE_CURVE('',#145453,#145453,#137677,.T.); #176275=EDGE_CURVE('',#145454,#145454,#137678,.T.); #176276=EDGE_CURVE('',#145454,#145455,#61411,.T.); #176277=EDGE_CURVE('',#145455,#145455,#137679,.T.); #176278=EDGE_CURVE('',#145456,#145456,#137680,.T.); #176279=EDGE_CURVE('',#145456,#145457,#61412,.T.); #176280=EDGE_CURVE('',#145457,#145457,#137681,.T.); #176281=EDGE_CURVE('',#145458,#145458,#137682,.T.); #176282=EDGE_CURVE('',#145458,#145459,#61413,.T.); #176283=EDGE_CURVE('',#145459,#145459,#137683,.T.); #176284=EDGE_CURVE('',#145460,#145460,#137684,.T.); #176285=EDGE_CURVE('',#145460,#145461,#61414,.T.); #176286=EDGE_CURVE('',#145461,#145461,#137685,.T.); #176287=EDGE_CURVE('',#145462,#145462,#137686,.T.); #176288=EDGE_CURVE('',#145462,#145463,#61415,.T.); #176289=EDGE_CURVE('',#145463,#145463,#137687,.T.); #176290=EDGE_CURVE('',#145464,#145464,#137688,.T.); #176291=EDGE_CURVE('',#145464,#145465,#61416,.T.); #176292=EDGE_CURVE('',#145465,#145465,#137689,.T.); #176293=EDGE_CURVE('',#145466,#145466,#137690,.T.); #176294=EDGE_CURVE('',#145466,#145467,#61417,.T.); #176295=EDGE_CURVE('',#145467,#145467,#137691,.T.); #176296=EDGE_CURVE('',#145468,#145468,#137692,.T.); #176297=EDGE_CURVE('',#145468,#145469,#61418,.T.); #176298=EDGE_CURVE('',#145469,#145469,#137693,.T.); #176299=EDGE_CURVE('',#145470,#145470,#137694,.T.); #176300=EDGE_CURVE('',#145470,#145471,#61419,.T.); #176301=EDGE_CURVE('',#145471,#145471,#137695,.T.); #176302=EDGE_CURVE('',#145472,#145472,#137696,.T.); #176303=EDGE_CURVE('',#145472,#145473,#61420,.T.); #176304=EDGE_CURVE('',#145473,#145473,#137697,.T.); #176305=EDGE_CURVE('',#145474,#145474,#137698,.T.); #176306=EDGE_CURVE('',#145474,#145475,#61421,.T.); #176307=EDGE_CURVE('',#145475,#145475,#137699,.T.); #176308=EDGE_CURVE('',#145476,#145476,#137700,.T.); #176309=EDGE_CURVE('',#145476,#145477,#61422,.T.); #176310=EDGE_CURVE('',#145477,#145477,#137701,.T.); #176311=EDGE_CURVE('',#145478,#145478,#137702,.T.); #176312=EDGE_CURVE('',#145478,#145479,#61423,.T.); #176313=EDGE_CURVE('',#145479,#145479,#137703,.T.); #176314=EDGE_CURVE('',#145480,#145480,#137704,.T.); #176315=EDGE_CURVE('',#145480,#145481,#61424,.T.); #176316=EDGE_CURVE('',#145481,#145481,#137705,.T.); #176317=EDGE_CURVE('',#145482,#145482,#137706,.T.); #176318=EDGE_CURVE('',#145482,#145483,#61425,.T.); #176319=EDGE_CURVE('',#145483,#145483,#137707,.T.); #176320=EDGE_CURVE('',#145484,#145484,#137708,.T.); #176321=EDGE_CURVE('',#145484,#145485,#61426,.T.); #176322=EDGE_CURVE('',#145485,#145485,#137709,.T.); #176323=EDGE_CURVE('',#145486,#145486,#137710,.T.); #176324=EDGE_CURVE('',#145486,#145487,#61427,.T.); #176325=EDGE_CURVE('',#145487,#145487,#137711,.T.); #176326=EDGE_CURVE('',#145488,#145488,#137712,.T.); #176327=EDGE_CURVE('',#145488,#145489,#61428,.T.); #176328=EDGE_CURVE('',#145489,#145489,#137713,.T.); #176329=EDGE_CURVE('',#145490,#145490,#137714,.T.); #176330=EDGE_CURVE('',#145490,#145491,#61429,.T.); #176331=EDGE_CURVE('',#145491,#145491,#137715,.T.); #176332=EDGE_CURVE('',#145492,#145492,#137716,.T.); #176333=EDGE_CURVE('',#145492,#145493,#61430,.T.); #176334=EDGE_CURVE('',#145493,#145493,#137717,.T.); #176335=EDGE_CURVE('',#145494,#145494,#137718,.T.); #176336=EDGE_CURVE('',#145494,#145495,#61431,.T.); #176337=EDGE_CURVE('',#145495,#145495,#137719,.T.); #176338=EDGE_CURVE('',#145496,#145496,#137720,.T.); #176339=EDGE_CURVE('',#145496,#145497,#61432,.T.); #176340=EDGE_CURVE('',#145497,#145497,#137721,.T.); #176341=EDGE_CURVE('',#145498,#145498,#137722,.T.); #176342=EDGE_CURVE('',#145498,#145499,#61433,.T.); #176343=EDGE_CURVE('',#145499,#145499,#137723,.T.); #176344=EDGE_CURVE('',#145500,#145500,#137724,.T.); #176345=EDGE_CURVE('',#145500,#145501,#61434,.T.); #176346=EDGE_CURVE('',#145501,#145501,#137725,.T.); #176347=EDGE_CURVE('',#145502,#145502,#137726,.T.); #176348=EDGE_CURVE('',#145502,#145503,#61435,.T.); #176349=EDGE_CURVE('',#145503,#145503,#137727,.T.); #176350=EDGE_CURVE('',#145504,#145504,#137728,.T.); #176351=EDGE_CURVE('',#145504,#145505,#61436,.T.); #176352=EDGE_CURVE('',#145505,#145505,#137729,.T.); #176353=EDGE_CURVE('',#145506,#145506,#137730,.T.); #176354=EDGE_CURVE('',#145506,#145507,#61437,.T.); #176355=EDGE_CURVE('',#145507,#145507,#137731,.T.); #176356=EDGE_CURVE('',#145508,#145508,#137732,.T.); #176357=EDGE_CURVE('',#145508,#145509,#61438,.T.); #176358=EDGE_CURVE('',#145509,#145509,#137733,.T.); #176359=EDGE_CURVE('',#145510,#145510,#137734,.T.); #176360=EDGE_CURVE('',#145510,#145511,#61439,.T.); #176361=EDGE_CURVE('',#145511,#145511,#137735,.T.); #176362=EDGE_CURVE('',#145512,#145512,#137736,.T.); #176363=EDGE_CURVE('',#145512,#145513,#61440,.T.); #176364=EDGE_CURVE('',#145513,#145513,#137737,.T.); #176365=EDGE_CURVE('',#145514,#145514,#137738,.T.); #176366=EDGE_CURVE('',#145514,#145515,#61441,.T.); #176367=EDGE_CURVE('',#145515,#145515,#137739,.T.); #176368=EDGE_CURVE('',#145516,#145516,#137740,.T.); #176369=EDGE_CURVE('',#145516,#145517,#61442,.T.); #176370=EDGE_CURVE('',#145517,#145517,#137741,.T.); #176371=EDGE_CURVE('',#145518,#145518,#137742,.T.); #176372=EDGE_CURVE('',#145518,#145519,#61443,.T.); #176373=EDGE_CURVE('',#145519,#145519,#137743,.T.); #176374=EDGE_CURVE('',#145520,#145520,#137744,.T.); #176375=EDGE_CURVE('',#145520,#145521,#61444,.T.); #176376=EDGE_CURVE('',#145521,#145521,#137745,.T.); #176377=EDGE_CURVE('',#145522,#145522,#137746,.T.); #176378=EDGE_CURVE('',#145522,#145523,#61445,.T.); #176379=EDGE_CURVE('',#145523,#145523,#137747,.T.); #176380=EDGE_CURVE('',#145524,#145524,#137748,.T.); #176381=EDGE_CURVE('',#145524,#145525,#61446,.T.); #176382=EDGE_CURVE('',#145525,#145525,#137749,.T.); #176383=EDGE_CURVE('',#145526,#145526,#137750,.T.); #176384=EDGE_CURVE('',#145526,#145527,#61447,.T.); #176385=EDGE_CURVE('',#145527,#145527,#137751,.T.); #176386=EDGE_CURVE('',#145528,#145528,#137752,.T.); #176387=EDGE_CURVE('',#145528,#145529,#61448,.T.); #176388=EDGE_CURVE('',#145529,#145529,#137753,.T.); #176389=EDGE_CURVE('',#145530,#145530,#137754,.T.); #176390=EDGE_CURVE('',#145530,#145531,#61449,.T.); #176391=EDGE_CURVE('',#145531,#145531,#137755,.T.); #176392=EDGE_CURVE('',#145532,#145532,#137756,.T.); #176393=EDGE_CURVE('',#145532,#145533,#61450,.T.); #176394=EDGE_CURVE('',#145533,#145533,#137757,.T.); #176395=EDGE_CURVE('',#145534,#145534,#137758,.T.); #176396=EDGE_CURVE('',#145534,#145535,#61451,.T.); #176397=EDGE_CURVE('',#145535,#145535,#137759,.T.); #176398=EDGE_CURVE('',#145536,#145536,#137760,.T.); #176399=EDGE_CURVE('',#145536,#145537,#61452,.T.); #176400=EDGE_CURVE('',#145537,#145537,#137761,.T.); #176401=EDGE_CURVE('',#145538,#145538,#137762,.T.); #176402=EDGE_CURVE('',#145538,#145539,#61453,.T.); #176403=EDGE_CURVE('',#145539,#145539,#137763,.T.); #176404=EDGE_CURVE('',#145540,#145540,#137764,.T.); #176405=EDGE_CURVE('',#145540,#145541,#61454,.T.); #176406=EDGE_CURVE('',#145541,#145541,#137765,.T.); #176407=EDGE_CURVE('',#145542,#145542,#137766,.T.); #176408=EDGE_CURVE('',#145542,#145543,#61455,.T.); #176409=EDGE_CURVE('',#145543,#145543,#137767,.T.); #176410=EDGE_CURVE('',#145544,#145544,#137768,.T.); #176411=EDGE_CURVE('',#145544,#145545,#61456,.T.); #176412=EDGE_CURVE('',#145545,#145545,#137769,.T.); #176413=EDGE_CURVE('',#145546,#145546,#137770,.T.); #176414=EDGE_CURVE('',#145546,#145547,#61457,.T.); #176415=EDGE_CURVE('',#145547,#145547,#137771,.T.); #176416=EDGE_CURVE('',#145548,#145548,#137772,.T.); #176417=EDGE_CURVE('',#145548,#145549,#61458,.T.); #176418=EDGE_CURVE('',#145549,#145549,#137773,.T.); #176419=EDGE_CURVE('',#145550,#145550,#137774,.T.); #176420=EDGE_CURVE('',#145550,#145551,#61459,.T.); #176421=EDGE_CURVE('',#145551,#145551,#137775,.T.); #176422=EDGE_CURVE('',#145552,#145552,#137776,.T.); #176423=EDGE_CURVE('',#145552,#145553,#61460,.T.); #176424=EDGE_CURVE('',#145553,#145553,#137777,.T.); #176425=EDGE_CURVE('',#145554,#145554,#137778,.T.); #176426=EDGE_CURVE('',#145554,#145555,#61461,.T.); #176427=EDGE_CURVE('',#145555,#145555,#137779,.T.); #176428=EDGE_CURVE('',#145556,#145556,#137780,.T.); #176429=EDGE_CURVE('',#145556,#145557,#61462,.T.); #176430=EDGE_CURVE('',#145557,#145557,#137781,.T.); #176431=EDGE_CURVE('',#145558,#145558,#137782,.T.); #176432=EDGE_CURVE('',#145558,#145559,#61463,.T.); #176433=EDGE_CURVE('',#145559,#145559,#137783,.T.); #176434=EDGE_CURVE('',#145560,#145560,#137784,.T.); #176435=EDGE_CURVE('',#145560,#145561,#61464,.T.); #176436=EDGE_CURVE('',#145561,#145561,#137785,.T.); #176437=EDGE_CURVE('',#145562,#145562,#137786,.T.); #176438=EDGE_CURVE('',#145562,#145563,#61465,.T.); #176439=EDGE_CURVE('',#145563,#145563,#137787,.T.); #176440=EDGE_CURVE('',#145564,#145564,#137788,.T.); #176441=EDGE_CURVE('',#145564,#145565,#61466,.T.); #176442=EDGE_CURVE('',#145565,#145565,#137789,.T.); #176443=EDGE_CURVE('',#145566,#145566,#137790,.T.); #176444=EDGE_CURVE('',#145566,#145567,#61467,.T.); #176445=EDGE_CURVE('',#145567,#145567,#137791,.T.); #176446=EDGE_CURVE('',#145568,#145568,#137792,.T.); #176447=EDGE_CURVE('',#145568,#145569,#61468,.T.); #176448=EDGE_CURVE('',#145569,#145569,#137793,.T.); #176449=EDGE_CURVE('',#145570,#145570,#137794,.T.); #176450=EDGE_CURVE('',#145570,#145571,#61469,.T.); #176451=EDGE_CURVE('',#145571,#145571,#137795,.T.); #176452=EDGE_CURVE('',#145572,#145572,#137796,.T.); #176453=EDGE_CURVE('',#145572,#145573,#61470,.T.); #176454=EDGE_CURVE('',#145573,#145573,#137797,.T.); #176455=EDGE_CURVE('',#145574,#145574,#137798,.T.); #176456=EDGE_CURVE('',#145574,#145575,#61471,.T.); #176457=EDGE_CURVE('',#145575,#145575,#137799,.T.); #176458=EDGE_CURVE('',#145576,#145576,#137800,.T.); #176459=EDGE_CURVE('',#145576,#145577,#61472,.T.); #176460=EDGE_CURVE('',#145577,#145577,#137801,.T.); #176461=EDGE_CURVE('',#145578,#145578,#137802,.T.); #176462=EDGE_CURVE('',#145578,#145579,#61473,.T.); #176463=EDGE_CURVE('',#145579,#145579,#137803,.T.); #176464=EDGE_CURVE('',#145580,#145580,#137804,.T.); #176465=EDGE_CURVE('',#145580,#145581,#61474,.T.); #176466=EDGE_CURVE('',#145581,#145581,#137805,.T.); #176467=EDGE_CURVE('',#145582,#145582,#137806,.T.); #176468=EDGE_CURVE('',#145582,#145583,#61475,.T.); #176469=EDGE_CURVE('',#145583,#145583,#137807,.T.); #176470=EDGE_CURVE('',#145584,#145584,#137808,.T.); #176471=EDGE_CURVE('',#145584,#145585,#61476,.T.); #176472=EDGE_CURVE('',#145585,#145585,#137809,.T.); #176473=EDGE_CURVE('',#145586,#145586,#137810,.T.); #176474=EDGE_CURVE('',#145586,#145587,#61477,.T.); #176475=EDGE_CURVE('',#145587,#145587,#137811,.T.); #176476=EDGE_CURVE('',#145588,#145588,#137812,.T.); #176477=EDGE_CURVE('',#145588,#145589,#61478,.T.); #176478=EDGE_CURVE('',#145589,#145589,#137813,.T.); #176479=EDGE_CURVE('',#145590,#145590,#137814,.T.); #176480=EDGE_CURVE('',#145590,#145591,#61479,.T.); #176481=EDGE_CURVE('',#145591,#145591,#137815,.T.); #176482=EDGE_CURVE('',#145592,#145592,#137816,.T.); #176483=EDGE_CURVE('',#145592,#145593,#61480,.T.); #176484=EDGE_CURVE('',#145593,#145593,#137817,.T.); #176485=EDGE_CURVE('',#145594,#145594,#137818,.T.); #176486=EDGE_CURVE('',#145594,#145595,#61481,.T.); #176487=EDGE_CURVE('',#145595,#145595,#137819,.T.); #176488=EDGE_CURVE('',#145596,#145596,#137820,.T.); #176489=EDGE_CURVE('',#145596,#145597,#61482,.T.); #176490=EDGE_CURVE('',#145597,#145597,#137821,.T.); #176491=EDGE_CURVE('',#145598,#145598,#137822,.T.); #176492=EDGE_CURVE('',#145598,#145599,#61483,.T.); #176493=EDGE_CURVE('',#145599,#145599,#137823,.T.); #176494=EDGE_CURVE('',#145600,#145600,#137824,.T.); #176495=EDGE_CURVE('',#145600,#145601,#61484,.T.); #176496=EDGE_CURVE('',#145601,#145601,#137825,.T.); #176497=EDGE_CURVE('',#145602,#145602,#137826,.T.); #176498=EDGE_CURVE('',#145602,#145603,#61485,.T.); #176499=EDGE_CURVE('',#145603,#145603,#137827,.T.); #176500=EDGE_CURVE('',#145604,#145604,#137828,.T.); #176501=EDGE_CURVE('',#145604,#145605,#61486,.T.); #176502=EDGE_CURVE('',#145605,#145605,#137829,.T.); #176503=EDGE_CURVE('',#145606,#145606,#137830,.T.); #176504=EDGE_CURVE('',#145606,#145607,#61487,.T.); #176505=EDGE_CURVE('',#145607,#145607,#137831,.T.); #176506=EDGE_CURVE('',#145608,#145608,#137832,.T.); #176507=EDGE_CURVE('',#145608,#145609,#61488,.T.); #176508=EDGE_CURVE('',#145609,#145609,#137833,.T.); #176509=EDGE_CURVE('',#145610,#145610,#137834,.T.); #176510=EDGE_CURVE('',#145610,#145611,#61489,.T.); #176511=EDGE_CURVE('',#145611,#145611,#137835,.T.); #176512=EDGE_CURVE('',#145612,#145612,#137836,.T.); #176513=EDGE_CURVE('',#145612,#145613,#61490,.T.); #176514=EDGE_CURVE('',#145613,#145613,#137837,.T.); #176515=EDGE_CURVE('',#145614,#145614,#137838,.T.); #176516=EDGE_CURVE('',#145614,#145615,#61491,.T.); #176517=EDGE_CURVE('',#145615,#145615,#137839,.T.); #176518=EDGE_CURVE('',#145616,#145616,#137840,.T.); #176519=EDGE_CURVE('',#145616,#145617,#61492,.T.); #176520=EDGE_CURVE('',#145617,#145617,#137841,.T.); #176521=EDGE_CURVE('',#145618,#145618,#137842,.T.); #176522=EDGE_CURVE('',#145618,#145619,#61493,.T.); #176523=EDGE_CURVE('',#145619,#145619,#137843,.T.); #176524=EDGE_CURVE('',#145620,#145620,#137844,.T.); #176525=EDGE_CURVE('',#145620,#145621,#61494,.T.); #176526=EDGE_CURVE('',#145621,#145621,#137845,.T.); #176527=EDGE_CURVE('',#145622,#145622,#137846,.T.); #176528=EDGE_CURVE('',#145622,#145623,#61495,.T.); #176529=EDGE_CURVE('',#145623,#145623,#137847,.T.); #176530=EDGE_CURVE('',#145624,#145624,#137848,.T.); #176531=EDGE_CURVE('',#145624,#145625,#61496,.T.); #176532=EDGE_CURVE('',#145625,#145625,#137849,.T.); #176533=EDGE_CURVE('',#145626,#145626,#137850,.T.); #176534=EDGE_CURVE('',#145626,#145627,#61497,.T.); #176535=EDGE_CURVE('',#145627,#145627,#137851,.T.); #176536=EDGE_CURVE('',#145628,#145628,#137852,.T.); #176537=EDGE_CURVE('',#145628,#145629,#61498,.T.); #176538=EDGE_CURVE('',#145629,#145629,#137853,.T.); #176539=EDGE_CURVE('',#145630,#145630,#137854,.T.); #176540=EDGE_CURVE('',#145630,#145631,#61499,.T.); #176541=EDGE_CURVE('',#145631,#145631,#137855,.T.); #176542=EDGE_CURVE('',#145632,#145632,#137856,.T.); #176543=EDGE_CURVE('',#145632,#145633,#61500,.T.); #176544=EDGE_CURVE('',#145633,#145633,#137857,.T.); #176545=EDGE_CURVE('',#145634,#145634,#137858,.T.); #176546=EDGE_CURVE('',#145634,#145635,#61501,.T.); #176547=EDGE_CURVE('',#145635,#145635,#137859,.T.); #176548=EDGE_CURVE('',#145636,#145636,#137860,.T.); #176549=EDGE_CURVE('',#145636,#145637,#61502,.T.); #176550=EDGE_CURVE('',#145637,#145637,#137861,.T.); #176551=EDGE_CURVE('',#145638,#145638,#137862,.T.); #176552=EDGE_CURVE('',#145638,#145639,#61503,.T.); #176553=EDGE_CURVE('',#145639,#145639,#137863,.T.); #176554=EDGE_CURVE('',#145640,#145640,#137864,.T.); #176555=EDGE_CURVE('',#145640,#145641,#61504,.T.); #176556=EDGE_CURVE('',#145641,#145641,#137865,.T.); #176557=EDGE_CURVE('',#145642,#145642,#137866,.T.); #176558=EDGE_CURVE('',#145642,#145643,#61505,.T.); #176559=EDGE_CURVE('',#145643,#145643,#137867,.T.); #176560=EDGE_CURVE('',#145644,#145644,#137868,.T.); #176561=EDGE_CURVE('',#145644,#145645,#61506,.T.); #176562=EDGE_CURVE('',#145645,#145645,#137869,.T.); #176563=EDGE_CURVE('',#145646,#145646,#137870,.T.); #176564=EDGE_CURVE('',#145646,#145647,#61507,.T.); #176565=EDGE_CURVE('',#145647,#145647,#137871,.T.); #176566=EDGE_CURVE('',#145648,#145648,#137872,.T.); #176567=EDGE_CURVE('',#145648,#145649,#61508,.T.); #176568=EDGE_CURVE('',#145649,#145649,#137873,.T.); #176569=EDGE_CURVE('',#145650,#145650,#137874,.T.); #176570=EDGE_CURVE('',#145650,#145651,#61509,.T.); #176571=EDGE_CURVE('',#145651,#145651,#137875,.T.); #176572=EDGE_CURVE('',#145652,#145652,#137876,.T.); #176573=EDGE_CURVE('',#145652,#145653,#61510,.T.); #176574=EDGE_CURVE('',#145653,#145653,#137877,.T.); #176575=EDGE_CURVE('',#145654,#145654,#137878,.T.); #176576=EDGE_CURVE('',#145654,#145655,#61511,.T.); #176577=EDGE_CURVE('',#145655,#145655,#137879,.T.); #176578=EDGE_CURVE('',#145656,#145656,#137880,.T.); #176579=EDGE_CURVE('',#145656,#145657,#61512,.T.); #176580=EDGE_CURVE('',#145657,#145657,#137881,.T.); #176581=EDGE_CURVE('',#145658,#145658,#137882,.T.); #176582=EDGE_CURVE('',#145658,#145659,#61513,.T.); #176583=EDGE_CURVE('',#145659,#145659,#137883,.T.); #176584=EDGE_CURVE('',#145660,#145660,#137884,.T.); #176585=EDGE_CURVE('',#145660,#145661,#61514,.T.); #176586=EDGE_CURVE('',#145661,#145661,#137885,.T.); #176587=EDGE_CURVE('',#145662,#145662,#137886,.T.); #176588=EDGE_CURVE('',#145662,#145663,#61515,.T.); #176589=EDGE_CURVE('',#145663,#145663,#137887,.T.); #176590=EDGE_CURVE('',#145664,#145664,#137888,.T.); #176591=EDGE_CURVE('',#145664,#145665,#61516,.T.); #176592=EDGE_CURVE('',#145665,#145665,#137889,.T.); #176593=EDGE_CURVE('',#145666,#145666,#137890,.T.); #176594=EDGE_CURVE('',#145666,#145667,#61517,.T.); #176595=EDGE_CURVE('',#145667,#145667,#137891,.T.); #176596=EDGE_CURVE('',#145668,#145668,#137892,.T.); #176597=EDGE_CURVE('',#145668,#145669,#61518,.T.); #176598=EDGE_CURVE('',#145669,#145669,#137893,.T.); #176599=EDGE_CURVE('',#145670,#145670,#137894,.T.); #176600=EDGE_CURVE('',#145670,#145671,#61519,.T.); #176601=EDGE_CURVE('',#145671,#145671,#137895,.T.); #176602=EDGE_CURVE('',#145672,#145672,#137896,.T.); #176603=EDGE_CURVE('',#145672,#145673,#61520,.T.); #176604=EDGE_CURVE('',#145673,#145673,#137897,.T.); #176605=EDGE_CURVE('',#145674,#145674,#137898,.T.); #176606=EDGE_CURVE('',#145674,#145675,#61521,.T.); #176607=EDGE_CURVE('',#145675,#145675,#137899,.T.); #176608=EDGE_CURVE('',#145676,#145676,#137900,.T.); #176609=EDGE_CURVE('',#145676,#145677,#61522,.T.); #176610=EDGE_CURVE('',#145677,#145677,#137901,.T.); #176611=EDGE_CURVE('',#145678,#145678,#137902,.T.); #176612=EDGE_CURVE('',#145678,#145679,#61523,.T.); #176613=EDGE_CURVE('',#145679,#145679,#137903,.T.); #176614=EDGE_CURVE('',#145680,#145680,#137904,.T.); #176615=EDGE_CURVE('',#145680,#145681,#61524,.T.); #176616=EDGE_CURVE('',#145681,#145681,#137905,.T.); #176617=EDGE_CURVE('',#145682,#145682,#137906,.T.); #176618=EDGE_CURVE('',#145682,#145683,#61525,.T.); #176619=EDGE_CURVE('',#145683,#145683,#137907,.T.); #176620=EDGE_CURVE('',#145684,#145684,#137908,.T.); #176621=EDGE_CURVE('',#145684,#145685,#61526,.T.); #176622=EDGE_CURVE('',#145685,#145685,#137909,.T.); #176623=EDGE_CURVE('',#145686,#145686,#137910,.T.); #176624=EDGE_CURVE('',#145686,#145687,#61527,.T.); #176625=EDGE_CURVE('',#145687,#145687,#137911,.T.); #176626=EDGE_CURVE('',#145688,#145688,#137912,.T.); #176627=EDGE_CURVE('',#145688,#145689,#61528,.T.); #176628=EDGE_CURVE('',#145689,#145689,#137913,.T.); #176629=EDGE_CURVE('',#145690,#145690,#137914,.T.); #176630=EDGE_CURVE('',#145690,#145691,#61529,.T.); #176631=EDGE_CURVE('',#145691,#145691,#137915,.T.); #176632=EDGE_CURVE('',#145692,#145692,#137916,.T.); #176633=EDGE_CURVE('',#145692,#145693,#61530,.T.); #176634=EDGE_CURVE('',#145693,#145693,#137917,.T.); #176635=EDGE_CURVE('',#145694,#145694,#137918,.T.); #176636=EDGE_CURVE('',#145694,#145695,#61531,.T.); #176637=EDGE_CURVE('',#145695,#145695,#137919,.T.); #176638=EDGE_CURVE('',#145696,#145696,#137920,.T.); #176639=EDGE_CURVE('',#145696,#145697,#61532,.T.); #176640=EDGE_CURVE('',#145697,#145697,#137921,.T.); #176641=EDGE_CURVE('',#145698,#145698,#137922,.T.); #176642=EDGE_CURVE('',#145698,#145699,#61533,.T.); #176643=EDGE_CURVE('',#145699,#145699,#137923,.T.); #176644=EDGE_CURVE('',#145700,#145700,#137924,.T.); #176645=EDGE_CURVE('',#145700,#145701,#61534,.T.); #176646=EDGE_CURVE('',#145701,#145701,#137925,.T.); #176647=EDGE_CURVE('',#145702,#145702,#137926,.T.); #176648=EDGE_CURVE('',#145702,#145703,#61535,.T.); #176649=EDGE_CURVE('',#145703,#145703,#137927,.T.); #176650=EDGE_CURVE('',#145704,#145704,#137928,.T.); #176651=EDGE_CURVE('',#145704,#145705,#61536,.T.); #176652=EDGE_CURVE('',#145705,#145705,#137929,.T.); #176653=EDGE_CURVE('',#145706,#145706,#137930,.T.); #176654=EDGE_CURVE('',#145706,#145707,#61537,.T.); #176655=EDGE_CURVE('',#145707,#145707,#137931,.T.); #176656=EDGE_CURVE('',#145708,#145708,#137932,.T.); #176657=EDGE_CURVE('',#145708,#145709,#61538,.T.); #176658=EDGE_CURVE('',#145709,#145709,#137933,.T.); #176659=EDGE_CURVE('',#145710,#145710,#137934,.T.); #176660=EDGE_CURVE('',#145710,#145711,#61539,.T.); #176661=EDGE_CURVE('',#145711,#145711,#137935,.T.); #176662=EDGE_CURVE('',#145712,#145712,#137936,.T.); #176663=EDGE_CURVE('',#145712,#145713,#61540,.T.); #176664=EDGE_CURVE('',#145713,#145713,#137937,.T.); #176665=EDGE_CURVE('',#145714,#145714,#137938,.T.); #176666=EDGE_CURVE('',#145714,#145715,#61541,.T.); #176667=EDGE_CURVE('',#145715,#145715,#137939,.T.); #176668=EDGE_CURVE('',#145716,#145716,#137940,.T.); #176669=EDGE_CURVE('',#145716,#145717,#61542,.T.); #176670=EDGE_CURVE('',#145717,#145717,#137941,.T.); #176671=EDGE_CURVE('',#145718,#145718,#137942,.T.); #176672=EDGE_CURVE('',#145718,#145719,#61543,.T.); #176673=EDGE_CURVE('',#145719,#145719,#137943,.T.); #176674=EDGE_CURVE('',#145720,#145720,#137944,.T.); #176675=EDGE_CURVE('',#145720,#145721,#61544,.T.); #176676=EDGE_CURVE('',#145721,#145721,#137945,.T.); #176677=EDGE_CURVE('',#145722,#145722,#137946,.T.); #176678=EDGE_CURVE('',#145722,#145723,#61545,.T.); #176679=EDGE_CURVE('',#145723,#145723,#137947,.T.); #176680=EDGE_CURVE('',#145724,#145724,#137948,.T.); #176681=EDGE_CURVE('',#145724,#145725,#61546,.T.); #176682=EDGE_CURVE('',#145725,#145725,#137949,.T.); #176683=EDGE_CURVE('',#145726,#145726,#137950,.T.); #176684=EDGE_CURVE('',#145726,#145727,#61547,.T.); #176685=EDGE_CURVE('',#145727,#145727,#137951,.T.); #176686=EDGE_CURVE('',#145728,#145728,#137952,.T.); #176687=EDGE_CURVE('',#145728,#145729,#61548,.T.); #176688=EDGE_CURVE('',#145729,#145729,#137953,.T.); #176689=EDGE_CURVE('',#145730,#145730,#137954,.T.); #176690=EDGE_CURVE('',#145730,#145731,#61549,.T.); #176691=EDGE_CURVE('',#145731,#145731,#137955,.T.); #176692=EDGE_CURVE('',#145732,#145732,#137956,.T.); #176693=EDGE_CURVE('',#145732,#145733,#61550,.T.); #176694=EDGE_CURVE('',#145733,#145733,#137957,.T.); #176695=EDGE_CURVE('',#145734,#145734,#137958,.T.); #176696=EDGE_CURVE('',#145734,#145735,#61551,.T.); #176697=EDGE_CURVE('',#145735,#145735,#137959,.T.); #176698=EDGE_CURVE('',#145736,#145736,#137960,.T.); #176699=EDGE_CURVE('',#145736,#145737,#61552,.T.); #176700=EDGE_CURVE('',#145737,#145737,#137961,.T.); #176701=EDGE_CURVE('',#145738,#145738,#137962,.T.); #176702=EDGE_CURVE('',#145738,#145739,#61553,.T.); #176703=EDGE_CURVE('',#145739,#145739,#137963,.T.); #176704=EDGE_CURVE('',#145740,#145740,#137964,.T.); #176705=EDGE_CURVE('',#145740,#145741,#61554,.T.); #176706=EDGE_CURVE('',#145741,#145741,#137965,.T.); #176707=EDGE_CURVE('',#145742,#145742,#137966,.T.); #176708=EDGE_CURVE('',#145742,#145743,#61555,.T.); #176709=EDGE_CURVE('',#145743,#145743,#137967,.T.); #176710=EDGE_CURVE('',#145744,#145744,#137968,.T.); #176711=EDGE_CURVE('',#145744,#145745,#61556,.T.); #176712=EDGE_CURVE('',#145745,#145745,#137969,.T.); #176713=EDGE_CURVE('',#145746,#145746,#137970,.T.); #176714=EDGE_CURVE('',#145746,#145747,#61557,.T.); #176715=EDGE_CURVE('',#145747,#145747,#137971,.T.); #176716=EDGE_CURVE('',#145748,#145748,#137972,.T.); #176717=EDGE_CURVE('',#145748,#145749,#61558,.T.); #176718=EDGE_CURVE('',#145749,#145749,#137973,.T.); #176719=EDGE_CURVE('',#145750,#145750,#137974,.T.); #176720=EDGE_CURVE('',#145750,#145751,#61559,.T.); #176721=EDGE_CURVE('',#145751,#145751,#137975,.T.); #176722=EDGE_CURVE('',#145752,#145752,#137976,.T.); #176723=EDGE_CURVE('',#145752,#145753,#61560,.T.); #176724=EDGE_CURVE('',#145753,#145753,#137977,.T.); #176725=EDGE_CURVE('',#145754,#145754,#137978,.T.); #176726=EDGE_CURVE('',#145754,#145755,#61561,.T.); #176727=EDGE_CURVE('',#145755,#145755,#137979,.T.); #176728=EDGE_CURVE('',#145756,#145756,#137980,.T.); #176729=EDGE_CURVE('',#145756,#145757,#61562,.T.); #176730=EDGE_CURVE('',#145757,#145757,#137981,.T.); #176731=EDGE_CURVE('',#145758,#145758,#137982,.T.); #176732=EDGE_CURVE('',#145758,#145759,#61563,.T.); #176733=EDGE_CURVE('',#145759,#145759,#137983,.T.); #176734=EDGE_CURVE('',#145760,#145760,#137984,.T.); #176735=EDGE_CURVE('',#145760,#145761,#61564,.T.); #176736=EDGE_CURVE('',#145761,#145761,#137985,.T.); #176737=EDGE_CURVE('',#145762,#145762,#137986,.T.); #176738=EDGE_CURVE('',#145762,#145763,#61565,.T.); #176739=EDGE_CURVE('',#145763,#145763,#137987,.T.); #176740=EDGE_CURVE('',#145764,#145764,#137988,.T.); #176741=EDGE_CURVE('',#145764,#145765,#61566,.T.); #176742=EDGE_CURVE('',#145765,#145765,#137989,.T.); #176743=EDGE_CURVE('',#145766,#145766,#137990,.T.); #176744=EDGE_CURVE('',#145766,#145767,#61567,.T.); #176745=EDGE_CURVE('',#145767,#145767,#137991,.T.); #176746=EDGE_CURVE('',#145768,#145768,#137992,.T.); #176747=EDGE_CURVE('',#145768,#145769,#61568,.T.); #176748=EDGE_CURVE('',#145769,#145769,#137993,.T.); #176749=EDGE_CURVE('',#145770,#145770,#137994,.T.); #176750=EDGE_CURVE('',#145770,#145771,#61569,.T.); #176751=EDGE_CURVE('',#145771,#145771,#137995,.T.); #176752=EDGE_CURVE('',#145772,#145772,#137996,.T.); #176753=EDGE_CURVE('',#145772,#145773,#61570,.T.); #176754=EDGE_CURVE('',#145773,#145773,#137997,.T.); #176755=EDGE_CURVE('',#145774,#145774,#137998,.T.); #176756=EDGE_CURVE('',#145774,#145775,#61571,.T.); #176757=EDGE_CURVE('',#145775,#145775,#137999,.T.); #176758=EDGE_CURVE('',#145776,#145776,#138000,.T.); #176759=EDGE_CURVE('',#145776,#145777,#61572,.T.); #176760=EDGE_CURVE('',#145777,#145777,#138001,.T.); #176761=EDGE_CURVE('',#145778,#145778,#138002,.T.); #176762=EDGE_CURVE('',#145778,#145779,#61573,.T.); #176763=EDGE_CURVE('',#145779,#145779,#138003,.T.); #176764=EDGE_CURVE('',#145780,#145780,#138004,.T.); #176765=EDGE_CURVE('',#145780,#145781,#61574,.T.); #176766=EDGE_CURVE('',#145781,#145781,#138005,.T.); #176767=EDGE_CURVE('',#145782,#145782,#138006,.T.); #176768=EDGE_CURVE('',#145782,#145783,#61575,.T.); #176769=EDGE_CURVE('',#145783,#145783,#138007,.T.); #176770=EDGE_CURVE('',#145784,#145784,#138008,.T.); #176771=EDGE_CURVE('',#145784,#145785,#61576,.T.); #176772=EDGE_CURVE('',#145785,#145785,#138009,.T.); #176773=EDGE_CURVE('',#145786,#145786,#138010,.T.); #176774=EDGE_CURVE('',#145786,#145787,#61577,.T.); #176775=EDGE_CURVE('',#145787,#145787,#138011,.T.); #176776=EDGE_CURVE('',#145788,#145788,#138012,.T.); #176777=EDGE_CURVE('',#145788,#145789,#61578,.T.); #176778=EDGE_CURVE('',#145789,#145789,#138013,.T.); #176779=EDGE_CURVE('',#145790,#145790,#138014,.T.); #176780=EDGE_CURVE('',#145790,#145791,#61579,.T.); #176781=EDGE_CURVE('',#145791,#145791,#138015,.T.); #176782=EDGE_CURVE('',#145792,#145792,#138016,.T.); #176783=EDGE_CURVE('',#145792,#145793,#61580,.T.); #176784=EDGE_CURVE('',#145793,#145793,#138017,.T.); #176785=EDGE_CURVE('',#145794,#145794,#138018,.T.); #176786=EDGE_CURVE('',#145794,#145795,#61581,.T.); #176787=EDGE_CURVE('',#145795,#145795,#138019,.T.); #176788=EDGE_CURVE('',#145796,#145796,#138020,.T.); #176789=EDGE_CURVE('',#145796,#145797,#61582,.T.); #176790=EDGE_CURVE('',#145797,#145797,#138021,.T.); #176791=EDGE_CURVE('',#145798,#145798,#138022,.T.); #176792=EDGE_CURVE('',#145798,#145799,#61583,.T.); #176793=EDGE_CURVE('',#145799,#145799,#138023,.T.); #176794=EDGE_CURVE('',#145800,#145800,#138024,.T.); #176795=EDGE_CURVE('',#145800,#145801,#61584,.T.); #176796=EDGE_CURVE('',#145801,#145801,#138025,.T.); #176797=EDGE_CURVE('',#145802,#145802,#138026,.T.); #176798=EDGE_CURVE('',#145802,#145803,#61585,.T.); #176799=EDGE_CURVE('',#145803,#145803,#138027,.T.); #176800=EDGE_CURVE('',#145804,#145804,#138028,.T.); #176801=EDGE_CURVE('',#145804,#145805,#61586,.T.); #176802=EDGE_CURVE('',#145805,#145805,#138029,.T.); #176803=EDGE_CURVE('',#145806,#145806,#138030,.T.); #176804=EDGE_CURVE('',#145806,#145807,#61587,.T.); #176805=EDGE_CURVE('',#145807,#145807,#138031,.T.); #176806=EDGE_CURVE('',#145808,#145808,#138032,.T.); #176807=EDGE_CURVE('',#145808,#145809,#61588,.T.); #176808=EDGE_CURVE('',#145809,#145809,#138033,.T.); #176809=EDGE_CURVE('',#145810,#145810,#138034,.T.); #176810=EDGE_CURVE('',#145810,#145811,#61589,.T.); #176811=EDGE_CURVE('',#145811,#145811,#138035,.T.); #176812=EDGE_CURVE('',#145812,#145812,#138036,.T.); #176813=EDGE_CURVE('',#145812,#145813,#61590,.T.); #176814=EDGE_CURVE('',#145813,#145813,#138037,.T.); #176815=EDGE_CURVE('',#145814,#145814,#138038,.T.); #176816=EDGE_CURVE('',#145814,#145815,#61591,.T.); #176817=EDGE_CURVE('',#145815,#145815,#138039,.T.); #176818=EDGE_CURVE('',#145816,#145816,#138040,.T.); #176819=EDGE_CURVE('',#145816,#145817,#61592,.T.); #176820=EDGE_CURVE('',#145817,#145817,#138041,.T.); #176821=EDGE_CURVE('',#145818,#145818,#138042,.T.); #176822=EDGE_CURVE('',#145818,#145819,#61593,.T.); #176823=EDGE_CURVE('',#145819,#145819,#138043,.T.); #176824=EDGE_CURVE('',#145820,#145820,#138044,.T.); #176825=EDGE_CURVE('',#145820,#145821,#61594,.T.); #176826=EDGE_CURVE('',#145821,#145821,#138045,.T.); #176827=EDGE_CURVE('',#145822,#145822,#138046,.T.); #176828=EDGE_CURVE('',#145822,#145823,#61595,.T.); #176829=EDGE_CURVE('',#145823,#145823,#138047,.T.); #176830=EDGE_CURVE('',#145824,#145824,#138048,.T.); #176831=EDGE_CURVE('',#145824,#145825,#61596,.T.); #176832=EDGE_CURVE('',#145825,#145825,#138049,.T.); #176833=EDGE_CURVE('',#145826,#145826,#138050,.T.); #176834=EDGE_CURVE('',#145826,#145827,#61597,.T.); #176835=EDGE_CURVE('',#145827,#145827,#138051,.T.); #176836=EDGE_CURVE('',#145828,#145828,#138052,.T.); #176837=EDGE_CURVE('',#145828,#145829,#61598,.T.); #176838=EDGE_CURVE('',#145829,#145829,#138053,.T.); #176839=EDGE_CURVE('',#145830,#145830,#138054,.T.); #176840=EDGE_CURVE('',#145830,#145831,#61599,.T.); #176841=EDGE_CURVE('',#145831,#145831,#138055,.T.); #176842=EDGE_CURVE('',#145832,#145832,#138056,.T.); #176843=EDGE_CURVE('',#145832,#145833,#61600,.T.); #176844=EDGE_CURVE('',#145833,#145833,#138057,.T.); #176845=EDGE_CURVE('',#145834,#145834,#138058,.T.); #176846=EDGE_CURVE('',#145834,#145835,#61601,.T.); #176847=EDGE_CURVE('',#145835,#145835,#138059,.T.); #176848=EDGE_CURVE('',#145836,#145836,#138060,.T.); #176849=EDGE_CURVE('',#145836,#145837,#61602,.T.); #176850=EDGE_CURVE('',#145837,#145837,#138061,.T.); #176851=EDGE_CURVE('',#145838,#145838,#138062,.T.); #176852=EDGE_CURVE('',#145838,#145839,#61603,.T.); #176853=EDGE_CURVE('',#145839,#145839,#138063,.T.); #176854=EDGE_CURVE('',#145840,#145840,#138064,.T.); #176855=EDGE_CURVE('',#145840,#145841,#61604,.T.); #176856=EDGE_CURVE('',#145841,#145841,#138065,.T.); #176857=EDGE_CURVE('',#145842,#145842,#138066,.T.); #176858=EDGE_CURVE('',#145842,#145843,#61605,.T.); #176859=EDGE_CURVE('',#145843,#145843,#138067,.T.); #176860=EDGE_CURVE('',#145844,#145844,#138068,.T.); #176861=EDGE_CURVE('',#145844,#145845,#61606,.T.); #176862=EDGE_CURVE('',#145845,#145845,#138069,.T.); #176863=EDGE_CURVE('',#145846,#145846,#138070,.T.); #176864=EDGE_CURVE('',#145846,#145847,#61607,.T.); #176865=EDGE_CURVE('',#145847,#145847,#138071,.T.); #176866=EDGE_CURVE('',#145848,#145848,#138072,.T.); #176867=EDGE_CURVE('',#145848,#145849,#61608,.T.); #176868=EDGE_CURVE('',#145849,#145849,#138073,.T.); #176869=EDGE_CURVE('',#145850,#145850,#138074,.T.); #176870=EDGE_CURVE('',#145850,#145851,#61609,.T.); #176871=EDGE_CURVE('',#145851,#145851,#138075,.T.); #176872=EDGE_CURVE('',#145852,#145852,#138076,.T.); #176873=EDGE_CURVE('',#145852,#145853,#61610,.T.); #176874=EDGE_CURVE('',#145853,#145853,#138077,.T.); #176875=EDGE_CURVE('',#145854,#145854,#138078,.T.); #176876=EDGE_CURVE('',#145854,#145855,#61611,.T.); #176877=EDGE_CURVE('',#145855,#145855,#138079,.T.); #176878=EDGE_CURVE('',#145856,#145856,#138080,.T.); #176879=EDGE_CURVE('',#145856,#145857,#61612,.T.); #176880=EDGE_CURVE('',#145857,#145857,#138081,.T.); #176881=EDGE_CURVE('',#145858,#145858,#138082,.T.); #176882=EDGE_CURVE('',#145858,#145859,#61613,.T.); #176883=EDGE_CURVE('',#145859,#145859,#138083,.T.); #176884=EDGE_CURVE('',#145860,#145860,#138084,.T.); #176885=EDGE_CURVE('',#145860,#145861,#61614,.T.); #176886=EDGE_CURVE('',#145861,#145861,#138085,.T.); #176887=EDGE_CURVE('',#145862,#145862,#138086,.T.); #176888=EDGE_CURVE('',#145862,#145863,#61615,.T.); #176889=EDGE_CURVE('',#145863,#145863,#138087,.T.); #176890=EDGE_CURVE('',#145864,#145864,#138088,.T.); #176891=EDGE_CURVE('',#145864,#145865,#61616,.T.); #176892=EDGE_CURVE('',#145865,#145865,#138089,.T.); #176893=EDGE_CURVE('',#145866,#145866,#138090,.T.); #176894=EDGE_CURVE('',#145866,#145867,#61617,.T.); #176895=EDGE_CURVE('',#145867,#145867,#138091,.T.); #176896=EDGE_CURVE('',#145868,#145868,#138092,.T.); #176897=EDGE_CURVE('',#145868,#145869,#61618,.T.); #176898=EDGE_CURVE('',#145869,#145869,#138093,.T.); #176899=EDGE_CURVE('',#145870,#145870,#138094,.T.); #176900=EDGE_CURVE('',#145870,#145871,#61619,.T.); #176901=EDGE_CURVE('',#145871,#145871,#138095,.T.); #176902=EDGE_CURVE('',#145872,#145872,#138096,.T.); #176903=EDGE_CURVE('',#145872,#145873,#61620,.T.); #176904=EDGE_CURVE('',#145873,#145873,#138097,.T.); #176905=EDGE_CURVE('',#145874,#145874,#138098,.T.); #176906=EDGE_CURVE('',#145874,#145875,#61621,.T.); #176907=EDGE_CURVE('',#145875,#145875,#138099,.T.); #176908=EDGE_CURVE('',#145876,#145876,#138100,.T.); #176909=EDGE_CURVE('',#145876,#145877,#61622,.T.); #176910=EDGE_CURVE('',#145877,#145877,#138101,.T.); #176911=EDGE_CURVE('',#145878,#145878,#138102,.T.); #176912=EDGE_CURVE('',#145878,#145879,#61623,.T.); #176913=EDGE_CURVE('',#145879,#145879,#138103,.T.); #176914=EDGE_CURVE('',#145880,#145880,#138104,.T.); #176915=EDGE_CURVE('',#145880,#145881,#61624,.T.); #176916=EDGE_CURVE('',#145881,#145881,#138105,.T.); #176917=EDGE_CURVE('',#145882,#145882,#138106,.T.); #176918=EDGE_CURVE('',#145882,#145883,#61625,.T.); #176919=EDGE_CURVE('',#145883,#145883,#138107,.T.); #176920=EDGE_CURVE('',#145884,#145884,#138108,.T.); #176921=EDGE_CURVE('',#145884,#145885,#61626,.T.); #176922=EDGE_CURVE('',#145885,#145885,#138109,.T.); #176923=EDGE_CURVE('',#145886,#145886,#138110,.T.); #176924=EDGE_CURVE('',#145886,#145887,#61627,.T.); #176925=EDGE_CURVE('',#145887,#145887,#138111,.T.); #176926=EDGE_CURVE('',#145888,#145888,#138112,.T.); #176927=EDGE_CURVE('',#145888,#145889,#61628,.T.); #176928=EDGE_CURVE('',#145889,#145889,#138113,.T.); #176929=EDGE_CURVE('',#145890,#145890,#138114,.T.); #176930=EDGE_CURVE('',#145890,#145891,#61629,.T.); #176931=EDGE_CURVE('',#145891,#145891,#138115,.T.); #176932=EDGE_CURVE('',#145892,#145892,#138116,.T.); #176933=EDGE_CURVE('',#145892,#145893,#61630,.T.); #176934=EDGE_CURVE('',#145893,#145893,#138117,.T.); #176935=EDGE_CURVE('',#145894,#145894,#138118,.T.); #176936=EDGE_CURVE('',#145894,#145895,#61631,.T.); #176937=EDGE_CURVE('',#145895,#145895,#138119,.T.); #176938=EDGE_CURVE('',#145896,#145896,#138120,.T.); #176939=EDGE_CURVE('',#145896,#145897,#61632,.T.); #176940=EDGE_CURVE('',#145897,#145897,#138121,.T.); #176941=EDGE_CURVE('',#145898,#145898,#138122,.T.); #176942=EDGE_CURVE('',#145898,#145899,#61633,.T.); #176943=EDGE_CURVE('',#145899,#145899,#138123,.T.); #176944=EDGE_CURVE('',#145900,#145900,#138124,.T.); #176945=EDGE_CURVE('',#145900,#145901,#61634,.T.); #176946=EDGE_CURVE('',#145901,#145901,#138125,.T.); #176947=EDGE_CURVE('',#145902,#145902,#138126,.T.); #176948=EDGE_CURVE('',#145902,#145903,#61635,.T.); #176949=EDGE_CURVE('',#145903,#145903,#138127,.T.); #176950=EDGE_CURVE('',#145904,#145904,#138128,.T.); #176951=EDGE_CURVE('',#145904,#145905,#61636,.T.); #176952=EDGE_CURVE('',#145905,#145905,#138129,.T.); #176953=EDGE_CURVE('',#145906,#145906,#138130,.T.); #176954=EDGE_CURVE('',#145906,#145907,#61637,.T.); #176955=EDGE_CURVE('',#145907,#145907,#138131,.T.); #176956=EDGE_CURVE('',#145908,#145908,#138132,.T.); #176957=EDGE_CURVE('',#145908,#145909,#61638,.T.); #176958=EDGE_CURVE('',#145909,#145909,#138133,.T.); #176959=EDGE_CURVE('',#145910,#145910,#138134,.T.); #176960=EDGE_CURVE('',#145910,#145911,#61639,.T.); #176961=EDGE_CURVE('',#145911,#145911,#138135,.T.); #176962=EDGE_CURVE('',#145912,#145912,#138136,.T.); #176963=EDGE_CURVE('',#145912,#145913,#61640,.T.); #176964=EDGE_CURVE('',#145913,#145913,#138137,.T.); #176965=EDGE_CURVE('',#145914,#145914,#138138,.T.); #176966=EDGE_CURVE('',#145914,#145915,#61641,.T.); #176967=EDGE_CURVE('',#145915,#145915,#138139,.T.); #176968=EDGE_CURVE('',#145916,#145916,#138140,.T.); #176969=EDGE_CURVE('',#145916,#145917,#61642,.T.); #176970=EDGE_CURVE('',#145917,#145917,#138141,.T.); #176971=EDGE_CURVE('',#145918,#145918,#138142,.T.); #176972=EDGE_CURVE('',#145918,#145919,#61643,.T.); #176973=EDGE_CURVE('',#145919,#145919,#138143,.T.); #176974=EDGE_CURVE('',#145920,#145920,#138144,.T.); #176975=EDGE_CURVE('',#145920,#145921,#61644,.T.); #176976=EDGE_CURVE('',#145921,#145921,#138145,.T.); #176977=EDGE_CURVE('',#145922,#145922,#138146,.T.); #176978=EDGE_CURVE('',#145922,#145923,#61645,.T.); #176979=EDGE_CURVE('',#145923,#145923,#138147,.T.); #176980=EDGE_CURVE('',#145924,#145924,#138148,.T.); #176981=EDGE_CURVE('',#145924,#145925,#61646,.T.); #176982=EDGE_CURVE('',#145925,#145925,#138149,.T.); #176983=EDGE_CURVE('',#145926,#145926,#138150,.T.); #176984=EDGE_CURVE('',#145926,#145927,#61647,.T.); #176985=EDGE_CURVE('',#145927,#145927,#138151,.T.); #176986=EDGE_CURVE('',#145928,#145928,#138152,.T.); #176987=EDGE_CURVE('',#145928,#145929,#61648,.T.); #176988=EDGE_CURVE('',#145929,#145929,#138153,.T.); #176989=EDGE_CURVE('',#145930,#145930,#138154,.T.); #176990=EDGE_CURVE('',#145930,#145931,#61649,.T.); #176991=EDGE_CURVE('',#145931,#145931,#138155,.T.); #176992=EDGE_CURVE('',#145932,#145932,#138156,.T.); #176993=EDGE_CURVE('',#145932,#145933,#61650,.T.); #176994=EDGE_CURVE('',#145933,#145933,#138157,.T.); #176995=EDGE_CURVE('',#145934,#145934,#138158,.T.); #176996=EDGE_CURVE('',#145934,#145935,#61651,.T.); #176997=EDGE_CURVE('',#145935,#145935,#138159,.T.); #176998=EDGE_CURVE('',#145936,#145936,#138160,.T.); #176999=EDGE_CURVE('',#145936,#145937,#61652,.T.); #177000=EDGE_CURVE('',#145937,#145937,#138161,.T.); #177001=EDGE_CURVE('',#145938,#145938,#138162,.T.); #177002=EDGE_CURVE('',#145938,#145939,#61653,.T.); #177003=EDGE_CURVE('',#145939,#145939,#138163,.T.); #177004=EDGE_CURVE('',#145940,#145940,#138164,.T.); #177005=EDGE_CURVE('',#145940,#145941,#61654,.T.); #177006=EDGE_CURVE('',#145941,#145941,#138165,.T.); #177007=EDGE_CURVE('',#145942,#145942,#138166,.T.); #177008=EDGE_CURVE('',#145942,#145943,#61655,.T.); #177009=EDGE_CURVE('',#145943,#145943,#138167,.T.); #177010=EDGE_CURVE('',#145944,#145944,#138168,.T.); #177011=EDGE_CURVE('',#145944,#145945,#61656,.T.); #177012=EDGE_CURVE('',#145945,#145945,#138169,.T.); #177013=EDGE_CURVE('',#145946,#145946,#138170,.T.); #177014=EDGE_CURVE('',#145946,#145947,#61657,.T.); #177015=EDGE_CURVE('',#145947,#145947,#138171,.T.); #177016=EDGE_CURVE('',#145948,#145948,#138172,.T.); #177017=EDGE_CURVE('',#145948,#145949,#61658,.T.); #177018=EDGE_CURVE('',#145949,#145949,#138173,.T.); #177019=EDGE_CURVE('',#145950,#145950,#138174,.T.); #177020=EDGE_CURVE('',#145950,#145951,#61659,.T.); #177021=EDGE_CURVE('',#145951,#145951,#138175,.T.); #177022=EDGE_CURVE('',#145952,#145952,#138176,.T.); #177023=EDGE_CURVE('',#145952,#145953,#61660,.T.); #177024=EDGE_CURVE('',#145953,#145953,#138177,.T.); #177025=EDGE_CURVE('',#145954,#145954,#138178,.T.); #177026=EDGE_CURVE('',#145954,#145955,#61661,.T.); #177027=EDGE_CURVE('',#145955,#145955,#138179,.T.); #177028=EDGE_CURVE('',#145956,#145956,#138180,.T.); #177029=EDGE_CURVE('',#145956,#145957,#61662,.T.); #177030=EDGE_CURVE('',#145957,#145957,#138181,.T.); #177031=EDGE_CURVE('',#145958,#145958,#138182,.T.); #177032=EDGE_CURVE('',#145958,#145959,#61663,.T.); #177033=EDGE_CURVE('',#145959,#145959,#138183,.T.); #177034=EDGE_CURVE('',#145960,#145960,#138184,.T.); #177035=EDGE_CURVE('',#145960,#145961,#61664,.T.); #177036=EDGE_CURVE('',#145961,#145961,#138185,.T.); #177037=EDGE_CURVE('',#145962,#145962,#138186,.T.); #177038=EDGE_CURVE('',#145962,#145963,#61665,.T.); #177039=EDGE_CURVE('',#145963,#145963,#138187,.T.); #177040=EDGE_CURVE('',#145964,#145964,#138188,.T.); #177041=EDGE_CURVE('',#145964,#145965,#61666,.T.); #177042=EDGE_CURVE('',#145965,#145965,#138189,.T.); #177043=EDGE_CURVE('',#145966,#145966,#138190,.T.); #177044=EDGE_CURVE('',#145966,#145967,#61667,.T.); #177045=EDGE_CURVE('',#145967,#145967,#138191,.T.); #177046=EDGE_CURVE('',#145968,#145968,#138192,.T.); #177047=EDGE_CURVE('',#145968,#145969,#61668,.T.); #177048=EDGE_CURVE('',#145969,#145969,#138193,.T.); #177049=EDGE_CURVE('',#145970,#145970,#138194,.T.); #177050=EDGE_CURVE('',#145970,#145971,#61669,.T.); #177051=EDGE_CURVE('',#145971,#145971,#138195,.T.); #177052=EDGE_CURVE('',#145972,#145972,#138196,.T.); #177053=EDGE_CURVE('',#145972,#145973,#61670,.T.); #177054=EDGE_CURVE('',#145973,#145973,#138197,.T.); #177055=EDGE_CURVE('',#145974,#145974,#138198,.T.); #177056=EDGE_CURVE('',#145974,#145975,#61671,.T.); #177057=EDGE_CURVE('',#145975,#145975,#138199,.T.); #177058=EDGE_CURVE('',#145976,#145976,#138200,.T.); #177059=EDGE_CURVE('',#145976,#145977,#61672,.T.); #177060=EDGE_CURVE('',#145977,#145977,#138201,.T.); #177061=EDGE_CURVE('',#145978,#145978,#138202,.T.); #177062=EDGE_CURVE('',#145978,#145979,#61673,.T.); #177063=EDGE_CURVE('',#145979,#145979,#138203,.T.); #177064=EDGE_CURVE('',#145980,#145980,#138204,.T.); #177065=EDGE_CURVE('',#145980,#145981,#61674,.T.); #177066=EDGE_CURVE('',#145981,#145981,#138205,.T.); #177067=EDGE_CURVE('',#145982,#145982,#138206,.T.); #177068=EDGE_CURVE('',#145982,#145983,#61675,.T.); #177069=EDGE_CURVE('',#145983,#145983,#138207,.T.); #177070=EDGE_CURVE('',#145984,#145984,#138208,.T.); #177071=EDGE_CURVE('',#145984,#145985,#61676,.T.); #177072=EDGE_CURVE('',#145985,#145985,#138209,.T.); #177073=EDGE_CURVE('',#145986,#145986,#138210,.T.); #177074=EDGE_CURVE('',#145986,#145987,#61677,.T.); #177075=EDGE_CURVE('',#145987,#145987,#138211,.T.); #177076=EDGE_CURVE('',#145988,#145988,#138212,.T.); #177077=EDGE_CURVE('',#145988,#145989,#61678,.T.); #177078=EDGE_CURVE('',#145989,#145989,#138213,.T.); #177079=EDGE_CURVE('',#145990,#145990,#138214,.T.); #177080=EDGE_CURVE('',#145990,#145991,#61679,.T.); #177081=EDGE_CURVE('',#145991,#145991,#138215,.T.); #177082=EDGE_CURVE('',#145992,#145992,#138216,.T.); #177083=EDGE_CURVE('',#145992,#145993,#61680,.T.); #177084=EDGE_CURVE('',#145993,#145993,#138217,.T.); #177085=EDGE_CURVE('',#145994,#145994,#138218,.T.); #177086=EDGE_CURVE('',#145994,#145995,#61681,.T.); #177087=EDGE_CURVE('',#145995,#145995,#138219,.T.); #177088=EDGE_CURVE('',#145996,#145996,#138220,.T.); #177089=EDGE_CURVE('',#145996,#145997,#61682,.T.); #177090=EDGE_CURVE('',#145997,#145997,#138221,.T.); #177091=EDGE_CURVE('',#145998,#145998,#138222,.T.); #177092=EDGE_CURVE('',#145998,#145999,#61683,.T.); #177093=EDGE_CURVE('',#145999,#145999,#138223,.T.); #177094=EDGE_CURVE('',#146000,#146000,#138224,.T.); #177095=EDGE_CURVE('',#146000,#146001,#61684,.T.); #177096=EDGE_CURVE('',#146001,#146001,#138225,.T.); #177097=EDGE_CURVE('',#146002,#146002,#138226,.T.); #177098=EDGE_CURVE('',#146002,#146003,#61685,.T.); #177099=EDGE_CURVE('',#146003,#146003,#138227,.T.); #177100=EDGE_CURVE('',#146004,#146004,#138228,.T.); #177101=EDGE_CURVE('',#146004,#146005,#61686,.T.); #177102=EDGE_CURVE('',#146005,#146005,#138229,.T.); #177103=EDGE_CURVE('',#146006,#146006,#138230,.T.); #177104=EDGE_CURVE('',#146006,#146007,#61687,.T.); #177105=EDGE_CURVE('',#146007,#146007,#138231,.T.); #177106=EDGE_CURVE('',#146008,#146008,#138232,.T.); #177107=EDGE_CURVE('',#146008,#146009,#61688,.T.); #177108=EDGE_CURVE('',#146009,#146009,#138233,.T.); #177109=EDGE_CURVE('',#146010,#146010,#138234,.T.); #177110=EDGE_CURVE('',#146010,#146011,#61689,.T.); #177111=EDGE_CURVE('',#146011,#146011,#138235,.T.); #177112=EDGE_CURVE('',#146012,#146012,#138236,.T.); #177113=EDGE_CURVE('',#146012,#146013,#61690,.T.); #177114=EDGE_CURVE('',#146013,#146013,#138237,.T.); #177115=EDGE_CURVE('',#146014,#146014,#138238,.T.); #177116=EDGE_CURVE('',#146014,#146015,#61691,.T.); #177117=EDGE_CURVE('',#146015,#146015,#138239,.T.); #177118=EDGE_CURVE('',#146016,#146016,#138240,.T.); #177119=EDGE_CURVE('',#146016,#146017,#61692,.T.); #177120=EDGE_CURVE('',#146017,#146017,#138241,.T.); #177121=EDGE_CURVE('',#146018,#146018,#138242,.T.); #177122=EDGE_CURVE('',#146018,#146019,#61693,.T.); #177123=EDGE_CURVE('',#146019,#146019,#138243,.T.); #177124=EDGE_CURVE('',#146020,#146020,#138244,.T.); #177125=EDGE_CURVE('',#146020,#146021,#61694,.T.); #177126=EDGE_CURVE('',#146021,#146021,#138245,.T.); #177127=EDGE_CURVE('',#146022,#146022,#138246,.T.); #177128=EDGE_CURVE('',#146022,#146023,#61695,.T.); #177129=EDGE_CURVE('',#146023,#146023,#138247,.T.); #177130=EDGE_CURVE('',#146024,#146024,#138248,.T.); #177131=EDGE_CURVE('',#146024,#146025,#61696,.T.); #177132=EDGE_CURVE('',#146025,#146025,#138249,.T.); #177133=EDGE_CURVE('',#146026,#146026,#138250,.T.); #177134=EDGE_CURVE('',#146026,#146027,#61697,.T.); #177135=EDGE_CURVE('',#146027,#146027,#138251,.T.); #177136=EDGE_CURVE('',#146028,#146028,#138252,.T.); #177137=EDGE_CURVE('',#146028,#146029,#61698,.T.); #177138=EDGE_CURVE('',#146029,#146029,#138253,.T.); #177139=EDGE_CURVE('',#146030,#146030,#138254,.T.); #177140=EDGE_CURVE('',#146030,#146031,#61699,.T.); #177141=EDGE_CURVE('',#146031,#146031,#138255,.T.); #177142=EDGE_CURVE('',#146032,#146032,#138256,.T.); #177143=EDGE_CURVE('',#146032,#146033,#61700,.T.); #177144=EDGE_CURVE('',#146033,#146033,#138257,.T.); #177145=EDGE_CURVE('',#146034,#146034,#138258,.T.); #177146=EDGE_CURVE('',#146034,#146035,#61701,.T.); #177147=EDGE_CURVE('',#146035,#146035,#138259,.T.); #177148=EDGE_CURVE('',#146036,#146036,#138260,.T.); #177149=EDGE_CURVE('',#146036,#146037,#61702,.T.); #177150=EDGE_CURVE('',#146037,#146037,#138261,.T.); #177151=EDGE_CURVE('',#146038,#146038,#138262,.T.); #177152=EDGE_CURVE('',#146038,#146039,#61703,.T.); #177153=EDGE_CURVE('',#146039,#146039,#138263,.T.); #177154=EDGE_CURVE('',#146040,#146040,#138264,.T.); #177155=EDGE_CURVE('',#146040,#146041,#61704,.T.); #177156=EDGE_CURVE('',#146041,#146041,#138265,.T.); #177157=EDGE_CURVE('',#146042,#146042,#138266,.T.); #177158=EDGE_CURVE('',#146042,#146043,#61705,.T.); #177159=EDGE_CURVE('',#146043,#146043,#138267,.T.); #177160=EDGE_CURVE('',#146044,#146044,#138268,.T.); #177161=EDGE_CURVE('',#146044,#146045,#61706,.T.); #177162=EDGE_CURVE('',#146045,#146045,#138269,.T.); #177163=EDGE_CURVE('',#146046,#146046,#138270,.T.); #177164=EDGE_CURVE('',#146046,#146047,#61707,.T.); #177165=EDGE_CURVE('',#146047,#146047,#138271,.T.); #177166=EDGE_CURVE('',#146048,#146048,#138272,.T.); #177167=EDGE_CURVE('',#146048,#146049,#61708,.T.); #177168=EDGE_CURVE('',#146049,#146049,#138273,.T.); #177169=EDGE_CURVE('',#146050,#146050,#138274,.T.); #177170=EDGE_CURVE('',#146050,#146051,#61709,.T.); #177171=EDGE_CURVE('',#146051,#146051,#138275,.T.); #177172=EDGE_CURVE('',#146052,#146052,#138276,.T.); #177173=EDGE_CURVE('',#146052,#146053,#61710,.T.); #177174=EDGE_CURVE('',#146053,#146053,#138277,.T.); #177175=EDGE_CURVE('',#146054,#146054,#138278,.T.); #177176=EDGE_CURVE('',#146054,#146055,#61711,.T.); #177177=EDGE_CURVE('',#146055,#146055,#138279,.T.); #177178=EDGE_CURVE('',#146056,#146056,#138280,.T.); #177179=EDGE_CURVE('',#146056,#146057,#61712,.T.); #177180=EDGE_CURVE('',#146057,#146057,#138281,.T.); #177181=EDGE_CURVE('',#146058,#146058,#138282,.T.); #177182=EDGE_CURVE('',#146058,#146059,#61713,.T.); #177183=EDGE_CURVE('',#146059,#146059,#138283,.T.); #177184=EDGE_CURVE('',#146060,#146060,#138284,.T.); #177185=EDGE_CURVE('',#146060,#146061,#61714,.T.); #177186=EDGE_CURVE('',#146061,#146061,#138285,.T.); #177187=EDGE_CURVE('',#146062,#146062,#138286,.T.); #177188=EDGE_CURVE('',#146062,#146063,#61715,.T.); #177189=EDGE_CURVE('',#146063,#146063,#138287,.T.); #177190=EDGE_CURVE('',#146064,#146064,#138288,.T.); #177191=EDGE_CURVE('',#146064,#146065,#61716,.T.); #177192=EDGE_CURVE('',#146065,#146065,#138289,.T.); #177193=EDGE_CURVE('',#146066,#146066,#138290,.T.); #177194=EDGE_CURVE('',#146066,#146067,#61717,.T.); #177195=EDGE_CURVE('',#146067,#146067,#138291,.T.); #177196=EDGE_CURVE('',#146068,#146068,#138292,.T.); #177197=EDGE_CURVE('',#146068,#146069,#61718,.T.); #177198=EDGE_CURVE('',#146069,#146069,#138293,.T.); #177199=EDGE_CURVE('',#146070,#146070,#138294,.T.); #177200=EDGE_CURVE('',#146070,#146071,#61719,.T.); #177201=EDGE_CURVE('',#146071,#146071,#138295,.T.); #177202=EDGE_CURVE('',#146072,#146072,#138296,.T.); #177203=EDGE_CURVE('',#146072,#146073,#61720,.T.); #177204=EDGE_CURVE('',#146073,#146073,#138297,.T.); #177205=EDGE_CURVE('',#146074,#146074,#138298,.T.); #177206=EDGE_CURVE('',#146074,#146075,#61721,.T.); #177207=EDGE_CURVE('',#146075,#146075,#138299,.T.); #177208=EDGE_CURVE('',#146076,#146076,#138300,.T.); #177209=EDGE_CURVE('',#146076,#146077,#61722,.T.); #177210=EDGE_CURVE('',#146077,#146077,#138301,.T.); #177211=EDGE_CURVE('',#146078,#146078,#138302,.T.); #177212=EDGE_CURVE('',#146078,#146079,#61723,.T.); #177213=EDGE_CURVE('',#146079,#146079,#138303,.T.); #177214=EDGE_CURVE('',#146080,#146080,#138304,.T.); #177215=EDGE_CURVE('',#146080,#146081,#61724,.T.); #177216=EDGE_CURVE('',#146081,#146081,#138305,.T.); #177217=EDGE_CURVE('',#146082,#146082,#138306,.T.); #177218=EDGE_CURVE('',#146082,#146083,#61725,.T.); #177219=EDGE_CURVE('',#146083,#146083,#138307,.T.); #177220=EDGE_CURVE('',#146084,#146084,#138308,.T.); #177221=EDGE_CURVE('',#146084,#146085,#61726,.T.); #177222=EDGE_CURVE('',#146085,#146085,#138309,.T.); #177223=EDGE_CURVE('',#146086,#146086,#138310,.T.); #177224=EDGE_CURVE('',#146086,#146087,#61727,.T.); #177225=EDGE_CURVE('',#146087,#146087,#138311,.T.); #177226=EDGE_CURVE('',#146088,#146088,#138312,.T.); #177227=EDGE_CURVE('',#146088,#146089,#61728,.T.); #177228=EDGE_CURVE('',#146089,#146089,#138313,.T.); #177229=EDGE_CURVE('',#146090,#146090,#138314,.T.); #177230=EDGE_CURVE('',#146090,#146091,#61729,.T.); #177231=EDGE_CURVE('',#146091,#146091,#138315,.T.); #177232=EDGE_CURVE('',#146092,#146092,#138316,.T.); #177233=EDGE_CURVE('',#146092,#146093,#61730,.T.); #177234=EDGE_CURVE('',#146093,#146093,#138317,.T.); #177235=EDGE_CURVE('',#146094,#146094,#138318,.T.); #177236=EDGE_CURVE('',#146094,#146095,#61731,.T.); #177237=EDGE_CURVE('',#146095,#146095,#138319,.T.); #177238=EDGE_CURVE('',#146096,#146096,#138320,.T.); #177239=EDGE_CURVE('',#146096,#146097,#61732,.T.); #177240=EDGE_CURVE('',#146097,#146097,#138321,.T.); #177241=EDGE_CURVE('',#146098,#146098,#138322,.T.); #177242=EDGE_CURVE('',#146098,#146099,#61733,.T.); #177243=EDGE_CURVE('',#146099,#146099,#138323,.T.); #177244=EDGE_CURVE('',#146100,#146100,#138324,.T.); #177245=EDGE_CURVE('',#146100,#146101,#61734,.T.); #177246=EDGE_CURVE('',#146101,#146101,#138325,.T.); #177247=EDGE_CURVE('',#146102,#146102,#138326,.T.); #177248=EDGE_CURVE('',#146102,#146103,#61735,.T.); #177249=EDGE_CURVE('',#146103,#146103,#138327,.T.); #177250=EDGE_CURVE('',#146104,#146104,#138328,.T.); #177251=EDGE_CURVE('',#146104,#146105,#61736,.T.); #177252=EDGE_CURVE('',#146105,#146105,#138329,.T.); #177253=EDGE_CURVE('',#146106,#146106,#138330,.T.); #177254=EDGE_CURVE('',#146106,#146107,#61737,.T.); #177255=EDGE_CURVE('',#146107,#146107,#138331,.T.); #177256=EDGE_CURVE('',#146108,#146108,#138332,.T.); #177257=EDGE_CURVE('',#146108,#146109,#61738,.T.); #177258=EDGE_CURVE('',#146109,#146109,#138333,.T.); #177259=EDGE_CURVE('',#146110,#146110,#138334,.T.); #177260=EDGE_CURVE('',#146110,#146111,#61739,.T.); #177261=EDGE_CURVE('',#146111,#146111,#138335,.T.); #177262=EDGE_CURVE('',#146112,#146112,#138336,.T.); #177263=EDGE_CURVE('',#146112,#146113,#61740,.T.); #177264=EDGE_CURVE('',#146113,#146113,#138337,.T.); #177265=EDGE_CURVE('',#146114,#146114,#138338,.T.); #177266=EDGE_CURVE('',#146114,#146115,#61741,.T.); #177267=EDGE_CURVE('',#146115,#146115,#138339,.T.); #177268=EDGE_CURVE('',#146116,#146116,#138340,.T.); #177269=EDGE_CURVE('',#146116,#146117,#61742,.T.); #177270=EDGE_CURVE('',#146117,#146117,#138341,.T.); #177271=EDGE_CURVE('',#146118,#146118,#138342,.T.); #177272=EDGE_CURVE('',#146118,#146119,#61743,.T.); #177273=EDGE_CURVE('',#146119,#146119,#138343,.T.); #177274=EDGE_CURVE('',#146120,#146120,#138344,.T.); #177275=EDGE_CURVE('',#146120,#146121,#61744,.T.); #177276=EDGE_CURVE('',#146121,#146121,#138345,.T.); #177277=EDGE_CURVE('',#146122,#146122,#138346,.T.); #177278=EDGE_CURVE('',#146122,#146123,#61745,.T.); #177279=EDGE_CURVE('',#146123,#146123,#138347,.T.); #177280=EDGE_CURVE('',#146124,#146124,#138348,.T.); #177281=EDGE_CURVE('',#146124,#146125,#61746,.T.); #177282=EDGE_CURVE('',#146125,#146125,#138349,.T.); #177283=EDGE_CURVE('',#146126,#146126,#138350,.T.); #177284=EDGE_CURVE('',#146126,#146127,#61747,.T.); #177285=EDGE_CURVE('',#146127,#146127,#138351,.T.); #177286=EDGE_CURVE('',#146128,#146128,#138352,.T.); #177287=EDGE_CURVE('',#146128,#146129,#61748,.T.); #177288=EDGE_CURVE('',#146129,#146129,#138353,.T.); #177289=EDGE_CURVE('',#146130,#146130,#138354,.T.); #177290=EDGE_CURVE('',#146130,#146131,#61749,.T.); #177291=EDGE_CURVE('',#146131,#146131,#138355,.T.); #177292=EDGE_CURVE('',#146132,#146132,#138356,.T.); #177293=EDGE_CURVE('',#146132,#146133,#61750,.T.); #177294=EDGE_CURVE('',#146133,#146133,#138357,.T.); #177295=EDGE_CURVE('',#146134,#146134,#138358,.T.); #177296=EDGE_CURVE('',#146134,#146135,#61751,.T.); #177297=EDGE_CURVE('',#146135,#146135,#138359,.T.); #177298=EDGE_CURVE('',#146136,#146136,#138360,.T.); #177299=EDGE_CURVE('',#146136,#146137,#61752,.T.); #177300=EDGE_CURVE('',#146137,#146137,#138361,.T.); #177301=EDGE_CURVE('',#146138,#146138,#138362,.T.); #177302=EDGE_CURVE('',#146138,#146139,#61753,.T.); #177303=EDGE_CURVE('',#146139,#146139,#138363,.T.); #177304=EDGE_CURVE('',#146140,#146140,#138364,.T.); #177305=EDGE_CURVE('',#146140,#146141,#61754,.T.); #177306=EDGE_CURVE('',#146141,#146141,#138365,.T.); #177307=EDGE_CURVE('',#146142,#146142,#138366,.T.); #177308=EDGE_CURVE('',#146142,#146143,#61755,.T.); #177309=EDGE_CURVE('',#146143,#146143,#138367,.T.); #177310=EDGE_CURVE('',#146144,#146144,#138368,.T.); #177311=EDGE_CURVE('',#146144,#146145,#61756,.T.); #177312=EDGE_CURVE('',#146145,#146145,#138369,.T.); #177313=EDGE_CURVE('',#146146,#146146,#138370,.T.); #177314=EDGE_CURVE('',#146146,#146147,#61757,.T.); #177315=EDGE_CURVE('',#146147,#146147,#138371,.T.); #177316=EDGE_CURVE('',#146148,#146148,#138372,.T.); #177317=EDGE_CURVE('',#146148,#146149,#61758,.T.); #177318=EDGE_CURVE('',#146149,#146149,#138373,.T.); #177319=EDGE_CURVE('',#146150,#146150,#138374,.T.); #177320=EDGE_CURVE('',#146150,#146151,#61759,.T.); #177321=EDGE_CURVE('',#146151,#146151,#138375,.T.); #177322=EDGE_CURVE('',#146152,#146152,#138376,.T.); #177323=EDGE_CURVE('',#146152,#146153,#61760,.T.); #177324=EDGE_CURVE('',#146153,#146153,#138377,.T.); #177325=EDGE_CURVE('',#146154,#146154,#138378,.T.); #177326=EDGE_CURVE('',#146154,#146155,#61761,.T.); #177327=EDGE_CURVE('',#146155,#146155,#138379,.T.); #177328=EDGE_CURVE('',#146156,#146156,#138380,.T.); #177329=EDGE_CURVE('',#146156,#146157,#61762,.T.); #177330=EDGE_CURVE('',#146157,#146157,#138381,.T.); #177331=EDGE_CURVE('',#146158,#146158,#138382,.T.); #177332=EDGE_CURVE('',#146158,#146159,#61763,.T.); #177333=EDGE_CURVE('',#146159,#146159,#138383,.T.); #177334=EDGE_CURVE('',#146160,#146160,#138384,.T.); #177335=EDGE_CURVE('',#146160,#146161,#61764,.T.); #177336=EDGE_CURVE('',#146161,#146161,#138385,.T.); #177337=EDGE_CURVE('',#146162,#146162,#138386,.T.); #177338=EDGE_CURVE('',#146162,#146163,#61765,.T.); #177339=EDGE_CURVE('',#146163,#146163,#138387,.T.); #177340=EDGE_CURVE('',#146164,#146164,#138388,.T.); #177341=EDGE_CURVE('',#146164,#146165,#61766,.T.); #177342=EDGE_CURVE('',#146165,#146165,#138389,.T.); #177343=EDGE_CURVE('',#146166,#146166,#138390,.T.); #177344=EDGE_CURVE('',#146166,#146167,#61767,.T.); #177345=EDGE_CURVE('',#146167,#146167,#138391,.T.); #177346=EDGE_CURVE('',#146168,#146168,#138392,.T.); #177347=EDGE_CURVE('',#146168,#146169,#61768,.T.); #177348=EDGE_CURVE('',#146169,#146169,#138393,.T.); #177349=EDGE_CURVE('',#146170,#146170,#138394,.T.); #177350=EDGE_CURVE('',#146170,#146171,#61769,.T.); #177351=EDGE_CURVE('',#146171,#146171,#138395,.T.); #177352=EDGE_CURVE('',#146172,#146172,#138396,.T.); #177353=EDGE_CURVE('',#146172,#146173,#61770,.T.); #177354=EDGE_CURVE('',#146173,#146173,#138397,.T.); #177355=EDGE_CURVE('',#146174,#146174,#138398,.T.); #177356=EDGE_CURVE('',#146174,#146175,#61771,.T.); #177357=EDGE_CURVE('',#146175,#146175,#138399,.T.); #177358=EDGE_CURVE('',#146176,#146176,#138400,.T.); #177359=EDGE_CURVE('',#146176,#146177,#61772,.T.); #177360=EDGE_CURVE('',#146177,#146177,#138401,.T.); #177361=EDGE_CURVE('',#146178,#146178,#138402,.T.); #177362=EDGE_CURVE('',#146178,#146179,#61773,.T.); #177363=EDGE_CURVE('',#146179,#146179,#138403,.T.); #177364=EDGE_CURVE('',#146180,#146180,#138404,.T.); #177365=EDGE_CURVE('',#146180,#146181,#61774,.T.); #177366=EDGE_CURVE('',#146181,#146181,#138405,.T.); #177367=EDGE_CURVE('',#146182,#146182,#138406,.T.); #177368=EDGE_CURVE('',#146182,#146183,#61775,.T.); #177369=EDGE_CURVE('',#146183,#146183,#138407,.T.); #177370=EDGE_CURVE('',#146184,#146184,#138408,.T.); #177371=EDGE_CURVE('',#146184,#146185,#61776,.T.); #177372=EDGE_CURVE('',#146185,#146185,#138409,.T.); #177373=EDGE_CURVE('',#146186,#146186,#138410,.T.); #177374=EDGE_CURVE('',#146186,#146187,#61777,.T.); #177375=EDGE_CURVE('',#146187,#146187,#138411,.T.); #177376=EDGE_CURVE('',#146188,#146188,#138412,.T.); #177377=EDGE_CURVE('',#146188,#146189,#61778,.T.); #177378=EDGE_CURVE('',#146189,#146189,#138413,.T.); #177379=EDGE_CURVE('',#146190,#146190,#138414,.T.); #177380=EDGE_CURVE('',#146190,#146191,#61779,.T.); #177381=EDGE_CURVE('',#146191,#146191,#138415,.T.); #177382=EDGE_CURVE('',#146192,#146192,#138416,.T.); #177383=EDGE_CURVE('',#146192,#146193,#61780,.T.); #177384=EDGE_CURVE('',#146193,#146193,#138417,.T.); #177385=EDGE_CURVE('',#146194,#146194,#138418,.T.); #177386=EDGE_CURVE('',#146194,#146195,#61781,.T.); #177387=EDGE_CURVE('',#146195,#146195,#138419,.T.); #177388=EDGE_CURVE('',#146196,#146196,#138420,.T.); #177389=EDGE_CURVE('',#146196,#146197,#61782,.T.); #177390=EDGE_CURVE('',#146197,#146197,#138421,.T.); #177391=EDGE_CURVE('',#146198,#146198,#138422,.T.); #177392=EDGE_CURVE('',#146198,#146199,#61783,.T.); #177393=EDGE_CURVE('',#146199,#146199,#138423,.T.); #177394=EDGE_CURVE('',#146200,#146200,#138424,.T.); #177395=EDGE_CURVE('',#146200,#146201,#61784,.T.); #177396=EDGE_CURVE('',#146201,#146201,#138425,.T.); #177397=EDGE_CURVE('',#146202,#146202,#138426,.T.); #177398=EDGE_CURVE('',#146202,#146203,#61785,.T.); #177399=EDGE_CURVE('',#146203,#146203,#138427,.T.); #177400=EDGE_CURVE('',#146204,#146204,#138428,.T.); #177401=EDGE_CURVE('',#146204,#146205,#61786,.T.); #177402=EDGE_CURVE('',#146205,#146205,#138429,.T.); #177403=EDGE_CURVE('',#146206,#146206,#138430,.T.); #177404=EDGE_CURVE('',#146206,#146207,#61787,.T.); #177405=EDGE_CURVE('',#146207,#146207,#138431,.T.); #177406=EDGE_CURVE('',#146208,#146208,#138432,.T.); #177407=EDGE_CURVE('',#146208,#146209,#61788,.T.); #177408=EDGE_CURVE('',#146209,#146209,#138433,.T.); #177409=EDGE_CURVE('',#146210,#146210,#138434,.T.); #177410=EDGE_CURVE('',#146210,#146211,#61789,.T.); #177411=EDGE_CURVE('',#146211,#146211,#138435,.T.); #177412=EDGE_CURVE('',#146212,#146212,#138436,.T.); #177413=EDGE_CURVE('',#146212,#146213,#61790,.T.); #177414=EDGE_CURVE('',#146213,#146213,#138437,.T.); #177415=EDGE_CURVE('',#146214,#146214,#138438,.T.); #177416=EDGE_CURVE('',#146214,#146215,#61791,.T.); #177417=EDGE_CURVE('',#146215,#146215,#138439,.T.); #177418=EDGE_CURVE('',#146216,#146216,#138440,.T.); #177419=EDGE_CURVE('',#146216,#146217,#61792,.T.); #177420=EDGE_CURVE('',#146217,#146217,#138441,.T.); #177421=EDGE_CURVE('',#146218,#146218,#138442,.T.); #177422=EDGE_CURVE('',#146218,#146219,#61793,.T.); #177423=EDGE_CURVE('',#146219,#146219,#138443,.T.); #177424=EDGE_CURVE('',#146220,#146220,#138444,.T.); #177425=EDGE_CURVE('',#146220,#146221,#61794,.T.); #177426=EDGE_CURVE('',#146221,#146221,#138445,.T.); #177427=EDGE_CURVE('',#146222,#146222,#138446,.T.); #177428=EDGE_CURVE('',#146222,#146223,#61795,.T.); #177429=EDGE_CURVE('',#146223,#146223,#138447,.T.); #177430=EDGE_CURVE('',#146224,#146224,#138448,.T.); #177431=EDGE_CURVE('',#146224,#146225,#61796,.T.); #177432=EDGE_CURVE('',#146225,#146225,#138449,.T.); #177433=EDGE_CURVE('',#146226,#146226,#138450,.T.); #177434=EDGE_CURVE('',#146226,#146227,#61797,.T.); #177435=EDGE_CURVE('',#146227,#146227,#138451,.T.); #177436=EDGE_CURVE('',#146228,#146228,#138452,.T.); #177437=EDGE_CURVE('',#146228,#146229,#61798,.T.); #177438=EDGE_CURVE('',#146229,#146229,#138453,.T.); #177439=EDGE_CURVE('',#146230,#146230,#138454,.T.); #177440=EDGE_CURVE('',#146230,#146231,#61799,.T.); #177441=EDGE_CURVE('',#146231,#146231,#138455,.T.); #177442=EDGE_CURVE('',#146232,#146232,#138456,.T.); #177443=EDGE_CURVE('',#146232,#146233,#61800,.T.); #177444=EDGE_CURVE('',#146233,#146233,#138457,.T.); #177445=EDGE_CURVE('',#146234,#146234,#138458,.T.); #177446=EDGE_CURVE('',#146234,#146235,#61801,.T.); #177447=EDGE_CURVE('',#146235,#146235,#138459,.T.); #177448=EDGE_CURVE('',#146236,#146236,#138460,.T.); #177449=EDGE_CURVE('',#146236,#146237,#61802,.T.); #177450=EDGE_CURVE('',#146237,#146237,#138461,.T.); #177451=EDGE_CURVE('',#146238,#146238,#138462,.T.); #177452=EDGE_CURVE('',#146238,#146239,#61803,.T.); #177453=EDGE_CURVE('',#146239,#146239,#138463,.T.); #177454=EDGE_CURVE('',#146240,#146240,#138464,.T.); #177455=EDGE_CURVE('',#146240,#146241,#61804,.T.); #177456=EDGE_CURVE('',#146241,#146241,#138465,.T.); #177457=EDGE_CURVE('',#146242,#146242,#138466,.T.); #177458=EDGE_CURVE('',#146242,#146243,#61805,.T.); #177459=EDGE_CURVE('',#146243,#146243,#138467,.T.); #177460=EDGE_CURVE('',#146244,#146244,#138468,.T.); #177461=EDGE_CURVE('',#146244,#146245,#61806,.T.); #177462=EDGE_CURVE('',#146245,#146245,#138469,.T.); #177463=EDGE_CURVE('',#146246,#146246,#138470,.T.); #177464=EDGE_CURVE('',#146246,#146247,#61807,.T.); #177465=EDGE_CURVE('',#146247,#146247,#138471,.T.); #177466=EDGE_CURVE('',#146248,#146248,#138472,.T.); #177467=EDGE_CURVE('',#146248,#146249,#61808,.T.); #177468=EDGE_CURVE('',#146249,#146249,#138473,.T.); #177469=EDGE_CURVE('',#146250,#146250,#138474,.T.); #177470=EDGE_CURVE('',#146250,#146251,#61809,.T.); #177471=EDGE_CURVE('',#146251,#146251,#138475,.T.); #177472=EDGE_CURVE('',#146252,#146252,#138476,.T.); #177473=EDGE_CURVE('',#146252,#146253,#61810,.T.); #177474=EDGE_CURVE('',#146253,#146253,#138477,.T.); #177475=EDGE_CURVE('',#146254,#146254,#138478,.T.); #177476=EDGE_CURVE('',#146254,#146255,#61811,.T.); #177477=EDGE_CURVE('',#146255,#146255,#138479,.T.); #177478=EDGE_CURVE('',#146256,#146256,#138480,.T.); #177479=EDGE_CURVE('',#146256,#146257,#61812,.T.); #177480=EDGE_CURVE('',#146257,#146257,#138481,.T.); #177481=EDGE_CURVE('',#146258,#146258,#138482,.T.); #177482=EDGE_CURVE('',#146258,#146259,#61813,.T.); #177483=EDGE_CURVE('',#146259,#146259,#138483,.T.); #177484=EDGE_CURVE('',#146260,#146260,#138484,.T.); #177485=EDGE_CURVE('',#146260,#146261,#61814,.T.); #177486=EDGE_CURVE('',#146261,#146261,#138485,.T.); #177487=EDGE_CURVE('',#146262,#146262,#138486,.T.); #177488=EDGE_CURVE('',#146262,#146263,#61815,.T.); #177489=EDGE_CURVE('',#146263,#146263,#138487,.T.); #177490=EDGE_CURVE('',#146264,#146264,#138488,.T.); #177491=EDGE_CURVE('',#146264,#146265,#61816,.T.); #177492=EDGE_CURVE('',#146265,#146265,#138489,.T.); #177493=EDGE_CURVE('',#146266,#146266,#138490,.T.); #177494=EDGE_CURVE('',#146266,#146267,#61817,.T.); #177495=EDGE_CURVE('',#146267,#146267,#138491,.T.); #177496=EDGE_CURVE('',#146268,#146268,#138492,.T.); #177497=EDGE_CURVE('',#146268,#146269,#61818,.T.); #177498=EDGE_CURVE('',#146269,#146269,#138493,.T.); #177499=EDGE_CURVE('',#146270,#146270,#138494,.T.); #177500=EDGE_CURVE('',#146270,#146271,#61819,.T.); #177501=EDGE_CURVE('',#146271,#146271,#138495,.T.); #177502=EDGE_CURVE('',#146272,#146272,#138496,.T.); #177503=EDGE_CURVE('',#146272,#146273,#61820,.T.); #177504=EDGE_CURVE('',#146273,#146273,#138497,.T.); #177505=EDGE_CURVE('',#146274,#146274,#138498,.T.); #177506=EDGE_CURVE('',#146274,#146275,#61821,.T.); #177507=EDGE_CURVE('',#146275,#146275,#138499,.T.); #177508=EDGE_CURVE('',#146276,#146276,#138500,.T.); #177509=EDGE_CURVE('',#146276,#146277,#61822,.T.); #177510=EDGE_CURVE('',#146277,#146277,#138501,.T.); #177511=EDGE_CURVE('',#146278,#146278,#138502,.T.); #177512=EDGE_CURVE('',#146278,#146279,#61823,.T.); #177513=EDGE_CURVE('',#146279,#146279,#138503,.T.); #177514=EDGE_CURVE('',#146280,#146280,#138504,.T.); #177515=EDGE_CURVE('',#146280,#146281,#61824,.T.); #177516=EDGE_CURVE('',#146281,#146281,#138505,.T.); #177517=EDGE_CURVE('',#146282,#146282,#138506,.T.); #177518=EDGE_CURVE('',#146282,#146283,#61825,.T.); #177519=EDGE_CURVE('',#146283,#146283,#138507,.T.); #177520=EDGE_CURVE('',#146284,#146284,#138508,.T.); #177521=EDGE_CURVE('',#146284,#146285,#61826,.T.); #177522=EDGE_CURVE('',#146285,#146285,#138509,.T.); #177523=EDGE_CURVE('',#146286,#146286,#138510,.T.); #177524=EDGE_CURVE('',#146286,#146287,#61827,.T.); #177525=EDGE_CURVE('',#146287,#146287,#138511,.T.); #177526=EDGE_CURVE('',#146288,#146288,#138512,.T.); #177527=EDGE_CURVE('',#146288,#146289,#61828,.T.); #177528=EDGE_CURVE('',#146289,#146289,#138513,.T.); #177529=EDGE_CURVE('',#146290,#146291,#61829,.T.); #177530=EDGE_CURVE('',#146291,#146292,#61830,.T.); #177531=EDGE_CURVE('',#146293,#146292,#61831,.T.); #177532=EDGE_CURVE('',#146290,#146293,#61832,.T.); #177533=EDGE_CURVE('',#146290,#146294,#138514,.T.); #177534=EDGE_CURVE('',#146295,#146293,#138515,.T.); #177535=EDGE_CURVE('',#146294,#146295,#61833,.T.); #177536=EDGE_CURVE('',#146296,#146294,#61834,.T.); #177537=EDGE_CURVE('',#146297,#146295,#61835,.T.); #177538=EDGE_CURVE('',#146296,#146297,#61836,.T.); #177539=EDGE_CURVE('',#146296,#146291,#138516,.T.); #177540=EDGE_CURVE('',#146292,#146297,#138517,.T.); #177541=EDGE_CURVE('',#146298,#146299,#61837,.T.); #177542=EDGE_CURVE('',#146298,#146300,#61838,.T.); #177543=EDGE_CURVE('',#146301,#146300,#61839,.T.); #177544=EDGE_CURVE('',#146299,#146301,#61840,.T.); #177545=EDGE_CURVE('',#146302,#146299,#138518,.T.); #177546=EDGE_CURVE('',#146303,#146301,#138519,.T.); #177547=EDGE_CURVE('',#146302,#146303,#61841,.T.); #177548=EDGE_CURVE('',#146302,#146304,#61842,.T.); #177549=EDGE_CURVE('',#146305,#146303,#61843,.T.); #177550=EDGE_CURVE('',#146304,#146305,#61844,.T.); #177551=EDGE_CURVE('',#146298,#146304,#138520,.T.); #177552=EDGE_CURVE('',#146300,#146305,#138521,.T.); #177553=EDGE_CURVE('',#146306,#146306,#138522,.T.); #177554=EDGE_CURVE('',#146306,#146307,#61845,.T.); #177555=EDGE_CURVE('',#146307,#146307,#138523,.T.); #177556=EDGE_CURVE('',#146308,#146308,#138524,.T.); #177557=EDGE_CURVE('',#146308,#146309,#61846,.T.); #177558=EDGE_CURVE('',#146309,#146309,#138525,.T.); #177559=EDGE_CURVE('',#146310,#146311,#61847,.T.); #177560=EDGE_CURVE('',#146311,#146312,#61848,.T.); #177561=EDGE_CURVE('',#146313,#146312,#61849,.T.); #177562=EDGE_CURVE('',#146310,#146313,#61850,.T.); #177563=EDGE_CURVE('',#146310,#146314,#138526,.T.); #177564=EDGE_CURVE('',#146315,#146313,#138527,.T.); #177565=EDGE_CURVE('',#146314,#146315,#61851,.T.); #177566=EDGE_CURVE('',#146316,#146314,#61852,.T.); #177567=EDGE_CURVE('',#146317,#146315,#61853,.T.); #177568=EDGE_CURVE('',#146316,#146317,#61854,.T.); #177569=EDGE_CURVE('',#146316,#146311,#138528,.T.); #177570=EDGE_CURVE('',#146312,#146317,#138529,.T.); #177571=EDGE_CURVE('',#146318,#146319,#61855,.T.); #177572=EDGE_CURVE('',#146318,#146320,#61856,.T.); #177573=EDGE_CURVE('',#146321,#146320,#61857,.T.); #177574=EDGE_CURVE('',#146319,#146321,#61858,.T.); #177575=EDGE_CURVE('',#146322,#146319,#138530,.T.); #177576=EDGE_CURVE('',#146323,#146321,#138531,.T.); #177577=EDGE_CURVE('',#146322,#146323,#61859,.T.); #177578=EDGE_CURVE('',#146322,#146324,#61860,.T.); #177579=EDGE_CURVE('',#146325,#146323,#61861,.T.); #177580=EDGE_CURVE('',#146324,#146325,#61862,.T.); #177581=EDGE_CURVE('',#146318,#146324,#138532,.T.); #177582=EDGE_CURVE('',#146320,#146325,#138533,.T.); #177583=EDGE_CURVE('',#146326,#146326,#138534,.T.); #177584=EDGE_CURVE('',#146326,#146327,#61863,.T.); #177585=EDGE_CURVE('',#146327,#146327,#138535,.T.); #177586=EDGE_CURVE('',#146328,#146328,#138536,.T.); #177587=EDGE_CURVE('',#146328,#146329,#61864,.T.); #177588=EDGE_CURVE('',#146329,#146329,#138537,.T.); #177589=EDGE_CURVE('',#146330,#146331,#61865,.T.); #177590=EDGE_CURVE('',#146331,#146332,#61866,.T.); #177591=EDGE_CURVE('',#146333,#146332,#61867,.T.); #177592=EDGE_CURVE('',#146330,#146333,#61868,.T.); #177593=EDGE_CURVE('',#146330,#146334,#138538,.T.); #177594=EDGE_CURVE('',#146335,#146333,#138539,.T.); #177595=EDGE_CURVE('',#146334,#146335,#61869,.T.); #177596=EDGE_CURVE('',#146336,#146334,#61870,.T.); #177597=EDGE_CURVE('',#146337,#146335,#61871,.T.); #177598=EDGE_CURVE('',#146336,#146337,#61872,.T.); #177599=EDGE_CURVE('',#146336,#146331,#138540,.T.); #177600=EDGE_CURVE('',#146332,#146337,#138541,.T.); #177601=EDGE_CURVE('',#146338,#146339,#61873,.T.); #177602=EDGE_CURVE('',#146338,#146340,#61874,.T.); #177603=EDGE_CURVE('',#146341,#146340,#61875,.T.); #177604=EDGE_CURVE('',#146339,#146341,#61876,.T.); #177605=EDGE_CURVE('',#146342,#146339,#138542,.T.); #177606=EDGE_CURVE('',#146343,#146341,#138543,.T.); #177607=EDGE_CURVE('',#146342,#146343,#61877,.T.); #177608=EDGE_CURVE('',#146342,#146344,#61878,.T.); #177609=EDGE_CURVE('',#146345,#146343,#61879,.T.); #177610=EDGE_CURVE('',#146344,#146345,#61880,.T.); #177611=EDGE_CURVE('',#146338,#146344,#138544,.T.); #177612=EDGE_CURVE('',#146340,#146345,#138545,.T.); #177613=EDGE_CURVE('',#146346,#146346,#138546,.T.); #177614=EDGE_CURVE('',#146346,#146347,#61881,.T.); #177615=EDGE_CURVE('',#146347,#146347,#138547,.T.); #177616=EDGE_CURVE('',#146348,#146348,#138548,.T.); #177617=EDGE_CURVE('',#146348,#146349,#61882,.T.); #177618=EDGE_CURVE('',#146349,#146349,#138549,.T.); #177619=EDGE_CURVE('',#146350,#146351,#61883,.T.); #177620=EDGE_CURVE('',#146351,#146352,#61884,.T.); #177621=EDGE_CURVE('',#146353,#146352,#61885,.T.); #177622=EDGE_CURVE('',#146350,#146353,#61886,.T.); #177623=EDGE_CURVE('',#146350,#146354,#138550,.T.); #177624=EDGE_CURVE('',#146355,#146353,#138551,.T.); #177625=EDGE_CURVE('',#146354,#146355,#61887,.T.); #177626=EDGE_CURVE('',#146356,#146354,#61888,.T.); #177627=EDGE_CURVE('',#146357,#146355,#61889,.T.); #177628=EDGE_CURVE('',#146356,#146357,#61890,.T.); #177629=EDGE_CURVE('',#146356,#146351,#138552,.T.); #177630=EDGE_CURVE('',#146352,#146357,#138553,.T.); #177631=EDGE_CURVE('',#146358,#146359,#61891,.T.); #177632=EDGE_CURVE('',#146358,#146360,#61892,.T.); #177633=EDGE_CURVE('',#146361,#146360,#61893,.T.); #177634=EDGE_CURVE('',#146359,#146361,#61894,.T.); #177635=EDGE_CURVE('',#146362,#146359,#138554,.T.); #177636=EDGE_CURVE('',#146363,#146361,#138555,.T.); #177637=EDGE_CURVE('',#146362,#146363,#61895,.T.); #177638=EDGE_CURVE('',#146362,#146364,#61896,.T.); #177639=EDGE_CURVE('',#146365,#146363,#61897,.T.); #177640=EDGE_CURVE('',#146364,#146365,#61898,.T.); #177641=EDGE_CURVE('',#146358,#146364,#138556,.T.); #177642=EDGE_CURVE('',#146360,#146365,#138557,.T.); #177643=EDGE_CURVE('',#146366,#146366,#138558,.T.); #177644=EDGE_CURVE('',#146366,#146367,#61899,.T.); #177645=EDGE_CURVE('',#146367,#146367,#138559,.T.); #177646=EDGE_CURVE('',#146368,#146368,#138560,.T.); #177647=EDGE_CURVE('',#146368,#146369,#61900,.T.); #177648=EDGE_CURVE('',#146369,#146369,#138561,.T.); #177649=EDGE_CURVE('',#146370,#146370,#138562,.T.); #177650=EDGE_CURVE('',#146370,#146371,#61901,.T.); #177651=EDGE_CURVE('',#146371,#146371,#138563,.T.); #177652=EDGE_CURVE('',#146372,#146372,#138564,.T.); #177653=EDGE_CURVE('',#146372,#146373,#61902,.T.); #177654=EDGE_CURVE('',#146373,#146373,#138565,.T.); #177655=EDGE_CURVE('',#146374,#146374,#138566,.T.); #177656=EDGE_CURVE('',#146374,#146375,#61903,.T.); #177657=EDGE_CURVE('',#146375,#146375,#138567,.T.); #177658=EDGE_CURVE('',#146376,#146376,#138568,.T.); #177659=EDGE_CURVE('',#146376,#146377,#61904,.T.); #177660=EDGE_CURVE('',#146377,#146377,#138569,.T.); #177661=EDGE_CURVE('',#146378,#146378,#138570,.T.); #177662=EDGE_CURVE('',#146378,#146379,#61905,.T.); #177663=EDGE_CURVE('',#146379,#146379,#138571,.T.); #177664=EDGE_CURVE('',#146380,#146380,#138572,.T.); #177665=EDGE_CURVE('',#146380,#146381,#61906,.T.); #177666=EDGE_CURVE('',#146381,#146381,#138573,.T.); #177667=EDGE_CURVE('',#146382,#146382,#138574,.T.); #177668=EDGE_CURVE('',#146382,#146383,#61907,.T.); #177669=EDGE_CURVE('',#146383,#146383,#138575,.T.); #177670=EDGE_CURVE('',#146384,#146384,#138576,.T.); #177671=EDGE_CURVE('',#146384,#146385,#61908,.T.); #177672=EDGE_CURVE('',#146385,#146385,#138577,.T.); #177673=EDGE_CURVE('',#146386,#146386,#138578,.T.); #177674=EDGE_CURVE('',#146386,#146387,#61909,.T.); #177675=EDGE_CURVE('',#146387,#146387,#138579,.T.); #177676=EDGE_CURVE('',#146388,#146388,#138580,.T.); #177677=EDGE_CURVE('',#146388,#146389,#61910,.T.); #177678=EDGE_CURVE('',#146389,#146389,#138581,.T.); #177679=EDGE_CURVE('',#146390,#146390,#138582,.T.); #177680=EDGE_CURVE('',#146390,#146391,#61911,.T.); #177681=EDGE_CURVE('',#146391,#146391,#138583,.T.); #177682=EDGE_CURVE('',#146392,#146392,#138584,.T.); #177683=EDGE_CURVE('',#146392,#146393,#61912,.T.); #177684=EDGE_CURVE('',#146393,#146393,#138585,.T.); #177685=EDGE_CURVE('',#146394,#146394,#138586,.T.); #177686=EDGE_CURVE('',#146394,#146395,#61913,.T.); #177687=EDGE_CURVE('',#146395,#146395,#138587,.T.); #177688=EDGE_CURVE('',#146396,#146396,#138588,.T.); #177689=EDGE_CURVE('',#146396,#146397,#61914,.T.); #177690=EDGE_CURVE('',#146397,#146397,#138589,.T.); #177691=EDGE_CURVE('',#146398,#146398,#138590,.T.); #177692=EDGE_CURVE('',#146398,#146399,#61915,.T.); #177693=EDGE_CURVE('',#146399,#146399,#138591,.T.); #177694=EDGE_CURVE('',#146400,#146400,#138592,.T.); #177695=EDGE_CURVE('',#146400,#146401,#61916,.T.); #177696=EDGE_CURVE('',#146401,#146401,#138593,.T.); #177697=EDGE_CURVE('',#146402,#146402,#138594,.T.); #177698=EDGE_CURVE('',#146402,#146403,#61917,.T.); #177699=EDGE_CURVE('',#146403,#146403,#138595,.T.); #177700=EDGE_CURVE('',#146404,#146404,#138596,.T.); #177701=EDGE_CURVE('',#146404,#146405,#61918,.T.); #177702=EDGE_CURVE('',#146405,#146405,#138597,.T.); #177703=EDGE_CURVE('',#146406,#146406,#138598,.T.); #177704=EDGE_CURVE('',#146406,#146407,#61919,.T.); #177705=EDGE_CURVE('',#146407,#146407,#138599,.T.); #177706=EDGE_CURVE('',#146408,#146408,#138600,.T.); #177707=EDGE_CURVE('',#146408,#146409,#61920,.T.); #177708=EDGE_CURVE('',#146409,#146409,#138601,.T.); #177709=EDGE_CURVE('',#146410,#146411,#61921,.T.); #177710=EDGE_CURVE('',#146411,#146412,#61922,.T.); #177711=EDGE_CURVE('',#146413,#146412,#61923,.T.); #177712=EDGE_CURVE('',#146410,#146413,#61924,.T.); #177713=EDGE_CURVE('',#146410,#146414,#138602,.T.); #177714=EDGE_CURVE('',#146415,#146413,#138603,.T.); #177715=EDGE_CURVE('',#146414,#146415,#61925,.T.); #177716=EDGE_CURVE('',#146416,#146414,#61926,.T.); #177717=EDGE_CURVE('',#146417,#146415,#61927,.T.); #177718=EDGE_CURVE('',#146416,#146417,#61928,.T.); #177719=EDGE_CURVE('',#146416,#146411,#138604,.T.); #177720=EDGE_CURVE('',#146412,#146417,#138605,.T.); #177721=EDGE_CURVE('',#146418,#146419,#61929,.T.); #177722=EDGE_CURVE('',#146418,#146420,#61930,.T.); #177723=EDGE_CURVE('',#146421,#146420,#61931,.T.); #177724=EDGE_CURVE('',#146419,#146421,#61932,.T.); #177725=EDGE_CURVE('',#146422,#146419,#138606,.T.); #177726=EDGE_CURVE('',#146423,#146421,#138607,.T.); #177727=EDGE_CURVE('',#146422,#146423,#61933,.T.); #177728=EDGE_CURVE('',#146422,#146424,#61934,.T.); #177729=EDGE_CURVE('',#146425,#146423,#61935,.T.); #177730=EDGE_CURVE('',#146424,#146425,#61936,.T.); #177731=EDGE_CURVE('',#146418,#146424,#138608,.T.); #177732=EDGE_CURVE('',#146420,#146425,#138609,.T.); #177733=EDGE_CURVE('',#146426,#146426,#138610,.T.); #177734=EDGE_CURVE('',#146426,#146427,#61937,.T.); #177735=EDGE_CURVE('',#146427,#146427,#138611,.T.); #177736=EDGE_CURVE('',#146428,#146428,#138612,.T.); #177737=EDGE_CURVE('',#146428,#146429,#61938,.T.); #177738=EDGE_CURVE('',#146429,#146429,#138613,.T.); #177739=EDGE_CURVE('',#146430,#146431,#61939,.T.); #177740=EDGE_CURVE('',#146431,#146432,#61940,.T.); #177741=EDGE_CURVE('',#146433,#146432,#61941,.T.); #177742=EDGE_CURVE('',#146430,#146433,#61942,.T.); #177743=EDGE_CURVE('',#146430,#146434,#138614,.T.); #177744=EDGE_CURVE('',#146435,#146433,#138615,.T.); #177745=EDGE_CURVE('',#146434,#146435,#61943,.T.); #177746=EDGE_CURVE('',#146436,#146434,#61944,.T.); #177747=EDGE_CURVE('',#146437,#146435,#61945,.T.); #177748=EDGE_CURVE('',#146436,#146437,#61946,.T.); #177749=EDGE_CURVE('',#146436,#146431,#138616,.T.); #177750=EDGE_CURVE('',#146432,#146437,#138617,.T.); #177751=EDGE_CURVE('',#146438,#146439,#61947,.T.); #177752=EDGE_CURVE('',#146438,#146440,#61948,.T.); #177753=EDGE_CURVE('',#146441,#146440,#61949,.T.); #177754=EDGE_CURVE('',#146439,#146441,#61950,.T.); #177755=EDGE_CURVE('',#146442,#146439,#138618,.T.); #177756=EDGE_CURVE('',#146443,#146441,#138619,.T.); #177757=EDGE_CURVE('',#146442,#146443,#61951,.T.); #177758=EDGE_CURVE('',#146442,#146444,#61952,.T.); #177759=EDGE_CURVE('',#146445,#146443,#61953,.T.); #177760=EDGE_CURVE('',#146444,#146445,#61954,.T.); #177761=EDGE_CURVE('',#146438,#146444,#138620,.T.); #177762=EDGE_CURVE('',#146440,#146445,#138621,.T.); #177763=EDGE_CURVE('',#146446,#146446,#138622,.T.); #177764=EDGE_CURVE('',#146446,#146447,#61955,.T.); #177765=EDGE_CURVE('',#146447,#146447,#138623,.T.); #177766=EDGE_CURVE('',#146448,#146448,#138624,.T.); #177767=EDGE_CURVE('',#146448,#146449,#61956,.T.); #177768=EDGE_CURVE('',#146449,#146449,#138625,.T.); #177769=EDGE_CURVE('',#146450,#146450,#138626,.T.); #177770=EDGE_CURVE('',#146450,#146451,#61957,.T.); #177771=EDGE_CURVE('',#146451,#146451,#138627,.T.); #177772=EDGE_CURVE('',#146452,#146452,#138628,.T.); #177773=EDGE_CURVE('',#146452,#146453,#61958,.T.); #177774=EDGE_CURVE('',#146453,#146453,#138629,.T.); #177775=EDGE_CURVE('',#146454,#146454,#138630,.T.); #177776=EDGE_CURVE('',#146454,#146455,#61959,.T.); #177777=EDGE_CURVE('',#146455,#146455,#138631,.T.); #177778=EDGE_CURVE('',#146456,#146456,#138632,.T.); #177779=EDGE_CURVE('',#146456,#146457,#61960,.T.); #177780=EDGE_CURVE('',#146457,#146457,#138633,.T.); #177781=EDGE_CURVE('',#146458,#146458,#138634,.T.); #177782=EDGE_CURVE('',#146458,#146459,#61961,.T.); #177783=EDGE_CURVE('',#146459,#146459,#138635,.T.); #177784=EDGE_CURVE('',#146460,#146460,#138636,.T.); #177785=EDGE_CURVE('',#146460,#146461,#61962,.T.); #177786=EDGE_CURVE('',#146461,#146461,#138637,.T.); #177787=EDGE_CURVE('',#146462,#146462,#138638,.T.); #177788=EDGE_CURVE('',#146462,#146463,#61963,.T.); #177789=EDGE_CURVE('',#146463,#146463,#138639,.T.); #177790=EDGE_CURVE('',#146464,#146464,#138640,.T.); #177791=EDGE_CURVE('',#146464,#146465,#61964,.T.); #177792=EDGE_CURVE('',#146465,#146465,#138641,.T.); #177793=EDGE_CURVE('',#146466,#146466,#138642,.T.); #177794=EDGE_CURVE('',#146466,#146467,#61965,.T.); #177795=EDGE_CURVE('',#146467,#146467,#138643,.T.); #177796=EDGE_CURVE('',#146468,#146468,#138644,.T.); #177797=EDGE_CURVE('',#146468,#146469,#61966,.T.); #177798=EDGE_CURVE('',#146469,#146469,#138645,.T.); #177799=EDGE_CURVE('',#146470,#146470,#138646,.T.); #177800=EDGE_CURVE('',#146470,#146471,#61967,.T.); #177801=EDGE_CURVE('',#146471,#146471,#138647,.T.); #177802=EDGE_CURVE('',#146472,#146472,#138648,.T.); #177803=EDGE_CURVE('',#146472,#146473,#61968,.T.); #177804=EDGE_CURVE('',#146473,#146473,#138649,.T.); #177805=EDGE_CURVE('',#146474,#146474,#138650,.T.); #177806=EDGE_CURVE('',#146474,#146475,#61969,.T.); #177807=EDGE_CURVE('',#146475,#146475,#138651,.T.); #177808=EDGE_CURVE('',#146476,#146476,#138652,.T.); #177809=EDGE_CURVE('',#146476,#146477,#61970,.T.); #177810=EDGE_CURVE('',#146477,#146477,#138653,.T.); #177811=EDGE_CURVE('',#146478,#146478,#138654,.T.); #177812=EDGE_CURVE('',#146478,#146479,#61971,.T.); #177813=EDGE_CURVE('',#146479,#146479,#138655,.T.); #177814=EDGE_CURVE('',#146480,#146480,#138656,.T.); #177815=EDGE_CURVE('',#146480,#146481,#61972,.T.); #177816=EDGE_CURVE('',#146481,#146481,#138657,.T.); #177817=EDGE_CURVE('',#146482,#146482,#138658,.T.); #177818=EDGE_CURVE('',#146482,#146483,#61973,.T.); #177819=EDGE_CURVE('',#146483,#146483,#138659,.T.); #177820=EDGE_CURVE('',#146484,#146484,#138660,.T.); #177821=EDGE_CURVE('',#146484,#146485,#61974,.T.); #177822=EDGE_CURVE('',#146485,#146485,#138661,.T.); #177823=EDGE_CURVE('',#146486,#146486,#138662,.T.); #177824=EDGE_CURVE('',#146486,#146487,#61975,.T.); #177825=EDGE_CURVE('',#146487,#146487,#138663,.T.); #177826=EDGE_CURVE('',#146488,#146488,#138664,.T.); #177827=EDGE_CURVE('',#146488,#146489,#61976,.T.); #177828=EDGE_CURVE('',#146489,#146489,#138665,.T.); #177829=EDGE_CURVE('',#146490,#146490,#138666,.T.); #177830=EDGE_CURVE('',#146490,#146491,#61977,.T.); #177831=EDGE_CURVE('',#146491,#146491,#138667,.T.); #177832=EDGE_CURVE('',#146492,#146492,#138668,.T.); #177833=EDGE_CURVE('',#146492,#146493,#61978,.T.); #177834=EDGE_CURVE('',#146493,#146493,#138669,.T.); #177835=EDGE_CURVE('',#146494,#146494,#138670,.T.); #177836=EDGE_CURVE('',#146494,#146495,#61979,.T.); #177837=EDGE_CURVE('',#146495,#146495,#138671,.T.); #177838=EDGE_CURVE('',#146496,#146496,#138672,.T.); #177839=EDGE_CURVE('',#146496,#146497,#61980,.T.); #177840=EDGE_CURVE('',#146497,#146497,#138673,.T.); #177841=EDGE_CURVE('',#146498,#146498,#138674,.T.); #177842=EDGE_CURVE('',#146498,#146499,#61981,.T.); #177843=EDGE_CURVE('',#146499,#146499,#138675,.T.); #177844=EDGE_CURVE('',#146500,#146500,#138676,.T.); #177845=EDGE_CURVE('',#146500,#146501,#61982,.T.); #177846=EDGE_CURVE('',#146501,#146501,#138677,.T.); #177847=EDGE_CURVE('',#146502,#146502,#138678,.T.); #177848=EDGE_CURVE('',#146502,#146503,#61983,.T.); #177849=EDGE_CURVE('',#146503,#146503,#138679,.T.); #177850=EDGE_CURVE('',#146504,#146504,#138680,.T.); #177851=EDGE_CURVE('',#146504,#146505,#61984,.T.); #177852=EDGE_CURVE('',#146505,#146505,#138681,.T.); #177853=EDGE_CURVE('',#146506,#146506,#138682,.T.); #177854=EDGE_CURVE('',#146506,#146507,#61985,.T.); #177855=EDGE_CURVE('',#146507,#146507,#138683,.T.); #177856=EDGE_CURVE('',#146508,#146508,#138684,.T.); #177857=EDGE_CURVE('',#146508,#146509,#61986,.T.); #177858=EDGE_CURVE('',#146509,#146509,#138685,.T.); #177859=EDGE_CURVE('',#146510,#146510,#138686,.T.); #177860=EDGE_CURVE('',#146510,#146511,#61987,.T.); #177861=EDGE_CURVE('',#146511,#146511,#138687,.T.); #177862=EDGE_CURVE('',#146512,#146512,#138688,.T.); #177863=EDGE_CURVE('',#146512,#146513,#61988,.T.); #177864=EDGE_CURVE('',#146513,#146513,#138689,.T.); #177865=EDGE_CURVE('',#146514,#146514,#138690,.T.); #177866=EDGE_CURVE('',#146514,#146515,#61989,.T.); #177867=EDGE_CURVE('',#146515,#146515,#138691,.T.); #177868=EDGE_CURVE('',#146516,#146516,#138692,.T.); #177869=EDGE_CURVE('',#146516,#146517,#61990,.T.); #177870=EDGE_CURVE('',#146517,#146517,#138693,.T.); #177871=EDGE_CURVE('',#146518,#146518,#138694,.T.); #177872=EDGE_CURVE('',#146518,#146519,#61991,.T.); #177873=EDGE_CURVE('',#146519,#146519,#138695,.T.); #177874=EDGE_CURVE('',#146520,#146520,#138696,.T.); #177875=EDGE_CURVE('',#146520,#146521,#61992,.T.); #177876=EDGE_CURVE('',#146521,#146521,#138697,.T.); #177877=EDGE_CURVE('',#146522,#146522,#138698,.T.); #177878=EDGE_CURVE('',#146522,#146523,#61993,.T.); #177879=EDGE_CURVE('',#146523,#146523,#138699,.T.); #177880=EDGE_CURVE('',#146524,#146524,#138700,.T.); #177881=EDGE_CURVE('',#146524,#146525,#61994,.T.); #177882=EDGE_CURVE('',#146525,#146525,#138701,.T.); #177883=EDGE_CURVE('',#146526,#146526,#138702,.T.); #177884=EDGE_CURVE('',#146526,#146527,#61995,.T.); #177885=EDGE_CURVE('',#146527,#146527,#138703,.T.); #177886=EDGE_CURVE('',#146528,#146528,#138704,.T.); #177887=EDGE_CURVE('',#146528,#146529,#61996,.T.); #177888=EDGE_CURVE('',#146529,#146529,#138705,.T.); #177889=EDGE_CURVE('',#146530,#146530,#138706,.T.); #177890=EDGE_CURVE('',#146530,#146531,#61997,.T.); #177891=EDGE_CURVE('',#146531,#146531,#138707,.T.); #177892=EDGE_CURVE('',#146532,#146532,#138708,.T.); #177893=EDGE_CURVE('',#146532,#146533,#61998,.T.); #177894=EDGE_CURVE('',#146533,#146533,#138709,.T.); #177895=EDGE_CURVE('',#146534,#146534,#138710,.T.); #177896=EDGE_CURVE('',#146534,#146535,#61999,.T.); #177897=EDGE_CURVE('',#146535,#146535,#138711,.T.); #177898=EDGE_CURVE('',#146536,#146536,#138712,.T.); #177899=EDGE_CURVE('',#146536,#146537,#62000,.T.); #177900=EDGE_CURVE('',#146537,#146537,#138713,.T.); #177901=EDGE_CURVE('',#146538,#146538,#138714,.T.); #177902=EDGE_CURVE('',#146538,#146539,#62001,.T.); #177903=EDGE_CURVE('',#146539,#146539,#138715,.T.); #177904=EDGE_CURVE('',#146540,#146540,#138716,.T.); #177905=EDGE_CURVE('',#146540,#146541,#62002,.T.); #177906=EDGE_CURVE('',#146541,#146541,#138717,.T.); #177907=EDGE_CURVE('',#146542,#146542,#138718,.T.); #177908=EDGE_CURVE('',#146542,#146543,#62003,.T.); #177909=EDGE_CURVE('',#146543,#146543,#138719,.T.); #177910=EDGE_CURVE('',#146544,#146544,#138720,.T.); #177911=EDGE_CURVE('',#146544,#146545,#62004,.T.); #177912=EDGE_CURVE('',#146545,#146545,#138721,.T.); #177913=EDGE_CURVE('',#146546,#146546,#138722,.T.); #177914=EDGE_CURVE('',#146546,#146547,#62005,.T.); #177915=EDGE_CURVE('',#146547,#146547,#138723,.T.); #177916=EDGE_CURVE('',#146548,#146548,#138724,.T.); #177917=EDGE_CURVE('',#146548,#146549,#62006,.T.); #177918=EDGE_CURVE('',#146549,#146549,#138725,.T.); #177919=EDGE_CURVE('',#146550,#146550,#138726,.T.); #177920=EDGE_CURVE('',#146550,#146551,#62007,.T.); #177921=EDGE_CURVE('',#146551,#146551,#138727,.T.); #177922=EDGE_CURVE('',#146552,#146552,#138728,.T.); #177923=EDGE_CURVE('',#146552,#146553,#62008,.T.); #177924=EDGE_CURVE('',#146553,#146553,#138729,.T.); #177925=EDGE_CURVE('',#146554,#146554,#138730,.T.); #177926=EDGE_CURVE('',#146554,#146555,#62009,.T.); #177927=EDGE_CURVE('',#146555,#146555,#138731,.T.); #177928=EDGE_CURVE('',#146556,#146556,#138732,.T.); #177929=EDGE_CURVE('',#146556,#146557,#62010,.T.); #177930=EDGE_CURVE('',#146557,#146557,#138733,.T.); #177931=EDGE_CURVE('',#146558,#146558,#138734,.T.); #177932=EDGE_CURVE('',#146558,#146559,#62011,.T.); #177933=EDGE_CURVE('',#146559,#146559,#138735,.T.); #177934=EDGE_CURVE('',#146560,#146560,#138736,.T.); #177935=EDGE_CURVE('',#146560,#146561,#62012,.T.); #177936=EDGE_CURVE('',#146561,#146561,#138737,.T.); #177937=EDGE_CURVE('',#146562,#146562,#138738,.T.); #177938=EDGE_CURVE('',#146562,#146563,#62013,.T.); #177939=EDGE_CURVE('',#146563,#146563,#138739,.T.); #177940=EDGE_CURVE('',#146564,#146564,#138740,.T.); #177941=EDGE_CURVE('',#146564,#146565,#62014,.T.); #177942=EDGE_CURVE('',#146565,#146565,#138741,.T.); #177943=EDGE_CURVE('',#146566,#146566,#138742,.T.); #177944=EDGE_CURVE('',#146566,#146567,#62015,.T.); #177945=EDGE_CURVE('',#146567,#146567,#138743,.T.); #177946=EDGE_CURVE('',#146568,#146568,#138744,.T.); #177947=EDGE_CURVE('',#146568,#146569,#62016,.T.); #177948=EDGE_CURVE('',#146569,#146569,#138745,.T.); #177949=EDGE_CURVE('',#146570,#146570,#138746,.T.); #177950=EDGE_CURVE('',#146570,#146571,#62017,.T.); #177951=EDGE_CURVE('',#146571,#146571,#138747,.T.); #177952=EDGE_CURVE('',#146572,#146572,#138748,.T.); #177953=EDGE_CURVE('',#146572,#146573,#62018,.T.); #177954=EDGE_CURVE('',#146573,#146573,#138749,.T.); #177955=EDGE_CURVE('',#146574,#146574,#138750,.T.); #177956=EDGE_CURVE('',#146574,#146575,#62019,.T.); #177957=EDGE_CURVE('',#146575,#146575,#138751,.T.); #177958=EDGE_CURVE('',#146576,#146576,#138752,.T.); #177959=EDGE_CURVE('',#146576,#146577,#62020,.T.); #177960=EDGE_CURVE('',#146577,#146577,#138753,.T.); #177961=EDGE_CURVE('',#146578,#146578,#138754,.T.); #177962=EDGE_CURVE('',#146578,#146579,#62021,.T.); #177963=EDGE_CURVE('',#146579,#146579,#138755,.T.); #177964=EDGE_CURVE('',#146580,#146580,#138756,.T.); #177965=EDGE_CURVE('',#146580,#146581,#62022,.T.); #177966=EDGE_CURVE('',#146581,#146581,#138757,.T.); #177967=EDGE_CURVE('',#146582,#146582,#138758,.T.); #177968=EDGE_CURVE('',#146582,#146583,#62023,.T.); #177969=EDGE_CURVE('',#146583,#146583,#138759,.T.); #177970=EDGE_CURVE('',#146584,#146584,#138760,.T.); #177971=EDGE_CURVE('',#146584,#146585,#62024,.T.); #177972=EDGE_CURVE('',#146585,#146585,#138761,.T.); #177973=EDGE_CURVE('',#146586,#146586,#138762,.T.); #177974=EDGE_CURVE('',#146586,#146587,#62025,.T.); #177975=EDGE_CURVE('',#146587,#146587,#138763,.T.); #177976=EDGE_CURVE('',#146588,#146588,#138764,.T.); #177977=EDGE_CURVE('',#146588,#146589,#62026,.T.); #177978=EDGE_CURVE('',#146589,#146589,#138765,.T.); #177979=EDGE_CURVE('',#146590,#146590,#138766,.T.); #177980=EDGE_CURVE('',#146590,#146591,#62027,.T.); #177981=EDGE_CURVE('',#146591,#146591,#138767,.T.); #177982=EDGE_CURVE('',#146592,#146592,#138768,.T.); #177983=EDGE_CURVE('',#146592,#146593,#62028,.T.); #177984=EDGE_CURVE('',#146593,#146593,#138769,.T.); #177985=EDGE_CURVE('',#146594,#146594,#138770,.T.); #177986=EDGE_CURVE('',#146594,#146595,#62029,.T.); #177987=EDGE_CURVE('',#146595,#146595,#138771,.T.); #177988=EDGE_CURVE('',#146596,#146597,#62030,.T.); #177989=EDGE_CURVE('',#146597,#146598,#62031,.T.); #177990=EDGE_CURVE('',#146599,#146598,#62032,.T.); #177991=EDGE_CURVE('',#146596,#146599,#62033,.T.); #177992=EDGE_CURVE('',#146600,#146596,#138772,.T.); #177993=EDGE_CURVE('',#146601,#146599,#138773,.T.); #177994=EDGE_CURVE('',#146600,#146601,#62034,.T.); #177995=EDGE_CURVE('',#146597,#146600,#138774,.T.); #177996=EDGE_CURVE('',#146598,#146601,#138775,.T.); #177997=EDGE_CURVE('',#146602,#146603,#62035,.T.); #177998=EDGE_CURVE('',#146603,#146604,#62036,.T.); #177999=EDGE_CURVE('',#146605,#146604,#62037,.T.); #178000=EDGE_CURVE('',#146602,#146605,#62038,.T.); #178001=EDGE_CURVE('',#146606,#146602,#62039,.T.); #178002=EDGE_CURVE('',#146607,#146605,#62040,.T.); #178003=EDGE_CURVE('',#146606,#146607,#62041,.T.); #178004=EDGE_CURVE('',#146608,#146606,#62042,.T.); #178005=EDGE_CURVE('',#146609,#146607,#62043,.T.); #178006=EDGE_CURVE('',#146608,#146609,#62044,.T.); #178007=EDGE_CURVE('',#146603,#146608,#62045,.T.); #178008=EDGE_CURVE('',#146604,#146609,#62046,.T.); #178009=EDGE_CURVE('',#146610,#146611,#62047,.T.); #178010=EDGE_CURVE('',#146611,#146612,#62048,.T.); #178011=EDGE_CURVE('',#146613,#146612,#62049,.T.); #178012=EDGE_CURVE('',#146610,#146613,#62050,.T.); #178013=EDGE_CURVE('',#146614,#146610,#62051,.T.); #178014=EDGE_CURVE('',#146615,#146613,#62052,.T.); #178015=EDGE_CURVE('',#146614,#146615,#62053,.T.); #178016=EDGE_CURVE('',#146616,#146614,#62054,.T.); #178017=EDGE_CURVE('',#146617,#146615,#62055,.T.); #178018=EDGE_CURVE('',#146616,#146617,#62056,.T.); #178019=EDGE_CURVE('',#146611,#146616,#62057,.T.); #178020=EDGE_CURVE('',#146612,#146617,#62058,.T.); #178021=EDGE_CURVE('',#146618,#146619,#62059,.T.); #178022=EDGE_CURVE('',#146619,#146620,#62060,.T.); #178023=EDGE_CURVE('',#146621,#146620,#62061,.T.); #178024=EDGE_CURVE('',#146618,#146621,#62062,.T.); #178025=EDGE_CURVE('',#146622,#146618,#62063,.T.); #178026=EDGE_CURVE('',#146623,#146621,#62064,.T.); #178027=EDGE_CURVE('',#146622,#146623,#62065,.T.); #178028=EDGE_CURVE('',#146624,#146622,#62066,.T.); #178029=EDGE_CURVE('',#146625,#146623,#62067,.T.); #178030=EDGE_CURVE('',#146624,#146625,#62068,.T.); #178031=EDGE_CURVE('',#146619,#146624,#62069,.T.); #178032=EDGE_CURVE('',#146620,#146625,#62070,.T.); #178033=EDGE_CURVE('',#146626,#146627,#62071,.T.); #178034=EDGE_CURVE('',#146627,#146628,#62072,.T.); #178035=EDGE_CURVE('',#146629,#146628,#62073,.T.); #178036=EDGE_CURVE('',#146626,#146629,#62074,.T.); #178037=EDGE_CURVE('',#146630,#146626,#62075,.T.); #178038=EDGE_CURVE('',#146631,#146629,#62076,.T.); #178039=EDGE_CURVE('',#146630,#146631,#62077,.T.); #178040=EDGE_CURVE('',#146632,#146630,#62078,.T.); #178041=EDGE_CURVE('',#146633,#146631,#62079,.T.); #178042=EDGE_CURVE('',#146632,#146633,#62080,.T.); #178043=EDGE_CURVE('',#146627,#146632,#62081,.T.); #178044=EDGE_CURVE('',#146628,#146633,#62082,.T.); #178045=EDGE_CURVE('',#146634,#146635,#62083,.T.); #178046=EDGE_CURVE('',#146635,#146636,#62084,.T.); #178047=EDGE_CURVE('',#146637,#146636,#62085,.T.); #178048=EDGE_CURVE('',#146634,#146637,#62086,.T.); #178049=EDGE_CURVE('',#146638,#146634,#62087,.T.); #178050=EDGE_CURVE('',#146639,#146637,#62088,.T.); #178051=EDGE_CURVE('',#146638,#146639,#62089,.T.); #178052=EDGE_CURVE('',#146640,#146638,#62090,.T.); #178053=EDGE_CURVE('',#146641,#146639,#62091,.T.); #178054=EDGE_CURVE('',#146640,#146641,#62092,.T.); #178055=EDGE_CURVE('',#146635,#146640,#62093,.T.); #178056=EDGE_CURVE('',#146636,#146641,#62094,.T.); #178057=EDGE_CURVE('',#146642,#146643,#62095,.T.); #178058=EDGE_CURVE('',#146643,#146644,#62096,.T.); #178059=EDGE_CURVE('',#146645,#146644,#62097,.T.); #178060=EDGE_CURVE('',#146642,#146645,#62098,.T.); #178061=EDGE_CURVE('',#146646,#146642,#62099,.T.); #178062=EDGE_CURVE('',#146647,#146645,#62100,.T.); #178063=EDGE_CURVE('',#146646,#146647,#62101,.T.); #178064=EDGE_CURVE('',#146648,#146646,#62102,.T.); #178065=EDGE_CURVE('',#146649,#146647,#62103,.T.); #178066=EDGE_CURVE('',#146648,#146649,#62104,.T.); #178067=EDGE_CURVE('',#146643,#146648,#62105,.T.); #178068=EDGE_CURVE('',#146644,#146649,#62106,.T.); #178069=EDGE_CURVE('',#146650,#146651,#62107,.T.); #178070=EDGE_CURVE('',#146651,#146652,#62108,.T.); #178071=EDGE_CURVE('',#146653,#146652,#62109,.T.); #178072=EDGE_CURVE('',#146650,#146653,#62110,.T.); #178073=EDGE_CURVE('',#146654,#146650,#62111,.T.); #178074=EDGE_CURVE('',#146655,#146653,#62112,.T.); #178075=EDGE_CURVE('',#146654,#146655,#62113,.T.); #178076=EDGE_CURVE('',#146656,#146654,#62114,.T.); #178077=EDGE_CURVE('',#146657,#146655,#62115,.T.); #178078=EDGE_CURVE('',#146656,#146657,#62116,.T.); #178079=EDGE_CURVE('',#146651,#146656,#62117,.T.); #178080=EDGE_CURVE('',#146652,#146657,#62118,.T.); #178081=EDGE_CURVE('',#146658,#146659,#62119,.T.); #178082=EDGE_CURVE('',#146659,#146660,#62120,.T.); #178083=EDGE_CURVE('',#146661,#146660,#62121,.T.); #178084=EDGE_CURVE('',#146658,#146661,#62122,.T.); #178085=EDGE_CURVE('',#146662,#146658,#62123,.T.); #178086=EDGE_CURVE('',#146663,#146661,#62124,.T.); #178087=EDGE_CURVE('',#146662,#146663,#62125,.T.); #178088=EDGE_CURVE('',#146664,#146662,#62126,.T.); #178089=EDGE_CURVE('',#146665,#146663,#62127,.T.); #178090=EDGE_CURVE('',#146664,#146665,#62128,.T.); #178091=EDGE_CURVE('',#146659,#146664,#62129,.T.); #178092=EDGE_CURVE('',#146660,#146665,#62130,.T.); #178093=EDGE_CURVE('',#146666,#146667,#62131,.T.); #178094=EDGE_CURVE('',#146667,#146668,#62132,.T.); #178095=EDGE_CURVE('',#146669,#146668,#62133,.T.); #178096=EDGE_CURVE('',#146666,#146669,#62134,.T.); #178097=EDGE_CURVE('',#146670,#146666,#62135,.T.); #178098=EDGE_CURVE('',#146671,#146669,#62136,.T.); #178099=EDGE_CURVE('',#146670,#146671,#62137,.T.); #178100=EDGE_CURVE('',#146672,#146670,#62138,.T.); #178101=EDGE_CURVE('',#146673,#146671,#62139,.T.); #178102=EDGE_CURVE('',#146672,#146673,#62140,.T.); #178103=EDGE_CURVE('',#146667,#146672,#62141,.T.); #178104=EDGE_CURVE('',#146668,#146673,#62142,.T.); #178105=EDGE_CURVE('',#146674,#146675,#62143,.T.); #178106=EDGE_CURVE('',#146675,#146676,#62144,.T.); #178107=EDGE_CURVE('',#146677,#146676,#62145,.T.); #178108=EDGE_CURVE('',#146674,#146677,#62146,.T.); #178109=EDGE_CURVE('',#146678,#146674,#62147,.T.); #178110=EDGE_CURVE('',#146679,#146677,#62148,.T.); #178111=EDGE_CURVE('',#146678,#146679,#62149,.T.); #178112=EDGE_CURVE('',#146680,#146678,#62150,.T.); #178113=EDGE_CURVE('',#146681,#146679,#62151,.T.); #178114=EDGE_CURVE('',#146680,#146681,#62152,.T.); #178115=EDGE_CURVE('',#146675,#146680,#62153,.T.); #178116=EDGE_CURVE('',#146676,#146681,#62154,.T.); #178117=EDGE_CURVE('',#146682,#146683,#62155,.T.); #178118=EDGE_CURVE('',#146683,#146684,#62156,.T.); #178119=EDGE_CURVE('',#146685,#146684,#62157,.T.); #178120=EDGE_CURVE('',#146682,#146685,#62158,.T.); #178121=EDGE_CURVE('',#146686,#146682,#62159,.T.); #178122=EDGE_CURVE('',#146687,#146685,#62160,.T.); #178123=EDGE_CURVE('',#146686,#146687,#62161,.T.); #178124=EDGE_CURVE('',#146688,#146686,#62162,.T.); #178125=EDGE_CURVE('',#146689,#146687,#62163,.T.); #178126=EDGE_CURVE('',#146688,#146689,#62164,.T.); #178127=EDGE_CURVE('',#146683,#146688,#62165,.T.); #178128=EDGE_CURVE('',#146684,#146689,#62166,.T.); #178129=EDGE_CURVE('',#146690,#146690,#138776,.T.); #178130=EDGE_CURVE('',#146690,#146691,#62167,.T.); #178131=EDGE_CURVE('',#146691,#146691,#138777,.T.); #178132=EDGE_CURVE('',#146692,#146693,#62168,.T.); #178133=EDGE_CURVE('',#146693,#146694,#62169,.T.); #178134=EDGE_CURVE('',#146695,#146694,#62170,.T.); #178135=EDGE_CURVE('',#146692,#146695,#62171,.T.); #178136=EDGE_CURVE('',#146696,#146692,#138778,.T.); #178137=EDGE_CURVE('',#146697,#146695,#138779,.T.); #178138=EDGE_CURVE('',#146696,#146697,#62172,.T.); #178139=EDGE_CURVE('',#146698,#146696,#62173,.T.); #178140=EDGE_CURVE('',#146699,#146697,#62174,.T.); #178141=EDGE_CURVE('',#146698,#146699,#62175,.T.); #178142=EDGE_CURVE('',#146700,#146698,#138780,.T.); #178143=EDGE_CURVE('',#146701,#146699,#138781,.T.); #178144=EDGE_CURVE('',#146700,#146701,#62176,.T.); #178145=EDGE_CURVE('',#146702,#146700,#62177,.T.); #178146=EDGE_CURVE('',#146703,#146701,#62178,.T.); #178147=EDGE_CURVE('',#146702,#146703,#62179,.T.); #178148=EDGE_CURVE('',#146704,#146702,#62180,.T.); #178149=EDGE_CURVE('',#146705,#146703,#62181,.T.); #178150=EDGE_CURVE('',#146704,#146705,#62182,.T.); #178151=EDGE_CURVE('',#146706,#146704,#62183,.T.); #178152=EDGE_CURVE('',#146707,#146705,#62184,.T.); #178153=EDGE_CURVE('',#146706,#146707,#62185,.T.); #178154=EDGE_CURVE('',#146708,#146706,#62186,.T.); #178155=EDGE_CURVE('',#146709,#146707,#62187,.T.); #178156=EDGE_CURVE('',#146708,#146709,#62188,.T.); #178157=EDGE_CURVE('',#146710,#146708,#62189,.T.); #178158=EDGE_CURVE('',#146711,#146709,#62190,.T.); #178159=EDGE_CURVE('',#146710,#146711,#62191,.T.); #178160=EDGE_CURVE('',#146712,#146710,#62192,.T.); #178161=EDGE_CURVE('',#146713,#146711,#62193,.T.); #178162=EDGE_CURVE('',#146712,#146713,#62194,.T.); #178163=EDGE_CURVE('',#146714,#146712,#62195,.T.); #178164=EDGE_CURVE('',#146715,#146713,#62196,.T.); #178165=EDGE_CURVE('',#146714,#146715,#62197,.T.); #178166=EDGE_CURVE('',#146716,#146714,#138782,.T.); #178167=EDGE_CURVE('',#146717,#146715,#138783,.T.); #178168=EDGE_CURVE('',#146716,#146717,#62198,.T.); #178169=EDGE_CURVE('',#146693,#146716,#138784,.T.); #178170=EDGE_CURVE('',#146694,#146717,#138785,.T.); #178171=EDGE_CURVE('',#146718,#146718,#138786,.T.); #178172=EDGE_CURVE('',#146718,#146719,#62199,.T.); #178173=EDGE_CURVE('',#146719,#146719,#138787,.T.); #178174=EDGE_CURVE('',#146720,#146721,#62200,.T.); #178175=EDGE_CURVE('',#146721,#146722,#62201,.T.); #178176=EDGE_CURVE('',#146723,#146722,#62202,.T.); #178177=EDGE_CURVE('',#146720,#146723,#62203,.T.); #178178=EDGE_CURVE('',#146724,#146720,#138788,.T.); #178179=EDGE_CURVE('',#146725,#146723,#138789,.T.); #178180=EDGE_CURVE('',#146724,#146725,#62204,.T.); #178181=EDGE_CURVE('',#146726,#146724,#62205,.T.); #178182=EDGE_CURVE('',#146727,#146725,#62206,.T.); #178183=EDGE_CURVE('',#146726,#146727,#62207,.T.); #178184=EDGE_CURVE('',#146728,#146726,#62208,.T.); #178185=EDGE_CURVE('',#146729,#146727,#62209,.T.); #178186=EDGE_CURVE('',#146728,#146729,#62210,.T.); #178187=EDGE_CURVE('',#146730,#146728,#62211,.T.); #178188=EDGE_CURVE('',#146731,#146729,#62212,.T.); #178189=EDGE_CURVE('',#146730,#146731,#62213,.T.); #178190=EDGE_CURVE('',#146732,#146730,#62214,.T.); #178191=EDGE_CURVE('',#146733,#146731,#62215,.T.); #178192=EDGE_CURVE('',#146732,#146733,#62216,.T.); #178193=EDGE_CURVE('',#146734,#146732,#62217,.T.); #178194=EDGE_CURVE('',#146735,#146733,#62218,.T.); #178195=EDGE_CURVE('',#146734,#146735,#62219,.T.); #178196=EDGE_CURVE('',#146736,#146734,#62220,.T.); #178197=EDGE_CURVE('',#146737,#146735,#62221,.T.); #178198=EDGE_CURVE('',#146736,#146737,#62222,.T.); #178199=EDGE_CURVE('',#146738,#146736,#62223,.T.); #178200=EDGE_CURVE('',#146739,#146737,#62224,.T.); #178201=EDGE_CURVE('',#146738,#146739,#62225,.T.); #178202=EDGE_CURVE('',#146721,#146738,#138790,.T.); #178203=EDGE_CURVE('',#146722,#146739,#138791,.T.); #178204=EDGE_CURVE('',#146740,#146741,#62226,.T.); #178205=EDGE_CURVE('',#146741,#146742,#62227,.T.); #178206=EDGE_CURVE('',#146743,#146742,#62228,.T.); #178207=EDGE_CURVE('',#146740,#146743,#62229,.T.); #178208=EDGE_CURVE('',#146744,#146740,#62230,.T.); #178209=EDGE_CURVE('',#146745,#146743,#62231,.T.); #178210=EDGE_CURVE('',#146744,#146745,#62232,.T.); #178211=EDGE_CURVE('',#146746,#146744,#62233,.T.); #178212=EDGE_CURVE('',#146747,#146745,#62234,.T.); #178213=EDGE_CURVE('',#146746,#146747,#62235,.T.); #178214=EDGE_CURVE('',#146748,#146746,#62236,.T.); #178215=EDGE_CURVE('',#146749,#146747,#62237,.T.); #178216=EDGE_CURVE('',#146748,#146749,#62238,.T.); #178217=EDGE_CURVE('',#146750,#146748,#62239,.T.); #178218=EDGE_CURVE('',#146751,#146749,#62240,.T.); #178219=EDGE_CURVE('',#146750,#146751,#62241,.T.); #178220=EDGE_CURVE('',#146752,#146750,#62242,.T.); #178221=EDGE_CURVE('',#146753,#146751,#62243,.T.); #178222=EDGE_CURVE('',#146752,#146753,#62244,.T.); #178223=EDGE_CURVE('',#146754,#146752,#62245,.T.); #178224=EDGE_CURVE('',#146755,#146753,#62246,.T.); #178225=EDGE_CURVE('',#146754,#146755,#62247,.T.); #178226=EDGE_CURVE('',#146756,#146754,#62248,.T.); #178227=EDGE_CURVE('',#146757,#146755,#62249,.T.); #178228=EDGE_CURVE('',#146756,#146757,#62250,.T.); #178229=EDGE_CURVE('',#146758,#146756,#138792,.T.); #178230=EDGE_CURVE('',#146759,#146757,#138793,.T.); #178231=EDGE_CURVE('',#146758,#146759,#62251,.T.); #178232=EDGE_CURVE('',#146760,#146758,#62252,.T.); #178233=EDGE_CURVE('',#146761,#146759,#62253,.T.); #178234=EDGE_CURVE('',#146760,#146761,#62254,.T.); #178235=EDGE_CURVE('',#146762,#146760,#138794,.T.); #178236=EDGE_CURVE('',#146763,#146761,#138795,.T.); #178237=EDGE_CURVE('',#146762,#146763,#62255,.T.); #178238=EDGE_CURVE('',#146764,#146762,#62256,.T.); #178239=EDGE_CURVE('',#146765,#146763,#62257,.T.); #178240=EDGE_CURVE('',#146764,#146765,#62258,.T.); #178241=EDGE_CURVE('',#146766,#146764,#62259,.T.); #178242=EDGE_CURVE('',#146767,#146765,#62260,.T.); #178243=EDGE_CURVE('',#146766,#146767,#62261,.T.); #178244=EDGE_CURVE('',#146768,#146766,#62262,.T.); #178245=EDGE_CURVE('',#146769,#146767,#62263,.T.); #178246=EDGE_CURVE('',#146768,#146769,#62264,.T.); #178247=EDGE_CURVE('',#146770,#146768,#62265,.T.); #178248=EDGE_CURVE('',#146771,#146769,#62266,.T.); #178249=EDGE_CURVE('',#146770,#146771,#62267,.T.); #178250=EDGE_CURVE('',#146772,#146770,#62268,.T.); #178251=EDGE_CURVE('',#146773,#146771,#62269,.T.); #178252=EDGE_CURVE('',#146772,#146773,#62270,.T.); #178253=EDGE_CURVE('',#146774,#146772,#62271,.T.); #178254=EDGE_CURVE('',#146775,#146773,#62272,.T.); #178255=EDGE_CURVE('',#146774,#146775,#62273,.T.); #178256=EDGE_CURVE('',#146776,#146774,#62274,.T.); #178257=EDGE_CURVE('',#146777,#146775,#62275,.T.); #178258=EDGE_CURVE('',#146776,#146777,#62276,.T.); #178259=EDGE_CURVE('',#146778,#146776,#138796,.T.); #178260=EDGE_CURVE('',#146779,#146777,#138797,.T.); #178261=EDGE_CURVE('',#146778,#146779,#62277,.T.); #178262=EDGE_CURVE('',#146741,#146778,#62278,.T.); #178263=EDGE_CURVE('',#146742,#146779,#62279,.T.); #178264=EDGE_CURVE('',#146780,#146781,#62280,.T.); #178265=EDGE_CURVE('',#146781,#146782,#62281,.T.); #178266=EDGE_CURVE('',#146783,#146782,#62282,.T.); #178267=EDGE_CURVE('',#146780,#146783,#62283,.T.); #178268=EDGE_CURVE('',#146784,#146780,#62284,.T.); #178269=EDGE_CURVE('',#146785,#146783,#62285,.T.); #178270=EDGE_CURVE('',#146784,#146785,#62286,.T.); #178271=EDGE_CURVE('',#146786,#146784,#62287,.T.); #178272=EDGE_CURVE('',#146787,#146785,#62288,.T.); #178273=EDGE_CURVE('',#146786,#146787,#62289,.T.); #178274=EDGE_CURVE('',#146788,#146786,#62290,.T.); #178275=EDGE_CURVE('',#146789,#146787,#62291,.T.); #178276=EDGE_CURVE('',#146788,#146789,#62292,.T.); #178277=EDGE_CURVE('',#146790,#146788,#62293,.T.); #178278=EDGE_CURVE('',#146791,#146789,#62294,.T.); #178279=EDGE_CURVE('',#146790,#146791,#62295,.T.); #178280=EDGE_CURVE('',#146792,#146790,#138798,.T.); #178281=EDGE_CURVE('',#146793,#146791,#138799,.T.); #178282=EDGE_CURVE('',#146792,#146793,#62296,.T.); #178283=EDGE_CURVE('',#146794,#146792,#62297,.T.); #178284=EDGE_CURVE('',#146795,#146793,#62298,.T.); #178285=EDGE_CURVE('',#146794,#146795,#62299,.T.); #178286=EDGE_CURVE('',#146796,#146794,#62300,.T.); #178287=EDGE_CURVE('',#146797,#146795,#62301,.T.); #178288=EDGE_CURVE('',#146796,#146797,#62302,.T.); #178289=EDGE_CURVE('',#146798,#146796,#62303,.T.); #178290=EDGE_CURVE('',#146799,#146797,#62304,.T.); #178291=EDGE_CURVE('',#146798,#146799,#62305,.T.); #178292=EDGE_CURVE('',#146800,#146798,#62306,.T.); #178293=EDGE_CURVE('',#146801,#146799,#62307,.T.); #178294=EDGE_CURVE('',#146800,#146801,#62308,.T.); #178295=EDGE_CURVE('',#146802,#146800,#62309,.T.); #178296=EDGE_CURVE('',#146803,#146801,#62310,.T.); #178297=EDGE_CURVE('',#146802,#146803,#62311,.T.); #178298=EDGE_CURVE('',#146804,#146802,#62312,.T.); #178299=EDGE_CURVE('',#146805,#146803,#62313,.T.); #178300=EDGE_CURVE('',#146804,#146805,#62314,.T.); #178301=EDGE_CURVE('',#146806,#146804,#62315,.T.); #178302=EDGE_CURVE('',#146807,#146805,#62316,.T.); #178303=EDGE_CURVE('',#146806,#146807,#62317,.T.); #178304=EDGE_CURVE('',#146808,#146806,#138800,.T.); #178305=EDGE_CURVE('',#146809,#146807,#138801,.T.); #178306=EDGE_CURVE('',#146808,#146809,#62318,.T.); #178307=EDGE_CURVE('',#146810,#146808,#62319,.T.); #178308=EDGE_CURVE('',#146811,#146809,#62320,.T.); #178309=EDGE_CURVE('',#146810,#146811,#62321,.T.); #178310=EDGE_CURVE('',#146812,#146810,#62322,.T.); #178311=EDGE_CURVE('',#146813,#146811,#62323,.T.); #178312=EDGE_CURVE('',#146812,#146813,#62324,.T.); #178313=EDGE_CURVE('',#146814,#146812,#138802,.T.); #178314=EDGE_CURVE('',#146815,#146813,#138803,.T.); #178315=EDGE_CURVE('',#146814,#146815,#62325,.T.); #178316=EDGE_CURVE('',#146816,#146814,#62326,.T.); #178317=EDGE_CURVE('',#146817,#146815,#62327,.T.); #178318=EDGE_CURVE('',#146816,#146817,#62328,.T.); #178319=EDGE_CURVE('',#146818,#146816,#62329,.T.); #178320=EDGE_CURVE('',#146819,#146817,#62330,.T.); #178321=EDGE_CURVE('',#146818,#146819,#62331,.T.); #178322=EDGE_CURVE('',#146820,#146818,#62332,.T.); #178323=EDGE_CURVE('',#146821,#146819,#62333,.T.); #178324=EDGE_CURVE('',#146820,#146821,#62334,.T.); #178325=EDGE_CURVE('',#146822,#146820,#62335,.T.); #178326=EDGE_CURVE('',#146823,#146821,#62336,.T.); #178327=EDGE_CURVE('',#146822,#146823,#62337,.T.); #178328=EDGE_CURVE('',#146824,#146822,#62338,.T.); #178329=EDGE_CURVE('',#146825,#146823,#62339,.T.); #178330=EDGE_CURVE('',#146824,#146825,#62340,.T.); #178331=EDGE_CURVE('',#146826,#146824,#62341,.T.); #178332=EDGE_CURVE('',#146827,#146825,#62342,.T.); #178333=EDGE_CURVE('',#146826,#146827,#62343,.T.); #178334=EDGE_CURVE('',#146828,#146826,#62344,.T.); #178335=EDGE_CURVE('',#146829,#146827,#62345,.T.); #178336=EDGE_CURVE('',#146828,#146829,#62346,.T.); #178337=EDGE_CURVE('',#146830,#146828,#138804,.T.); #178338=EDGE_CURVE('',#146831,#146829,#138805,.T.); #178339=EDGE_CURVE('',#146830,#146831,#62347,.T.); #178340=EDGE_CURVE('',#146832,#146830,#62348,.T.); #178341=EDGE_CURVE('',#146833,#146831,#62349,.T.); #178342=EDGE_CURVE('',#146832,#146833,#62350,.T.); #178343=EDGE_CURVE('',#146834,#146832,#62351,.T.); #178344=EDGE_CURVE('',#146835,#146833,#62352,.T.); #178345=EDGE_CURVE('',#146834,#146835,#62353,.T.); #178346=EDGE_CURVE('',#146836,#146834,#62354,.T.); #178347=EDGE_CURVE('',#146837,#146835,#62355,.T.); #178348=EDGE_CURVE('',#146836,#146837,#62356,.T.); #178349=EDGE_CURVE('',#146838,#146836,#62357,.T.); #178350=EDGE_CURVE('',#146839,#146837,#62358,.T.); #178351=EDGE_CURVE('',#146838,#146839,#62359,.T.); #178352=EDGE_CURVE('',#146840,#146838,#62360,.T.); #178353=EDGE_CURVE('',#146841,#146839,#62361,.T.); #178354=EDGE_CURVE('',#146840,#146841,#62362,.T.); #178355=EDGE_CURVE('',#146842,#146840,#138806,.T.); #178356=EDGE_CURVE('',#146843,#146841,#138807,.T.); #178357=EDGE_CURVE('',#146842,#146843,#62363,.T.); #178358=EDGE_CURVE('',#146844,#146842,#62364,.T.); #178359=EDGE_CURVE('',#146845,#146843,#62365,.T.); #178360=EDGE_CURVE('',#146844,#146845,#62366,.T.); #178361=EDGE_CURVE('',#146846,#146844,#138808,.T.); #178362=EDGE_CURVE('',#146847,#146845,#138809,.T.); #178363=EDGE_CURVE('',#146846,#146847,#62367,.T.); #178364=EDGE_CURVE('',#146848,#146846,#62368,.T.); #178365=EDGE_CURVE('',#146849,#146847,#62369,.T.); #178366=EDGE_CURVE('',#146848,#146849,#62370,.T.); #178367=EDGE_CURVE('',#146850,#146848,#62371,.T.); #178368=EDGE_CURVE('',#146851,#146849,#62372,.T.); #178369=EDGE_CURVE('',#146850,#146851,#62373,.T.); #178370=EDGE_CURVE('',#146781,#146850,#62374,.T.); #178371=EDGE_CURVE('',#146782,#146851,#62375,.T.); #178372=EDGE_CURVE('',#146852,#146853,#62376,.T.); #178373=EDGE_CURVE('',#146853,#146854,#62377,.T.); #178374=EDGE_CURVE('',#146855,#146854,#62378,.T.); #178375=EDGE_CURVE('',#146852,#146855,#62379,.T.); #178376=EDGE_CURVE('',#146856,#146852,#62380,.T.); #178377=EDGE_CURVE('',#146857,#146855,#62381,.T.); #178378=EDGE_CURVE('',#146856,#146857,#62382,.T.); #178379=EDGE_CURVE('',#146858,#146856,#62383,.T.); #178380=EDGE_CURVE('',#146859,#146857,#62384,.T.); #178381=EDGE_CURVE('',#146858,#146859,#62385,.T.); #178382=EDGE_CURVE('',#146860,#146858,#62386,.T.); #178383=EDGE_CURVE('',#146861,#146859,#62387,.T.); #178384=EDGE_CURVE('',#146860,#146861,#62388,.T.); #178385=EDGE_CURVE('',#146862,#146860,#62389,.T.); #178386=EDGE_CURVE('',#146863,#146861,#62390,.T.); #178387=EDGE_CURVE('',#146862,#146863,#62391,.T.); #178388=EDGE_CURVE('',#146864,#146862,#62392,.T.); #178389=EDGE_CURVE('',#146865,#146863,#62393,.T.); #178390=EDGE_CURVE('',#146864,#146865,#62394,.T.); #178391=EDGE_CURVE('',#146866,#146864,#138810,.T.); #178392=EDGE_CURVE('',#146867,#146865,#138811,.T.); #178393=EDGE_CURVE('',#146866,#146867,#62395,.T.); #178394=EDGE_CURVE('',#146868,#146866,#62396,.T.); #178395=EDGE_CURVE('',#146869,#146867,#62397,.T.); #178396=EDGE_CURVE('',#146868,#146869,#62398,.T.); #178397=EDGE_CURVE('',#146870,#146868,#138812,.T.); #178398=EDGE_CURVE('',#146871,#146869,#138813,.T.); #178399=EDGE_CURVE('',#146870,#146871,#62399,.T.); #178400=EDGE_CURVE('',#146872,#146870,#62400,.T.); #178401=EDGE_CURVE('',#146873,#146871,#62401,.T.); #178402=EDGE_CURVE('',#146872,#146873,#62402,.T.); #178403=EDGE_CURVE('',#146874,#146872,#62403,.T.); #178404=EDGE_CURVE('',#146875,#146873,#62404,.T.); #178405=EDGE_CURVE('',#146874,#146875,#62405,.T.); #178406=EDGE_CURVE('',#146876,#146874,#62406,.T.); #178407=EDGE_CURVE('',#146877,#146875,#62407,.T.); #178408=EDGE_CURVE('',#146876,#146877,#62408,.T.); #178409=EDGE_CURVE('',#146878,#146876,#62409,.T.); #178410=EDGE_CURVE('',#146879,#146877,#62410,.T.); #178411=EDGE_CURVE('',#146878,#146879,#62411,.T.); #178412=EDGE_CURVE('',#146880,#146878,#62412,.T.); #178413=EDGE_CURVE('',#146881,#146879,#62413,.T.); #178414=EDGE_CURVE('',#146880,#146881,#62414,.T.); #178415=EDGE_CURVE('',#146882,#146880,#138814,.T.); #178416=EDGE_CURVE('',#146883,#146881,#138815,.T.); #178417=EDGE_CURVE('',#146882,#146883,#62415,.T.); #178418=EDGE_CURVE('',#146884,#146882,#62416,.T.); #178419=EDGE_CURVE('',#146885,#146883,#62417,.T.); #178420=EDGE_CURVE('',#146884,#146885,#62418,.T.); #178421=EDGE_CURVE('',#146886,#146884,#62419,.T.); #178422=EDGE_CURVE('',#146887,#146885,#62420,.T.); #178423=EDGE_CURVE('',#146886,#146887,#62421,.T.); #178424=EDGE_CURVE('',#146888,#146886,#62422,.T.); #178425=EDGE_CURVE('',#146889,#146887,#62423,.T.); #178426=EDGE_CURVE('',#146888,#146889,#62424,.T.); #178427=EDGE_CURVE('',#146890,#146888,#62425,.T.); #178428=EDGE_CURVE('',#146891,#146889,#62426,.T.); #178429=EDGE_CURVE('',#146890,#146891,#62427,.T.); #178430=EDGE_CURVE('',#146892,#146890,#62428,.T.); #178431=EDGE_CURVE('',#146893,#146891,#62429,.T.); #178432=EDGE_CURVE('',#146892,#146893,#62430,.T.); #178433=EDGE_CURVE('',#146894,#146892,#62431,.T.); #178434=EDGE_CURVE('',#146895,#146893,#62432,.T.); #178435=EDGE_CURVE('',#146894,#146895,#62433,.T.); #178436=EDGE_CURVE('',#146896,#146894,#62434,.T.); #178437=EDGE_CURVE('',#146897,#146895,#62435,.T.); #178438=EDGE_CURVE('',#146896,#146897,#62436,.T.); #178439=EDGE_CURVE('',#146898,#146896,#138816,.T.); #178440=EDGE_CURVE('',#146899,#146897,#138817,.T.); #178441=EDGE_CURVE('',#146898,#146899,#62437,.T.); #178442=EDGE_CURVE('',#146900,#146898,#62438,.T.); #178443=EDGE_CURVE('',#146901,#146899,#62439,.T.); #178444=EDGE_CURVE('',#146900,#146901,#62440,.T.); #178445=EDGE_CURVE('',#146902,#146900,#62441,.T.); #178446=EDGE_CURVE('',#146903,#146901,#62442,.T.); #178447=EDGE_CURVE('',#146902,#146903,#62443,.T.); #178448=EDGE_CURVE('',#146904,#146902,#138818,.T.); #178449=EDGE_CURVE('',#146905,#146903,#138819,.T.); #178450=EDGE_CURVE('',#146904,#146905,#62444,.T.); #178451=EDGE_CURVE('',#146906,#146904,#62445,.T.); #178452=EDGE_CURVE('',#146907,#146905,#62446,.T.); #178453=EDGE_CURVE('',#146906,#146907,#62447,.T.); #178454=EDGE_CURVE('',#146908,#146906,#62448,.T.); #178455=EDGE_CURVE('',#146909,#146907,#62449,.T.); #178456=EDGE_CURVE('',#146908,#146909,#62450,.T.); #178457=EDGE_CURVE('',#146910,#146908,#62451,.T.); #178458=EDGE_CURVE('',#146911,#146909,#62452,.T.); #178459=EDGE_CURVE('',#146910,#146911,#62453,.T.); #178460=EDGE_CURVE('',#146912,#146910,#62454,.T.); #178461=EDGE_CURVE('',#146913,#146911,#62455,.T.); #178462=EDGE_CURVE('',#146912,#146913,#62456,.T.); #178463=EDGE_CURVE('',#146914,#146912,#62457,.T.); #178464=EDGE_CURVE('',#146915,#146913,#62458,.T.); #178465=EDGE_CURVE('',#146914,#146915,#62459,.T.); #178466=EDGE_CURVE('',#146916,#146914,#62460,.T.); #178467=EDGE_CURVE('',#146917,#146915,#62461,.T.); #178468=EDGE_CURVE('',#146916,#146917,#62462,.T.); #178469=EDGE_CURVE('',#146918,#146916,#62463,.T.); #178470=EDGE_CURVE('',#146919,#146917,#62464,.T.); #178471=EDGE_CURVE('',#146918,#146919,#62465,.T.); #178472=EDGE_CURVE('',#146920,#146918,#138820,.T.); #178473=EDGE_CURVE('',#146921,#146919,#138821,.T.); #178474=EDGE_CURVE('',#146920,#146921,#62466,.T.); #178475=EDGE_CURVE('',#146922,#146920,#62467,.T.); #178476=EDGE_CURVE('',#146923,#146921,#62468,.T.); #178477=EDGE_CURVE('',#146922,#146923,#62469,.T.); #178478=EDGE_CURVE('',#146853,#146922,#62470,.T.); #178479=EDGE_CURVE('',#146854,#146923,#62471,.T.); #178480=EDGE_CURVE('',#146924,#146924,#138822,.T.); #178481=EDGE_CURVE('',#146924,#146925,#62472,.T.); #178482=EDGE_CURVE('',#146925,#146925,#138823,.T.); #178483=EDGE_CURVE('',#146926,#146927,#62473,.T.); #178484=EDGE_CURVE('',#146927,#146928,#62474,.T.); #178485=EDGE_CURVE('',#146929,#146928,#62475,.T.); #178486=EDGE_CURVE('',#146926,#146929,#62476,.T.); #178487=EDGE_CURVE('',#146930,#146926,#138824,.T.); #178488=EDGE_CURVE('',#146931,#146929,#138825,.T.); #178489=EDGE_CURVE('',#146930,#146931,#62477,.T.); #178490=EDGE_CURVE('',#146932,#146930,#62478,.T.); #178491=EDGE_CURVE('',#146933,#146931,#62479,.T.); #178492=EDGE_CURVE('',#146932,#146933,#62480,.T.); #178493=EDGE_CURVE('',#146934,#146932,#62481,.T.); #178494=EDGE_CURVE('',#146935,#146933,#62482,.T.); #178495=EDGE_CURVE('',#146934,#146935,#62483,.T.); #178496=EDGE_CURVE('',#146936,#146934,#138826,.T.); #178497=EDGE_CURVE('',#146937,#146935,#138827,.T.); #178498=EDGE_CURVE('',#146936,#146937,#62484,.T.); #178499=EDGE_CURVE('',#146938,#146936,#62485,.T.); #178500=EDGE_CURVE('',#146939,#146937,#62486,.T.); #178501=EDGE_CURVE('',#146938,#146939,#62487,.T.); #178502=EDGE_CURVE('',#146940,#146938,#62488,.T.); #178503=EDGE_CURVE('',#146941,#146939,#62489,.T.); #178504=EDGE_CURVE('',#146940,#146941,#62490,.T.); #178505=EDGE_CURVE('',#146942,#146940,#138828,.T.); #178506=EDGE_CURVE('',#146943,#146941,#138829,.T.); #178507=EDGE_CURVE('',#146942,#146943,#62491,.T.); #178508=EDGE_CURVE('',#146944,#146942,#62492,.T.); #178509=EDGE_CURVE('',#146945,#146943,#62493,.T.); #178510=EDGE_CURVE('',#146944,#146945,#62494,.T.); #178511=EDGE_CURVE('',#146946,#146944,#62495,.T.); #178512=EDGE_CURVE('',#146947,#146945,#62496,.T.); #178513=EDGE_CURVE('',#146946,#146947,#62497,.T.); #178514=EDGE_CURVE('',#146948,#146946,#62498,.T.); #178515=EDGE_CURVE('',#146949,#146947,#62499,.T.); #178516=EDGE_CURVE('',#146948,#146949,#62500,.T.); #178517=EDGE_CURVE('',#146950,#146948,#62501,.T.); #178518=EDGE_CURVE('',#146951,#146949,#62502,.T.); #178519=EDGE_CURVE('',#146950,#146951,#62503,.T.); #178520=EDGE_CURVE('',#146952,#146950,#62504,.T.); #178521=EDGE_CURVE('',#146953,#146951,#62505,.T.); #178522=EDGE_CURVE('',#146952,#146953,#62506,.T.); #178523=EDGE_CURVE('',#146954,#146952,#62507,.T.); #178524=EDGE_CURVE('',#146955,#146953,#62508,.T.); #178525=EDGE_CURVE('',#146954,#146955,#62509,.T.); #178526=EDGE_CURVE('',#146956,#146954,#62510,.T.); #178527=EDGE_CURVE('',#146957,#146955,#62511,.T.); #178528=EDGE_CURVE('',#146956,#146957,#62512,.T.); #178529=EDGE_CURVE('',#146958,#146956,#62513,.T.); #178530=EDGE_CURVE('',#146959,#146957,#62514,.T.); #178531=EDGE_CURVE('',#146958,#146959,#62515,.T.); #178532=EDGE_CURVE('',#146960,#146958,#138830,.T.); #178533=EDGE_CURVE('',#146961,#146959,#138831,.T.); #178534=EDGE_CURVE('',#146960,#146961,#62516,.T.); #178535=EDGE_CURVE('',#146962,#146960,#62517,.T.); #178536=EDGE_CURVE('',#146963,#146961,#62518,.T.); #178537=EDGE_CURVE('',#146962,#146963,#62519,.T.); #178538=EDGE_CURVE('',#146964,#146962,#62520,.T.); #178539=EDGE_CURVE('',#146965,#146963,#62521,.T.); #178540=EDGE_CURVE('',#146964,#146965,#62522,.T.); #178541=EDGE_CURVE('',#146966,#146964,#138832,.T.); #178542=EDGE_CURVE('',#146967,#146965,#138833,.T.); #178543=EDGE_CURVE('',#146966,#146967,#62523,.T.); #178544=EDGE_CURVE('',#146968,#146966,#62524,.T.); #178545=EDGE_CURVE('',#146969,#146967,#62525,.T.); #178546=EDGE_CURVE('',#146968,#146969,#62526,.T.); #178547=EDGE_CURVE('',#146927,#146968,#138834,.T.); #178548=EDGE_CURVE('',#146928,#146969,#138835,.T.); #178549=EDGE_CURVE('',#146970,#146970,#138836,.T.); #178550=EDGE_CURVE('',#146970,#146971,#62527,.T.); #178551=EDGE_CURVE('',#146971,#146971,#138837,.T.); #178552=EDGE_CURVE('',#146972,#146973,#62528,.T.); #178553=EDGE_CURVE('',#146973,#146974,#62529,.T.); #178554=EDGE_CURVE('',#146975,#146974,#62530,.T.); #178555=EDGE_CURVE('',#146972,#146975,#62531,.T.); #178556=EDGE_CURVE('',#146976,#146972,#138838,.T.); #178557=EDGE_CURVE('',#146977,#146975,#138839,.T.); #178558=EDGE_CURVE('',#146976,#146977,#62532,.T.); #178559=EDGE_CURVE('',#146978,#146976,#62533,.T.); #178560=EDGE_CURVE('',#146979,#146977,#62534,.T.); #178561=EDGE_CURVE('',#146978,#146979,#62535,.T.); #178562=EDGE_CURVE('',#146980,#146978,#62536,.T.); #178563=EDGE_CURVE('',#146981,#146979,#62537,.T.); #178564=EDGE_CURVE('',#146980,#146981,#62538,.T.); #178565=EDGE_CURVE('',#146982,#146980,#138840,.T.); #178566=EDGE_CURVE('',#146983,#146981,#138841,.T.); #178567=EDGE_CURVE('',#146982,#146983,#62539,.T.); #178568=EDGE_CURVE('',#146984,#146982,#62540,.T.); #178569=EDGE_CURVE('',#146985,#146983,#62541,.T.); #178570=EDGE_CURVE('',#146984,#146985,#62542,.T.); #178571=EDGE_CURVE('',#146986,#146984,#62543,.T.); #178572=EDGE_CURVE('',#146987,#146985,#62544,.T.); #178573=EDGE_CURVE('',#146986,#146987,#62545,.T.); #178574=EDGE_CURVE('',#146988,#146986,#62546,.T.); #178575=EDGE_CURVE('',#146989,#146987,#62547,.T.); #178576=EDGE_CURVE('',#146988,#146989,#62548,.T.); #178577=EDGE_CURVE('',#146990,#146988,#62549,.T.); #178578=EDGE_CURVE('',#146991,#146989,#62550,.T.); #178579=EDGE_CURVE('',#146990,#146991,#62551,.T.); #178580=EDGE_CURVE('',#146992,#146990,#62552,.T.); #178581=EDGE_CURVE('',#146993,#146991,#62553,.T.); #178582=EDGE_CURVE('',#146992,#146993,#62554,.T.); #178583=EDGE_CURVE('',#146994,#146992,#62555,.T.); #178584=EDGE_CURVE('',#146995,#146993,#62556,.T.); #178585=EDGE_CURVE('',#146994,#146995,#62557,.T.); #178586=EDGE_CURVE('',#146996,#146994,#62558,.T.); #178587=EDGE_CURVE('',#146997,#146995,#62559,.T.); #178588=EDGE_CURVE('',#146996,#146997,#62560,.T.); #178589=EDGE_CURVE('',#146998,#146996,#62561,.T.); #178590=EDGE_CURVE('',#146999,#146997,#62562,.T.); #178591=EDGE_CURVE('',#146998,#146999,#62563,.T.); #178592=EDGE_CURVE('',#147000,#146998,#138842,.T.); #178593=EDGE_CURVE('',#147001,#146999,#138843,.T.); #178594=EDGE_CURVE('',#147000,#147001,#62564,.T.); #178595=EDGE_CURVE('',#147002,#147000,#62565,.T.); #178596=EDGE_CURVE('',#147003,#147001,#62566,.T.); #178597=EDGE_CURVE('',#147002,#147003,#62567,.T.); #178598=EDGE_CURVE('',#146973,#147002,#138844,.T.); #178599=EDGE_CURVE('',#146974,#147003,#138845,.T.); #178600=EDGE_CURVE('',#147004,#147004,#138846,.T.); #178601=EDGE_CURVE('',#147004,#147005,#62568,.T.); #178602=EDGE_CURVE('',#147005,#147005,#138847,.T.); #178603=EDGE_CURVE('',#147006,#147007,#62569,.T.); #178604=EDGE_CURVE('',#147007,#147008,#62570,.T.); #178605=EDGE_CURVE('',#147009,#147008,#62571,.T.); #178606=EDGE_CURVE('',#147006,#147009,#62572,.T.); #178607=EDGE_CURVE('',#147010,#147006,#138848,.T.); #178608=EDGE_CURVE('',#147011,#147009,#138849,.T.); #178609=EDGE_CURVE('',#147010,#147011,#62573,.T.); #178610=EDGE_CURVE('',#147012,#147010,#62574,.T.); #178611=EDGE_CURVE('',#147013,#147011,#62575,.T.); #178612=EDGE_CURVE('',#147012,#147013,#62576,.T.); #178613=EDGE_CURVE('',#147014,#147012,#62577,.T.); #178614=EDGE_CURVE('',#147015,#147013,#62578,.T.); #178615=EDGE_CURVE('',#147014,#147015,#62579,.T.); #178616=EDGE_CURVE('',#147016,#147014,#62580,.T.); #178617=EDGE_CURVE('',#147017,#147015,#62581,.T.); #178618=EDGE_CURVE('',#147016,#147017,#62582,.T.); #178619=EDGE_CURVE('',#147018,#147016,#62583,.T.); #178620=EDGE_CURVE('',#147019,#147017,#62584,.T.); #178621=EDGE_CURVE('',#147018,#147019,#62585,.T.); #178622=EDGE_CURVE('',#147020,#147018,#62586,.T.); #178623=EDGE_CURVE('',#147021,#147019,#62587,.T.); #178624=EDGE_CURVE('',#147020,#147021,#62588,.T.); #178625=EDGE_CURVE('',#147022,#147020,#62589,.T.); #178626=EDGE_CURVE('',#147023,#147021,#62590,.T.); #178627=EDGE_CURVE('',#147022,#147023,#62591,.T.); #178628=EDGE_CURVE('',#147024,#147022,#62592,.T.); #178629=EDGE_CURVE('',#147025,#147023,#62593,.T.); #178630=EDGE_CURVE('',#147024,#147025,#62594,.T.); #178631=EDGE_CURVE('',#147026,#147024,#138850,.T.); #178632=EDGE_CURVE('',#147027,#147025,#138851,.T.); #178633=EDGE_CURVE('',#147026,#147027,#62595,.T.); #178634=EDGE_CURVE('',#147028,#147026,#62596,.T.); #178635=EDGE_CURVE('',#147029,#147027,#62597,.T.); #178636=EDGE_CURVE('',#147028,#147029,#62598,.T.); #178637=EDGE_CURVE('',#147007,#147028,#138852,.T.); #178638=EDGE_CURVE('',#147008,#147029,#138853,.T.); #178639=EDGE_CURVE('',#147030,#147030,#138854,.T.); #178640=EDGE_CURVE('',#147030,#147031,#62599,.T.); #178641=EDGE_CURVE('',#147031,#147031,#138855,.T.); #178642=EDGE_CURVE('',#147032,#147033,#62600,.T.); #178643=EDGE_CURVE('',#147033,#147034,#62601,.T.); #178644=EDGE_CURVE('',#147035,#147034,#62602,.T.); #178645=EDGE_CURVE('',#147032,#147035,#62603,.T.); #178646=EDGE_CURVE('',#147036,#147032,#138856,.T.); #178647=EDGE_CURVE('',#147037,#147035,#138857,.T.); #178648=EDGE_CURVE('',#147036,#147037,#62604,.T.); #178649=EDGE_CURVE('',#147038,#147036,#62605,.T.); #178650=EDGE_CURVE('',#147039,#147037,#62606,.T.); #178651=EDGE_CURVE('',#147038,#147039,#62607,.T.); #178652=EDGE_CURVE('',#147040,#147038,#138858,.T.); #178653=EDGE_CURVE('',#147041,#147039,#138859,.T.); #178654=EDGE_CURVE('',#147040,#147041,#62608,.T.); #178655=EDGE_CURVE('',#147042,#147040,#62609,.T.); #178656=EDGE_CURVE('',#147043,#147041,#62610,.T.); #178657=EDGE_CURVE('',#147042,#147043,#62611,.T.); #178658=EDGE_CURVE('',#147044,#147042,#62612,.T.); #178659=EDGE_CURVE('',#147045,#147043,#62613,.T.); #178660=EDGE_CURVE('',#147044,#147045,#62614,.T.); #178661=EDGE_CURVE('',#147046,#147044,#62615,.T.); #178662=EDGE_CURVE('',#147047,#147045,#62616,.T.); #178663=EDGE_CURVE('',#147046,#147047,#62617,.T.); #178664=EDGE_CURVE('',#147048,#147046,#62618,.T.); #178665=EDGE_CURVE('',#147049,#147047,#62619,.T.); #178666=EDGE_CURVE('',#147048,#147049,#62620,.T.); #178667=EDGE_CURVE('',#147050,#147048,#62621,.T.); #178668=EDGE_CURVE('',#147051,#147049,#62622,.T.); #178669=EDGE_CURVE('',#147050,#147051,#62623,.T.); #178670=EDGE_CURVE('',#147052,#147050,#62624,.T.); #178671=EDGE_CURVE('',#147053,#147051,#62625,.T.); #178672=EDGE_CURVE('',#147052,#147053,#62626,.T.); #178673=EDGE_CURVE('',#147054,#147052,#62627,.T.); #178674=EDGE_CURVE('',#147055,#147053,#62628,.T.); #178675=EDGE_CURVE('',#147054,#147055,#62629,.T.); #178676=EDGE_CURVE('',#147056,#147054,#62630,.T.); #178677=EDGE_CURVE('',#147057,#147055,#62631,.T.); #178678=EDGE_CURVE('',#147056,#147057,#62632,.T.); #178679=EDGE_CURVE('',#147058,#147056,#62633,.T.); #178680=EDGE_CURVE('',#147059,#147057,#62634,.T.); #178681=EDGE_CURVE('',#147058,#147059,#62635,.T.); #178682=EDGE_CURVE('',#147033,#147058,#138860,.T.); #178683=EDGE_CURVE('',#147034,#147059,#138861,.T.); #178684=EDGE_CURVE('',#147060,#147060,#138862,.T.); #178685=EDGE_CURVE('',#147060,#147061,#62636,.T.); #178686=EDGE_CURVE('',#147061,#147061,#138863,.T.); #178687=EDGE_CURVE('',#147062,#147062,#138864,.T.); #178688=EDGE_CURVE('',#147062,#147063,#62637,.T.); #178689=EDGE_CURVE('',#147063,#147063,#138865,.T.); #178690=EDGE_CURVE('',#147064,#147065,#62638,.T.); #178691=EDGE_CURVE('',#147065,#147066,#62639,.T.); #178692=EDGE_CURVE('',#147067,#147066,#62640,.T.); #178693=EDGE_CURVE('',#147064,#147067,#62641,.T.); #178694=EDGE_CURVE('',#147068,#147064,#138866,.T.); #178695=EDGE_CURVE('',#147069,#147067,#138867,.T.); #178696=EDGE_CURVE('',#147068,#147069,#62642,.T.); #178697=EDGE_CURVE('',#147070,#147068,#138868,.T.); #178698=EDGE_CURVE('',#147071,#147069,#138869,.T.); #178699=EDGE_CURVE('',#147070,#147071,#62643,.T.); #178700=EDGE_CURVE('',#147072,#147070,#62644,.T.); #178701=EDGE_CURVE('',#147073,#147071,#62645,.T.); #178702=EDGE_CURVE('',#147072,#147073,#62646,.T.); #178703=EDGE_CURVE('',#147074,#147072,#138870,.T.); #178704=EDGE_CURVE('',#147075,#147073,#138871,.T.); #178705=EDGE_CURVE('',#147074,#147075,#62647,.T.); #178706=EDGE_CURVE('',#147076,#147074,#138872,.T.); #178707=EDGE_CURVE('',#147077,#147075,#138873,.T.); #178708=EDGE_CURVE('',#147076,#147077,#62648,.T.); #178709=EDGE_CURVE('',#147078,#147076,#62649,.T.); #178710=EDGE_CURVE('',#147079,#147077,#62650,.T.); #178711=EDGE_CURVE('',#147078,#147079,#62651,.T.); #178712=EDGE_CURVE('',#147065,#147078,#138874,.T.); #178713=EDGE_CURVE('',#147066,#147079,#138875,.T.); #178714=EDGE_CURVE('',#147080,#147080,#138876,.T.); #178715=EDGE_CURVE('',#147080,#147081,#62652,.T.); #178716=EDGE_CURVE('',#147081,#147081,#138877,.T.); #178717=EDGE_CURVE('',#147082,#147083,#62653,.T.); #178718=EDGE_CURVE('',#147083,#147084,#62654,.T.); #178719=EDGE_CURVE('',#147085,#147084,#62655,.T.); #178720=EDGE_CURVE('',#147082,#147085,#62656,.T.); #178721=EDGE_CURVE('',#147086,#147082,#138878,.T.); #178722=EDGE_CURVE('',#147087,#147085,#138879,.T.); #178723=EDGE_CURVE('',#147086,#147087,#62657,.T.); #178724=EDGE_CURVE('',#147088,#147086,#62658,.T.); #178725=EDGE_CURVE('',#147089,#147087,#62659,.T.); #178726=EDGE_CURVE('',#147088,#147089,#62660,.T.); #178727=EDGE_CURVE('',#147090,#147088,#62661,.T.); #178728=EDGE_CURVE('',#147091,#147089,#62662,.T.); #178729=EDGE_CURVE('',#147090,#147091,#62663,.T.); #178730=EDGE_CURVE('',#147092,#147090,#138880,.T.); #178731=EDGE_CURVE('',#147093,#147091,#138881,.T.); #178732=EDGE_CURVE('',#147092,#147093,#62664,.T.); #178733=EDGE_CURVE('',#147094,#147092,#62665,.T.); #178734=EDGE_CURVE('',#147095,#147093,#62666,.T.); #178735=EDGE_CURVE('',#147094,#147095,#62667,.T.); #178736=EDGE_CURVE('',#147096,#147094,#62668,.T.); #178737=EDGE_CURVE('',#147097,#147095,#62669,.T.); #178738=EDGE_CURVE('',#147096,#147097,#62670,.T.); #178739=EDGE_CURVE('',#147098,#147096,#62671,.T.); #178740=EDGE_CURVE('',#147099,#147097,#62672,.T.); #178741=EDGE_CURVE('',#147098,#147099,#62673,.T.); #178742=EDGE_CURVE('',#147100,#147098,#62674,.T.); #178743=EDGE_CURVE('',#147101,#147099,#62675,.T.); #178744=EDGE_CURVE('',#147100,#147101,#62676,.T.); #178745=EDGE_CURVE('',#147102,#147100,#62677,.T.); #178746=EDGE_CURVE('',#147103,#147101,#62678,.T.); #178747=EDGE_CURVE('',#147102,#147103,#62679,.T.); #178748=EDGE_CURVE('',#147104,#147102,#62680,.T.); #178749=EDGE_CURVE('',#147105,#147103,#62681,.T.); #178750=EDGE_CURVE('',#147104,#147105,#62682,.T.); #178751=EDGE_CURVE('',#147106,#147104,#62683,.T.); #178752=EDGE_CURVE('',#147107,#147105,#62684,.T.); #178753=EDGE_CURVE('',#147106,#147107,#62685,.T.); #178754=EDGE_CURVE('',#147108,#147106,#62686,.T.); #178755=EDGE_CURVE('',#147109,#147107,#62687,.T.); #178756=EDGE_CURVE('',#147108,#147109,#62688,.T.); #178757=EDGE_CURVE('',#147110,#147108,#138882,.T.); #178758=EDGE_CURVE('',#147111,#147109,#138883,.T.); #178759=EDGE_CURVE('',#147110,#147111,#62689,.T.); #178760=EDGE_CURVE('',#147112,#147110,#62690,.T.); #178761=EDGE_CURVE('',#147113,#147111,#62691,.T.); #178762=EDGE_CURVE('',#147112,#147113,#62692,.T.); #178763=EDGE_CURVE('',#147083,#147112,#138884,.T.); #178764=EDGE_CURVE('',#147084,#147113,#138885,.T.); #178765=EDGE_CURVE('',#147114,#147114,#138886,.T.); #178766=EDGE_CURVE('',#147114,#147115,#62693,.T.); #178767=EDGE_CURVE('',#147115,#147115,#138887,.T.); #178768=EDGE_CURVE('',#147116,#147117,#62694,.T.); #178769=EDGE_CURVE('',#147117,#147118,#62695,.T.); #178770=EDGE_CURVE('',#147119,#147118,#62696,.T.); #178771=EDGE_CURVE('',#147116,#147119,#62697,.T.); #178772=EDGE_CURVE('',#147120,#147116,#138888,.T.); #178773=EDGE_CURVE('',#147121,#147119,#138889,.T.); #178774=EDGE_CURVE('',#147120,#147121,#62698,.T.); #178775=EDGE_CURVE('',#147122,#147120,#62699,.T.); #178776=EDGE_CURVE('',#147123,#147121,#62700,.T.); #178777=EDGE_CURVE('',#147122,#147123,#62701,.T.); #178778=EDGE_CURVE('',#147124,#147122,#62702,.T.); #178779=EDGE_CURVE('',#147125,#147123,#62703,.T.); #178780=EDGE_CURVE('',#147124,#147125,#62704,.T.); #178781=EDGE_CURVE('',#147126,#147124,#138890,.T.); #178782=EDGE_CURVE('',#147127,#147125,#138891,.T.); #178783=EDGE_CURVE('',#147126,#147127,#62705,.T.); #178784=EDGE_CURVE('',#147128,#147126,#62706,.T.); #178785=EDGE_CURVE('',#147129,#147127,#62707,.T.); #178786=EDGE_CURVE('',#147128,#147129,#62708,.T.); #178787=EDGE_CURVE('',#147130,#147128,#62709,.T.); #178788=EDGE_CURVE('',#147131,#147129,#62710,.T.); #178789=EDGE_CURVE('',#147130,#147131,#62711,.T.); #178790=EDGE_CURVE('',#147132,#147130,#138892,.T.); #178791=EDGE_CURVE('',#147133,#147131,#138893,.T.); #178792=EDGE_CURVE('',#147132,#147133,#62712,.T.); #178793=EDGE_CURVE('',#147134,#147132,#62713,.T.); #178794=EDGE_CURVE('',#147135,#147133,#62714,.T.); #178795=EDGE_CURVE('',#147134,#147135,#62715,.T.); #178796=EDGE_CURVE('',#147136,#147134,#62716,.T.); #178797=EDGE_CURVE('',#147137,#147135,#62717,.T.); #178798=EDGE_CURVE('',#147136,#147137,#62718,.T.); #178799=EDGE_CURVE('',#147138,#147136,#62719,.T.); #178800=EDGE_CURVE('',#147139,#147137,#62720,.T.); #178801=EDGE_CURVE('',#147138,#147139,#62721,.T.); #178802=EDGE_CURVE('',#147140,#147138,#62722,.T.); #178803=EDGE_CURVE('',#147141,#147139,#62723,.T.); #178804=EDGE_CURVE('',#147140,#147141,#62724,.T.); #178805=EDGE_CURVE('',#147142,#147140,#62725,.T.); #178806=EDGE_CURVE('',#147143,#147141,#62726,.T.); #178807=EDGE_CURVE('',#147142,#147143,#62727,.T.); #178808=EDGE_CURVE('',#147144,#147142,#62728,.T.); #178809=EDGE_CURVE('',#147145,#147143,#62729,.T.); #178810=EDGE_CURVE('',#147144,#147145,#62730,.T.); #178811=EDGE_CURVE('',#147146,#147144,#62731,.T.); #178812=EDGE_CURVE('',#147147,#147145,#62732,.T.); #178813=EDGE_CURVE('',#147146,#147147,#62733,.T.); #178814=EDGE_CURVE('',#147148,#147146,#62734,.T.); #178815=EDGE_CURVE('',#147149,#147147,#62735,.T.); #178816=EDGE_CURVE('',#147148,#147149,#62736,.T.); #178817=EDGE_CURVE('',#147150,#147148,#138894,.T.); #178818=EDGE_CURVE('',#147151,#147149,#138895,.T.); #178819=EDGE_CURVE('',#147150,#147151,#62737,.T.); #178820=EDGE_CURVE('',#147152,#147150,#62738,.T.); #178821=EDGE_CURVE('',#147153,#147151,#62739,.T.); #178822=EDGE_CURVE('',#147152,#147153,#62740,.T.); #178823=EDGE_CURVE('',#147154,#147152,#62741,.T.); #178824=EDGE_CURVE('',#147155,#147153,#62742,.T.); #178825=EDGE_CURVE('',#147154,#147155,#62743,.T.); #178826=EDGE_CURVE('',#147156,#147154,#138896,.T.); #178827=EDGE_CURVE('',#147157,#147155,#138897,.T.); #178828=EDGE_CURVE('',#147156,#147157,#62744,.T.); #178829=EDGE_CURVE('',#147158,#147156,#62745,.T.); #178830=EDGE_CURVE('',#147159,#147157,#62746,.T.); #178831=EDGE_CURVE('',#147158,#147159,#62747,.T.); #178832=EDGE_CURVE('',#147117,#147158,#138898,.T.); #178833=EDGE_CURVE('',#147118,#147159,#138899,.T.); #178834=EDGE_CURVE('',#147160,#147161,#62748,.T.); #178835=EDGE_CURVE('',#147161,#147162,#62749,.T.); #178836=EDGE_CURVE('',#147163,#147162,#62750,.T.); #178837=EDGE_CURVE('',#147160,#147163,#62751,.T.); #178838=EDGE_CURVE('',#147164,#147160,#62752,.T.); #178839=EDGE_CURVE('',#147165,#147163,#62753,.T.); #178840=EDGE_CURVE('',#147164,#147165,#62754,.T.); #178841=EDGE_CURVE('',#147166,#147164,#62755,.T.); #178842=EDGE_CURVE('',#147167,#147165,#62756,.T.); #178843=EDGE_CURVE('',#147166,#147167,#62757,.T.); #178844=EDGE_CURVE('',#147168,#147166,#62758,.T.); #178845=EDGE_CURVE('',#147169,#147167,#62759,.T.); #178846=EDGE_CURVE('',#147168,#147169,#62760,.T.); #178847=EDGE_CURVE('',#147170,#147168,#138900,.T.); #178848=EDGE_CURVE('',#147171,#147169,#138901,.T.); #178849=EDGE_CURVE('',#147170,#147171,#62761,.T.); #178850=EDGE_CURVE('',#147172,#147170,#62762,.T.); #178851=EDGE_CURVE('',#147173,#147171,#62763,.T.); #178852=EDGE_CURVE('',#147172,#147173,#62764,.T.); #178853=EDGE_CURVE('',#147174,#147172,#138902,.T.); #178854=EDGE_CURVE('',#147175,#147173,#138903,.T.); #178855=EDGE_CURVE('',#147174,#147175,#62765,.T.); #178856=EDGE_CURVE('',#147176,#147174,#62766,.T.); #178857=EDGE_CURVE('',#147177,#147175,#62767,.T.); #178858=EDGE_CURVE('',#147176,#147177,#62768,.T.); #178859=EDGE_CURVE('',#147178,#147176,#62769,.T.); #178860=EDGE_CURVE('',#147179,#147177,#62770,.T.); #178861=EDGE_CURVE('',#147178,#147179,#62771,.T.); #178862=EDGE_CURVE('',#147180,#147178,#62772,.T.); #178863=EDGE_CURVE('',#147181,#147179,#62773,.T.); #178864=EDGE_CURVE('',#147180,#147181,#62774,.T.); #178865=EDGE_CURVE('',#147182,#147180,#138904,.T.); #178866=EDGE_CURVE('',#147183,#147181,#138905,.T.); #178867=EDGE_CURVE('',#147182,#147183,#62775,.T.); #178868=EDGE_CURVE('',#147184,#147182,#62776,.T.); #178869=EDGE_CURVE('',#147185,#147183,#62777,.T.); #178870=EDGE_CURVE('',#147184,#147185,#62778,.T.); #178871=EDGE_CURVE('',#147186,#147184,#62779,.T.); #178872=EDGE_CURVE('',#147187,#147185,#62780,.T.); #178873=EDGE_CURVE('',#147186,#147187,#62781,.T.); #178874=EDGE_CURVE('',#147188,#147186,#62782,.T.); #178875=EDGE_CURVE('',#147189,#147187,#62783,.T.); #178876=EDGE_CURVE('',#147188,#147189,#62784,.T.); #178877=EDGE_CURVE('',#147190,#147188,#62785,.T.); #178878=EDGE_CURVE('',#147191,#147189,#62786,.T.); #178879=EDGE_CURVE('',#147190,#147191,#62787,.T.); #178880=EDGE_CURVE('',#147192,#147190,#62788,.T.); #178881=EDGE_CURVE('',#147193,#147191,#62789,.T.); #178882=EDGE_CURVE('',#147192,#147193,#62790,.T.); #178883=EDGE_CURVE('',#147194,#147192,#62791,.T.); #178884=EDGE_CURVE('',#147195,#147193,#62792,.T.); #178885=EDGE_CURVE('',#147194,#147195,#62793,.T.); #178886=EDGE_CURVE('',#147196,#147194,#62794,.T.); #178887=EDGE_CURVE('',#147197,#147195,#62795,.T.); #178888=EDGE_CURVE('',#147196,#147197,#62796,.T.); #178889=EDGE_CURVE('',#147198,#147196,#138906,.T.); #178890=EDGE_CURVE('',#147199,#147197,#138907,.T.); #178891=EDGE_CURVE('',#147198,#147199,#62797,.T.); #178892=EDGE_CURVE('',#147200,#147198,#62798,.T.); #178893=EDGE_CURVE('',#147201,#147199,#62799,.T.); #178894=EDGE_CURVE('',#147200,#147201,#62800,.T.); #178895=EDGE_CURVE('',#147202,#147200,#138908,.T.); #178896=EDGE_CURVE('',#147203,#147201,#138909,.T.); #178897=EDGE_CURVE('',#147202,#147203,#62801,.T.); #178898=EDGE_CURVE('',#147204,#147202,#62802,.T.); #178899=EDGE_CURVE('',#147205,#147203,#62803,.T.); #178900=EDGE_CURVE('',#147204,#147205,#62804,.T.); #178901=EDGE_CURVE('',#147206,#147204,#62805,.T.); #178902=EDGE_CURVE('',#147207,#147205,#62806,.T.); #178903=EDGE_CURVE('',#147206,#147207,#62807,.T.); #178904=EDGE_CURVE('',#147208,#147206,#62808,.T.); #178905=EDGE_CURVE('',#147209,#147207,#62809,.T.); #178906=EDGE_CURVE('',#147208,#147209,#62810,.T.); #178907=EDGE_CURVE('',#147210,#147208,#62811,.T.); #178908=EDGE_CURVE('',#147211,#147209,#62812,.T.); #178909=EDGE_CURVE('',#147210,#147211,#62813,.T.); #178910=EDGE_CURVE('',#147161,#147210,#62814,.T.); #178911=EDGE_CURVE('',#147162,#147211,#62815,.T.); #178912=EDGE_CURVE('',#147212,#147212,#138910,.T.); #178913=EDGE_CURVE('',#147212,#147213,#62816,.T.); #178914=EDGE_CURVE('',#147213,#147213,#138911,.T.); #178915=EDGE_CURVE('',#147214,#147215,#62817,.T.); #178916=EDGE_CURVE('',#147215,#147216,#62818,.T.); #178917=EDGE_CURVE('',#147217,#147216,#62819,.T.); #178918=EDGE_CURVE('',#147214,#147217,#62820,.T.); #178919=EDGE_CURVE('',#147218,#147214,#138912,.T.); #178920=EDGE_CURVE('',#147219,#147217,#138913,.T.); #178921=EDGE_CURVE('',#147218,#147219,#62821,.T.); #178922=EDGE_CURVE('',#147220,#147218,#62822,.T.); #178923=EDGE_CURVE('',#147221,#147219,#62823,.T.); #178924=EDGE_CURVE('',#147220,#147221,#62824,.T.); #178925=EDGE_CURVE('',#147222,#147220,#62825,.T.); #178926=EDGE_CURVE('',#147223,#147221,#62826,.T.); #178927=EDGE_CURVE('',#147222,#147223,#62827,.T.); #178928=EDGE_CURVE('',#147224,#147222,#138914,.T.); #178929=EDGE_CURVE('',#147225,#147223,#138915,.T.); #178930=EDGE_CURVE('',#147224,#147225,#62828,.T.); #178931=EDGE_CURVE('',#147226,#147224,#62829,.T.); #178932=EDGE_CURVE('',#147227,#147225,#62830,.T.); #178933=EDGE_CURVE('',#147226,#147227,#62831,.T.); #178934=EDGE_CURVE('',#147228,#147226,#138916,.T.); #178935=EDGE_CURVE('',#147229,#147227,#138917,.T.); #178936=EDGE_CURVE('',#147228,#147229,#62832,.T.); #178937=EDGE_CURVE('',#147230,#147228,#62833,.T.); #178938=EDGE_CURVE('',#147231,#147229,#62834,.T.); #178939=EDGE_CURVE('',#147230,#147231,#62835,.T.); #178940=EDGE_CURVE('',#147232,#147230,#138918,.T.); #178941=EDGE_CURVE('',#147233,#147231,#138919,.T.); #178942=EDGE_CURVE('',#147232,#147233,#62836,.T.); #178943=EDGE_CURVE('',#147234,#147232,#62837,.T.); #178944=EDGE_CURVE('',#147235,#147233,#62838,.T.); #178945=EDGE_CURVE('',#147234,#147235,#62839,.T.); #178946=EDGE_CURVE('',#147236,#147234,#62840,.T.); #178947=EDGE_CURVE('',#147237,#147235,#62841,.T.); #178948=EDGE_CURVE('',#147236,#147237,#62842,.T.); #178949=EDGE_CURVE('',#147238,#147236,#62843,.T.); #178950=EDGE_CURVE('',#147239,#147237,#62844,.T.); #178951=EDGE_CURVE('',#147238,#147239,#62845,.T.); #178952=EDGE_CURVE('',#147240,#147238,#62846,.T.); #178953=EDGE_CURVE('',#147241,#147239,#62847,.T.); #178954=EDGE_CURVE('',#147240,#147241,#62848,.T.); #178955=EDGE_CURVE('',#147242,#147240,#62849,.T.); #178956=EDGE_CURVE('',#147243,#147241,#62850,.T.); #178957=EDGE_CURVE('',#147242,#147243,#62851,.T.); #178958=EDGE_CURVE('',#147244,#147242,#62852,.T.); #178959=EDGE_CURVE('',#147245,#147243,#62853,.T.); #178960=EDGE_CURVE('',#147244,#147245,#62854,.T.); #178961=EDGE_CURVE('',#147246,#147244,#62855,.T.); #178962=EDGE_CURVE('',#147247,#147245,#62856,.T.); #178963=EDGE_CURVE('',#147246,#147247,#62857,.T.); #178964=EDGE_CURVE('',#147248,#147246,#138920,.T.); #178965=EDGE_CURVE('',#147249,#147247,#138921,.T.); #178966=EDGE_CURVE('',#147248,#147249,#62858,.T.); #178967=EDGE_CURVE('',#147250,#147248,#62859,.T.); #178968=EDGE_CURVE('',#147251,#147249,#62860,.T.); #178969=EDGE_CURVE('',#147250,#147251,#62861,.T.); #178970=EDGE_CURVE('',#147252,#147250,#62862,.T.); #178971=EDGE_CURVE('',#147253,#147251,#62863,.T.); #178972=EDGE_CURVE('',#147252,#147253,#62864,.T.); #178973=EDGE_CURVE('',#147254,#147252,#62865,.T.); #178974=EDGE_CURVE('',#147255,#147253,#62866,.T.); #178975=EDGE_CURVE('',#147254,#147255,#62867,.T.); #178976=EDGE_CURVE('',#147256,#147254,#62868,.T.); #178977=EDGE_CURVE('',#147257,#147255,#62869,.T.); #178978=EDGE_CURVE('',#147256,#147257,#62870,.T.); #178979=EDGE_CURVE('',#147258,#147256,#138922,.T.); #178980=EDGE_CURVE('',#147259,#147257,#138923,.T.); #178981=EDGE_CURVE('',#147258,#147259,#62871,.T.); #178982=EDGE_CURVE('',#147260,#147258,#62872,.T.); #178983=EDGE_CURVE('',#147261,#147259,#62873,.T.); #178984=EDGE_CURVE('',#147260,#147261,#62874,.T.); #178985=EDGE_CURVE('',#147215,#147260,#138924,.T.); #178986=EDGE_CURVE('',#147216,#147261,#138925,.T.); #178987=EDGE_CURVE('',#147262,#147262,#138926,.T.); #178988=EDGE_CURVE('',#147262,#147263,#62875,.T.); #178989=EDGE_CURVE('',#147263,#147263,#138927,.T.); #178990=EDGE_CURVE('',#147264,#147265,#62876,.T.); #178991=EDGE_CURVE('',#147265,#147266,#62877,.T.); #178992=EDGE_CURVE('',#147267,#147266,#62878,.T.); #178993=EDGE_CURVE('',#147264,#147267,#62879,.T.); #178994=EDGE_CURVE('',#147268,#147264,#138928,.T.); #178995=EDGE_CURVE('',#147269,#147267,#138929,.T.); #178996=EDGE_CURVE('',#147268,#147269,#62880,.T.); #178997=EDGE_CURVE('',#147270,#147268,#62881,.T.); #178998=EDGE_CURVE('',#147271,#147269,#62882,.T.); #178999=EDGE_CURVE('',#147270,#147271,#62883,.T.); #179000=EDGE_CURVE('',#147272,#147270,#62884,.T.); #179001=EDGE_CURVE('',#147273,#147271,#62885,.T.); #179002=EDGE_CURVE('',#147272,#147273,#62886,.T.); #179003=EDGE_CURVE('',#147274,#147272,#62887,.T.); #179004=EDGE_CURVE('',#147275,#147273,#62888,.T.); #179005=EDGE_CURVE('',#147274,#147275,#62889,.T.); #179006=EDGE_CURVE('',#147276,#147274,#62890,.T.); #179007=EDGE_CURVE('',#147277,#147275,#62891,.T.); #179008=EDGE_CURVE('',#147276,#147277,#62892,.T.); #179009=EDGE_CURVE('',#147278,#147276,#62893,.T.); #179010=EDGE_CURVE('',#147279,#147277,#62894,.T.); #179011=EDGE_CURVE('',#147278,#147279,#62895,.T.); #179012=EDGE_CURVE('',#147280,#147278,#62896,.T.); #179013=EDGE_CURVE('',#147281,#147279,#62897,.T.); #179014=EDGE_CURVE('',#147280,#147281,#62898,.T.); #179015=EDGE_CURVE('',#147282,#147280,#62899,.T.); #179016=EDGE_CURVE('',#147283,#147281,#62900,.T.); #179017=EDGE_CURVE('',#147282,#147283,#62901,.T.); #179018=EDGE_CURVE('',#147284,#147282,#138930,.T.); #179019=EDGE_CURVE('',#147285,#147283,#138931,.T.); #179020=EDGE_CURVE('',#147284,#147285,#62902,.T.); #179021=EDGE_CURVE('',#147265,#147284,#138932,.T.); #179022=EDGE_CURVE('',#147266,#147285,#138933,.T.); #179023=EDGE_CURVE('',#147286,#147287,#62903,.T.); #179024=EDGE_CURVE('',#147287,#147288,#62904,.T.); #179025=EDGE_CURVE('',#147289,#147288,#62905,.T.); #179026=EDGE_CURVE('',#147286,#147289,#62906,.T.); #179027=EDGE_CURVE('',#147290,#147286,#62907,.T.); #179028=EDGE_CURVE('',#147291,#147289,#62908,.T.); #179029=EDGE_CURVE('',#147290,#147291,#62909,.T.); #179030=EDGE_CURVE('',#147292,#147290,#62910,.T.); #179031=EDGE_CURVE('',#147293,#147291,#62911,.T.); #179032=EDGE_CURVE('',#147292,#147293,#62912,.T.); #179033=EDGE_CURVE('',#147294,#147292,#62913,.T.); #179034=EDGE_CURVE('',#147295,#147293,#62914,.T.); #179035=EDGE_CURVE('',#147294,#147295,#62915,.T.); #179036=EDGE_CURVE('',#147296,#147294,#62916,.T.); #179037=EDGE_CURVE('',#147297,#147295,#62917,.T.); #179038=EDGE_CURVE('',#147296,#147297,#62918,.T.); #179039=EDGE_CURVE('',#147298,#147296,#138934,.T.); #179040=EDGE_CURVE('',#147299,#147297,#138935,.T.); #179041=EDGE_CURVE('',#147298,#147299,#62919,.T.); #179042=EDGE_CURVE('',#147300,#147298,#62920,.T.); #179043=EDGE_CURVE('',#147301,#147299,#62921,.T.); #179044=EDGE_CURVE('',#147300,#147301,#62922,.T.); #179045=EDGE_CURVE('',#147302,#147300,#62923,.T.); #179046=EDGE_CURVE('',#147303,#147301,#62924,.T.); #179047=EDGE_CURVE('',#147302,#147303,#62925,.T.); #179048=EDGE_CURVE('',#147304,#147302,#62926,.T.); #179049=EDGE_CURVE('',#147305,#147303,#62927,.T.); #179050=EDGE_CURVE('',#147304,#147305,#62928,.T.); #179051=EDGE_CURVE('',#147306,#147304,#138936,.T.); #179052=EDGE_CURVE('',#147307,#147305,#138937,.T.); #179053=EDGE_CURVE('',#147306,#147307,#62929,.T.); #179054=EDGE_CURVE('',#147308,#147306,#62930,.T.); #179055=EDGE_CURVE('',#147309,#147307,#62931,.T.); #179056=EDGE_CURVE('',#147308,#147309,#62932,.T.); #179057=EDGE_CURVE('',#147310,#147308,#138938,.T.); #179058=EDGE_CURVE('',#147311,#147309,#138939,.T.); #179059=EDGE_CURVE('',#147310,#147311,#62933,.T.); #179060=EDGE_CURVE('',#147312,#147310,#62934,.T.); #179061=EDGE_CURVE('',#147313,#147311,#62935,.T.); #179062=EDGE_CURVE('',#147312,#147313,#62936,.T.); #179063=EDGE_CURVE('',#147314,#147312,#138940,.T.); #179064=EDGE_CURVE('',#147315,#147313,#138941,.T.); #179065=EDGE_CURVE('',#147314,#147315,#62937,.T.); #179066=EDGE_CURVE('',#147316,#147314,#62938,.T.); #179067=EDGE_CURVE('',#147317,#147315,#62939,.T.); #179068=EDGE_CURVE('',#147316,#147317,#62940,.T.); #179069=EDGE_CURVE('',#147318,#147316,#62941,.T.); #179070=EDGE_CURVE('',#147319,#147317,#62942,.T.); #179071=EDGE_CURVE('',#147318,#147319,#62943,.T.); #179072=EDGE_CURVE('',#147320,#147318,#138942,.T.); #179073=EDGE_CURVE('',#147321,#147319,#138943,.T.); #179074=EDGE_CURVE('',#147320,#147321,#62944,.T.); #179075=EDGE_CURVE('',#147322,#147320,#62945,.T.); #179076=EDGE_CURVE('',#147323,#147321,#62946,.T.); #179077=EDGE_CURVE('',#147322,#147323,#62947,.T.); #179078=EDGE_CURVE('',#147324,#147322,#62948,.T.); #179079=EDGE_CURVE('',#147325,#147323,#62949,.T.); #179080=EDGE_CURVE('',#147324,#147325,#62950,.T.); #179081=EDGE_CURVE('',#147326,#147324,#62951,.T.); #179082=EDGE_CURVE('',#147327,#147325,#62952,.T.); #179083=EDGE_CURVE('',#147326,#147327,#62953,.T.); #179084=EDGE_CURVE('',#147328,#147326,#62954,.T.); #179085=EDGE_CURVE('',#147329,#147327,#62955,.T.); #179086=EDGE_CURVE('',#147328,#147329,#62956,.T.); #179087=EDGE_CURVE('',#147330,#147328,#62957,.T.); #179088=EDGE_CURVE('',#147331,#147329,#62958,.T.); #179089=EDGE_CURVE('',#147330,#147331,#62959,.T.); #179090=EDGE_CURVE('',#147332,#147330,#62960,.T.); #179091=EDGE_CURVE('',#147333,#147331,#62961,.T.); #179092=EDGE_CURVE('',#147332,#147333,#62962,.T.); #179093=EDGE_CURVE('',#147334,#147332,#62963,.T.); #179094=EDGE_CURVE('',#147335,#147333,#62964,.T.); #179095=EDGE_CURVE('',#147334,#147335,#62965,.T.); #179096=EDGE_CURVE('',#147336,#147334,#138944,.T.); #179097=EDGE_CURVE('',#147337,#147335,#138945,.T.); #179098=EDGE_CURVE('',#147336,#147337,#62966,.T.); #179099=EDGE_CURVE('',#147338,#147336,#62967,.T.); #179100=EDGE_CURVE('',#147339,#147337,#62968,.T.); #179101=EDGE_CURVE('',#147338,#147339,#62969,.T.); #179102=EDGE_CURVE('',#147340,#147338,#62970,.T.); #179103=EDGE_CURVE('',#147341,#147339,#62971,.T.); #179104=EDGE_CURVE('',#147340,#147341,#62972,.T.); #179105=EDGE_CURVE('',#147342,#147340,#138946,.T.); #179106=EDGE_CURVE('',#147343,#147341,#138947,.T.); #179107=EDGE_CURVE('',#147342,#147343,#62973,.T.); #179108=EDGE_CURVE('',#147344,#147342,#62974,.T.); #179109=EDGE_CURVE('',#147345,#147343,#62975,.T.); #179110=EDGE_CURVE('',#147344,#147345,#62976,.T.); #179111=EDGE_CURVE('',#147346,#147344,#62977,.T.); #179112=EDGE_CURVE('',#147347,#147345,#62978,.T.); #179113=EDGE_CURVE('',#147346,#147347,#62979,.T.); #179114=EDGE_CURVE('',#147348,#147346,#62980,.T.); #179115=EDGE_CURVE('',#147349,#147347,#62981,.T.); #179116=EDGE_CURVE('',#147348,#147349,#62982,.T.); #179117=EDGE_CURVE('',#147350,#147348,#62983,.T.); #179118=EDGE_CURVE('',#147351,#147349,#62984,.T.); #179119=EDGE_CURVE('',#147350,#147351,#62985,.T.); #179120=EDGE_CURVE('',#147352,#147350,#138948,.T.); #179121=EDGE_CURVE('',#147353,#147351,#138949,.T.); #179122=EDGE_CURVE('',#147352,#147353,#62986,.T.); #179123=EDGE_CURVE('',#147354,#147352,#62987,.T.); #179124=EDGE_CURVE('',#147355,#147353,#62988,.T.); #179125=EDGE_CURVE('',#147354,#147355,#62989,.T.); #179126=EDGE_CURVE('',#147356,#147354,#138950,.T.); #179127=EDGE_CURVE('',#147357,#147355,#138951,.T.); #179128=EDGE_CURVE('',#147356,#147357,#62990,.T.); #179129=EDGE_CURVE('',#147358,#147356,#62991,.T.); #179130=EDGE_CURVE('',#147359,#147357,#62992,.T.); #179131=EDGE_CURVE('',#147358,#147359,#62993,.T.); #179132=EDGE_CURVE('',#147360,#147358,#62994,.T.); #179133=EDGE_CURVE('',#147361,#147359,#62995,.T.); #179134=EDGE_CURVE('',#147360,#147361,#62996,.T.); #179135=EDGE_CURVE('',#147287,#147360,#62997,.T.); #179136=EDGE_CURVE('',#147288,#147361,#62998,.T.); #179137=EDGE_CURVE('',#147362,#147363,#62999,.T.); #179138=EDGE_CURVE('',#147363,#147364,#63000,.T.); #179139=EDGE_CURVE('',#147365,#147364,#63001,.T.); #179140=EDGE_CURVE('',#147362,#147365,#63002,.T.); #179141=EDGE_CURVE('',#147366,#147362,#63003,.T.); #179142=EDGE_CURVE('',#147367,#147365,#63004,.T.); #179143=EDGE_CURVE('',#147366,#147367,#63005,.T.); #179144=EDGE_CURVE('',#147368,#147366,#63006,.T.); #179145=EDGE_CURVE('',#147369,#147367,#63007,.T.); #179146=EDGE_CURVE('',#147368,#147369,#63008,.T.); #179147=EDGE_CURVE('',#147370,#147368,#63009,.T.); #179148=EDGE_CURVE('',#147371,#147369,#63010,.T.); #179149=EDGE_CURVE('',#147370,#147371,#63011,.T.); #179150=EDGE_CURVE('',#147372,#147370,#63012,.T.); #179151=EDGE_CURVE('',#147373,#147371,#63013,.T.); #179152=EDGE_CURVE('',#147372,#147373,#63014,.T.); #179153=EDGE_CURVE('',#147374,#147372,#63015,.T.); #179154=EDGE_CURVE('',#147375,#147373,#63016,.T.); #179155=EDGE_CURVE('',#147374,#147375,#63017,.T.); #179156=EDGE_CURVE('',#147376,#147374,#138952,.T.); #179157=EDGE_CURVE('',#147377,#147375,#138953,.T.); #179158=EDGE_CURVE('',#147376,#147377,#63018,.T.); #179159=EDGE_CURVE('',#147378,#147376,#63019,.T.); #179160=EDGE_CURVE('',#147379,#147377,#63020,.T.); #179161=EDGE_CURVE('',#147378,#147379,#63021,.T.); #179162=EDGE_CURVE('',#147380,#147378,#138954,.T.); #179163=EDGE_CURVE('',#147381,#147379,#138955,.T.); #179164=EDGE_CURVE('',#147380,#147381,#63022,.T.); #179165=EDGE_CURVE('',#147382,#147380,#63023,.T.); #179166=EDGE_CURVE('',#147383,#147381,#63024,.T.); #179167=EDGE_CURVE('',#147382,#147383,#63025,.T.); #179168=EDGE_CURVE('',#147384,#147382,#63026,.T.); #179169=EDGE_CURVE('',#147385,#147383,#63027,.T.); #179170=EDGE_CURVE('',#147384,#147385,#63028,.T.); #179171=EDGE_CURVE('',#147386,#147384,#63029,.T.); #179172=EDGE_CURVE('',#147387,#147385,#63030,.T.); #179173=EDGE_CURVE('',#147386,#147387,#63031,.T.); #179174=EDGE_CURVE('',#147388,#147386,#63032,.T.); #179175=EDGE_CURVE('',#147389,#147387,#63033,.T.); #179176=EDGE_CURVE('',#147388,#147389,#63034,.T.); #179177=EDGE_CURVE('',#147390,#147388,#138956,.T.); #179178=EDGE_CURVE('',#147391,#147389,#138957,.T.); #179179=EDGE_CURVE('',#147390,#147391,#63035,.T.); #179180=EDGE_CURVE('',#147392,#147390,#63036,.T.); #179181=EDGE_CURVE('',#147393,#147391,#63037,.T.); #179182=EDGE_CURVE('',#147392,#147393,#63038,.T.); #179183=EDGE_CURVE('',#147394,#147392,#63039,.T.); #179184=EDGE_CURVE('',#147395,#147393,#63040,.T.); #179185=EDGE_CURVE('',#147394,#147395,#63041,.T.); #179186=EDGE_CURVE('',#147396,#147394,#138958,.T.); #179187=EDGE_CURVE('',#147397,#147395,#138959,.T.); #179188=EDGE_CURVE('',#147396,#147397,#63042,.T.); #179189=EDGE_CURVE('',#147398,#147396,#63043,.T.); #179190=EDGE_CURVE('',#147399,#147397,#63044,.T.); #179191=EDGE_CURVE('',#147398,#147399,#63045,.T.); #179192=EDGE_CURVE('',#147400,#147398,#63046,.T.); #179193=EDGE_CURVE('',#147401,#147399,#63047,.T.); #179194=EDGE_CURVE('',#147400,#147401,#63048,.T.); #179195=EDGE_CURVE('',#147402,#147400,#63049,.T.); #179196=EDGE_CURVE('',#147403,#147401,#63050,.T.); #179197=EDGE_CURVE('',#147402,#147403,#63051,.T.); #179198=EDGE_CURVE('',#147404,#147402,#63052,.T.); #179199=EDGE_CURVE('',#147405,#147403,#63053,.T.); #179200=EDGE_CURVE('',#147404,#147405,#63054,.T.); #179201=EDGE_CURVE('',#147406,#147404,#63055,.T.); #179202=EDGE_CURVE('',#147407,#147405,#63056,.T.); #179203=EDGE_CURVE('',#147406,#147407,#63057,.T.); #179204=EDGE_CURVE('',#147408,#147406,#63058,.T.); #179205=EDGE_CURVE('',#147409,#147407,#63059,.T.); #179206=EDGE_CURVE('',#147408,#147409,#63060,.T.); #179207=EDGE_CURVE('',#147410,#147408,#63061,.T.); #179208=EDGE_CURVE('',#147411,#147409,#63062,.T.); #179209=EDGE_CURVE('',#147410,#147411,#63063,.T.); #179210=EDGE_CURVE('',#147412,#147410,#138960,.T.); #179211=EDGE_CURVE('',#147413,#147411,#138961,.T.); #179212=EDGE_CURVE('',#147412,#147413,#63064,.T.); #179213=EDGE_CURVE('',#147414,#147412,#63065,.T.); #179214=EDGE_CURVE('',#147415,#147413,#63066,.T.); #179215=EDGE_CURVE('',#147414,#147415,#63067,.T.); #179216=EDGE_CURVE('',#147416,#147414,#63068,.T.); #179217=EDGE_CURVE('',#147417,#147415,#63069,.T.); #179218=EDGE_CURVE('',#147416,#147417,#63070,.T.); #179219=EDGE_CURVE('',#147418,#147416,#138962,.T.); #179220=EDGE_CURVE('',#147419,#147417,#138963,.T.); #179221=EDGE_CURVE('',#147418,#147419,#63071,.T.); #179222=EDGE_CURVE('',#147420,#147418,#63072,.T.); #179223=EDGE_CURVE('',#147421,#147419,#63073,.T.); #179224=EDGE_CURVE('',#147420,#147421,#63074,.T.); #179225=EDGE_CURVE('',#147422,#147420,#138964,.T.); #179226=EDGE_CURVE('',#147423,#147421,#138965,.T.); #179227=EDGE_CURVE('',#147422,#147423,#63075,.T.); #179228=EDGE_CURVE('',#147424,#147422,#63076,.T.); #179229=EDGE_CURVE('',#147425,#147423,#63077,.T.); #179230=EDGE_CURVE('',#147424,#147425,#63078,.T.); #179231=EDGE_CURVE('',#147426,#147424,#138966,.T.); #179232=EDGE_CURVE('',#147427,#147425,#138967,.T.); #179233=EDGE_CURVE('',#147426,#147427,#63079,.T.); #179234=EDGE_CURVE('',#147428,#147426,#63080,.T.); #179235=EDGE_CURVE('',#147429,#147427,#63081,.T.); #179236=EDGE_CURVE('',#147428,#147429,#63082,.T.); #179237=EDGE_CURVE('',#147430,#147428,#63083,.T.); #179238=EDGE_CURVE('',#147431,#147429,#63084,.T.); #179239=EDGE_CURVE('',#147430,#147431,#63085,.T.); #179240=EDGE_CURVE('',#147432,#147430,#63086,.T.); #179241=EDGE_CURVE('',#147433,#147431,#63087,.T.); #179242=EDGE_CURVE('',#147432,#147433,#63088,.T.); #179243=EDGE_CURVE('',#147434,#147432,#138968,.T.); #179244=EDGE_CURVE('',#147435,#147433,#138969,.T.); #179245=EDGE_CURVE('',#147434,#147435,#63089,.T.); #179246=EDGE_CURVE('',#147436,#147434,#63090,.T.); #179247=EDGE_CURVE('',#147437,#147435,#63091,.T.); #179248=EDGE_CURVE('',#147436,#147437,#63092,.T.); #179249=EDGE_CURVE('',#147363,#147436,#63093,.T.); #179250=EDGE_CURVE('',#147364,#147437,#63094,.T.); #179251=EDGE_CURVE('',#147438,#147439,#63095,.T.); #179252=EDGE_CURVE('',#147439,#147440,#63096,.T.); #179253=EDGE_CURVE('',#147441,#147440,#63097,.T.); #179254=EDGE_CURVE('',#147438,#147441,#63098,.T.); #179255=EDGE_CURVE('',#147442,#147438,#63099,.T.); #179256=EDGE_CURVE('',#147443,#147441,#63100,.T.); #179257=EDGE_CURVE('',#147442,#147443,#63101,.T.); #179258=EDGE_CURVE('',#147444,#147442,#63102,.T.); #179259=EDGE_CURVE('',#147445,#147443,#63103,.T.); #179260=EDGE_CURVE('',#147444,#147445,#63104,.T.); #179261=EDGE_CURVE('',#147446,#147444,#63105,.T.); #179262=EDGE_CURVE('',#147447,#147445,#63106,.T.); #179263=EDGE_CURVE('',#147446,#147447,#63107,.T.); #179264=EDGE_CURVE('',#147448,#147446,#138970,.T.); #179265=EDGE_CURVE('',#147449,#147447,#138971,.T.); #179266=EDGE_CURVE('',#147448,#147449,#63108,.T.); #179267=EDGE_CURVE('',#147450,#147448,#63109,.T.); #179268=EDGE_CURVE('',#147451,#147449,#63110,.T.); #179269=EDGE_CURVE('',#147450,#147451,#63111,.T.); #179270=EDGE_CURVE('',#147452,#147450,#63112,.T.); #179271=EDGE_CURVE('',#147453,#147451,#63113,.T.); #179272=EDGE_CURVE('',#147452,#147453,#63114,.T.); #179273=EDGE_CURVE('',#147454,#147452,#138972,.T.); #179274=EDGE_CURVE('',#147455,#147453,#138973,.T.); #179275=EDGE_CURVE('',#147454,#147455,#63115,.T.); #179276=EDGE_CURVE('',#147456,#147454,#63116,.T.); #179277=EDGE_CURVE('',#147457,#147455,#63117,.T.); #179278=EDGE_CURVE('',#147456,#147457,#63118,.T.); #179279=EDGE_CURVE('',#147458,#147456,#63119,.T.); #179280=EDGE_CURVE('',#147459,#147457,#63120,.T.); #179281=EDGE_CURVE('',#147458,#147459,#63121,.T.); #179282=EDGE_CURVE('',#147460,#147458,#63122,.T.); #179283=EDGE_CURVE('',#147461,#147459,#63123,.T.); #179284=EDGE_CURVE('',#147460,#147461,#63124,.T.); #179285=EDGE_CURVE('',#147462,#147460,#63125,.T.); #179286=EDGE_CURVE('',#147463,#147461,#63126,.T.); #179287=EDGE_CURVE('',#147462,#147463,#63127,.T.); #179288=EDGE_CURVE('',#147464,#147462,#63128,.T.); #179289=EDGE_CURVE('',#147465,#147463,#63129,.T.); #179290=EDGE_CURVE('',#147464,#147465,#63130,.T.); #179291=EDGE_CURVE('',#147466,#147464,#63131,.T.); #179292=EDGE_CURVE('',#147467,#147465,#63132,.T.); #179293=EDGE_CURVE('',#147466,#147467,#63133,.T.); #179294=EDGE_CURVE('',#147468,#147466,#63134,.T.); #179295=EDGE_CURVE('',#147469,#147467,#63135,.T.); #179296=EDGE_CURVE('',#147468,#147469,#63136,.T.); #179297=EDGE_CURVE('',#147470,#147468,#138974,.T.); #179298=EDGE_CURVE('',#147471,#147469,#138975,.T.); #179299=EDGE_CURVE('',#147470,#147471,#63137,.T.); #179300=EDGE_CURVE('',#147472,#147470,#63138,.T.); #179301=EDGE_CURVE('',#147473,#147471,#63139,.T.); #179302=EDGE_CURVE('',#147472,#147473,#63140,.T.); #179303=EDGE_CURVE('',#147474,#147472,#63141,.T.); #179304=EDGE_CURVE('',#147475,#147473,#63142,.T.); #179305=EDGE_CURVE('',#147474,#147475,#63143,.T.); #179306=EDGE_CURVE('',#147476,#147474,#63144,.T.); #179307=EDGE_CURVE('',#147477,#147475,#63145,.T.); #179308=EDGE_CURVE('',#147476,#147477,#63146,.T.); #179309=EDGE_CURVE('',#147439,#147476,#63147,.T.); #179310=EDGE_CURVE('',#147440,#147477,#63148,.T.); #179311=EDGE_CURVE('',#147478,#147478,#138976,.T.); #179312=EDGE_CURVE('',#147478,#147479,#63149,.T.); #179313=EDGE_CURVE('',#147479,#147479,#138977,.T.); #179314=EDGE_CURVE('',#147480,#147481,#63150,.T.); #179315=EDGE_CURVE('',#147481,#147482,#63151,.T.); #179316=EDGE_CURVE('',#147483,#147482,#63152,.T.); #179317=EDGE_CURVE('',#147480,#147483,#63153,.T.); #179318=EDGE_CURVE('',#147484,#147480,#138978,.T.); #179319=EDGE_CURVE('',#147485,#147483,#138979,.T.); #179320=EDGE_CURVE('',#147484,#147485,#63154,.T.); #179321=EDGE_CURVE('',#147486,#147484,#63155,.T.); #179322=EDGE_CURVE('',#147487,#147485,#63156,.T.); #179323=EDGE_CURVE('',#147486,#147487,#63157,.T.); #179324=EDGE_CURVE('',#147488,#147486,#138980,.T.); #179325=EDGE_CURVE('',#147489,#147487,#138981,.T.); #179326=EDGE_CURVE('',#147488,#147489,#63158,.T.); #179327=EDGE_CURVE('',#147490,#147488,#63159,.T.); #179328=EDGE_CURVE('',#147491,#147489,#63160,.T.); #179329=EDGE_CURVE('',#147490,#147491,#63161,.T.); #179330=EDGE_CURVE('',#147492,#147490,#63162,.T.); #179331=EDGE_CURVE('',#147493,#147491,#63163,.T.); #179332=EDGE_CURVE('',#147492,#147493,#63164,.T.); #179333=EDGE_CURVE('',#147494,#147492,#138982,.T.); #179334=EDGE_CURVE('',#147495,#147493,#138983,.T.); #179335=EDGE_CURVE('',#147494,#147495,#63165,.T.); #179336=EDGE_CURVE('',#147496,#147494,#63166,.T.); #179337=EDGE_CURVE('',#147497,#147495,#63167,.T.); #179338=EDGE_CURVE('',#147496,#147497,#63168,.T.); #179339=EDGE_CURVE('',#147498,#147496,#63169,.T.); #179340=EDGE_CURVE('',#147499,#147497,#63170,.T.); #179341=EDGE_CURVE('',#147498,#147499,#63171,.T.); #179342=EDGE_CURVE('',#147500,#147498,#63172,.T.); #179343=EDGE_CURVE('',#147501,#147499,#63173,.T.); #179344=EDGE_CURVE('',#147500,#147501,#63174,.T.); #179345=EDGE_CURVE('',#147502,#147500,#63175,.T.); #179346=EDGE_CURVE('',#147503,#147501,#63176,.T.); #179347=EDGE_CURVE('',#147502,#147503,#63177,.T.); #179348=EDGE_CURVE('',#147504,#147502,#63178,.T.); #179349=EDGE_CURVE('',#147505,#147503,#63179,.T.); #179350=EDGE_CURVE('',#147504,#147505,#63180,.T.); #179351=EDGE_CURVE('',#147506,#147504,#63181,.T.); #179352=EDGE_CURVE('',#147507,#147505,#63182,.T.); #179353=EDGE_CURVE('',#147506,#147507,#63183,.T.); #179354=EDGE_CURVE('',#147508,#147506,#63184,.T.); #179355=EDGE_CURVE('',#147509,#147507,#63185,.T.); #179356=EDGE_CURVE('',#147508,#147509,#63186,.T.); #179357=EDGE_CURVE('',#147510,#147508,#138984,.T.); #179358=EDGE_CURVE('',#147511,#147509,#138985,.T.); #179359=EDGE_CURVE('',#147510,#147511,#63187,.T.); #179360=EDGE_CURVE('',#147512,#147510,#63188,.T.); #179361=EDGE_CURVE('',#147513,#147511,#63189,.T.); #179362=EDGE_CURVE('',#147512,#147513,#63190,.T.); #179363=EDGE_CURVE('',#147514,#147512,#63191,.T.); #179364=EDGE_CURVE('',#147515,#147513,#63192,.T.); #179365=EDGE_CURVE('',#147514,#147515,#63193,.T.); #179366=EDGE_CURVE('',#147516,#147514,#138986,.T.); #179367=EDGE_CURVE('',#147517,#147515,#138987,.T.); #179368=EDGE_CURVE('',#147516,#147517,#63194,.T.); #179369=EDGE_CURVE('',#147481,#147516,#138988,.T.); #179370=EDGE_CURVE('',#147482,#147517,#138989,.T.); #179371=EDGE_CURVE('',#147518,#147518,#138990,.T.); #179372=EDGE_CURVE('',#147518,#147519,#63195,.T.); #179373=EDGE_CURVE('',#147519,#147519,#138991,.T.); #179374=EDGE_CURVE('',#147520,#147521,#63196,.T.); #179375=EDGE_CURVE('',#147521,#147522,#63197,.T.); #179376=EDGE_CURVE('',#147523,#147522,#63198,.T.); #179377=EDGE_CURVE('',#147520,#147523,#63199,.T.); #179378=EDGE_CURVE('',#147524,#147520,#138992,.T.); #179379=EDGE_CURVE('',#147525,#147523,#138993,.T.); #179380=EDGE_CURVE('',#147524,#147525,#63200,.T.); #179381=EDGE_CURVE('',#147526,#147524,#63201,.T.); #179382=EDGE_CURVE('',#147527,#147525,#63202,.T.); #179383=EDGE_CURVE('',#147526,#147527,#63203,.T.); #179384=EDGE_CURVE('',#147528,#147526,#63204,.T.); #179385=EDGE_CURVE('',#147529,#147527,#63205,.T.); #179386=EDGE_CURVE('',#147528,#147529,#63206,.T.); #179387=EDGE_CURVE('',#147530,#147528,#138994,.T.); #179388=EDGE_CURVE('',#147531,#147529,#138995,.T.); #179389=EDGE_CURVE('',#147530,#147531,#63207,.T.); #179390=EDGE_CURVE('',#147532,#147530,#63208,.T.); #179391=EDGE_CURVE('',#147533,#147531,#63209,.T.); #179392=EDGE_CURVE('',#147532,#147533,#63210,.T.); #179393=EDGE_CURVE('',#147534,#147532,#63211,.T.); #179394=EDGE_CURVE('',#147535,#147533,#63212,.T.); #179395=EDGE_CURVE('',#147534,#147535,#63213,.T.); #179396=EDGE_CURVE('',#147536,#147534,#63214,.T.); #179397=EDGE_CURVE('',#147537,#147535,#63215,.T.); #179398=EDGE_CURVE('',#147536,#147537,#63216,.T.); #179399=EDGE_CURVE('',#147538,#147536,#63217,.T.); #179400=EDGE_CURVE('',#147539,#147537,#63218,.T.); #179401=EDGE_CURVE('',#147538,#147539,#63219,.T.); #179402=EDGE_CURVE('',#147540,#147538,#63220,.T.); #179403=EDGE_CURVE('',#147541,#147539,#63221,.T.); #179404=EDGE_CURVE('',#147540,#147541,#63222,.T.); #179405=EDGE_CURVE('',#147542,#147540,#63223,.T.); #179406=EDGE_CURVE('',#147543,#147541,#63224,.T.); #179407=EDGE_CURVE('',#147542,#147543,#63225,.T.); #179408=EDGE_CURVE('',#147544,#147542,#63226,.T.); #179409=EDGE_CURVE('',#147545,#147543,#63227,.T.); #179410=EDGE_CURVE('',#147544,#147545,#63228,.T.); #179411=EDGE_CURVE('',#147546,#147544,#63229,.T.); #179412=EDGE_CURVE('',#147547,#147545,#63230,.T.); #179413=EDGE_CURVE('',#147546,#147547,#63231,.T.); #179414=EDGE_CURVE('',#147548,#147546,#138996,.T.); #179415=EDGE_CURVE('',#147549,#147547,#138997,.T.); #179416=EDGE_CURVE('',#147548,#147549,#63232,.T.); #179417=EDGE_CURVE('',#147550,#147548,#63233,.T.); #179418=EDGE_CURVE('',#147551,#147549,#63234,.T.); #179419=EDGE_CURVE('',#147550,#147551,#63235,.T.); #179420=EDGE_CURVE('',#147521,#147550,#138998,.T.); #179421=EDGE_CURVE('',#147522,#147551,#138999,.T.); #179422=EDGE_CURVE('',#147552,#147552,#139000,.T.); #179423=EDGE_CURVE('',#147552,#147553,#63236,.T.); #179424=EDGE_CURVE('',#147553,#147553,#139001,.T.); #179425=EDGE_CURVE('',#147554,#147555,#63237,.T.); #179426=EDGE_CURVE('',#147555,#147556,#63238,.T.); #179427=EDGE_CURVE('',#147557,#147556,#63239,.T.); #179428=EDGE_CURVE('',#147554,#147557,#63240,.T.); #179429=EDGE_CURVE('',#147558,#147554,#139002,.T.); #179430=EDGE_CURVE('',#147559,#147557,#139003,.T.); #179431=EDGE_CURVE('',#147558,#147559,#63241,.T.); #179432=EDGE_CURVE('',#147560,#147558,#139004,.T.); #179433=EDGE_CURVE('',#147561,#147559,#139005,.T.); #179434=EDGE_CURVE('',#147560,#147561,#63242,.T.); #179435=EDGE_CURVE('',#147562,#147560,#63243,.T.); #179436=EDGE_CURVE('',#147563,#147561,#63244,.T.); #179437=EDGE_CURVE('',#147562,#147563,#63245,.T.); #179438=EDGE_CURVE('',#147564,#147562,#63246,.T.); #179439=EDGE_CURVE('',#147565,#147563,#63247,.T.); #179440=EDGE_CURVE('',#147564,#147565,#63248,.T.); #179441=EDGE_CURVE('',#147566,#147564,#63249,.T.); #179442=EDGE_CURVE('',#147567,#147565,#63250,.T.); #179443=EDGE_CURVE('',#147566,#147567,#63251,.T.); #179444=EDGE_CURVE('',#147568,#147566,#63252,.T.); #179445=EDGE_CURVE('',#147569,#147567,#63253,.T.); #179446=EDGE_CURVE('',#147568,#147569,#63254,.T.); #179447=EDGE_CURVE('',#147570,#147568,#63255,.T.); #179448=EDGE_CURVE('',#147571,#147569,#63256,.T.); #179449=EDGE_CURVE('',#147570,#147571,#63257,.T.); #179450=EDGE_CURVE('',#147572,#147570,#63258,.T.); #179451=EDGE_CURVE('',#147573,#147571,#63259,.T.); #179452=EDGE_CURVE('',#147572,#147573,#63260,.T.); #179453=EDGE_CURVE('',#147574,#147572,#63261,.T.); #179454=EDGE_CURVE('',#147575,#147573,#63262,.T.); #179455=EDGE_CURVE('',#147574,#147575,#63263,.T.); #179456=EDGE_CURVE('',#147576,#147574,#139006,.T.); #179457=EDGE_CURVE('',#147577,#147575,#139007,.T.); #179458=EDGE_CURVE('',#147576,#147577,#63264,.T.); #179459=EDGE_CURVE('',#147578,#147576,#63265,.T.); #179460=EDGE_CURVE('',#147579,#147577,#63266,.T.); #179461=EDGE_CURVE('',#147578,#147579,#63267,.T.); #179462=EDGE_CURVE('',#147555,#147578,#139008,.T.); #179463=EDGE_CURVE('',#147556,#147579,#139009,.T.); #179464=EDGE_CURVE('',#147580,#147580,#139010,.T.); #179465=EDGE_CURVE('',#147580,#147581,#63268,.T.); #179466=EDGE_CURVE('',#147581,#147581,#139011,.T.); #179467=EDGE_CURVE('',#147582,#147583,#63269,.T.); #179468=EDGE_CURVE('',#147583,#147584,#63270,.T.); #179469=EDGE_CURVE('',#147585,#147584,#63271,.T.); #179470=EDGE_CURVE('',#147582,#147585,#63272,.T.); #179471=EDGE_CURVE('',#147586,#147582,#63273,.T.); #179472=EDGE_CURVE('',#147587,#147585,#63274,.T.); #179473=EDGE_CURVE('',#147586,#147587,#63275,.T.); #179474=EDGE_CURVE('',#147588,#147586,#63276,.T.); #179475=EDGE_CURVE('',#147589,#147587,#63277,.T.); #179476=EDGE_CURVE('',#147588,#147589,#63278,.T.); #179477=EDGE_CURVE('',#147590,#147588,#63279,.T.); #179478=EDGE_CURVE('',#147591,#147589,#63280,.T.); #179479=EDGE_CURVE('',#147590,#147591,#63281,.T.); #179480=EDGE_CURVE('',#147592,#147590,#63282,.T.); #179481=EDGE_CURVE('',#147593,#147591,#63283,.T.); #179482=EDGE_CURVE('',#147592,#147593,#63284,.T.); #179483=EDGE_CURVE('',#147594,#147592,#139012,.T.); #179484=EDGE_CURVE('',#147595,#147593,#139013,.T.); #179485=EDGE_CURVE('',#147594,#147595,#63285,.T.); #179486=EDGE_CURVE('',#147596,#147594,#63286,.T.); #179487=EDGE_CURVE('',#147597,#147595,#63287,.T.); #179488=EDGE_CURVE('',#147596,#147597,#63288,.T.); #179489=EDGE_CURVE('',#147598,#147596,#63289,.T.); #179490=EDGE_CURVE('',#147599,#147597,#63290,.T.); #179491=EDGE_CURVE('',#147598,#147599,#63291,.T.); #179492=EDGE_CURVE('',#147600,#147598,#139014,.T.); #179493=EDGE_CURVE('',#147601,#147599,#139015,.T.); #179494=EDGE_CURVE('',#147600,#147601,#63292,.T.); #179495=EDGE_CURVE('',#147602,#147600,#63293,.T.); #179496=EDGE_CURVE('',#147603,#147601,#63294,.T.); #179497=EDGE_CURVE('',#147602,#147603,#63295,.T.); #179498=EDGE_CURVE('',#147604,#147602,#139016,.T.); #179499=EDGE_CURVE('',#147605,#147603,#139017,.T.); #179500=EDGE_CURVE('',#147604,#147605,#63296,.T.); #179501=EDGE_CURVE('',#147606,#147604,#139018,.T.); #179502=EDGE_CURVE('',#147607,#147605,#139019,.T.); #179503=EDGE_CURVE('',#147606,#147607,#63297,.T.); #179504=EDGE_CURVE('',#147608,#147606,#63298,.T.); #179505=EDGE_CURVE('',#147609,#147607,#63299,.T.); #179506=EDGE_CURVE('',#147608,#147609,#63300,.T.); #179507=EDGE_CURVE('',#147610,#147608,#63301,.T.); #179508=EDGE_CURVE('',#147611,#147609,#63302,.T.); #179509=EDGE_CURVE('',#147610,#147611,#63303,.T.); #179510=EDGE_CURVE('',#147612,#147610,#139020,.T.); #179511=EDGE_CURVE('',#147613,#147611,#139021,.T.); #179512=EDGE_CURVE('',#147612,#147613,#63304,.T.); #179513=EDGE_CURVE('',#147614,#147612,#63305,.T.); #179514=EDGE_CURVE('',#147615,#147613,#63306,.T.); #179515=EDGE_CURVE('',#147614,#147615,#63307,.T.); #179516=EDGE_CURVE('',#147616,#147614,#63308,.T.); #179517=EDGE_CURVE('',#147617,#147615,#63309,.T.); #179518=EDGE_CURVE('',#147616,#147617,#63310,.T.); #179519=EDGE_CURVE('',#147583,#147616,#63311,.T.); #179520=EDGE_CURVE('',#147584,#147617,#63312,.T.); #179521=EDGE_CURVE('',#147618,#147618,#139022,.T.); #179522=EDGE_CURVE('',#147618,#147619,#63313,.T.); #179523=EDGE_CURVE('',#147619,#147619,#139023,.T.); #179524=EDGE_CURVE('',#147620,#147621,#63314,.T.); #179525=EDGE_CURVE('',#147621,#147622,#63315,.T.); #179526=EDGE_CURVE('',#147623,#147622,#63316,.T.); #179527=EDGE_CURVE('',#147620,#147623,#63317,.T.); #179528=EDGE_CURVE('',#147624,#147620,#139024,.T.); #179529=EDGE_CURVE('',#147625,#147623,#139025,.T.); #179530=EDGE_CURVE('',#147624,#147625,#63318,.T.); #179531=EDGE_CURVE('',#147626,#147624,#63319,.T.); #179532=EDGE_CURVE('',#147627,#147625,#63320,.T.); #179533=EDGE_CURVE('',#147626,#147627,#63321,.T.); #179534=EDGE_CURVE('',#147628,#147626,#139026,.T.); #179535=EDGE_CURVE('',#147629,#147627,#139027,.T.); #179536=EDGE_CURVE('',#147628,#147629,#63322,.T.); #179537=EDGE_CURVE('',#147630,#147628,#63323,.T.); #179538=EDGE_CURVE('',#147631,#147629,#63324,.T.); #179539=EDGE_CURVE('',#147630,#147631,#63325,.T.); #179540=EDGE_CURVE('',#147632,#147630,#63326,.T.); #179541=EDGE_CURVE('',#147633,#147631,#63327,.T.); #179542=EDGE_CURVE('',#147632,#147633,#63328,.T.); #179543=EDGE_CURVE('',#147634,#147632,#63329,.T.); #179544=EDGE_CURVE('',#147635,#147633,#63330,.T.); #179545=EDGE_CURVE('',#147634,#147635,#63331,.T.); #179546=EDGE_CURVE('',#147636,#147634,#63332,.T.); #179547=EDGE_CURVE('',#147637,#147635,#63333,.T.); #179548=EDGE_CURVE('',#147636,#147637,#63334,.T.); #179549=EDGE_CURVE('',#147638,#147636,#63335,.T.); #179550=EDGE_CURVE('',#147639,#147637,#63336,.T.); #179551=EDGE_CURVE('',#147638,#147639,#63337,.T.); #179552=EDGE_CURVE('',#147640,#147638,#63338,.T.); #179553=EDGE_CURVE('',#147641,#147639,#63339,.T.); #179554=EDGE_CURVE('',#147640,#147641,#63340,.T.); #179555=EDGE_CURVE('',#147642,#147640,#63341,.T.); #179556=EDGE_CURVE('',#147643,#147641,#63342,.T.); #179557=EDGE_CURVE('',#147642,#147643,#63343,.T.); #179558=EDGE_CURVE('',#147644,#147642,#139028,.T.); #179559=EDGE_CURVE('',#147645,#147643,#139029,.T.); #179560=EDGE_CURVE('',#147644,#147645,#63344,.T.); #179561=EDGE_CURVE('',#147621,#147644,#139030,.T.); #179562=EDGE_CURVE('',#147622,#147645,#139031,.T.); #179563=EDGE_CURVE('',#147646,#147646,#139032,.T.); #179564=EDGE_CURVE('',#147646,#147647,#63345,.T.); #179565=EDGE_CURVE('',#147647,#147647,#139033,.T.); #179566=EDGE_CURVE('',#147648,#147649,#63346,.T.); #179567=EDGE_CURVE('',#147649,#147650,#63347,.T.); #179568=EDGE_CURVE('',#147651,#147650,#63348,.T.); #179569=EDGE_CURVE('',#147648,#147651,#63349,.T.); #179570=EDGE_CURVE('',#147652,#147648,#63350,.T.); #179571=EDGE_CURVE('',#147653,#147651,#63351,.T.); #179572=EDGE_CURVE('',#147652,#147653,#63352,.T.); #179573=EDGE_CURVE('',#147654,#147652,#63353,.T.); #179574=EDGE_CURVE('',#147655,#147653,#63354,.T.); #179575=EDGE_CURVE('',#147654,#147655,#63355,.T.); #179576=EDGE_CURVE('',#147656,#147654,#63356,.T.); #179577=EDGE_CURVE('',#147657,#147655,#63357,.T.); #179578=EDGE_CURVE('',#147656,#147657,#63358,.T.); #179579=EDGE_CURVE('',#147658,#147656,#63359,.T.); #179580=EDGE_CURVE('',#147659,#147657,#63360,.T.); #179581=EDGE_CURVE('',#147658,#147659,#63361,.T.); #179582=EDGE_CURVE('',#147660,#147658,#63362,.T.); #179583=EDGE_CURVE('',#147661,#147659,#63363,.T.); #179584=EDGE_CURVE('',#147660,#147661,#63364,.T.); #179585=EDGE_CURVE('',#147662,#147660,#139034,.T.); #179586=EDGE_CURVE('',#147663,#147661,#139035,.T.); #179587=EDGE_CURVE('',#147662,#147663,#63365,.T.); #179588=EDGE_CURVE('',#147664,#147662,#63366,.T.); #179589=EDGE_CURVE('',#147665,#147663,#63367,.T.); #179590=EDGE_CURVE('',#147664,#147665,#63368,.T.); #179591=EDGE_CURVE('',#147666,#147664,#63369,.T.); #179592=EDGE_CURVE('',#147667,#147665,#63370,.T.); #179593=EDGE_CURVE('',#147666,#147667,#63371,.T.); #179594=EDGE_CURVE('',#147668,#147666,#139036,.T.); #179595=EDGE_CURVE('',#147669,#147667,#139037,.T.); #179596=EDGE_CURVE('',#147668,#147669,#63372,.T.); #179597=EDGE_CURVE('',#147670,#147668,#139038,.T.); #179598=EDGE_CURVE('',#147671,#147669,#139039,.T.); #179599=EDGE_CURVE('',#147670,#147671,#63373,.T.); #179600=EDGE_CURVE('',#147672,#147670,#63374,.T.); #179601=EDGE_CURVE('',#147673,#147671,#63375,.T.); #179602=EDGE_CURVE('',#147672,#147673,#63376,.T.); #179603=EDGE_CURVE('',#147674,#147672,#139040,.T.); #179604=EDGE_CURVE('',#147675,#147673,#139041,.T.); #179605=EDGE_CURVE('',#147674,#147675,#63377,.T.); #179606=EDGE_CURVE('',#147676,#147674,#63378,.T.); #179607=EDGE_CURVE('',#147677,#147675,#63379,.T.); #179608=EDGE_CURVE('',#147676,#147677,#63380,.T.); #179609=EDGE_CURVE('',#147678,#147676,#63381,.T.); #179610=EDGE_CURVE('',#147679,#147677,#63382,.T.); #179611=EDGE_CURVE('',#147678,#147679,#63383,.T.); #179612=EDGE_CURVE('',#147680,#147678,#139042,.T.); #179613=EDGE_CURVE('',#147681,#147679,#139043,.T.); #179614=EDGE_CURVE('',#147680,#147681,#63384,.T.); #179615=EDGE_CURVE('',#147682,#147680,#63385,.T.); #179616=EDGE_CURVE('',#147683,#147681,#63386,.T.); #179617=EDGE_CURVE('',#147682,#147683,#63387,.T.); #179618=EDGE_CURVE('',#147649,#147682,#63388,.T.); #179619=EDGE_CURVE('',#147650,#147683,#63389,.T.); #179620=EDGE_CURVE('',#147684,#147684,#139044,.T.); #179621=EDGE_CURVE('',#147684,#147685,#63390,.T.); #179622=EDGE_CURVE('',#147685,#147685,#139045,.T.); #179623=EDGE_CURVE('',#147686,#147687,#63391,.T.); #179624=EDGE_CURVE('',#147687,#147688,#63392,.T.); #179625=EDGE_CURVE('',#147689,#147688,#63393,.T.); #179626=EDGE_CURVE('',#147686,#147689,#63394,.T.); #179627=EDGE_CURVE('',#147690,#147686,#139046,.T.); #179628=EDGE_CURVE('',#147691,#147689,#139047,.T.); #179629=EDGE_CURVE('',#147690,#147691,#63395,.T.); #179630=EDGE_CURVE('',#147692,#147690,#63396,.T.); #179631=EDGE_CURVE('',#147693,#147691,#63397,.T.); #179632=EDGE_CURVE('',#147692,#147693,#63398,.T.); #179633=EDGE_CURVE('',#147694,#147692,#63399,.T.); #179634=EDGE_CURVE('',#147695,#147693,#63400,.T.); #179635=EDGE_CURVE('',#147694,#147695,#63401,.T.); #179636=EDGE_CURVE('',#147696,#147694,#63402,.T.); #179637=EDGE_CURVE('',#147697,#147695,#63403,.T.); #179638=EDGE_CURVE('',#147696,#147697,#63404,.T.); #179639=EDGE_CURVE('',#147698,#147696,#63405,.T.); #179640=EDGE_CURVE('',#147699,#147697,#63406,.T.); #179641=EDGE_CURVE('',#147698,#147699,#63407,.T.); #179642=EDGE_CURVE('',#147700,#147698,#63408,.T.); #179643=EDGE_CURVE('',#147701,#147699,#63409,.T.); #179644=EDGE_CURVE('',#147700,#147701,#63410,.T.); #179645=EDGE_CURVE('',#147702,#147700,#63411,.T.); #179646=EDGE_CURVE('',#147703,#147701,#63412,.T.); #179647=EDGE_CURVE('',#147702,#147703,#63413,.T.); #179648=EDGE_CURVE('',#147704,#147702,#63414,.T.); #179649=EDGE_CURVE('',#147705,#147703,#63415,.T.); #179650=EDGE_CURVE('',#147704,#147705,#63416,.T.); #179651=EDGE_CURVE('',#147706,#147704,#139048,.T.); #179652=EDGE_CURVE('',#147707,#147705,#139049,.T.); #179653=EDGE_CURVE('',#147706,#147707,#63417,.T.); #179654=EDGE_CURVE('',#147687,#147706,#139050,.T.); #179655=EDGE_CURVE('',#147688,#147707,#139051,.T.); #179656=EDGE_CURVE('',#147708,#147708,#139052,.T.); #179657=EDGE_CURVE('',#147708,#147709,#63418,.T.); #179658=EDGE_CURVE('',#147709,#147709,#139053,.T.); #179659=EDGE_CURVE('',#147710,#147711,#63419,.T.); #179660=EDGE_CURVE('',#147711,#147712,#63420,.T.); #179661=EDGE_CURVE('',#147713,#147712,#63421,.T.); #179662=EDGE_CURVE('',#147710,#147713,#63422,.T.); #179663=EDGE_CURVE('',#147714,#147710,#139054,.T.); #179664=EDGE_CURVE('',#147715,#147713,#139055,.T.); #179665=EDGE_CURVE('',#147714,#147715,#63423,.T.); #179666=EDGE_CURVE('',#147716,#147714,#139056,.T.); #179667=EDGE_CURVE('',#147717,#147715,#139057,.T.); #179668=EDGE_CURVE('',#147716,#147717,#63424,.T.); #179669=EDGE_CURVE('',#147718,#147716,#63425,.T.); #179670=EDGE_CURVE('',#147719,#147717,#63426,.T.); #179671=EDGE_CURVE('',#147718,#147719,#63427,.T.); #179672=EDGE_CURVE('',#147720,#147718,#63428,.T.); #179673=EDGE_CURVE('',#147721,#147719,#63429,.T.); #179674=EDGE_CURVE('',#147720,#147721,#63430,.T.); #179675=EDGE_CURVE('',#147722,#147720,#63431,.T.); #179676=EDGE_CURVE('',#147723,#147721,#63432,.T.); #179677=EDGE_CURVE('',#147722,#147723,#63433,.T.); #179678=EDGE_CURVE('',#147724,#147722,#63434,.T.); #179679=EDGE_CURVE('',#147725,#147723,#63435,.T.); #179680=EDGE_CURVE('',#147724,#147725,#63436,.T.); #179681=EDGE_CURVE('',#147726,#147724,#63437,.T.); #179682=EDGE_CURVE('',#147727,#147725,#63438,.T.); #179683=EDGE_CURVE('',#147726,#147727,#63439,.T.); #179684=EDGE_CURVE('',#147728,#147726,#63440,.T.); #179685=EDGE_CURVE('',#147729,#147727,#63441,.T.); #179686=EDGE_CURVE('',#147728,#147729,#63442,.T.); #179687=EDGE_CURVE('',#147730,#147728,#63443,.T.); #179688=EDGE_CURVE('',#147731,#147729,#63444,.T.); #179689=EDGE_CURVE('',#147730,#147731,#63445,.T.); #179690=EDGE_CURVE('',#147711,#147730,#139058,.T.); #179691=EDGE_CURVE('',#147712,#147731,#139059,.T.); #179692=EDGE_CURVE('',#147732,#147732,#139060,.T.); #179693=EDGE_CURVE('',#147732,#147733,#63446,.T.); #179694=EDGE_CURVE('',#147733,#147733,#139061,.T.); #179695=EDGE_CURVE('',#147734,#147735,#63447,.T.); #179696=EDGE_CURVE('',#147735,#147736,#63448,.T.); #179697=EDGE_CURVE('',#147737,#147736,#63449,.T.); #179698=EDGE_CURVE('',#147734,#147737,#63450,.T.); #179699=EDGE_CURVE('',#147738,#147734,#63451,.T.); #179700=EDGE_CURVE('',#147739,#147737,#63452,.T.); #179701=EDGE_CURVE('',#147738,#147739,#63453,.T.); #179702=EDGE_CURVE('',#147740,#147738,#63454,.T.); #179703=EDGE_CURVE('',#147741,#147739,#63455,.T.); #179704=EDGE_CURVE('',#147740,#147741,#63456,.T.); #179705=EDGE_CURVE('',#147742,#147740,#63457,.T.); #179706=EDGE_CURVE('',#147743,#147741,#63458,.T.); #179707=EDGE_CURVE('',#147742,#147743,#63459,.T.); #179708=EDGE_CURVE('',#147744,#147742,#63460,.T.); #179709=EDGE_CURVE('',#147745,#147743,#63461,.T.); #179710=EDGE_CURVE('',#147744,#147745,#63462,.T.); #179711=EDGE_CURVE('',#147746,#147744,#139062,.T.); #179712=EDGE_CURVE('',#147747,#147745,#139063,.T.); #179713=EDGE_CURVE('',#147746,#147747,#63463,.T.); #179714=EDGE_CURVE('',#147748,#147746,#63464,.T.); #179715=EDGE_CURVE('',#147749,#147747,#63465,.T.); #179716=EDGE_CURVE('',#147748,#147749,#63466,.T.); #179717=EDGE_CURVE('',#147750,#147748,#63467,.T.); #179718=EDGE_CURVE('',#147751,#147749,#63468,.T.); #179719=EDGE_CURVE('',#147750,#147751,#63469,.T.); #179720=EDGE_CURVE('',#147752,#147750,#63470,.T.); #179721=EDGE_CURVE('',#147753,#147751,#63471,.T.); #179722=EDGE_CURVE('',#147752,#147753,#63472,.T.); #179723=EDGE_CURVE('',#147754,#147752,#63473,.T.); #179724=EDGE_CURVE('',#147755,#147753,#63474,.T.); #179725=EDGE_CURVE('',#147754,#147755,#63475,.T.); #179726=EDGE_CURVE('',#147756,#147754,#63476,.T.); #179727=EDGE_CURVE('',#147757,#147755,#63477,.T.); #179728=EDGE_CURVE('',#147756,#147757,#63478,.T.); #179729=EDGE_CURVE('',#147758,#147756,#139064,.T.); #179730=EDGE_CURVE('',#147759,#147757,#139065,.T.); #179731=EDGE_CURVE('',#147758,#147759,#63479,.T.); #179732=EDGE_CURVE('',#147760,#147758,#139066,.T.); #179733=EDGE_CURVE('',#147761,#147759,#139067,.T.); #179734=EDGE_CURVE('',#147760,#147761,#63480,.T.); #179735=EDGE_CURVE('',#147762,#147760,#63481,.T.); #179736=EDGE_CURVE('',#147763,#147761,#63482,.T.); #179737=EDGE_CURVE('',#147762,#147763,#63483,.T.); #179738=EDGE_CURVE('',#147764,#147762,#139068,.T.); #179739=EDGE_CURVE('',#147765,#147763,#139069,.T.); #179740=EDGE_CURVE('',#147764,#147765,#63484,.T.); #179741=EDGE_CURVE('',#147766,#147764,#63485,.T.); #179742=EDGE_CURVE('',#147767,#147765,#63486,.T.); #179743=EDGE_CURVE('',#147766,#147767,#63487,.T.); #179744=EDGE_CURVE('',#147768,#147766,#139070,.T.); #179745=EDGE_CURVE('',#147769,#147767,#139071,.T.); #179746=EDGE_CURVE('',#147768,#147769,#63488,.T.); #179747=EDGE_CURVE('',#147770,#147768,#63489,.T.); #179748=EDGE_CURVE('',#147771,#147769,#63490,.T.); #179749=EDGE_CURVE('',#147770,#147771,#63491,.T.); #179750=EDGE_CURVE('',#147772,#147770,#139072,.T.); #179751=EDGE_CURVE('',#147773,#147771,#139073,.T.); #179752=EDGE_CURVE('',#147772,#147773,#63492,.T.); #179753=EDGE_CURVE('',#147774,#147772,#63493,.T.); #179754=EDGE_CURVE('',#147775,#147773,#63494,.T.); #179755=EDGE_CURVE('',#147774,#147775,#63495,.T.); #179756=EDGE_CURVE('',#147776,#147774,#139074,.T.); #179757=EDGE_CURVE('',#147777,#147775,#139075,.T.); #179758=EDGE_CURVE('',#147776,#147777,#63496,.T.); #179759=EDGE_CURVE('',#147778,#147776,#63497,.T.); #179760=EDGE_CURVE('',#147779,#147777,#63498,.T.); #179761=EDGE_CURVE('',#147778,#147779,#63499,.T.); #179762=EDGE_CURVE('',#147735,#147778,#63500,.T.); #179763=EDGE_CURVE('',#147736,#147779,#63501,.T.); #179764=EDGE_CURVE('',#147780,#147780,#139076,.T.); #179765=EDGE_CURVE('',#147780,#147781,#63502,.T.); #179766=EDGE_CURVE('',#147781,#147781,#139077,.T.); #179767=EDGE_CURVE('',#147782,#147783,#63503,.T.); #179768=EDGE_CURVE('',#147783,#147784,#63504,.T.); #179769=EDGE_CURVE('',#147785,#147784,#63505,.T.); #179770=EDGE_CURVE('',#147782,#147785,#63506,.T.); #179771=EDGE_CURVE('',#147786,#147782,#63507,.T.); #179772=EDGE_CURVE('',#147787,#147785,#63508,.T.); #179773=EDGE_CURVE('',#147786,#147787,#63509,.T.); #179774=EDGE_CURVE('',#147788,#147786,#63510,.T.); #179775=EDGE_CURVE('',#147789,#147787,#63511,.T.); #179776=EDGE_CURVE('',#147788,#147789,#63512,.T.); #179777=EDGE_CURVE('',#147790,#147788,#63513,.T.); #179778=EDGE_CURVE('',#147791,#147789,#63514,.T.); #179779=EDGE_CURVE('',#147790,#147791,#63515,.T.); #179780=EDGE_CURVE('',#147792,#147790,#63516,.T.); #179781=EDGE_CURVE('',#147793,#147791,#63517,.T.); #179782=EDGE_CURVE('',#147792,#147793,#63518,.T.); #179783=EDGE_CURVE('',#147794,#147792,#63519,.T.); #179784=EDGE_CURVE('',#147795,#147793,#63520,.T.); #179785=EDGE_CURVE('',#147794,#147795,#63521,.T.); #179786=EDGE_CURVE('',#147796,#147794,#63522,.T.); #179787=EDGE_CURVE('',#147797,#147795,#63523,.T.); #179788=EDGE_CURVE('',#147796,#147797,#63524,.T.); #179789=EDGE_CURVE('',#147798,#147796,#63525,.T.); #179790=EDGE_CURVE('',#147799,#147797,#63526,.T.); #179791=EDGE_CURVE('',#147798,#147799,#63527,.T.); #179792=EDGE_CURVE('',#147800,#147798,#139078,.T.); #179793=EDGE_CURVE('',#147801,#147799,#139079,.T.); #179794=EDGE_CURVE('',#147800,#147801,#63528,.T.); #179795=EDGE_CURVE('',#147802,#147800,#139080,.T.); #179796=EDGE_CURVE('',#147803,#147801,#139081,.T.); #179797=EDGE_CURVE('',#147802,#147803,#63529,.T.); #179798=EDGE_CURVE('',#147804,#147802,#63530,.T.); #179799=EDGE_CURVE('',#147805,#147803,#63531,.T.); #179800=EDGE_CURVE('',#147804,#147805,#63532,.T.); #179801=EDGE_CURVE('',#147806,#147804,#139082,.T.); #179802=EDGE_CURVE('',#147807,#147805,#139083,.T.); #179803=EDGE_CURVE('',#147806,#147807,#63533,.T.); #179804=EDGE_CURVE('',#147808,#147806,#63534,.T.); #179805=EDGE_CURVE('',#147809,#147807,#63535,.T.); #179806=EDGE_CURVE('',#147808,#147809,#63536,.T.); #179807=EDGE_CURVE('',#147810,#147808,#139084,.T.); #179808=EDGE_CURVE('',#147811,#147809,#139085,.T.); #179809=EDGE_CURVE('',#147810,#147811,#63537,.T.); #179810=EDGE_CURVE('',#147812,#147810,#63538,.T.); #179811=EDGE_CURVE('',#147813,#147811,#63539,.T.); #179812=EDGE_CURVE('',#147812,#147813,#63540,.T.); #179813=EDGE_CURVE('',#147814,#147812,#139086,.T.); #179814=EDGE_CURVE('',#147815,#147813,#139087,.T.); #179815=EDGE_CURVE('',#147814,#147815,#63541,.T.); #179816=EDGE_CURVE('',#147783,#147814,#63542,.T.); #179817=EDGE_CURVE('',#147784,#147815,#63543,.T.); #179818=EDGE_CURVE('',#147816,#147816,#139088,.T.); #179819=EDGE_CURVE('',#147816,#147817,#63544,.T.); #179820=EDGE_CURVE('',#147817,#147817,#139089,.T.); #179821=EDGE_CURVE('',#147818,#147819,#63545,.T.); #179822=EDGE_CURVE('',#147819,#147820,#63546,.T.); #179823=EDGE_CURVE('',#147821,#147820,#63547,.T.); #179824=EDGE_CURVE('',#147818,#147821,#63548,.T.); #179825=EDGE_CURVE('',#147822,#147818,#63549,.T.); #179826=EDGE_CURVE('',#147823,#147821,#63550,.T.); #179827=EDGE_CURVE('',#147822,#147823,#63551,.T.); #179828=EDGE_CURVE('',#147824,#147822,#63552,.T.); #179829=EDGE_CURVE('',#147825,#147823,#63553,.T.); #179830=EDGE_CURVE('',#147824,#147825,#63554,.T.); #179831=EDGE_CURVE('',#147826,#147824,#63555,.T.); #179832=EDGE_CURVE('',#147827,#147825,#63556,.T.); #179833=EDGE_CURVE('',#147826,#147827,#63557,.T.); #179834=EDGE_CURVE('',#147828,#147826,#63558,.T.); #179835=EDGE_CURVE('',#147829,#147827,#63559,.T.); #179836=EDGE_CURVE('',#147828,#147829,#63560,.T.); #179837=EDGE_CURVE('',#147830,#147828,#139090,.T.); #179838=EDGE_CURVE('',#147831,#147829,#139091,.T.); #179839=EDGE_CURVE('',#147830,#147831,#63561,.T.); #179840=EDGE_CURVE('',#147832,#147830,#63562,.T.); #179841=EDGE_CURVE('',#147833,#147831,#63563,.T.); #179842=EDGE_CURVE('',#147832,#147833,#63564,.T.); #179843=EDGE_CURVE('',#147834,#147832,#139092,.T.); #179844=EDGE_CURVE('',#147835,#147833,#139093,.T.); #179845=EDGE_CURVE('',#147834,#147835,#63565,.T.); #179846=EDGE_CURVE('',#147836,#147834,#63566,.T.); #179847=EDGE_CURVE('',#147837,#147835,#63567,.T.); #179848=EDGE_CURVE('',#147836,#147837,#63568,.T.); #179849=EDGE_CURVE('',#147838,#147836,#139094,.T.); #179850=EDGE_CURVE('',#147839,#147837,#139095,.T.); #179851=EDGE_CURVE('',#147838,#147839,#63569,.T.); #179852=EDGE_CURVE('',#147840,#147838,#63570,.T.); #179853=EDGE_CURVE('',#147841,#147839,#63571,.T.); #179854=EDGE_CURVE('',#147840,#147841,#63572,.T.); #179855=EDGE_CURVE('',#147842,#147840,#139096,.T.); #179856=EDGE_CURVE('',#147843,#147841,#139097,.T.); #179857=EDGE_CURVE('',#147842,#147843,#63573,.T.); #179858=EDGE_CURVE('',#147844,#147842,#63574,.T.); #179859=EDGE_CURVE('',#147845,#147843,#63575,.T.); #179860=EDGE_CURVE('',#147844,#147845,#63576,.T.); #179861=EDGE_CURVE('',#147846,#147844,#139098,.T.); #179862=EDGE_CURVE('',#147847,#147845,#139099,.T.); #179863=EDGE_CURVE('',#147846,#147847,#63577,.T.); #179864=EDGE_CURVE('',#147848,#147846,#139100,.T.); #179865=EDGE_CURVE('',#147849,#147847,#139101,.T.); #179866=EDGE_CURVE('',#147848,#147849,#63578,.T.); #179867=EDGE_CURVE('',#147850,#147848,#63579,.T.); #179868=EDGE_CURVE('',#147851,#147849,#63580,.T.); #179869=EDGE_CURVE('',#147850,#147851,#63581,.T.); #179870=EDGE_CURVE('',#147852,#147850,#63582,.T.); #179871=EDGE_CURVE('',#147853,#147851,#63583,.T.); #179872=EDGE_CURVE('',#147852,#147853,#63584,.T.); #179873=EDGE_CURVE('',#147854,#147852,#63585,.T.); #179874=EDGE_CURVE('',#147855,#147853,#63586,.T.); #179875=EDGE_CURVE('',#147854,#147855,#63587,.T.); #179876=EDGE_CURVE('',#147856,#147854,#63588,.T.); #179877=EDGE_CURVE('',#147857,#147855,#63589,.T.); #179878=EDGE_CURVE('',#147856,#147857,#63590,.T.); #179879=EDGE_CURVE('',#147858,#147856,#63591,.T.); #179880=EDGE_CURVE('',#147859,#147857,#63592,.T.); #179881=EDGE_CURVE('',#147858,#147859,#63593,.T.); #179882=EDGE_CURVE('',#147860,#147858,#139102,.T.); #179883=EDGE_CURVE('',#147861,#147859,#139103,.T.); #179884=EDGE_CURVE('',#147860,#147861,#63594,.T.); #179885=EDGE_CURVE('',#147862,#147860,#63595,.T.); #179886=EDGE_CURVE('',#147863,#147861,#63596,.T.); #179887=EDGE_CURVE('',#147862,#147863,#63597,.T.); #179888=EDGE_CURVE('',#147819,#147862,#63598,.T.); #179889=EDGE_CURVE('',#147820,#147863,#63599,.T.); #179890=EDGE_CURVE('',#147864,#147865,#63600,.T.); #179891=EDGE_CURVE('',#147865,#147866,#63601,.T.); #179892=EDGE_CURVE('',#147867,#147866,#63602,.T.); #179893=EDGE_CURVE('',#147864,#147867,#63603,.T.); #179894=EDGE_CURVE('',#147868,#147864,#63604,.T.); #179895=EDGE_CURVE('',#147869,#147867,#63605,.T.); #179896=EDGE_CURVE('',#147868,#147869,#63606,.T.); #179897=EDGE_CURVE('',#147870,#147868,#63607,.T.); #179898=EDGE_CURVE('',#147871,#147869,#63608,.T.); #179899=EDGE_CURVE('',#147870,#147871,#63609,.T.); #179900=EDGE_CURVE('',#147872,#147870,#63610,.T.); #179901=EDGE_CURVE('',#147873,#147871,#63611,.T.); #179902=EDGE_CURVE('',#147872,#147873,#63612,.T.); #179903=EDGE_CURVE('',#147874,#147872,#139104,.T.); #179904=EDGE_CURVE('',#147875,#147873,#139105,.T.); #179905=EDGE_CURVE('',#147874,#147875,#63613,.T.); #179906=EDGE_CURVE('',#147876,#147874,#63614,.T.); #179907=EDGE_CURVE('',#147877,#147875,#63615,.T.); #179908=EDGE_CURVE('',#147876,#147877,#63616,.T.); #179909=EDGE_CURVE('',#147878,#147876,#139106,.T.); #179910=EDGE_CURVE('',#147879,#147877,#139107,.T.); #179911=EDGE_CURVE('',#147878,#147879,#63617,.T.); #179912=EDGE_CURVE('',#147880,#147878,#63618,.T.); #179913=EDGE_CURVE('',#147881,#147879,#63619,.T.); #179914=EDGE_CURVE('',#147880,#147881,#63620,.T.); #179915=EDGE_CURVE('',#147882,#147880,#63621,.T.); #179916=EDGE_CURVE('',#147883,#147881,#63622,.T.); #179917=EDGE_CURVE('',#147882,#147883,#63623,.T.); #179918=EDGE_CURVE('',#147884,#147882,#63624,.T.); #179919=EDGE_CURVE('',#147885,#147883,#63625,.T.); #179920=EDGE_CURVE('',#147884,#147885,#63626,.T.); #179921=EDGE_CURVE('',#147886,#147884,#63627,.T.); #179922=EDGE_CURVE('',#147887,#147885,#63628,.T.); #179923=EDGE_CURVE('',#147886,#147887,#63629,.T.); #179924=EDGE_CURVE('',#147888,#147886,#63630,.T.); #179925=EDGE_CURVE('',#147889,#147887,#63631,.T.); #179926=EDGE_CURVE('',#147888,#147889,#63632,.T.); #179927=EDGE_CURVE('',#147890,#147888,#63633,.T.); #179928=EDGE_CURVE('',#147891,#147889,#63634,.T.); #179929=EDGE_CURVE('',#147890,#147891,#63635,.T.); #179930=EDGE_CURVE('',#147892,#147890,#63636,.T.); #179931=EDGE_CURVE('',#147893,#147891,#63637,.T.); #179932=EDGE_CURVE('',#147892,#147893,#63638,.T.); #179933=EDGE_CURVE('',#147894,#147892,#63639,.T.); #179934=EDGE_CURVE('',#147895,#147893,#63640,.T.); #179935=EDGE_CURVE('',#147894,#147895,#63641,.T.); #179936=EDGE_CURVE('',#147896,#147894,#63642,.T.); #179937=EDGE_CURVE('',#147897,#147895,#63643,.T.); #179938=EDGE_CURVE('',#147896,#147897,#63644,.T.); #179939=EDGE_CURVE('',#147898,#147896,#139108,.T.); #179940=EDGE_CURVE('',#147899,#147897,#139109,.T.); #179941=EDGE_CURVE('',#147898,#147899,#63645,.T.); #179942=EDGE_CURVE('',#147900,#147898,#63646,.T.); #179943=EDGE_CURVE('',#147901,#147899,#63647,.T.); #179944=EDGE_CURVE('',#147900,#147901,#63648,.T.); #179945=EDGE_CURVE('',#147902,#147900,#139110,.T.); #179946=EDGE_CURVE('',#147903,#147901,#139111,.T.); #179947=EDGE_CURVE('',#147902,#147903,#63649,.T.); #179948=EDGE_CURVE('',#147904,#147902,#63650,.T.); #179949=EDGE_CURVE('',#147905,#147903,#63651,.T.); #179950=EDGE_CURVE('',#147904,#147905,#63652,.T.); #179951=EDGE_CURVE('',#147906,#147904,#63653,.T.); #179952=EDGE_CURVE('',#147907,#147905,#63654,.T.); #179953=EDGE_CURVE('',#147906,#147907,#63655,.T.); #179954=EDGE_CURVE('',#147908,#147906,#63656,.T.); #179955=EDGE_CURVE('',#147909,#147907,#63657,.T.); #179956=EDGE_CURVE('',#147908,#147909,#63658,.T.); #179957=EDGE_CURVE('',#147910,#147908,#63659,.T.); #179958=EDGE_CURVE('',#147911,#147909,#63660,.T.); #179959=EDGE_CURVE('',#147910,#147911,#63661,.T.); #179960=EDGE_CURVE('',#147865,#147910,#63662,.T.); #179961=EDGE_CURVE('',#147866,#147911,#63663,.T.); #179962=EDGE_CURVE('',#147912,#147912,#139112,.T.); #179963=EDGE_CURVE('',#147912,#147913,#63664,.T.); #179964=EDGE_CURVE('',#147913,#147913,#139113,.T.); #179965=EDGE_CURVE('',#147914,#147914,#139114,.T.); #179966=EDGE_CURVE('',#147914,#147915,#63665,.T.); #179967=EDGE_CURVE('',#147915,#147915,#139115,.T.); #179968=EDGE_CURVE('',#147916,#147917,#63666,.T.); #179969=EDGE_CURVE('',#147917,#147918,#63667,.T.); #179970=EDGE_CURVE('',#147919,#147918,#63668,.T.); #179971=EDGE_CURVE('',#147916,#147919,#63669,.T.); #179972=EDGE_CURVE('',#147920,#147916,#139116,.T.); #179973=EDGE_CURVE('',#147921,#147919,#139117,.T.); #179974=EDGE_CURVE('',#147920,#147921,#63670,.T.); #179975=EDGE_CURVE('',#147922,#147920,#139118,.T.); #179976=EDGE_CURVE('',#147923,#147921,#139119,.T.); #179977=EDGE_CURVE('',#147922,#147923,#63671,.T.); #179978=EDGE_CURVE('',#147924,#147922,#63672,.T.); #179979=EDGE_CURVE('',#147925,#147923,#63673,.T.); #179980=EDGE_CURVE('',#147924,#147925,#63674,.T.); #179981=EDGE_CURVE('',#147926,#147924,#139120,.T.); #179982=EDGE_CURVE('',#147927,#147925,#139121,.T.); #179983=EDGE_CURVE('',#147926,#147927,#63675,.T.); #179984=EDGE_CURVE('',#147928,#147926,#139122,.T.); #179985=EDGE_CURVE('',#147929,#147927,#139123,.T.); #179986=EDGE_CURVE('',#147928,#147929,#63676,.T.); #179987=EDGE_CURVE('',#147930,#147928,#63677,.T.); #179988=EDGE_CURVE('',#147931,#147929,#63678,.T.); #179989=EDGE_CURVE('',#147930,#147931,#63679,.T.); #179990=EDGE_CURVE('',#147917,#147930,#139124,.T.); #179991=EDGE_CURVE('',#147918,#147931,#139125,.T.); #179992=EDGE_CURVE('',#147932,#147932,#139126,.T.); #179993=EDGE_CURVE('',#147932,#147933,#63680,.T.); #179994=EDGE_CURVE('',#147933,#147933,#139127,.T.); #179995=EDGE_CURVE('',#147934,#147935,#63681,.T.); #179996=EDGE_CURVE('',#147935,#147936,#63682,.T.); #179997=EDGE_CURVE('',#147937,#147936,#63683,.T.); #179998=EDGE_CURVE('',#147934,#147937,#63684,.T.); #179999=EDGE_CURVE('',#147938,#147934,#63685,.T.); #180000=EDGE_CURVE('',#147939,#147937,#63686,.T.); #180001=EDGE_CURVE('',#147938,#147939,#63687,.T.); #180002=EDGE_CURVE('',#147940,#147938,#63688,.T.); #180003=EDGE_CURVE('',#147941,#147939,#63689,.T.); #180004=EDGE_CURVE('',#147940,#147941,#63690,.T.); #180005=EDGE_CURVE('',#147935,#147940,#63691,.T.); #180006=EDGE_CURVE('',#147936,#147941,#63692,.T.); #180007=EDGE_CURVE('',#147942,#147942,#139128,.T.); #180008=EDGE_CURVE('',#147942,#147943,#63693,.T.); #180009=EDGE_CURVE('',#147943,#147943,#139129,.T.); #180010=EDGE_CURVE('',#147944,#147945,#63694,.T.); #180011=EDGE_CURVE('',#147945,#147946,#63695,.T.); #180012=EDGE_CURVE('',#147947,#147946,#63696,.T.); #180013=EDGE_CURVE('',#147944,#147947,#63697,.T.); #180014=EDGE_CURVE('',#147948,#147944,#63698,.T.); #180015=EDGE_CURVE('',#147949,#147947,#63699,.T.); #180016=EDGE_CURVE('',#147948,#147949,#63700,.T.); #180017=EDGE_CURVE('',#147950,#147948,#63701,.T.); #180018=EDGE_CURVE('',#147951,#147949,#63702,.T.); #180019=EDGE_CURVE('',#147950,#147951,#63703,.T.); #180020=EDGE_CURVE('',#147945,#147950,#63704,.T.); #180021=EDGE_CURVE('',#147946,#147951,#63705,.T.); #180022=EDGE_CURVE('',#147952,#147952,#139130,.T.); #180023=EDGE_CURVE('',#147952,#147953,#63706,.T.); #180024=EDGE_CURVE('',#147953,#147953,#139131,.T.); #180025=EDGE_CURVE('',#147954,#147955,#63707,.T.); #180026=EDGE_CURVE('',#147955,#147956,#63708,.T.); #180027=EDGE_CURVE('',#147957,#147956,#63709,.T.); #180028=EDGE_CURVE('',#147954,#147957,#63710,.T.); #180029=EDGE_CURVE('',#147958,#147954,#63711,.T.); #180030=EDGE_CURVE('',#147959,#147957,#63712,.T.); #180031=EDGE_CURVE('',#147958,#147959,#63713,.T.); #180032=EDGE_CURVE('',#147960,#147958,#63714,.T.); #180033=EDGE_CURVE('',#147961,#147959,#63715,.T.); #180034=EDGE_CURVE('',#147960,#147961,#63716,.T.); #180035=EDGE_CURVE('',#147962,#147960,#63717,.T.); #180036=EDGE_CURVE('',#147963,#147961,#63718,.T.); #180037=EDGE_CURVE('',#147962,#147963,#63719,.T.); #180038=EDGE_CURVE('',#147964,#147962,#63720,.T.); #180039=EDGE_CURVE('',#147965,#147963,#63721,.T.); #180040=EDGE_CURVE('',#147964,#147965,#63722,.T.); #180041=EDGE_CURVE('',#147966,#147964,#63723,.T.); #180042=EDGE_CURVE('',#147967,#147965,#63724,.T.); #180043=EDGE_CURVE('',#147966,#147967,#63725,.T.); #180044=EDGE_CURVE('',#147968,#147966,#63726,.T.); #180045=EDGE_CURVE('',#147969,#147967,#63727,.T.); #180046=EDGE_CURVE('',#147968,#147969,#63728,.T.); #180047=EDGE_CURVE('',#147970,#147968,#63729,.T.); #180048=EDGE_CURVE('',#147971,#147969,#63730,.T.); #180049=EDGE_CURVE('',#147970,#147971,#63731,.T.); #180050=EDGE_CURVE('',#147972,#147970,#63732,.T.); #180051=EDGE_CURVE('',#147973,#147971,#63733,.T.); #180052=EDGE_CURVE('',#147972,#147973,#63734,.T.); #180053=EDGE_CURVE('',#147974,#147972,#63735,.T.); #180054=EDGE_CURVE('',#147975,#147973,#63736,.T.); #180055=EDGE_CURVE('',#147974,#147975,#63737,.T.); #180056=EDGE_CURVE('',#147976,#147974,#139132,.T.); #180057=EDGE_CURVE('',#147977,#147975,#139133,.T.); #180058=EDGE_CURVE('',#147976,#147977,#63738,.T.); #180059=EDGE_CURVE('',#147978,#147976,#63739,.T.); #180060=EDGE_CURVE('',#147979,#147977,#63740,.T.); #180061=EDGE_CURVE('',#147978,#147979,#63741,.T.); #180062=EDGE_CURVE('',#147980,#147978,#139134,.T.); #180063=EDGE_CURVE('',#147981,#147979,#139135,.T.); #180064=EDGE_CURVE('',#147980,#147981,#63742,.T.); #180065=EDGE_CURVE('',#147982,#147980,#63743,.T.); #180066=EDGE_CURVE('',#147983,#147981,#63744,.T.); #180067=EDGE_CURVE('',#147982,#147983,#63745,.T.); #180068=EDGE_CURVE('',#147984,#147982,#63746,.T.); #180069=EDGE_CURVE('',#147985,#147983,#63747,.T.); #180070=EDGE_CURVE('',#147984,#147985,#63748,.T.); #180071=EDGE_CURVE('',#147986,#147984,#63749,.T.); #180072=EDGE_CURVE('',#147987,#147985,#63750,.T.); #180073=EDGE_CURVE('',#147986,#147987,#63751,.T.); #180074=EDGE_CURVE('',#147988,#147986,#139136,.T.); #180075=EDGE_CURVE('',#147989,#147987,#139137,.T.); #180076=EDGE_CURVE('',#147988,#147989,#63752,.T.); #180077=EDGE_CURVE('',#147990,#147988,#63753,.T.); #180078=EDGE_CURVE('',#147991,#147989,#63754,.T.); #180079=EDGE_CURVE('',#147990,#147991,#63755,.T.); #180080=EDGE_CURVE('',#147992,#147990,#139138,.T.); #180081=EDGE_CURVE('',#147993,#147991,#139139,.T.); #180082=EDGE_CURVE('',#147992,#147993,#63756,.T.); #180083=EDGE_CURVE('',#147994,#147992,#63757,.T.); #180084=EDGE_CURVE('',#147995,#147993,#63758,.T.); #180085=EDGE_CURVE('',#147994,#147995,#63759,.T.); #180086=EDGE_CURVE('',#147996,#147994,#63760,.T.); #180087=EDGE_CURVE('',#147997,#147995,#63761,.T.); #180088=EDGE_CURVE('',#147996,#147997,#63762,.T.); #180089=EDGE_CURVE('',#147998,#147996,#63763,.T.); #180090=EDGE_CURVE('',#147999,#147997,#63764,.T.); #180091=EDGE_CURVE('',#147998,#147999,#63765,.T.); #180092=EDGE_CURVE('',#148000,#147998,#139140,.T.); #180093=EDGE_CURVE('',#148001,#147999,#139141,.T.); #180094=EDGE_CURVE('',#148000,#148001,#63766,.T.); #180095=EDGE_CURVE('',#148002,#148000,#139142,.T.); #180096=EDGE_CURVE('',#148003,#148001,#139143,.T.); #180097=EDGE_CURVE('',#148002,#148003,#63767,.T.); #180098=EDGE_CURVE('',#148004,#148002,#63768,.T.); #180099=EDGE_CURVE('',#148005,#148003,#63769,.T.); #180100=EDGE_CURVE('',#148004,#148005,#63770,.T.); #180101=EDGE_CURVE('',#148006,#148004,#139144,.T.); #180102=EDGE_CURVE('',#148007,#148005,#139145,.T.); #180103=EDGE_CURVE('',#148006,#148007,#63771,.T.); #180104=EDGE_CURVE('',#148008,#148006,#63772,.T.); #180105=EDGE_CURVE('',#148009,#148007,#63773,.T.); #180106=EDGE_CURVE('',#148008,#148009,#63774,.T.); #180107=EDGE_CURVE('',#148010,#148008,#139146,.T.); #180108=EDGE_CURVE('',#148011,#148009,#139147,.T.); #180109=EDGE_CURVE('',#148010,#148011,#63775,.T.); #180110=EDGE_CURVE('',#148012,#148010,#63776,.T.); #180111=EDGE_CURVE('',#148013,#148011,#63777,.T.); #180112=EDGE_CURVE('',#148012,#148013,#63778,.T.); #180113=EDGE_CURVE('',#148014,#148012,#63779,.T.); #180114=EDGE_CURVE('',#148015,#148013,#63780,.T.); #180115=EDGE_CURVE('',#148014,#148015,#63781,.T.); #180116=EDGE_CURVE('',#148016,#148014,#63782,.T.); #180117=EDGE_CURVE('',#148017,#148015,#63783,.T.); #180118=EDGE_CURVE('',#148016,#148017,#63784,.T.); #180119=EDGE_CURVE('',#148018,#148016,#139148,.T.); #180120=EDGE_CURVE('',#148019,#148017,#139149,.T.); #180121=EDGE_CURVE('',#148018,#148019,#63785,.T.); #180122=EDGE_CURVE('',#148020,#148018,#63786,.T.); #180123=EDGE_CURVE('',#148021,#148019,#63787,.T.); #180124=EDGE_CURVE('',#148020,#148021,#63788,.T.); #180125=EDGE_CURVE('',#148022,#148020,#139150,.T.); #180126=EDGE_CURVE('',#148023,#148021,#139151,.T.); #180127=EDGE_CURVE('',#148022,#148023,#63789,.T.); #180128=EDGE_CURVE('',#148024,#148022,#63790,.T.); #180129=EDGE_CURVE('',#148025,#148023,#63791,.T.); #180130=EDGE_CURVE('',#148024,#148025,#63792,.T.); #180131=EDGE_CURVE('',#148026,#148024,#63793,.T.); #180132=EDGE_CURVE('',#148027,#148025,#63794,.T.); #180133=EDGE_CURVE('',#148026,#148027,#63795,.T.); #180134=EDGE_CURVE('',#148028,#148026,#63796,.T.); #180135=EDGE_CURVE('',#148029,#148027,#63797,.T.); #180136=EDGE_CURVE('',#148028,#148029,#63798,.T.); #180137=EDGE_CURVE('',#148030,#148028,#63799,.T.); #180138=EDGE_CURVE('',#148031,#148029,#63800,.T.); #180139=EDGE_CURVE('',#148030,#148031,#63801,.T.); #180140=EDGE_CURVE('',#148032,#148030,#63802,.T.); #180141=EDGE_CURVE('',#148033,#148031,#63803,.T.); #180142=EDGE_CURVE('',#148032,#148033,#63804,.T.); #180143=EDGE_CURVE('',#148034,#148032,#63805,.T.); #180144=EDGE_CURVE('',#148035,#148033,#63806,.T.); #180145=EDGE_CURVE('',#148034,#148035,#63807,.T.); #180146=EDGE_CURVE('',#148036,#148034,#63808,.T.); #180147=EDGE_CURVE('',#148037,#148035,#63809,.T.); #180148=EDGE_CURVE('',#148036,#148037,#63810,.T.); #180149=EDGE_CURVE('',#148038,#148036,#63811,.T.); #180150=EDGE_CURVE('',#148039,#148037,#63812,.T.); #180151=EDGE_CURVE('',#148038,#148039,#63813,.T.); #180152=EDGE_CURVE('',#147955,#148038,#63814,.T.); #180153=EDGE_CURVE('',#147956,#148039,#63815,.T.); #180154=EDGE_CURVE('',#148040,#148040,#139152,.T.); #180155=EDGE_CURVE('',#148040,#148041,#63816,.T.); #180156=EDGE_CURVE('',#148041,#148041,#139153,.T.); #180157=EDGE_CURVE('',#148042,#148043,#63817,.T.); #180158=EDGE_CURVE('',#148043,#148044,#63818,.T.); #180159=EDGE_CURVE('',#148045,#148044,#63819,.T.); #180160=EDGE_CURVE('',#148042,#148045,#63820,.T.); #180161=EDGE_CURVE('',#148046,#148042,#63821,.T.); #180162=EDGE_CURVE('',#148047,#148045,#63822,.T.); #180163=EDGE_CURVE('',#148046,#148047,#63823,.T.); #180164=EDGE_CURVE('',#148048,#148046,#63824,.T.); #180165=EDGE_CURVE('',#148049,#148047,#63825,.T.); #180166=EDGE_CURVE('',#148048,#148049,#63826,.T.); #180167=EDGE_CURVE('',#148050,#148048,#63827,.T.); #180168=EDGE_CURVE('',#148051,#148049,#63828,.T.); #180169=EDGE_CURVE('',#148050,#148051,#63829,.T.); #180170=EDGE_CURVE('',#148052,#148050,#63830,.T.); #180171=EDGE_CURVE('',#148053,#148051,#63831,.T.); #180172=EDGE_CURVE('',#148052,#148053,#63832,.T.); #180173=EDGE_CURVE('',#148054,#148052,#139154,.T.); #180174=EDGE_CURVE('',#148055,#148053,#139155,.T.); #180175=EDGE_CURVE('',#148054,#148055,#63833,.T.); #180176=EDGE_CURVE('',#148056,#148054,#63834,.T.); #180177=EDGE_CURVE('',#148057,#148055,#63835,.T.); #180178=EDGE_CURVE('',#148056,#148057,#63836,.T.); #180179=EDGE_CURVE('',#148058,#148056,#63837,.T.); #180180=EDGE_CURVE('',#148059,#148057,#63838,.T.); #180181=EDGE_CURVE('',#148058,#148059,#63839,.T.); #180182=EDGE_CURVE('',#148060,#148058,#139156,.T.); #180183=EDGE_CURVE('',#148061,#148059,#139157,.T.); #180184=EDGE_CURVE('',#148060,#148061,#63840,.T.); #180185=EDGE_CURVE('',#148062,#148060,#63841,.T.); #180186=EDGE_CURVE('',#148063,#148061,#63842,.T.); #180187=EDGE_CURVE('',#148062,#148063,#63843,.T.); #180188=EDGE_CURVE('',#148064,#148062,#63844,.T.); #180189=EDGE_CURVE('',#148065,#148063,#63845,.T.); #180190=EDGE_CURVE('',#148064,#148065,#63846,.T.); #180191=EDGE_CURVE('',#148066,#148064,#139158,.T.); #180192=EDGE_CURVE('',#148067,#148065,#139159,.T.); #180193=EDGE_CURVE('',#148066,#148067,#63847,.T.); #180194=EDGE_CURVE('',#148068,#148066,#139160,.T.); #180195=EDGE_CURVE('',#148069,#148067,#139161,.T.); #180196=EDGE_CURVE('',#148068,#148069,#63848,.T.); #180197=EDGE_CURVE('',#148070,#148068,#63849,.T.); #180198=EDGE_CURVE('',#148071,#148069,#63850,.T.); #180199=EDGE_CURVE('',#148070,#148071,#63851,.T.); #180200=EDGE_CURVE('',#148072,#148070,#139162,.T.); #180201=EDGE_CURVE('',#148073,#148071,#139163,.T.); #180202=EDGE_CURVE('',#148072,#148073,#63852,.T.); #180203=EDGE_CURVE('',#148074,#148072,#63853,.T.); #180204=EDGE_CURVE('',#148075,#148073,#63854,.T.); #180205=EDGE_CURVE('',#148074,#148075,#63855,.T.); #180206=EDGE_CURVE('',#148076,#148074,#63856,.T.); #180207=EDGE_CURVE('',#148077,#148075,#63857,.T.); #180208=EDGE_CURVE('',#148076,#148077,#63858,.T.); #180209=EDGE_CURVE('',#148078,#148076,#139164,.T.); #180210=EDGE_CURVE('',#148079,#148077,#139165,.T.); #180211=EDGE_CURVE('',#148078,#148079,#63859,.T.); #180212=EDGE_CURVE('',#148080,#148078,#63860,.T.); #180213=EDGE_CURVE('',#148081,#148079,#63861,.T.); #180214=EDGE_CURVE('',#148080,#148081,#63862,.T.); #180215=EDGE_CURVE('',#148082,#148080,#63863,.T.); #180216=EDGE_CURVE('',#148083,#148081,#63864,.T.); #180217=EDGE_CURVE('',#148082,#148083,#63865,.T.); #180218=EDGE_CURVE('',#148043,#148082,#63866,.T.); #180219=EDGE_CURVE('',#148044,#148083,#63867,.T.); #180220=EDGE_CURVE('',#148084,#148084,#139166,.T.); #180221=EDGE_CURVE('',#148084,#148085,#63868,.T.); #180222=EDGE_CURVE('',#148085,#148085,#139167,.T.); #180223=EDGE_CURVE('',#148086,#148087,#63869,.T.); #180224=EDGE_CURVE('',#148087,#148088,#63870,.T.); #180225=EDGE_CURVE('',#148089,#148088,#63871,.T.); #180226=EDGE_CURVE('',#148086,#148089,#63872,.T.); #180227=EDGE_CURVE('',#148090,#148086,#63873,.T.); #180228=EDGE_CURVE('',#148091,#148089,#63874,.T.); #180229=EDGE_CURVE('',#148090,#148091,#63875,.T.); #180230=EDGE_CURVE('',#148092,#148090,#63876,.T.); #180231=EDGE_CURVE('',#148093,#148091,#63877,.T.); #180232=EDGE_CURVE('',#148092,#148093,#63878,.T.); #180233=EDGE_CURVE('',#148087,#148092,#63879,.T.); #180234=EDGE_CURVE('',#148088,#148093,#63880,.T.); #180235=EDGE_CURVE('',#148094,#148094,#139168,.T.); #180236=EDGE_CURVE('',#148094,#148095,#63881,.T.); #180237=EDGE_CURVE('',#148095,#148095,#139169,.T.); #180238=EDGE_CURVE('',#148096,#148097,#63882,.T.); #180239=EDGE_CURVE('',#148097,#148098,#63883,.T.); #180240=EDGE_CURVE('',#148099,#148098,#63884,.T.); #180241=EDGE_CURVE('',#148096,#148099,#63885,.T.); #180242=EDGE_CURVE('',#148100,#148096,#63886,.T.); #180243=EDGE_CURVE('',#148101,#148099,#63887,.T.); #180244=EDGE_CURVE('',#148100,#148101,#63888,.T.); #180245=EDGE_CURVE('',#148102,#148100,#63889,.T.); #180246=EDGE_CURVE('',#148103,#148101,#63890,.T.); #180247=EDGE_CURVE('',#148102,#148103,#63891,.T.); #180248=EDGE_CURVE('',#148097,#148102,#63892,.T.); #180249=EDGE_CURVE('',#148098,#148103,#63893,.T.); #180250=EDGE_CURVE('',#148104,#148104,#139170,.T.); #180251=EDGE_CURVE('',#148104,#148105,#63894,.T.); #180252=EDGE_CURVE('',#148105,#148105,#139171,.T.); #180253=EDGE_CURVE('',#148106,#148107,#63895,.T.); #180254=EDGE_CURVE('',#148107,#148108,#63896,.T.); #180255=EDGE_CURVE('',#148109,#148108,#63897,.T.); #180256=EDGE_CURVE('',#148106,#148109,#63898,.T.); #180257=EDGE_CURVE('',#148110,#148106,#139172,.T.); #180258=EDGE_CURVE('',#148111,#148109,#139173,.T.); #180259=EDGE_CURVE('',#148110,#148111,#63899,.T.); #180260=EDGE_CURVE('',#148112,#148110,#63900,.T.); #180261=EDGE_CURVE('',#148113,#148111,#63901,.T.); #180262=EDGE_CURVE('',#148112,#148113,#63902,.T.); #180263=EDGE_CURVE('',#148114,#148112,#139174,.T.); #180264=EDGE_CURVE('',#148115,#148113,#139175,.T.); #180265=EDGE_CURVE('',#148114,#148115,#63903,.T.); #180266=EDGE_CURVE('',#148116,#148114,#63904,.T.); #180267=EDGE_CURVE('',#148117,#148115,#63905,.T.); #180268=EDGE_CURVE('',#148116,#148117,#63906,.T.); #180269=EDGE_CURVE('',#148118,#148116,#63907,.T.); #180270=EDGE_CURVE('',#148119,#148117,#63908,.T.); #180271=EDGE_CURVE('',#148118,#148119,#63909,.T.); #180272=EDGE_CURVE('',#148120,#148118,#63910,.T.); #180273=EDGE_CURVE('',#148121,#148119,#63911,.T.); #180274=EDGE_CURVE('',#148120,#148121,#63912,.T.); #180275=EDGE_CURVE('',#148122,#148120,#63913,.T.); #180276=EDGE_CURVE('',#148123,#148121,#63914,.T.); #180277=EDGE_CURVE('',#148122,#148123,#63915,.T.); #180278=EDGE_CURVE('',#148124,#148122,#63916,.T.); #180279=EDGE_CURVE('',#148125,#148123,#63917,.T.); #180280=EDGE_CURVE('',#148124,#148125,#63918,.T.); #180281=EDGE_CURVE('',#148126,#148124,#63919,.T.); #180282=EDGE_CURVE('',#148127,#148125,#63920,.T.); #180283=EDGE_CURVE('',#148126,#148127,#63921,.T.); #180284=EDGE_CURVE('',#148128,#148126,#63922,.T.); #180285=EDGE_CURVE('',#148129,#148127,#63923,.T.); #180286=EDGE_CURVE('',#148128,#148129,#63924,.T.); #180287=EDGE_CURVE('',#148130,#148128,#63925,.T.); #180288=EDGE_CURVE('',#148131,#148129,#63926,.T.); #180289=EDGE_CURVE('',#148130,#148131,#63927,.T.); #180290=EDGE_CURVE('',#148132,#148130,#63928,.T.); #180291=EDGE_CURVE('',#148133,#148131,#63929,.T.); #180292=EDGE_CURVE('',#148132,#148133,#63930,.T.); #180293=EDGE_CURVE('',#148107,#148132,#139176,.T.); #180294=EDGE_CURVE('',#148108,#148133,#139177,.T.); #180295=EDGE_CURVE('',#148134,#148134,#139178,.T.); #180296=EDGE_CURVE('',#148134,#148135,#63931,.T.); #180297=EDGE_CURVE('',#148135,#148135,#139179,.T.); #180298=EDGE_CURVE('',#148136,#148137,#63932,.T.); #180299=EDGE_CURVE('',#148137,#148138,#63933,.T.); #180300=EDGE_CURVE('',#148139,#148138,#63934,.T.); #180301=EDGE_CURVE('',#148136,#148139,#63935,.T.); #180302=EDGE_CURVE('',#148140,#148136,#139180,.T.); #180303=EDGE_CURVE('',#148141,#148139,#139181,.T.); #180304=EDGE_CURVE('',#148140,#148141,#63936,.T.); #180305=EDGE_CURVE('',#148137,#148140,#139182,.T.); #180306=EDGE_CURVE('',#148138,#148141,#139183,.T.); #180307=EDGE_CURVE('',#148142,#148142,#139184,.T.); #180308=EDGE_CURVE('',#148142,#148143,#63937,.T.); #180309=EDGE_CURVE('',#148143,#148143,#139185,.T.); #180310=EDGE_CURVE('',#148144,#148144,#139186,.T.); #180311=EDGE_CURVE('',#148144,#148145,#63938,.T.); #180312=EDGE_CURVE('',#148145,#148145,#139187,.T.); #180313=EDGE_CURVE('',#148146,#148147,#63939,.T.); #180314=EDGE_CURVE('',#148147,#148148,#63940,.T.); #180315=EDGE_CURVE('',#148149,#148148,#63941,.T.); #180316=EDGE_CURVE('',#148146,#148149,#63942,.T.); #180317=EDGE_CURVE('',#148150,#148146,#139188,.T.); #180318=EDGE_CURVE('',#148151,#148149,#139189,.T.); #180319=EDGE_CURVE('',#148150,#148151,#63943,.T.); #180320=EDGE_CURVE('',#148152,#148150,#63944,.T.); #180321=EDGE_CURVE('',#148153,#148151,#63945,.T.); #180322=EDGE_CURVE('',#148152,#148153,#63946,.T.); #180323=EDGE_CURVE('',#148154,#148152,#139190,.T.); #180324=EDGE_CURVE('',#148155,#148153,#139191,.T.); #180325=EDGE_CURVE('',#148154,#148155,#63947,.T.); #180326=EDGE_CURVE('',#148156,#148154,#139192,.T.); #180327=EDGE_CURVE('',#148157,#148155,#139193,.T.); #180328=EDGE_CURVE('',#148156,#148157,#63948,.T.); #180329=EDGE_CURVE('',#148158,#148156,#63949,.T.); #180330=EDGE_CURVE('',#148159,#148157,#63950,.T.); #180331=EDGE_CURVE('',#148158,#148159,#63951,.T.); #180332=EDGE_CURVE('',#148147,#148158,#139194,.T.); #180333=EDGE_CURVE('',#148148,#148159,#139195,.T.); #180334=EDGE_CURVE('',#148160,#148160,#139196,.T.); #180335=EDGE_CURVE('',#148160,#148161,#63952,.T.); #180336=EDGE_CURVE('',#148161,#148161,#139197,.T.); #180337=EDGE_CURVE('',#148162,#148163,#63953,.T.); #180338=EDGE_CURVE('',#148163,#148164,#63954,.T.); #180339=EDGE_CURVE('',#148165,#148164,#63955,.T.); #180340=EDGE_CURVE('',#148162,#148165,#63956,.T.); #180341=EDGE_CURVE('',#148166,#148162,#139198,.T.); #180342=EDGE_CURVE('',#148167,#148165,#139199,.T.); #180343=EDGE_CURVE('',#148166,#148167,#63957,.T.); #180344=EDGE_CURVE('',#148163,#148166,#139200,.T.); #180345=EDGE_CURVE('',#148164,#148167,#139201,.T.); #180346=EDGE_CURVE('',#148168,#148168,#139202,.T.); #180347=EDGE_CURVE('',#148168,#148169,#63958,.T.); #180348=EDGE_CURVE('',#148169,#148169,#139203,.T.); #180349=EDGE_CURVE('',#148170,#148171,#63959,.T.); #180350=EDGE_CURVE('',#148171,#148172,#63960,.T.); #180351=EDGE_CURVE('',#148173,#148172,#63961,.T.); #180352=EDGE_CURVE('',#148170,#148173,#63962,.T.); #180353=EDGE_CURVE('',#148174,#148170,#63963,.T.); #180354=EDGE_CURVE('',#148175,#148173,#63964,.T.); #180355=EDGE_CURVE('',#148174,#148175,#63965,.T.); #180356=EDGE_CURVE('',#148176,#148174,#63966,.T.); #180357=EDGE_CURVE('',#148177,#148175,#63967,.T.); #180358=EDGE_CURVE('',#148176,#148177,#63968,.T.); #180359=EDGE_CURVE('',#148171,#148176,#63969,.T.); #180360=EDGE_CURVE('',#148172,#148177,#63970,.T.); #180361=EDGE_CURVE('',#148178,#148178,#139204,.T.); #180362=EDGE_CURVE('',#148178,#148179,#63971,.T.); #180363=EDGE_CURVE('',#148179,#148179,#139205,.T.); #180364=EDGE_CURVE('',#148180,#148181,#63972,.T.); #180365=EDGE_CURVE('',#148181,#148182,#63973,.T.); #180366=EDGE_CURVE('',#148183,#148182,#63974,.T.); #180367=EDGE_CURVE('',#148180,#148183,#63975,.T.); #180368=EDGE_CURVE('',#148184,#148180,#63976,.T.); #180369=EDGE_CURVE('',#148185,#148183,#63977,.T.); #180370=EDGE_CURVE('',#148184,#148185,#63978,.T.); #180371=EDGE_CURVE('',#148186,#148184,#63979,.T.); #180372=EDGE_CURVE('',#148187,#148185,#63980,.T.); #180373=EDGE_CURVE('',#148186,#148187,#63981,.T.); #180374=EDGE_CURVE('',#148188,#148186,#63982,.T.); #180375=EDGE_CURVE('',#148189,#148187,#63983,.T.); #180376=EDGE_CURVE('',#148188,#148189,#63984,.T.); #180377=EDGE_CURVE('',#148190,#148188,#63985,.T.); #180378=EDGE_CURVE('',#148191,#148189,#63986,.T.); #180379=EDGE_CURVE('',#148190,#148191,#63987,.T.); #180380=EDGE_CURVE('',#148192,#148190,#63988,.T.); #180381=EDGE_CURVE('',#148193,#148191,#63989,.T.); #180382=EDGE_CURVE('',#148192,#148193,#63990,.T.); #180383=EDGE_CURVE('',#148194,#148192,#63991,.T.); #180384=EDGE_CURVE('',#148195,#148193,#63992,.T.); #180385=EDGE_CURVE('',#148194,#148195,#63993,.T.); #180386=EDGE_CURVE('',#148181,#148194,#63994,.T.); #180387=EDGE_CURVE('',#148182,#148195,#63995,.T.); #180388=EDGE_CURVE('',#148196,#148196,#139206,.T.); #180389=EDGE_CURVE('',#148196,#148197,#63996,.T.); #180390=EDGE_CURVE('',#148197,#148197,#139207,.T.); #180391=EDGE_CURVE('',#148198,#148199,#63997,.T.); #180392=EDGE_CURVE('',#148199,#148200,#63998,.T.); #180393=EDGE_CURVE('',#148201,#148200,#63999,.T.); #180394=EDGE_CURVE('',#148198,#148201,#64000,.T.); #180395=EDGE_CURVE('',#148202,#148198,#139208,.T.); #180396=EDGE_CURVE('',#148203,#148201,#139209,.T.); #180397=EDGE_CURVE('',#148202,#148203,#64001,.T.); #180398=EDGE_CURVE('',#148199,#148202,#139210,.T.); #180399=EDGE_CURVE('',#148200,#148203,#139211,.T.); #180400=EDGE_CURVE('',#148204,#148204,#139212,.T.); #180401=EDGE_CURVE('',#148204,#148205,#64002,.T.); #180402=EDGE_CURVE('',#148205,#148205,#139213,.T.); #180403=EDGE_CURVE('',#148206,#148207,#64003,.T.); #180404=EDGE_CURVE('',#148207,#148208,#64004,.T.); #180405=EDGE_CURVE('',#148209,#148208,#64005,.T.); #180406=EDGE_CURVE('',#148206,#148209,#64006,.T.); #180407=EDGE_CURVE('',#148210,#148206,#64007,.T.); #180408=EDGE_CURVE('',#148211,#148209,#64008,.T.); #180409=EDGE_CURVE('',#148210,#148211,#64009,.T.); #180410=EDGE_CURVE('',#148212,#148210,#64010,.T.); #180411=EDGE_CURVE('',#148213,#148211,#64011,.T.); #180412=EDGE_CURVE('',#148212,#148213,#64012,.T.); #180413=EDGE_CURVE('',#148214,#148212,#64013,.T.); #180414=EDGE_CURVE('',#148215,#148213,#64014,.T.); #180415=EDGE_CURVE('',#148214,#148215,#64015,.T.); #180416=EDGE_CURVE('',#148216,#148214,#64016,.T.); #180417=EDGE_CURVE('',#148217,#148215,#64017,.T.); #180418=EDGE_CURVE('',#148216,#148217,#64018,.T.); #180419=EDGE_CURVE('',#148218,#148216,#64019,.T.); #180420=EDGE_CURVE('',#148219,#148217,#64020,.T.); #180421=EDGE_CURVE('',#148218,#148219,#64021,.T.); #180422=EDGE_CURVE('',#148220,#148218,#64022,.T.); #180423=EDGE_CURVE('',#148221,#148219,#64023,.T.); #180424=EDGE_CURVE('',#148220,#148221,#64024,.T.); #180425=EDGE_CURVE('',#148222,#148220,#64025,.T.); #180426=EDGE_CURVE('',#148223,#148221,#64026,.T.); #180427=EDGE_CURVE('',#148222,#148223,#64027,.T.); #180428=EDGE_CURVE('',#148224,#148222,#64028,.T.); #180429=EDGE_CURVE('',#148225,#148223,#64029,.T.); #180430=EDGE_CURVE('',#148224,#148225,#64030,.T.); #180431=EDGE_CURVE('',#148226,#148224,#64031,.T.); #180432=EDGE_CURVE('',#148227,#148225,#64032,.T.); #180433=EDGE_CURVE('',#148226,#148227,#64033,.T.); #180434=EDGE_CURVE('',#148228,#148226,#64034,.T.); #180435=EDGE_CURVE('',#148229,#148227,#64035,.T.); #180436=EDGE_CURVE('',#148228,#148229,#64036,.T.); #180437=EDGE_CURVE('',#148230,#148228,#64037,.T.); #180438=EDGE_CURVE('',#148231,#148229,#64038,.T.); #180439=EDGE_CURVE('',#148230,#148231,#64039,.T.); #180440=EDGE_CURVE('',#148232,#148230,#139214,.T.); #180441=EDGE_CURVE('',#148233,#148231,#139215,.T.); #180442=EDGE_CURVE('',#148232,#148233,#64040,.T.); #180443=EDGE_CURVE('',#148234,#148232,#64041,.T.); #180444=EDGE_CURVE('',#148235,#148233,#64042,.T.); #180445=EDGE_CURVE('',#148234,#148235,#64043,.T.); #180446=EDGE_CURVE('',#148236,#148234,#139216,.T.); #180447=EDGE_CURVE('',#148237,#148235,#139217,.T.); #180448=EDGE_CURVE('',#148236,#148237,#64044,.T.); #180449=EDGE_CURVE('',#148238,#148236,#64045,.T.); #180450=EDGE_CURVE('',#148239,#148237,#64046,.T.); #180451=EDGE_CURVE('',#148238,#148239,#64047,.T.); #180452=EDGE_CURVE('',#148240,#148238,#64048,.T.); #180453=EDGE_CURVE('',#148241,#148239,#64049,.T.); #180454=EDGE_CURVE('',#148240,#148241,#64050,.T.); #180455=EDGE_CURVE('',#148242,#148240,#64051,.T.); #180456=EDGE_CURVE('',#148243,#148241,#64052,.T.); #180457=EDGE_CURVE('',#148242,#148243,#64053,.T.); #180458=EDGE_CURVE('',#148244,#148242,#139218,.T.); #180459=EDGE_CURVE('',#148245,#148243,#139219,.T.); #180460=EDGE_CURVE('',#148244,#148245,#64054,.T.); #180461=EDGE_CURVE('',#148246,#148244,#64055,.T.); #180462=EDGE_CURVE('',#148247,#148245,#64056,.T.); #180463=EDGE_CURVE('',#148246,#148247,#64057,.T.); #180464=EDGE_CURVE('',#148248,#148246,#139220,.T.); #180465=EDGE_CURVE('',#148249,#148247,#139221,.T.); #180466=EDGE_CURVE('',#148248,#148249,#64058,.T.); #180467=EDGE_CURVE('',#148250,#148248,#64059,.T.); #180468=EDGE_CURVE('',#148251,#148249,#64060,.T.); #180469=EDGE_CURVE('',#148250,#148251,#64061,.T.); #180470=EDGE_CURVE('',#148252,#148250,#139222,.T.); #180471=EDGE_CURVE('',#148253,#148251,#139223,.T.); #180472=EDGE_CURVE('',#148252,#148253,#64062,.T.); #180473=EDGE_CURVE('',#148254,#148252,#139224,.T.); #180474=EDGE_CURVE('',#148255,#148253,#139225,.T.); #180475=EDGE_CURVE('',#148254,#148255,#64063,.T.); #180476=EDGE_CURVE('',#148256,#148254,#64064,.T.); #180477=EDGE_CURVE('',#148257,#148255,#64065,.T.); #180478=EDGE_CURVE('',#148256,#148257,#64066,.T.); #180479=EDGE_CURVE('',#148258,#148256,#64067,.T.); #180480=EDGE_CURVE('',#148259,#148257,#64068,.T.); #180481=EDGE_CURVE('',#148258,#148259,#64069,.T.); #180482=EDGE_CURVE('',#148260,#148258,#64070,.T.); #180483=EDGE_CURVE('',#148261,#148259,#64071,.T.); #180484=EDGE_CURVE('',#148260,#148261,#64072,.T.); #180485=EDGE_CURVE('',#148262,#148260,#139226,.T.); #180486=EDGE_CURVE('',#148263,#148261,#139227,.T.); #180487=EDGE_CURVE('',#148262,#148263,#64073,.T.); #180488=EDGE_CURVE('',#148264,#148262,#64074,.T.); #180489=EDGE_CURVE('',#148265,#148263,#64075,.T.); #180490=EDGE_CURVE('',#148264,#148265,#64076,.T.); #180491=EDGE_CURVE('',#148266,#148264,#139228,.T.); #180492=EDGE_CURVE('',#148267,#148265,#139229,.T.); #180493=EDGE_CURVE('',#148266,#148267,#64077,.T.); #180494=EDGE_CURVE('',#148268,#148266,#64078,.T.); #180495=EDGE_CURVE('',#148269,#148267,#64079,.T.); #180496=EDGE_CURVE('',#148268,#148269,#64080,.T.); #180497=EDGE_CURVE('',#148270,#148268,#64081,.T.); #180498=EDGE_CURVE('',#148271,#148269,#64082,.T.); #180499=EDGE_CURVE('',#148270,#148271,#64083,.T.); #180500=EDGE_CURVE('',#148272,#148270,#64084,.T.); #180501=EDGE_CURVE('',#148273,#148271,#64085,.T.); #180502=EDGE_CURVE('',#148272,#148273,#64086,.T.); #180503=EDGE_CURVE('',#148274,#148272,#139230,.T.); #180504=EDGE_CURVE('',#148275,#148273,#139231,.T.); #180505=EDGE_CURVE('',#148274,#148275,#64087,.T.); #180506=EDGE_CURVE('',#148276,#148274,#64088,.T.); #180507=EDGE_CURVE('',#148277,#148275,#64089,.T.); #180508=EDGE_CURVE('',#148276,#148277,#64090,.T.); #180509=EDGE_CURVE('',#148278,#148276,#139232,.T.); #180510=EDGE_CURVE('',#148279,#148277,#139233,.T.); #180511=EDGE_CURVE('',#148278,#148279,#64091,.T.); #180512=EDGE_CURVE('',#148280,#148278,#64092,.T.); #180513=EDGE_CURVE('',#148281,#148279,#64093,.T.); #180514=EDGE_CURVE('',#148280,#148281,#64094,.T.); #180515=EDGE_CURVE('',#148282,#148280,#64095,.T.); #180516=EDGE_CURVE('',#148283,#148281,#64096,.T.); #180517=EDGE_CURVE('',#148282,#148283,#64097,.T.); #180518=EDGE_CURVE('',#148284,#148282,#64098,.T.); #180519=EDGE_CURVE('',#148285,#148283,#64099,.T.); #180520=EDGE_CURVE('',#148284,#148285,#64100,.T.); #180521=EDGE_CURVE('',#148286,#148284,#64101,.T.); #180522=EDGE_CURVE('',#148287,#148285,#64102,.T.); #180523=EDGE_CURVE('',#148286,#148287,#64103,.T.); #180524=EDGE_CURVE('',#148288,#148286,#64104,.T.); #180525=EDGE_CURVE('',#148289,#148287,#64105,.T.); #180526=EDGE_CURVE('',#148288,#148289,#64106,.T.); #180527=EDGE_CURVE('',#148290,#148288,#64107,.T.); #180528=EDGE_CURVE('',#148291,#148289,#64108,.T.); #180529=EDGE_CURVE('',#148290,#148291,#64109,.T.); #180530=EDGE_CURVE('',#148207,#148290,#64110,.T.); #180531=EDGE_CURVE('',#148208,#148291,#64111,.T.); #180532=EDGE_CURVE('',#148292,#148292,#139234,.T.); #180533=EDGE_CURVE('',#148292,#148293,#64112,.T.); #180534=EDGE_CURVE('',#148293,#148293,#139235,.T.); #180535=EDGE_CURVE('',#148294,#148295,#64113,.T.); #180536=EDGE_CURVE('',#148295,#148296,#64114,.T.); #180537=EDGE_CURVE('',#148297,#148296,#64115,.T.); #180538=EDGE_CURVE('',#148294,#148297,#64116,.T.); #180539=EDGE_CURVE('',#148298,#148294,#64117,.T.); #180540=EDGE_CURVE('',#148299,#148297,#64118,.T.); #180541=EDGE_CURVE('',#148298,#148299,#64119,.T.); #180542=EDGE_CURVE('',#148300,#148298,#64120,.T.); #180543=EDGE_CURVE('',#148301,#148299,#64121,.T.); #180544=EDGE_CURVE('',#148300,#148301,#64122,.T.); #180545=EDGE_CURVE('',#148302,#148300,#64123,.T.); #180546=EDGE_CURVE('',#148303,#148301,#64124,.T.); #180547=EDGE_CURVE('',#148302,#148303,#64125,.T.); #180548=EDGE_CURVE('',#148304,#148302,#139236,.T.); #180549=EDGE_CURVE('',#148305,#148303,#139237,.T.); #180550=EDGE_CURVE('',#148304,#148305,#64126,.T.); #180551=EDGE_CURVE('',#148306,#148304,#64127,.T.); #180552=EDGE_CURVE('',#148307,#148305,#64128,.T.); #180553=EDGE_CURVE('',#148306,#148307,#64129,.T.); #180554=EDGE_CURVE('',#148308,#148306,#139238,.T.); #180555=EDGE_CURVE('',#148309,#148307,#139239,.T.); #180556=EDGE_CURVE('',#148308,#148309,#64130,.T.); #180557=EDGE_CURVE('',#148310,#148308,#64131,.T.); #180558=EDGE_CURVE('',#148311,#148309,#64132,.T.); #180559=EDGE_CURVE('',#148310,#148311,#64133,.T.); #180560=EDGE_CURVE('',#148312,#148310,#64134,.T.); #180561=EDGE_CURVE('',#148313,#148311,#64135,.T.); #180562=EDGE_CURVE('',#148312,#148313,#64136,.T.); #180563=EDGE_CURVE('',#148314,#148312,#139240,.T.); #180564=EDGE_CURVE('',#148315,#148313,#139241,.T.); #180565=EDGE_CURVE('',#148314,#148315,#64137,.T.); #180566=EDGE_CURVE('',#148316,#148314,#64138,.T.); #180567=EDGE_CURVE('',#148317,#148315,#64139,.T.); #180568=EDGE_CURVE('',#148316,#148317,#64140,.T.); #180569=EDGE_CURVE('',#148318,#148316,#139242,.T.); #180570=EDGE_CURVE('',#148319,#148317,#139243,.T.); #180571=EDGE_CURVE('',#148318,#148319,#64141,.T.); #180572=EDGE_CURVE('',#148320,#148318,#139244,.T.); #180573=EDGE_CURVE('',#148321,#148319,#139245,.T.); #180574=EDGE_CURVE('',#148320,#148321,#64142,.T.); #180575=EDGE_CURVE('',#148322,#148320,#64143,.T.); #180576=EDGE_CURVE('',#148323,#148321,#64144,.T.); #180577=EDGE_CURVE('',#148322,#148323,#64145,.T.); #180578=EDGE_CURVE('',#148324,#148322,#64146,.T.); #180579=EDGE_CURVE('',#148325,#148323,#64147,.T.); #180580=EDGE_CURVE('',#148324,#148325,#64148,.T.); #180581=EDGE_CURVE('',#148326,#148324,#139246,.T.); #180582=EDGE_CURVE('',#148327,#148325,#139247,.T.); #180583=EDGE_CURVE('',#148326,#148327,#64149,.T.); #180584=EDGE_CURVE('',#148328,#148326,#64150,.T.); #180585=EDGE_CURVE('',#148329,#148327,#64151,.T.); #180586=EDGE_CURVE('',#148328,#148329,#64152,.T.); #180587=EDGE_CURVE('',#148330,#148328,#64153,.T.); #180588=EDGE_CURVE('',#148331,#148329,#64154,.T.); #180589=EDGE_CURVE('',#148330,#148331,#64155,.T.); #180590=EDGE_CURVE('',#148332,#148330,#64156,.T.); #180591=EDGE_CURVE('',#148333,#148331,#64157,.T.); #180592=EDGE_CURVE('',#148332,#148333,#64158,.T.); #180593=EDGE_CURVE('',#148334,#148332,#64159,.T.); #180594=EDGE_CURVE('',#148335,#148333,#64160,.T.); #180595=EDGE_CURVE('',#148334,#148335,#64161,.T.); #180596=EDGE_CURVE('',#148295,#148334,#64162,.T.); #180597=EDGE_CURVE('',#148296,#148335,#64163,.T.); #180598=EDGE_CURVE('',#148336,#148337,#64164,.T.); #180599=EDGE_CURVE('',#148337,#148338,#64165,.T.); #180600=EDGE_CURVE('',#148339,#148338,#64166,.T.); #180601=EDGE_CURVE('',#148336,#148339,#64167,.T.); #180602=EDGE_CURVE('',#148340,#148336,#64168,.T.); #180603=EDGE_CURVE('',#148341,#148339,#64169,.T.); #180604=EDGE_CURVE('',#148340,#148341,#64170,.T.); #180605=EDGE_CURVE('',#148342,#148340,#64171,.T.); #180606=EDGE_CURVE('',#148343,#148341,#64172,.T.); #180607=EDGE_CURVE('',#148342,#148343,#64173,.T.); #180608=EDGE_CURVE('',#148344,#148342,#64174,.T.); #180609=EDGE_CURVE('',#148345,#148343,#64175,.T.); #180610=EDGE_CURVE('',#148344,#148345,#64176,.T.); #180611=EDGE_CURVE('',#148346,#148344,#64177,.T.); #180612=EDGE_CURVE('',#148347,#148345,#64178,.T.); #180613=EDGE_CURVE('',#148346,#148347,#64179,.T.); #180614=EDGE_CURVE('',#148348,#148346,#64180,.T.); #180615=EDGE_CURVE('',#148349,#148347,#64181,.T.); #180616=EDGE_CURVE('',#148348,#148349,#64182,.T.); #180617=EDGE_CURVE('',#148350,#148348,#64183,.T.); #180618=EDGE_CURVE('',#148351,#148349,#64184,.T.); #180619=EDGE_CURVE('',#148350,#148351,#64185,.T.); #180620=EDGE_CURVE('',#148352,#148350,#64186,.T.); #180621=EDGE_CURVE('',#148353,#148351,#64187,.T.); #180622=EDGE_CURVE('',#148352,#148353,#64188,.T.); #180623=EDGE_CURVE('',#148354,#148352,#64189,.T.); #180624=EDGE_CURVE('',#148355,#148353,#64190,.T.); #180625=EDGE_CURVE('',#148354,#148355,#64191,.T.); #180626=EDGE_CURVE('',#148356,#148354,#64192,.T.); #180627=EDGE_CURVE('',#148357,#148355,#64193,.T.); #180628=EDGE_CURVE('',#148356,#148357,#64194,.T.); #180629=EDGE_CURVE('',#148358,#148356,#64195,.T.); #180630=EDGE_CURVE('',#148359,#148357,#64196,.T.); #180631=EDGE_CURVE('',#148358,#148359,#64197,.T.); #180632=EDGE_CURVE('',#148337,#148358,#64198,.T.); #180633=EDGE_CURVE('',#148338,#148359,#64199,.T.); #180634=EDGE_CURVE('',#148360,#148361,#64200,.T.); #180635=EDGE_CURVE('',#148361,#148362,#64201,.T.); #180636=EDGE_CURVE('',#148363,#148362,#64202,.T.); #180637=EDGE_CURVE('',#148360,#148363,#64203,.T.); #180638=EDGE_CURVE('',#148364,#148360,#64204,.T.); #180639=EDGE_CURVE('',#148365,#148363,#64205,.T.); #180640=EDGE_CURVE('',#148364,#148365,#64206,.T.); #180641=EDGE_CURVE('',#148366,#148364,#64207,.T.); #180642=EDGE_CURVE('',#148367,#148365,#64208,.T.); #180643=EDGE_CURVE('',#148366,#148367,#64209,.T.); #180644=EDGE_CURVE('',#148368,#148366,#64210,.T.); #180645=EDGE_CURVE('',#148369,#148367,#64211,.T.); #180646=EDGE_CURVE('',#148368,#148369,#64212,.T.); #180647=EDGE_CURVE('',#148370,#148368,#64213,.T.); #180648=EDGE_CURVE('',#148371,#148369,#64214,.T.); #180649=EDGE_CURVE('',#148370,#148371,#64215,.T.); #180650=EDGE_CURVE('',#148372,#148370,#64216,.T.); #180651=EDGE_CURVE('',#148373,#148371,#64217,.T.); #180652=EDGE_CURVE('',#148372,#148373,#64218,.T.); #180653=EDGE_CURVE('',#148374,#148372,#64219,.T.); #180654=EDGE_CURVE('',#148375,#148373,#64220,.T.); #180655=EDGE_CURVE('',#148374,#148375,#64221,.T.); #180656=EDGE_CURVE('',#148376,#148374,#64222,.T.); #180657=EDGE_CURVE('',#148377,#148375,#64223,.T.); #180658=EDGE_CURVE('',#148376,#148377,#64224,.T.); #180659=EDGE_CURVE('',#148378,#148376,#64225,.T.); #180660=EDGE_CURVE('',#148379,#148377,#64226,.T.); #180661=EDGE_CURVE('',#148378,#148379,#64227,.T.); #180662=EDGE_CURVE('',#148380,#148378,#64228,.T.); #180663=EDGE_CURVE('',#148381,#148379,#64229,.T.); #180664=EDGE_CURVE('',#148380,#148381,#64230,.T.); #180665=EDGE_CURVE('',#148382,#148380,#64231,.T.); #180666=EDGE_CURVE('',#148383,#148381,#64232,.T.); #180667=EDGE_CURVE('',#148382,#148383,#64233,.T.); #180668=EDGE_CURVE('',#148361,#148382,#64234,.T.); #180669=EDGE_CURVE('',#148362,#148383,#64235,.T.); #180670=EDGE_CURVE('',#148384,#148384,#139248,.T.); #180671=EDGE_CURVE('',#148384,#148385,#64236,.T.); #180672=EDGE_CURVE('',#148385,#148385,#139249,.T.); #180673=EDGE_CURVE('',#148386,#148387,#64237,.T.); #180674=EDGE_CURVE('',#148387,#148388,#64238,.T.); #180675=EDGE_CURVE('',#148389,#148388,#64239,.T.); #180676=EDGE_CURVE('',#148386,#148389,#64240,.T.); #180677=EDGE_CURVE('',#148390,#148386,#64241,.T.); #180678=EDGE_CURVE('',#148391,#148389,#64242,.T.); #180679=EDGE_CURVE('',#148390,#148391,#64243,.T.); #180680=EDGE_CURVE('',#148392,#148390,#64244,.T.); #180681=EDGE_CURVE('',#148393,#148391,#64245,.T.); #180682=EDGE_CURVE('',#148392,#148393,#64246,.T.); #180683=EDGE_CURVE('',#148394,#148392,#64247,.T.); #180684=EDGE_CURVE('',#148395,#148393,#64248,.T.); #180685=EDGE_CURVE('',#148394,#148395,#64249,.T.); #180686=EDGE_CURVE('',#148396,#148394,#139250,.T.); #180687=EDGE_CURVE('',#148397,#148395,#139251,.T.); #180688=EDGE_CURVE('',#148396,#148397,#64250,.T.); #180689=EDGE_CURVE('',#148398,#148396,#64251,.T.); #180690=EDGE_CURVE('',#148399,#148397,#64252,.T.); #180691=EDGE_CURVE('',#148398,#148399,#64253,.T.); #180692=EDGE_CURVE('',#148400,#148398,#139252,.T.); #180693=EDGE_CURVE('',#148401,#148399,#139253,.T.); #180694=EDGE_CURVE('',#148400,#148401,#64254,.T.); #180695=EDGE_CURVE('',#148402,#148400,#64255,.T.); #180696=EDGE_CURVE('',#148403,#148401,#64256,.T.); #180697=EDGE_CURVE('',#148402,#148403,#64257,.T.); #180698=EDGE_CURVE('',#148404,#148402,#139254,.T.); #180699=EDGE_CURVE('',#148405,#148403,#139255,.T.); #180700=EDGE_CURVE('',#148404,#148405,#64258,.T.); #180701=EDGE_CURVE('',#148406,#148404,#64259,.T.); #180702=EDGE_CURVE('',#148407,#148405,#64260,.T.); #180703=EDGE_CURVE('',#148406,#148407,#64261,.T.); #180704=EDGE_CURVE('',#148408,#148406,#139256,.T.); #180705=EDGE_CURVE('',#148409,#148407,#139257,.T.); #180706=EDGE_CURVE('',#148408,#148409,#64262,.T.); #180707=EDGE_CURVE('',#148410,#148408,#139258,.T.); #180708=EDGE_CURVE('',#148411,#148409,#139259,.T.); #180709=EDGE_CURVE('',#148410,#148411,#64263,.T.); #180710=EDGE_CURVE('',#148412,#148410,#64264,.T.); #180711=EDGE_CURVE('',#148413,#148411,#64265,.T.); #180712=EDGE_CURVE('',#148412,#148413,#64266,.T.); #180713=EDGE_CURVE('',#148414,#148412,#64267,.T.); #180714=EDGE_CURVE('',#148415,#148413,#64268,.T.); #180715=EDGE_CURVE('',#148414,#148415,#64269,.T.); #180716=EDGE_CURVE('',#148416,#148414,#64270,.T.); #180717=EDGE_CURVE('',#148417,#148415,#64271,.T.); #180718=EDGE_CURVE('',#148416,#148417,#64272,.T.); #180719=EDGE_CURVE('',#148418,#148416,#64273,.T.); #180720=EDGE_CURVE('',#148419,#148417,#64274,.T.); #180721=EDGE_CURVE('',#148418,#148419,#64275,.T.); #180722=EDGE_CURVE('',#148387,#148418,#64276,.T.); #180723=EDGE_CURVE('',#148388,#148419,#64277,.T.); #180724=EDGE_CURVE('',#148420,#148420,#139260,.T.); #180725=EDGE_CURVE('',#148420,#148421,#64278,.T.); #180726=EDGE_CURVE('',#148421,#148421,#139261,.T.); #180727=EDGE_CURVE('',#148422,#148423,#64279,.T.); #180728=EDGE_CURVE('',#148423,#148424,#64280,.T.); #180729=EDGE_CURVE('',#148425,#148424,#64281,.T.); #180730=EDGE_CURVE('',#148422,#148425,#64282,.T.); #180731=EDGE_CURVE('',#148426,#148422,#139262,.T.); #180732=EDGE_CURVE('',#148427,#148425,#139263,.T.); #180733=EDGE_CURVE('',#148426,#148427,#64283,.T.); #180734=EDGE_CURVE('',#148428,#148426,#64284,.T.); #180735=EDGE_CURVE('',#148429,#148427,#64285,.T.); #180736=EDGE_CURVE('',#148428,#148429,#64286,.T.); #180737=EDGE_CURVE('',#148430,#148428,#139264,.T.); #180738=EDGE_CURVE('',#148431,#148429,#139265,.T.); #180739=EDGE_CURVE('',#148430,#148431,#64287,.T.); #180740=EDGE_CURVE('',#148432,#148430,#64288,.T.); #180741=EDGE_CURVE('',#148433,#148431,#64289,.T.); #180742=EDGE_CURVE('',#148432,#148433,#64290,.T.); #180743=EDGE_CURVE('',#148434,#148432,#139266,.T.); #180744=EDGE_CURVE('',#148435,#148433,#139267,.T.); #180745=EDGE_CURVE('',#148434,#148435,#64291,.T.); #180746=EDGE_CURVE('',#148436,#148434,#64292,.T.); #180747=EDGE_CURVE('',#148437,#148435,#64293,.T.); #180748=EDGE_CURVE('',#148436,#148437,#64294,.T.); #180749=EDGE_CURVE('',#148438,#148436,#64295,.T.); #180750=EDGE_CURVE('',#148439,#148437,#64296,.T.); #180751=EDGE_CURVE('',#148438,#148439,#64297,.T.); #180752=EDGE_CURVE('',#148440,#148438,#64298,.T.); #180753=EDGE_CURVE('',#148441,#148439,#64299,.T.); #180754=EDGE_CURVE('',#148440,#148441,#64300,.T.); #180755=EDGE_CURVE('',#148442,#148440,#64301,.T.); #180756=EDGE_CURVE('',#148443,#148441,#64302,.T.); #180757=EDGE_CURVE('',#148442,#148443,#64303,.T.); #180758=EDGE_CURVE('',#148444,#148442,#64304,.T.); #180759=EDGE_CURVE('',#148445,#148443,#64305,.T.); #180760=EDGE_CURVE('',#148444,#148445,#64306,.T.); #180761=EDGE_CURVE('',#148446,#148444,#64307,.T.); #180762=EDGE_CURVE('',#148447,#148445,#64308,.T.); #180763=EDGE_CURVE('',#148446,#148447,#64309,.T.); #180764=EDGE_CURVE('',#148448,#148446,#64310,.T.); #180765=EDGE_CURVE('',#148449,#148447,#64311,.T.); #180766=EDGE_CURVE('',#148448,#148449,#64312,.T.); #180767=EDGE_CURVE('',#148450,#148448,#64313,.T.); #180768=EDGE_CURVE('',#148451,#148449,#64314,.T.); #180769=EDGE_CURVE('',#148450,#148451,#64315,.T.); #180770=EDGE_CURVE('',#148452,#148450,#64316,.T.); #180771=EDGE_CURVE('',#148453,#148451,#64317,.T.); #180772=EDGE_CURVE('',#148452,#148453,#64318,.T.); #180773=EDGE_CURVE('',#148454,#148452,#139268,.T.); #180774=EDGE_CURVE('',#148455,#148453,#139269,.T.); #180775=EDGE_CURVE('',#148454,#148455,#64319,.T.); #180776=EDGE_CURVE('',#148456,#148454,#64320,.T.); #180777=EDGE_CURVE('',#148457,#148455,#64321,.T.); #180778=EDGE_CURVE('',#148456,#148457,#64322,.T.); #180779=EDGE_CURVE('',#148458,#148456,#139270,.T.); #180780=EDGE_CURVE('',#148459,#148457,#139271,.T.); #180781=EDGE_CURVE('',#148458,#148459,#64323,.T.); #180782=EDGE_CURVE('',#148460,#148458,#64324,.T.); #180783=EDGE_CURVE('',#148461,#148459,#64325,.T.); #180784=EDGE_CURVE('',#148460,#148461,#64326,.T.); #180785=EDGE_CURVE('',#148462,#148460,#64327,.T.); #180786=EDGE_CURVE('',#148463,#148461,#64328,.T.); #180787=EDGE_CURVE('',#148462,#148463,#64329,.T.); #180788=EDGE_CURVE('',#148464,#148462,#64330,.T.); #180789=EDGE_CURVE('',#148465,#148463,#64331,.T.); #180790=EDGE_CURVE('',#148464,#148465,#64332,.T.); #180791=EDGE_CURVE('',#148423,#148464,#139272,.T.); #180792=EDGE_CURVE('',#148424,#148465,#139273,.T.); #180793=EDGE_CURVE('',#148466,#148466,#139274,.T.); #180794=EDGE_CURVE('',#148466,#148467,#64333,.T.); #180795=EDGE_CURVE('',#148467,#148467,#139275,.T.); #180796=EDGE_CURVE('',#148468,#148469,#64334,.T.); #180797=EDGE_CURVE('',#148469,#148470,#64335,.T.); #180798=EDGE_CURVE('',#148471,#148470,#64336,.T.); #180799=EDGE_CURVE('',#148468,#148471,#64337,.T.); #180800=EDGE_CURVE('',#148472,#148468,#139276,.T.); #180801=EDGE_CURVE('',#148473,#148471,#139277,.T.); #180802=EDGE_CURVE('',#148472,#148473,#64338,.T.); #180803=EDGE_CURVE('',#148474,#148472,#139278,.T.); #180804=EDGE_CURVE('',#148475,#148473,#139279,.T.); #180805=EDGE_CURVE('',#148474,#148475,#64339,.T.); #180806=EDGE_CURVE('',#148476,#148474,#64340,.T.); #180807=EDGE_CURVE('',#148477,#148475,#64341,.T.); #180808=EDGE_CURVE('',#148476,#148477,#64342,.T.); #180809=EDGE_CURVE('',#148478,#148476,#64343,.T.); #180810=EDGE_CURVE('',#148479,#148477,#64344,.T.); #180811=EDGE_CURVE('',#148478,#148479,#64345,.T.); #180812=EDGE_CURVE('',#148480,#148478,#64346,.T.); #180813=EDGE_CURVE('',#148481,#148479,#64347,.T.); #180814=EDGE_CURVE('',#148480,#148481,#64348,.T.); #180815=EDGE_CURVE('',#148482,#148480,#64349,.T.); #180816=EDGE_CURVE('',#148483,#148481,#64350,.T.); #180817=EDGE_CURVE('',#148482,#148483,#64351,.T.); #180818=EDGE_CURVE('',#148484,#148482,#64352,.T.); #180819=EDGE_CURVE('',#148485,#148483,#64353,.T.); #180820=EDGE_CURVE('',#148484,#148485,#64354,.T.); #180821=EDGE_CURVE('',#148486,#148484,#64355,.T.); #180822=EDGE_CURVE('',#148487,#148485,#64356,.T.); #180823=EDGE_CURVE('',#148486,#148487,#64357,.T.); #180824=EDGE_CURVE('',#148488,#148486,#139280,.T.); #180825=EDGE_CURVE('',#148489,#148487,#139281,.T.); #180826=EDGE_CURVE('',#148488,#148489,#64358,.T.); #180827=EDGE_CURVE('',#148490,#148488,#64359,.T.); #180828=EDGE_CURVE('',#148491,#148489,#64360,.T.); #180829=EDGE_CURVE('',#148490,#148491,#64361,.T.); #180830=EDGE_CURVE('',#148469,#148490,#139282,.T.); #180831=EDGE_CURVE('',#148470,#148491,#139283,.T.); #180832=EDGE_CURVE('',#148492,#148493,#64362,.T.); #180833=EDGE_CURVE('',#148493,#148494,#64363,.T.); #180834=EDGE_CURVE('',#148495,#148494,#64364,.T.); #180835=EDGE_CURVE('',#148492,#148495,#64365,.T.); #180836=EDGE_CURVE('',#148496,#148492,#64366,.T.); #180837=EDGE_CURVE('',#148497,#148495,#64367,.T.); #180838=EDGE_CURVE('',#148496,#148497,#64368,.T.); #180839=EDGE_CURVE('',#148498,#148496,#64369,.T.); #180840=EDGE_CURVE('',#148499,#148497,#64370,.T.); #180841=EDGE_CURVE('',#148498,#148499,#64371,.T.); #180842=EDGE_CURVE('',#148500,#148498,#64372,.T.); #180843=EDGE_CURVE('',#148501,#148499,#64373,.T.); #180844=EDGE_CURVE('',#148500,#148501,#64374,.T.); #180845=EDGE_CURVE('',#148502,#148500,#139284,.T.); #180846=EDGE_CURVE('',#148503,#148501,#139285,.T.); #180847=EDGE_CURVE('',#148502,#148503,#64375,.T.); #180848=EDGE_CURVE('',#148504,#148502,#64376,.T.); #180849=EDGE_CURVE('',#148505,#148503,#64377,.T.); #180850=EDGE_CURVE('',#148504,#148505,#64378,.T.); #180851=EDGE_CURVE('',#148506,#148504,#139286,.T.); #180852=EDGE_CURVE('',#148507,#148505,#139287,.T.); #180853=EDGE_CURVE('',#148506,#148507,#64379,.T.); #180854=EDGE_CURVE('',#148508,#148506,#64380,.T.); #180855=EDGE_CURVE('',#148509,#148507,#64381,.T.); #180856=EDGE_CURVE('',#148508,#148509,#64382,.T.); #180857=EDGE_CURVE('',#148510,#148508,#64383,.T.); #180858=EDGE_CURVE('',#148511,#148509,#64384,.T.); #180859=EDGE_CURVE('',#148510,#148511,#64385,.T.); #180860=EDGE_CURVE('',#148512,#148510,#64386,.T.); #180861=EDGE_CURVE('',#148513,#148511,#64387,.T.); #180862=EDGE_CURVE('',#148512,#148513,#64388,.T.); #180863=EDGE_CURVE('',#148514,#148512,#139288,.T.); #180864=EDGE_CURVE('',#148515,#148513,#139289,.T.); #180865=EDGE_CURVE('',#148514,#148515,#64389,.T.); #180866=EDGE_CURVE('',#148516,#148514,#64390,.T.); #180867=EDGE_CURVE('',#148517,#148515,#64391,.T.); #180868=EDGE_CURVE('',#148516,#148517,#64392,.T.); #180869=EDGE_CURVE('',#148518,#148516,#139290,.T.); #180870=EDGE_CURVE('',#148519,#148517,#139291,.T.); #180871=EDGE_CURVE('',#148518,#148519,#64393,.T.); #180872=EDGE_CURVE('',#148520,#148518,#64394,.T.); #180873=EDGE_CURVE('',#148521,#148519,#64395,.T.); #180874=EDGE_CURVE('',#148520,#148521,#64396,.T.); #180875=EDGE_CURVE('',#148522,#148520,#64397,.T.); #180876=EDGE_CURVE('',#148523,#148521,#64398,.T.); #180877=EDGE_CURVE('',#148522,#148523,#64399,.T.); #180878=EDGE_CURVE('',#148524,#148522,#64400,.T.); #180879=EDGE_CURVE('',#148525,#148523,#64401,.T.); #180880=EDGE_CURVE('',#148524,#148525,#64402,.T.); #180881=EDGE_CURVE('',#148526,#148524,#64403,.T.); #180882=EDGE_CURVE('',#148527,#148525,#64404,.T.); #180883=EDGE_CURVE('',#148526,#148527,#64405,.T.); #180884=EDGE_CURVE('',#148528,#148526,#64406,.T.); #180885=EDGE_CURVE('',#148529,#148527,#64407,.T.); #180886=EDGE_CURVE('',#148528,#148529,#64408,.T.); #180887=EDGE_CURVE('',#148530,#148528,#64409,.T.); #180888=EDGE_CURVE('',#148531,#148529,#64410,.T.); #180889=EDGE_CURVE('',#148530,#148531,#64411,.T.); #180890=EDGE_CURVE('',#148532,#148530,#64412,.T.); #180891=EDGE_CURVE('',#148533,#148531,#64413,.T.); #180892=EDGE_CURVE('',#148532,#148533,#64414,.T.); #180893=EDGE_CURVE('',#148534,#148532,#64415,.T.); #180894=EDGE_CURVE('',#148535,#148533,#64416,.T.); #180895=EDGE_CURVE('',#148534,#148535,#64417,.T.); #180896=EDGE_CURVE('',#148536,#148534,#64418,.T.); #180897=EDGE_CURVE('',#148537,#148535,#64419,.T.); #180898=EDGE_CURVE('',#148536,#148537,#64420,.T.); #180899=EDGE_CURVE('',#148538,#148536,#139292,.T.); #180900=EDGE_CURVE('',#148539,#148537,#139293,.T.); #180901=EDGE_CURVE('',#148538,#148539,#64421,.T.); #180902=EDGE_CURVE('',#148540,#148538,#64422,.T.); #180903=EDGE_CURVE('',#148541,#148539,#64423,.T.); #180904=EDGE_CURVE('',#148540,#148541,#64424,.T.); #180905=EDGE_CURVE('',#148542,#148540,#139294,.T.); #180906=EDGE_CURVE('',#148543,#148541,#139295,.T.); #180907=EDGE_CURVE('',#148542,#148543,#64425,.T.); #180908=EDGE_CURVE('',#148544,#148542,#64426,.T.); #180909=EDGE_CURVE('',#148545,#148543,#64427,.T.); #180910=EDGE_CURVE('',#148544,#148545,#64428,.T.); #180911=EDGE_CURVE('',#148546,#148544,#64429,.T.); #180912=EDGE_CURVE('',#148547,#148545,#64430,.T.); #180913=EDGE_CURVE('',#148546,#148547,#64431,.T.); #180914=EDGE_CURVE('',#148548,#148546,#64432,.T.); #180915=EDGE_CURVE('',#148549,#148547,#64433,.T.); #180916=EDGE_CURVE('',#148548,#148549,#64434,.T.); #180917=EDGE_CURVE('',#148550,#148548,#139296,.T.); #180918=EDGE_CURVE('',#148551,#148549,#139297,.T.); #180919=EDGE_CURVE('',#148550,#148551,#64435,.T.); #180920=EDGE_CURVE('',#148552,#148550,#64436,.T.); #180921=EDGE_CURVE('',#148553,#148551,#64437,.T.); #180922=EDGE_CURVE('',#148552,#148553,#64438,.T.); #180923=EDGE_CURVE('',#148554,#148552,#139298,.T.); #180924=EDGE_CURVE('',#148555,#148553,#139299,.T.); #180925=EDGE_CURVE('',#148554,#148555,#64439,.T.); #180926=EDGE_CURVE('',#148556,#148554,#64440,.T.); #180927=EDGE_CURVE('',#148557,#148555,#64441,.T.); #180928=EDGE_CURVE('',#148556,#148557,#64442,.T.); #180929=EDGE_CURVE('',#148558,#148556,#64443,.T.); #180930=EDGE_CURVE('',#148559,#148557,#64444,.T.); #180931=EDGE_CURVE('',#148558,#148559,#64445,.T.); #180932=EDGE_CURVE('',#148560,#148558,#64446,.T.); #180933=EDGE_CURVE('',#148561,#148559,#64447,.T.); #180934=EDGE_CURVE('',#148560,#148561,#64448,.T.); #180935=EDGE_CURVE('',#148562,#148560,#64449,.T.); #180936=EDGE_CURVE('',#148563,#148561,#64450,.T.); #180937=EDGE_CURVE('',#148562,#148563,#64451,.T.); #180938=EDGE_CURVE('',#148493,#148562,#64452,.T.); #180939=EDGE_CURVE('',#148494,#148563,#64453,.T.); #180940=EDGE_CURVE('',#148564,#148564,#139300,.T.); #180941=EDGE_CURVE('',#148564,#148565,#64454,.T.); #180942=EDGE_CURVE('',#148565,#148565,#139301,.T.); #180943=EDGE_CURVE('',#148566,#148567,#64455,.T.); #180944=EDGE_CURVE('',#148567,#148568,#64456,.T.); #180945=EDGE_CURVE('',#148569,#148568,#64457,.T.); #180946=EDGE_CURVE('',#148566,#148569,#64458,.T.); #180947=EDGE_CURVE('',#148570,#148566,#139302,.T.); #180948=EDGE_CURVE('',#148571,#148569,#139303,.T.); #180949=EDGE_CURVE('',#148570,#148571,#64459,.T.); #180950=EDGE_CURVE('',#148572,#148570,#64460,.T.); #180951=EDGE_CURVE('',#148573,#148571,#64461,.T.); #180952=EDGE_CURVE('',#148572,#148573,#64462,.T.); #180953=EDGE_CURVE('',#148574,#148572,#64463,.T.); #180954=EDGE_CURVE('',#148575,#148573,#64464,.T.); #180955=EDGE_CURVE('',#148574,#148575,#64465,.T.); #180956=EDGE_CURVE('',#148576,#148574,#64466,.T.); #180957=EDGE_CURVE('',#148577,#148575,#64467,.T.); #180958=EDGE_CURVE('',#148576,#148577,#64468,.T.); #180959=EDGE_CURVE('',#148578,#148576,#64469,.T.); #180960=EDGE_CURVE('',#148579,#148577,#64470,.T.); #180961=EDGE_CURVE('',#148578,#148579,#64471,.T.); #180962=EDGE_CURVE('',#148580,#148578,#64472,.T.); #180963=EDGE_CURVE('',#148581,#148579,#64473,.T.); #180964=EDGE_CURVE('',#148580,#148581,#64474,.T.); #180965=EDGE_CURVE('',#148582,#148580,#64475,.T.); #180966=EDGE_CURVE('',#148583,#148581,#64476,.T.); #180967=EDGE_CURVE('',#148582,#148583,#64477,.T.); #180968=EDGE_CURVE('',#148584,#148582,#64478,.T.); #180969=EDGE_CURVE('',#148585,#148583,#64479,.T.); #180970=EDGE_CURVE('',#148584,#148585,#64480,.T.); #180971=EDGE_CURVE('',#148567,#148584,#139304,.T.); #180972=EDGE_CURVE('',#148568,#148585,#139305,.T.); #180973=EDGE_CURVE('',#148586,#148586,#139306,.T.); #180974=EDGE_CURVE('',#148586,#148587,#64481,.T.); #180975=EDGE_CURVE('',#148587,#148587,#139307,.T.); #180976=EDGE_CURVE('',#148588,#148588,#139308,.T.); #180977=EDGE_CURVE('',#148588,#148589,#64482,.T.); #180978=EDGE_CURVE('',#148589,#148589,#139309,.T.); #180979=EDGE_CURVE('',#148590,#148591,#64483,.T.); #180980=EDGE_CURVE('',#148591,#148592,#64484,.T.); #180981=EDGE_CURVE('',#148593,#148592,#64485,.T.); #180982=EDGE_CURVE('',#148590,#148593,#64486,.T.); #180983=EDGE_CURVE('',#148594,#148590,#64487,.T.); #180984=EDGE_CURVE('',#148595,#148593,#64488,.T.); #180985=EDGE_CURVE('',#148594,#148595,#64489,.T.); #180986=EDGE_CURVE('',#148596,#148594,#139310,.T.); #180987=EDGE_CURVE('',#148597,#148595,#139311,.T.); #180988=EDGE_CURVE('',#148596,#148597,#64490,.T.); #180989=EDGE_CURVE('',#148598,#148596,#139312,.T.); #180990=EDGE_CURVE('',#148599,#148597,#139313,.T.); #180991=EDGE_CURVE('',#148598,#148599,#64491,.T.); #180992=EDGE_CURVE('',#148600,#148598,#64492,.T.); #180993=EDGE_CURVE('',#148601,#148599,#64493,.T.); #180994=EDGE_CURVE('',#148600,#148601,#64494,.T.); #180995=EDGE_CURVE('',#148602,#148600,#64495,.T.); #180996=EDGE_CURVE('',#148603,#148601,#64496,.T.); #180997=EDGE_CURVE('',#148602,#148603,#64497,.T.); #180998=EDGE_CURVE('',#148604,#148602,#139314,.T.); #180999=EDGE_CURVE('',#148605,#148603,#139315,.T.); #181000=EDGE_CURVE('',#148604,#148605,#64498,.T.); #181001=EDGE_CURVE('',#148606,#148604,#139316,.T.); #181002=EDGE_CURVE('',#148607,#148605,#139317,.T.); #181003=EDGE_CURVE('',#148606,#148607,#64499,.T.); #181004=EDGE_CURVE('',#148608,#148606,#64500,.T.); #181005=EDGE_CURVE('',#148609,#148607,#64501,.T.); #181006=EDGE_CURVE('',#148608,#148609,#64502,.T.); #181007=EDGE_CURVE('',#148610,#148608,#64503,.T.); #181008=EDGE_CURVE('',#148611,#148609,#64504,.T.); #181009=EDGE_CURVE('',#148610,#148611,#64505,.T.); #181010=EDGE_CURVE('',#148612,#148610,#64506,.T.); #181011=EDGE_CURVE('',#148613,#148611,#64507,.T.); #181012=EDGE_CURVE('',#148612,#148613,#64508,.T.); #181013=EDGE_CURVE('',#148614,#148612,#64509,.T.); #181014=EDGE_CURVE('',#148615,#148613,#64510,.T.); #181015=EDGE_CURVE('',#148614,#148615,#64511,.T.); #181016=EDGE_CURVE('',#148616,#148614,#64512,.T.); #181017=EDGE_CURVE('',#148617,#148615,#64513,.T.); #181018=EDGE_CURVE('',#148616,#148617,#64514,.T.); #181019=EDGE_CURVE('',#148618,#148616,#64515,.T.); #181020=EDGE_CURVE('',#148619,#148617,#64516,.T.); #181021=EDGE_CURVE('',#148618,#148619,#64517,.T.); #181022=EDGE_CURVE('',#148620,#148618,#64518,.T.); #181023=EDGE_CURVE('',#148621,#148619,#64519,.T.); #181024=EDGE_CURVE('',#148620,#148621,#64520,.T.); #181025=EDGE_CURVE('',#148622,#148620,#64521,.T.); #181026=EDGE_CURVE('',#148623,#148621,#64522,.T.); #181027=EDGE_CURVE('',#148622,#148623,#64523,.T.); #181028=EDGE_CURVE('',#148624,#148622,#64524,.T.); #181029=EDGE_CURVE('',#148625,#148623,#64525,.T.); #181030=EDGE_CURVE('',#148624,#148625,#64526,.T.); #181031=EDGE_CURVE('',#148626,#148624,#64527,.T.); #181032=EDGE_CURVE('',#148627,#148625,#64528,.T.); #181033=EDGE_CURVE('',#148626,#148627,#64529,.T.); #181034=EDGE_CURVE('',#148628,#148626,#139318,.T.); #181035=EDGE_CURVE('',#148629,#148627,#139319,.T.); #181036=EDGE_CURVE('',#148628,#148629,#64530,.T.); #181037=EDGE_CURVE('',#148630,#148628,#64531,.T.); #181038=EDGE_CURVE('',#148631,#148629,#64532,.T.); #181039=EDGE_CURVE('',#148630,#148631,#64533,.T.); #181040=EDGE_CURVE('',#148591,#148630,#139320,.T.); #181041=EDGE_CURVE('',#148592,#148631,#139321,.T.); #181042=EDGE_CURVE('',#148632,#148633,#64534,.T.); #181043=EDGE_CURVE('',#148633,#148634,#64535,.T.); #181044=EDGE_CURVE('',#148635,#148634,#64536,.T.); #181045=EDGE_CURVE('',#148632,#148635,#64537,.T.); #181046=EDGE_CURVE('',#148636,#148632,#64538,.T.); #181047=EDGE_CURVE('',#148637,#148635,#64539,.T.); #181048=EDGE_CURVE('',#148636,#148637,#64540,.T.); #181049=EDGE_CURVE('',#148638,#148636,#64541,.T.); #181050=EDGE_CURVE('',#148639,#148637,#64542,.T.); #181051=EDGE_CURVE('',#148638,#148639,#64543,.T.); #181052=EDGE_CURVE('',#148640,#148638,#64544,.T.); #181053=EDGE_CURVE('',#148641,#148639,#64545,.T.); #181054=EDGE_CURVE('',#148640,#148641,#64546,.T.); #181055=EDGE_CURVE('',#148642,#148640,#139322,.T.); #181056=EDGE_CURVE('',#148643,#148641,#139323,.T.); #181057=EDGE_CURVE('',#148642,#148643,#64547,.T.); #181058=EDGE_CURVE('',#148644,#148642,#64548,.T.); #181059=EDGE_CURVE('',#148645,#148643,#64549,.T.); #181060=EDGE_CURVE('',#148644,#148645,#64550,.T.); #181061=EDGE_CURVE('',#148646,#148644,#64551,.T.); #181062=EDGE_CURVE('',#148647,#148645,#64552,.T.); #181063=EDGE_CURVE('',#148646,#148647,#64553,.T.); #181064=EDGE_CURVE('',#148648,#148646,#64554,.T.); #181065=EDGE_CURVE('',#148649,#148647,#64555,.T.); #181066=EDGE_CURVE('',#148648,#148649,#64556,.T.); #181067=EDGE_CURVE('',#148650,#148648,#64557,.T.); #181068=EDGE_CURVE('',#148651,#148649,#64558,.T.); #181069=EDGE_CURVE('',#148650,#148651,#64559,.T.); #181070=EDGE_CURVE('',#148652,#148650,#64560,.T.); #181071=EDGE_CURVE('',#148653,#148651,#64561,.T.); #181072=EDGE_CURVE('',#148652,#148653,#64562,.T.); #181073=EDGE_CURVE('',#148654,#148652,#64563,.T.); #181074=EDGE_CURVE('',#148655,#148653,#64564,.T.); #181075=EDGE_CURVE('',#148654,#148655,#64565,.T.); #181076=EDGE_CURVE('',#148656,#148654,#64566,.T.); #181077=EDGE_CURVE('',#148657,#148655,#64567,.T.); #181078=EDGE_CURVE('',#148656,#148657,#64568,.T.); #181079=EDGE_CURVE('',#148658,#148656,#64569,.T.); #181080=EDGE_CURVE('',#148659,#148657,#64570,.T.); #181081=EDGE_CURVE('',#148658,#148659,#64571,.T.); #181082=EDGE_CURVE('',#148633,#148658,#64572,.T.); #181083=EDGE_CURVE('',#148634,#148659,#64573,.T.); #181084=EDGE_CURVE('',#148660,#148660,#139324,.T.); #181085=EDGE_CURVE('',#148660,#148661,#64574,.T.); #181086=EDGE_CURVE('',#148661,#148661,#139325,.T.); #181087=EDGE_CURVE('',#148662,#148662,#139326,.T.); #181088=EDGE_CURVE('',#148662,#148663,#64575,.T.); #181089=EDGE_CURVE('',#148663,#148663,#139327,.T.); #181090=EDGE_CURVE('',#148664,#148664,#139328,.T.); #181091=EDGE_CURVE('',#148664,#148665,#64576,.T.); #181092=EDGE_CURVE('',#148665,#148665,#139329,.T.); #181093=EDGE_CURVE('',#148666,#148666,#139330,.T.); #181094=EDGE_CURVE('',#148666,#148667,#64577,.T.); #181095=EDGE_CURVE('',#148667,#148667,#139331,.T.); #181096=EDGE_CURVE('',#148668,#148668,#139332,.T.); #181097=EDGE_CURVE('',#148668,#148669,#64578,.T.); #181098=EDGE_CURVE('',#148669,#148669,#139333,.T.); #181099=EDGE_CURVE('',#148670,#148670,#139334,.T.); #181100=EDGE_CURVE('',#148670,#148671,#64579,.T.); #181101=EDGE_CURVE('',#148671,#148671,#139335,.T.); #181102=EDGE_CURVE('',#148672,#148672,#139336,.T.); #181103=EDGE_CURVE('',#148672,#148673,#64580,.T.); #181104=EDGE_CURVE('',#148673,#148673,#139337,.T.); #181105=EDGE_CURVE('',#148674,#148674,#139338,.T.); #181106=EDGE_CURVE('',#148674,#148675,#64581,.T.); #181107=EDGE_CURVE('',#148675,#148675,#139339,.T.); #181108=EDGE_CURVE('',#148676,#148676,#139340,.T.); #181109=EDGE_CURVE('',#148676,#148677,#64582,.T.); #181110=EDGE_CURVE('',#148677,#148677,#139341,.T.); #181111=EDGE_CURVE('',#148678,#148678,#139342,.T.); #181112=EDGE_CURVE('',#148678,#148679,#64583,.T.); #181113=EDGE_CURVE('',#148679,#148679,#139343,.T.); #181114=EDGE_CURVE('',#148680,#148680,#139344,.T.); #181115=EDGE_CURVE('',#148680,#148681,#64584,.T.); #181116=EDGE_CURVE('',#148681,#148681,#139345,.T.); #181117=EDGE_CURVE('',#148682,#148682,#139346,.T.); #181118=EDGE_CURVE('',#148682,#148683,#64585,.T.); #181119=EDGE_CURVE('',#148683,#148683,#139347,.T.); #181120=EDGE_CURVE('',#148684,#148684,#139348,.T.); #181121=EDGE_CURVE('',#148684,#148685,#64586,.T.); #181122=EDGE_CURVE('',#148685,#148685,#139349,.T.); #181123=EDGE_CURVE('',#148686,#148687,#64587,.T.); #181124=EDGE_CURVE('',#148687,#148688,#64588,.T.); #181125=EDGE_CURVE('',#148689,#148688,#64589,.T.); #181126=EDGE_CURVE('',#148686,#148689,#64590,.T.); #181127=EDGE_CURVE('',#148690,#148686,#64591,.T.); #181128=EDGE_CURVE('',#148691,#148689,#64592,.T.); #181129=EDGE_CURVE('',#148690,#148691,#64593,.T.); #181130=EDGE_CURVE('',#148692,#148690,#64594,.T.); #181131=EDGE_CURVE('',#148693,#148691,#64595,.T.); #181132=EDGE_CURVE('',#148692,#148693,#64596,.T.); #181133=EDGE_CURVE('',#148694,#148692,#64597,.T.); #181134=EDGE_CURVE('',#148695,#148693,#64598,.T.); #181135=EDGE_CURVE('',#148694,#148695,#64599,.T.); #181136=EDGE_CURVE('',#148696,#148694,#64600,.T.); #181137=EDGE_CURVE('',#148697,#148695,#64601,.T.); #181138=EDGE_CURVE('',#148696,#148697,#64602,.T.); #181139=EDGE_CURVE('',#148698,#148696,#64603,.T.); #181140=EDGE_CURVE('',#148699,#148697,#64604,.T.); #181141=EDGE_CURVE('',#148698,#148699,#64605,.T.); #181142=EDGE_CURVE('',#148700,#148698,#64606,.T.); #181143=EDGE_CURVE('',#148701,#148699,#64607,.T.); #181144=EDGE_CURVE('',#148700,#148701,#64608,.T.); #181145=EDGE_CURVE('',#148702,#148700,#64609,.T.); #181146=EDGE_CURVE('',#148703,#148701,#64610,.T.); #181147=EDGE_CURVE('',#148702,#148703,#64611,.T.); #181148=EDGE_CURVE('',#148704,#148702,#64612,.T.); #181149=EDGE_CURVE('',#148705,#148703,#64613,.T.); #181150=EDGE_CURVE('',#148704,#148705,#64614,.T.); #181151=EDGE_CURVE('',#148706,#148704,#64615,.T.); #181152=EDGE_CURVE('',#148707,#148705,#64616,.T.); #181153=EDGE_CURVE('',#148706,#148707,#64617,.T.); #181154=EDGE_CURVE('',#148708,#148706,#64618,.T.); #181155=EDGE_CURVE('',#148709,#148707,#64619,.T.); #181156=EDGE_CURVE('',#148708,#148709,#64620,.T.); #181157=EDGE_CURVE('',#148710,#148708,#64621,.T.); #181158=EDGE_CURVE('',#148711,#148709,#64622,.T.); #181159=EDGE_CURVE('',#148710,#148711,#64623,.T.); #181160=EDGE_CURVE('',#148712,#148710,#64624,.T.); #181161=EDGE_CURVE('',#148713,#148711,#64625,.T.); #181162=EDGE_CURVE('',#148712,#148713,#64626,.T.); #181163=EDGE_CURVE('',#148714,#148712,#64627,.T.); #181164=EDGE_CURVE('',#148715,#148713,#64628,.T.); #181165=EDGE_CURVE('',#148714,#148715,#64629,.T.); #181166=EDGE_CURVE('',#148716,#148714,#64630,.T.); #181167=EDGE_CURVE('',#148717,#148715,#64631,.T.); #181168=EDGE_CURVE('',#148716,#148717,#64632,.T.); #181169=EDGE_CURVE('',#148687,#148716,#64633,.T.); #181170=EDGE_CURVE('',#148688,#148717,#64634,.T.); #181171=EDGE_CURVE('',#148718,#148719,#64635,.T.); #181172=EDGE_CURVE('',#148719,#148720,#64636,.T.); #181173=EDGE_CURVE('',#148721,#148720,#64637,.T.); #181174=EDGE_CURVE('',#148718,#148721,#64638,.T.); #181175=EDGE_CURVE('',#148722,#148718,#64639,.T.); #181176=EDGE_CURVE('',#148723,#148721,#64640,.T.); #181177=EDGE_CURVE('',#148722,#148723,#64641,.T.); #181178=EDGE_CURVE('',#148724,#148722,#64642,.T.); #181179=EDGE_CURVE('',#148725,#148723,#64643,.T.); #181180=EDGE_CURVE('',#148724,#148725,#64644,.T.); #181181=EDGE_CURVE('',#148726,#148724,#64645,.T.); #181182=EDGE_CURVE('',#148727,#148725,#64646,.T.); #181183=EDGE_CURVE('',#148726,#148727,#64647,.T.); #181184=EDGE_CURVE('',#148728,#148726,#64648,.T.); #181185=EDGE_CURVE('',#148729,#148727,#64649,.T.); #181186=EDGE_CURVE('',#148728,#148729,#64650,.T.); #181187=EDGE_CURVE('',#148730,#148728,#64651,.T.); #181188=EDGE_CURVE('',#148731,#148729,#64652,.T.); #181189=EDGE_CURVE('',#148730,#148731,#64653,.T.); #181190=EDGE_CURVE('',#148732,#148730,#64654,.T.); #181191=EDGE_CURVE('',#148733,#148731,#64655,.T.); #181192=EDGE_CURVE('',#148732,#148733,#64656,.T.); #181193=EDGE_CURVE('',#148734,#148732,#64657,.T.); #181194=EDGE_CURVE('',#148735,#148733,#64658,.T.); #181195=EDGE_CURVE('',#148734,#148735,#64659,.T.); #181196=EDGE_CURVE('',#148736,#148734,#64660,.T.); #181197=EDGE_CURVE('',#148737,#148735,#64661,.T.); #181198=EDGE_CURVE('',#148736,#148737,#64662,.T.); #181199=EDGE_CURVE('',#148738,#148736,#64663,.T.); #181200=EDGE_CURVE('',#148739,#148737,#64664,.T.); #181201=EDGE_CURVE('',#148738,#148739,#64665,.T.); #181202=EDGE_CURVE('',#148740,#148738,#64666,.T.); #181203=EDGE_CURVE('',#148741,#148739,#64667,.T.); #181204=EDGE_CURVE('',#148740,#148741,#64668,.T.); #181205=EDGE_CURVE('',#148742,#148740,#64669,.T.); #181206=EDGE_CURVE('',#148743,#148741,#64670,.T.); #181207=EDGE_CURVE('',#148742,#148743,#64671,.T.); #181208=EDGE_CURVE('',#148744,#148742,#64672,.T.); #181209=EDGE_CURVE('',#148745,#148743,#64673,.T.); #181210=EDGE_CURVE('',#148744,#148745,#64674,.T.); #181211=EDGE_CURVE('',#148746,#148744,#64675,.T.); #181212=EDGE_CURVE('',#148747,#148745,#64676,.T.); #181213=EDGE_CURVE('',#148746,#148747,#64677,.T.); #181214=EDGE_CURVE('',#148748,#148746,#64678,.T.); #181215=EDGE_CURVE('',#148749,#148747,#64679,.T.); #181216=EDGE_CURVE('',#148748,#148749,#64680,.T.); #181217=EDGE_CURVE('',#148750,#148748,#64681,.T.); #181218=EDGE_CURVE('',#148751,#148749,#64682,.T.); #181219=EDGE_CURVE('',#148750,#148751,#64683,.T.); #181220=EDGE_CURVE('',#148752,#148750,#64684,.T.); #181221=EDGE_CURVE('',#148753,#148751,#64685,.T.); #181222=EDGE_CURVE('',#148752,#148753,#64686,.T.); #181223=EDGE_CURVE('',#148754,#148752,#64687,.T.); #181224=EDGE_CURVE('',#148755,#148753,#64688,.T.); #181225=EDGE_CURVE('',#148754,#148755,#64689,.T.); #181226=EDGE_CURVE('',#148756,#148754,#64690,.T.); #181227=EDGE_CURVE('',#148757,#148755,#64691,.T.); #181228=EDGE_CURVE('',#148756,#148757,#64692,.T.); #181229=EDGE_CURVE('',#148758,#148756,#64693,.T.); #181230=EDGE_CURVE('',#148759,#148757,#64694,.T.); #181231=EDGE_CURVE('',#148758,#148759,#64695,.T.); #181232=EDGE_CURVE('',#148760,#148758,#64696,.T.); #181233=EDGE_CURVE('',#148761,#148759,#64697,.T.); #181234=EDGE_CURVE('',#148760,#148761,#64698,.T.); #181235=EDGE_CURVE('',#148762,#148760,#64699,.T.); #181236=EDGE_CURVE('',#148763,#148761,#64700,.T.); #181237=EDGE_CURVE('',#148762,#148763,#64701,.T.); #181238=EDGE_CURVE('',#148764,#148762,#64702,.T.); #181239=EDGE_CURVE('',#148765,#148763,#64703,.T.); #181240=EDGE_CURVE('',#148764,#148765,#64704,.T.); #181241=EDGE_CURVE('',#148766,#148764,#64705,.T.); #181242=EDGE_CURVE('',#148767,#148765,#64706,.T.); #181243=EDGE_CURVE('',#148766,#148767,#64707,.T.); #181244=EDGE_CURVE('',#148768,#148766,#64708,.T.); #181245=EDGE_CURVE('',#148769,#148767,#64709,.T.); #181246=EDGE_CURVE('',#148768,#148769,#64710,.T.); #181247=EDGE_CURVE('',#148770,#148768,#64711,.T.); #181248=EDGE_CURVE('',#148771,#148769,#64712,.T.); #181249=EDGE_CURVE('',#148770,#148771,#64713,.T.); #181250=EDGE_CURVE('',#148772,#148770,#64714,.T.); #181251=EDGE_CURVE('',#148773,#148771,#64715,.T.); #181252=EDGE_CURVE('',#148772,#148773,#64716,.T.); #181253=EDGE_CURVE('',#148774,#148772,#64717,.T.); #181254=EDGE_CURVE('',#148775,#148773,#64718,.T.); #181255=EDGE_CURVE('',#148774,#148775,#64719,.T.); #181256=EDGE_CURVE('',#148776,#148774,#64720,.T.); #181257=EDGE_CURVE('',#148777,#148775,#64721,.T.); #181258=EDGE_CURVE('',#148776,#148777,#64722,.T.); #181259=EDGE_CURVE('',#148778,#148776,#64723,.T.); #181260=EDGE_CURVE('',#148779,#148777,#64724,.T.); #181261=EDGE_CURVE('',#148778,#148779,#64725,.T.); #181262=EDGE_CURVE('',#148780,#148778,#64726,.T.); #181263=EDGE_CURVE('',#148781,#148779,#64727,.T.); #181264=EDGE_CURVE('',#148780,#148781,#64728,.T.); #181265=EDGE_CURVE('',#148782,#148780,#64729,.T.); #181266=EDGE_CURVE('',#148783,#148781,#64730,.T.); #181267=EDGE_CURVE('',#148782,#148783,#64731,.T.); #181268=EDGE_CURVE('',#148784,#148782,#64732,.T.); #181269=EDGE_CURVE('',#148785,#148783,#64733,.T.); #181270=EDGE_CURVE('',#148784,#148785,#64734,.T.); #181271=EDGE_CURVE('',#148786,#148784,#64735,.T.); #181272=EDGE_CURVE('',#148787,#148785,#64736,.T.); #181273=EDGE_CURVE('',#148786,#148787,#64737,.T.); #181274=EDGE_CURVE('',#148788,#148786,#64738,.T.); #181275=EDGE_CURVE('',#148789,#148787,#64739,.T.); #181276=EDGE_CURVE('',#148788,#148789,#64740,.T.); #181277=EDGE_CURVE('',#148790,#148788,#64741,.T.); #181278=EDGE_CURVE('',#148791,#148789,#64742,.T.); #181279=EDGE_CURVE('',#148790,#148791,#64743,.T.); #181280=EDGE_CURVE('',#148792,#148790,#64744,.T.); #181281=EDGE_CURVE('',#148793,#148791,#64745,.T.); #181282=EDGE_CURVE('',#148792,#148793,#64746,.T.); #181283=EDGE_CURVE('',#148794,#148792,#64747,.T.); #181284=EDGE_CURVE('',#148795,#148793,#64748,.T.); #181285=EDGE_CURVE('',#148794,#148795,#64749,.T.); #181286=EDGE_CURVE('',#148796,#148794,#64750,.T.); #181287=EDGE_CURVE('',#148797,#148795,#64751,.T.); #181288=EDGE_CURVE('',#148796,#148797,#64752,.T.); #181289=EDGE_CURVE('',#148798,#148796,#64753,.T.); #181290=EDGE_CURVE('',#148799,#148797,#64754,.T.); #181291=EDGE_CURVE('',#148798,#148799,#64755,.T.); #181292=EDGE_CURVE('',#148800,#148798,#64756,.T.); #181293=EDGE_CURVE('',#148801,#148799,#64757,.T.); #181294=EDGE_CURVE('',#148800,#148801,#64758,.T.); #181295=EDGE_CURVE('',#148802,#148800,#64759,.T.); #181296=EDGE_CURVE('',#148803,#148801,#64760,.T.); #181297=EDGE_CURVE('',#148802,#148803,#64761,.T.); #181298=EDGE_CURVE('',#148804,#148802,#64762,.T.); #181299=EDGE_CURVE('',#148805,#148803,#64763,.T.); #181300=EDGE_CURVE('',#148804,#148805,#64764,.T.); #181301=EDGE_CURVE('',#148806,#148804,#64765,.T.); #181302=EDGE_CURVE('',#148807,#148805,#64766,.T.); #181303=EDGE_CURVE('',#148806,#148807,#64767,.T.); #181304=EDGE_CURVE('',#148808,#148806,#64768,.T.); #181305=EDGE_CURVE('',#148809,#148807,#64769,.T.); #181306=EDGE_CURVE('',#148808,#148809,#64770,.T.); #181307=EDGE_CURVE('',#148810,#148808,#64771,.T.); #181308=EDGE_CURVE('',#148811,#148809,#64772,.T.); #181309=EDGE_CURVE('',#148810,#148811,#64773,.T.); #181310=EDGE_CURVE('',#148812,#148810,#64774,.T.); #181311=EDGE_CURVE('',#148813,#148811,#64775,.T.); #181312=EDGE_CURVE('',#148812,#148813,#64776,.T.); #181313=EDGE_CURVE('',#148814,#148812,#64777,.T.); #181314=EDGE_CURVE('',#148815,#148813,#64778,.T.); #181315=EDGE_CURVE('',#148814,#148815,#64779,.T.); #181316=EDGE_CURVE('',#148816,#148814,#64780,.T.); #181317=EDGE_CURVE('',#148817,#148815,#64781,.T.); #181318=EDGE_CURVE('',#148816,#148817,#64782,.T.); #181319=EDGE_CURVE('',#148818,#148816,#64783,.T.); #181320=EDGE_CURVE('',#148819,#148817,#64784,.T.); #181321=EDGE_CURVE('',#148818,#148819,#64785,.T.); #181322=EDGE_CURVE('',#148820,#148818,#64786,.T.); #181323=EDGE_CURVE('',#148821,#148819,#64787,.T.); #181324=EDGE_CURVE('',#148820,#148821,#64788,.T.); #181325=EDGE_CURVE('',#148822,#148820,#64789,.T.); #181326=EDGE_CURVE('',#148823,#148821,#64790,.T.); #181327=EDGE_CURVE('',#148822,#148823,#64791,.T.); #181328=EDGE_CURVE('',#148824,#148822,#64792,.T.); #181329=EDGE_CURVE('',#148825,#148823,#64793,.T.); #181330=EDGE_CURVE('',#148824,#148825,#64794,.T.); #181331=EDGE_CURVE('',#148826,#148824,#64795,.T.); #181332=EDGE_CURVE('',#148827,#148825,#64796,.T.); #181333=EDGE_CURVE('',#148826,#148827,#64797,.T.); #181334=EDGE_CURVE('',#148828,#148826,#64798,.T.); #181335=EDGE_CURVE('',#148829,#148827,#64799,.T.); #181336=EDGE_CURVE('',#148828,#148829,#64800,.T.); #181337=EDGE_CURVE('',#148830,#148828,#64801,.T.); #181338=EDGE_CURVE('',#148831,#148829,#64802,.T.); #181339=EDGE_CURVE('',#148830,#148831,#64803,.T.); #181340=EDGE_CURVE('',#148832,#148830,#64804,.T.); #181341=EDGE_CURVE('',#148833,#148831,#64805,.T.); #181342=EDGE_CURVE('',#148832,#148833,#64806,.T.); #181343=EDGE_CURVE('',#148834,#148832,#64807,.T.); #181344=EDGE_CURVE('',#148835,#148833,#64808,.T.); #181345=EDGE_CURVE('',#148834,#148835,#64809,.T.); #181346=EDGE_CURVE('',#148836,#148834,#64810,.T.); #181347=EDGE_CURVE('',#148837,#148835,#64811,.T.); #181348=EDGE_CURVE('',#148836,#148837,#64812,.T.); #181349=EDGE_CURVE('',#148838,#148836,#64813,.T.); #181350=EDGE_CURVE('',#148839,#148837,#64814,.T.); #181351=EDGE_CURVE('',#148838,#148839,#64815,.T.); #181352=EDGE_CURVE('',#148840,#148838,#64816,.T.); #181353=EDGE_CURVE('',#148841,#148839,#64817,.T.); #181354=EDGE_CURVE('',#148840,#148841,#64818,.T.); #181355=EDGE_CURVE('',#148842,#148840,#64819,.T.); #181356=EDGE_CURVE('',#148843,#148841,#64820,.T.); #181357=EDGE_CURVE('',#148842,#148843,#64821,.T.); #181358=EDGE_CURVE('',#148844,#148842,#64822,.T.); #181359=EDGE_CURVE('',#148845,#148843,#64823,.T.); #181360=EDGE_CURVE('',#148844,#148845,#64824,.T.); #181361=EDGE_CURVE('',#148846,#148844,#64825,.T.); #181362=EDGE_CURVE('',#148847,#148845,#64826,.T.); #181363=EDGE_CURVE('',#148846,#148847,#64827,.T.); #181364=EDGE_CURVE('',#148848,#148846,#64828,.T.); #181365=EDGE_CURVE('',#148849,#148847,#64829,.T.); #181366=EDGE_CURVE('',#148848,#148849,#64830,.T.); #181367=EDGE_CURVE('',#148850,#148848,#64831,.T.); #181368=EDGE_CURVE('',#148851,#148849,#64832,.T.); #181369=EDGE_CURVE('',#148850,#148851,#64833,.T.); #181370=EDGE_CURVE('',#148852,#148850,#64834,.T.); #181371=EDGE_CURVE('',#148853,#148851,#64835,.T.); #181372=EDGE_CURVE('',#148852,#148853,#64836,.T.); #181373=EDGE_CURVE('',#148854,#148852,#64837,.T.); #181374=EDGE_CURVE('',#148855,#148853,#64838,.T.); #181375=EDGE_CURVE('',#148854,#148855,#64839,.T.); #181376=EDGE_CURVE('',#148856,#148854,#64840,.T.); #181377=EDGE_CURVE('',#148857,#148855,#64841,.T.); #181378=EDGE_CURVE('',#148856,#148857,#64842,.T.); #181379=EDGE_CURVE('',#148858,#148856,#64843,.T.); #181380=EDGE_CURVE('',#148859,#148857,#64844,.T.); #181381=EDGE_CURVE('',#148858,#148859,#64845,.T.); #181382=EDGE_CURVE('',#148860,#148858,#64846,.T.); #181383=EDGE_CURVE('',#148861,#148859,#64847,.T.); #181384=EDGE_CURVE('',#148860,#148861,#64848,.T.); #181385=EDGE_CURVE('',#148862,#148860,#64849,.T.); #181386=EDGE_CURVE('',#148863,#148861,#64850,.T.); #181387=EDGE_CURVE('',#148862,#148863,#64851,.T.); #181388=EDGE_CURVE('',#148864,#148862,#64852,.T.); #181389=EDGE_CURVE('',#148865,#148863,#64853,.T.); #181390=EDGE_CURVE('',#148864,#148865,#64854,.T.); #181391=EDGE_CURVE('',#148866,#148864,#64855,.T.); #181392=EDGE_CURVE('',#148867,#148865,#64856,.T.); #181393=EDGE_CURVE('',#148866,#148867,#64857,.T.); #181394=EDGE_CURVE('',#148868,#148866,#64858,.T.); #181395=EDGE_CURVE('',#148869,#148867,#64859,.T.); #181396=EDGE_CURVE('',#148868,#148869,#64860,.T.); #181397=EDGE_CURVE('',#148870,#148868,#64861,.T.); #181398=EDGE_CURVE('',#148871,#148869,#64862,.T.); #181399=EDGE_CURVE('',#148870,#148871,#64863,.T.); #181400=EDGE_CURVE('',#148872,#148870,#64864,.T.); #181401=EDGE_CURVE('',#148873,#148871,#64865,.T.); #181402=EDGE_CURVE('',#148872,#148873,#64866,.T.); #181403=EDGE_CURVE('',#148874,#148872,#64867,.T.); #181404=EDGE_CURVE('',#148875,#148873,#64868,.T.); #181405=EDGE_CURVE('',#148874,#148875,#64869,.T.); #181406=EDGE_CURVE('',#148876,#148874,#64870,.T.); #181407=EDGE_CURVE('',#148877,#148875,#64871,.T.); #181408=EDGE_CURVE('',#148876,#148877,#64872,.T.); #181409=EDGE_CURVE('',#148878,#148876,#64873,.T.); #181410=EDGE_CURVE('',#148879,#148877,#64874,.T.); #181411=EDGE_CURVE('',#148878,#148879,#64875,.T.); #181412=EDGE_CURVE('',#148880,#148878,#64876,.T.); #181413=EDGE_CURVE('',#148881,#148879,#64877,.T.); #181414=EDGE_CURVE('',#148880,#148881,#64878,.T.); #181415=EDGE_CURVE('',#148719,#148880,#64879,.T.); #181416=EDGE_CURVE('',#148720,#148881,#64880,.T.); #181417=EDGE_CURVE('',#148882,#148882,#139350,.T.); #181418=EDGE_CURVE('',#148882,#148883,#64881,.T.); #181419=EDGE_CURVE('',#148883,#148883,#139351,.T.); #181420=EDGE_CURVE('',#148884,#148885,#64882,.T.); #181421=EDGE_CURVE('',#148885,#148886,#64883,.T.); #181422=EDGE_CURVE('',#148887,#148886,#64884,.T.); #181423=EDGE_CURVE('',#148884,#148887,#64885,.T.); #181424=EDGE_CURVE('',#148888,#148884,#139352,.T.); #181425=EDGE_CURVE('',#148889,#148887,#139353,.T.); #181426=EDGE_CURVE('',#148888,#148889,#64886,.T.); #181427=EDGE_CURVE('',#148885,#148888,#139354,.T.); #181428=EDGE_CURVE('',#148886,#148889,#139355,.T.); #181429=EDGE_CURVE('',#148890,#148890,#139356,.T.); #181430=EDGE_CURVE('',#148890,#148891,#64887,.T.); #181431=EDGE_CURVE('',#148891,#148891,#139357,.T.); #181432=EDGE_CURVE('',#148892,#148892,#139358,.T.); #181433=EDGE_CURVE('',#148892,#148893,#64888,.T.); #181434=EDGE_CURVE('',#148893,#148893,#139359,.T.); #181435=EDGE_CURVE('',#148894,#148894,#139360,.T.); #181436=EDGE_CURVE('',#148894,#148895,#64889,.T.); #181437=EDGE_CURVE('',#148895,#148895,#139361,.T.); #181438=EDGE_CURVE('',#148896,#148896,#139362,.T.); #181439=EDGE_CURVE('',#148896,#148897,#64890,.T.); #181440=EDGE_CURVE('',#148897,#148897,#139363,.T.); #181441=EDGE_CURVE('',#148898,#148898,#139364,.T.); #181442=EDGE_CURVE('',#148898,#148899,#64891,.T.); #181443=EDGE_CURVE('',#148899,#148899,#139365,.T.); #181444=EDGE_CURVE('',#148900,#148900,#139366,.T.); #181445=EDGE_CURVE('',#148900,#148901,#64892,.T.); #181446=EDGE_CURVE('',#148901,#148901,#139367,.T.); #181447=EDGE_CURVE('',#148902,#148902,#139368,.T.); #181448=EDGE_CURVE('',#148902,#148903,#64893,.T.); #181449=EDGE_CURVE('',#148903,#148903,#139369,.T.); #181450=EDGE_CURVE('',#148904,#148904,#139370,.T.); #181451=EDGE_CURVE('',#148904,#148905,#64894,.T.); #181452=EDGE_CURVE('',#148905,#148905,#139371,.T.); #181453=EDGE_CURVE('',#148906,#148906,#139372,.T.); #181454=EDGE_CURVE('',#148906,#148907,#64895,.T.); #181455=EDGE_CURVE('',#148907,#148907,#139373,.T.); #181456=EDGE_CURVE('',#148908,#148908,#139374,.T.); #181457=EDGE_CURVE('',#148908,#148909,#64896,.T.); #181458=EDGE_CURVE('',#148909,#148909,#139375,.T.); #181459=EDGE_CURVE('',#148910,#148910,#139376,.T.); #181460=EDGE_CURVE('',#148910,#148911,#64897,.T.); #181461=EDGE_CURVE('',#148911,#148911,#139377,.T.); #181462=EDGE_CURVE('',#148912,#148913,#64898,.T.); #181463=EDGE_CURVE('',#148913,#148914,#64899,.T.); #181464=EDGE_CURVE('',#148915,#148914,#64900,.T.); #181465=EDGE_CURVE('',#148912,#148915,#64901,.T.); #181466=EDGE_CURVE('',#148916,#148912,#64902,.T.); #181467=EDGE_CURVE('',#148917,#148915,#64903,.T.); #181468=EDGE_CURVE('',#148916,#148917,#64904,.T.); #181469=EDGE_CURVE('',#148918,#148916,#64905,.T.); #181470=EDGE_CURVE('',#148919,#148917,#64906,.T.); #181471=EDGE_CURVE('',#148918,#148919,#64907,.T.); #181472=EDGE_CURVE('',#148920,#148918,#64908,.T.); #181473=EDGE_CURVE('',#148921,#148919,#64909,.T.); #181474=EDGE_CURVE('',#148920,#148921,#64910,.T.); #181475=EDGE_CURVE('',#148922,#148920,#139378,.T.); #181476=EDGE_CURVE('',#148923,#148921,#139379,.T.); #181477=EDGE_CURVE('',#148922,#148923,#64911,.T.); #181478=EDGE_CURVE('',#148924,#148922,#64912,.T.); #181479=EDGE_CURVE('',#148925,#148923,#64913,.T.); #181480=EDGE_CURVE('',#148924,#148925,#64914,.T.); #181481=EDGE_CURVE('',#148926,#148924,#139380,.T.); #181482=EDGE_CURVE('',#148927,#148925,#139381,.T.); #181483=EDGE_CURVE('',#148926,#148927,#64915,.T.); #181484=EDGE_CURVE('',#148928,#148926,#64916,.T.); #181485=EDGE_CURVE('',#148929,#148927,#64917,.T.); #181486=EDGE_CURVE('',#148928,#148929,#64918,.T.); #181487=EDGE_CURVE('',#148930,#148928,#64919,.T.); #181488=EDGE_CURVE('',#148931,#148929,#64920,.T.); #181489=EDGE_CURVE('',#148930,#148931,#64921,.T.); #181490=EDGE_CURVE('',#148932,#148930,#64922,.T.); #181491=EDGE_CURVE('',#148933,#148931,#64923,.T.); #181492=EDGE_CURVE('',#148932,#148933,#64924,.T.); #181493=EDGE_CURVE('',#148934,#148932,#64925,.T.); #181494=EDGE_CURVE('',#148935,#148933,#64926,.T.); #181495=EDGE_CURVE('',#148934,#148935,#64927,.T.); #181496=EDGE_CURVE('',#148936,#148934,#64928,.T.); #181497=EDGE_CURVE('',#148937,#148935,#64929,.T.); #181498=EDGE_CURVE('',#148936,#148937,#64930,.T.); #181499=EDGE_CURVE('',#148938,#148936,#64931,.T.); #181500=EDGE_CURVE('',#148939,#148937,#64932,.T.); #181501=EDGE_CURVE('',#148938,#148939,#64933,.T.); #181502=EDGE_CURVE('',#148940,#148938,#64934,.T.); #181503=EDGE_CURVE('',#148941,#148939,#64935,.T.); #181504=EDGE_CURVE('',#148940,#148941,#64936,.T.); #181505=EDGE_CURVE('',#148942,#148940,#64937,.T.); #181506=EDGE_CURVE('',#148943,#148941,#64938,.T.); #181507=EDGE_CURVE('',#148942,#148943,#64939,.T.); #181508=EDGE_CURVE('',#148944,#148942,#64940,.T.); #181509=EDGE_CURVE('',#148945,#148943,#64941,.T.); #181510=EDGE_CURVE('',#148944,#148945,#64942,.T.); #181511=EDGE_CURVE('',#148946,#148944,#64943,.T.); #181512=EDGE_CURVE('',#148947,#148945,#64944,.T.); #181513=EDGE_CURVE('',#148946,#148947,#64945,.T.); #181514=EDGE_CURVE('',#148948,#148946,#64946,.T.); #181515=EDGE_CURVE('',#148949,#148947,#64947,.T.); #181516=EDGE_CURVE('',#148948,#148949,#64948,.T.); #181517=EDGE_CURVE('',#148950,#148948,#64949,.T.); #181518=EDGE_CURVE('',#148951,#148949,#64950,.T.); #181519=EDGE_CURVE('',#148950,#148951,#64951,.T.); #181520=EDGE_CURVE('',#148952,#148950,#64952,.T.); #181521=EDGE_CURVE('',#148953,#148951,#64953,.T.); #181522=EDGE_CURVE('',#148952,#148953,#64954,.T.); #181523=EDGE_CURVE('',#148954,#148952,#64955,.T.); #181524=EDGE_CURVE('',#148955,#148953,#64956,.T.); #181525=EDGE_CURVE('',#148954,#148955,#64957,.T.); #181526=EDGE_CURVE('',#148956,#148954,#64958,.T.); #181527=EDGE_CURVE('',#148957,#148955,#64959,.T.); #181528=EDGE_CURVE('',#148956,#148957,#64960,.T.); #181529=EDGE_CURVE('',#148958,#148956,#64961,.T.); #181530=EDGE_CURVE('',#148959,#148957,#64962,.T.); #181531=EDGE_CURVE('',#148958,#148959,#64963,.T.); #181532=EDGE_CURVE('',#148960,#148958,#64964,.T.); #181533=EDGE_CURVE('',#148961,#148959,#64965,.T.); #181534=EDGE_CURVE('',#148960,#148961,#64966,.T.); #181535=EDGE_CURVE('',#148962,#148960,#64967,.T.); #181536=EDGE_CURVE('',#148963,#148961,#64968,.T.); #181537=EDGE_CURVE('',#148962,#148963,#64969,.T.); #181538=EDGE_CURVE('',#148964,#148962,#64970,.T.); #181539=EDGE_CURVE('',#148965,#148963,#64971,.T.); #181540=EDGE_CURVE('',#148964,#148965,#64972,.T.); #181541=EDGE_CURVE('',#148966,#148964,#64973,.T.); #181542=EDGE_CURVE('',#148967,#148965,#64974,.T.); #181543=EDGE_CURVE('',#148966,#148967,#64975,.T.); #181544=EDGE_CURVE('',#148968,#148966,#64976,.T.); #181545=EDGE_CURVE('',#148969,#148967,#64977,.T.); #181546=EDGE_CURVE('',#148968,#148969,#64978,.T.); #181547=EDGE_CURVE('',#148970,#148968,#64979,.T.); #181548=EDGE_CURVE('',#148971,#148969,#64980,.T.); #181549=EDGE_CURVE('',#148970,#148971,#64981,.T.); #181550=EDGE_CURVE('',#148972,#148970,#64982,.T.); #181551=EDGE_CURVE('',#148973,#148971,#64983,.T.); #181552=EDGE_CURVE('',#148972,#148973,#64984,.T.); #181553=EDGE_CURVE('',#148974,#148972,#64985,.T.); #181554=EDGE_CURVE('',#148975,#148973,#64986,.T.); #181555=EDGE_CURVE('',#148974,#148975,#64987,.T.); #181556=EDGE_CURVE('',#148976,#148974,#64988,.T.); #181557=EDGE_CURVE('',#148977,#148975,#64989,.T.); #181558=EDGE_CURVE('',#148976,#148977,#64990,.T.); #181559=EDGE_CURVE('',#148978,#148976,#64991,.T.); #181560=EDGE_CURVE('',#148979,#148977,#64992,.T.); #181561=EDGE_CURVE('',#148978,#148979,#64993,.T.); #181562=EDGE_CURVE('',#148980,#148978,#64994,.T.); #181563=EDGE_CURVE('',#148981,#148979,#64995,.T.); #181564=EDGE_CURVE('',#148980,#148981,#64996,.T.); #181565=EDGE_CURVE('',#148982,#148980,#64997,.T.); #181566=EDGE_CURVE('',#148983,#148981,#64998,.T.); #181567=EDGE_CURVE('',#148982,#148983,#64999,.T.); #181568=EDGE_CURVE('',#148984,#148982,#65000,.T.); #181569=EDGE_CURVE('',#148985,#148983,#65001,.T.); #181570=EDGE_CURVE('',#148984,#148985,#65002,.T.); #181571=EDGE_CURVE('',#148986,#148984,#65003,.T.); #181572=EDGE_CURVE('',#148987,#148985,#65004,.T.); #181573=EDGE_CURVE('',#148986,#148987,#65005,.T.); #181574=EDGE_CURVE('',#148988,#148986,#65006,.T.); #181575=EDGE_CURVE('',#148989,#148987,#65007,.T.); #181576=EDGE_CURVE('',#148988,#148989,#65008,.T.); #181577=EDGE_CURVE('',#148990,#148988,#65009,.T.); #181578=EDGE_CURVE('',#148991,#148989,#65010,.T.); #181579=EDGE_CURVE('',#148990,#148991,#65011,.T.); #181580=EDGE_CURVE('',#148992,#148990,#65012,.T.); #181581=EDGE_CURVE('',#148993,#148991,#65013,.T.); #181582=EDGE_CURVE('',#148992,#148993,#65014,.T.); #181583=EDGE_CURVE('',#148994,#148992,#65015,.T.); #181584=EDGE_CURVE('',#148995,#148993,#65016,.T.); #181585=EDGE_CURVE('',#148994,#148995,#65017,.T.); #181586=EDGE_CURVE('',#148996,#148994,#65018,.T.); #181587=EDGE_CURVE('',#148997,#148995,#65019,.T.); #181588=EDGE_CURVE('',#148996,#148997,#65020,.T.); #181589=EDGE_CURVE('',#148998,#148996,#65021,.T.); #181590=EDGE_CURVE('',#148999,#148997,#65022,.T.); #181591=EDGE_CURVE('',#148998,#148999,#65023,.T.); #181592=EDGE_CURVE('',#149000,#148998,#65024,.T.); #181593=EDGE_CURVE('',#149001,#148999,#65025,.T.); #181594=EDGE_CURVE('',#149000,#149001,#65026,.T.); #181595=EDGE_CURVE('',#149002,#149000,#65027,.T.); #181596=EDGE_CURVE('',#149003,#149001,#65028,.T.); #181597=EDGE_CURVE('',#149002,#149003,#65029,.T.); #181598=EDGE_CURVE('',#149004,#149002,#65030,.T.); #181599=EDGE_CURVE('',#149005,#149003,#65031,.T.); #181600=EDGE_CURVE('',#149004,#149005,#65032,.T.); #181601=EDGE_CURVE('',#149006,#149004,#65033,.T.); #181602=EDGE_CURVE('',#149007,#149005,#65034,.T.); #181603=EDGE_CURVE('',#149006,#149007,#65035,.T.); #181604=EDGE_CURVE('',#149008,#149006,#65036,.T.); #181605=EDGE_CURVE('',#149009,#149007,#65037,.T.); #181606=EDGE_CURVE('',#149008,#149009,#65038,.T.); #181607=EDGE_CURVE('',#149010,#149008,#65039,.T.); #181608=EDGE_CURVE('',#149011,#149009,#65040,.T.); #181609=EDGE_CURVE('',#149010,#149011,#65041,.T.); #181610=EDGE_CURVE('',#149012,#149010,#65042,.T.); #181611=EDGE_CURVE('',#149013,#149011,#65043,.T.); #181612=EDGE_CURVE('',#149012,#149013,#65044,.T.); #181613=EDGE_CURVE('',#149014,#149012,#65045,.T.); #181614=EDGE_CURVE('',#149015,#149013,#65046,.T.); #181615=EDGE_CURVE('',#149014,#149015,#65047,.T.); #181616=EDGE_CURVE('',#149016,#149014,#65048,.T.); #181617=EDGE_CURVE('',#149017,#149015,#65049,.T.); #181618=EDGE_CURVE('',#149016,#149017,#65050,.T.); #181619=EDGE_CURVE('',#149018,#149016,#65051,.T.); #181620=EDGE_CURVE('',#149019,#149017,#65052,.T.); #181621=EDGE_CURVE('',#149018,#149019,#65053,.T.); #181622=EDGE_CURVE('',#149020,#149018,#65054,.T.); #181623=EDGE_CURVE('',#149021,#149019,#65055,.T.); #181624=EDGE_CURVE('',#149020,#149021,#65056,.T.); #181625=EDGE_CURVE('',#149022,#149020,#65057,.T.); #181626=EDGE_CURVE('',#149023,#149021,#65058,.T.); #181627=EDGE_CURVE('',#149022,#149023,#65059,.T.); #181628=EDGE_CURVE('',#149024,#149022,#65060,.T.); #181629=EDGE_CURVE('',#149025,#149023,#65061,.T.); #181630=EDGE_CURVE('',#149024,#149025,#65062,.T.); #181631=EDGE_CURVE('',#149026,#149024,#65063,.T.); #181632=EDGE_CURVE('',#149027,#149025,#65064,.T.); #181633=EDGE_CURVE('',#149026,#149027,#65065,.T.); #181634=EDGE_CURVE('',#149028,#149026,#65066,.T.); #181635=EDGE_CURVE('',#149029,#149027,#65067,.T.); #181636=EDGE_CURVE('',#149028,#149029,#65068,.T.); #181637=EDGE_CURVE('',#149030,#149028,#65069,.T.); #181638=EDGE_CURVE('',#149031,#149029,#65070,.T.); #181639=EDGE_CURVE('',#149030,#149031,#65071,.T.); #181640=EDGE_CURVE('',#149032,#149030,#65072,.T.); #181641=EDGE_CURVE('',#149033,#149031,#65073,.T.); #181642=EDGE_CURVE('',#149032,#149033,#65074,.T.); #181643=EDGE_CURVE('',#149034,#149032,#65075,.T.); #181644=EDGE_CURVE('',#149035,#149033,#65076,.T.); #181645=EDGE_CURVE('',#149034,#149035,#65077,.T.); #181646=EDGE_CURVE('',#149036,#149034,#65078,.T.); #181647=EDGE_CURVE('',#149037,#149035,#65079,.T.); #181648=EDGE_CURVE('',#149036,#149037,#65080,.T.); #181649=EDGE_CURVE('',#149038,#149036,#65081,.T.); #181650=EDGE_CURVE('',#149039,#149037,#65082,.T.); #181651=EDGE_CURVE('',#149038,#149039,#65083,.T.); #181652=EDGE_CURVE('',#149040,#149038,#65084,.T.); #181653=EDGE_CURVE('',#149041,#149039,#65085,.T.); #181654=EDGE_CURVE('',#149040,#149041,#65086,.T.); #181655=EDGE_CURVE('',#149042,#149040,#65087,.T.); #181656=EDGE_CURVE('',#149043,#149041,#65088,.T.); #181657=EDGE_CURVE('',#149042,#149043,#65089,.T.); #181658=EDGE_CURVE('',#149044,#149042,#65090,.T.); #181659=EDGE_CURVE('',#149045,#149043,#65091,.T.); #181660=EDGE_CURVE('',#149044,#149045,#65092,.T.); #181661=EDGE_CURVE('',#149046,#149044,#65093,.T.); #181662=EDGE_CURVE('',#149047,#149045,#65094,.T.); #181663=EDGE_CURVE('',#149046,#149047,#65095,.T.); #181664=EDGE_CURVE('',#149048,#149046,#65096,.T.); #181665=EDGE_CURVE('',#149049,#149047,#65097,.T.); #181666=EDGE_CURVE('',#149048,#149049,#65098,.T.); #181667=EDGE_CURVE('',#149050,#149048,#65099,.T.); #181668=EDGE_CURVE('',#149051,#149049,#65100,.T.); #181669=EDGE_CURVE('',#149050,#149051,#65101,.T.); #181670=EDGE_CURVE('',#149052,#149050,#65102,.T.); #181671=EDGE_CURVE('',#149053,#149051,#65103,.T.); #181672=EDGE_CURVE('',#149052,#149053,#65104,.T.); #181673=EDGE_CURVE('',#149054,#149052,#65105,.T.); #181674=EDGE_CURVE('',#149055,#149053,#65106,.T.); #181675=EDGE_CURVE('',#149054,#149055,#65107,.T.); #181676=EDGE_CURVE('',#149056,#149054,#65108,.T.); #181677=EDGE_CURVE('',#149057,#149055,#65109,.T.); #181678=EDGE_CURVE('',#149056,#149057,#65110,.T.); #181679=EDGE_CURVE('',#149058,#149056,#65111,.T.); #181680=EDGE_CURVE('',#149059,#149057,#65112,.T.); #181681=EDGE_CURVE('',#149058,#149059,#65113,.T.); #181682=EDGE_CURVE('',#149060,#149058,#65114,.T.); #181683=EDGE_CURVE('',#149061,#149059,#65115,.T.); #181684=EDGE_CURVE('',#149060,#149061,#65116,.T.); #181685=EDGE_CURVE('',#149062,#149060,#65117,.T.); #181686=EDGE_CURVE('',#149063,#149061,#65118,.T.); #181687=EDGE_CURVE('',#149062,#149063,#65119,.T.); #181688=EDGE_CURVE('',#149064,#149062,#65120,.T.); #181689=EDGE_CURVE('',#149065,#149063,#65121,.T.); #181690=EDGE_CURVE('',#149064,#149065,#65122,.T.); #181691=EDGE_CURVE('',#149066,#149064,#65123,.T.); #181692=EDGE_CURVE('',#149067,#149065,#65124,.T.); #181693=EDGE_CURVE('',#149066,#149067,#65125,.T.); #181694=EDGE_CURVE('',#149068,#149066,#65126,.T.); #181695=EDGE_CURVE('',#149069,#149067,#65127,.T.); #181696=EDGE_CURVE('',#149068,#149069,#65128,.T.); #181697=EDGE_CURVE('',#149070,#149068,#65129,.T.); #181698=EDGE_CURVE('',#149071,#149069,#65130,.T.); #181699=EDGE_CURVE('',#149070,#149071,#65131,.T.); #181700=EDGE_CURVE('',#149072,#149070,#65132,.T.); #181701=EDGE_CURVE('',#149073,#149071,#65133,.T.); #181702=EDGE_CURVE('',#149072,#149073,#65134,.T.); #181703=EDGE_CURVE('',#149074,#149072,#65135,.T.); #181704=EDGE_CURVE('',#149075,#149073,#65136,.T.); #181705=EDGE_CURVE('',#149074,#149075,#65137,.T.); #181706=EDGE_CURVE('',#149076,#149074,#65138,.T.); #181707=EDGE_CURVE('',#149077,#149075,#65139,.T.); #181708=EDGE_CURVE('',#149076,#149077,#65140,.T.); #181709=EDGE_CURVE('',#149078,#149076,#65141,.T.); #181710=EDGE_CURVE('',#149079,#149077,#65142,.T.); #181711=EDGE_CURVE('',#149078,#149079,#65143,.T.); #181712=EDGE_CURVE('',#149080,#149078,#65144,.T.); #181713=EDGE_CURVE('',#149081,#149079,#65145,.T.); #181714=EDGE_CURVE('',#149080,#149081,#65146,.T.); #181715=EDGE_CURVE('',#149082,#149080,#65147,.T.); #181716=EDGE_CURVE('',#149083,#149081,#65148,.T.); #181717=EDGE_CURVE('',#149082,#149083,#65149,.T.); #181718=EDGE_CURVE('',#149084,#149082,#65150,.T.); #181719=EDGE_CURVE('',#149085,#149083,#65151,.T.); #181720=EDGE_CURVE('',#149084,#149085,#65152,.T.); #181721=EDGE_CURVE('',#149086,#149084,#65153,.T.); #181722=EDGE_CURVE('',#149087,#149085,#65154,.T.); #181723=EDGE_CURVE('',#149086,#149087,#65155,.T.); #181724=EDGE_CURVE('',#149088,#149086,#65156,.T.); #181725=EDGE_CURVE('',#149089,#149087,#65157,.T.); #181726=EDGE_CURVE('',#149088,#149089,#65158,.T.); #181727=EDGE_CURVE('',#149090,#149088,#65159,.T.); #181728=EDGE_CURVE('',#149091,#149089,#65160,.T.); #181729=EDGE_CURVE('',#149090,#149091,#65161,.T.); #181730=EDGE_CURVE('',#149092,#149090,#65162,.T.); #181731=EDGE_CURVE('',#149093,#149091,#65163,.T.); #181732=EDGE_CURVE('',#149092,#149093,#65164,.T.); #181733=EDGE_CURVE('',#149094,#149092,#65165,.T.); #181734=EDGE_CURVE('',#149095,#149093,#65166,.T.); #181735=EDGE_CURVE('',#149094,#149095,#65167,.T.); #181736=EDGE_CURVE('',#149096,#149094,#65168,.T.); #181737=EDGE_CURVE('',#149097,#149095,#65169,.T.); #181738=EDGE_CURVE('',#149096,#149097,#65170,.T.); #181739=EDGE_CURVE('',#149098,#149096,#65171,.T.); #181740=EDGE_CURVE('',#149099,#149097,#65172,.T.); #181741=EDGE_CURVE('',#149098,#149099,#65173,.T.); #181742=EDGE_CURVE('',#149100,#149098,#65174,.T.); #181743=EDGE_CURVE('',#149101,#149099,#65175,.T.); #181744=EDGE_CURVE('',#149100,#149101,#65176,.T.); #181745=EDGE_CURVE('',#149102,#149100,#65177,.T.); #181746=EDGE_CURVE('',#149103,#149101,#65178,.T.); #181747=EDGE_CURVE('',#149102,#149103,#65179,.T.); #181748=EDGE_CURVE('',#149104,#149102,#65180,.T.); #181749=EDGE_CURVE('',#149105,#149103,#65181,.T.); #181750=EDGE_CURVE('',#149104,#149105,#65182,.T.); #181751=EDGE_CURVE('',#149106,#149104,#65183,.T.); #181752=EDGE_CURVE('',#149107,#149105,#65184,.T.); #181753=EDGE_CURVE('',#149106,#149107,#65185,.T.); #181754=EDGE_CURVE('',#149108,#149106,#65186,.T.); #181755=EDGE_CURVE('',#149109,#149107,#65187,.T.); #181756=EDGE_CURVE('',#149108,#149109,#65188,.T.); #181757=EDGE_CURVE('',#149110,#149108,#65189,.T.); #181758=EDGE_CURVE('',#149111,#149109,#65190,.T.); #181759=EDGE_CURVE('',#149110,#149111,#65191,.T.); #181760=EDGE_CURVE('',#149112,#149110,#65192,.T.); #181761=EDGE_CURVE('',#149113,#149111,#65193,.T.); #181762=EDGE_CURVE('',#149112,#149113,#65194,.T.); #181763=EDGE_CURVE('',#149114,#149112,#65195,.T.); #181764=EDGE_CURVE('',#149115,#149113,#65196,.T.); #181765=EDGE_CURVE('',#149114,#149115,#65197,.T.); #181766=EDGE_CURVE('',#149116,#149114,#65198,.T.); #181767=EDGE_CURVE('',#149117,#149115,#65199,.T.); #181768=EDGE_CURVE('',#149116,#149117,#65200,.T.); #181769=EDGE_CURVE('',#149118,#149116,#65201,.T.); #181770=EDGE_CURVE('',#149119,#149117,#65202,.T.); #181771=EDGE_CURVE('',#149118,#149119,#65203,.T.); #181772=EDGE_CURVE('',#149120,#149118,#65204,.T.); #181773=EDGE_CURVE('',#149121,#149119,#65205,.T.); #181774=EDGE_CURVE('',#149120,#149121,#65206,.T.); #181775=EDGE_CURVE('',#149122,#149120,#65207,.T.); #181776=EDGE_CURVE('',#149123,#149121,#65208,.T.); #181777=EDGE_CURVE('',#149122,#149123,#65209,.T.); #181778=EDGE_CURVE('',#149124,#149122,#65210,.T.); #181779=EDGE_CURVE('',#149125,#149123,#65211,.T.); #181780=EDGE_CURVE('',#149124,#149125,#65212,.T.); #181781=EDGE_CURVE('',#149126,#149124,#65213,.T.); #181782=EDGE_CURVE('',#149127,#149125,#65214,.T.); #181783=EDGE_CURVE('',#149126,#149127,#65215,.T.); #181784=EDGE_CURVE('',#149128,#149126,#65216,.T.); #181785=EDGE_CURVE('',#149129,#149127,#65217,.T.); #181786=EDGE_CURVE('',#149128,#149129,#65218,.T.); #181787=EDGE_CURVE('',#149130,#149128,#65219,.T.); #181788=EDGE_CURVE('',#149131,#149129,#65220,.T.); #181789=EDGE_CURVE('',#149130,#149131,#65221,.T.); #181790=EDGE_CURVE('',#149132,#149130,#65222,.T.); #181791=EDGE_CURVE('',#149133,#149131,#65223,.T.); #181792=EDGE_CURVE('',#149132,#149133,#65224,.T.); #181793=EDGE_CURVE('',#149134,#149132,#65225,.T.); #181794=EDGE_CURVE('',#149135,#149133,#65226,.T.); #181795=EDGE_CURVE('',#149134,#149135,#65227,.T.); #181796=EDGE_CURVE('',#149136,#149134,#65228,.T.); #181797=EDGE_CURVE('',#149137,#149135,#65229,.T.); #181798=EDGE_CURVE('',#149136,#149137,#65230,.T.); #181799=EDGE_CURVE('',#149138,#149136,#65231,.T.); #181800=EDGE_CURVE('',#149139,#149137,#65232,.T.); #181801=EDGE_CURVE('',#149138,#149139,#65233,.T.); #181802=EDGE_CURVE('',#149140,#149138,#65234,.T.); #181803=EDGE_CURVE('',#149141,#149139,#65235,.T.); #181804=EDGE_CURVE('',#149140,#149141,#65236,.T.); #181805=EDGE_CURVE('',#149142,#149140,#65237,.T.); #181806=EDGE_CURVE('',#149143,#149141,#65238,.T.); #181807=EDGE_CURVE('',#149142,#149143,#65239,.T.); #181808=EDGE_CURVE('',#149144,#149142,#65240,.T.); #181809=EDGE_CURVE('',#149145,#149143,#65241,.T.); #181810=EDGE_CURVE('',#149144,#149145,#65242,.T.); #181811=EDGE_CURVE('',#149146,#149144,#65243,.T.); #181812=EDGE_CURVE('',#149147,#149145,#65244,.T.); #181813=EDGE_CURVE('',#149146,#149147,#65245,.T.); #181814=EDGE_CURVE('',#149148,#149146,#65246,.T.); #181815=EDGE_CURVE('',#149149,#149147,#65247,.T.); #181816=EDGE_CURVE('',#149148,#149149,#65248,.T.); #181817=EDGE_CURVE('',#149150,#149148,#65249,.T.); #181818=EDGE_CURVE('',#149151,#149149,#65250,.T.); #181819=EDGE_CURVE('',#149150,#149151,#65251,.T.); #181820=EDGE_CURVE('',#149152,#149150,#65252,.T.); #181821=EDGE_CURVE('',#149153,#149151,#65253,.T.); #181822=EDGE_CURVE('',#149152,#149153,#65254,.T.); #181823=EDGE_CURVE('',#149154,#149152,#65255,.T.); #181824=EDGE_CURVE('',#149155,#149153,#65256,.T.); #181825=EDGE_CURVE('',#149154,#149155,#65257,.T.); #181826=EDGE_CURVE('',#149156,#149154,#65258,.T.); #181827=EDGE_CURVE('',#149157,#149155,#65259,.T.); #181828=EDGE_CURVE('',#149156,#149157,#65260,.T.); #181829=EDGE_CURVE('',#149158,#149156,#65261,.T.); #181830=EDGE_CURVE('',#149159,#149157,#65262,.T.); #181831=EDGE_CURVE('',#149158,#149159,#65263,.T.); #181832=EDGE_CURVE('',#149160,#149158,#65264,.T.); #181833=EDGE_CURVE('',#149161,#149159,#65265,.T.); #181834=EDGE_CURVE('',#149160,#149161,#65266,.T.); #181835=EDGE_CURVE('',#149162,#149160,#65267,.T.); #181836=EDGE_CURVE('',#149163,#149161,#65268,.T.); #181837=EDGE_CURVE('',#149162,#149163,#65269,.T.); #181838=EDGE_CURVE('',#149164,#149162,#139382,.T.); #181839=EDGE_CURVE('',#149165,#149163,#139383,.T.); #181840=EDGE_CURVE('',#149164,#149165,#65270,.T.); #181841=EDGE_CURVE('',#149166,#149164,#65271,.T.); #181842=EDGE_CURVE('',#149167,#149165,#65272,.T.); #181843=EDGE_CURVE('',#149166,#149167,#65273,.T.); #181844=EDGE_CURVE('',#149168,#149166,#139384,.T.); #181845=EDGE_CURVE('',#149169,#149167,#139385,.T.); #181846=EDGE_CURVE('',#149168,#149169,#65274,.T.); #181847=EDGE_CURVE('',#149170,#149168,#65275,.T.); #181848=EDGE_CURVE('',#149171,#149169,#65276,.T.); #181849=EDGE_CURVE('',#149170,#149171,#65277,.T.); #181850=EDGE_CURVE('',#149172,#149170,#65278,.T.); #181851=EDGE_CURVE('',#149173,#149171,#65279,.T.); #181852=EDGE_CURVE('',#149172,#149173,#65280,.T.); #181853=EDGE_CURVE('',#149174,#149172,#65281,.T.); #181854=EDGE_CURVE('',#149175,#149173,#65282,.T.); #181855=EDGE_CURVE('',#149174,#149175,#65283,.T.); #181856=EDGE_CURVE('',#149176,#149174,#65284,.T.); #181857=EDGE_CURVE('',#149177,#149175,#65285,.T.); #181858=EDGE_CURVE('',#149176,#149177,#65286,.T.); #181859=EDGE_CURVE('',#148913,#149176,#65287,.T.); #181860=EDGE_CURVE('',#148914,#149177,#65288,.T.); #181861=EDGE_CURVE('',#149178,#149178,#139386,.T.); #181862=EDGE_CURVE('',#149178,#149179,#65289,.T.); #181863=EDGE_CURVE('',#149179,#149179,#139387,.T.); #181864=EDGE_CURVE('',#149180,#149181,#65290,.T.); #181865=EDGE_CURVE('',#149181,#149182,#65291,.T.); #181866=EDGE_CURVE('',#149183,#149182,#65292,.T.); #181867=EDGE_CURVE('',#149180,#149183,#65293,.T.); #181868=EDGE_CURVE('',#149184,#149180,#65294,.T.); #181869=EDGE_CURVE('',#149185,#149183,#65295,.T.); #181870=EDGE_CURVE('',#149184,#149185,#65296,.T.); #181871=EDGE_CURVE('',#149186,#149184,#65297,.T.); #181872=EDGE_CURVE('',#149187,#149185,#65298,.T.); #181873=EDGE_CURVE('',#149186,#149187,#65299,.T.); #181874=EDGE_CURVE('',#149188,#149186,#65300,.T.); #181875=EDGE_CURVE('',#149189,#149187,#65301,.T.); #181876=EDGE_CURVE('',#149188,#149189,#65302,.T.); #181877=EDGE_CURVE('',#149190,#149188,#65303,.T.); #181878=EDGE_CURVE('',#149191,#149189,#65304,.T.); #181879=EDGE_CURVE('',#149190,#149191,#65305,.T.); #181880=EDGE_CURVE('',#149192,#149190,#65306,.T.); #181881=EDGE_CURVE('',#149193,#149191,#65307,.T.); #181882=EDGE_CURVE('',#149192,#149193,#65308,.T.); #181883=EDGE_CURVE('',#149194,#149192,#65309,.T.); #181884=EDGE_CURVE('',#149195,#149193,#65310,.T.); #181885=EDGE_CURVE('',#149194,#149195,#65311,.T.); #181886=EDGE_CURVE('',#149181,#149194,#65312,.T.); #181887=EDGE_CURVE('',#149182,#149195,#65313,.T.); #181888=EDGE_CURVE('',#149196,#149197,#65314,.T.); #181889=EDGE_CURVE('',#149197,#149198,#65315,.T.); #181890=EDGE_CURVE('',#149199,#149198,#65316,.T.); #181891=EDGE_CURVE('',#149196,#149199,#65317,.T.); #181892=EDGE_CURVE('',#149200,#149196,#65318,.T.); #181893=EDGE_CURVE('',#149201,#149199,#65319,.T.); #181894=EDGE_CURVE('',#149200,#149201,#65320,.T.); #181895=EDGE_CURVE('',#149202,#149200,#65321,.T.); #181896=EDGE_CURVE('',#149203,#149201,#65322,.T.); #181897=EDGE_CURVE('',#149202,#149203,#65323,.T.); #181898=EDGE_CURVE('',#149204,#149202,#65324,.T.); #181899=EDGE_CURVE('',#149205,#149203,#65325,.T.); #181900=EDGE_CURVE('',#149204,#149205,#65326,.T.); #181901=EDGE_CURVE('',#149206,#149204,#65327,.T.); #181902=EDGE_CURVE('',#149207,#149205,#65328,.T.); #181903=EDGE_CURVE('',#149206,#149207,#65329,.T.); #181904=EDGE_CURVE('',#149208,#149206,#65330,.T.); #181905=EDGE_CURVE('',#149209,#149207,#65331,.T.); #181906=EDGE_CURVE('',#149208,#149209,#65332,.T.); #181907=EDGE_CURVE('',#149210,#149208,#65333,.T.); #181908=EDGE_CURVE('',#149211,#149209,#65334,.T.); #181909=EDGE_CURVE('',#149210,#149211,#65335,.T.); #181910=EDGE_CURVE('',#149212,#149210,#65336,.T.); #181911=EDGE_CURVE('',#149213,#149211,#65337,.T.); #181912=EDGE_CURVE('',#149212,#149213,#65338,.T.); #181913=EDGE_CURVE('',#149214,#149212,#65339,.T.); #181914=EDGE_CURVE('',#149215,#149213,#65340,.T.); #181915=EDGE_CURVE('',#149214,#149215,#65341,.T.); #181916=EDGE_CURVE('',#149216,#149214,#65342,.T.); #181917=EDGE_CURVE('',#149217,#149215,#65343,.T.); #181918=EDGE_CURVE('',#149216,#149217,#65344,.T.); #181919=EDGE_CURVE('',#149218,#149216,#65345,.T.); #181920=EDGE_CURVE('',#149219,#149217,#65346,.T.); #181921=EDGE_CURVE('',#149218,#149219,#65347,.T.); #181922=EDGE_CURVE('',#149220,#149218,#65348,.T.); #181923=EDGE_CURVE('',#149221,#149219,#65349,.T.); #181924=EDGE_CURVE('',#149220,#149221,#65350,.T.); #181925=EDGE_CURVE('',#149222,#149220,#65351,.T.); #181926=EDGE_CURVE('',#149223,#149221,#65352,.T.); #181927=EDGE_CURVE('',#149222,#149223,#65353,.T.); #181928=EDGE_CURVE('',#149224,#149222,#65354,.T.); #181929=EDGE_CURVE('',#149225,#149223,#65355,.T.); #181930=EDGE_CURVE('',#149224,#149225,#65356,.T.); #181931=EDGE_CURVE('',#149226,#149224,#65357,.T.); #181932=EDGE_CURVE('',#149227,#149225,#65358,.T.); #181933=EDGE_CURVE('',#149226,#149227,#65359,.T.); #181934=EDGE_CURVE('',#149228,#149226,#65360,.T.); #181935=EDGE_CURVE('',#149229,#149227,#65361,.T.); #181936=EDGE_CURVE('',#149228,#149229,#65362,.T.); #181937=EDGE_CURVE('',#149230,#149228,#65363,.T.); #181938=EDGE_CURVE('',#149231,#149229,#65364,.T.); #181939=EDGE_CURVE('',#149230,#149231,#65365,.T.); #181940=EDGE_CURVE('',#149232,#149230,#65366,.T.); #181941=EDGE_CURVE('',#149233,#149231,#65367,.T.); #181942=EDGE_CURVE('',#149232,#149233,#65368,.T.); #181943=EDGE_CURVE('',#149197,#149232,#65369,.T.); #181944=EDGE_CURVE('',#149198,#149233,#65370,.T.); #181945=EDGE_CURVE('',#149234,#149235,#65371,.T.); #181946=EDGE_CURVE('',#149235,#149236,#65372,.T.); #181947=EDGE_CURVE('',#149237,#149236,#65373,.T.); #181948=EDGE_CURVE('',#149234,#149237,#65374,.T.); #181949=EDGE_CURVE('',#149238,#149234,#65375,.T.); #181950=EDGE_CURVE('',#149239,#149237,#65376,.T.); #181951=EDGE_CURVE('',#149238,#149239,#65377,.T.); #181952=EDGE_CURVE('',#149240,#149238,#65378,.T.); #181953=EDGE_CURVE('',#149241,#149239,#65379,.T.); #181954=EDGE_CURVE('',#149240,#149241,#65380,.T.); #181955=EDGE_CURVE('',#149242,#149240,#65381,.T.); #181956=EDGE_CURVE('',#149243,#149241,#65382,.T.); #181957=EDGE_CURVE('',#149242,#149243,#65383,.T.); #181958=EDGE_CURVE('',#149244,#149242,#65384,.T.); #181959=EDGE_CURVE('',#149245,#149243,#65385,.T.); #181960=EDGE_CURVE('',#149244,#149245,#65386,.T.); #181961=EDGE_CURVE('',#149246,#149244,#65387,.T.); #181962=EDGE_CURVE('',#149247,#149245,#65388,.T.); #181963=EDGE_CURVE('',#149246,#149247,#65389,.T.); #181964=EDGE_CURVE('',#149248,#149246,#65390,.T.); #181965=EDGE_CURVE('',#149249,#149247,#65391,.T.); #181966=EDGE_CURVE('',#149248,#149249,#65392,.T.); #181967=EDGE_CURVE('',#149250,#149248,#65393,.T.); #181968=EDGE_CURVE('',#149251,#149249,#65394,.T.); #181969=EDGE_CURVE('',#149250,#149251,#65395,.T.); #181970=EDGE_CURVE('',#149252,#149250,#65396,.T.); #181971=EDGE_CURVE('',#149253,#149251,#65397,.T.); #181972=EDGE_CURVE('',#149252,#149253,#65398,.T.); #181973=EDGE_CURVE('',#149254,#149252,#65399,.T.); #181974=EDGE_CURVE('',#149255,#149253,#65400,.T.); #181975=EDGE_CURVE('',#149254,#149255,#65401,.T.); #181976=EDGE_CURVE('',#149256,#149254,#65402,.T.); #181977=EDGE_CURVE('',#149257,#149255,#65403,.T.); #181978=EDGE_CURVE('',#149256,#149257,#65404,.T.); #181979=EDGE_CURVE('',#149258,#149256,#65405,.T.); #181980=EDGE_CURVE('',#149259,#149257,#65406,.T.); #181981=EDGE_CURVE('',#149258,#149259,#65407,.T.); #181982=EDGE_CURVE('',#149260,#149258,#65408,.T.); #181983=EDGE_CURVE('',#149261,#149259,#65409,.T.); #181984=EDGE_CURVE('',#149260,#149261,#65410,.T.); #181985=EDGE_CURVE('',#149262,#149260,#65411,.T.); #181986=EDGE_CURVE('',#149263,#149261,#65412,.T.); #181987=EDGE_CURVE('',#149262,#149263,#65413,.T.); #181988=EDGE_CURVE('',#149264,#149262,#65414,.T.); #181989=EDGE_CURVE('',#149265,#149263,#65415,.T.); #181990=EDGE_CURVE('',#149264,#149265,#65416,.T.); #181991=EDGE_CURVE('',#149266,#149264,#65417,.T.); #181992=EDGE_CURVE('',#149267,#149265,#65418,.T.); #181993=EDGE_CURVE('',#149266,#149267,#65419,.T.); #181994=EDGE_CURVE('',#149268,#149266,#65420,.T.); #181995=EDGE_CURVE('',#149269,#149267,#65421,.T.); #181996=EDGE_CURVE('',#149268,#149269,#65422,.T.); #181997=EDGE_CURVE('',#149270,#149268,#65423,.T.); #181998=EDGE_CURVE('',#149271,#149269,#65424,.T.); #181999=EDGE_CURVE('',#149270,#149271,#65425,.T.); #182000=EDGE_CURVE('',#149272,#149270,#65426,.T.); #182001=EDGE_CURVE('',#149273,#149271,#65427,.T.); #182002=EDGE_CURVE('',#149272,#149273,#65428,.T.); #182003=EDGE_CURVE('',#149274,#149272,#65429,.T.); #182004=EDGE_CURVE('',#149275,#149273,#65430,.T.); #182005=EDGE_CURVE('',#149274,#149275,#65431,.T.); #182006=EDGE_CURVE('',#149235,#149274,#65432,.T.); #182007=EDGE_CURVE('',#149236,#149275,#65433,.T.); #182008=EDGE_CURVE('',#149276,#149277,#65434,.T.); #182009=EDGE_CURVE('',#149277,#149278,#65435,.T.); #182010=EDGE_CURVE('',#149279,#149278,#65436,.T.); #182011=EDGE_CURVE('',#149276,#149279,#65437,.T.); #182012=EDGE_CURVE('',#149280,#149276,#65438,.T.); #182013=EDGE_CURVE('',#149281,#149279,#65439,.T.); #182014=EDGE_CURVE('',#149280,#149281,#65440,.T.); #182015=EDGE_CURVE('',#149282,#149280,#65441,.T.); #182016=EDGE_CURVE('',#149283,#149281,#65442,.T.); #182017=EDGE_CURVE('',#149282,#149283,#65443,.T.); #182018=EDGE_CURVE('',#149284,#149282,#65444,.T.); #182019=EDGE_CURVE('',#149285,#149283,#65445,.T.); #182020=EDGE_CURVE('',#149284,#149285,#65446,.T.); #182021=EDGE_CURVE('',#149286,#149284,#65447,.T.); #182022=EDGE_CURVE('',#149287,#149285,#65448,.T.); #182023=EDGE_CURVE('',#149286,#149287,#65449,.T.); #182024=EDGE_CURVE('',#149288,#149286,#65450,.T.); #182025=EDGE_CURVE('',#149289,#149287,#65451,.T.); #182026=EDGE_CURVE('',#149288,#149289,#65452,.T.); #182027=EDGE_CURVE('',#149290,#149288,#65453,.T.); #182028=EDGE_CURVE('',#149291,#149289,#65454,.T.); #182029=EDGE_CURVE('',#149290,#149291,#65455,.T.); #182030=EDGE_CURVE('',#149292,#149290,#65456,.T.); #182031=EDGE_CURVE('',#149293,#149291,#65457,.T.); #182032=EDGE_CURVE('',#149292,#149293,#65458,.T.); #182033=EDGE_CURVE('',#149294,#149292,#65459,.T.); #182034=EDGE_CURVE('',#149295,#149293,#65460,.T.); #182035=EDGE_CURVE('',#149294,#149295,#65461,.T.); #182036=EDGE_CURVE('',#149296,#149294,#65462,.T.); #182037=EDGE_CURVE('',#149297,#149295,#65463,.T.); #182038=EDGE_CURVE('',#149296,#149297,#65464,.T.); #182039=EDGE_CURVE('',#149298,#149296,#65465,.T.); #182040=EDGE_CURVE('',#149299,#149297,#65466,.T.); #182041=EDGE_CURVE('',#149298,#149299,#65467,.T.); #182042=EDGE_CURVE('',#149300,#149298,#65468,.T.); #182043=EDGE_CURVE('',#149301,#149299,#65469,.T.); #182044=EDGE_CURVE('',#149300,#149301,#65470,.T.); #182045=EDGE_CURVE('',#149302,#149300,#65471,.T.); #182046=EDGE_CURVE('',#149303,#149301,#65472,.T.); #182047=EDGE_CURVE('',#149302,#149303,#65473,.T.); #182048=EDGE_CURVE('',#149304,#149302,#65474,.T.); #182049=EDGE_CURVE('',#149305,#149303,#65475,.T.); #182050=EDGE_CURVE('',#149304,#149305,#65476,.T.); #182051=EDGE_CURVE('',#149306,#149304,#65477,.T.); #182052=EDGE_CURVE('',#149307,#149305,#65478,.T.); #182053=EDGE_CURVE('',#149306,#149307,#65479,.T.); #182054=EDGE_CURVE('',#149308,#149306,#65480,.T.); #182055=EDGE_CURVE('',#149309,#149307,#65481,.T.); #182056=EDGE_CURVE('',#149308,#149309,#65482,.T.); #182057=EDGE_CURVE('',#149310,#149308,#65483,.T.); #182058=EDGE_CURVE('',#149311,#149309,#65484,.T.); #182059=EDGE_CURVE('',#149310,#149311,#65485,.T.); #182060=EDGE_CURVE('',#149312,#149310,#65486,.T.); #182061=EDGE_CURVE('',#149313,#149311,#65487,.T.); #182062=EDGE_CURVE('',#149312,#149313,#65488,.T.); #182063=EDGE_CURVE('',#149314,#149312,#65489,.T.); #182064=EDGE_CURVE('',#149315,#149313,#65490,.T.); #182065=EDGE_CURVE('',#149314,#149315,#65491,.T.); #182066=EDGE_CURVE('',#149277,#149314,#65492,.T.); #182067=EDGE_CURVE('',#149278,#149315,#65493,.T.); #182068=EDGE_CURVE('',#149316,#149317,#65494,.T.); #182069=EDGE_CURVE('',#149317,#149318,#65495,.T.); #182070=EDGE_CURVE('',#149319,#149318,#65496,.T.); #182071=EDGE_CURVE('',#149316,#149319,#65497,.T.); #182072=EDGE_CURVE('',#149320,#149316,#65498,.T.); #182073=EDGE_CURVE('',#149321,#149319,#65499,.T.); #182074=EDGE_CURVE('',#149320,#149321,#65500,.T.); #182075=EDGE_CURVE('',#149322,#149320,#65501,.T.); #182076=EDGE_CURVE('',#149323,#149321,#65502,.T.); #182077=EDGE_CURVE('',#149322,#149323,#65503,.T.); #182078=EDGE_CURVE('',#149324,#149322,#65504,.T.); #182079=EDGE_CURVE('',#149325,#149323,#65505,.T.); #182080=EDGE_CURVE('',#149324,#149325,#65506,.T.); #182081=EDGE_CURVE('',#149326,#149324,#65507,.T.); #182082=EDGE_CURVE('',#149327,#149325,#65508,.T.); #182083=EDGE_CURVE('',#149326,#149327,#65509,.T.); #182084=EDGE_CURVE('',#149328,#149326,#65510,.T.); #182085=EDGE_CURVE('',#149329,#149327,#65511,.T.); #182086=EDGE_CURVE('',#149328,#149329,#65512,.T.); #182087=EDGE_CURVE('',#149330,#149328,#65513,.T.); #182088=EDGE_CURVE('',#149331,#149329,#65514,.T.); #182089=EDGE_CURVE('',#149330,#149331,#65515,.T.); #182090=EDGE_CURVE('',#149332,#149330,#65516,.T.); #182091=EDGE_CURVE('',#149333,#149331,#65517,.T.); #182092=EDGE_CURVE('',#149332,#149333,#65518,.T.); #182093=EDGE_CURVE('',#149334,#149332,#65519,.T.); #182094=EDGE_CURVE('',#149335,#149333,#65520,.T.); #182095=EDGE_CURVE('',#149334,#149335,#65521,.T.); #182096=EDGE_CURVE('',#149336,#149334,#65522,.T.); #182097=EDGE_CURVE('',#149337,#149335,#65523,.T.); #182098=EDGE_CURVE('',#149336,#149337,#65524,.T.); #182099=EDGE_CURVE('',#149338,#149336,#65525,.T.); #182100=EDGE_CURVE('',#149339,#149337,#65526,.T.); #182101=EDGE_CURVE('',#149338,#149339,#65527,.T.); #182102=EDGE_CURVE('',#149340,#149338,#65528,.T.); #182103=EDGE_CURVE('',#149341,#149339,#65529,.T.); #182104=EDGE_CURVE('',#149340,#149341,#65530,.T.); #182105=EDGE_CURVE('',#149342,#149340,#65531,.T.); #182106=EDGE_CURVE('',#149343,#149341,#65532,.T.); #182107=EDGE_CURVE('',#149342,#149343,#65533,.T.); #182108=EDGE_CURVE('',#149344,#149342,#65534,.T.); #182109=EDGE_CURVE('',#149345,#149343,#65535,.T.); #182110=EDGE_CURVE('',#149344,#149345,#65536,.T.); #182111=EDGE_CURVE('',#149346,#149344,#65537,.T.); #182112=EDGE_CURVE('',#149347,#149345,#65538,.T.); #182113=EDGE_CURVE('',#149346,#149347,#65539,.T.); #182114=EDGE_CURVE('',#149348,#149346,#65540,.T.); #182115=EDGE_CURVE('',#149349,#149347,#65541,.T.); #182116=EDGE_CURVE('',#149348,#149349,#65542,.T.); #182117=EDGE_CURVE('',#149350,#149348,#65543,.T.); #182118=EDGE_CURVE('',#149351,#149349,#65544,.T.); #182119=EDGE_CURVE('',#149350,#149351,#65545,.T.); #182120=EDGE_CURVE('',#149352,#149350,#65546,.T.); #182121=EDGE_CURVE('',#149353,#149351,#65547,.T.); #182122=EDGE_CURVE('',#149352,#149353,#65548,.T.); #182123=EDGE_CURVE('',#149354,#149352,#65549,.T.); #182124=EDGE_CURVE('',#149355,#149353,#65550,.T.); #182125=EDGE_CURVE('',#149354,#149355,#65551,.T.); #182126=EDGE_CURVE('',#149356,#149354,#65552,.T.); #182127=EDGE_CURVE('',#149357,#149355,#65553,.T.); #182128=EDGE_CURVE('',#149356,#149357,#65554,.T.); #182129=EDGE_CURVE('',#149317,#149356,#65555,.T.); #182130=EDGE_CURVE('',#149318,#149357,#65556,.T.); #182131=EDGE_CURVE('',#149358,#149358,#139388,.T.); #182132=EDGE_CURVE('',#149358,#149359,#65557,.T.); #182133=EDGE_CURVE('',#149359,#149359,#139389,.T.); #182134=EDGE_CURVE('',#149360,#149360,#139390,.T.); #182135=EDGE_CURVE('',#149360,#149361,#65558,.T.); #182136=EDGE_CURVE('',#149361,#149361,#139391,.T.); #182137=EDGE_CURVE('',#149362,#149362,#139392,.T.); #182138=EDGE_CURVE('',#149362,#149363,#65559,.T.); #182139=EDGE_CURVE('',#149363,#149363,#139393,.T.); #182140=EDGE_CURVE('',#149364,#149365,#65560,.T.); #182141=EDGE_CURVE('',#149365,#149366,#65561,.T.); #182142=EDGE_CURVE('',#149367,#149366,#65562,.T.); #182143=EDGE_CURVE('',#149364,#149367,#65563,.T.); #182144=EDGE_CURVE('',#149368,#149364,#65564,.T.); #182145=EDGE_CURVE('',#149369,#149367,#65565,.T.); #182146=EDGE_CURVE('',#149368,#149369,#65566,.T.); #182147=EDGE_CURVE('',#149370,#149368,#65567,.T.); #182148=EDGE_CURVE('',#149371,#149369,#65568,.T.); #182149=EDGE_CURVE('',#149370,#149371,#65569,.T.); #182150=EDGE_CURVE('',#149372,#149370,#65570,.T.); #182151=EDGE_CURVE('',#149373,#149371,#65571,.T.); #182152=EDGE_CURVE('',#149372,#149373,#65572,.T.); #182153=EDGE_CURVE('',#149374,#149372,#65573,.T.); #182154=EDGE_CURVE('',#149375,#149373,#65574,.T.); #182155=EDGE_CURVE('',#149374,#149375,#65575,.T.); #182156=EDGE_CURVE('',#149376,#149374,#65576,.T.); #182157=EDGE_CURVE('',#149377,#149375,#65577,.T.); #182158=EDGE_CURVE('',#149376,#149377,#65578,.T.); #182159=EDGE_CURVE('',#149378,#149376,#65579,.T.); #182160=EDGE_CURVE('',#149379,#149377,#65580,.T.); #182161=EDGE_CURVE('',#149378,#149379,#65581,.T.); #182162=EDGE_CURVE('',#149380,#149378,#65582,.T.); #182163=EDGE_CURVE('',#149381,#149379,#65583,.T.); #182164=EDGE_CURVE('',#149380,#149381,#65584,.T.); #182165=EDGE_CURVE('',#149382,#149380,#65585,.T.); #182166=EDGE_CURVE('',#149383,#149381,#65586,.T.); #182167=EDGE_CURVE('',#149382,#149383,#65587,.T.); #182168=EDGE_CURVE('',#149384,#149382,#65588,.T.); #182169=EDGE_CURVE('',#149385,#149383,#65589,.T.); #182170=EDGE_CURVE('',#149384,#149385,#65590,.T.); #182171=EDGE_CURVE('',#149386,#149384,#65591,.T.); #182172=EDGE_CURVE('',#149387,#149385,#65592,.T.); #182173=EDGE_CURVE('',#149386,#149387,#65593,.T.); #182174=EDGE_CURVE('',#149388,#149386,#65594,.T.); #182175=EDGE_CURVE('',#149389,#149387,#65595,.T.); #182176=EDGE_CURVE('',#149388,#149389,#65596,.T.); #182177=EDGE_CURVE('',#149390,#149388,#65597,.T.); #182178=EDGE_CURVE('',#149391,#149389,#65598,.T.); #182179=EDGE_CURVE('',#149390,#149391,#65599,.T.); #182180=EDGE_CURVE('',#149392,#149390,#65600,.T.); #182181=EDGE_CURVE('',#149393,#149391,#65601,.T.); #182182=EDGE_CURVE('',#149392,#149393,#65602,.T.); #182183=EDGE_CURVE('',#149394,#149392,#65603,.T.); #182184=EDGE_CURVE('',#149395,#149393,#65604,.T.); #182185=EDGE_CURVE('',#149394,#149395,#65605,.T.); #182186=EDGE_CURVE('',#149396,#149394,#65606,.T.); #182187=EDGE_CURVE('',#149397,#149395,#65607,.T.); #182188=EDGE_CURVE('',#149396,#149397,#65608,.T.); #182189=EDGE_CURVE('',#149398,#149396,#65609,.T.); #182190=EDGE_CURVE('',#149399,#149397,#65610,.T.); #182191=EDGE_CURVE('',#149398,#149399,#65611,.T.); #182192=EDGE_CURVE('',#149400,#149398,#65612,.T.); #182193=EDGE_CURVE('',#149401,#149399,#65613,.T.); #182194=EDGE_CURVE('',#149400,#149401,#65614,.T.); #182195=EDGE_CURVE('',#149402,#149400,#65615,.T.); #182196=EDGE_CURVE('',#149403,#149401,#65616,.T.); #182197=EDGE_CURVE('',#149402,#149403,#65617,.T.); #182198=EDGE_CURVE('',#149404,#149402,#65618,.T.); #182199=EDGE_CURVE('',#149405,#149403,#65619,.T.); #182200=EDGE_CURVE('',#149404,#149405,#65620,.T.); #182201=EDGE_CURVE('',#149406,#149404,#65621,.T.); #182202=EDGE_CURVE('',#149407,#149405,#65622,.T.); #182203=EDGE_CURVE('',#149406,#149407,#65623,.T.); #182204=EDGE_CURVE('',#149408,#149406,#65624,.T.); #182205=EDGE_CURVE('',#149409,#149407,#65625,.T.); #182206=EDGE_CURVE('',#149408,#149409,#65626,.T.); #182207=EDGE_CURVE('',#149410,#149408,#65627,.T.); #182208=EDGE_CURVE('',#149411,#149409,#65628,.T.); #182209=EDGE_CURVE('',#149410,#149411,#65629,.T.); #182210=EDGE_CURVE('',#149412,#149410,#65630,.T.); #182211=EDGE_CURVE('',#149413,#149411,#65631,.T.); #182212=EDGE_CURVE('',#149412,#149413,#65632,.T.); #182213=EDGE_CURVE('',#149414,#149412,#65633,.T.); #182214=EDGE_CURVE('',#149415,#149413,#65634,.T.); #182215=EDGE_CURVE('',#149414,#149415,#65635,.T.); #182216=EDGE_CURVE('',#149416,#149414,#65636,.T.); #182217=EDGE_CURVE('',#149417,#149415,#65637,.T.); #182218=EDGE_CURVE('',#149416,#149417,#65638,.T.); #182219=EDGE_CURVE('',#149418,#149416,#65639,.T.); #182220=EDGE_CURVE('',#149419,#149417,#65640,.T.); #182221=EDGE_CURVE('',#149418,#149419,#65641,.T.); #182222=EDGE_CURVE('',#149420,#149418,#65642,.T.); #182223=EDGE_CURVE('',#149421,#149419,#65643,.T.); #182224=EDGE_CURVE('',#149420,#149421,#65644,.T.); #182225=EDGE_CURVE('',#149422,#149420,#65645,.T.); #182226=EDGE_CURVE('',#149423,#149421,#65646,.T.); #182227=EDGE_CURVE('',#149422,#149423,#65647,.T.); #182228=EDGE_CURVE('',#149424,#149422,#65648,.T.); #182229=EDGE_CURVE('',#149425,#149423,#65649,.T.); #182230=EDGE_CURVE('',#149424,#149425,#65650,.T.); #182231=EDGE_CURVE('',#149426,#149424,#65651,.T.); #182232=EDGE_CURVE('',#149427,#149425,#65652,.T.); #182233=EDGE_CURVE('',#149426,#149427,#65653,.T.); #182234=EDGE_CURVE('',#149428,#149426,#65654,.T.); #182235=EDGE_CURVE('',#149429,#149427,#65655,.T.); #182236=EDGE_CURVE('',#149428,#149429,#65656,.T.); #182237=EDGE_CURVE('',#149430,#149428,#65657,.T.); #182238=EDGE_CURVE('',#149431,#149429,#65658,.T.); #182239=EDGE_CURVE('',#149430,#149431,#65659,.T.); #182240=EDGE_CURVE('',#149432,#149430,#65660,.T.); #182241=EDGE_CURVE('',#149433,#149431,#65661,.T.); #182242=EDGE_CURVE('',#149432,#149433,#65662,.T.); #182243=EDGE_CURVE('',#149434,#149432,#65663,.T.); #182244=EDGE_CURVE('',#149435,#149433,#65664,.T.); #182245=EDGE_CURVE('',#149434,#149435,#65665,.T.); #182246=EDGE_CURVE('',#149436,#149434,#65666,.T.); #182247=EDGE_CURVE('',#149437,#149435,#65667,.T.); #182248=EDGE_CURVE('',#149436,#149437,#65668,.T.); #182249=EDGE_CURVE('',#149438,#149436,#65669,.T.); #182250=EDGE_CURVE('',#149439,#149437,#65670,.T.); #182251=EDGE_CURVE('',#149438,#149439,#65671,.T.); #182252=EDGE_CURVE('',#149440,#149438,#65672,.T.); #182253=EDGE_CURVE('',#149441,#149439,#65673,.T.); #182254=EDGE_CURVE('',#149440,#149441,#65674,.T.); #182255=EDGE_CURVE('',#149442,#149440,#65675,.T.); #182256=EDGE_CURVE('',#149443,#149441,#65676,.T.); #182257=EDGE_CURVE('',#149442,#149443,#65677,.T.); #182258=EDGE_CURVE('',#149444,#149442,#65678,.T.); #182259=EDGE_CURVE('',#149445,#149443,#65679,.T.); #182260=EDGE_CURVE('',#149444,#149445,#65680,.T.); #182261=EDGE_CURVE('',#149446,#149444,#65681,.T.); #182262=EDGE_CURVE('',#149447,#149445,#65682,.T.); #182263=EDGE_CURVE('',#149446,#149447,#65683,.T.); #182264=EDGE_CURVE('',#149448,#149446,#65684,.T.); #182265=EDGE_CURVE('',#149449,#149447,#65685,.T.); #182266=EDGE_CURVE('',#149448,#149449,#65686,.T.); #182267=EDGE_CURVE('',#149450,#149448,#65687,.T.); #182268=EDGE_CURVE('',#149451,#149449,#65688,.T.); #182269=EDGE_CURVE('',#149450,#149451,#65689,.T.); #182270=EDGE_CURVE('',#149452,#149450,#65690,.T.); #182271=EDGE_CURVE('',#149453,#149451,#65691,.T.); #182272=EDGE_CURVE('',#149452,#149453,#65692,.T.); #182273=EDGE_CURVE('',#149454,#149452,#65693,.T.); #182274=EDGE_CURVE('',#149455,#149453,#65694,.T.); #182275=EDGE_CURVE('',#149454,#149455,#65695,.T.); #182276=EDGE_CURVE('',#149456,#149454,#65696,.T.); #182277=EDGE_CURVE('',#149457,#149455,#65697,.T.); #182278=EDGE_CURVE('',#149456,#149457,#65698,.T.); #182279=EDGE_CURVE('',#149458,#149456,#65699,.T.); #182280=EDGE_CURVE('',#149459,#149457,#65700,.T.); #182281=EDGE_CURVE('',#149458,#149459,#65701,.T.); #182282=EDGE_CURVE('',#149460,#149458,#65702,.T.); #182283=EDGE_CURVE('',#149461,#149459,#65703,.T.); #182284=EDGE_CURVE('',#149460,#149461,#65704,.T.); #182285=EDGE_CURVE('',#149462,#149460,#65705,.T.); #182286=EDGE_CURVE('',#149463,#149461,#65706,.T.); #182287=EDGE_CURVE('',#149462,#149463,#65707,.T.); #182288=EDGE_CURVE('',#149464,#149462,#65708,.T.); #182289=EDGE_CURVE('',#149465,#149463,#65709,.T.); #182290=EDGE_CURVE('',#149464,#149465,#65710,.T.); #182291=EDGE_CURVE('',#149466,#149464,#65711,.T.); #182292=EDGE_CURVE('',#149467,#149465,#65712,.T.); #182293=EDGE_CURVE('',#149466,#149467,#65713,.T.); #182294=EDGE_CURVE('',#149468,#149466,#65714,.T.); #182295=EDGE_CURVE('',#149469,#149467,#65715,.T.); #182296=EDGE_CURVE('',#149468,#149469,#65716,.T.); #182297=EDGE_CURVE('',#149470,#149468,#65717,.T.); #182298=EDGE_CURVE('',#149471,#149469,#65718,.T.); #182299=EDGE_CURVE('',#149470,#149471,#65719,.T.); #182300=EDGE_CURVE('',#149472,#149470,#65720,.T.); #182301=EDGE_CURVE('',#149473,#149471,#65721,.T.); #182302=EDGE_CURVE('',#149472,#149473,#65722,.T.); #182303=EDGE_CURVE('',#149474,#149472,#65723,.T.); #182304=EDGE_CURVE('',#149475,#149473,#65724,.T.); #182305=EDGE_CURVE('',#149474,#149475,#65725,.T.); #182306=EDGE_CURVE('',#149476,#149474,#65726,.T.); #182307=EDGE_CURVE('',#149477,#149475,#65727,.T.); #182308=EDGE_CURVE('',#149476,#149477,#65728,.T.); #182309=EDGE_CURVE('',#149478,#149476,#65729,.T.); #182310=EDGE_CURVE('',#149479,#149477,#65730,.T.); #182311=EDGE_CURVE('',#149478,#149479,#65731,.T.); #182312=EDGE_CURVE('',#149480,#149478,#65732,.T.); #182313=EDGE_CURVE('',#149481,#149479,#65733,.T.); #182314=EDGE_CURVE('',#149480,#149481,#65734,.T.); #182315=EDGE_CURVE('',#149482,#149480,#65735,.T.); #182316=EDGE_CURVE('',#149483,#149481,#65736,.T.); #182317=EDGE_CURVE('',#149482,#149483,#65737,.T.); #182318=EDGE_CURVE('',#149484,#149482,#65738,.T.); #182319=EDGE_CURVE('',#149485,#149483,#65739,.T.); #182320=EDGE_CURVE('',#149484,#149485,#65740,.T.); #182321=EDGE_CURVE('',#149486,#149484,#65741,.T.); #182322=EDGE_CURVE('',#149487,#149485,#65742,.T.); #182323=EDGE_CURVE('',#149486,#149487,#65743,.T.); #182324=EDGE_CURVE('',#149488,#149486,#65744,.T.); #182325=EDGE_CURVE('',#149489,#149487,#65745,.T.); #182326=EDGE_CURVE('',#149488,#149489,#65746,.T.); #182327=EDGE_CURVE('',#149490,#149488,#65747,.T.); #182328=EDGE_CURVE('',#149491,#149489,#65748,.T.); #182329=EDGE_CURVE('',#149490,#149491,#65749,.T.); #182330=EDGE_CURVE('',#149492,#149490,#65750,.T.); #182331=EDGE_CURVE('',#149493,#149491,#65751,.T.); #182332=EDGE_CURVE('',#149492,#149493,#65752,.T.); #182333=EDGE_CURVE('',#149494,#149492,#65753,.T.); #182334=EDGE_CURVE('',#149495,#149493,#65754,.T.); #182335=EDGE_CURVE('',#149494,#149495,#65755,.T.); #182336=EDGE_CURVE('',#149496,#149494,#65756,.T.); #182337=EDGE_CURVE('',#149497,#149495,#65757,.T.); #182338=EDGE_CURVE('',#149496,#149497,#65758,.T.); #182339=EDGE_CURVE('',#149498,#149496,#65759,.T.); #182340=EDGE_CURVE('',#149499,#149497,#65760,.T.); #182341=EDGE_CURVE('',#149498,#149499,#65761,.T.); #182342=EDGE_CURVE('',#149500,#149498,#65762,.T.); #182343=EDGE_CURVE('',#149501,#149499,#65763,.T.); #182344=EDGE_CURVE('',#149500,#149501,#65764,.T.); #182345=EDGE_CURVE('',#149502,#149500,#65765,.T.); #182346=EDGE_CURVE('',#149503,#149501,#65766,.T.); #182347=EDGE_CURVE('',#149502,#149503,#65767,.T.); #182348=EDGE_CURVE('',#149504,#149502,#65768,.T.); #182349=EDGE_CURVE('',#149505,#149503,#65769,.T.); #182350=EDGE_CURVE('',#149504,#149505,#65770,.T.); #182351=EDGE_CURVE('',#149506,#149504,#65771,.T.); #182352=EDGE_CURVE('',#149507,#149505,#65772,.T.); #182353=EDGE_CURVE('',#149506,#149507,#65773,.T.); #182354=EDGE_CURVE('',#149508,#149506,#65774,.T.); #182355=EDGE_CURVE('',#149509,#149507,#65775,.T.); #182356=EDGE_CURVE('',#149508,#149509,#65776,.T.); #182357=EDGE_CURVE('',#149510,#149508,#65777,.T.); #182358=EDGE_CURVE('',#149511,#149509,#65778,.T.); #182359=EDGE_CURVE('',#149510,#149511,#65779,.T.); #182360=EDGE_CURVE('',#149512,#149510,#65780,.T.); #182361=EDGE_CURVE('',#149513,#149511,#65781,.T.); #182362=EDGE_CURVE('',#149512,#149513,#65782,.T.); #182363=EDGE_CURVE('',#149514,#149512,#65783,.T.); #182364=EDGE_CURVE('',#149515,#149513,#65784,.T.); #182365=EDGE_CURVE('',#149514,#149515,#65785,.T.); #182366=EDGE_CURVE('',#149516,#149514,#65786,.T.); #182367=EDGE_CURVE('',#149517,#149515,#65787,.T.); #182368=EDGE_CURVE('',#149516,#149517,#65788,.T.); #182369=EDGE_CURVE('',#149518,#149516,#65789,.T.); #182370=EDGE_CURVE('',#149519,#149517,#65790,.T.); #182371=EDGE_CURVE('',#149518,#149519,#65791,.T.); #182372=EDGE_CURVE('',#149520,#149518,#65792,.T.); #182373=EDGE_CURVE('',#149521,#149519,#65793,.T.); #182374=EDGE_CURVE('',#149520,#149521,#65794,.T.); #182375=EDGE_CURVE('',#149522,#149520,#65795,.T.); #182376=EDGE_CURVE('',#149523,#149521,#65796,.T.); #182377=EDGE_CURVE('',#149522,#149523,#65797,.T.); #182378=EDGE_CURVE('',#149524,#149522,#65798,.T.); #182379=EDGE_CURVE('',#149525,#149523,#65799,.T.); #182380=EDGE_CURVE('',#149524,#149525,#65800,.T.); #182381=EDGE_CURVE('',#149526,#149524,#65801,.T.); #182382=EDGE_CURVE('',#149527,#149525,#65802,.T.); #182383=EDGE_CURVE('',#149526,#149527,#65803,.T.); #182384=EDGE_CURVE('',#149528,#149526,#65804,.T.); #182385=EDGE_CURVE('',#149529,#149527,#65805,.T.); #182386=EDGE_CURVE('',#149528,#149529,#65806,.T.); #182387=EDGE_CURVE('',#149530,#149528,#65807,.T.); #182388=EDGE_CURVE('',#149531,#149529,#65808,.T.); #182389=EDGE_CURVE('',#149530,#149531,#65809,.T.); #182390=EDGE_CURVE('',#149532,#149530,#65810,.T.); #182391=EDGE_CURVE('',#149533,#149531,#65811,.T.); #182392=EDGE_CURVE('',#149532,#149533,#65812,.T.); #182393=EDGE_CURVE('',#149534,#149532,#65813,.T.); #182394=EDGE_CURVE('',#149535,#149533,#65814,.T.); #182395=EDGE_CURVE('',#149534,#149535,#65815,.T.); #182396=EDGE_CURVE('',#149536,#149534,#65816,.T.); #182397=EDGE_CURVE('',#149537,#149535,#65817,.T.); #182398=EDGE_CURVE('',#149536,#149537,#65818,.T.); #182399=EDGE_CURVE('',#149538,#149536,#65819,.T.); #182400=EDGE_CURVE('',#149539,#149537,#65820,.T.); #182401=EDGE_CURVE('',#149538,#149539,#65821,.T.); #182402=EDGE_CURVE('',#149540,#149538,#65822,.T.); #182403=EDGE_CURVE('',#149541,#149539,#65823,.T.); #182404=EDGE_CURVE('',#149540,#149541,#65824,.T.); #182405=EDGE_CURVE('',#149542,#149540,#65825,.T.); #182406=EDGE_CURVE('',#149543,#149541,#65826,.T.); #182407=EDGE_CURVE('',#149542,#149543,#65827,.T.); #182408=EDGE_CURVE('',#149365,#149542,#65828,.T.); #182409=EDGE_CURVE('',#149366,#149543,#65829,.T.); #182410=EDGE_CURVE('',#149544,#149544,#139394,.T.); #182411=EDGE_CURVE('',#149544,#149545,#65830,.T.); #182412=EDGE_CURVE('',#149545,#149545,#139395,.T.); #182413=EDGE_CURVE('',#149546,#149546,#139396,.T.); #182414=EDGE_CURVE('',#149546,#149547,#65831,.T.); #182415=EDGE_CURVE('',#149547,#149547,#139397,.T.); #182416=EDGE_CURVE('',#149548,#149548,#139398,.T.); #182417=EDGE_CURVE('',#149548,#149549,#65832,.T.); #182418=EDGE_CURVE('',#149549,#149549,#139399,.T.); #182419=EDGE_CURVE('',#149550,#149550,#139400,.T.); #182420=EDGE_CURVE('',#149550,#149551,#65833,.T.); #182421=EDGE_CURVE('',#149551,#149551,#139401,.T.); #182422=EDGE_CURVE('',#149552,#149552,#139402,.T.); #182423=EDGE_CURVE('',#149552,#149553,#65834,.T.); #182424=EDGE_CURVE('',#149553,#149553,#139403,.T.); #182425=EDGE_CURVE('',#149554,#149554,#139404,.T.); #182426=EDGE_CURVE('',#149554,#149555,#65835,.T.); #182427=EDGE_CURVE('',#149555,#149555,#139405,.T.); #182428=EDGE_CURVE('',#149556,#149556,#139406,.T.); #182429=EDGE_CURVE('',#149556,#149557,#65836,.T.); #182430=EDGE_CURVE('',#149557,#149557,#139407,.T.); #182431=EDGE_CURVE('',#149558,#149558,#139408,.T.); #182432=EDGE_CURVE('',#149558,#149559,#65837,.T.); #182433=EDGE_CURVE('',#149559,#149559,#139409,.T.); #182434=EDGE_CURVE('',#149560,#149560,#139410,.T.); #182435=EDGE_CURVE('',#149560,#149561,#65838,.T.); #182436=EDGE_CURVE('',#149561,#149561,#139411,.T.); #182437=EDGE_CURVE('',#149562,#149563,#65839,.T.); #182438=EDGE_CURVE('',#149564,#149562,#65840,.T.); #182439=EDGE_CURVE('',#149565,#149564,#65841,.T.); #182440=EDGE_CURVE('',#149565,#149563,#65842,.T.); #182441=EDGE_CURVE('',#149563,#149566,#139412,.T.); #182442=EDGE_CURVE('',#149567,#149565,#139413,.T.); #182443=EDGE_CURVE('',#149567,#149566,#65843,.T.); #182444=EDGE_CURVE('',#149566,#149568,#65844,.T.); #182445=EDGE_CURVE('',#149569,#149567,#65845,.T.); #182446=EDGE_CURVE('',#149569,#149568,#65846,.T.); #182447=EDGE_CURVE('',#149568,#149562,#139414,.T.); #182448=EDGE_CURVE('',#149564,#149569,#139415,.T.); #182449=EDGE_CURVE('',#149570,#149571,#65847,.T.); #182450=EDGE_CURVE('',#149572,#149570,#65848,.T.); #182451=EDGE_CURVE('',#149573,#149572,#65849,.T.); #182452=EDGE_CURVE('',#149573,#149571,#65850,.T.); #182453=EDGE_CURVE('',#149571,#149574,#139416,.T.); #182454=EDGE_CURVE('',#149575,#149573,#139417,.T.); #182455=EDGE_CURVE('',#149575,#149574,#65851,.T.); #182456=EDGE_CURVE('',#149574,#149576,#65852,.T.); #182457=EDGE_CURVE('',#149577,#149575,#65853,.T.); #182458=EDGE_CURVE('',#149577,#149576,#65854,.T.); #182459=EDGE_CURVE('',#149576,#149570,#139418,.T.); #182460=EDGE_CURVE('',#149572,#149577,#139419,.T.); #182461=EDGE_CURVE('',#149578,#149578,#139420,.T.); #182462=EDGE_CURVE('',#149578,#149579,#65855,.T.); #182463=EDGE_CURVE('',#149579,#149579,#139421,.T.); #182464=EDGE_CURVE('',#149580,#149580,#139422,.T.); #182465=EDGE_CURVE('',#149580,#149581,#65856,.T.); #182466=EDGE_CURVE('',#149581,#149581,#139423,.T.); #182467=EDGE_CURVE('',#149582,#149582,#139424,.T.); #182468=EDGE_CURVE('',#149582,#149583,#65857,.T.); #182469=EDGE_CURVE('',#149583,#149583,#139425,.T.); #182470=EDGE_CURVE('',#149584,#149584,#139426,.T.); #182471=EDGE_CURVE('',#149584,#149585,#65858,.T.); #182472=EDGE_CURVE('',#149585,#149585,#139427,.T.); #182473=EDGE_CURVE('',#149586,#149587,#65859,.T.); #182474=EDGE_CURVE('',#149588,#149586,#65860,.T.); #182475=EDGE_CURVE('',#149589,#149588,#65861,.T.); #182476=EDGE_CURVE('',#149589,#149587,#65862,.T.); #182477=EDGE_CURVE('',#149587,#149590,#139428,.T.); #182478=EDGE_CURVE('',#149591,#149589,#139429,.T.); #182479=EDGE_CURVE('',#149591,#149590,#65863,.T.); #182480=EDGE_CURVE('',#149590,#149592,#65864,.T.); #182481=EDGE_CURVE('',#149593,#149591,#65865,.T.); #182482=EDGE_CURVE('',#149593,#149592,#65866,.T.); #182483=EDGE_CURVE('',#149592,#149586,#139430,.T.); #182484=EDGE_CURVE('',#149588,#149593,#139431,.T.); #182485=EDGE_CURVE('',#149594,#149595,#65867,.T.); #182486=EDGE_CURVE('',#149596,#149594,#65868,.T.); #182487=EDGE_CURVE('',#149597,#149596,#65869,.T.); #182488=EDGE_CURVE('',#149597,#149595,#65870,.T.); #182489=EDGE_CURVE('',#149595,#149598,#139432,.T.); #182490=EDGE_CURVE('',#149599,#149597,#139433,.T.); #182491=EDGE_CURVE('',#149599,#149598,#65871,.T.); #182492=EDGE_CURVE('',#149598,#149600,#65872,.T.); #182493=EDGE_CURVE('',#149601,#149599,#65873,.T.); #182494=EDGE_CURVE('',#149601,#149600,#65874,.T.); #182495=EDGE_CURVE('',#149600,#149594,#139434,.T.); #182496=EDGE_CURVE('',#149596,#149601,#139435,.T.); #182497=EDGE_CURVE('',#149602,#149602,#139436,.T.); #182498=EDGE_CURVE('',#149602,#149603,#65875,.T.); #182499=EDGE_CURVE('',#149603,#149603,#139437,.T.); #182500=EDGE_CURVE('',#149604,#149604,#139438,.T.); #182501=EDGE_CURVE('',#149604,#149605,#65876,.T.); #182502=EDGE_CURVE('',#149605,#149605,#139439,.T.); #182503=EDGE_CURVE('',#149606,#149606,#139440,.T.); #182504=EDGE_CURVE('',#149606,#149607,#65877,.T.); #182505=EDGE_CURVE('',#149607,#149607,#139441,.T.); #182506=EDGE_CURVE('',#149608,#149608,#139442,.T.); #182507=EDGE_CURVE('',#149608,#149609,#65878,.T.); #182508=EDGE_CURVE('',#149609,#149609,#139443,.T.); #182509=EDGE_CURVE('',#149610,#149610,#139444,.T.); #182510=EDGE_CURVE('',#149610,#149611,#65879,.T.); #182511=EDGE_CURVE('',#149611,#149611,#139445,.T.); #182512=EDGE_CURVE('',#149612,#149612,#139446,.T.); #182513=EDGE_CURVE('',#149612,#149613,#65880,.T.); #182514=EDGE_CURVE('',#149613,#149613,#139447,.T.); #182515=EDGE_CURVE('',#149614,#149614,#139448,.T.); #182516=EDGE_CURVE('',#149614,#149615,#65881,.T.); #182517=EDGE_CURVE('',#149615,#149615,#139449,.T.); #182518=EDGE_CURVE('',#149616,#149616,#139450,.T.); #182519=EDGE_CURVE('',#149616,#149617,#65882,.T.); #182520=EDGE_CURVE('',#149617,#149617,#139451,.T.); #182521=EDGE_CURVE('',#149618,#149618,#139452,.T.); #182522=EDGE_CURVE('',#149618,#149619,#65883,.T.); #182523=EDGE_CURVE('',#149619,#149619,#139453,.T.); #182524=EDGE_CURVE('',#149620,#149620,#139454,.T.); #182525=EDGE_CURVE('',#149620,#149621,#65884,.T.); #182526=EDGE_CURVE('',#149621,#149621,#139455,.T.); #182527=EDGE_CURVE('',#149622,#149622,#139456,.T.); #182528=EDGE_CURVE('',#149622,#149623,#65885,.T.); #182529=EDGE_CURVE('',#149623,#149623,#139457,.T.); #182530=EDGE_CURVE('',#149624,#149624,#139458,.T.); #182531=EDGE_CURVE('',#149624,#149625,#65886,.T.); #182532=EDGE_CURVE('',#149625,#149625,#139459,.T.); #182533=EDGE_CURVE('',#149626,#149626,#139460,.T.); #182534=EDGE_CURVE('',#149626,#149627,#65887,.T.); #182535=EDGE_CURVE('',#149627,#149627,#139461,.T.); #182536=EDGE_CURVE('',#149628,#149628,#139462,.T.); #182537=EDGE_CURVE('',#149628,#149629,#65888,.T.); #182538=EDGE_CURVE('',#149629,#149629,#139463,.T.); #182539=EDGE_CURVE('',#149630,#149630,#139464,.T.); #182540=EDGE_CURVE('',#149630,#149631,#65889,.T.); #182541=EDGE_CURVE('',#149631,#149631,#139465,.T.); #182542=EDGE_CURVE('',#149632,#149632,#139466,.T.); #182543=EDGE_CURVE('',#149632,#149633,#65890,.T.); #182544=EDGE_CURVE('',#149633,#149633,#139467,.T.); #182545=EDGE_CURVE('',#149634,#149634,#139468,.T.); #182546=EDGE_CURVE('',#149634,#149635,#65891,.T.); #182547=EDGE_CURVE('',#149635,#149635,#139469,.T.); #182548=EDGE_CURVE('',#149636,#149636,#139470,.T.); #182549=EDGE_CURVE('',#149636,#149637,#65892,.T.); #182550=EDGE_CURVE('',#149637,#149637,#139471,.T.); #182551=EDGE_CURVE('',#149638,#149638,#139472,.T.); #182552=EDGE_CURVE('',#149638,#149639,#65893,.T.); #182553=EDGE_CURVE('',#149639,#149639,#139473,.T.); #182554=EDGE_CURVE('',#149640,#149640,#139474,.T.); #182555=EDGE_CURVE('',#149640,#149641,#65894,.T.); #182556=EDGE_CURVE('',#149641,#149641,#139475,.T.); #182557=EDGE_CURVE('',#149642,#149642,#139476,.T.); #182558=EDGE_CURVE('',#149642,#149643,#65895,.T.); #182559=EDGE_CURVE('',#149643,#149643,#139477,.T.); #182560=EDGE_CURVE('',#149644,#149644,#139478,.T.); #182561=EDGE_CURVE('',#149644,#149645,#65896,.T.); #182562=EDGE_CURVE('',#149645,#149645,#139479,.T.); #182563=EDGE_CURVE('',#149646,#149646,#139480,.T.); #182564=EDGE_CURVE('',#149646,#149647,#65897,.T.); #182565=EDGE_CURVE('',#149647,#149647,#139481,.T.); #182566=EDGE_CURVE('',#149648,#149648,#139482,.T.); #182567=EDGE_CURVE('',#149648,#149649,#65898,.T.); #182568=EDGE_CURVE('',#149649,#149649,#139483,.T.); #182569=EDGE_CURVE('',#149650,#149650,#139484,.T.); #182570=EDGE_CURVE('',#149650,#149651,#65899,.T.); #182571=EDGE_CURVE('',#149651,#149651,#139485,.T.); #182572=EDGE_CURVE('',#149652,#149652,#139486,.T.); #182573=EDGE_CURVE('',#149652,#149653,#65900,.T.); #182574=EDGE_CURVE('',#149653,#149653,#139487,.T.); #182575=EDGE_CURVE('',#149654,#149654,#139488,.T.); #182576=EDGE_CURVE('',#149654,#149655,#65901,.T.); #182577=EDGE_CURVE('',#149655,#149655,#139489,.T.); #182578=EDGE_CURVE('',#149656,#149656,#139490,.T.); #182579=EDGE_CURVE('',#149656,#149657,#65902,.T.); #182580=EDGE_CURVE('',#149657,#149657,#139491,.T.); #182581=EDGE_CURVE('',#149658,#149658,#139492,.T.); #182582=EDGE_CURVE('',#149658,#149659,#65903,.T.); #182583=EDGE_CURVE('',#149659,#149659,#139493,.T.); #182584=EDGE_CURVE('',#149660,#149660,#139494,.T.); #182585=EDGE_CURVE('',#149660,#149661,#65904,.T.); #182586=EDGE_CURVE('',#149661,#149661,#139495,.T.); #182587=EDGE_CURVE('',#149662,#149662,#139496,.T.); #182588=EDGE_CURVE('',#149662,#149663,#65905,.T.); #182589=EDGE_CURVE('',#149663,#149663,#139497,.T.); #182590=EDGE_CURVE('',#149664,#149664,#139498,.T.); #182591=EDGE_CURVE('',#149664,#149665,#65906,.T.); #182592=EDGE_CURVE('',#149665,#149665,#139499,.T.); #182593=EDGE_CURVE('',#149666,#149666,#139500,.T.); #182594=EDGE_CURVE('',#149666,#149667,#65907,.T.); #182595=EDGE_CURVE('',#149667,#149667,#139501,.T.); #182596=EDGE_CURVE('',#149668,#149668,#139502,.T.); #182597=EDGE_CURVE('',#149668,#149669,#65908,.T.); #182598=EDGE_CURVE('',#149669,#149669,#139503,.T.); #182599=EDGE_CURVE('',#149670,#149670,#139504,.T.); #182600=EDGE_CURVE('',#149670,#149671,#65909,.T.); #182601=EDGE_CURVE('',#149671,#149671,#139505,.T.); #182602=EDGE_CURVE('',#149672,#149672,#139506,.T.); #182603=EDGE_CURVE('',#149672,#149673,#65910,.T.); #182604=EDGE_CURVE('',#149673,#149673,#139507,.T.); #182605=EDGE_CURVE('',#149674,#149674,#139508,.T.); #182606=EDGE_CURVE('',#149674,#149675,#65911,.T.); #182607=EDGE_CURVE('',#149675,#149675,#139509,.T.); #182608=EDGE_CURVE('',#149676,#149676,#139510,.T.); #182609=EDGE_CURVE('',#149676,#149677,#65912,.T.); #182610=EDGE_CURVE('',#149677,#149677,#139511,.T.); #182611=EDGE_CURVE('',#149678,#149678,#139512,.T.); #182612=EDGE_CURVE('',#149678,#149679,#65913,.T.); #182613=EDGE_CURVE('',#149679,#149679,#139513,.T.); #182614=EDGE_CURVE('',#149680,#149680,#139514,.T.); #182615=EDGE_CURVE('',#149680,#149681,#65914,.T.); #182616=EDGE_CURVE('',#149681,#149681,#139515,.T.); #182617=EDGE_CURVE('',#149682,#149682,#139516,.T.); #182618=EDGE_CURVE('',#149682,#149683,#65915,.T.); #182619=EDGE_CURVE('',#149683,#149683,#139517,.T.); #182620=EDGE_CURVE('',#149684,#149684,#139518,.T.); #182621=EDGE_CURVE('',#149684,#149685,#65916,.T.); #182622=EDGE_CURVE('',#149685,#149685,#139519,.T.); #182623=EDGE_CURVE('',#149686,#149686,#139520,.T.); #182624=EDGE_CURVE('',#149686,#149687,#65917,.T.); #182625=EDGE_CURVE('',#149687,#149687,#139521,.T.); #182626=EDGE_CURVE('',#149688,#149688,#139522,.T.); #182627=EDGE_CURVE('',#149688,#149689,#65918,.T.); #182628=EDGE_CURVE('',#149689,#149689,#139523,.T.); #182629=EDGE_CURVE('',#149690,#149690,#139524,.T.); #182630=EDGE_CURVE('',#149690,#149691,#65919,.T.); #182631=EDGE_CURVE('',#149691,#149691,#139525,.T.); #182632=EDGE_CURVE('',#149692,#149693,#65920,.T.); #182633=EDGE_CURVE('',#149693,#149694,#65921,.T.); #182634=EDGE_CURVE('',#149695,#149694,#65922,.T.); #182635=EDGE_CURVE('',#149692,#149695,#65923,.T.); #182636=EDGE_CURVE('',#149696,#149692,#65924,.T.); #182637=EDGE_CURVE('',#149697,#149695,#65925,.T.); #182638=EDGE_CURVE('',#149696,#149697,#65926,.T.); #182639=EDGE_CURVE('',#149698,#149696,#65927,.T.); #182640=EDGE_CURVE('',#149699,#149697,#65928,.T.); #182641=EDGE_CURVE('',#149698,#149699,#65929,.T.); #182642=EDGE_CURVE('',#149700,#149698,#65930,.T.); #182643=EDGE_CURVE('',#149701,#149699,#65931,.T.); #182644=EDGE_CURVE('',#149700,#149701,#65932,.T.); #182645=EDGE_CURVE('',#149702,#149700,#65933,.T.); #182646=EDGE_CURVE('',#149703,#149701,#65934,.T.); #182647=EDGE_CURVE('',#149702,#149703,#65935,.T.); #182648=EDGE_CURVE('',#149704,#149702,#65936,.T.); #182649=EDGE_CURVE('',#149705,#149703,#65937,.T.); #182650=EDGE_CURVE('',#149704,#149705,#65938,.T.); #182651=EDGE_CURVE('',#149706,#149704,#65939,.T.); #182652=EDGE_CURVE('',#149707,#149705,#65940,.T.); #182653=EDGE_CURVE('',#149706,#149707,#65941,.T.); #182654=EDGE_CURVE('',#149693,#149706,#65942,.T.); #182655=EDGE_CURVE('',#149694,#149707,#65943,.T.); #182656=EDGE_CURVE('',#149708,#149709,#65944,.T.); #182657=EDGE_CURVE('',#149709,#149710,#65945,.T.); #182658=EDGE_CURVE('',#149711,#149710,#65946,.T.); #182659=EDGE_CURVE('',#149708,#149711,#65947,.T.); #182660=EDGE_CURVE('',#149712,#149708,#65948,.T.); #182661=EDGE_CURVE('',#149713,#149711,#65949,.T.); #182662=EDGE_CURVE('',#149712,#149713,#65950,.T.); #182663=EDGE_CURVE('',#149714,#149712,#65951,.T.); #182664=EDGE_CURVE('',#149715,#149713,#65952,.T.); #182665=EDGE_CURVE('',#149714,#149715,#65953,.T.); #182666=EDGE_CURVE('',#149716,#149714,#65954,.T.); #182667=EDGE_CURVE('',#149717,#149715,#65955,.T.); #182668=EDGE_CURVE('',#149716,#149717,#65956,.T.); #182669=EDGE_CURVE('',#149718,#149716,#65957,.T.); #182670=EDGE_CURVE('',#149719,#149717,#65958,.T.); #182671=EDGE_CURVE('',#149718,#149719,#65959,.T.); #182672=EDGE_CURVE('',#149720,#149718,#65960,.T.); #182673=EDGE_CURVE('',#149721,#149719,#65961,.T.); #182674=EDGE_CURVE('',#149720,#149721,#65962,.T.); #182675=EDGE_CURVE('',#149722,#149720,#65963,.T.); #182676=EDGE_CURVE('',#149723,#149721,#65964,.T.); #182677=EDGE_CURVE('',#149722,#149723,#65965,.T.); #182678=EDGE_CURVE('',#149724,#149722,#65966,.T.); #182679=EDGE_CURVE('',#149725,#149723,#65967,.T.); #182680=EDGE_CURVE('',#149724,#149725,#65968,.T.); #182681=EDGE_CURVE('',#149726,#149724,#65969,.T.); #182682=EDGE_CURVE('',#149727,#149725,#65970,.T.); #182683=EDGE_CURVE('',#149726,#149727,#65971,.T.); #182684=EDGE_CURVE('',#149728,#149726,#65972,.T.); #182685=EDGE_CURVE('',#149729,#149727,#65973,.T.); #182686=EDGE_CURVE('',#149728,#149729,#65974,.T.); #182687=EDGE_CURVE('',#149730,#149728,#65975,.T.); #182688=EDGE_CURVE('',#149731,#149729,#65976,.T.); #182689=EDGE_CURVE('',#149730,#149731,#65977,.T.); #182690=EDGE_CURVE('',#149732,#149730,#65978,.T.); #182691=EDGE_CURVE('',#149733,#149731,#65979,.T.); #182692=EDGE_CURVE('',#149732,#149733,#65980,.T.); #182693=EDGE_CURVE('',#149734,#149732,#65981,.T.); #182694=EDGE_CURVE('',#149735,#149733,#65982,.T.); #182695=EDGE_CURVE('',#149734,#149735,#65983,.T.); #182696=EDGE_CURVE('',#149736,#149734,#65984,.T.); #182697=EDGE_CURVE('',#149737,#149735,#65985,.T.); #182698=EDGE_CURVE('',#149736,#149737,#65986,.T.); #182699=EDGE_CURVE('',#149738,#149736,#65987,.T.); #182700=EDGE_CURVE('',#149739,#149737,#65988,.T.); #182701=EDGE_CURVE('',#149738,#149739,#65989,.T.); #182702=EDGE_CURVE('',#149740,#149738,#65990,.T.); #182703=EDGE_CURVE('',#149741,#149739,#65991,.T.); #182704=EDGE_CURVE('',#149740,#149741,#65992,.T.); #182705=EDGE_CURVE('',#149742,#149740,#65993,.T.); #182706=EDGE_CURVE('',#149743,#149741,#65994,.T.); #182707=EDGE_CURVE('',#149742,#149743,#65995,.T.); #182708=EDGE_CURVE('',#149744,#149742,#65996,.T.); #182709=EDGE_CURVE('',#149745,#149743,#65997,.T.); #182710=EDGE_CURVE('',#149744,#149745,#65998,.T.); #182711=EDGE_CURVE('',#149746,#149744,#65999,.T.); #182712=EDGE_CURVE('',#149747,#149745,#66000,.T.); #182713=EDGE_CURVE('',#149746,#149747,#66001,.T.); #182714=EDGE_CURVE('',#149748,#149746,#66002,.T.); #182715=EDGE_CURVE('',#149749,#149747,#66003,.T.); #182716=EDGE_CURVE('',#149748,#149749,#66004,.T.); #182717=EDGE_CURVE('',#149750,#149748,#66005,.T.); #182718=EDGE_CURVE('',#149751,#149749,#66006,.T.); #182719=EDGE_CURVE('',#149750,#149751,#66007,.T.); #182720=EDGE_CURVE('',#149752,#149750,#66008,.T.); #182721=EDGE_CURVE('',#149753,#149751,#66009,.T.); #182722=EDGE_CURVE('',#149752,#149753,#66010,.T.); #182723=EDGE_CURVE('',#149754,#149752,#66011,.T.); #182724=EDGE_CURVE('',#149755,#149753,#66012,.T.); #182725=EDGE_CURVE('',#149754,#149755,#66013,.T.); #182726=EDGE_CURVE('',#149756,#149754,#66014,.T.); #182727=EDGE_CURVE('',#149757,#149755,#66015,.T.); #182728=EDGE_CURVE('',#149756,#149757,#66016,.T.); #182729=EDGE_CURVE('',#149758,#149756,#66017,.T.); #182730=EDGE_CURVE('',#149759,#149757,#66018,.T.); #182731=EDGE_CURVE('',#149758,#149759,#66019,.T.); #182732=EDGE_CURVE('',#149760,#149758,#66020,.T.); #182733=EDGE_CURVE('',#149761,#149759,#66021,.T.); #182734=EDGE_CURVE('',#149760,#149761,#66022,.T.); #182735=EDGE_CURVE('',#149762,#149760,#66023,.T.); #182736=EDGE_CURVE('',#149763,#149761,#66024,.T.); #182737=EDGE_CURVE('',#149762,#149763,#66025,.T.); #182738=EDGE_CURVE('',#149764,#149762,#66026,.T.); #182739=EDGE_CURVE('',#149765,#149763,#66027,.T.); #182740=EDGE_CURVE('',#149764,#149765,#66028,.T.); #182741=EDGE_CURVE('',#149766,#149764,#66029,.T.); #182742=EDGE_CURVE('',#149767,#149765,#66030,.T.); #182743=EDGE_CURVE('',#149766,#149767,#66031,.T.); #182744=EDGE_CURVE('',#149768,#149766,#66032,.T.); #182745=EDGE_CURVE('',#149769,#149767,#66033,.T.); #182746=EDGE_CURVE('',#149768,#149769,#66034,.T.); #182747=EDGE_CURVE('',#149770,#149768,#66035,.T.); #182748=EDGE_CURVE('',#149771,#149769,#66036,.T.); #182749=EDGE_CURVE('',#149770,#149771,#66037,.T.); #182750=EDGE_CURVE('',#149772,#149770,#66038,.T.); #182751=EDGE_CURVE('',#149773,#149771,#66039,.T.); #182752=EDGE_CURVE('',#149772,#149773,#66040,.T.); #182753=EDGE_CURVE('',#149774,#149772,#66041,.T.); #182754=EDGE_CURVE('',#149775,#149773,#66042,.T.); #182755=EDGE_CURVE('',#149774,#149775,#66043,.T.); #182756=EDGE_CURVE('',#149776,#149774,#66044,.T.); #182757=EDGE_CURVE('',#149777,#149775,#66045,.T.); #182758=EDGE_CURVE('',#149776,#149777,#66046,.T.); #182759=EDGE_CURVE('',#149778,#149776,#66047,.T.); #182760=EDGE_CURVE('',#149779,#149777,#66048,.T.); #182761=EDGE_CURVE('',#149778,#149779,#66049,.T.); #182762=EDGE_CURVE('',#149709,#149778,#66050,.T.); #182763=EDGE_CURVE('',#149710,#149779,#66051,.T.); #182764=EDGE_CURVE('',#149780,#149781,#66052,.T.); #182765=EDGE_CURVE('',#149781,#149782,#66053,.T.); #182766=EDGE_CURVE('',#149783,#149782,#66054,.T.); #182767=EDGE_CURVE('',#149780,#149783,#66055,.T.); #182768=EDGE_CURVE('',#149784,#149780,#66056,.T.); #182769=EDGE_CURVE('',#149785,#149783,#66057,.T.); #182770=EDGE_CURVE('',#149784,#149785,#66058,.T.); #182771=EDGE_CURVE('',#149786,#149784,#66059,.T.); #182772=EDGE_CURVE('',#149787,#149785,#66060,.T.); #182773=EDGE_CURVE('',#149786,#149787,#66061,.T.); #182774=EDGE_CURVE('',#149788,#149786,#66062,.T.); #182775=EDGE_CURVE('',#149789,#149787,#66063,.T.); #182776=EDGE_CURVE('',#149788,#149789,#66064,.T.); #182777=EDGE_CURVE('',#149790,#149788,#66065,.T.); #182778=EDGE_CURVE('',#149791,#149789,#66066,.T.); #182779=EDGE_CURVE('',#149790,#149791,#66067,.T.); #182780=EDGE_CURVE('',#149792,#149790,#66068,.T.); #182781=EDGE_CURVE('',#149793,#149791,#66069,.T.); #182782=EDGE_CURVE('',#149792,#149793,#66070,.T.); #182783=EDGE_CURVE('',#149794,#149792,#66071,.T.); #182784=EDGE_CURVE('',#149795,#149793,#66072,.T.); #182785=EDGE_CURVE('',#149794,#149795,#66073,.T.); #182786=EDGE_CURVE('',#149796,#149794,#66074,.T.); #182787=EDGE_CURVE('',#149797,#149795,#66075,.T.); #182788=EDGE_CURVE('',#149796,#149797,#66076,.T.); #182789=EDGE_CURVE('',#149798,#149796,#66077,.T.); #182790=EDGE_CURVE('',#149799,#149797,#66078,.T.); #182791=EDGE_CURVE('',#149798,#149799,#66079,.T.); #182792=EDGE_CURVE('',#149800,#149798,#66080,.T.); #182793=EDGE_CURVE('',#149801,#149799,#66081,.T.); #182794=EDGE_CURVE('',#149800,#149801,#66082,.T.); #182795=EDGE_CURVE('',#149802,#149800,#66083,.T.); #182796=EDGE_CURVE('',#149803,#149801,#66084,.T.); #182797=EDGE_CURVE('',#149802,#149803,#66085,.T.); #182798=EDGE_CURVE('',#149804,#149802,#66086,.T.); #182799=EDGE_CURVE('',#149805,#149803,#66087,.T.); #182800=EDGE_CURVE('',#149804,#149805,#66088,.T.); #182801=EDGE_CURVE('',#149806,#149804,#66089,.T.); #182802=EDGE_CURVE('',#149807,#149805,#66090,.T.); #182803=EDGE_CURVE('',#149806,#149807,#66091,.T.); #182804=EDGE_CURVE('',#149808,#149806,#66092,.T.); #182805=EDGE_CURVE('',#149809,#149807,#66093,.T.); #182806=EDGE_CURVE('',#149808,#149809,#66094,.T.); #182807=EDGE_CURVE('',#149810,#149808,#66095,.T.); #182808=EDGE_CURVE('',#149811,#149809,#66096,.T.); #182809=EDGE_CURVE('',#149810,#149811,#66097,.T.); #182810=EDGE_CURVE('',#149812,#149810,#66098,.T.); #182811=EDGE_CURVE('',#149813,#149811,#66099,.T.); #182812=EDGE_CURVE('',#149812,#149813,#66100,.T.); #182813=EDGE_CURVE('',#149814,#149812,#66101,.T.); #182814=EDGE_CURVE('',#149815,#149813,#66102,.T.); #182815=EDGE_CURVE('',#149814,#149815,#66103,.T.); #182816=EDGE_CURVE('',#149816,#149814,#66104,.T.); #182817=EDGE_CURVE('',#149817,#149815,#66105,.T.); #182818=EDGE_CURVE('',#149816,#149817,#66106,.T.); #182819=EDGE_CURVE('',#149818,#149816,#66107,.T.); #182820=EDGE_CURVE('',#149819,#149817,#66108,.T.); #182821=EDGE_CURVE('',#149818,#149819,#66109,.T.); #182822=EDGE_CURVE('',#149820,#149818,#66110,.T.); #182823=EDGE_CURVE('',#149821,#149819,#66111,.T.); #182824=EDGE_CURVE('',#149820,#149821,#66112,.T.); #182825=EDGE_CURVE('',#149822,#149820,#66113,.T.); #182826=EDGE_CURVE('',#149823,#149821,#66114,.T.); #182827=EDGE_CURVE('',#149822,#149823,#66115,.T.); #182828=EDGE_CURVE('',#149824,#149822,#66116,.T.); #182829=EDGE_CURVE('',#149825,#149823,#66117,.T.); #182830=EDGE_CURVE('',#149824,#149825,#66118,.T.); #182831=EDGE_CURVE('',#149826,#149824,#66119,.T.); #182832=EDGE_CURVE('',#149827,#149825,#66120,.T.); #182833=EDGE_CURVE('',#149826,#149827,#66121,.T.); #182834=EDGE_CURVE('',#149828,#149826,#66122,.T.); #182835=EDGE_CURVE('',#149829,#149827,#66123,.T.); #182836=EDGE_CURVE('',#149828,#149829,#66124,.T.); #182837=EDGE_CURVE('',#149830,#149828,#66125,.T.); #182838=EDGE_CURVE('',#149831,#149829,#66126,.T.); #182839=EDGE_CURVE('',#149830,#149831,#66127,.T.); #182840=EDGE_CURVE('',#149832,#149830,#66128,.T.); #182841=EDGE_CURVE('',#149833,#149831,#66129,.T.); #182842=EDGE_CURVE('',#149832,#149833,#66130,.T.); #182843=EDGE_CURVE('',#149834,#149832,#66131,.T.); #182844=EDGE_CURVE('',#149835,#149833,#66132,.T.); #182845=EDGE_CURVE('',#149834,#149835,#66133,.T.); #182846=EDGE_CURVE('',#149836,#149834,#66134,.T.); #182847=EDGE_CURVE('',#149837,#149835,#66135,.T.); #182848=EDGE_CURVE('',#149836,#149837,#66136,.T.); #182849=EDGE_CURVE('',#149838,#149836,#66137,.T.); #182850=EDGE_CURVE('',#149839,#149837,#66138,.T.); #182851=EDGE_CURVE('',#149838,#149839,#66139,.T.); #182852=EDGE_CURVE('',#149840,#149838,#66140,.T.); #182853=EDGE_CURVE('',#149841,#149839,#66141,.T.); #182854=EDGE_CURVE('',#149840,#149841,#66142,.T.); #182855=EDGE_CURVE('',#149842,#149840,#66143,.T.); #182856=EDGE_CURVE('',#149843,#149841,#66144,.T.); #182857=EDGE_CURVE('',#149842,#149843,#66145,.T.); #182858=EDGE_CURVE('',#149844,#149842,#66146,.T.); #182859=EDGE_CURVE('',#149845,#149843,#66147,.T.); #182860=EDGE_CURVE('',#149844,#149845,#66148,.T.); #182861=EDGE_CURVE('',#149846,#149844,#66149,.T.); #182862=EDGE_CURVE('',#149847,#149845,#66150,.T.); #182863=EDGE_CURVE('',#149846,#149847,#66151,.T.); #182864=EDGE_CURVE('',#149848,#149846,#66152,.T.); #182865=EDGE_CURVE('',#149849,#149847,#66153,.T.); #182866=EDGE_CURVE('',#149848,#149849,#66154,.T.); #182867=EDGE_CURVE('',#149850,#149848,#66155,.T.); #182868=EDGE_CURVE('',#149851,#149849,#66156,.T.); #182869=EDGE_CURVE('',#149850,#149851,#66157,.T.); #182870=EDGE_CURVE('',#149781,#149850,#66158,.T.); #182871=EDGE_CURVE('',#149782,#149851,#66159,.T.); #182872=EDGE_CURVE('',#149852,#149853,#66160,.T.); #182873=EDGE_CURVE('',#149853,#149854,#66161,.T.); #182874=EDGE_CURVE('',#149855,#149854,#66162,.T.); #182875=EDGE_CURVE('',#149852,#149855,#66163,.T.); #182876=EDGE_CURVE('',#149856,#149852,#66164,.T.); #182877=EDGE_CURVE('',#149857,#149855,#66165,.T.); #182878=EDGE_CURVE('',#149856,#149857,#66166,.T.); #182879=EDGE_CURVE('',#149858,#149856,#66167,.T.); #182880=EDGE_CURVE('',#149859,#149857,#66168,.T.); #182881=EDGE_CURVE('',#149858,#149859,#66169,.T.); #182882=EDGE_CURVE('',#149860,#149858,#66170,.T.); #182883=EDGE_CURVE('',#149861,#149859,#66171,.T.); #182884=EDGE_CURVE('',#149860,#149861,#66172,.T.); #182885=EDGE_CURVE('',#149862,#149860,#66173,.T.); #182886=EDGE_CURVE('',#149863,#149861,#66174,.T.); #182887=EDGE_CURVE('',#149862,#149863,#66175,.T.); #182888=EDGE_CURVE('',#149864,#149862,#66176,.T.); #182889=EDGE_CURVE('',#149865,#149863,#66177,.T.); #182890=EDGE_CURVE('',#149864,#149865,#66178,.T.); #182891=EDGE_CURVE('',#149866,#149864,#66179,.T.); #182892=EDGE_CURVE('',#149867,#149865,#66180,.T.); #182893=EDGE_CURVE('',#149866,#149867,#66181,.T.); #182894=EDGE_CURVE('',#149868,#149866,#66182,.T.); #182895=EDGE_CURVE('',#149869,#149867,#66183,.T.); #182896=EDGE_CURVE('',#149868,#149869,#66184,.T.); #182897=EDGE_CURVE('',#149870,#149868,#66185,.T.); #182898=EDGE_CURVE('',#149871,#149869,#66186,.T.); #182899=EDGE_CURVE('',#149870,#149871,#66187,.T.); #182900=EDGE_CURVE('',#149872,#149870,#66188,.T.); #182901=EDGE_CURVE('',#149873,#149871,#66189,.T.); #182902=EDGE_CURVE('',#149872,#149873,#66190,.T.); #182903=EDGE_CURVE('',#149874,#149872,#66191,.T.); #182904=EDGE_CURVE('',#149875,#149873,#66192,.T.); #182905=EDGE_CURVE('',#149874,#149875,#66193,.T.); #182906=EDGE_CURVE('',#149876,#149874,#66194,.T.); #182907=EDGE_CURVE('',#149877,#149875,#66195,.T.); #182908=EDGE_CURVE('',#149876,#149877,#66196,.T.); #182909=EDGE_CURVE('',#149878,#149876,#66197,.T.); #182910=EDGE_CURVE('',#149879,#149877,#66198,.T.); #182911=EDGE_CURVE('',#149878,#149879,#66199,.T.); #182912=EDGE_CURVE('',#149880,#149878,#66200,.T.); #182913=EDGE_CURVE('',#149881,#149879,#66201,.T.); #182914=EDGE_CURVE('',#149880,#149881,#66202,.T.); #182915=EDGE_CURVE('',#149882,#149880,#66203,.T.); #182916=EDGE_CURVE('',#149883,#149881,#66204,.T.); #182917=EDGE_CURVE('',#149882,#149883,#66205,.T.); #182918=EDGE_CURVE('',#149884,#149882,#66206,.T.); #182919=EDGE_CURVE('',#149885,#149883,#66207,.T.); #182920=EDGE_CURVE('',#149884,#149885,#66208,.T.); #182921=EDGE_CURVE('',#149886,#149884,#66209,.T.); #182922=EDGE_CURVE('',#149887,#149885,#66210,.T.); #182923=EDGE_CURVE('',#149886,#149887,#66211,.T.); #182924=EDGE_CURVE('',#149888,#149886,#66212,.T.); #182925=EDGE_CURVE('',#149889,#149887,#66213,.T.); #182926=EDGE_CURVE('',#149888,#149889,#66214,.T.); #182927=EDGE_CURVE('',#149890,#149888,#66215,.T.); #182928=EDGE_CURVE('',#149891,#149889,#66216,.T.); #182929=EDGE_CURVE('',#149890,#149891,#66217,.T.); #182930=EDGE_CURVE('',#149892,#149890,#66218,.T.); #182931=EDGE_CURVE('',#149893,#149891,#66219,.T.); #182932=EDGE_CURVE('',#149892,#149893,#66220,.T.); #182933=EDGE_CURVE('',#149894,#149892,#66221,.T.); #182934=EDGE_CURVE('',#149895,#149893,#66222,.T.); #182935=EDGE_CURVE('',#149894,#149895,#66223,.T.); #182936=EDGE_CURVE('',#149896,#149894,#66224,.T.); #182937=EDGE_CURVE('',#149897,#149895,#66225,.T.); #182938=EDGE_CURVE('',#149896,#149897,#66226,.T.); #182939=EDGE_CURVE('',#149898,#149896,#66227,.T.); #182940=EDGE_CURVE('',#149899,#149897,#66228,.T.); #182941=EDGE_CURVE('',#149898,#149899,#66229,.T.); #182942=EDGE_CURVE('',#149900,#149898,#66230,.T.); #182943=EDGE_CURVE('',#149901,#149899,#66231,.T.); #182944=EDGE_CURVE('',#149900,#149901,#66232,.T.); #182945=EDGE_CURVE('',#149902,#149900,#66233,.T.); #182946=EDGE_CURVE('',#149903,#149901,#66234,.T.); #182947=EDGE_CURVE('',#149902,#149903,#66235,.T.); #182948=EDGE_CURVE('',#149904,#149902,#66236,.T.); #182949=EDGE_CURVE('',#149905,#149903,#66237,.T.); #182950=EDGE_CURVE('',#149904,#149905,#66238,.T.); #182951=EDGE_CURVE('',#149906,#149904,#66239,.T.); #182952=EDGE_CURVE('',#149907,#149905,#66240,.T.); #182953=EDGE_CURVE('',#149906,#149907,#66241,.T.); #182954=EDGE_CURVE('',#149908,#149906,#66242,.T.); #182955=EDGE_CURVE('',#149909,#149907,#66243,.T.); #182956=EDGE_CURVE('',#149908,#149909,#66244,.T.); #182957=EDGE_CURVE('',#149910,#149908,#66245,.T.); #182958=EDGE_CURVE('',#149911,#149909,#66246,.T.); #182959=EDGE_CURVE('',#149910,#149911,#66247,.T.); #182960=EDGE_CURVE('',#149912,#149910,#66248,.T.); #182961=EDGE_CURVE('',#149913,#149911,#66249,.T.); #182962=EDGE_CURVE('',#149912,#149913,#66250,.T.); #182963=EDGE_CURVE('',#149914,#149912,#66251,.T.); #182964=EDGE_CURVE('',#149915,#149913,#66252,.T.); #182965=EDGE_CURVE('',#149914,#149915,#66253,.T.); #182966=EDGE_CURVE('',#149916,#149914,#66254,.T.); #182967=EDGE_CURVE('',#149917,#149915,#66255,.T.); #182968=EDGE_CURVE('',#149916,#149917,#66256,.T.); #182969=EDGE_CURVE('',#149918,#149916,#66257,.T.); #182970=EDGE_CURVE('',#149919,#149917,#66258,.T.); #182971=EDGE_CURVE('',#149918,#149919,#66259,.T.); #182972=EDGE_CURVE('',#149920,#149918,#66260,.T.); #182973=EDGE_CURVE('',#149921,#149919,#66261,.T.); #182974=EDGE_CURVE('',#149920,#149921,#66262,.T.); #182975=EDGE_CURVE('',#149922,#149920,#66263,.T.); #182976=EDGE_CURVE('',#149923,#149921,#66264,.T.); #182977=EDGE_CURVE('',#149922,#149923,#66265,.T.); #182978=EDGE_CURVE('',#149924,#149922,#66266,.T.); #182979=EDGE_CURVE('',#149925,#149923,#66267,.T.); #182980=EDGE_CURVE('',#149924,#149925,#66268,.T.); #182981=EDGE_CURVE('',#149926,#149924,#66269,.T.); #182982=EDGE_CURVE('',#149927,#149925,#66270,.T.); #182983=EDGE_CURVE('',#149926,#149927,#66271,.T.); #182984=EDGE_CURVE('',#149928,#149926,#66272,.T.); #182985=EDGE_CURVE('',#149929,#149927,#66273,.T.); #182986=EDGE_CURVE('',#149928,#149929,#66274,.T.); #182987=EDGE_CURVE('',#149930,#149928,#66275,.T.); #182988=EDGE_CURVE('',#149931,#149929,#66276,.T.); #182989=EDGE_CURVE('',#149930,#149931,#66277,.T.); #182990=EDGE_CURVE('',#149932,#149930,#66278,.T.); #182991=EDGE_CURVE('',#149933,#149931,#66279,.T.); #182992=EDGE_CURVE('',#149932,#149933,#66280,.T.); #182993=EDGE_CURVE('',#149934,#149932,#66281,.T.); #182994=EDGE_CURVE('',#149935,#149933,#66282,.T.); #182995=EDGE_CURVE('',#149934,#149935,#66283,.T.); #182996=EDGE_CURVE('',#149936,#149934,#66284,.T.); #182997=EDGE_CURVE('',#149937,#149935,#66285,.T.); #182998=EDGE_CURVE('',#149936,#149937,#66286,.T.); #182999=EDGE_CURVE('',#149938,#149936,#66287,.T.); #183000=EDGE_CURVE('',#149939,#149937,#66288,.T.); #183001=EDGE_CURVE('',#149938,#149939,#66289,.T.); #183002=EDGE_CURVE('',#149940,#149938,#66290,.T.); #183003=EDGE_CURVE('',#149941,#149939,#66291,.T.); #183004=EDGE_CURVE('',#149940,#149941,#66292,.T.); #183005=EDGE_CURVE('',#149942,#149940,#66293,.T.); #183006=EDGE_CURVE('',#149943,#149941,#66294,.T.); #183007=EDGE_CURVE('',#149942,#149943,#66295,.T.); #183008=EDGE_CURVE('',#149944,#149942,#66296,.T.); #183009=EDGE_CURVE('',#149945,#149943,#66297,.T.); #183010=EDGE_CURVE('',#149944,#149945,#66298,.T.); #183011=EDGE_CURVE('',#149946,#149944,#66299,.T.); #183012=EDGE_CURVE('',#149947,#149945,#66300,.T.); #183013=EDGE_CURVE('',#149946,#149947,#66301,.T.); #183014=EDGE_CURVE('',#149948,#149946,#66302,.T.); #183015=EDGE_CURVE('',#149949,#149947,#66303,.T.); #183016=EDGE_CURVE('',#149948,#149949,#66304,.T.); #183017=EDGE_CURVE('',#149950,#149948,#66305,.T.); #183018=EDGE_CURVE('',#149951,#149949,#66306,.T.); #183019=EDGE_CURVE('',#149950,#149951,#66307,.T.); #183020=EDGE_CURVE('',#149952,#149950,#66308,.T.); #183021=EDGE_CURVE('',#149953,#149951,#66309,.T.); #183022=EDGE_CURVE('',#149952,#149953,#66310,.T.); #183023=EDGE_CURVE('',#149954,#149952,#66311,.T.); #183024=EDGE_CURVE('',#149955,#149953,#66312,.T.); #183025=EDGE_CURVE('',#149954,#149955,#66313,.T.); #183026=EDGE_CURVE('',#149956,#149954,#66314,.T.); #183027=EDGE_CURVE('',#149957,#149955,#66315,.T.); #183028=EDGE_CURVE('',#149956,#149957,#66316,.T.); #183029=EDGE_CURVE('',#149958,#149956,#66317,.T.); #183030=EDGE_CURVE('',#149959,#149957,#66318,.T.); #183031=EDGE_CURVE('',#149958,#149959,#66319,.T.); #183032=EDGE_CURVE('',#149960,#149958,#66320,.T.); #183033=EDGE_CURVE('',#149961,#149959,#66321,.T.); #183034=EDGE_CURVE('',#149960,#149961,#66322,.T.); #183035=EDGE_CURVE('',#149962,#149960,#66323,.T.); #183036=EDGE_CURVE('',#149963,#149961,#66324,.T.); #183037=EDGE_CURVE('',#149962,#149963,#66325,.T.); #183038=EDGE_CURVE('',#149964,#149962,#66326,.T.); #183039=EDGE_CURVE('',#149965,#149963,#66327,.T.); #183040=EDGE_CURVE('',#149964,#149965,#66328,.T.); #183041=EDGE_CURVE('',#149966,#149964,#66329,.T.); #183042=EDGE_CURVE('',#149967,#149965,#66330,.T.); #183043=EDGE_CURVE('',#149966,#149967,#66331,.T.); #183044=EDGE_CURVE('',#149968,#149966,#66332,.T.); #183045=EDGE_CURVE('',#149969,#149967,#66333,.T.); #183046=EDGE_CURVE('',#149968,#149969,#66334,.T.); #183047=EDGE_CURVE('',#149970,#149968,#66335,.T.); #183048=EDGE_CURVE('',#149971,#149969,#66336,.T.); #183049=EDGE_CURVE('',#149970,#149971,#66337,.T.); #183050=EDGE_CURVE('',#149972,#149970,#66338,.T.); #183051=EDGE_CURVE('',#149973,#149971,#66339,.T.); #183052=EDGE_CURVE('',#149972,#149973,#66340,.T.); #183053=EDGE_CURVE('',#149974,#149972,#66341,.T.); #183054=EDGE_CURVE('',#149975,#149973,#66342,.T.); #183055=EDGE_CURVE('',#149974,#149975,#66343,.T.); #183056=EDGE_CURVE('',#149976,#149974,#66344,.T.); #183057=EDGE_CURVE('',#149977,#149975,#66345,.T.); #183058=EDGE_CURVE('',#149976,#149977,#66346,.T.); #183059=EDGE_CURVE('',#149978,#149976,#66347,.T.); #183060=EDGE_CURVE('',#149979,#149977,#66348,.T.); #183061=EDGE_CURVE('',#149978,#149979,#66349,.T.); #183062=EDGE_CURVE('',#149980,#149978,#66350,.T.); #183063=EDGE_CURVE('',#149981,#149979,#66351,.T.); #183064=EDGE_CURVE('',#149980,#149981,#66352,.T.); #183065=EDGE_CURVE('',#149982,#149980,#66353,.T.); #183066=EDGE_CURVE('',#149983,#149981,#66354,.T.); #183067=EDGE_CURVE('',#149982,#149983,#66355,.T.); #183068=EDGE_CURVE('',#149984,#149982,#66356,.T.); #183069=EDGE_CURVE('',#149985,#149983,#66357,.T.); #183070=EDGE_CURVE('',#149984,#149985,#66358,.T.); #183071=EDGE_CURVE('',#149986,#149984,#66359,.T.); #183072=EDGE_CURVE('',#149987,#149985,#66360,.T.); #183073=EDGE_CURVE('',#149986,#149987,#66361,.T.); #183074=EDGE_CURVE('',#149988,#149986,#66362,.T.); #183075=EDGE_CURVE('',#149989,#149987,#66363,.T.); #183076=EDGE_CURVE('',#149988,#149989,#66364,.T.); #183077=EDGE_CURVE('',#149990,#149988,#66365,.T.); #183078=EDGE_CURVE('',#149991,#149989,#66366,.T.); #183079=EDGE_CURVE('',#149990,#149991,#66367,.T.); #183080=EDGE_CURVE('',#149992,#149990,#66368,.T.); #183081=EDGE_CURVE('',#149993,#149991,#66369,.T.); #183082=EDGE_CURVE('',#149992,#149993,#66370,.T.); #183083=EDGE_CURVE('',#149994,#149992,#66371,.T.); #183084=EDGE_CURVE('',#149995,#149993,#66372,.T.); #183085=EDGE_CURVE('',#149994,#149995,#66373,.T.); #183086=EDGE_CURVE('',#149996,#149994,#66374,.T.); #183087=EDGE_CURVE('',#149997,#149995,#66375,.T.); #183088=EDGE_CURVE('',#149996,#149997,#66376,.T.); #183089=EDGE_CURVE('',#149998,#149996,#66377,.T.); #183090=EDGE_CURVE('',#149999,#149997,#66378,.T.); #183091=EDGE_CURVE('',#149998,#149999,#66379,.T.); #183092=EDGE_CURVE('',#150000,#149998,#66380,.T.); #183093=EDGE_CURVE('',#150001,#149999,#66381,.T.); #183094=EDGE_CURVE('',#150000,#150001,#66382,.T.); #183095=EDGE_CURVE('',#150002,#150000,#66383,.T.); #183096=EDGE_CURVE('',#150003,#150001,#66384,.T.); #183097=EDGE_CURVE('',#150002,#150003,#66385,.T.); #183098=EDGE_CURVE('',#150004,#150002,#66386,.T.); #183099=EDGE_CURVE('',#150005,#150003,#66387,.T.); #183100=EDGE_CURVE('',#150004,#150005,#66388,.T.); #183101=EDGE_CURVE('',#150006,#150004,#66389,.T.); #183102=EDGE_CURVE('',#150007,#150005,#66390,.T.); #183103=EDGE_CURVE('',#150006,#150007,#66391,.T.); #183104=EDGE_CURVE('',#150008,#150006,#66392,.T.); #183105=EDGE_CURVE('',#150009,#150007,#66393,.T.); #183106=EDGE_CURVE('',#150008,#150009,#66394,.T.); #183107=EDGE_CURVE('',#150010,#150008,#66395,.T.); #183108=EDGE_CURVE('',#150011,#150009,#66396,.T.); #183109=EDGE_CURVE('',#150010,#150011,#66397,.T.); #183110=EDGE_CURVE('',#150012,#150010,#66398,.T.); #183111=EDGE_CURVE('',#150013,#150011,#66399,.T.); #183112=EDGE_CURVE('',#150012,#150013,#66400,.T.); #183113=EDGE_CURVE('',#150014,#150012,#66401,.T.); #183114=EDGE_CURVE('',#150015,#150013,#66402,.T.); #183115=EDGE_CURVE('',#150014,#150015,#66403,.T.); #183116=EDGE_CURVE('',#150016,#150014,#66404,.T.); #183117=EDGE_CURVE('',#150017,#150015,#66405,.T.); #183118=EDGE_CURVE('',#150016,#150017,#66406,.T.); #183119=EDGE_CURVE('',#150018,#150016,#66407,.T.); #183120=EDGE_CURVE('',#150019,#150017,#66408,.T.); #183121=EDGE_CURVE('',#150018,#150019,#66409,.T.); #183122=EDGE_CURVE('',#150020,#150018,#66410,.T.); #183123=EDGE_CURVE('',#150021,#150019,#66411,.T.); #183124=EDGE_CURVE('',#150020,#150021,#66412,.T.); #183125=EDGE_CURVE('',#150022,#150020,#66413,.T.); #183126=EDGE_CURVE('',#150023,#150021,#66414,.T.); #183127=EDGE_CURVE('',#150022,#150023,#66415,.T.); #183128=EDGE_CURVE('',#150024,#150022,#66416,.T.); #183129=EDGE_CURVE('',#150025,#150023,#66417,.T.); #183130=EDGE_CURVE('',#150024,#150025,#66418,.T.); #183131=EDGE_CURVE('',#150026,#150024,#66419,.T.); #183132=EDGE_CURVE('',#150027,#150025,#66420,.T.); #183133=EDGE_CURVE('',#150026,#150027,#66421,.T.); #183134=EDGE_CURVE('',#150028,#150026,#66422,.T.); #183135=EDGE_CURVE('',#150029,#150027,#66423,.T.); #183136=EDGE_CURVE('',#150028,#150029,#66424,.T.); #183137=EDGE_CURVE('',#150030,#150028,#66425,.T.); #183138=EDGE_CURVE('',#150031,#150029,#66426,.T.); #183139=EDGE_CURVE('',#150030,#150031,#66427,.T.); #183140=EDGE_CURVE('',#150032,#150030,#66428,.T.); #183141=EDGE_CURVE('',#150033,#150031,#66429,.T.); #183142=EDGE_CURVE('',#150032,#150033,#66430,.T.); #183143=EDGE_CURVE('',#150034,#150032,#66431,.T.); #183144=EDGE_CURVE('',#150035,#150033,#66432,.T.); #183145=EDGE_CURVE('',#150034,#150035,#66433,.T.); #183146=EDGE_CURVE('',#150036,#150034,#66434,.T.); #183147=EDGE_CURVE('',#150037,#150035,#66435,.T.); #183148=EDGE_CURVE('',#150036,#150037,#66436,.T.); #183149=EDGE_CURVE('',#150038,#150036,#66437,.T.); #183150=EDGE_CURVE('',#150039,#150037,#66438,.T.); #183151=EDGE_CURVE('',#150038,#150039,#66439,.T.); #183152=EDGE_CURVE('',#150040,#150038,#66440,.T.); #183153=EDGE_CURVE('',#150041,#150039,#66441,.T.); #183154=EDGE_CURVE('',#150040,#150041,#66442,.T.); #183155=EDGE_CURVE('',#150042,#150040,#66443,.T.); #183156=EDGE_CURVE('',#150043,#150041,#66444,.T.); #183157=EDGE_CURVE('',#150042,#150043,#66445,.T.); #183158=EDGE_CURVE('',#150044,#150042,#66446,.T.); #183159=EDGE_CURVE('',#150045,#150043,#66447,.T.); #183160=EDGE_CURVE('',#150044,#150045,#66448,.T.); #183161=EDGE_CURVE('',#150046,#150044,#66449,.T.); #183162=EDGE_CURVE('',#150047,#150045,#66450,.T.); #183163=EDGE_CURVE('',#150046,#150047,#66451,.T.); #183164=EDGE_CURVE('',#150048,#150046,#66452,.T.); #183165=EDGE_CURVE('',#150049,#150047,#66453,.T.); #183166=EDGE_CURVE('',#150048,#150049,#66454,.T.); #183167=EDGE_CURVE('',#150050,#150048,#66455,.T.); #183168=EDGE_CURVE('',#150051,#150049,#66456,.T.); #183169=EDGE_CURVE('',#150050,#150051,#66457,.T.); #183170=EDGE_CURVE('',#150052,#150050,#66458,.T.); #183171=EDGE_CURVE('',#150053,#150051,#66459,.T.); #183172=EDGE_CURVE('',#150052,#150053,#66460,.T.); #183173=EDGE_CURVE('',#150054,#150052,#66461,.T.); #183174=EDGE_CURVE('',#150055,#150053,#66462,.T.); #183175=EDGE_CURVE('',#150054,#150055,#66463,.T.); #183176=EDGE_CURVE('',#150056,#150054,#66464,.T.); #183177=EDGE_CURVE('',#150057,#150055,#66465,.T.); #183178=EDGE_CURVE('',#150056,#150057,#66466,.T.); #183179=EDGE_CURVE('',#150058,#150056,#66467,.T.); #183180=EDGE_CURVE('',#150059,#150057,#66468,.T.); #183181=EDGE_CURVE('',#150058,#150059,#66469,.T.); #183182=EDGE_CURVE('',#150060,#150058,#66470,.T.); #183183=EDGE_CURVE('',#150061,#150059,#66471,.T.); #183184=EDGE_CURVE('',#150060,#150061,#66472,.T.); #183185=EDGE_CURVE('',#150062,#150060,#66473,.T.); #183186=EDGE_CURVE('',#150063,#150061,#66474,.T.); #183187=EDGE_CURVE('',#150062,#150063,#66475,.T.); #183188=EDGE_CURVE('',#150064,#150062,#66476,.T.); #183189=EDGE_CURVE('',#150065,#150063,#66477,.T.); #183190=EDGE_CURVE('',#150064,#150065,#66478,.T.); #183191=EDGE_CURVE('',#150066,#150064,#66479,.T.); #183192=EDGE_CURVE('',#150067,#150065,#66480,.T.); #183193=EDGE_CURVE('',#150066,#150067,#66481,.T.); #183194=EDGE_CURVE('',#150068,#150066,#66482,.T.); #183195=EDGE_CURVE('',#150069,#150067,#66483,.T.); #183196=EDGE_CURVE('',#150068,#150069,#66484,.T.); #183197=EDGE_CURVE('',#150070,#150068,#66485,.T.); #183198=EDGE_CURVE('',#150071,#150069,#66486,.T.); #183199=EDGE_CURVE('',#150070,#150071,#66487,.T.); #183200=EDGE_CURVE('',#150072,#150070,#66488,.T.); #183201=EDGE_CURVE('',#150073,#150071,#66489,.T.); #183202=EDGE_CURVE('',#150072,#150073,#66490,.T.); #183203=EDGE_CURVE('',#150074,#150072,#66491,.T.); #183204=EDGE_CURVE('',#150075,#150073,#66492,.T.); #183205=EDGE_CURVE('',#150074,#150075,#66493,.T.); #183206=EDGE_CURVE('',#150076,#150074,#66494,.T.); #183207=EDGE_CURVE('',#150077,#150075,#66495,.T.); #183208=EDGE_CURVE('',#150076,#150077,#66496,.T.); #183209=EDGE_CURVE('',#150078,#150076,#66497,.T.); #183210=EDGE_CURVE('',#150079,#150077,#66498,.T.); #183211=EDGE_CURVE('',#150078,#150079,#66499,.T.); #183212=EDGE_CURVE('',#150080,#150078,#66500,.T.); #183213=EDGE_CURVE('',#150081,#150079,#66501,.T.); #183214=EDGE_CURVE('',#150080,#150081,#66502,.T.); #183215=EDGE_CURVE('',#150082,#150080,#66503,.T.); #183216=EDGE_CURVE('',#150083,#150081,#66504,.T.); #183217=EDGE_CURVE('',#150082,#150083,#66505,.T.); #183218=EDGE_CURVE('',#150084,#150082,#66506,.T.); #183219=EDGE_CURVE('',#150085,#150083,#66507,.T.); #183220=EDGE_CURVE('',#150084,#150085,#66508,.T.); #183221=EDGE_CURVE('',#150086,#150084,#66509,.T.); #183222=EDGE_CURVE('',#150087,#150085,#66510,.T.); #183223=EDGE_CURVE('',#150086,#150087,#66511,.T.); #183224=EDGE_CURVE('',#150088,#150086,#66512,.T.); #183225=EDGE_CURVE('',#150089,#150087,#66513,.T.); #183226=EDGE_CURVE('',#150088,#150089,#66514,.T.); #183227=EDGE_CURVE('',#150090,#150088,#66515,.T.); #183228=EDGE_CURVE('',#150091,#150089,#66516,.T.); #183229=EDGE_CURVE('',#150090,#150091,#66517,.T.); #183230=EDGE_CURVE('',#150092,#150090,#66518,.T.); #183231=EDGE_CURVE('',#150093,#150091,#66519,.T.); #183232=EDGE_CURVE('',#150092,#150093,#66520,.T.); #183233=EDGE_CURVE('',#150094,#150092,#66521,.T.); #183234=EDGE_CURVE('',#150095,#150093,#66522,.T.); #183235=EDGE_CURVE('',#150094,#150095,#66523,.T.); #183236=EDGE_CURVE('',#150096,#150094,#66524,.T.); #183237=EDGE_CURVE('',#150097,#150095,#66525,.T.); #183238=EDGE_CURVE('',#150096,#150097,#66526,.T.); #183239=EDGE_CURVE('',#150098,#150096,#66527,.T.); #183240=EDGE_CURVE('',#150099,#150097,#66528,.T.); #183241=EDGE_CURVE('',#150098,#150099,#66529,.T.); #183242=EDGE_CURVE('',#150100,#150098,#66530,.T.); #183243=EDGE_CURVE('',#150101,#150099,#66531,.T.); #183244=EDGE_CURVE('',#150100,#150101,#66532,.T.); #183245=EDGE_CURVE('',#150102,#150100,#66533,.T.); #183246=EDGE_CURVE('',#150103,#150101,#66534,.T.); #183247=EDGE_CURVE('',#150102,#150103,#66535,.T.); #183248=EDGE_CURVE('',#150104,#150102,#66536,.T.); #183249=EDGE_CURVE('',#150105,#150103,#66537,.T.); #183250=EDGE_CURVE('',#150104,#150105,#66538,.T.); #183251=EDGE_CURVE('',#150106,#150104,#66539,.T.); #183252=EDGE_CURVE('',#150107,#150105,#66540,.T.); #183253=EDGE_CURVE('',#150106,#150107,#66541,.T.); #183254=EDGE_CURVE('',#150108,#150106,#66542,.T.); #183255=EDGE_CURVE('',#150109,#150107,#66543,.T.); #183256=EDGE_CURVE('',#150108,#150109,#66544,.T.); #183257=EDGE_CURVE('',#150110,#150108,#66545,.T.); #183258=EDGE_CURVE('',#150111,#150109,#66546,.T.); #183259=EDGE_CURVE('',#150110,#150111,#66547,.T.); #183260=EDGE_CURVE('',#150112,#150110,#66548,.T.); #183261=EDGE_CURVE('',#150113,#150111,#66549,.T.); #183262=EDGE_CURVE('',#150112,#150113,#66550,.T.); #183263=EDGE_CURVE('',#150114,#150112,#66551,.T.); #183264=EDGE_CURVE('',#150115,#150113,#66552,.T.); #183265=EDGE_CURVE('',#150114,#150115,#66553,.T.); #183266=EDGE_CURVE('',#150116,#150114,#66554,.T.); #183267=EDGE_CURVE('',#150117,#150115,#66555,.T.); #183268=EDGE_CURVE('',#150116,#150117,#66556,.T.); #183269=EDGE_CURVE('',#150118,#150116,#66557,.T.); #183270=EDGE_CURVE('',#150119,#150117,#66558,.T.); #183271=EDGE_CURVE('',#150118,#150119,#66559,.T.); #183272=EDGE_CURVE('',#150120,#150118,#66560,.T.); #183273=EDGE_CURVE('',#150121,#150119,#66561,.T.); #183274=EDGE_CURVE('',#150120,#150121,#66562,.T.); #183275=EDGE_CURVE('',#150122,#150120,#66563,.T.); #183276=EDGE_CURVE('',#150123,#150121,#66564,.T.); #183277=EDGE_CURVE('',#150122,#150123,#66565,.T.); #183278=EDGE_CURVE('',#150124,#150122,#66566,.T.); #183279=EDGE_CURVE('',#150125,#150123,#66567,.T.); #183280=EDGE_CURVE('',#150124,#150125,#66568,.T.); #183281=EDGE_CURVE('',#150126,#150124,#66569,.T.); #183282=EDGE_CURVE('',#150127,#150125,#66570,.T.); #183283=EDGE_CURVE('',#150126,#150127,#66571,.T.); #183284=EDGE_CURVE('',#150128,#150126,#66572,.T.); #183285=EDGE_CURVE('',#150129,#150127,#66573,.T.); #183286=EDGE_CURVE('',#150128,#150129,#66574,.T.); #183287=EDGE_CURVE('',#150130,#150128,#66575,.T.); #183288=EDGE_CURVE('',#150131,#150129,#66576,.T.); #183289=EDGE_CURVE('',#150130,#150131,#66577,.T.); #183290=EDGE_CURVE('',#150132,#150130,#66578,.T.); #183291=EDGE_CURVE('',#150133,#150131,#66579,.T.); #183292=EDGE_CURVE('',#150132,#150133,#66580,.T.); #183293=EDGE_CURVE('',#150134,#150132,#66581,.T.); #183294=EDGE_CURVE('',#150135,#150133,#66582,.T.); #183295=EDGE_CURVE('',#150134,#150135,#66583,.T.); #183296=EDGE_CURVE('',#150136,#150134,#66584,.T.); #183297=EDGE_CURVE('',#150137,#150135,#66585,.T.); #183298=EDGE_CURVE('',#150136,#150137,#66586,.T.); #183299=EDGE_CURVE('',#150138,#150136,#66587,.T.); #183300=EDGE_CURVE('',#150139,#150137,#66588,.T.); #183301=EDGE_CURVE('',#150138,#150139,#66589,.T.); #183302=EDGE_CURVE('',#150140,#150138,#66590,.T.); #183303=EDGE_CURVE('',#150141,#150139,#66591,.T.); #183304=EDGE_CURVE('',#150140,#150141,#66592,.T.); #183305=EDGE_CURVE('',#150142,#150140,#66593,.T.); #183306=EDGE_CURVE('',#150143,#150141,#66594,.T.); #183307=EDGE_CURVE('',#150142,#150143,#66595,.T.); #183308=EDGE_CURVE('',#150144,#150142,#66596,.T.); #183309=EDGE_CURVE('',#150145,#150143,#66597,.T.); #183310=EDGE_CURVE('',#150144,#150145,#66598,.T.); #183311=EDGE_CURVE('',#150146,#150144,#66599,.T.); #183312=EDGE_CURVE('',#150147,#150145,#66600,.T.); #183313=EDGE_CURVE('',#150146,#150147,#66601,.T.); #183314=EDGE_CURVE('',#150148,#150146,#66602,.T.); #183315=EDGE_CURVE('',#150149,#150147,#66603,.T.); #183316=EDGE_CURVE('',#150148,#150149,#66604,.T.); #183317=EDGE_CURVE('',#150150,#150148,#66605,.T.); #183318=EDGE_CURVE('',#150151,#150149,#66606,.T.); #183319=EDGE_CURVE('',#150150,#150151,#66607,.T.); #183320=EDGE_CURVE('',#150152,#150150,#66608,.T.); #183321=EDGE_CURVE('',#150153,#150151,#66609,.T.); #183322=EDGE_CURVE('',#150152,#150153,#66610,.T.); #183323=EDGE_CURVE('',#150154,#150152,#66611,.T.); #183324=EDGE_CURVE('',#150155,#150153,#66612,.T.); #183325=EDGE_CURVE('',#150154,#150155,#66613,.T.); #183326=EDGE_CURVE('',#150156,#150154,#66614,.T.); #183327=EDGE_CURVE('',#150157,#150155,#66615,.T.); #183328=EDGE_CURVE('',#150156,#150157,#66616,.T.); #183329=EDGE_CURVE('',#150158,#150156,#66617,.T.); #183330=EDGE_CURVE('',#150159,#150157,#66618,.T.); #183331=EDGE_CURVE('',#150158,#150159,#66619,.T.); #183332=EDGE_CURVE('',#150160,#150158,#66620,.T.); #183333=EDGE_CURVE('',#150161,#150159,#66621,.T.); #183334=EDGE_CURVE('',#150160,#150161,#66622,.T.); #183335=EDGE_CURVE('',#150162,#150160,#66623,.T.); #183336=EDGE_CURVE('',#150163,#150161,#66624,.T.); #183337=EDGE_CURVE('',#150162,#150163,#66625,.T.); #183338=EDGE_CURVE('',#150164,#150162,#66626,.T.); #183339=EDGE_CURVE('',#150165,#150163,#66627,.T.); #183340=EDGE_CURVE('',#150164,#150165,#66628,.T.); #183341=EDGE_CURVE('',#150166,#150164,#66629,.T.); #183342=EDGE_CURVE('',#150167,#150165,#66630,.T.); #183343=EDGE_CURVE('',#150166,#150167,#66631,.T.); #183344=EDGE_CURVE('',#150168,#150166,#66632,.T.); #183345=EDGE_CURVE('',#150169,#150167,#66633,.T.); #183346=EDGE_CURVE('',#150168,#150169,#66634,.T.); #183347=EDGE_CURVE('',#150170,#150168,#66635,.T.); #183348=EDGE_CURVE('',#150171,#150169,#66636,.T.); #183349=EDGE_CURVE('',#150170,#150171,#66637,.T.); #183350=EDGE_CURVE('',#150172,#150170,#66638,.T.); #183351=EDGE_CURVE('',#150173,#150171,#66639,.T.); #183352=EDGE_CURVE('',#150172,#150173,#66640,.T.); #183353=EDGE_CURVE('',#150174,#150172,#66641,.T.); #183354=EDGE_CURVE('',#150175,#150173,#66642,.T.); #183355=EDGE_CURVE('',#150174,#150175,#66643,.T.); #183356=EDGE_CURVE('',#150176,#150174,#66644,.T.); #183357=EDGE_CURVE('',#150177,#150175,#66645,.T.); #183358=EDGE_CURVE('',#150176,#150177,#66646,.T.); #183359=EDGE_CURVE('',#150178,#150176,#66647,.T.); #183360=EDGE_CURVE('',#150179,#150177,#66648,.T.); #183361=EDGE_CURVE('',#150178,#150179,#66649,.T.); #183362=EDGE_CURVE('',#150180,#150178,#66650,.T.); #183363=EDGE_CURVE('',#150181,#150179,#66651,.T.); #183364=EDGE_CURVE('',#150180,#150181,#66652,.T.); #183365=EDGE_CURVE('',#150182,#150180,#66653,.T.); #183366=EDGE_CURVE('',#150183,#150181,#66654,.T.); #183367=EDGE_CURVE('',#150182,#150183,#66655,.T.); #183368=EDGE_CURVE('',#150184,#150182,#66656,.T.); #183369=EDGE_CURVE('',#150185,#150183,#66657,.T.); #183370=EDGE_CURVE('',#150184,#150185,#66658,.T.); #183371=EDGE_CURVE('',#150186,#150184,#66659,.T.); #183372=EDGE_CURVE('',#150187,#150185,#66660,.T.); #183373=EDGE_CURVE('',#150186,#150187,#66661,.T.); #183374=EDGE_CURVE('',#150188,#150186,#66662,.T.); #183375=EDGE_CURVE('',#150189,#150187,#66663,.T.); #183376=EDGE_CURVE('',#150188,#150189,#66664,.T.); #183377=EDGE_CURVE('',#150190,#150188,#66665,.T.); #183378=EDGE_CURVE('',#150191,#150189,#66666,.T.); #183379=EDGE_CURVE('',#150190,#150191,#66667,.T.); #183380=EDGE_CURVE('',#150192,#150190,#66668,.T.); #183381=EDGE_CURVE('',#150193,#150191,#66669,.T.); #183382=EDGE_CURVE('',#150192,#150193,#66670,.T.); #183383=EDGE_CURVE('',#150194,#150192,#66671,.T.); #183384=EDGE_CURVE('',#150195,#150193,#66672,.T.); #183385=EDGE_CURVE('',#150194,#150195,#66673,.T.); #183386=EDGE_CURVE('',#150196,#150194,#66674,.T.); #183387=EDGE_CURVE('',#150197,#150195,#66675,.T.); #183388=EDGE_CURVE('',#150196,#150197,#66676,.T.); #183389=EDGE_CURVE('',#150198,#150196,#66677,.T.); #183390=EDGE_CURVE('',#150199,#150197,#66678,.T.); #183391=EDGE_CURVE('',#150198,#150199,#66679,.T.); #183392=EDGE_CURVE('',#150200,#150198,#66680,.T.); #183393=EDGE_CURVE('',#150201,#150199,#66681,.T.); #183394=EDGE_CURVE('',#150200,#150201,#66682,.T.); #183395=EDGE_CURVE('',#150202,#150200,#66683,.T.); #183396=EDGE_CURVE('',#150203,#150201,#66684,.T.); #183397=EDGE_CURVE('',#150202,#150203,#66685,.T.); #183398=EDGE_CURVE('',#150204,#150202,#66686,.T.); #183399=EDGE_CURVE('',#150205,#150203,#66687,.T.); #183400=EDGE_CURVE('',#150204,#150205,#66688,.T.); #183401=EDGE_CURVE('',#150206,#150204,#66689,.T.); #183402=EDGE_CURVE('',#150207,#150205,#66690,.T.); #183403=EDGE_CURVE('',#150206,#150207,#66691,.T.); #183404=EDGE_CURVE('',#150208,#150206,#66692,.T.); #183405=EDGE_CURVE('',#150209,#150207,#66693,.T.); #183406=EDGE_CURVE('',#150208,#150209,#66694,.T.); #183407=EDGE_CURVE('',#150210,#150208,#66695,.T.); #183408=EDGE_CURVE('',#150211,#150209,#66696,.T.); #183409=EDGE_CURVE('',#150210,#150211,#66697,.T.); #183410=EDGE_CURVE('',#150212,#150210,#66698,.T.); #183411=EDGE_CURVE('',#150213,#150211,#66699,.T.); #183412=EDGE_CURVE('',#150212,#150213,#66700,.T.); #183413=EDGE_CURVE('',#150214,#150212,#66701,.T.); #183414=EDGE_CURVE('',#150215,#150213,#66702,.T.); #183415=EDGE_CURVE('',#150214,#150215,#66703,.T.); #183416=EDGE_CURVE('',#150216,#150214,#66704,.T.); #183417=EDGE_CURVE('',#150217,#150215,#66705,.T.); #183418=EDGE_CURVE('',#150216,#150217,#66706,.T.); #183419=EDGE_CURVE('',#150218,#150216,#66707,.T.); #183420=EDGE_CURVE('',#150219,#150217,#66708,.T.); #183421=EDGE_CURVE('',#150218,#150219,#66709,.T.); #183422=EDGE_CURVE('',#150220,#150218,#66710,.T.); #183423=EDGE_CURVE('',#150221,#150219,#66711,.T.); #183424=EDGE_CURVE('',#150220,#150221,#66712,.T.); #183425=EDGE_CURVE('',#150222,#150220,#66713,.T.); #183426=EDGE_CURVE('',#150223,#150221,#66714,.T.); #183427=EDGE_CURVE('',#150222,#150223,#66715,.T.); #183428=EDGE_CURVE('',#150224,#150222,#66716,.T.); #183429=EDGE_CURVE('',#150225,#150223,#66717,.T.); #183430=EDGE_CURVE('',#150224,#150225,#66718,.T.); #183431=EDGE_CURVE('',#150226,#150224,#66719,.T.); #183432=EDGE_CURVE('',#150227,#150225,#66720,.T.); #183433=EDGE_CURVE('',#150226,#150227,#66721,.T.); #183434=EDGE_CURVE('',#150228,#150226,#66722,.T.); #183435=EDGE_CURVE('',#150229,#150227,#66723,.T.); #183436=EDGE_CURVE('',#150228,#150229,#66724,.T.); #183437=EDGE_CURVE('',#150230,#150228,#66725,.T.); #183438=EDGE_CURVE('',#150231,#150229,#66726,.T.); #183439=EDGE_CURVE('',#150230,#150231,#66727,.T.); #183440=EDGE_CURVE('',#150232,#150230,#66728,.T.); #183441=EDGE_CURVE('',#150233,#150231,#66729,.T.); #183442=EDGE_CURVE('',#150232,#150233,#66730,.T.); #183443=EDGE_CURVE('',#150234,#150232,#66731,.T.); #183444=EDGE_CURVE('',#150235,#150233,#66732,.T.); #183445=EDGE_CURVE('',#150234,#150235,#66733,.T.); #183446=EDGE_CURVE('',#150236,#150234,#66734,.T.); #183447=EDGE_CURVE('',#150237,#150235,#66735,.T.); #183448=EDGE_CURVE('',#150236,#150237,#66736,.T.); #183449=EDGE_CURVE('',#150238,#150236,#66737,.T.); #183450=EDGE_CURVE('',#150239,#150237,#66738,.T.); #183451=EDGE_CURVE('',#150238,#150239,#66739,.T.); #183452=EDGE_CURVE('',#150240,#150238,#66740,.T.); #183453=EDGE_CURVE('',#150241,#150239,#66741,.T.); #183454=EDGE_CURVE('',#150240,#150241,#66742,.T.); #183455=EDGE_CURVE('',#150242,#150240,#66743,.T.); #183456=EDGE_CURVE('',#150243,#150241,#66744,.T.); #183457=EDGE_CURVE('',#150242,#150243,#66745,.T.); #183458=EDGE_CURVE('',#150244,#150242,#66746,.T.); #183459=EDGE_CURVE('',#150245,#150243,#66747,.T.); #183460=EDGE_CURVE('',#150244,#150245,#66748,.T.); #183461=EDGE_CURVE('',#150246,#150244,#66749,.T.); #183462=EDGE_CURVE('',#150247,#150245,#66750,.T.); #183463=EDGE_CURVE('',#150246,#150247,#66751,.T.); #183464=EDGE_CURVE('',#150248,#150246,#66752,.T.); #183465=EDGE_CURVE('',#150249,#150247,#66753,.T.); #183466=EDGE_CURVE('',#150248,#150249,#66754,.T.); #183467=EDGE_CURVE('',#150250,#150248,#66755,.T.); #183468=EDGE_CURVE('',#150251,#150249,#66756,.T.); #183469=EDGE_CURVE('',#150250,#150251,#66757,.T.); #183470=EDGE_CURVE('',#150252,#150250,#66758,.T.); #183471=EDGE_CURVE('',#150253,#150251,#66759,.T.); #183472=EDGE_CURVE('',#150252,#150253,#66760,.T.); #183473=EDGE_CURVE('',#150254,#150252,#66761,.T.); #183474=EDGE_CURVE('',#150255,#150253,#66762,.T.); #183475=EDGE_CURVE('',#150254,#150255,#66763,.T.); #183476=EDGE_CURVE('',#150256,#150254,#66764,.T.); #183477=EDGE_CURVE('',#150257,#150255,#66765,.T.); #183478=EDGE_CURVE('',#150256,#150257,#66766,.T.); #183479=EDGE_CURVE('',#150258,#150256,#66767,.T.); #183480=EDGE_CURVE('',#150259,#150257,#66768,.T.); #183481=EDGE_CURVE('',#150258,#150259,#66769,.T.); #183482=EDGE_CURVE('',#150260,#150258,#66770,.T.); #183483=EDGE_CURVE('',#150261,#150259,#66771,.T.); #183484=EDGE_CURVE('',#150260,#150261,#66772,.T.); #183485=EDGE_CURVE('',#150262,#150260,#66773,.T.); #183486=EDGE_CURVE('',#150263,#150261,#66774,.T.); #183487=EDGE_CURVE('',#150262,#150263,#66775,.T.); #183488=EDGE_CURVE('',#150264,#150262,#66776,.T.); #183489=EDGE_CURVE('',#150265,#150263,#66777,.T.); #183490=EDGE_CURVE('',#150264,#150265,#66778,.T.); #183491=EDGE_CURVE('',#150266,#150264,#66779,.T.); #183492=EDGE_CURVE('',#150267,#150265,#66780,.T.); #183493=EDGE_CURVE('',#150266,#150267,#66781,.T.); #183494=EDGE_CURVE('',#150268,#150266,#66782,.T.); #183495=EDGE_CURVE('',#150269,#150267,#66783,.T.); #183496=EDGE_CURVE('',#150268,#150269,#66784,.T.); #183497=EDGE_CURVE('',#150270,#150268,#66785,.T.); #183498=EDGE_CURVE('',#150271,#150269,#66786,.T.); #183499=EDGE_CURVE('',#150270,#150271,#66787,.T.); #183500=EDGE_CURVE('',#150272,#150270,#66788,.T.); #183501=EDGE_CURVE('',#150273,#150271,#66789,.T.); #183502=EDGE_CURVE('',#150272,#150273,#66790,.T.); #183503=EDGE_CURVE('',#150274,#150272,#66791,.T.); #183504=EDGE_CURVE('',#150275,#150273,#66792,.T.); #183505=EDGE_CURVE('',#150274,#150275,#66793,.T.); #183506=EDGE_CURVE('',#150276,#150274,#66794,.T.); #183507=EDGE_CURVE('',#150277,#150275,#66795,.T.); #183508=EDGE_CURVE('',#150276,#150277,#66796,.T.); #183509=EDGE_CURVE('',#150278,#150276,#66797,.T.); #183510=EDGE_CURVE('',#150279,#150277,#66798,.T.); #183511=EDGE_CURVE('',#150278,#150279,#66799,.T.); #183512=EDGE_CURVE('',#150280,#150278,#66800,.T.); #183513=EDGE_CURVE('',#150281,#150279,#66801,.T.); #183514=EDGE_CURVE('',#150280,#150281,#66802,.T.); #183515=EDGE_CURVE('',#150282,#150280,#66803,.T.); #183516=EDGE_CURVE('',#150283,#150281,#66804,.T.); #183517=EDGE_CURVE('',#150282,#150283,#66805,.T.); #183518=EDGE_CURVE('',#150284,#150282,#66806,.T.); #183519=EDGE_CURVE('',#150285,#150283,#66807,.T.); #183520=EDGE_CURVE('',#150284,#150285,#66808,.T.); #183521=EDGE_CURVE('',#150286,#150284,#66809,.T.); #183522=EDGE_CURVE('',#150287,#150285,#66810,.T.); #183523=EDGE_CURVE('',#150286,#150287,#66811,.T.); #183524=EDGE_CURVE('',#150288,#150286,#66812,.T.); #183525=EDGE_CURVE('',#150289,#150287,#66813,.T.); #183526=EDGE_CURVE('',#150288,#150289,#66814,.T.); #183527=EDGE_CURVE('',#150290,#150288,#66815,.T.); #183528=EDGE_CURVE('',#150291,#150289,#66816,.T.); #183529=EDGE_CURVE('',#150290,#150291,#66817,.T.); #183530=EDGE_CURVE('',#150292,#150290,#66818,.T.); #183531=EDGE_CURVE('',#150293,#150291,#66819,.T.); #183532=EDGE_CURVE('',#150292,#150293,#66820,.T.); #183533=EDGE_CURVE('',#150294,#150292,#66821,.T.); #183534=EDGE_CURVE('',#150295,#150293,#66822,.T.); #183535=EDGE_CURVE('',#150294,#150295,#66823,.T.); #183536=EDGE_CURVE('',#150296,#150294,#66824,.T.); #183537=EDGE_CURVE('',#150297,#150295,#66825,.T.); #183538=EDGE_CURVE('',#150296,#150297,#66826,.T.); #183539=EDGE_CURVE('',#150298,#150296,#66827,.T.); #183540=EDGE_CURVE('',#150299,#150297,#66828,.T.); #183541=EDGE_CURVE('',#150298,#150299,#66829,.T.); #183542=EDGE_CURVE('',#150300,#150298,#66830,.T.); #183543=EDGE_CURVE('',#150301,#150299,#66831,.T.); #183544=EDGE_CURVE('',#150300,#150301,#66832,.T.); #183545=EDGE_CURVE('',#150302,#150300,#66833,.T.); #183546=EDGE_CURVE('',#150303,#150301,#66834,.T.); #183547=EDGE_CURVE('',#150302,#150303,#66835,.T.); #183548=EDGE_CURVE('',#150304,#150302,#66836,.T.); #183549=EDGE_CURVE('',#150305,#150303,#66837,.T.); #183550=EDGE_CURVE('',#150304,#150305,#66838,.T.); #183551=EDGE_CURVE('',#150306,#150304,#66839,.T.); #183552=EDGE_CURVE('',#150307,#150305,#66840,.T.); #183553=EDGE_CURVE('',#150306,#150307,#66841,.T.); #183554=EDGE_CURVE('',#150308,#150306,#66842,.T.); #183555=EDGE_CURVE('',#150309,#150307,#66843,.T.); #183556=EDGE_CURVE('',#150308,#150309,#66844,.T.); #183557=EDGE_CURVE('',#150310,#150308,#66845,.T.); #183558=EDGE_CURVE('',#150311,#150309,#66846,.T.); #183559=EDGE_CURVE('',#150310,#150311,#66847,.T.); #183560=EDGE_CURVE('',#150312,#150310,#66848,.T.); #183561=EDGE_CURVE('',#150313,#150311,#66849,.T.); #183562=EDGE_CURVE('',#150312,#150313,#66850,.T.); #183563=EDGE_CURVE('',#150314,#150312,#66851,.T.); #183564=EDGE_CURVE('',#150315,#150313,#66852,.T.); #183565=EDGE_CURVE('',#150314,#150315,#66853,.T.); #183566=EDGE_CURVE('',#150316,#150314,#66854,.T.); #183567=EDGE_CURVE('',#150317,#150315,#66855,.T.); #183568=EDGE_CURVE('',#150316,#150317,#66856,.T.); #183569=EDGE_CURVE('',#150318,#150316,#66857,.T.); #183570=EDGE_CURVE('',#150319,#150317,#66858,.T.); #183571=EDGE_CURVE('',#150318,#150319,#66859,.T.); #183572=EDGE_CURVE('',#150320,#150318,#66860,.T.); #183573=EDGE_CURVE('',#150321,#150319,#66861,.T.); #183574=EDGE_CURVE('',#150320,#150321,#66862,.T.); #183575=EDGE_CURVE('',#150322,#150320,#66863,.T.); #183576=EDGE_CURVE('',#150323,#150321,#66864,.T.); #183577=EDGE_CURVE('',#150322,#150323,#66865,.T.); #183578=EDGE_CURVE('',#150324,#150322,#66866,.T.); #183579=EDGE_CURVE('',#150325,#150323,#66867,.T.); #183580=EDGE_CURVE('',#150324,#150325,#66868,.T.); #183581=EDGE_CURVE('',#150326,#150324,#66869,.T.); #183582=EDGE_CURVE('',#150327,#150325,#66870,.T.); #183583=EDGE_CURVE('',#150326,#150327,#66871,.T.); #183584=EDGE_CURVE('',#150328,#150326,#66872,.T.); #183585=EDGE_CURVE('',#150329,#150327,#66873,.T.); #183586=EDGE_CURVE('',#150328,#150329,#66874,.T.); #183587=EDGE_CURVE('',#150330,#150328,#66875,.T.); #183588=EDGE_CURVE('',#150331,#150329,#66876,.T.); #183589=EDGE_CURVE('',#150330,#150331,#66877,.T.); #183590=EDGE_CURVE('',#150332,#150330,#66878,.T.); #183591=EDGE_CURVE('',#150333,#150331,#66879,.T.); #183592=EDGE_CURVE('',#150332,#150333,#66880,.T.); #183593=EDGE_CURVE('',#150334,#150332,#66881,.T.); #183594=EDGE_CURVE('',#150335,#150333,#66882,.T.); #183595=EDGE_CURVE('',#150334,#150335,#66883,.T.); #183596=EDGE_CURVE('',#150336,#150334,#66884,.T.); #183597=EDGE_CURVE('',#150337,#150335,#66885,.T.); #183598=EDGE_CURVE('',#150336,#150337,#66886,.T.); #183599=EDGE_CURVE('',#150338,#150336,#66887,.T.); #183600=EDGE_CURVE('',#150339,#150337,#66888,.T.); #183601=EDGE_CURVE('',#150338,#150339,#66889,.T.); #183602=EDGE_CURVE('',#150340,#150338,#66890,.T.); #183603=EDGE_CURVE('',#150341,#150339,#66891,.T.); #183604=EDGE_CURVE('',#150340,#150341,#66892,.T.); #183605=EDGE_CURVE('',#150342,#150340,#66893,.T.); #183606=EDGE_CURVE('',#150343,#150341,#66894,.T.); #183607=EDGE_CURVE('',#150342,#150343,#66895,.T.); #183608=EDGE_CURVE('',#150344,#150342,#66896,.T.); #183609=EDGE_CURVE('',#150345,#150343,#66897,.T.); #183610=EDGE_CURVE('',#150344,#150345,#66898,.T.); #183611=EDGE_CURVE('',#150346,#150344,#66899,.T.); #183612=EDGE_CURVE('',#150347,#150345,#66900,.T.); #183613=EDGE_CURVE('',#150346,#150347,#66901,.T.); #183614=EDGE_CURVE('',#150348,#150346,#66902,.T.); #183615=EDGE_CURVE('',#150349,#150347,#66903,.T.); #183616=EDGE_CURVE('',#150348,#150349,#66904,.T.); #183617=EDGE_CURVE('',#150350,#150348,#66905,.T.); #183618=EDGE_CURVE('',#150351,#150349,#66906,.T.); #183619=EDGE_CURVE('',#150350,#150351,#66907,.T.); #183620=EDGE_CURVE('',#150352,#150350,#66908,.T.); #183621=EDGE_CURVE('',#150353,#150351,#66909,.T.); #183622=EDGE_CURVE('',#150352,#150353,#66910,.T.); #183623=EDGE_CURVE('',#150354,#150352,#66911,.T.); #183624=EDGE_CURVE('',#150355,#150353,#66912,.T.); #183625=EDGE_CURVE('',#150354,#150355,#66913,.T.); #183626=EDGE_CURVE('',#150356,#150354,#66914,.T.); #183627=EDGE_CURVE('',#150357,#150355,#66915,.T.); #183628=EDGE_CURVE('',#150356,#150357,#66916,.T.); #183629=EDGE_CURVE('',#150358,#150356,#66917,.T.); #183630=EDGE_CURVE('',#150359,#150357,#66918,.T.); #183631=EDGE_CURVE('',#150358,#150359,#66919,.T.); #183632=EDGE_CURVE('',#150360,#150358,#66920,.T.); #183633=EDGE_CURVE('',#150361,#150359,#66921,.T.); #183634=EDGE_CURVE('',#150360,#150361,#66922,.T.); #183635=EDGE_CURVE('',#150362,#150360,#66923,.T.); #183636=EDGE_CURVE('',#150363,#150361,#66924,.T.); #183637=EDGE_CURVE('',#150362,#150363,#66925,.T.); #183638=EDGE_CURVE('',#150364,#150362,#66926,.T.); #183639=EDGE_CURVE('',#150365,#150363,#66927,.T.); #183640=EDGE_CURVE('',#150364,#150365,#66928,.T.); #183641=EDGE_CURVE('',#150366,#150364,#66929,.T.); #183642=EDGE_CURVE('',#150367,#150365,#66930,.T.); #183643=EDGE_CURVE('',#150366,#150367,#66931,.T.); #183644=EDGE_CURVE('',#150368,#150366,#66932,.T.); #183645=EDGE_CURVE('',#150369,#150367,#66933,.T.); #183646=EDGE_CURVE('',#150368,#150369,#66934,.T.); #183647=EDGE_CURVE('',#150370,#150368,#66935,.T.); #183648=EDGE_CURVE('',#150371,#150369,#66936,.T.); #183649=EDGE_CURVE('',#150370,#150371,#66937,.T.); #183650=EDGE_CURVE('',#150372,#150370,#66938,.T.); #183651=EDGE_CURVE('',#150373,#150371,#66939,.T.); #183652=EDGE_CURVE('',#150372,#150373,#66940,.T.); #183653=EDGE_CURVE('',#150374,#150372,#66941,.T.); #183654=EDGE_CURVE('',#150375,#150373,#66942,.T.); #183655=EDGE_CURVE('',#150374,#150375,#66943,.T.); #183656=EDGE_CURVE('',#150376,#150374,#66944,.T.); #183657=EDGE_CURVE('',#150377,#150375,#66945,.T.); #183658=EDGE_CURVE('',#150376,#150377,#66946,.T.); #183659=EDGE_CURVE('',#150378,#150376,#66947,.T.); #183660=EDGE_CURVE('',#150379,#150377,#66948,.T.); #183661=EDGE_CURVE('',#150378,#150379,#66949,.T.); #183662=EDGE_CURVE('',#150380,#150378,#66950,.T.); #183663=EDGE_CURVE('',#150381,#150379,#66951,.T.); #183664=EDGE_CURVE('',#150380,#150381,#66952,.T.); #183665=EDGE_CURVE('',#150382,#150380,#66953,.T.); #183666=EDGE_CURVE('',#150383,#150381,#66954,.T.); #183667=EDGE_CURVE('',#150382,#150383,#66955,.T.); #183668=EDGE_CURVE('',#150384,#150382,#66956,.T.); #183669=EDGE_CURVE('',#150385,#150383,#66957,.T.); #183670=EDGE_CURVE('',#150384,#150385,#66958,.T.); #183671=EDGE_CURVE('',#150386,#150384,#66959,.T.); #183672=EDGE_CURVE('',#150387,#150385,#66960,.T.); #183673=EDGE_CURVE('',#150386,#150387,#66961,.T.); #183674=EDGE_CURVE('',#150388,#150386,#66962,.T.); #183675=EDGE_CURVE('',#150389,#150387,#66963,.T.); #183676=EDGE_CURVE('',#150388,#150389,#66964,.T.); #183677=EDGE_CURVE('',#150390,#150388,#66965,.T.); #183678=EDGE_CURVE('',#150391,#150389,#66966,.T.); #183679=EDGE_CURVE('',#150390,#150391,#66967,.T.); #183680=EDGE_CURVE('',#150392,#150390,#66968,.T.); #183681=EDGE_CURVE('',#150393,#150391,#66969,.T.); #183682=EDGE_CURVE('',#150392,#150393,#66970,.T.); #183683=EDGE_CURVE('',#150394,#150392,#66971,.T.); #183684=EDGE_CURVE('',#150395,#150393,#66972,.T.); #183685=EDGE_CURVE('',#150394,#150395,#66973,.T.); #183686=EDGE_CURVE('',#150396,#150394,#66974,.T.); #183687=EDGE_CURVE('',#150397,#150395,#66975,.T.); #183688=EDGE_CURVE('',#150396,#150397,#66976,.T.); #183689=EDGE_CURVE('',#150398,#150396,#66977,.T.); #183690=EDGE_CURVE('',#150399,#150397,#66978,.T.); #183691=EDGE_CURVE('',#150398,#150399,#66979,.T.); #183692=EDGE_CURVE('',#150400,#150398,#66980,.T.); #183693=EDGE_CURVE('',#150401,#150399,#66981,.T.); #183694=EDGE_CURVE('',#150400,#150401,#66982,.T.); #183695=EDGE_CURVE('',#150402,#150400,#66983,.T.); #183696=EDGE_CURVE('',#150403,#150401,#66984,.T.); #183697=EDGE_CURVE('',#150402,#150403,#66985,.T.); #183698=EDGE_CURVE('',#150404,#150402,#66986,.T.); #183699=EDGE_CURVE('',#150405,#150403,#66987,.T.); #183700=EDGE_CURVE('',#150404,#150405,#66988,.T.); #183701=EDGE_CURVE('',#150406,#150404,#66989,.T.); #183702=EDGE_CURVE('',#150407,#150405,#66990,.T.); #183703=EDGE_CURVE('',#150406,#150407,#66991,.T.); #183704=EDGE_CURVE('',#150408,#150406,#66992,.T.); #183705=EDGE_CURVE('',#150409,#150407,#66993,.T.); #183706=EDGE_CURVE('',#150408,#150409,#66994,.T.); #183707=EDGE_CURVE('',#150410,#150408,#66995,.T.); #183708=EDGE_CURVE('',#150411,#150409,#66996,.T.); #183709=EDGE_CURVE('',#150410,#150411,#66997,.T.); #183710=EDGE_CURVE('',#150412,#150410,#66998,.T.); #183711=EDGE_CURVE('',#150413,#150411,#66999,.T.); #183712=EDGE_CURVE('',#150412,#150413,#67000,.T.); #183713=EDGE_CURVE('',#150414,#150412,#67001,.T.); #183714=EDGE_CURVE('',#150415,#150413,#67002,.T.); #183715=EDGE_CURVE('',#150414,#150415,#67003,.T.); #183716=EDGE_CURVE('',#150416,#150414,#67004,.T.); #183717=EDGE_CURVE('',#150417,#150415,#67005,.T.); #183718=EDGE_CURVE('',#150416,#150417,#67006,.T.); #183719=EDGE_CURVE('',#150418,#150416,#67007,.T.); #183720=EDGE_CURVE('',#150419,#150417,#67008,.T.); #183721=EDGE_CURVE('',#150418,#150419,#67009,.T.); #183722=EDGE_CURVE('',#150420,#150418,#67010,.T.); #183723=EDGE_CURVE('',#150421,#150419,#67011,.T.); #183724=EDGE_CURVE('',#150420,#150421,#67012,.T.); #183725=EDGE_CURVE('',#150422,#150420,#67013,.T.); #183726=EDGE_CURVE('',#150423,#150421,#67014,.T.); #183727=EDGE_CURVE('',#150422,#150423,#67015,.T.); #183728=EDGE_CURVE('',#150424,#150422,#67016,.T.); #183729=EDGE_CURVE('',#150425,#150423,#67017,.T.); #183730=EDGE_CURVE('',#150424,#150425,#67018,.T.); #183731=EDGE_CURVE('',#150426,#150424,#67019,.T.); #183732=EDGE_CURVE('',#150427,#150425,#67020,.T.); #183733=EDGE_CURVE('',#150426,#150427,#67021,.T.); #183734=EDGE_CURVE('',#150428,#150426,#67022,.T.); #183735=EDGE_CURVE('',#150429,#150427,#67023,.T.); #183736=EDGE_CURVE('',#150428,#150429,#67024,.T.); #183737=EDGE_CURVE('',#150430,#150428,#67025,.T.); #183738=EDGE_CURVE('',#150431,#150429,#67026,.T.); #183739=EDGE_CURVE('',#150430,#150431,#67027,.T.); #183740=EDGE_CURVE('',#150432,#150430,#67028,.T.); #183741=EDGE_CURVE('',#150433,#150431,#67029,.T.); #183742=EDGE_CURVE('',#150432,#150433,#67030,.T.); #183743=EDGE_CURVE('',#150434,#150432,#67031,.T.); #183744=EDGE_CURVE('',#150435,#150433,#67032,.T.); #183745=EDGE_CURVE('',#150434,#150435,#67033,.T.); #183746=EDGE_CURVE('',#150436,#150434,#67034,.T.); #183747=EDGE_CURVE('',#150437,#150435,#67035,.T.); #183748=EDGE_CURVE('',#150436,#150437,#67036,.T.); #183749=EDGE_CURVE('',#150438,#150436,#67037,.T.); #183750=EDGE_CURVE('',#150439,#150437,#67038,.T.); #183751=EDGE_CURVE('',#150438,#150439,#67039,.T.); #183752=EDGE_CURVE('',#150440,#150438,#67040,.T.); #183753=EDGE_CURVE('',#150441,#150439,#67041,.T.); #183754=EDGE_CURVE('',#150440,#150441,#67042,.T.); #183755=EDGE_CURVE('',#150442,#150440,#67043,.T.); #183756=EDGE_CURVE('',#150443,#150441,#67044,.T.); #183757=EDGE_CURVE('',#150442,#150443,#67045,.T.); #183758=EDGE_CURVE('',#150444,#150442,#67046,.T.); #183759=EDGE_CURVE('',#150445,#150443,#67047,.T.); #183760=EDGE_CURVE('',#150444,#150445,#67048,.T.); #183761=EDGE_CURVE('',#150446,#150444,#67049,.T.); #183762=EDGE_CURVE('',#150447,#150445,#67050,.T.); #183763=EDGE_CURVE('',#150446,#150447,#67051,.T.); #183764=EDGE_CURVE('',#150448,#150446,#67052,.T.); #183765=EDGE_CURVE('',#150449,#150447,#67053,.T.); #183766=EDGE_CURVE('',#150448,#150449,#67054,.T.); #183767=EDGE_CURVE('',#150450,#150448,#67055,.T.); #183768=EDGE_CURVE('',#150451,#150449,#67056,.T.); #183769=EDGE_CURVE('',#150450,#150451,#67057,.T.); #183770=EDGE_CURVE('',#150452,#150450,#67058,.T.); #183771=EDGE_CURVE('',#150453,#150451,#67059,.T.); #183772=EDGE_CURVE('',#150452,#150453,#67060,.T.); #183773=EDGE_CURVE('',#150454,#150452,#67061,.T.); #183774=EDGE_CURVE('',#150455,#150453,#67062,.T.); #183775=EDGE_CURVE('',#150454,#150455,#67063,.T.); #183776=EDGE_CURVE('',#150456,#150454,#67064,.T.); #183777=EDGE_CURVE('',#150457,#150455,#67065,.T.); #183778=EDGE_CURVE('',#150456,#150457,#67066,.T.); #183779=EDGE_CURVE('',#150458,#150456,#67067,.T.); #183780=EDGE_CURVE('',#150459,#150457,#67068,.T.); #183781=EDGE_CURVE('',#150458,#150459,#67069,.T.); #183782=EDGE_CURVE('',#150460,#150458,#67070,.T.); #183783=EDGE_CURVE('',#150461,#150459,#67071,.T.); #183784=EDGE_CURVE('',#150460,#150461,#67072,.T.); #183785=EDGE_CURVE('',#150462,#150460,#67073,.T.); #183786=EDGE_CURVE('',#150463,#150461,#67074,.T.); #183787=EDGE_CURVE('',#150462,#150463,#67075,.T.); #183788=EDGE_CURVE('',#150464,#150462,#67076,.T.); #183789=EDGE_CURVE('',#150465,#150463,#67077,.T.); #183790=EDGE_CURVE('',#150464,#150465,#67078,.T.); #183791=EDGE_CURVE('',#150466,#150464,#67079,.T.); #183792=EDGE_CURVE('',#150467,#150465,#67080,.T.); #183793=EDGE_CURVE('',#150466,#150467,#67081,.T.); #183794=EDGE_CURVE('',#150468,#150466,#67082,.T.); #183795=EDGE_CURVE('',#150469,#150467,#67083,.T.); #183796=EDGE_CURVE('',#150468,#150469,#67084,.T.); #183797=EDGE_CURVE('',#150470,#150468,#67085,.T.); #183798=EDGE_CURVE('',#150471,#150469,#67086,.T.); #183799=EDGE_CURVE('',#150470,#150471,#67087,.T.); #183800=EDGE_CURVE('',#150472,#150470,#67088,.T.); #183801=EDGE_CURVE('',#150473,#150471,#67089,.T.); #183802=EDGE_CURVE('',#150472,#150473,#67090,.T.); #183803=EDGE_CURVE('',#150474,#150472,#67091,.T.); #183804=EDGE_CURVE('',#150475,#150473,#67092,.T.); #183805=EDGE_CURVE('',#150474,#150475,#67093,.T.); #183806=EDGE_CURVE('',#150476,#150474,#67094,.T.); #183807=EDGE_CURVE('',#150477,#150475,#67095,.T.); #183808=EDGE_CURVE('',#150476,#150477,#67096,.T.); #183809=EDGE_CURVE('',#150478,#150476,#67097,.T.); #183810=EDGE_CURVE('',#150479,#150477,#67098,.T.); #183811=EDGE_CURVE('',#150478,#150479,#67099,.T.); #183812=EDGE_CURVE('',#150480,#150478,#67100,.T.); #183813=EDGE_CURVE('',#150481,#150479,#67101,.T.); #183814=EDGE_CURVE('',#150480,#150481,#67102,.T.); #183815=EDGE_CURVE('',#150482,#150480,#67103,.T.); #183816=EDGE_CURVE('',#150483,#150481,#67104,.T.); #183817=EDGE_CURVE('',#150482,#150483,#67105,.T.); #183818=EDGE_CURVE('',#150484,#150482,#67106,.T.); #183819=EDGE_CURVE('',#150485,#150483,#67107,.T.); #183820=EDGE_CURVE('',#150484,#150485,#67108,.T.); #183821=EDGE_CURVE('',#150486,#150484,#67109,.T.); #183822=EDGE_CURVE('',#150487,#150485,#67110,.T.); #183823=EDGE_CURVE('',#150486,#150487,#67111,.T.); #183824=EDGE_CURVE('',#150488,#150486,#67112,.T.); #183825=EDGE_CURVE('',#150489,#150487,#67113,.T.); #183826=EDGE_CURVE('',#150488,#150489,#67114,.T.); #183827=EDGE_CURVE('',#150490,#150488,#67115,.T.); #183828=EDGE_CURVE('',#150491,#150489,#67116,.T.); #183829=EDGE_CURVE('',#150490,#150491,#67117,.T.); #183830=EDGE_CURVE('',#150492,#150490,#67118,.T.); #183831=EDGE_CURVE('',#150493,#150491,#67119,.T.); #183832=EDGE_CURVE('',#150492,#150493,#67120,.T.); #183833=EDGE_CURVE('',#150494,#150492,#67121,.T.); #183834=EDGE_CURVE('',#150495,#150493,#67122,.T.); #183835=EDGE_CURVE('',#150494,#150495,#67123,.T.); #183836=EDGE_CURVE('',#150496,#150494,#67124,.T.); #183837=EDGE_CURVE('',#150497,#150495,#67125,.T.); #183838=EDGE_CURVE('',#150496,#150497,#67126,.T.); #183839=EDGE_CURVE('',#150498,#150496,#67127,.T.); #183840=EDGE_CURVE('',#150499,#150497,#67128,.T.); #183841=EDGE_CURVE('',#150498,#150499,#67129,.T.); #183842=EDGE_CURVE('',#150500,#150498,#67130,.T.); #183843=EDGE_CURVE('',#150501,#150499,#67131,.T.); #183844=EDGE_CURVE('',#150500,#150501,#67132,.T.); #183845=EDGE_CURVE('',#150502,#150500,#67133,.T.); #183846=EDGE_CURVE('',#150503,#150501,#67134,.T.); #183847=EDGE_CURVE('',#150502,#150503,#67135,.T.); #183848=EDGE_CURVE('',#150504,#150502,#67136,.T.); #183849=EDGE_CURVE('',#150505,#150503,#67137,.T.); #183850=EDGE_CURVE('',#150504,#150505,#67138,.T.); #183851=EDGE_CURVE('',#150506,#150504,#67139,.T.); #183852=EDGE_CURVE('',#150507,#150505,#67140,.T.); #183853=EDGE_CURVE('',#150506,#150507,#67141,.T.); #183854=EDGE_CURVE('',#150508,#150506,#67142,.T.); #183855=EDGE_CURVE('',#150509,#150507,#67143,.T.); #183856=EDGE_CURVE('',#150508,#150509,#67144,.T.); #183857=EDGE_CURVE('',#150510,#150508,#67145,.T.); #183858=EDGE_CURVE('',#150511,#150509,#67146,.T.); #183859=EDGE_CURVE('',#150510,#150511,#67147,.T.); #183860=EDGE_CURVE('',#150512,#150510,#67148,.T.); #183861=EDGE_CURVE('',#150513,#150511,#67149,.T.); #183862=EDGE_CURVE('',#150512,#150513,#67150,.T.); #183863=EDGE_CURVE('',#150514,#150512,#67151,.T.); #183864=EDGE_CURVE('',#150515,#150513,#67152,.T.); #183865=EDGE_CURVE('',#150514,#150515,#67153,.T.); #183866=EDGE_CURVE('',#150516,#150514,#67154,.T.); #183867=EDGE_CURVE('',#150517,#150515,#67155,.T.); #183868=EDGE_CURVE('',#150516,#150517,#67156,.T.); #183869=EDGE_CURVE('',#150518,#150516,#67157,.T.); #183870=EDGE_CURVE('',#150519,#150517,#67158,.T.); #183871=EDGE_CURVE('',#150518,#150519,#67159,.T.); #183872=EDGE_CURVE('',#150520,#150518,#67160,.T.); #183873=EDGE_CURVE('',#150521,#150519,#67161,.T.); #183874=EDGE_CURVE('',#150520,#150521,#67162,.T.); #183875=EDGE_CURVE('',#150522,#150520,#67163,.T.); #183876=EDGE_CURVE('',#150523,#150521,#67164,.T.); #183877=EDGE_CURVE('',#150522,#150523,#67165,.T.); #183878=EDGE_CURVE('',#150524,#150522,#67166,.T.); #183879=EDGE_CURVE('',#150525,#150523,#67167,.T.); #183880=EDGE_CURVE('',#150524,#150525,#67168,.T.); #183881=EDGE_CURVE('',#150526,#150524,#67169,.T.); #183882=EDGE_CURVE('',#150527,#150525,#67170,.T.); #183883=EDGE_CURVE('',#150526,#150527,#67171,.T.); #183884=EDGE_CURVE('',#150528,#150526,#67172,.T.); #183885=EDGE_CURVE('',#150529,#150527,#67173,.T.); #183886=EDGE_CURVE('',#150528,#150529,#67174,.T.); #183887=EDGE_CURVE('',#150530,#150528,#67175,.T.); #183888=EDGE_CURVE('',#150531,#150529,#67176,.T.); #183889=EDGE_CURVE('',#150530,#150531,#67177,.T.); #183890=EDGE_CURVE('',#150532,#150530,#67178,.T.); #183891=EDGE_CURVE('',#150533,#150531,#67179,.T.); #183892=EDGE_CURVE('',#150532,#150533,#67180,.T.); #183893=EDGE_CURVE('',#150534,#150532,#67181,.T.); #183894=EDGE_CURVE('',#150535,#150533,#67182,.T.); #183895=EDGE_CURVE('',#150534,#150535,#67183,.T.); #183896=EDGE_CURVE('',#150536,#150534,#67184,.T.); #183897=EDGE_CURVE('',#150537,#150535,#67185,.T.); #183898=EDGE_CURVE('',#150536,#150537,#67186,.T.); #183899=EDGE_CURVE('',#150538,#150536,#67187,.T.); #183900=EDGE_CURVE('',#150539,#150537,#67188,.T.); #183901=EDGE_CURVE('',#150538,#150539,#67189,.T.); #183902=EDGE_CURVE('',#150540,#150538,#67190,.T.); #183903=EDGE_CURVE('',#150541,#150539,#67191,.T.); #183904=EDGE_CURVE('',#150540,#150541,#67192,.T.); #183905=EDGE_CURVE('',#150542,#150540,#67193,.T.); #183906=EDGE_CURVE('',#150543,#150541,#67194,.T.); #183907=EDGE_CURVE('',#150542,#150543,#67195,.T.); #183908=EDGE_CURVE('',#150544,#150542,#67196,.T.); #183909=EDGE_CURVE('',#150545,#150543,#67197,.T.); #183910=EDGE_CURVE('',#150544,#150545,#67198,.T.); #183911=EDGE_CURVE('',#150546,#150544,#67199,.T.); #183912=EDGE_CURVE('',#150547,#150545,#67200,.T.); #183913=EDGE_CURVE('',#150546,#150547,#67201,.T.); #183914=EDGE_CURVE('',#150548,#150546,#67202,.T.); #183915=EDGE_CURVE('',#150549,#150547,#67203,.T.); #183916=EDGE_CURVE('',#150548,#150549,#67204,.T.); #183917=EDGE_CURVE('',#150550,#150548,#67205,.T.); #183918=EDGE_CURVE('',#150551,#150549,#67206,.T.); #183919=EDGE_CURVE('',#150550,#150551,#67207,.T.); #183920=EDGE_CURVE('',#150552,#150550,#67208,.T.); #183921=EDGE_CURVE('',#150553,#150551,#67209,.T.); #183922=EDGE_CURVE('',#150552,#150553,#67210,.T.); #183923=EDGE_CURVE('',#150554,#150552,#67211,.T.); #183924=EDGE_CURVE('',#150555,#150553,#67212,.T.); #183925=EDGE_CURVE('',#150554,#150555,#67213,.T.); #183926=EDGE_CURVE('',#150556,#150554,#67214,.T.); #183927=EDGE_CURVE('',#150557,#150555,#67215,.T.); #183928=EDGE_CURVE('',#150556,#150557,#67216,.T.); #183929=EDGE_CURVE('',#150558,#150556,#67217,.T.); #183930=EDGE_CURVE('',#150559,#150557,#67218,.T.); #183931=EDGE_CURVE('',#150558,#150559,#67219,.T.); #183932=EDGE_CURVE('',#150560,#150558,#67220,.T.); #183933=EDGE_CURVE('',#150561,#150559,#67221,.T.); #183934=EDGE_CURVE('',#150560,#150561,#67222,.T.); #183935=EDGE_CURVE('',#150562,#150560,#67223,.T.); #183936=EDGE_CURVE('',#150563,#150561,#67224,.T.); #183937=EDGE_CURVE('',#150562,#150563,#67225,.T.); #183938=EDGE_CURVE('',#150564,#150562,#67226,.T.); #183939=EDGE_CURVE('',#150565,#150563,#67227,.T.); #183940=EDGE_CURVE('',#150564,#150565,#67228,.T.); #183941=EDGE_CURVE('',#150566,#150564,#67229,.T.); #183942=EDGE_CURVE('',#150567,#150565,#67230,.T.); #183943=EDGE_CURVE('',#150566,#150567,#67231,.T.); #183944=EDGE_CURVE('',#150568,#150566,#67232,.T.); #183945=EDGE_CURVE('',#150569,#150567,#67233,.T.); #183946=EDGE_CURVE('',#150568,#150569,#67234,.T.); #183947=EDGE_CURVE('',#150570,#150568,#67235,.T.); #183948=EDGE_CURVE('',#150571,#150569,#67236,.T.); #183949=EDGE_CURVE('',#150570,#150571,#67237,.T.); #183950=EDGE_CURVE('',#150572,#150570,#67238,.T.); #183951=EDGE_CURVE('',#150573,#150571,#67239,.T.); #183952=EDGE_CURVE('',#150572,#150573,#67240,.T.); #183953=EDGE_CURVE('',#150574,#150572,#67241,.T.); #183954=EDGE_CURVE('',#150575,#150573,#67242,.T.); #183955=EDGE_CURVE('',#150574,#150575,#67243,.T.); #183956=EDGE_CURVE('',#150576,#150574,#67244,.T.); #183957=EDGE_CURVE('',#150577,#150575,#67245,.T.); #183958=EDGE_CURVE('',#150576,#150577,#67246,.T.); #183959=EDGE_CURVE('',#150578,#150576,#67247,.T.); #183960=EDGE_CURVE('',#150579,#150577,#67248,.T.); #183961=EDGE_CURVE('',#150578,#150579,#67249,.T.); #183962=EDGE_CURVE('',#150580,#150578,#67250,.T.); #183963=EDGE_CURVE('',#150581,#150579,#67251,.T.); #183964=EDGE_CURVE('',#150580,#150581,#67252,.T.); #183965=EDGE_CURVE('',#150582,#150580,#67253,.T.); #183966=EDGE_CURVE('',#150583,#150581,#67254,.T.); #183967=EDGE_CURVE('',#150582,#150583,#67255,.T.); #183968=EDGE_CURVE('',#150584,#150582,#67256,.T.); #183969=EDGE_CURVE('',#150585,#150583,#67257,.T.); #183970=EDGE_CURVE('',#150584,#150585,#67258,.T.); #183971=EDGE_CURVE('',#150586,#150584,#67259,.T.); #183972=EDGE_CURVE('',#150587,#150585,#67260,.T.); #183973=EDGE_CURVE('',#150586,#150587,#67261,.T.); #183974=EDGE_CURVE('',#150588,#150586,#67262,.T.); #183975=EDGE_CURVE('',#150589,#150587,#67263,.T.); #183976=EDGE_CURVE('',#150588,#150589,#67264,.T.); #183977=EDGE_CURVE('',#150590,#150588,#67265,.T.); #183978=EDGE_CURVE('',#150591,#150589,#67266,.T.); #183979=EDGE_CURVE('',#150590,#150591,#67267,.T.); #183980=EDGE_CURVE('',#150592,#150590,#67268,.T.); #183981=EDGE_CURVE('',#150593,#150591,#67269,.T.); #183982=EDGE_CURVE('',#150592,#150593,#67270,.T.); #183983=EDGE_CURVE('',#150594,#150592,#67271,.T.); #183984=EDGE_CURVE('',#150595,#150593,#67272,.T.); #183985=EDGE_CURVE('',#150594,#150595,#67273,.T.); #183986=EDGE_CURVE('',#150596,#150594,#67274,.T.); #183987=EDGE_CURVE('',#150597,#150595,#67275,.T.); #183988=EDGE_CURVE('',#150596,#150597,#67276,.T.); #183989=EDGE_CURVE('',#150598,#150596,#67277,.T.); #183990=EDGE_CURVE('',#150599,#150597,#67278,.T.); #183991=EDGE_CURVE('',#150598,#150599,#67279,.T.); #183992=EDGE_CURVE('',#150600,#150598,#67280,.T.); #183993=EDGE_CURVE('',#150601,#150599,#67281,.T.); #183994=EDGE_CURVE('',#150600,#150601,#67282,.T.); #183995=EDGE_CURVE('',#150602,#150600,#67283,.T.); #183996=EDGE_CURVE('',#150603,#150601,#67284,.T.); #183997=EDGE_CURVE('',#150602,#150603,#67285,.T.); #183998=EDGE_CURVE('',#150604,#150602,#67286,.T.); #183999=EDGE_CURVE('',#150605,#150603,#67287,.T.); #184000=EDGE_CURVE('',#150604,#150605,#67288,.T.); #184001=EDGE_CURVE('',#150606,#150604,#67289,.T.); #184002=EDGE_CURVE('',#150607,#150605,#67290,.T.); #184003=EDGE_CURVE('',#150606,#150607,#67291,.T.); #184004=EDGE_CURVE('',#150608,#150606,#67292,.T.); #184005=EDGE_CURVE('',#150609,#150607,#67293,.T.); #184006=EDGE_CURVE('',#150608,#150609,#67294,.T.); #184007=EDGE_CURVE('',#150610,#150608,#67295,.T.); #184008=EDGE_CURVE('',#150611,#150609,#67296,.T.); #184009=EDGE_CURVE('',#150610,#150611,#67297,.T.); #184010=EDGE_CURVE('',#150612,#150610,#67298,.T.); #184011=EDGE_CURVE('',#150613,#150611,#67299,.T.); #184012=EDGE_CURVE('',#150612,#150613,#67300,.T.); #184013=EDGE_CURVE('',#150614,#150612,#67301,.T.); #184014=EDGE_CURVE('',#150615,#150613,#67302,.T.); #184015=EDGE_CURVE('',#150614,#150615,#67303,.T.); #184016=EDGE_CURVE('',#150616,#150614,#67304,.T.); #184017=EDGE_CURVE('',#150617,#150615,#67305,.T.); #184018=EDGE_CURVE('',#150616,#150617,#67306,.T.); #184019=EDGE_CURVE('',#150618,#150616,#67307,.T.); #184020=EDGE_CURVE('',#150619,#150617,#67308,.T.); #184021=EDGE_CURVE('',#150618,#150619,#67309,.T.); #184022=EDGE_CURVE('',#150620,#150618,#67310,.T.); #184023=EDGE_CURVE('',#150621,#150619,#67311,.T.); #184024=EDGE_CURVE('',#150620,#150621,#67312,.T.); #184025=EDGE_CURVE('',#150622,#150620,#67313,.T.); #184026=EDGE_CURVE('',#150623,#150621,#67314,.T.); #184027=EDGE_CURVE('',#150622,#150623,#67315,.T.); #184028=EDGE_CURVE('',#150624,#150622,#67316,.T.); #184029=EDGE_CURVE('',#150625,#150623,#67317,.T.); #184030=EDGE_CURVE('',#150624,#150625,#67318,.T.); #184031=EDGE_CURVE('',#150626,#150624,#67319,.T.); #184032=EDGE_CURVE('',#150627,#150625,#67320,.T.); #184033=EDGE_CURVE('',#150626,#150627,#67321,.T.); #184034=EDGE_CURVE('',#150628,#150626,#67322,.T.); #184035=EDGE_CURVE('',#150629,#150627,#67323,.T.); #184036=EDGE_CURVE('',#150628,#150629,#67324,.T.); #184037=EDGE_CURVE('',#150630,#150628,#67325,.T.); #184038=EDGE_CURVE('',#150631,#150629,#67326,.T.); #184039=EDGE_CURVE('',#150630,#150631,#67327,.T.); #184040=EDGE_CURVE('',#150632,#150630,#67328,.T.); #184041=EDGE_CURVE('',#150633,#150631,#67329,.T.); #184042=EDGE_CURVE('',#150632,#150633,#67330,.T.); #184043=EDGE_CURVE('',#150634,#150632,#67331,.T.); #184044=EDGE_CURVE('',#150635,#150633,#67332,.T.); #184045=EDGE_CURVE('',#150634,#150635,#67333,.T.); #184046=EDGE_CURVE('',#150636,#150634,#67334,.T.); #184047=EDGE_CURVE('',#150637,#150635,#67335,.T.); #184048=EDGE_CURVE('',#150636,#150637,#67336,.T.); #184049=EDGE_CURVE('',#150638,#150636,#67337,.T.); #184050=EDGE_CURVE('',#150639,#150637,#67338,.T.); #184051=EDGE_CURVE('',#150638,#150639,#67339,.T.); #184052=EDGE_CURVE('',#150640,#150638,#67340,.T.); #184053=EDGE_CURVE('',#150641,#150639,#67341,.T.); #184054=EDGE_CURVE('',#150640,#150641,#67342,.T.); #184055=EDGE_CURVE('',#150642,#150640,#67343,.T.); #184056=EDGE_CURVE('',#150643,#150641,#67344,.T.); #184057=EDGE_CURVE('',#150642,#150643,#67345,.T.); #184058=EDGE_CURVE('',#150644,#150642,#67346,.T.); #184059=EDGE_CURVE('',#150645,#150643,#67347,.T.); #184060=EDGE_CURVE('',#150644,#150645,#67348,.T.); #184061=EDGE_CURVE('',#150646,#150644,#67349,.T.); #184062=EDGE_CURVE('',#150647,#150645,#67350,.T.); #184063=EDGE_CURVE('',#150646,#150647,#67351,.T.); #184064=EDGE_CURVE('',#150648,#150646,#67352,.T.); #184065=EDGE_CURVE('',#150649,#150647,#67353,.T.); #184066=EDGE_CURVE('',#150648,#150649,#67354,.T.); #184067=EDGE_CURVE('',#150650,#150648,#67355,.T.); #184068=EDGE_CURVE('',#150651,#150649,#67356,.T.); #184069=EDGE_CURVE('',#150650,#150651,#67357,.T.); #184070=EDGE_CURVE('',#150652,#150650,#67358,.T.); #184071=EDGE_CURVE('',#150653,#150651,#67359,.T.); #184072=EDGE_CURVE('',#150652,#150653,#67360,.T.); #184073=EDGE_CURVE('',#150654,#150652,#67361,.T.); #184074=EDGE_CURVE('',#150655,#150653,#67362,.T.); #184075=EDGE_CURVE('',#150654,#150655,#67363,.T.); #184076=EDGE_CURVE('',#150656,#150654,#67364,.T.); #184077=EDGE_CURVE('',#150657,#150655,#67365,.T.); #184078=EDGE_CURVE('',#150656,#150657,#67366,.T.); #184079=EDGE_CURVE('',#150658,#150656,#67367,.T.); #184080=EDGE_CURVE('',#150659,#150657,#67368,.T.); #184081=EDGE_CURVE('',#150658,#150659,#67369,.T.); #184082=EDGE_CURVE('',#150660,#150658,#67370,.T.); #184083=EDGE_CURVE('',#150661,#150659,#67371,.T.); #184084=EDGE_CURVE('',#150660,#150661,#67372,.T.); #184085=EDGE_CURVE('',#150662,#150660,#67373,.T.); #184086=EDGE_CURVE('',#150663,#150661,#67374,.T.); #184087=EDGE_CURVE('',#150662,#150663,#67375,.T.); #184088=EDGE_CURVE('',#150664,#150662,#67376,.T.); #184089=EDGE_CURVE('',#150665,#150663,#67377,.T.); #184090=EDGE_CURVE('',#150664,#150665,#67378,.T.); #184091=EDGE_CURVE('',#150666,#150664,#67379,.T.); #184092=EDGE_CURVE('',#150667,#150665,#67380,.T.); #184093=EDGE_CURVE('',#150666,#150667,#67381,.T.); #184094=EDGE_CURVE('',#150668,#150666,#67382,.T.); #184095=EDGE_CURVE('',#150669,#150667,#67383,.T.); #184096=EDGE_CURVE('',#150668,#150669,#67384,.T.); #184097=EDGE_CURVE('',#150670,#150668,#67385,.T.); #184098=EDGE_CURVE('',#150671,#150669,#67386,.T.); #184099=EDGE_CURVE('',#150670,#150671,#67387,.T.); #184100=EDGE_CURVE('',#150672,#150670,#67388,.T.); #184101=EDGE_CURVE('',#150673,#150671,#67389,.T.); #184102=EDGE_CURVE('',#150672,#150673,#67390,.T.); #184103=EDGE_CURVE('',#150674,#150672,#67391,.T.); #184104=EDGE_CURVE('',#150675,#150673,#67392,.T.); #184105=EDGE_CURVE('',#150674,#150675,#67393,.T.); #184106=EDGE_CURVE('',#150676,#150674,#67394,.T.); #184107=EDGE_CURVE('',#150677,#150675,#67395,.T.); #184108=EDGE_CURVE('',#150676,#150677,#67396,.T.); #184109=EDGE_CURVE('',#150678,#150676,#67397,.T.); #184110=EDGE_CURVE('',#150679,#150677,#67398,.T.); #184111=EDGE_CURVE('',#150678,#150679,#67399,.T.); #184112=EDGE_CURVE('',#150680,#150678,#67400,.T.); #184113=EDGE_CURVE('',#150681,#150679,#67401,.T.); #184114=EDGE_CURVE('',#150680,#150681,#67402,.T.); #184115=EDGE_CURVE('',#150682,#150680,#67403,.T.); #184116=EDGE_CURVE('',#150683,#150681,#67404,.T.); #184117=EDGE_CURVE('',#150682,#150683,#67405,.T.); #184118=EDGE_CURVE('',#150684,#150682,#67406,.T.); #184119=EDGE_CURVE('',#150685,#150683,#67407,.T.); #184120=EDGE_CURVE('',#150684,#150685,#67408,.T.); #184121=EDGE_CURVE('',#150686,#150684,#67409,.T.); #184122=EDGE_CURVE('',#150687,#150685,#67410,.T.); #184123=EDGE_CURVE('',#150686,#150687,#67411,.T.); #184124=EDGE_CURVE('',#150688,#150686,#67412,.T.); #184125=EDGE_CURVE('',#150689,#150687,#67413,.T.); #184126=EDGE_CURVE('',#150688,#150689,#67414,.T.); #184127=EDGE_CURVE('',#150690,#150688,#67415,.T.); #184128=EDGE_CURVE('',#150691,#150689,#67416,.T.); #184129=EDGE_CURVE('',#150690,#150691,#67417,.T.); #184130=EDGE_CURVE('',#150692,#150690,#67418,.T.); #184131=EDGE_CURVE('',#150693,#150691,#67419,.T.); #184132=EDGE_CURVE('',#150692,#150693,#67420,.T.); #184133=EDGE_CURVE('',#150694,#150692,#67421,.T.); #184134=EDGE_CURVE('',#150695,#150693,#67422,.T.); #184135=EDGE_CURVE('',#150694,#150695,#67423,.T.); #184136=EDGE_CURVE('',#150696,#150694,#67424,.T.); #184137=EDGE_CURVE('',#150697,#150695,#67425,.T.); #184138=EDGE_CURVE('',#150696,#150697,#67426,.T.); #184139=EDGE_CURVE('',#150698,#150696,#67427,.T.); #184140=EDGE_CURVE('',#150699,#150697,#67428,.T.); #184141=EDGE_CURVE('',#150698,#150699,#67429,.T.); #184142=EDGE_CURVE('',#150700,#150698,#67430,.T.); #184143=EDGE_CURVE('',#150701,#150699,#67431,.T.); #184144=EDGE_CURVE('',#150700,#150701,#67432,.T.); #184145=EDGE_CURVE('',#150702,#150700,#67433,.T.); #184146=EDGE_CURVE('',#150703,#150701,#67434,.T.); #184147=EDGE_CURVE('',#150702,#150703,#67435,.T.); #184148=EDGE_CURVE('',#150704,#150702,#67436,.T.); #184149=EDGE_CURVE('',#150705,#150703,#67437,.T.); #184150=EDGE_CURVE('',#150704,#150705,#67438,.T.); #184151=EDGE_CURVE('',#150706,#150704,#67439,.T.); #184152=EDGE_CURVE('',#150707,#150705,#67440,.T.); #184153=EDGE_CURVE('',#150706,#150707,#67441,.T.); #184154=EDGE_CURVE('',#150708,#150706,#67442,.T.); #184155=EDGE_CURVE('',#150709,#150707,#67443,.T.); #184156=EDGE_CURVE('',#150708,#150709,#67444,.T.); #184157=EDGE_CURVE('',#150710,#150708,#67445,.T.); #184158=EDGE_CURVE('',#150711,#150709,#67446,.T.); #184159=EDGE_CURVE('',#150710,#150711,#67447,.T.); #184160=EDGE_CURVE('',#150712,#150710,#67448,.T.); #184161=EDGE_CURVE('',#150713,#150711,#67449,.T.); #184162=EDGE_CURVE('',#150712,#150713,#67450,.T.); #184163=EDGE_CURVE('',#150714,#150712,#67451,.T.); #184164=EDGE_CURVE('',#150715,#150713,#67452,.T.); #184165=EDGE_CURVE('',#150714,#150715,#67453,.T.); #184166=EDGE_CURVE('',#150716,#150714,#67454,.T.); #184167=EDGE_CURVE('',#150717,#150715,#67455,.T.); #184168=EDGE_CURVE('',#150716,#150717,#67456,.T.); #184169=EDGE_CURVE('',#150718,#150716,#67457,.T.); #184170=EDGE_CURVE('',#150719,#150717,#67458,.T.); #184171=EDGE_CURVE('',#150718,#150719,#67459,.T.); #184172=EDGE_CURVE('',#150720,#150718,#67460,.T.); #184173=EDGE_CURVE('',#150721,#150719,#67461,.T.); #184174=EDGE_CURVE('',#150720,#150721,#67462,.T.); #184175=EDGE_CURVE('',#150722,#150720,#67463,.T.); #184176=EDGE_CURVE('',#150723,#150721,#67464,.T.); #184177=EDGE_CURVE('',#150722,#150723,#67465,.T.); #184178=EDGE_CURVE('',#150724,#150722,#67466,.T.); #184179=EDGE_CURVE('',#150725,#150723,#67467,.T.); #184180=EDGE_CURVE('',#150724,#150725,#67468,.T.); #184181=EDGE_CURVE('',#150726,#150724,#67469,.T.); #184182=EDGE_CURVE('',#150727,#150725,#67470,.T.); #184183=EDGE_CURVE('',#150726,#150727,#67471,.T.); #184184=EDGE_CURVE('',#150728,#150726,#67472,.T.); #184185=EDGE_CURVE('',#150729,#150727,#67473,.T.); #184186=EDGE_CURVE('',#150728,#150729,#67474,.T.); #184187=EDGE_CURVE('',#150730,#150728,#67475,.T.); #184188=EDGE_CURVE('',#150731,#150729,#67476,.T.); #184189=EDGE_CURVE('',#150730,#150731,#67477,.T.); #184190=EDGE_CURVE('',#150732,#150730,#67478,.T.); #184191=EDGE_CURVE('',#150733,#150731,#67479,.T.); #184192=EDGE_CURVE('',#150732,#150733,#67480,.T.); #184193=EDGE_CURVE('',#150734,#150732,#67481,.T.); #184194=EDGE_CURVE('',#150735,#150733,#67482,.T.); #184195=EDGE_CURVE('',#150734,#150735,#67483,.T.); #184196=EDGE_CURVE('',#150736,#150734,#67484,.T.); #184197=EDGE_CURVE('',#150737,#150735,#67485,.T.); #184198=EDGE_CURVE('',#150736,#150737,#67486,.T.); #184199=EDGE_CURVE('',#150738,#150736,#67487,.T.); #184200=EDGE_CURVE('',#150739,#150737,#67488,.T.); #184201=EDGE_CURVE('',#150738,#150739,#67489,.T.); #184202=EDGE_CURVE('',#150740,#150738,#67490,.T.); #184203=EDGE_CURVE('',#150741,#150739,#67491,.T.); #184204=EDGE_CURVE('',#150740,#150741,#67492,.T.); #184205=EDGE_CURVE('',#150742,#150740,#67493,.T.); #184206=EDGE_CURVE('',#150743,#150741,#67494,.T.); #184207=EDGE_CURVE('',#150742,#150743,#67495,.T.); #184208=EDGE_CURVE('',#150744,#150742,#67496,.T.); #184209=EDGE_CURVE('',#150745,#150743,#67497,.T.); #184210=EDGE_CURVE('',#150744,#150745,#67498,.T.); #184211=EDGE_CURVE('',#150746,#150744,#67499,.T.); #184212=EDGE_CURVE('',#150747,#150745,#67500,.T.); #184213=EDGE_CURVE('',#150746,#150747,#67501,.T.); #184214=EDGE_CURVE('',#150748,#150746,#67502,.T.); #184215=EDGE_CURVE('',#150749,#150747,#67503,.T.); #184216=EDGE_CURVE('',#150748,#150749,#67504,.T.); #184217=EDGE_CURVE('',#150750,#150748,#67505,.T.); #184218=EDGE_CURVE('',#150751,#150749,#67506,.T.); #184219=EDGE_CURVE('',#150750,#150751,#67507,.T.); #184220=EDGE_CURVE('',#150752,#150750,#67508,.T.); #184221=EDGE_CURVE('',#150753,#150751,#67509,.T.); #184222=EDGE_CURVE('',#150752,#150753,#67510,.T.); #184223=EDGE_CURVE('',#150754,#150752,#67511,.T.); #184224=EDGE_CURVE('',#150755,#150753,#67512,.T.); #184225=EDGE_CURVE('',#150754,#150755,#67513,.T.); #184226=EDGE_CURVE('',#150756,#150754,#67514,.T.); #184227=EDGE_CURVE('',#150757,#150755,#67515,.T.); #184228=EDGE_CURVE('',#150756,#150757,#67516,.T.); #184229=EDGE_CURVE('',#150758,#150756,#67517,.T.); #184230=EDGE_CURVE('',#150759,#150757,#67518,.T.); #184231=EDGE_CURVE('',#150758,#150759,#67519,.T.); #184232=EDGE_CURVE('',#150760,#150758,#67520,.T.); #184233=EDGE_CURVE('',#150761,#150759,#67521,.T.); #184234=EDGE_CURVE('',#150760,#150761,#67522,.T.); #184235=EDGE_CURVE('',#150762,#150760,#67523,.T.); #184236=EDGE_CURVE('',#150763,#150761,#67524,.T.); #184237=EDGE_CURVE('',#150762,#150763,#67525,.T.); #184238=EDGE_CURVE('',#150764,#150762,#67526,.T.); #184239=EDGE_CURVE('',#150765,#150763,#67527,.T.); #184240=EDGE_CURVE('',#150764,#150765,#67528,.T.); #184241=EDGE_CURVE('',#150766,#150764,#67529,.T.); #184242=EDGE_CURVE('',#150767,#150765,#67530,.T.); #184243=EDGE_CURVE('',#150766,#150767,#67531,.T.); #184244=EDGE_CURVE('',#150768,#150766,#67532,.T.); #184245=EDGE_CURVE('',#150769,#150767,#67533,.T.); #184246=EDGE_CURVE('',#150768,#150769,#67534,.T.); #184247=EDGE_CURVE('',#150770,#150768,#67535,.T.); #184248=EDGE_CURVE('',#150771,#150769,#67536,.T.); #184249=EDGE_CURVE('',#150770,#150771,#67537,.T.); #184250=EDGE_CURVE('',#150772,#150770,#67538,.T.); #184251=EDGE_CURVE('',#150773,#150771,#67539,.T.); #184252=EDGE_CURVE('',#150772,#150773,#67540,.T.); #184253=EDGE_CURVE('',#150774,#150772,#67541,.T.); #184254=EDGE_CURVE('',#150775,#150773,#67542,.T.); #184255=EDGE_CURVE('',#150774,#150775,#67543,.T.); #184256=EDGE_CURVE('',#150776,#150774,#67544,.T.); #184257=EDGE_CURVE('',#150777,#150775,#67545,.T.); #184258=EDGE_CURVE('',#150776,#150777,#67546,.T.); #184259=EDGE_CURVE('',#150778,#150776,#67547,.T.); #184260=EDGE_CURVE('',#150779,#150777,#67548,.T.); #184261=EDGE_CURVE('',#150778,#150779,#67549,.T.); #184262=EDGE_CURVE('',#150780,#150778,#67550,.T.); #184263=EDGE_CURVE('',#150781,#150779,#67551,.T.); #184264=EDGE_CURVE('',#150780,#150781,#67552,.T.); #184265=EDGE_CURVE('',#150782,#150780,#67553,.T.); #184266=EDGE_CURVE('',#150783,#150781,#67554,.T.); #184267=EDGE_CURVE('',#150782,#150783,#67555,.T.); #184268=EDGE_CURVE('',#150784,#150782,#67556,.T.); #184269=EDGE_CURVE('',#150785,#150783,#67557,.T.); #184270=EDGE_CURVE('',#150784,#150785,#67558,.T.); #184271=EDGE_CURVE('',#150786,#150784,#67559,.T.); #184272=EDGE_CURVE('',#150787,#150785,#67560,.T.); #184273=EDGE_CURVE('',#150786,#150787,#67561,.T.); #184274=EDGE_CURVE('',#150788,#150786,#67562,.T.); #184275=EDGE_CURVE('',#150789,#150787,#67563,.T.); #184276=EDGE_CURVE('',#150788,#150789,#67564,.T.); #184277=EDGE_CURVE('',#150790,#150788,#67565,.T.); #184278=EDGE_CURVE('',#150791,#150789,#67566,.T.); #184279=EDGE_CURVE('',#150790,#150791,#67567,.T.); #184280=EDGE_CURVE('',#150792,#150790,#67568,.T.); #184281=EDGE_CURVE('',#150793,#150791,#67569,.T.); #184282=EDGE_CURVE('',#150792,#150793,#67570,.T.); #184283=EDGE_CURVE('',#150794,#150792,#67571,.T.); #184284=EDGE_CURVE('',#150795,#150793,#67572,.T.); #184285=EDGE_CURVE('',#150794,#150795,#67573,.T.); #184286=EDGE_CURVE('',#150796,#150794,#67574,.T.); #184287=EDGE_CURVE('',#150797,#150795,#67575,.T.); #184288=EDGE_CURVE('',#150796,#150797,#67576,.T.); #184289=EDGE_CURVE('',#150798,#150796,#67577,.T.); #184290=EDGE_CURVE('',#150799,#150797,#67578,.T.); #184291=EDGE_CURVE('',#150798,#150799,#67579,.T.); #184292=EDGE_CURVE('',#150800,#150798,#67580,.T.); #184293=EDGE_CURVE('',#150801,#150799,#67581,.T.); #184294=EDGE_CURVE('',#150800,#150801,#67582,.T.); #184295=EDGE_CURVE('',#150802,#150800,#67583,.T.); #184296=EDGE_CURVE('',#150803,#150801,#67584,.T.); #184297=EDGE_CURVE('',#150802,#150803,#67585,.T.); #184298=EDGE_CURVE('',#150804,#150802,#67586,.T.); #184299=EDGE_CURVE('',#150805,#150803,#67587,.T.); #184300=EDGE_CURVE('',#150804,#150805,#67588,.T.); #184301=EDGE_CURVE('',#150806,#150804,#67589,.T.); #184302=EDGE_CURVE('',#150807,#150805,#67590,.T.); #184303=EDGE_CURVE('',#150806,#150807,#67591,.T.); #184304=EDGE_CURVE('',#150808,#150806,#67592,.T.); #184305=EDGE_CURVE('',#150809,#150807,#67593,.T.); #184306=EDGE_CURVE('',#150808,#150809,#67594,.T.); #184307=EDGE_CURVE('',#150810,#150808,#67595,.T.); #184308=EDGE_CURVE('',#150811,#150809,#67596,.T.); #184309=EDGE_CURVE('',#150810,#150811,#67597,.T.); #184310=EDGE_CURVE('',#150812,#150810,#67598,.T.); #184311=EDGE_CURVE('',#150813,#150811,#67599,.T.); #184312=EDGE_CURVE('',#150812,#150813,#67600,.T.); #184313=EDGE_CURVE('',#150814,#150812,#67601,.T.); #184314=EDGE_CURVE('',#150815,#150813,#67602,.T.); #184315=EDGE_CURVE('',#150814,#150815,#67603,.T.); #184316=EDGE_CURVE('',#150816,#150814,#67604,.T.); #184317=EDGE_CURVE('',#150817,#150815,#67605,.T.); #184318=EDGE_CURVE('',#150816,#150817,#67606,.T.); #184319=EDGE_CURVE('',#150818,#150816,#67607,.T.); #184320=EDGE_CURVE('',#150819,#150817,#67608,.T.); #184321=EDGE_CURVE('',#150818,#150819,#67609,.T.); #184322=EDGE_CURVE('',#150820,#150818,#67610,.T.); #184323=EDGE_CURVE('',#150821,#150819,#67611,.T.); #184324=EDGE_CURVE('',#150820,#150821,#67612,.T.); #184325=EDGE_CURVE('',#150822,#150820,#67613,.T.); #184326=EDGE_CURVE('',#150823,#150821,#67614,.T.); #184327=EDGE_CURVE('',#150822,#150823,#67615,.T.); #184328=EDGE_CURVE('',#150824,#150822,#67616,.T.); #184329=EDGE_CURVE('',#150825,#150823,#67617,.T.); #184330=EDGE_CURVE('',#150824,#150825,#67618,.T.); #184331=EDGE_CURVE('',#150826,#150824,#67619,.T.); #184332=EDGE_CURVE('',#150827,#150825,#67620,.T.); #184333=EDGE_CURVE('',#150826,#150827,#67621,.T.); #184334=EDGE_CURVE('',#150828,#150826,#67622,.T.); #184335=EDGE_CURVE('',#150829,#150827,#67623,.T.); #184336=EDGE_CURVE('',#150828,#150829,#67624,.T.); #184337=EDGE_CURVE('',#150830,#150828,#67625,.T.); #184338=EDGE_CURVE('',#150831,#150829,#67626,.T.); #184339=EDGE_CURVE('',#150830,#150831,#67627,.T.); #184340=EDGE_CURVE('',#150832,#150830,#67628,.T.); #184341=EDGE_CURVE('',#150833,#150831,#67629,.T.); #184342=EDGE_CURVE('',#150832,#150833,#67630,.T.); #184343=EDGE_CURVE('',#150834,#150832,#67631,.T.); #184344=EDGE_CURVE('',#150835,#150833,#67632,.T.); #184345=EDGE_CURVE('',#150834,#150835,#67633,.T.); #184346=EDGE_CURVE('',#150836,#150834,#67634,.T.); #184347=EDGE_CURVE('',#150837,#150835,#67635,.T.); #184348=EDGE_CURVE('',#150836,#150837,#67636,.T.); #184349=EDGE_CURVE('',#150838,#150836,#67637,.T.); #184350=EDGE_CURVE('',#150839,#150837,#67638,.T.); #184351=EDGE_CURVE('',#150838,#150839,#67639,.T.); #184352=EDGE_CURVE('',#150840,#150838,#67640,.T.); #184353=EDGE_CURVE('',#150841,#150839,#67641,.T.); #184354=EDGE_CURVE('',#150840,#150841,#67642,.T.); #184355=EDGE_CURVE('',#150842,#150840,#67643,.T.); #184356=EDGE_CURVE('',#150843,#150841,#67644,.T.); #184357=EDGE_CURVE('',#150842,#150843,#67645,.T.); #184358=EDGE_CURVE('',#150844,#150842,#67646,.T.); #184359=EDGE_CURVE('',#150845,#150843,#67647,.T.); #184360=EDGE_CURVE('',#150844,#150845,#67648,.T.); #184361=EDGE_CURVE('',#150846,#150844,#67649,.T.); #184362=EDGE_CURVE('',#150847,#150845,#67650,.T.); #184363=EDGE_CURVE('',#150846,#150847,#67651,.T.); #184364=EDGE_CURVE('',#150848,#150846,#67652,.T.); #184365=EDGE_CURVE('',#150849,#150847,#67653,.T.); #184366=EDGE_CURVE('',#150848,#150849,#67654,.T.); #184367=EDGE_CURVE('',#150850,#150848,#67655,.T.); #184368=EDGE_CURVE('',#150851,#150849,#67656,.T.); #184369=EDGE_CURVE('',#150850,#150851,#67657,.T.); #184370=EDGE_CURVE('',#150852,#150850,#67658,.T.); #184371=EDGE_CURVE('',#150853,#150851,#67659,.T.); #184372=EDGE_CURVE('',#150852,#150853,#67660,.T.); #184373=EDGE_CURVE('',#150854,#150852,#67661,.T.); #184374=EDGE_CURVE('',#150855,#150853,#67662,.T.); #184375=EDGE_CURVE('',#150854,#150855,#67663,.T.); #184376=EDGE_CURVE('',#150856,#150854,#67664,.T.); #184377=EDGE_CURVE('',#150857,#150855,#67665,.T.); #184378=EDGE_CURVE('',#150856,#150857,#67666,.T.); #184379=EDGE_CURVE('',#150858,#150856,#67667,.T.); #184380=EDGE_CURVE('',#150859,#150857,#67668,.T.); #184381=EDGE_CURVE('',#150858,#150859,#67669,.T.); #184382=EDGE_CURVE('',#150860,#150858,#67670,.T.); #184383=EDGE_CURVE('',#150861,#150859,#67671,.T.); #184384=EDGE_CURVE('',#150860,#150861,#67672,.T.); #184385=EDGE_CURVE('',#150862,#150860,#67673,.T.); #184386=EDGE_CURVE('',#150863,#150861,#67674,.T.); #184387=EDGE_CURVE('',#150862,#150863,#67675,.T.); #184388=EDGE_CURVE('',#150864,#150862,#67676,.T.); #184389=EDGE_CURVE('',#150865,#150863,#67677,.T.); #184390=EDGE_CURVE('',#150864,#150865,#67678,.T.); #184391=EDGE_CURVE('',#150866,#150864,#67679,.T.); #184392=EDGE_CURVE('',#150867,#150865,#67680,.T.); #184393=EDGE_CURVE('',#150866,#150867,#67681,.T.); #184394=EDGE_CURVE('',#150868,#150866,#67682,.T.); #184395=EDGE_CURVE('',#150869,#150867,#67683,.T.); #184396=EDGE_CURVE('',#150868,#150869,#67684,.T.); #184397=EDGE_CURVE('',#150870,#150868,#67685,.T.); #184398=EDGE_CURVE('',#150871,#150869,#67686,.T.); #184399=EDGE_CURVE('',#150870,#150871,#67687,.T.); #184400=EDGE_CURVE('',#150872,#150870,#67688,.T.); #184401=EDGE_CURVE('',#150873,#150871,#67689,.T.); #184402=EDGE_CURVE('',#150872,#150873,#67690,.T.); #184403=EDGE_CURVE('',#150874,#150872,#67691,.T.); #184404=EDGE_CURVE('',#150875,#150873,#67692,.T.); #184405=EDGE_CURVE('',#150874,#150875,#67693,.T.); #184406=EDGE_CURVE('',#150876,#150874,#67694,.T.); #184407=EDGE_CURVE('',#150877,#150875,#67695,.T.); #184408=EDGE_CURVE('',#150876,#150877,#67696,.T.); #184409=EDGE_CURVE('',#150878,#150876,#67697,.T.); #184410=EDGE_CURVE('',#150879,#150877,#67698,.T.); #184411=EDGE_CURVE('',#150878,#150879,#67699,.T.); #184412=EDGE_CURVE('',#150880,#150878,#67700,.T.); #184413=EDGE_CURVE('',#150881,#150879,#67701,.T.); #184414=EDGE_CURVE('',#150880,#150881,#67702,.T.); #184415=EDGE_CURVE('',#150882,#150880,#67703,.T.); #184416=EDGE_CURVE('',#150883,#150881,#67704,.T.); #184417=EDGE_CURVE('',#150882,#150883,#67705,.T.); #184418=EDGE_CURVE('',#150884,#150882,#67706,.T.); #184419=EDGE_CURVE('',#150885,#150883,#67707,.T.); #184420=EDGE_CURVE('',#150884,#150885,#67708,.T.); #184421=EDGE_CURVE('',#150886,#150884,#67709,.T.); #184422=EDGE_CURVE('',#150887,#150885,#67710,.T.); #184423=EDGE_CURVE('',#150886,#150887,#67711,.T.); #184424=EDGE_CURVE('',#150888,#150886,#67712,.T.); #184425=EDGE_CURVE('',#150889,#150887,#67713,.T.); #184426=EDGE_CURVE('',#150888,#150889,#67714,.T.); #184427=EDGE_CURVE('',#150890,#150888,#67715,.T.); #184428=EDGE_CURVE('',#150891,#150889,#67716,.T.); #184429=EDGE_CURVE('',#150890,#150891,#67717,.T.); #184430=EDGE_CURVE('',#150892,#150890,#67718,.T.); #184431=EDGE_CURVE('',#150893,#150891,#67719,.T.); #184432=EDGE_CURVE('',#150892,#150893,#67720,.T.); #184433=EDGE_CURVE('',#150894,#150892,#67721,.T.); #184434=EDGE_CURVE('',#150895,#150893,#67722,.T.); #184435=EDGE_CURVE('',#150894,#150895,#67723,.T.); #184436=EDGE_CURVE('',#150896,#150894,#67724,.T.); #184437=EDGE_CURVE('',#150897,#150895,#67725,.T.); #184438=EDGE_CURVE('',#150896,#150897,#67726,.T.); #184439=EDGE_CURVE('',#150898,#150896,#67727,.T.); #184440=EDGE_CURVE('',#150899,#150897,#67728,.T.); #184441=EDGE_CURVE('',#150898,#150899,#67729,.T.); #184442=EDGE_CURVE('',#150900,#150898,#67730,.T.); #184443=EDGE_CURVE('',#150901,#150899,#67731,.T.); #184444=EDGE_CURVE('',#150900,#150901,#67732,.T.); #184445=EDGE_CURVE('',#150902,#150900,#67733,.T.); #184446=EDGE_CURVE('',#150903,#150901,#67734,.T.); #184447=EDGE_CURVE('',#150902,#150903,#67735,.T.); #184448=EDGE_CURVE('',#150904,#150902,#67736,.T.); #184449=EDGE_CURVE('',#150905,#150903,#67737,.T.); #184450=EDGE_CURVE('',#150904,#150905,#67738,.T.); #184451=EDGE_CURVE('',#150906,#150904,#67739,.T.); #184452=EDGE_CURVE('',#150907,#150905,#67740,.T.); #184453=EDGE_CURVE('',#150906,#150907,#67741,.T.); #184454=EDGE_CURVE('',#150908,#150906,#67742,.T.); #184455=EDGE_CURVE('',#150909,#150907,#67743,.T.); #184456=EDGE_CURVE('',#150908,#150909,#67744,.T.); #184457=EDGE_CURVE('',#150910,#150908,#67745,.T.); #184458=EDGE_CURVE('',#150911,#150909,#67746,.T.); #184459=EDGE_CURVE('',#150910,#150911,#67747,.T.); #184460=EDGE_CURVE('',#150912,#150910,#67748,.T.); #184461=EDGE_CURVE('',#150913,#150911,#67749,.T.); #184462=EDGE_CURVE('',#150912,#150913,#67750,.T.); #184463=EDGE_CURVE('',#150914,#150912,#67751,.T.); #184464=EDGE_CURVE('',#150915,#150913,#67752,.T.); #184465=EDGE_CURVE('',#150914,#150915,#67753,.T.); #184466=EDGE_CURVE('',#150916,#150914,#67754,.T.); #184467=EDGE_CURVE('',#150917,#150915,#67755,.T.); #184468=EDGE_CURVE('',#150916,#150917,#67756,.T.); #184469=EDGE_CURVE('',#150918,#150916,#67757,.T.); #184470=EDGE_CURVE('',#150919,#150917,#67758,.T.); #184471=EDGE_CURVE('',#150918,#150919,#67759,.T.); #184472=EDGE_CURVE('',#150920,#150918,#67760,.T.); #184473=EDGE_CURVE('',#150921,#150919,#67761,.T.); #184474=EDGE_CURVE('',#150920,#150921,#67762,.T.); #184475=EDGE_CURVE('',#150922,#150920,#67763,.T.); #184476=EDGE_CURVE('',#150923,#150921,#67764,.T.); #184477=EDGE_CURVE('',#150922,#150923,#67765,.T.); #184478=EDGE_CURVE('',#150924,#150922,#67766,.T.); #184479=EDGE_CURVE('',#150925,#150923,#67767,.T.); #184480=EDGE_CURVE('',#150924,#150925,#67768,.T.); #184481=EDGE_CURVE('',#150926,#150924,#67769,.T.); #184482=EDGE_CURVE('',#150927,#150925,#67770,.T.); #184483=EDGE_CURVE('',#150926,#150927,#67771,.T.); #184484=EDGE_CURVE('',#150928,#150926,#67772,.T.); #184485=EDGE_CURVE('',#150929,#150927,#67773,.T.); #184486=EDGE_CURVE('',#150928,#150929,#67774,.T.); #184487=EDGE_CURVE('',#150930,#150928,#67775,.T.); #184488=EDGE_CURVE('',#150931,#150929,#67776,.T.); #184489=EDGE_CURVE('',#150930,#150931,#67777,.T.); #184490=EDGE_CURVE('',#150932,#150930,#67778,.T.); #184491=EDGE_CURVE('',#150933,#150931,#67779,.T.); #184492=EDGE_CURVE('',#150932,#150933,#67780,.T.); #184493=EDGE_CURVE('',#150934,#150932,#67781,.T.); #184494=EDGE_CURVE('',#150935,#150933,#67782,.T.); #184495=EDGE_CURVE('',#150934,#150935,#67783,.T.); #184496=EDGE_CURVE('',#150936,#150934,#67784,.T.); #184497=EDGE_CURVE('',#150937,#150935,#67785,.T.); #184498=EDGE_CURVE('',#150936,#150937,#67786,.T.); #184499=EDGE_CURVE('',#150938,#150936,#67787,.T.); #184500=EDGE_CURVE('',#150939,#150937,#67788,.T.); #184501=EDGE_CURVE('',#150938,#150939,#67789,.T.); #184502=EDGE_CURVE('',#150940,#150938,#67790,.T.); #184503=EDGE_CURVE('',#150941,#150939,#67791,.T.); #184504=EDGE_CURVE('',#150940,#150941,#67792,.T.); #184505=EDGE_CURVE('',#150942,#150940,#67793,.T.); #184506=EDGE_CURVE('',#150943,#150941,#67794,.T.); #184507=EDGE_CURVE('',#150942,#150943,#67795,.T.); #184508=EDGE_CURVE('',#150944,#150942,#67796,.T.); #184509=EDGE_CURVE('',#150945,#150943,#67797,.T.); #184510=EDGE_CURVE('',#150944,#150945,#67798,.T.); #184511=EDGE_CURVE('',#150946,#150944,#67799,.T.); #184512=EDGE_CURVE('',#150947,#150945,#67800,.T.); #184513=EDGE_CURVE('',#150946,#150947,#67801,.T.); #184514=EDGE_CURVE('',#150948,#150946,#67802,.T.); #184515=EDGE_CURVE('',#150949,#150947,#67803,.T.); #184516=EDGE_CURVE('',#150948,#150949,#67804,.T.); #184517=EDGE_CURVE('',#149853,#150948,#67805,.T.); #184518=EDGE_CURVE('',#149854,#150949,#67806,.T.); #184519=EDGE_CURVE('',#150950,#150951,#67807,.T.); #184520=EDGE_CURVE('',#150951,#150952,#67808,.T.); #184521=EDGE_CURVE('',#150953,#150952,#67809,.T.); #184522=EDGE_CURVE('',#150950,#150953,#67810,.T.); #184523=EDGE_CURVE('',#150954,#150950,#67811,.T.); #184524=EDGE_CURVE('',#150955,#150953,#67812,.T.); #184525=EDGE_CURVE('',#150954,#150955,#67813,.T.); #184526=EDGE_CURVE('',#150956,#150954,#67814,.T.); #184527=EDGE_CURVE('',#150957,#150955,#67815,.T.); #184528=EDGE_CURVE('',#150956,#150957,#67816,.T.); #184529=EDGE_CURVE('',#150958,#150956,#67817,.T.); #184530=EDGE_CURVE('',#150959,#150957,#67818,.T.); #184531=EDGE_CURVE('',#150958,#150959,#67819,.T.); #184532=EDGE_CURVE('',#150960,#150958,#67820,.T.); #184533=EDGE_CURVE('',#150961,#150959,#67821,.T.); #184534=EDGE_CURVE('',#150960,#150961,#67822,.T.); #184535=EDGE_CURVE('',#150962,#150960,#67823,.T.); #184536=EDGE_CURVE('',#150963,#150961,#67824,.T.); #184537=EDGE_CURVE('',#150962,#150963,#67825,.T.); #184538=EDGE_CURVE('',#150964,#150962,#67826,.T.); #184539=EDGE_CURVE('',#150965,#150963,#67827,.T.); #184540=EDGE_CURVE('',#150964,#150965,#67828,.T.); #184541=EDGE_CURVE('',#150966,#150964,#67829,.T.); #184542=EDGE_CURVE('',#150967,#150965,#67830,.T.); #184543=EDGE_CURVE('',#150966,#150967,#67831,.T.); #184544=EDGE_CURVE('',#150968,#150966,#67832,.T.); #184545=EDGE_CURVE('',#150969,#150967,#67833,.T.); #184546=EDGE_CURVE('',#150968,#150969,#67834,.T.); #184547=EDGE_CURVE('',#150970,#150968,#67835,.T.); #184548=EDGE_CURVE('',#150971,#150969,#67836,.T.); #184549=EDGE_CURVE('',#150970,#150971,#67837,.T.); #184550=EDGE_CURVE('',#150972,#150970,#67838,.T.); #184551=EDGE_CURVE('',#150973,#150971,#67839,.T.); #184552=EDGE_CURVE('',#150972,#150973,#67840,.T.); #184553=EDGE_CURVE('',#150974,#150972,#67841,.T.); #184554=EDGE_CURVE('',#150975,#150973,#67842,.T.); #184555=EDGE_CURVE('',#150974,#150975,#67843,.T.); #184556=EDGE_CURVE('',#150976,#150974,#67844,.T.); #184557=EDGE_CURVE('',#150977,#150975,#67845,.T.); #184558=EDGE_CURVE('',#150976,#150977,#67846,.T.); #184559=EDGE_CURVE('',#150978,#150976,#67847,.T.); #184560=EDGE_CURVE('',#150979,#150977,#67848,.T.); #184561=EDGE_CURVE('',#150978,#150979,#67849,.T.); #184562=EDGE_CURVE('',#150980,#150978,#67850,.T.); #184563=EDGE_CURVE('',#150981,#150979,#67851,.T.); #184564=EDGE_CURVE('',#150980,#150981,#67852,.T.); #184565=EDGE_CURVE('',#150982,#150980,#67853,.T.); #184566=EDGE_CURVE('',#150983,#150981,#67854,.T.); #184567=EDGE_CURVE('',#150982,#150983,#67855,.T.); #184568=EDGE_CURVE('',#150984,#150982,#67856,.T.); #184569=EDGE_CURVE('',#150985,#150983,#67857,.T.); #184570=EDGE_CURVE('',#150984,#150985,#67858,.T.); #184571=EDGE_CURVE('',#150986,#150984,#67859,.T.); #184572=EDGE_CURVE('',#150987,#150985,#67860,.T.); #184573=EDGE_CURVE('',#150986,#150987,#67861,.T.); #184574=EDGE_CURVE('',#150988,#150986,#67862,.T.); #184575=EDGE_CURVE('',#150989,#150987,#67863,.T.); #184576=EDGE_CURVE('',#150988,#150989,#67864,.T.); #184577=EDGE_CURVE('',#150990,#150988,#67865,.T.); #184578=EDGE_CURVE('',#150991,#150989,#67866,.T.); #184579=EDGE_CURVE('',#150990,#150991,#67867,.T.); #184580=EDGE_CURVE('',#150992,#150990,#67868,.T.); #184581=EDGE_CURVE('',#150993,#150991,#67869,.T.); #184582=EDGE_CURVE('',#150992,#150993,#67870,.T.); #184583=EDGE_CURVE('',#150994,#150992,#67871,.T.); #184584=EDGE_CURVE('',#150995,#150993,#67872,.T.); #184585=EDGE_CURVE('',#150994,#150995,#67873,.T.); #184586=EDGE_CURVE('',#150996,#150994,#67874,.T.); #184587=EDGE_CURVE('',#150997,#150995,#67875,.T.); #184588=EDGE_CURVE('',#150996,#150997,#67876,.T.); #184589=EDGE_CURVE('',#150998,#150996,#67877,.T.); #184590=EDGE_CURVE('',#150999,#150997,#67878,.T.); #184591=EDGE_CURVE('',#150998,#150999,#67879,.T.); #184592=EDGE_CURVE('',#151000,#150998,#67880,.T.); #184593=EDGE_CURVE('',#151001,#150999,#67881,.T.); #184594=EDGE_CURVE('',#151000,#151001,#67882,.T.); #184595=EDGE_CURVE('',#151002,#151000,#67883,.T.); #184596=EDGE_CURVE('',#151003,#151001,#67884,.T.); #184597=EDGE_CURVE('',#151002,#151003,#67885,.T.); #184598=EDGE_CURVE('',#151004,#151002,#67886,.T.); #184599=EDGE_CURVE('',#151005,#151003,#67887,.T.); #184600=EDGE_CURVE('',#151004,#151005,#67888,.T.); #184601=EDGE_CURVE('',#151006,#151004,#67889,.T.); #184602=EDGE_CURVE('',#151007,#151005,#67890,.T.); #184603=EDGE_CURVE('',#151006,#151007,#67891,.T.); #184604=EDGE_CURVE('',#151008,#151006,#67892,.T.); #184605=EDGE_CURVE('',#151009,#151007,#67893,.T.); #184606=EDGE_CURVE('',#151008,#151009,#67894,.T.); #184607=EDGE_CURVE('',#151010,#151008,#67895,.T.); #184608=EDGE_CURVE('',#151011,#151009,#67896,.T.); #184609=EDGE_CURVE('',#151010,#151011,#67897,.T.); #184610=EDGE_CURVE('',#151012,#151010,#67898,.T.); #184611=EDGE_CURVE('',#151013,#151011,#67899,.T.); #184612=EDGE_CURVE('',#151012,#151013,#67900,.T.); #184613=EDGE_CURVE('',#151014,#151012,#67901,.T.); #184614=EDGE_CURVE('',#151015,#151013,#67902,.T.); #184615=EDGE_CURVE('',#151014,#151015,#67903,.T.); #184616=EDGE_CURVE('',#151016,#151014,#67904,.T.); #184617=EDGE_CURVE('',#151017,#151015,#67905,.T.); #184618=EDGE_CURVE('',#151016,#151017,#67906,.T.); #184619=EDGE_CURVE('',#151018,#151016,#67907,.T.); #184620=EDGE_CURVE('',#151019,#151017,#67908,.T.); #184621=EDGE_CURVE('',#151018,#151019,#67909,.T.); #184622=EDGE_CURVE('',#151020,#151018,#67910,.T.); #184623=EDGE_CURVE('',#151021,#151019,#67911,.T.); #184624=EDGE_CURVE('',#151020,#151021,#67912,.T.); #184625=EDGE_CURVE('',#151022,#151020,#67913,.T.); #184626=EDGE_CURVE('',#151023,#151021,#67914,.T.); #184627=EDGE_CURVE('',#151022,#151023,#67915,.T.); #184628=EDGE_CURVE('',#151024,#151022,#67916,.T.); #184629=EDGE_CURVE('',#151025,#151023,#67917,.T.); #184630=EDGE_CURVE('',#151024,#151025,#67918,.T.); #184631=EDGE_CURVE('',#151026,#151024,#67919,.T.); #184632=EDGE_CURVE('',#151027,#151025,#67920,.T.); #184633=EDGE_CURVE('',#151026,#151027,#67921,.T.); #184634=EDGE_CURVE('',#151028,#151026,#67922,.T.); #184635=EDGE_CURVE('',#151029,#151027,#67923,.T.); #184636=EDGE_CURVE('',#151028,#151029,#67924,.T.); #184637=EDGE_CURVE('',#151030,#151028,#67925,.T.); #184638=EDGE_CURVE('',#151031,#151029,#67926,.T.); #184639=EDGE_CURVE('',#151030,#151031,#67927,.T.); #184640=EDGE_CURVE('',#151032,#151030,#67928,.T.); #184641=EDGE_CURVE('',#151033,#151031,#67929,.T.); #184642=EDGE_CURVE('',#151032,#151033,#67930,.T.); #184643=EDGE_CURVE('',#151034,#151032,#67931,.T.); #184644=EDGE_CURVE('',#151035,#151033,#67932,.T.); #184645=EDGE_CURVE('',#151034,#151035,#67933,.T.); #184646=EDGE_CURVE('',#151036,#151034,#67934,.T.); #184647=EDGE_CURVE('',#151037,#151035,#67935,.T.); #184648=EDGE_CURVE('',#151036,#151037,#67936,.T.); #184649=EDGE_CURVE('',#151038,#151036,#67937,.T.); #184650=EDGE_CURVE('',#151039,#151037,#67938,.T.); #184651=EDGE_CURVE('',#151038,#151039,#67939,.T.); #184652=EDGE_CURVE('',#151040,#151038,#67940,.T.); #184653=EDGE_CURVE('',#151041,#151039,#67941,.T.); #184654=EDGE_CURVE('',#151040,#151041,#67942,.T.); #184655=EDGE_CURVE('',#151042,#151040,#67943,.T.); #184656=EDGE_CURVE('',#151043,#151041,#67944,.T.); #184657=EDGE_CURVE('',#151042,#151043,#67945,.T.); #184658=EDGE_CURVE('',#151044,#151042,#67946,.T.); #184659=EDGE_CURVE('',#151045,#151043,#67947,.T.); #184660=EDGE_CURVE('',#151044,#151045,#67948,.T.); #184661=EDGE_CURVE('',#151046,#151044,#67949,.T.); #184662=EDGE_CURVE('',#151047,#151045,#67950,.T.); #184663=EDGE_CURVE('',#151046,#151047,#67951,.T.); #184664=EDGE_CURVE('',#151048,#151046,#67952,.T.); #184665=EDGE_CURVE('',#151049,#151047,#67953,.T.); #184666=EDGE_CURVE('',#151048,#151049,#67954,.T.); #184667=EDGE_CURVE('',#151050,#151048,#67955,.T.); #184668=EDGE_CURVE('',#151051,#151049,#67956,.T.); #184669=EDGE_CURVE('',#151050,#151051,#67957,.T.); #184670=EDGE_CURVE('',#151052,#151050,#67958,.T.); #184671=EDGE_CURVE('',#151053,#151051,#67959,.T.); #184672=EDGE_CURVE('',#151052,#151053,#67960,.T.); #184673=EDGE_CURVE('',#151054,#151052,#67961,.T.); #184674=EDGE_CURVE('',#151055,#151053,#67962,.T.); #184675=EDGE_CURVE('',#151054,#151055,#67963,.T.); #184676=EDGE_CURVE('',#151056,#151054,#67964,.T.); #184677=EDGE_CURVE('',#151057,#151055,#67965,.T.); #184678=EDGE_CURVE('',#151056,#151057,#67966,.T.); #184679=EDGE_CURVE('',#151058,#151056,#67967,.T.); #184680=EDGE_CURVE('',#151059,#151057,#67968,.T.); #184681=EDGE_CURVE('',#151058,#151059,#67969,.T.); #184682=EDGE_CURVE('',#151060,#151058,#67970,.T.); #184683=EDGE_CURVE('',#151061,#151059,#67971,.T.); #184684=EDGE_CURVE('',#151060,#151061,#67972,.T.); #184685=EDGE_CURVE('',#151062,#151060,#67973,.T.); #184686=EDGE_CURVE('',#151063,#151061,#67974,.T.); #184687=EDGE_CURVE('',#151062,#151063,#67975,.T.); #184688=EDGE_CURVE('',#151064,#151062,#67976,.T.); #184689=EDGE_CURVE('',#151065,#151063,#67977,.T.); #184690=EDGE_CURVE('',#151064,#151065,#67978,.T.); #184691=EDGE_CURVE('',#151066,#151064,#67979,.T.); #184692=EDGE_CURVE('',#151067,#151065,#67980,.T.); #184693=EDGE_CURVE('',#151066,#151067,#67981,.T.); #184694=EDGE_CURVE('',#151068,#151066,#67982,.T.); #184695=EDGE_CURVE('',#151069,#151067,#67983,.T.); #184696=EDGE_CURVE('',#151068,#151069,#67984,.T.); #184697=EDGE_CURVE('',#151070,#151068,#67985,.T.); #184698=EDGE_CURVE('',#151071,#151069,#67986,.T.); #184699=EDGE_CURVE('',#151070,#151071,#67987,.T.); #184700=EDGE_CURVE('',#151072,#151070,#67988,.T.); #184701=EDGE_CURVE('',#151073,#151071,#67989,.T.); #184702=EDGE_CURVE('',#151072,#151073,#67990,.T.); #184703=EDGE_CURVE('',#151074,#151072,#67991,.T.); #184704=EDGE_CURVE('',#151075,#151073,#67992,.T.); #184705=EDGE_CURVE('',#151074,#151075,#67993,.T.); #184706=EDGE_CURVE('',#151076,#151074,#67994,.T.); #184707=EDGE_CURVE('',#151077,#151075,#67995,.T.); #184708=EDGE_CURVE('',#151076,#151077,#67996,.T.); #184709=EDGE_CURVE('',#151078,#151076,#67997,.T.); #184710=EDGE_CURVE('',#151079,#151077,#67998,.T.); #184711=EDGE_CURVE('',#151078,#151079,#67999,.T.); #184712=EDGE_CURVE('',#151080,#151078,#68000,.T.); #184713=EDGE_CURVE('',#151081,#151079,#68001,.T.); #184714=EDGE_CURVE('',#151080,#151081,#68002,.T.); #184715=EDGE_CURVE('',#151082,#151080,#68003,.T.); #184716=EDGE_CURVE('',#151083,#151081,#68004,.T.); #184717=EDGE_CURVE('',#151082,#151083,#68005,.T.); #184718=EDGE_CURVE('',#151084,#151082,#68006,.T.); #184719=EDGE_CURVE('',#151085,#151083,#68007,.T.); #184720=EDGE_CURVE('',#151084,#151085,#68008,.T.); #184721=EDGE_CURVE('',#151086,#151084,#68009,.T.); #184722=EDGE_CURVE('',#151087,#151085,#68010,.T.); #184723=EDGE_CURVE('',#151086,#151087,#68011,.T.); #184724=EDGE_CURVE('',#151088,#151086,#68012,.T.); #184725=EDGE_CURVE('',#151089,#151087,#68013,.T.); #184726=EDGE_CURVE('',#151088,#151089,#68014,.T.); #184727=EDGE_CURVE('',#151090,#151088,#68015,.T.); #184728=EDGE_CURVE('',#151091,#151089,#68016,.T.); #184729=EDGE_CURVE('',#151090,#151091,#68017,.T.); #184730=EDGE_CURVE('',#151092,#151090,#68018,.T.); #184731=EDGE_CURVE('',#151093,#151091,#68019,.T.); #184732=EDGE_CURVE('',#151092,#151093,#68020,.T.); #184733=EDGE_CURVE('',#151094,#151092,#68021,.T.); #184734=EDGE_CURVE('',#151095,#151093,#68022,.T.); #184735=EDGE_CURVE('',#151094,#151095,#68023,.T.); #184736=EDGE_CURVE('',#151096,#151094,#68024,.T.); #184737=EDGE_CURVE('',#151097,#151095,#68025,.T.); #184738=EDGE_CURVE('',#151096,#151097,#68026,.T.); #184739=EDGE_CURVE('',#151098,#151096,#68027,.T.); #184740=EDGE_CURVE('',#151099,#151097,#68028,.T.); #184741=EDGE_CURVE('',#151098,#151099,#68029,.T.); #184742=EDGE_CURVE('',#151100,#151098,#68030,.T.); #184743=EDGE_CURVE('',#151101,#151099,#68031,.T.); #184744=EDGE_CURVE('',#151100,#151101,#68032,.T.); #184745=EDGE_CURVE('',#151102,#151100,#68033,.T.); #184746=EDGE_CURVE('',#151103,#151101,#68034,.T.); #184747=EDGE_CURVE('',#151102,#151103,#68035,.T.); #184748=EDGE_CURVE('',#151104,#151102,#68036,.T.); #184749=EDGE_CURVE('',#151105,#151103,#68037,.T.); #184750=EDGE_CURVE('',#151104,#151105,#68038,.T.); #184751=EDGE_CURVE('',#151106,#151104,#68039,.T.); #184752=EDGE_CURVE('',#151107,#151105,#68040,.T.); #184753=EDGE_CURVE('',#151106,#151107,#68041,.T.); #184754=EDGE_CURVE('',#151108,#151106,#68042,.T.); #184755=EDGE_CURVE('',#151109,#151107,#68043,.T.); #184756=EDGE_CURVE('',#151108,#151109,#68044,.T.); #184757=EDGE_CURVE('',#151110,#151108,#68045,.T.); #184758=EDGE_CURVE('',#151111,#151109,#68046,.T.); #184759=EDGE_CURVE('',#151110,#151111,#68047,.T.); #184760=EDGE_CURVE('',#151112,#151110,#68048,.T.); #184761=EDGE_CURVE('',#151113,#151111,#68049,.T.); #184762=EDGE_CURVE('',#151112,#151113,#68050,.T.); #184763=EDGE_CURVE('',#151114,#151112,#68051,.T.); #184764=EDGE_CURVE('',#151115,#151113,#68052,.T.); #184765=EDGE_CURVE('',#151114,#151115,#68053,.T.); #184766=EDGE_CURVE('',#151116,#151114,#68054,.T.); #184767=EDGE_CURVE('',#151117,#151115,#68055,.T.); #184768=EDGE_CURVE('',#151116,#151117,#68056,.T.); #184769=EDGE_CURVE('',#151118,#151116,#68057,.T.); #184770=EDGE_CURVE('',#151119,#151117,#68058,.T.); #184771=EDGE_CURVE('',#151118,#151119,#68059,.T.); #184772=EDGE_CURVE('',#151120,#151118,#68060,.T.); #184773=EDGE_CURVE('',#151121,#151119,#68061,.T.); #184774=EDGE_CURVE('',#151120,#151121,#68062,.T.); #184775=EDGE_CURVE('',#151122,#151120,#68063,.T.); #184776=EDGE_CURVE('',#151123,#151121,#68064,.T.); #184777=EDGE_CURVE('',#151122,#151123,#68065,.T.); #184778=EDGE_CURVE('',#151124,#151122,#68066,.T.); #184779=EDGE_CURVE('',#151125,#151123,#68067,.T.); #184780=EDGE_CURVE('',#151124,#151125,#68068,.T.); #184781=EDGE_CURVE('',#151126,#151124,#68069,.T.); #184782=EDGE_CURVE('',#151127,#151125,#68070,.T.); #184783=EDGE_CURVE('',#151126,#151127,#68071,.T.); #184784=EDGE_CURVE('',#151128,#151126,#68072,.T.); #184785=EDGE_CURVE('',#151129,#151127,#68073,.T.); #184786=EDGE_CURVE('',#151128,#151129,#68074,.T.); #184787=EDGE_CURVE('',#151130,#151128,#68075,.T.); #184788=EDGE_CURVE('',#151131,#151129,#68076,.T.); #184789=EDGE_CURVE('',#151130,#151131,#68077,.T.); #184790=EDGE_CURVE('',#151132,#151130,#68078,.T.); #184791=EDGE_CURVE('',#151133,#151131,#68079,.T.); #184792=EDGE_CURVE('',#151132,#151133,#68080,.T.); #184793=EDGE_CURVE('',#151134,#151132,#68081,.T.); #184794=EDGE_CURVE('',#151135,#151133,#68082,.T.); #184795=EDGE_CURVE('',#151134,#151135,#68083,.T.); #184796=EDGE_CURVE('',#151136,#151134,#68084,.T.); #184797=EDGE_CURVE('',#151137,#151135,#68085,.T.); #184798=EDGE_CURVE('',#151136,#151137,#68086,.T.); #184799=EDGE_CURVE('',#151138,#151136,#68087,.T.); #184800=EDGE_CURVE('',#151139,#151137,#68088,.T.); #184801=EDGE_CURVE('',#151138,#151139,#68089,.T.); #184802=EDGE_CURVE('',#151140,#151138,#68090,.T.); #184803=EDGE_CURVE('',#151141,#151139,#68091,.T.); #184804=EDGE_CURVE('',#151140,#151141,#68092,.T.); #184805=EDGE_CURVE('',#151142,#151140,#68093,.T.); #184806=EDGE_CURVE('',#151143,#151141,#68094,.T.); #184807=EDGE_CURVE('',#151142,#151143,#68095,.T.); #184808=EDGE_CURVE('',#151144,#151142,#68096,.T.); #184809=EDGE_CURVE('',#151145,#151143,#68097,.T.); #184810=EDGE_CURVE('',#151144,#151145,#68098,.T.); #184811=EDGE_CURVE('',#151146,#151144,#68099,.T.); #184812=EDGE_CURVE('',#151147,#151145,#68100,.T.); #184813=EDGE_CURVE('',#151146,#151147,#68101,.T.); #184814=EDGE_CURVE('',#151148,#151146,#68102,.T.); #184815=EDGE_CURVE('',#151149,#151147,#68103,.T.); #184816=EDGE_CURVE('',#151148,#151149,#68104,.T.); #184817=EDGE_CURVE('',#151150,#151148,#68105,.T.); #184818=EDGE_CURVE('',#151151,#151149,#68106,.T.); #184819=EDGE_CURVE('',#151150,#151151,#68107,.T.); #184820=EDGE_CURVE('',#151152,#151150,#68108,.T.); #184821=EDGE_CURVE('',#151153,#151151,#68109,.T.); #184822=EDGE_CURVE('',#151152,#151153,#68110,.T.); #184823=EDGE_CURVE('',#151154,#151152,#68111,.T.); #184824=EDGE_CURVE('',#151155,#151153,#68112,.T.); #184825=EDGE_CURVE('',#151154,#151155,#68113,.T.); #184826=EDGE_CURVE('',#151156,#151154,#68114,.T.); #184827=EDGE_CURVE('',#151157,#151155,#68115,.T.); #184828=EDGE_CURVE('',#151156,#151157,#68116,.T.); #184829=EDGE_CURVE('',#151158,#151156,#68117,.T.); #184830=EDGE_CURVE('',#151159,#151157,#68118,.T.); #184831=EDGE_CURVE('',#151158,#151159,#68119,.T.); #184832=EDGE_CURVE('',#151160,#151158,#68120,.T.); #184833=EDGE_CURVE('',#151161,#151159,#68121,.T.); #184834=EDGE_CURVE('',#151160,#151161,#68122,.T.); #184835=EDGE_CURVE('',#151162,#151160,#68123,.T.); #184836=EDGE_CURVE('',#151163,#151161,#68124,.T.); #184837=EDGE_CURVE('',#151162,#151163,#68125,.T.); #184838=EDGE_CURVE('',#151164,#151162,#68126,.T.); #184839=EDGE_CURVE('',#151165,#151163,#68127,.T.); #184840=EDGE_CURVE('',#151164,#151165,#68128,.T.); #184841=EDGE_CURVE('',#151166,#151164,#68129,.T.); #184842=EDGE_CURVE('',#151167,#151165,#68130,.T.); #184843=EDGE_CURVE('',#151166,#151167,#68131,.T.); #184844=EDGE_CURVE('',#151168,#151166,#68132,.T.); #184845=EDGE_CURVE('',#151169,#151167,#68133,.T.); #184846=EDGE_CURVE('',#151168,#151169,#68134,.T.); #184847=EDGE_CURVE('',#151170,#151168,#68135,.T.); #184848=EDGE_CURVE('',#151171,#151169,#68136,.T.); #184849=EDGE_CURVE('',#151170,#151171,#68137,.T.); #184850=EDGE_CURVE('',#151172,#151170,#68138,.T.); #184851=EDGE_CURVE('',#151173,#151171,#68139,.T.); #184852=EDGE_CURVE('',#151172,#151173,#68140,.T.); #184853=EDGE_CURVE('',#151174,#151172,#68141,.T.); #184854=EDGE_CURVE('',#151175,#151173,#68142,.T.); #184855=EDGE_CURVE('',#151174,#151175,#68143,.T.); #184856=EDGE_CURVE('',#151176,#151174,#68144,.T.); #184857=EDGE_CURVE('',#151177,#151175,#68145,.T.); #184858=EDGE_CURVE('',#151176,#151177,#68146,.T.); #184859=EDGE_CURVE('',#151178,#151176,#68147,.T.); #184860=EDGE_CURVE('',#151179,#151177,#68148,.T.); #184861=EDGE_CURVE('',#151178,#151179,#68149,.T.); #184862=EDGE_CURVE('',#151180,#151178,#68150,.T.); #184863=EDGE_CURVE('',#151181,#151179,#68151,.T.); #184864=EDGE_CURVE('',#151180,#151181,#68152,.T.); #184865=EDGE_CURVE('',#151182,#151180,#68153,.T.); #184866=EDGE_CURVE('',#151183,#151181,#68154,.T.); #184867=EDGE_CURVE('',#151182,#151183,#68155,.T.); #184868=EDGE_CURVE('',#151184,#151182,#68156,.T.); #184869=EDGE_CURVE('',#151185,#151183,#68157,.T.); #184870=EDGE_CURVE('',#151184,#151185,#68158,.T.); #184871=EDGE_CURVE('',#151186,#151184,#68159,.T.); #184872=EDGE_CURVE('',#151187,#151185,#68160,.T.); #184873=EDGE_CURVE('',#151186,#151187,#68161,.T.); #184874=EDGE_CURVE('',#150951,#151186,#68162,.T.); #184875=EDGE_CURVE('',#150952,#151187,#68163,.T.); #184876=EDGE_CURVE('',#151188,#151189,#68164,.T.); #184877=EDGE_CURVE('',#151189,#151190,#68165,.T.); #184878=EDGE_CURVE('',#151191,#151190,#68166,.T.); #184879=EDGE_CURVE('',#151188,#151191,#68167,.T.); #184880=EDGE_CURVE('',#151192,#151188,#68168,.T.); #184881=EDGE_CURVE('',#151193,#151191,#68169,.T.); #184882=EDGE_CURVE('',#151192,#151193,#68170,.T.); #184883=EDGE_CURVE('',#151194,#151192,#68171,.T.); #184884=EDGE_CURVE('',#151195,#151193,#68172,.T.); #184885=EDGE_CURVE('',#151194,#151195,#68173,.T.); #184886=EDGE_CURVE('',#151196,#151194,#68174,.T.); #184887=EDGE_CURVE('',#151197,#151195,#68175,.T.); #184888=EDGE_CURVE('',#151196,#151197,#68176,.T.); #184889=EDGE_CURVE('',#151198,#151196,#68177,.T.); #184890=EDGE_CURVE('',#151199,#151197,#68178,.T.); #184891=EDGE_CURVE('',#151198,#151199,#68179,.T.); #184892=EDGE_CURVE('',#151200,#151198,#68180,.T.); #184893=EDGE_CURVE('',#151201,#151199,#68181,.T.); #184894=EDGE_CURVE('',#151200,#151201,#68182,.T.); #184895=EDGE_CURVE('',#151202,#151200,#68183,.T.); #184896=EDGE_CURVE('',#151203,#151201,#68184,.T.); #184897=EDGE_CURVE('',#151202,#151203,#68185,.T.); #184898=EDGE_CURVE('',#151189,#151202,#68186,.T.); #184899=EDGE_CURVE('',#151190,#151203,#68187,.T.); #184900=EDGE_CURVE('',#151204,#151205,#68188,.T.); #184901=EDGE_CURVE('',#151205,#151206,#68189,.T.); #184902=EDGE_CURVE('',#151207,#151206,#68190,.T.); #184903=EDGE_CURVE('',#151204,#151207,#68191,.T.); #184904=EDGE_CURVE('',#151208,#151204,#68192,.T.); #184905=EDGE_CURVE('',#151209,#151207,#68193,.T.); #184906=EDGE_CURVE('',#151208,#151209,#68194,.T.); #184907=EDGE_CURVE('',#151210,#151208,#68195,.T.); #184908=EDGE_CURVE('',#151211,#151209,#68196,.T.); #184909=EDGE_CURVE('',#151210,#151211,#68197,.T.); #184910=EDGE_CURVE('',#151212,#151210,#68198,.T.); #184911=EDGE_CURVE('',#151213,#151211,#68199,.T.); #184912=EDGE_CURVE('',#151212,#151213,#68200,.T.); #184913=EDGE_CURVE('',#151214,#151212,#68201,.T.); #184914=EDGE_CURVE('',#151215,#151213,#68202,.T.); #184915=EDGE_CURVE('',#151214,#151215,#68203,.T.); #184916=EDGE_CURVE('',#151216,#151214,#68204,.T.); #184917=EDGE_CURVE('',#151217,#151215,#68205,.T.); #184918=EDGE_CURVE('',#151216,#151217,#68206,.T.); #184919=EDGE_CURVE('',#151218,#151216,#68207,.T.); #184920=EDGE_CURVE('',#151219,#151217,#68208,.T.); #184921=EDGE_CURVE('',#151218,#151219,#68209,.T.); #184922=EDGE_CURVE('',#151220,#151218,#68210,.T.); #184923=EDGE_CURVE('',#151221,#151219,#68211,.T.); #184924=EDGE_CURVE('',#151220,#151221,#68212,.T.); #184925=EDGE_CURVE('',#151222,#151220,#68213,.T.); #184926=EDGE_CURVE('',#151223,#151221,#68214,.T.); #184927=EDGE_CURVE('',#151222,#151223,#68215,.T.); #184928=EDGE_CURVE('',#151224,#151222,#68216,.T.); #184929=EDGE_CURVE('',#151225,#151223,#68217,.T.); #184930=EDGE_CURVE('',#151224,#151225,#68218,.T.); #184931=EDGE_CURVE('',#151226,#151224,#68219,.T.); #184932=EDGE_CURVE('',#151227,#151225,#68220,.T.); #184933=EDGE_CURVE('',#151226,#151227,#68221,.T.); #184934=EDGE_CURVE('',#151228,#151226,#68222,.T.); #184935=EDGE_CURVE('',#151229,#151227,#68223,.T.); #184936=EDGE_CURVE('',#151228,#151229,#68224,.T.); #184937=EDGE_CURVE('',#151230,#151228,#68225,.T.); #184938=EDGE_CURVE('',#151231,#151229,#68226,.T.); #184939=EDGE_CURVE('',#151230,#151231,#68227,.T.); #184940=EDGE_CURVE('',#151232,#151230,#68228,.T.); #184941=EDGE_CURVE('',#151233,#151231,#68229,.T.); #184942=EDGE_CURVE('',#151232,#151233,#68230,.T.); #184943=EDGE_CURVE('',#151234,#151232,#68231,.T.); #184944=EDGE_CURVE('',#151235,#151233,#68232,.T.); #184945=EDGE_CURVE('',#151234,#151235,#68233,.T.); #184946=EDGE_CURVE('',#151236,#151234,#68234,.T.); #184947=EDGE_CURVE('',#151237,#151235,#68235,.T.); #184948=EDGE_CURVE('',#151236,#151237,#68236,.T.); #184949=EDGE_CURVE('',#151238,#151236,#68237,.T.); #184950=EDGE_CURVE('',#151239,#151237,#68238,.T.); #184951=EDGE_CURVE('',#151238,#151239,#68239,.T.); #184952=EDGE_CURVE('',#151240,#151238,#68240,.T.); #184953=EDGE_CURVE('',#151241,#151239,#68241,.T.); #184954=EDGE_CURVE('',#151240,#151241,#68242,.T.); #184955=EDGE_CURVE('',#151242,#151240,#68243,.T.); #184956=EDGE_CURVE('',#151243,#151241,#68244,.T.); #184957=EDGE_CURVE('',#151242,#151243,#68245,.T.); #184958=EDGE_CURVE('',#151244,#151242,#68246,.T.); #184959=EDGE_CURVE('',#151245,#151243,#68247,.T.); #184960=EDGE_CURVE('',#151244,#151245,#68248,.T.); #184961=EDGE_CURVE('',#151246,#151244,#68249,.T.); #184962=EDGE_CURVE('',#151247,#151245,#68250,.T.); #184963=EDGE_CURVE('',#151246,#151247,#68251,.T.); #184964=EDGE_CURVE('',#151248,#151246,#68252,.T.); #184965=EDGE_CURVE('',#151249,#151247,#68253,.T.); #184966=EDGE_CURVE('',#151248,#151249,#68254,.T.); #184967=EDGE_CURVE('',#151250,#151248,#68255,.T.); #184968=EDGE_CURVE('',#151251,#151249,#68256,.T.); #184969=EDGE_CURVE('',#151250,#151251,#68257,.T.); #184970=EDGE_CURVE('',#151205,#151250,#68258,.T.); #184971=EDGE_CURVE('',#151206,#151251,#68259,.T.); #184972=EDGE_CURVE('',#151252,#151253,#68260,.T.); #184973=EDGE_CURVE('',#151253,#151254,#68261,.T.); #184974=EDGE_CURVE('',#151255,#151254,#68262,.T.); #184975=EDGE_CURVE('',#151252,#151255,#68263,.T.); #184976=EDGE_CURVE('',#151256,#151252,#68264,.T.); #184977=EDGE_CURVE('',#151257,#151255,#68265,.T.); #184978=EDGE_CURVE('',#151256,#151257,#68266,.T.); #184979=EDGE_CURVE('',#151258,#151256,#68267,.T.); #184980=EDGE_CURVE('',#151259,#151257,#68268,.T.); #184981=EDGE_CURVE('',#151258,#151259,#68269,.T.); #184982=EDGE_CURVE('',#151260,#151258,#68270,.T.); #184983=EDGE_CURVE('',#151261,#151259,#68271,.T.); #184984=EDGE_CURVE('',#151260,#151261,#68272,.T.); #184985=EDGE_CURVE('',#151262,#151260,#68273,.T.); #184986=EDGE_CURVE('',#151263,#151261,#68274,.T.); #184987=EDGE_CURVE('',#151262,#151263,#68275,.T.); #184988=EDGE_CURVE('',#151264,#151262,#68276,.T.); #184989=EDGE_CURVE('',#151265,#151263,#68277,.T.); #184990=EDGE_CURVE('',#151264,#151265,#68278,.T.); #184991=EDGE_CURVE('',#151266,#151264,#68279,.T.); #184992=EDGE_CURVE('',#151267,#151265,#68280,.T.); #184993=EDGE_CURVE('',#151266,#151267,#68281,.T.); #184994=EDGE_CURVE('',#151268,#151266,#68282,.T.); #184995=EDGE_CURVE('',#151269,#151267,#68283,.T.); #184996=EDGE_CURVE('',#151268,#151269,#68284,.T.); #184997=EDGE_CURVE('',#151270,#151268,#68285,.T.); #184998=EDGE_CURVE('',#151271,#151269,#68286,.T.); #184999=EDGE_CURVE('',#151270,#151271,#68287,.T.); #185000=EDGE_CURVE('',#151272,#151270,#68288,.T.); #185001=EDGE_CURVE('',#151273,#151271,#68289,.T.); #185002=EDGE_CURVE('',#151272,#151273,#68290,.T.); #185003=EDGE_CURVE('',#151274,#151272,#68291,.T.); #185004=EDGE_CURVE('',#151275,#151273,#68292,.T.); #185005=EDGE_CURVE('',#151274,#151275,#68293,.T.); #185006=EDGE_CURVE('',#151276,#151274,#68294,.T.); #185007=EDGE_CURVE('',#151277,#151275,#68295,.T.); #185008=EDGE_CURVE('',#151276,#151277,#68296,.T.); #185009=EDGE_CURVE('',#151278,#151276,#68297,.T.); #185010=EDGE_CURVE('',#151279,#151277,#68298,.T.); #185011=EDGE_CURVE('',#151278,#151279,#68299,.T.); #185012=EDGE_CURVE('',#151280,#151278,#68300,.T.); #185013=EDGE_CURVE('',#151281,#151279,#68301,.T.); #185014=EDGE_CURVE('',#151280,#151281,#68302,.T.); #185015=EDGE_CURVE('',#151282,#151280,#68303,.T.); #185016=EDGE_CURVE('',#151283,#151281,#68304,.T.); #185017=EDGE_CURVE('',#151282,#151283,#68305,.T.); #185018=EDGE_CURVE('',#151284,#151282,#68306,.T.); #185019=EDGE_CURVE('',#151285,#151283,#68307,.T.); #185020=EDGE_CURVE('',#151284,#151285,#68308,.T.); #185021=EDGE_CURVE('',#151286,#151284,#68309,.T.); #185022=EDGE_CURVE('',#151287,#151285,#68310,.T.); #185023=EDGE_CURVE('',#151286,#151287,#68311,.T.); #185024=EDGE_CURVE('',#151288,#151286,#68312,.T.); #185025=EDGE_CURVE('',#151289,#151287,#68313,.T.); #185026=EDGE_CURVE('',#151288,#151289,#68314,.T.); #185027=EDGE_CURVE('',#151290,#151288,#68315,.T.); #185028=EDGE_CURVE('',#151291,#151289,#68316,.T.); #185029=EDGE_CURVE('',#151290,#151291,#68317,.T.); #185030=EDGE_CURVE('',#151292,#151290,#68318,.T.); #185031=EDGE_CURVE('',#151293,#151291,#68319,.T.); #185032=EDGE_CURVE('',#151292,#151293,#68320,.T.); #185033=EDGE_CURVE('',#151294,#151292,#68321,.T.); #185034=EDGE_CURVE('',#151295,#151293,#68322,.T.); #185035=EDGE_CURVE('',#151294,#151295,#68323,.T.); #185036=EDGE_CURVE('',#151296,#151294,#68324,.T.); #185037=EDGE_CURVE('',#151297,#151295,#68325,.T.); #185038=EDGE_CURVE('',#151296,#151297,#68326,.T.); #185039=EDGE_CURVE('',#151298,#151296,#68327,.T.); #185040=EDGE_CURVE('',#151299,#151297,#68328,.T.); #185041=EDGE_CURVE('',#151298,#151299,#68329,.T.); #185042=EDGE_CURVE('',#151300,#151298,#68330,.T.); #185043=EDGE_CURVE('',#151301,#151299,#68331,.T.); #185044=EDGE_CURVE('',#151300,#151301,#68332,.T.); #185045=EDGE_CURVE('',#151302,#151300,#68333,.T.); #185046=EDGE_CURVE('',#151303,#151301,#68334,.T.); #185047=EDGE_CURVE('',#151302,#151303,#68335,.T.); #185048=EDGE_CURVE('',#151304,#151302,#68336,.T.); #185049=EDGE_CURVE('',#151305,#151303,#68337,.T.); #185050=EDGE_CURVE('',#151304,#151305,#68338,.T.); #185051=EDGE_CURVE('',#151306,#151304,#68339,.T.); #185052=EDGE_CURVE('',#151307,#151305,#68340,.T.); #185053=EDGE_CURVE('',#151306,#151307,#68341,.T.); #185054=EDGE_CURVE('',#151308,#151306,#68342,.T.); #185055=EDGE_CURVE('',#151309,#151307,#68343,.T.); #185056=EDGE_CURVE('',#151308,#151309,#68344,.T.); #185057=EDGE_CURVE('',#151310,#151308,#68345,.T.); #185058=EDGE_CURVE('',#151311,#151309,#68346,.T.); #185059=EDGE_CURVE('',#151310,#151311,#68347,.T.); #185060=EDGE_CURVE('',#151312,#151310,#68348,.T.); #185061=EDGE_CURVE('',#151313,#151311,#68349,.T.); #185062=EDGE_CURVE('',#151312,#151313,#68350,.T.); #185063=EDGE_CURVE('',#151314,#151312,#68351,.T.); #185064=EDGE_CURVE('',#151315,#151313,#68352,.T.); #185065=EDGE_CURVE('',#151314,#151315,#68353,.T.); #185066=EDGE_CURVE('',#151316,#151314,#68354,.T.); #185067=EDGE_CURVE('',#151317,#151315,#68355,.T.); #185068=EDGE_CURVE('',#151316,#151317,#68356,.T.); #185069=EDGE_CURVE('',#151318,#151316,#68357,.T.); #185070=EDGE_CURVE('',#151319,#151317,#68358,.T.); #185071=EDGE_CURVE('',#151318,#151319,#68359,.T.); #185072=EDGE_CURVE('',#151320,#151318,#68360,.T.); #185073=EDGE_CURVE('',#151321,#151319,#68361,.T.); #185074=EDGE_CURVE('',#151320,#151321,#68362,.T.); #185075=EDGE_CURVE('',#151322,#151320,#68363,.T.); #185076=EDGE_CURVE('',#151323,#151321,#68364,.T.); #185077=EDGE_CURVE('',#151322,#151323,#68365,.T.); #185078=EDGE_CURVE('',#151324,#151322,#68366,.T.); #185079=EDGE_CURVE('',#151325,#151323,#68367,.T.); #185080=EDGE_CURVE('',#151324,#151325,#68368,.T.); #185081=EDGE_CURVE('',#151326,#151324,#68369,.T.); #185082=EDGE_CURVE('',#151327,#151325,#68370,.T.); #185083=EDGE_CURVE('',#151326,#151327,#68371,.T.); #185084=EDGE_CURVE('',#151328,#151326,#68372,.T.); #185085=EDGE_CURVE('',#151329,#151327,#68373,.T.); #185086=EDGE_CURVE('',#151328,#151329,#68374,.T.); #185087=EDGE_CURVE('',#151330,#151328,#68375,.T.); #185088=EDGE_CURVE('',#151331,#151329,#68376,.T.); #185089=EDGE_CURVE('',#151330,#151331,#68377,.T.); #185090=EDGE_CURVE('',#151332,#151330,#68378,.T.); #185091=EDGE_CURVE('',#151333,#151331,#68379,.T.); #185092=EDGE_CURVE('',#151332,#151333,#68380,.T.); #185093=EDGE_CURVE('',#151334,#151332,#68381,.T.); #185094=EDGE_CURVE('',#151335,#151333,#68382,.T.); #185095=EDGE_CURVE('',#151334,#151335,#68383,.T.); #185096=EDGE_CURVE('',#151336,#151334,#68384,.T.); #185097=EDGE_CURVE('',#151337,#151335,#68385,.T.); #185098=EDGE_CURVE('',#151336,#151337,#68386,.T.); #185099=EDGE_CURVE('',#151338,#151336,#68387,.T.); #185100=EDGE_CURVE('',#151339,#151337,#68388,.T.); #185101=EDGE_CURVE('',#151338,#151339,#68389,.T.); #185102=EDGE_CURVE('',#151340,#151338,#68390,.T.); #185103=EDGE_CURVE('',#151341,#151339,#68391,.T.); #185104=EDGE_CURVE('',#151340,#151341,#68392,.T.); #185105=EDGE_CURVE('',#151342,#151340,#68393,.T.); #185106=EDGE_CURVE('',#151343,#151341,#68394,.T.); #185107=EDGE_CURVE('',#151342,#151343,#68395,.T.); #185108=EDGE_CURVE('',#151344,#151342,#68396,.T.); #185109=EDGE_CURVE('',#151345,#151343,#68397,.T.); #185110=EDGE_CURVE('',#151344,#151345,#68398,.T.); #185111=EDGE_CURVE('',#151346,#151344,#68399,.T.); #185112=EDGE_CURVE('',#151347,#151345,#68400,.T.); #185113=EDGE_CURVE('',#151346,#151347,#68401,.T.); #185114=EDGE_CURVE('',#151348,#151346,#68402,.T.); #185115=EDGE_CURVE('',#151349,#151347,#68403,.T.); #185116=EDGE_CURVE('',#151348,#151349,#68404,.T.); #185117=EDGE_CURVE('',#151350,#151348,#68405,.T.); #185118=EDGE_CURVE('',#151351,#151349,#68406,.T.); #185119=EDGE_CURVE('',#151350,#151351,#68407,.T.); #185120=EDGE_CURVE('',#151352,#151350,#68408,.T.); #185121=EDGE_CURVE('',#151353,#151351,#68409,.T.); #185122=EDGE_CURVE('',#151352,#151353,#68410,.T.); #185123=EDGE_CURVE('',#151354,#151352,#68411,.T.); #185124=EDGE_CURVE('',#151355,#151353,#68412,.T.); #185125=EDGE_CURVE('',#151354,#151355,#68413,.T.); #185126=EDGE_CURVE('',#151356,#151354,#68414,.T.); #185127=EDGE_CURVE('',#151357,#151355,#68415,.T.); #185128=EDGE_CURVE('',#151356,#151357,#68416,.T.); #185129=EDGE_CURVE('',#151358,#151356,#68417,.T.); #185130=EDGE_CURVE('',#151359,#151357,#68418,.T.); #185131=EDGE_CURVE('',#151358,#151359,#68419,.T.); #185132=EDGE_CURVE('',#151360,#151358,#68420,.T.); #185133=EDGE_CURVE('',#151361,#151359,#68421,.T.); #185134=EDGE_CURVE('',#151360,#151361,#68422,.T.); #185135=EDGE_CURVE('',#151362,#151360,#68423,.T.); #185136=EDGE_CURVE('',#151363,#151361,#68424,.T.); #185137=EDGE_CURVE('',#151362,#151363,#68425,.T.); #185138=EDGE_CURVE('',#151364,#151362,#68426,.T.); #185139=EDGE_CURVE('',#151365,#151363,#68427,.T.); #185140=EDGE_CURVE('',#151364,#151365,#68428,.T.); #185141=EDGE_CURVE('',#151366,#151364,#68429,.T.); #185142=EDGE_CURVE('',#151367,#151365,#68430,.T.); #185143=EDGE_CURVE('',#151366,#151367,#68431,.T.); #185144=EDGE_CURVE('',#151368,#151366,#68432,.T.); #185145=EDGE_CURVE('',#151369,#151367,#68433,.T.); #185146=EDGE_CURVE('',#151368,#151369,#68434,.T.); #185147=EDGE_CURVE('',#151370,#151368,#68435,.T.); #185148=EDGE_CURVE('',#151371,#151369,#68436,.T.); #185149=EDGE_CURVE('',#151370,#151371,#68437,.T.); #185150=EDGE_CURVE('',#151372,#151370,#68438,.T.); #185151=EDGE_CURVE('',#151373,#151371,#68439,.T.); #185152=EDGE_CURVE('',#151372,#151373,#68440,.T.); #185153=EDGE_CURVE('',#151374,#151372,#68441,.T.); #185154=EDGE_CURVE('',#151375,#151373,#68442,.T.); #185155=EDGE_CURVE('',#151374,#151375,#68443,.T.); #185156=EDGE_CURVE('',#151376,#151374,#68444,.T.); #185157=EDGE_CURVE('',#151377,#151375,#68445,.T.); #185158=EDGE_CURVE('',#151376,#151377,#68446,.T.); #185159=EDGE_CURVE('',#151378,#151376,#68447,.T.); #185160=EDGE_CURVE('',#151379,#151377,#68448,.T.); #185161=EDGE_CURVE('',#151378,#151379,#68449,.T.); #185162=EDGE_CURVE('',#151380,#151378,#68450,.T.); #185163=EDGE_CURVE('',#151381,#151379,#68451,.T.); #185164=EDGE_CURVE('',#151380,#151381,#68452,.T.); #185165=EDGE_CURVE('',#151382,#151380,#68453,.T.); #185166=EDGE_CURVE('',#151383,#151381,#68454,.T.); #185167=EDGE_CURVE('',#151382,#151383,#68455,.T.); #185168=EDGE_CURVE('',#151384,#151382,#68456,.T.); #185169=EDGE_CURVE('',#151385,#151383,#68457,.T.); #185170=EDGE_CURVE('',#151384,#151385,#68458,.T.); #185171=EDGE_CURVE('',#151386,#151384,#68459,.T.); #185172=EDGE_CURVE('',#151387,#151385,#68460,.T.); #185173=EDGE_CURVE('',#151386,#151387,#68461,.T.); #185174=EDGE_CURVE('',#151388,#151386,#68462,.T.); #185175=EDGE_CURVE('',#151389,#151387,#68463,.T.); #185176=EDGE_CURVE('',#151388,#151389,#68464,.T.); #185177=EDGE_CURVE('',#151390,#151388,#68465,.T.); #185178=EDGE_CURVE('',#151391,#151389,#68466,.T.); #185179=EDGE_CURVE('',#151390,#151391,#68467,.T.); #185180=EDGE_CURVE('',#151392,#151390,#68468,.T.); #185181=EDGE_CURVE('',#151393,#151391,#68469,.T.); #185182=EDGE_CURVE('',#151392,#151393,#68470,.T.); #185183=EDGE_CURVE('',#151394,#151392,#68471,.T.); #185184=EDGE_CURVE('',#151395,#151393,#68472,.T.); #185185=EDGE_CURVE('',#151394,#151395,#68473,.T.); #185186=EDGE_CURVE('',#151396,#151394,#68474,.T.); #185187=EDGE_CURVE('',#151397,#151395,#68475,.T.); #185188=EDGE_CURVE('',#151396,#151397,#68476,.T.); #185189=EDGE_CURVE('',#151398,#151396,#68477,.T.); #185190=EDGE_CURVE('',#151399,#151397,#68478,.T.); #185191=EDGE_CURVE('',#151398,#151399,#68479,.T.); #185192=EDGE_CURVE('',#151400,#151398,#68480,.T.); #185193=EDGE_CURVE('',#151401,#151399,#68481,.T.); #185194=EDGE_CURVE('',#151400,#151401,#68482,.T.); #185195=EDGE_CURVE('',#151402,#151400,#68483,.T.); #185196=EDGE_CURVE('',#151403,#151401,#68484,.T.); #185197=EDGE_CURVE('',#151402,#151403,#68485,.T.); #185198=EDGE_CURVE('',#151404,#151402,#68486,.T.); #185199=EDGE_CURVE('',#151405,#151403,#68487,.T.); #185200=EDGE_CURVE('',#151404,#151405,#68488,.T.); #185201=EDGE_CURVE('',#151406,#151404,#68489,.T.); #185202=EDGE_CURVE('',#151407,#151405,#68490,.T.); #185203=EDGE_CURVE('',#151406,#151407,#68491,.T.); #185204=EDGE_CURVE('',#151408,#151406,#68492,.T.); #185205=EDGE_CURVE('',#151409,#151407,#68493,.T.); #185206=EDGE_CURVE('',#151408,#151409,#68494,.T.); #185207=EDGE_CURVE('',#151410,#151408,#68495,.T.); #185208=EDGE_CURVE('',#151411,#151409,#68496,.T.); #185209=EDGE_CURVE('',#151410,#151411,#68497,.T.); #185210=EDGE_CURVE('',#151412,#151410,#68498,.T.); #185211=EDGE_CURVE('',#151413,#151411,#68499,.T.); #185212=EDGE_CURVE('',#151412,#151413,#68500,.T.); #185213=EDGE_CURVE('',#151414,#151412,#68501,.T.); #185214=EDGE_CURVE('',#151415,#151413,#68502,.T.); #185215=EDGE_CURVE('',#151414,#151415,#68503,.T.); #185216=EDGE_CURVE('',#151416,#151414,#68504,.T.); #185217=EDGE_CURVE('',#151417,#151415,#68505,.T.); #185218=EDGE_CURVE('',#151416,#151417,#68506,.T.); #185219=EDGE_CURVE('',#151418,#151416,#68507,.T.); #185220=EDGE_CURVE('',#151419,#151417,#68508,.T.); #185221=EDGE_CURVE('',#151418,#151419,#68509,.T.); #185222=EDGE_CURVE('',#151420,#151418,#68510,.T.); #185223=EDGE_CURVE('',#151421,#151419,#68511,.T.); #185224=EDGE_CURVE('',#151420,#151421,#68512,.T.); #185225=EDGE_CURVE('',#151422,#151420,#68513,.T.); #185226=EDGE_CURVE('',#151423,#151421,#68514,.T.); #185227=EDGE_CURVE('',#151422,#151423,#68515,.T.); #185228=EDGE_CURVE('',#151424,#151422,#68516,.T.); #185229=EDGE_CURVE('',#151425,#151423,#68517,.T.); #185230=EDGE_CURVE('',#151424,#151425,#68518,.T.); #185231=EDGE_CURVE('',#151426,#151424,#68519,.T.); #185232=EDGE_CURVE('',#151427,#151425,#68520,.T.); #185233=EDGE_CURVE('',#151426,#151427,#68521,.T.); #185234=EDGE_CURVE('',#151428,#151426,#68522,.T.); #185235=EDGE_CURVE('',#151429,#151427,#68523,.T.); #185236=EDGE_CURVE('',#151428,#151429,#68524,.T.); #185237=EDGE_CURVE('',#151430,#151428,#68525,.T.); #185238=EDGE_CURVE('',#151431,#151429,#68526,.T.); #185239=EDGE_CURVE('',#151430,#151431,#68527,.T.); #185240=EDGE_CURVE('',#151432,#151430,#68528,.T.); #185241=EDGE_CURVE('',#151433,#151431,#68529,.T.); #185242=EDGE_CURVE('',#151432,#151433,#68530,.T.); #185243=EDGE_CURVE('',#151434,#151432,#68531,.T.); #185244=EDGE_CURVE('',#151435,#151433,#68532,.T.); #185245=EDGE_CURVE('',#151434,#151435,#68533,.T.); #185246=EDGE_CURVE('',#151436,#151434,#68534,.T.); #185247=EDGE_CURVE('',#151437,#151435,#68535,.T.); #185248=EDGE_CURVE('',#151436,#151437,#68536,.T.); #185249=EDGE_CURVE('',#151438,#151436,#68537,.T.); #185250=EDGE_CURVE('',#151439,#151437,#68538,.T.); #185251=EDGE_CURVE('',#151438,#151439,#68539,.T.); #185252=EDGE_CURVE('',#151440,#151438,#68540,.T.); #185253=EDGE_CURVE('',#151441,#151439,#68541,.T.); #185254=EDGE_CURVE('',#151440,#151441,#68542,.T.); #185255=EDGE_CURVE('',#151442,#151440,#68543,.T.); #185256=EDGE_CURVE('',#151443,#151441,#68544,.T.); #185257=EDGE_CURVE('',#151442,#151443,#68545,.T.); #185258=EDGE_CURVE('',#151444,#151442,#68546,.T.); #185259=EDGE_CURVE('',#151445,#151443,#68547,.T.); #185260=EDGE_CURVE('',#151444,#151445,#68548,.T.); #185261=EDGE_CURVE('',#151446,#151444,#68549,.T.); #185262=EDGE_CURVE('',#151447,#151445,#68550,.T.); #185263=EDGE_CURVE('',#151446,#151447,#68551,.T.); #185264=EDGE_CURVE('',#151448,#151446,#68552,.T.); #185265=EDGE_CURVE('',#151449,#151447,#68553,.T.); #185266=EDGE_CURVE('',#151448,#151449,#68554,.T.); #185267=EDGE_CURVE('',#151450,#151448,#68555,.T.); #185268=EDGE_CURVE('',#151451,#151449,#68556,.T.); #185269=EDGE_CURVE('',#151450,#151451,#68557,.T.); #185270=EDGE_CURVE('',#151452,#151450,#68558,.T.); #185271=EDGE_CURVE('',#151453,#151451,#68559,.T.); #185272=EDGE_CURVE('',#151452,#151453,#68560,.T.); #185273=EDGE_CURVE('',#151454,#151452,#68561,.T.); #185274=EDGE_CURVE('',#151455,#151453,#68562,.T.); #185275=EDGE_CURVE('',#151454,#151455,#68563,.T.); #185276=EDGE_CURVE('',#151456,#151454,#68564,.T.); #185277=EDGE_CURVE('',#151457,#151455,#68565,.T.); #185278=EDGE_CURVE('',#151456,#151457,#68566,.T.); #185279=EDGE_CURVE('',#151458,#151456,#68567,.T.); #185280=EDGE_CURVE('',#151459,#151457,#68568,.T.); #185281=EDGE_CURVE('',#151458,#151459,#68569,.T.); #185282=EDGE_CURVE('',#151460,#151458,#68570,.T.); #185283=EDGE_CURVE('',#151461,#151459,#68571,.T.); #185284=EDGE_CURVE('',#151460,#151461,#68572,.T.); #185285=EDGE_CURVE('',#151462,#151460,#68573,.T.); #185286=EDGE_CURVE('',#151463,#151461,#68574,.T.); #185287=EDGE_CURVE('',#151462,#151463,#68575,.T.); #185288=EDGE_CURVE('',#151464,#151462,#68576,.T.); #185289=EDGE_CURVE('',#151465,#151463,#68577,.T.); #185290=EDGE_CURVE('',#151464,#151465,#68578,.T.); #185291=EDGE_CURVE('',#151466,#151464,#68579,.T.); #185292=EDGE_CURVE('',#151467,#151465,#68580,.T.); #185293=EDGE_CURVE('',#151466,#151467,#68581,.T.); #185294=EDGE_CURVE('',#151468,#151466,#68582,.T.); #185295=EDGE_CURVE('',#151469,#151467,#68583,.T.); #185296=EDGE_CURVE('',#151468,#151469,#68584,.T.); #185297=EDGE_CURVE('',#151470,#151468,#68585,.T.); #185298=EDGE_CURVE('',#151471,#151469,#68586,.T.); #185299=EDGE_CURVE('',#151470,#151471,#68587,.T.); #185300=EDGE_CURVE('',#151472,#151470,#68588,.T.); #185301=EDGE_CURVE('',#151473,#151471,#68589,.T.); #185302=EDGE_CURVE('',#151472,#151473,#68590,.T.); #185303=EDGE_CURVE('',#151474,#151472,#68591,.T.); #185304=EDGE_CURVE('',#151475,#151473,#68592,.T.); #185305=EDGE_CURVE('',#151474,#151475,#68593,.T.); #185306=EDGE_CURVE('',#151476,#151474,#68594,.T.); #185307=EDGE_CURVE('',#151477,#151475,#68595,.T.); #185308=EDGE_CURVE('',#151476,#151477,#68596,.T.); #185309=EDGE_CURVE('',#151478,#151476,#68597,.T.); #185310=EDGE_CURVE('',#151479,#151477,#68598,.T.); #185311=EDGE_CURVE('',#151478,#151479,#68599,.T.); #185312=EDGE_CURVE('',#151480,#151478,#68600,.T.); #185313=EDGE_CURVE('',#151481,#151479,#68601,.T.); #185314=EDGE_CURVE('',#151480,#151481,#68602,.T.); #185315=EDGE_CURVE('',#151482,#151480,#68603,.T.); #185316=EDGE_CURVE('',#151483,#151481,#68604,.T.); #185317=EDGE_CURVE('',#151482,#151483,#68605,.T.); #185318=EDGE_CURVE('',#151484,#151482,#68606,.T.); #185319=EDGE_CURVE('',#151485,#151483,#68607,.T.); #185320=EDGE_CURVE('',#151484,#151485,#68608,.T.); #185321=EDGE_CURVE('',#151486,#151484,#68609,.T.); #185322=EDGE_CURVE('',#151487,#151485,#68610,.T.); #185323=EDGE_CURVE('',#151486,#151487,#68611,.T.); #185324=EDGE_CURVE('',#151488,#151486,#68612,.T.); #185325=EDGE_CURVE('',#151489,#151487,#68613,.T.); #185326=EDGE_CURVE('',#151488,#151489,#68614,.T.); #185327=EDGE_CURVE('',#151490,#151488,#68615,.T.); #185328=EDGE_CURVE('',#151491,#151489,#68616,.T.); #185329=EDGE_CURVE('',#151490,#151491,#68617,.T.); #185330=EDGE_CURVE('',#151253,#151490,#68618,.T.); #185331=EDGE_CURVE('',#151254,#151491,#68619,.T.); #185332=EDGE_CURVE('',#151492,#151493,#68620,.T.); #185333=EDGE_CURVE('',#151493,#151494,#68621,.T.); #185334=EDGE_CURVE('',#151495,#151494,#68622,.T.); #185335=EDGE_CURVE('',#151492,#151495,#68623,.T.); #185336=EDGE_CURVE('',#151496,#151492,#68624,.T.); #185337=EDGE_CURVE('',#151497,#151495,#68625,.T.); #185338=EDGE_CURVE('',#151496,#151497,#68626,.T.); #185339=EDGE_CURVE('',#151498,#151496,#68627,.T.); #185340=EDGE_CURVE('',#151499,#151497,#68628,.T.); #185341=EDGE_CURVE('',#151498,#151499,#68629,.T.); #185342=EDGE_CURVE('',#151500,#151498,#68630,.T.); #185343=EDGE_CURVE('',#151501,#151499,#68631,.T.); #185344=EDGE_CURVE('',#151500,#151501,#68632,.T.); #185345=EDGE_CURVE('',#151502,#151500,#68633,.T.); #185346=EDGE_CURVE('',#151503,#151501,#68634,.T.); #185347=EDGE_CURVE('',#151502,#151503,#68635,.T.); #185348=EDGE_CURVE('',#151504,#151502,#68636,.T.); #185349=EDGE_CURVE('',#151505,#151503,#68637,.T.); #185350=EDGE_CURVE('',#151504,#151505,#68638,.T.); #185351=EDGE_CURVE('',#151506,#151504,#68639,.T.); #185352=EDGE_CURVE('',#151507,#151505,#68640,.T.); #185353=EDGE_CURVE('',#151506,#151507,#68641,.T.); #185354=EDGE_CURVE('',#151508,#151506,#68642,.T.); #185355=EDGE_CURVE('',#151509,#151507,#68643,.T.); #185356=EDGE_CURVE('',#151508,#151509,#68644,.T.); #185357=EDGE_CURVE('',#151510,#151508,#68645,.T.); #185358=EDGE_CURVE('',#151511,#151509,#68646,.T.); #185359=EDGE_CURVE('',#151510,#151511,#68647,.T.); #185360=EDGE_CURVE('',#151512,#151510,#68648,.T.); #185361=EDGE_CURVE('',#151513,#151511,#68649,.T.); #185362=EDGE_CURVE('',#151512,#151513,#68650,.T.); #185363=EDGE_CURVE('',#151514,#151512,#68651,.T.); #185364=EDGE_CURVE('',#151515,#151513,#68652,.T.); #185365=EDGE_CURVE('',#151514,#151515,#68653,.T.); #185366=EDGE_CURVE('',#151516,#151514,#68654,.T.); #185367=EDGE_CURVE('',#151517,#151515,#68655,.T.); #185368=EDGE_CURVE('',#151516,#151517,#68656,.T.); #185369=EDGE_CURVE('',#151518,#151516,#68657,.T.); #185370=EDGE_CURVE('',#151519,#151517,#68658,.T.); #185371=EDGE_CURVE('',#151518,#151519,#68659,.T.); #185372=EDGE_CURVE('',#151520,#151518,#68660,.T.); #185373=EDGE_CURVE('',#151521,#151519,#68661,.T.); #185374=EDGE_CURVE('',#151520,#151521,#68662,.T.); #185375=EDGE_CURVE('',#151522,#151520,#68663,.T.); #185376=EDGE_CURVE('',#151523,#151521,#68664,.T.); #185377=EDGE_CURVE('',#151522,#151523,#68665,.T.); #185378=EDGE_CURVE('',#151524,#151522,#68666,.T.); #185379=EDGE_CURVE('',#151525,#151523,#68667,.T.); #185380=EDGE_CURVE('',#151524,#151525,#68668,.T.); #185381=EDGE_CURVE('',#151526,#151524,#68669,.T.); #185382=EDGE_CURVE('',#151527,#151525,#68670,.T.); #185383=EDGE_CURVE('',#151526,#151527,#68671,.T.); #185384=EDGE_CURVE('',#151528,#151526,#68672,.T.); #185385=EDGE_CURVE('',#151529,#151527,#68673,.T.); #185386=EDGE_CURVE('',#151528,#151529,#68674,.T.); #185387=EDGE_CURVE('',#151530,#151528,#68675,.T.); #185388=EDGE_CURVE('',#151531,#151529,#68676,.T.); #185389=EDGE_CURVE('',#151530,#151531,#68677,.T.); #185390=EDGE_CURVE('',#151493,#151530,#68678,.T.); #185391=EDGE_CURVE('',#151494,#151531,#68679,.T.); #185392=EDGE_CURVE('',#151532,#151533,#68680,.T.); #185393=EDGE_CURVE('',#151533,#151534,#68681,.T.); #185394=EDGE_CURVE('',#151535,#151534,#68682,.T.); #185395=EDGE_CURVE('',#151532,#151535,#68683,.T.); #185396=EDGE_CURVE('',#151536,#151532,#68684,.T.); #185397=EDGE_CURVE('',#151537,#151535,#68685,.T.); #185398=EDGE_CURVE('',#151536,#151537,#68686,.T.); #185399=EDGE_CURVE('',#151538,#151536,#68687,.T.); #185400=EDGE_CURVE('',#151539,#151537,#68688,.T.); #185401=EDGE_CURVE('',#151538,#151539,#68689,.T.); #185402=EDGE_CURVE('',#151540,#151538,#68690,.T.); #185403=EDGE_CURVE('',#151541,#151539,#68691,.T.); #185404=EDGE_CURVE('',#151540,#151541,#68692,.T.); #185405=EDGE_CURVE('',#151542,#151540,#68693,.T.); #185406=EDGE_CURVE('',#151543,#151541,#68694,.T.); #185407=EDGE_CURVE('',#151542,#151543,#68695,.T.); #185408=EDGE_CURVE('',#151544,#151542,#68696,.T.); #185409=EDGE_CURVE('',#151545,#151543,#68697,.T.); #185410=EDGE_CURVE('',#151544,#151545,#68698,.T.); #185411=EDGE_CURVE('',#151546,#151544,#68699,.T.); #185412=EDGE_CURVE('',#151547,#151545,#68700,.T.); #185413=EDGE_CURVE('',#151546,#151547,#68701,.T.); #185414=EDGE_CURVE('',#151548,#151546,#68702,.T.); #185415=EDGE_CURVE('',#151549,#151547,#68703,.T.); #185416=EDGE_CURVE('',#151548,#151549,#68704,.T.); #185417=EDGE_CURVE('',#151550,#151548,#68705,.T.); #185418=EDGE_CURVE('',#151551,#151549,#68706,.T.); #185419=EDGE_CURVE('',#151550,#151551,#68707,.T.); #185420=EDGE_CURVE('',#151552,#151550,#68708,.T.); #185421=EDGE_CURVE('',#151553,#151551,#68709,.T.); #185422=EDGE_CURVE('',#151552,#151553,#68710,.T.); #185423=EDGE_CURVE('',#151554,#151552,#68711,.T.); #185424=EDGE_CURVE('',#151555,#151553,#68712,.T.); #185425=EDGE_CURVE('',#151554,#151555,#68713,.T.); #185426=EDGE_CURVE('',#151556,#151554,#68714,.T.); #185427=EDGE_CURVE('',#151557,#151555,#68715,.T.); #185428=EDGE_CURVE('',#151556,#151557,#68716,.T.); #185429=EDGE_CURVE('',#151558,#151556,#68717,.T.); #185430=EDGE_CURVE('',#151559,#151557,#68718,.T.); #185431=EDGE_CURVE('',#151558,#151559,#68719,.T.); #185432=EDGE_CURVE('',#151560,#151558,#68720,.T.); #185433=EDGE_CURVE('',#151561,#151559,#68721,.T.); #185434=EDGE_CURVE('',#151560,#151561,#68722,.T.); #185435=EDGE_CURVE('',#151562,#151560,#68723,.T.); #185436=EDGE_CURVE('',#151563,#151561,#68724,.T.); #185437=EDGE_CURVE('',#151562,#151563,#68725,.T.); #185438=EDGE_CURVE('',#151564,#151562,#68726,.T.); #185439=EDGE_CURVE('',#151565,#151563,#68727,.T.); #185440=EDGE_CURVE('',#151564,#151565,#68728,.T.); #185441=EDGE_CURVE('',#151566,#151564,#68729,.T.); #185442=EDGE_CURVE('',#151567,#151565,#68730,.T.); #185443=EDGE_CURVE('',#151566,#151567,#68731,.T.); #185444=EDGE_CURVE('',#151568,#151566,#68732,.T.); #185445=EDGE_CURVE('',#151569,#151567,#68733,.T.); #185446=EDGE_CURVE('',#151568,#151569,#68734,.T.); #185447=EDGE_CURVE('',#151570,#151568,#68735,.T.); #185448=EDGE_CURVE('',#151571,#151569,#68736,.T.); #185449=EDGE_CURVE('',#151570,#151571,#68737,.T.); #185450=EDGE_CURVE('',#151572,#151570,#68738,.T.); #185451=EDGE_CURVE('',#151573,#151571,#68739,.T.); #185452=EDGE_CURVE('',#151572,#151573,#68740,.T.); #185453=EDGE_CURVE('',#151574,#151572,#68741,.T.); #185454=EDGE_CURVE('',#151575,#151573,#68742,.T.); #185455=EDGE_CURVE('',#151574,#151575,#68743,.T.); #185456=EDGE_CURVE('',#151576,#151574,#68744,.T.); #185457=EDGE_CURVE('',#151577,#151575,#68745,.T.); #185458=EDGE_CURVE('',#151576,#151577,#68746,.T.); #185459=EDGE_CURVE('',#151578,#151576,#68747,.T.); #185460=EDGE_CURVE('',#151579,#151577,#68748,.T.); #185461=EDGE_CURVE('',#151578,#151579,#68749,.T.); #185462=EDGE_CURVE('',#151580,#151578,#68750,.T.); #185463=EDGE_CURVE('',#151581,#151579,#68751,.T.); #185464=EDGE_CURVE('',#151580,#151581,#68752,.T.); #185465=EDGE_CURVE('',#151582,#151580,#68753,.T.); #185466=EDGE_CURVE('',#151583,#151581,#68754,.T.); #185467=EDGE_CURVE('',#151582,#151583,#68755,.T.); #185468=EDGE_CURVE('',#151584,#151582,#68756,.T.); #185469=EDGE_CURVE('',#151585,#151583,#68757,.T.); #185470=EDGE_CURVE('',#151584,#151585,#68758,.T.); #185471=EDGE_CURVE('',#151586,#151584,#68759,.T.); #185472=EDGE_CURVE('',#151587,#151585,#68760,.T.); #185473=EDGE_CURVE('',#151586,#151587,#68761,.T.); #185474=EDGE_CURVE('',#151588,#151586,#68762,.T.); #185475=EDGE_CURVE('',#151589,#151587,#68763,.T.); #185476=EDGE_CURVE('',#151588,#151589,#68764,.T.); #185477=EDGE_CURVE('',#151590,#151588,#68765,.T.); #185478=EDGE_CURVE('',#151591,#151589,#68766,.T.); #185479=EDGE_CURVE('',#151590,#151591,#68767,.T.); #185480=EDGE_CURVE('',#151592,#151590,#68768,.T.); #185481=EDGE_CURVE('',#151593,#151591,#68769,.T.); #185482=EDGE_CURVE('',#151592,#151593,#68770,.T.); #185483=EDGE_CURVE('',#151594,#151592,#68771,.T.); #185484=EDGE_CURVE('',#151595,#151593,#68772,.T.); #185485=EDGE_CURVE('',#151594,#151595,#68773,.T.); #185486=EDGE_CURVE('',#151596,#151594,#68774,.T.); #185487=EDGE_CURVE('',#151597,#151595,#68775,.T.); #185488=EDGE_CURVE('',#151596,#151597,#68776,.T.); #185489=EDGE_CURVE('',#151598,#151596,#68777,.T.); #185490=EDGE_CURVE('',#151599,#151597,#68778,.T.); #185491=EDGE_CURVE('',#151598,#151599,#68779,.T.); #185492=EDGE_CURVE('',#151600,#151598,#68780,.T.); #185493=EDGE_CURVE('',#151601,#151599,#68781,.T.); #185494=EDGE_CURVE('',#151600,#151601,#68782,.T.); #185495=EDGE_CURVE('',#151602,#151600,#68783,.T.); #185496=EDGE_CURVE('',#151603,#151601,#68784,.T.); #185497=EDGE_CURVE('',#151602,#151603,#68785,.T.); #185498=EDGE_CURVE('',#151604,#151602,#68786,.T.); #185499=EDGE_CURVE('',#151605,#151603,#68787,.T.); #185500=EDGE_CURVE('',#151604,#151605,#68788,.T.); #185501=EDGE_CURVE('',#151606,#151604,#68789,.T.); #185502=EDGE_CURVE('',#151607,#151605,#68790,.T.); #185503=EDGE_CURVE('',#151606,#151607,#68791,.T.); #185504=EDGE_CURVE('',#151608,#151606,#68792,.T.); #185505=EDGE_CURVE('',#151609,#151607,#68793,.T.); #185506=EDGE_CURVE('',#151608,#151609,#68794,.T.); #185507=EDGE_CURVE('',#151610,#151608,#68795,.T.); #185508=EDGE_CURVE('',#151611,#151609,#68796,.T.); #185509=EDGE_CURVE('',#151610,#151611,#68797,.T.); #185510=EDGE_CURVE('',#151533,#151610,#68798,.T.); #185511=EDGE_CURVE('',#151534,#151611,#68799,.T.); #185512=EDGE_CURVE('',#151612,#151613,#68800,.T.); #185513=EDGE_CURVE('',#151614,#151612,#68801,.T.); #185514=EDGE_CURVE('',#151615,#151614,#68802,.T.); #185515=EDGE_CURVE('',#151615,#151613,#68803,.T.); #185516=EDGE_CURVE('',#151613,#151616,#139526,.T.); #185517=EDGE_CURVE('',#151617,#151615,#139527,.T.); #185518=EDGE_CURVE('',#151617,#151616,#68804,.T.); #185519=EDGE_CURVE('',#151616,#151618,#68805,.T.); #185520=EDGE_CURVE('',#151619,#151617,#68806,.T.); #185521=EDGE_CURVE('',#151619,#151618,#68807,.T.); #185522=EDGE_CURVE('',#151618,#151612,#139528,.T.); #185523=EDGE_CURVE('',#151614,#151619,#139529,.T.); #185524=EDGE_CURVE('',#151620,#151620,#139530,.T.); #185525=EDGE_CURVE('',#151620,#151621,#68808,.T.); #185526=EDGE_CURVE('',#151621,#151621,#139531,.T.); #185527=EDGE_CURVE('',#151622,#151622,#139532,.T.); #185528=EDGE_CURVE('',#151622,#151623,#68809,.T.); #185529=EDGE_CURVE('',#151623,#151623,#139533,.T.); #185530=EDGE_CURVE('',#151624,#151624,#139534,.T.); #185531=EDGE_CURVE('',#151624,#151625,#68810,.T.); #185532=EDGE_CURVE('',#151625,#151625,#139535,.T.); #185533=EDGE_CURVE('',#151626,#151626,#139536,.T.); #185534=EDGE_CURVE('',#151626,#151627,#68811,.T.); #185535=EDGE_CURVE('',#151627,#151627,#139537,.T.); #185536=EDGE_CURVE('',#151628,#151628,#139538,.T.); #185537=EDGE_CURVE('',#151628,#151629,#68812,.T.); #185538=EDGE_CURVE('',#151629,#151629,#139539,.T.); #185539=EDGE_CURVE('',#151630,#151630,#139540,.T.); #185540=EDGE_CURVE('',#151630,#151631,#68813,.T.); #185541=EDGE_CURVE('',#151631,#151631,#139541,.T.); #185542=EDGE_CURVE('',#151632,#151632,#139542,.T.); #185543=EDGE_CURVE('',#151632,#151633,#68814,.T.); #185544=EDGE_CURVE('',#151633,#151633,#139543,.T.); #185545=EDGE_CURVE('',#151634,#151634,#139544,.T.); #185546=EDGE_CURVE('',#151634,#151635,#68815,.T.); #185547=EDGE_CURVE('',#151635,#151635,#139545,.T.); #185548=EDGE_CURVE('',#151636,#151636,#139546,.T.); #185549=EDGE_CURVE('',#151636,#151637,#68816,.T.); #185550=EDGE_CURVE('',#151637,#151637,#139547,.T.); #185551=EDGE_CURVE('',#151638,#151638,#139548,.T.); #185552=EDGE_CURVE('',#151638,#151639,#68817,.T.); #185553=EDGE_CURVE('',#151639,#151639,#139549,.T.); #185554=EDGE_CURVE('',#151640,#151640,#139550,.T.); #185555=EDGE_CURVE('',#151640,#151641,#68818,.T.); #185556=EDGE_CURVE('',#151641,#151641,#139551,.T.); #185557=EDGE_CURVE('',#151642,#151643,#68819,.T.); #185558=EDGE_CURVE('',#151643,#151644,#68820,.T.); #185559=EDGE_CURVE('',#151645,#151644,#68821,.T.); #185560=EDGE_CURVE('',#151642,#151645,#68822,.T.); #185561=EDGE_CURVE('',#151646,#151642,#68823,.T.); #185562=EDGE_CURVE('',#151647,#151645,#68824,.T.); #185563=EDGE_CURVE('',#151646,#151647,#68825,.T.); #185564=EDGE_CURVE('',#151648,#151646,#68826,.T.); #185565=EDGE_CURVE('',#151649,#151647,#68827,.T.); #185566=EDGE_CURVE('',#151648,#151649,#68828,.T.); #185567=EDGE_CURVE('',#151650,#151648,#68829,.T.); #185568=EDGE_CURVE('',#151651,#151649,#68830,.T.); #185569=EDGE_CURVE('',#151650,#151651,#68831,.T.); #185570=EDGE_CURVE('',#151652,#151650,#68832,.T.); #185571=EDGE_CURVE('',#151653,#151651,#68833,.T.); #185572=EDGE_CURVE('',#151652,#151653,#68834,.T.); #185573=EDGE_CURVE('',#151654,#151652,#68835,.T.); #185574=EDGE_CURVE('',#151655,#151653,#68836,.T.); #185575=EDGE_CURVE('',#151654,#151655,#68837,.T.); #185576=EDGE_CURVE('',#151656,#151654,#68838,.T.); #185577=EDGE_CURVE('',#151657,#151655,#68839,.T.); #185578=EDGE_CURVE('',#151656,#151657,#68840,.T.); #185579=EDGE_CURVE('',#151643,#151656,#68841,.T.); #185580=EDGE_CURVE('',#151644,#151657,#68842,.T.); #185581=EDGE_CURVE('',#151658,#151659,#68843,.T.); #185582=EDGE_CURVE('',#151659,#151660,#68844,.T.); #185583=EDGE_CURVE('',#151661,#151660,#68845,.T.); #185584=EDGE_CURVE('',#151658,#151661,#68846,.T.); #185585=EDGE_CURVE('',#151662,#151658,#68847,.T.); #185586=EDGE_CURVE('',#151663,#151661,#68848,.T.); #185587=EDGE_CURVE('',#151662,#151663,#68849,.T.); #185588=EDGE_CURVE('',#151664,#151662,#68850,.T.); #185589=EDGE_CURVE('',#151665,#151663,#68851,.T.); #185590=EDGE_CURVE('',#151664,#151665,#68852,.T.); #185591=EDGE_CURVE('',#151666,#151664,#68853,.T.); #185592=EDGE_CURVE('',#151667,#151665,#68854,.T.); #185593=EDGE_CURVE('',#151666,#151667,#68855,.T.); #185594=EDGE_CURVE('',#151668,#151666,#68856,.T.); #185595=EDGE_CURVE('',#151669,#151667,#68857,.T.); #185596=EDGE_CURVE('',#151668,#151669,#68858,.T.); #185597=EDGE_CURVE('',#151670,#151668,#68859,.T.); #185598=EDGE_CURVE('',#151671,#151669,#68860,.T.); #185599=EDGE_CURVE('',#151670,#151671,#68861,.T.); #185600=EDGE_CURVE('',#151672,#151670,#68862,.T.); #185601=EDGE_CURVE('',#151673,#151671,#68863,.T.); #185602=EDGE_CURVE('',#151672,#151673,#68864,.T.); #185603=EDGE_CURVE('',#151659,#151672,#68865,.T.); #185604=EDGE_CURVE('',#151660,#151673,#68866,.T.); #185605=EDGE_CURVE('',#151674,#151675,#68867,.T.); #185606=EDGE_CURVE('',#151675,#151676,#68868,.T.); #185607=EDGE_CURVE('',#151677,#151676,#68869,.T.); #185608=EDGE_CURVE('',#151674,#151677,#68870,.T.); #185609=EDGE_CURVE('',#151678,#151674,#68871,.T.); #185610=EDGE_CURVE('',#151679,#151677,#68872,.T.); #185611=EDGE_CURVE('',#151678,#151679,#68873,.T.); #185612=EDGE_CURVE('',#151680,#151678,#68874,.T.); #185613=EDGE_CURVE('',#151681,#151679,#68875,.T.); #185614=EDGE_CURVE('',#151680,#151681,#68876,.T.); #185615=EDGE_CURVE('',#151682,#151680,#68877,.T.); #185616=EDGE_CURVE('',#151683,#151681,#68878,.T.); #185617=EDGE_CURVE('',#151682,#151683,#68879,.T.); #185618=EDGE_CURVE('',#151684,#151682,#68880,.T.); #185619=EDGE_CURVE('',#151685,#151683,#68881,.T.); #185620=EDGE_CURVE('',#151684,#151685,#68882,.T.); #185621=EDGE_CURVE('',#151686,#151684,#68883,.T.); #185622=EDGE_CURVE('',#151687,#151685,#68884,.T.); #185623=EDGE_CURVE('',#151686,#151687,#68885,.T.); #185624=EDGE_CURVE('',#151688,#151686,#68886,.T.); #185625=EDGE_CURVE('',#151689,#151687,#68887,.T.); #185626=EDGE_CURVE('',#151688,#151689,#68888,.T.); #185627=EDGE_CURVE('',#151690,#151688,#68889,.T.); #185628=EDGE_CURVE('',#151691,#151689,#68890,.T.); #185629=EDGE_CURVE('',#151690,#151691,#68891,.T.); #185630=EDGE_CURVE('',#151692,#151690,#68892,.T.); #185631=EDGE_CURVE('',#151693,#151691,#68893,.T.); #185632=EDGE_CURVE('',#151692,#151693,#68894,.T.); #185633=EDGE_CURVE('',#151694,#151692,#68895,.T.); #185634=EDGE_CURVE('',#151695,#151693,#68896,.T.); #185635=EDGE_CURVE('',#151694,#151695,#68897,.T.); #185636=EDGE_CURVE('',#151696,#151694,#68898,.T.); #185637=EDGE_CURVE('',#151697,#151695,#68899,.T.); #185638=EDGE_CURVE('',#151696,#151697,#68900,.T.); #185639=EDGE_CURVE('',#151698,#151696,#68901,.T.); #185640=EDGE_CURVE('',#151699,#151697,#68902,.T.); #185641=EDGE_CURVE('',#151698,#151699,#68903,.T.); #185642=EDGE_CURVE('',#151700,#151698,#68904,.T.); #185643=EDGE_CURVE('',#151701,#151699,#68905,.T.); #185644=EDGE_CURVE('',#151700,#151701,#68906,.T.); #185645=EDGE_CURVE('',#151702,#151700,#68907,.T.); #185646=EDGE_CURVE('',#151703,#151701,#68908,.T.); #185647=EDGE_CURVE('',#151702,#151703,#68909,.T.); #185648=EDGE_CURVE('',#151704,#151702,#68910,.T.); #185649=EDGE_CURVE('',#151705,#151703,#68911,.T.); #185650=EDGE_CURVE('',#151704,#151705,#68912,.T.); #185651=EDGE_CURVE('',#151706,#151704,#68913,.T.); #185652=EDGE_CURVE('',#151707,#151705,#68914,.T.); #185653=EDGE_CURVE('',#151706,#151707,#68915,.T.); #185654=EDGE_CURVE('',#151708,#151706,#68916,.T.); #185655=EDGE_CURVE('',#151709,#151707,#68917,.T.); #185656=EDGE_CURVE('',#151708,#151709,#68918,.T.); #185657=EDGE_CURVE('',#151710,#151708,#68919,.T.); #185658=EDGE_CURVE('',#151711,#151709,#68920,.T.); #185659=EDGE_CURVE('',#151710,#151711,#68921,.T.); #185660=EDGE_CURVE('',#151712,#151710,#68922,.T.); #185661=EDGE_CURVE('',#151713,#151711,#68923,.T.); #185662=EDGE_CURVE('',#151712,#151713,#68924,.T.); #185663=EDGE_CURVE('',#151714,#151712,#68925,.T.); #185664=EDGE_CURVE('',#151715,#151713,#68926,.T.); #185665=EDGE_CURVE('',#151714,#151715,#68927,.T.); #185666=EDGE_CURVE('',#151716,#151714,#68928,.T.); #185667=EDGE_CURVE('',#151717,#151715,#68929,.T.); #185668=EDGE_CURVE('',#151716,#151717,#68930,.T.); #185669=EDGE_CURVE('',#151718,#151716,#68931,.T.); #185670=EDGE_CURVE('',#151719,#151717,#68932,.T.); #185671=EDGE_CURVE('',#151718,#151719,#68933,.T.); #185672=EDGE_CURVE('',#151720,#151718,#68934,.T.); #185673=EDGE_CURVE('',#151721,#151719,#68935,.T.); #185674=EDGE_CURVE('',#151720,#151721,#68936,.T.); #185675=EDGE_CURVE('',#151722,#151720,#68937,.T.); #185676=EDGE_CURVE('',#151723,#151721,#68938,.T.); #185677=EDGE_CURVE('',#151722,#151723,#68939,.T.); #185678=EDGE_CURVE('',#151724,#151722,#68940,.T.); #185679=EDGE_CURVE('',#151725,#151723,#68941,.T.); #185680=EDGE_CURVE('',#151724,#151725,#68942,.T.); #185681=EDGE_CURVE('',#151726,#151724,#68943,.T.); #185682=EDGE_CURVE('',#151727,#151725,#68944,.T.); #185683=EDGE_CURVE('',#151726,#151727,#68945,.T.); #185684=EDGE_CURVE('',#151728,#151726,#68946,.T.); #185685=EDGE_CURVE('',#151729,#151727,#68947,.T.); #185686=EDGE_CURVE('',#151728,#151729,#68948,.T.); #185687=EDGE_CURVE('',#151730,#151728,#68949,.T.); #185688=EDGE_CURVE('',#151731,#151729,#68950,.T.); #185689=EDGE_CURVE('',#151730,#151731,#68951,.T.); #185690=EDGE_CURVE('',#151732,#151730,#68952,.T.); #185691=EDGE_CURVE('',#151733,#151731,#68953,.T.); #185692=EDGE_CURVE('',#151732,#151733,#68954,.T.); #185693=EDGE_CURVE('',#151734,#151732,#68955,.T.); #185694=EDGE_CURVE('',#151735,#151733,#68956,.T.); #185695=EDGE_CURVE('',#151734,#151735,#68957,.T.); #185696=EDGE_CURVE('',#151736,#151734,#68958,.T.); #185697=EDGE_CURVE('',#151737,#151735,#68959,.T.); #185698=EDGE_CURVE('',#151736,#151737,#68960,.T.); #185699=EDGE_CURVE('',#151738,#151736,#68961,.T.); #185700=EDGE_CURVE('',#151739,#151737,#68962,.T.); #185701=EDGE_CURVE('',#151738,#151739,#68963,.T.); #185702=EDGE_CURVE('',#151740,#151738,#68964,.T.); #185703=EDGE_CURVE('',#151741,#151739,#68965,.T.); #185704=EDGE_CURVE('',#151740,#151741,#68966,.T.); #185705=EDGE_CURVE('',#151742,#151740,#68967,.T.); #185706=EDGE_CURVE('',#151743,#151741,#68968,.T.); #185707=EDGE_CURVE('',#151742,#151743,#68969,.T.); #185708=EDGE_CURVE('',#151744,#151742,#68970,.T.); #185709=EDGE_CURVE('',#151745,#151743,#68971,.T.); #185710=EDGE_CURVE('',#151744,#151745,#68972,.T.); #185711=EDGE_CURVE('',#151746,#151744,#68973,.T.); #185712=EDGE_CURVE('',#151747,#151745,#68974,.T.); #185713=EDGE_CURVE('',#151746,#151747,#68975,.T.); #185714=EDGE_CURVE('',#151748,#151746,#68976,.T.); #185715=EDGE_CURVE('',#151749,#151747,#68977,.T.); #185716=EDGE_CURVE('',#151748,#151749,#68978,.T.); #185717=EDGE_CURVE('',#151750,#151748,#68979,.T.); #185718=EDGE_CURVE('',#151751,#151749,#68980,.T.); #185719=EDGE_CURVE('',#151750,#151751,#68981,.T.); #185720=EDGE_CURVE('',#151752,#151750,#68982,.T.); #185721=EDGE_CURVE('',#151753,#151751,#68983,.T.); #185722=EDGE_CURVE('',#151752,#151753,#68984,.T.); #185723=EDGE_CURVE('',#151754,#151752,#68985,.T.); #185724=EDGE_CURVE('',#151755,#151753,#68986,.T.); #185725=EDGE_CURVE('',#151754,#151755,#68987,.T.); #185726=EDGE_CURVE('',#151756,#151754,#68988,.T.); #185727=EDGE_CURVE('',#151757,#151755,#68989,.T.); #185728=EDGE_CURVE('',#151756,#151757,#68990,.T.); #185729=EDGE_CURVE('',#151758,#151756,#68991,.T.); #185730=EDGE_CURVE('',#151759,#151757,#68992,.T.); #185731=EDGE_CURVE('',#151758,#151759,#68993,.T.); #185732=EDGE_CURVE('',#151760,#151758,#68994,.T.); #185733=EDGE_CURVE('',#151761,#151759,#68995,.T.); #185734=EDGE_CURVE('',#151760,#151761,#68996,.T.); #185735=EDGE_CURVE('',#151762,#151760,#68997,.T.); #185736=EDGE_CURVE('',#151763,#151761,#68998,.T.); #185737=EDGE_CURVE('',#151762,#151763,#68999,.T.); #185738=EDGE_CURVE('',#151764,#151762,#69000,.T.); #185739=EDGE_CURVE('',#151765,#151763,#69001,.T.); #185740=EDGE_CURVE('',#151764,#151765,#69002,.T.); #185741=EDGE_CURVE('',#151766,#151764,#69003,.T.); #185742=EDGE_CURVE('',#151767,#151765,#69004,.T.); #185743=EDGE_CURVE('',#151766,#151767,#69005,.T.); #185744=EDGE_CURVE('',#151768,#151766,#69006,.T.); #185745=EDGE_CURVE('',#151769,#151767,#69007,.T.); #185746=EDGE_CURVE('',#151768,#151769,#69008,.T.); #185747=EDGE_CURVE('',#151770,#151768,#69009,.T.); #185748=EDGE_CURVE('',#151771,#151769,#69010,.T.); #185749=EDGE_CURVE('',#151770,#151771,#69011,.T.); #185750=EDGE_CURVE('',#151772,#151770,#69012,.T.); #185751=EDGE_CURVE('',#151773,#151771,#69013,.T.); #185752=EDGE_CURVE('',#151772,#151773,#69014,.T.); #185753=EDGE_CURVE('',#151774,#151772,#69015,.T.); #185754=EDGE_CURVE('',#151775,#151773,#69016,.T.); #185755=EDGE_CURVE('',#151774,#151775,#69017,.T.); #185756=EDGE_CURVE('',#151776,#151774,#69018,.T.); #185757=EDGE_CURVE('',#151777,#151775,#69019,.T.); #185758=EDGE_CURVE('',#151776,#151777,#69020,.T.); #185759=EDGE_CURVE('',#151778,#151776,#69021,.T.); #185760=EDGE_CURVE('',#151779,#151777,#69022,.T.); #185761=EDGE_CURVE('',#151778,#151779,#69023,.T.); #185762=EDGE_CURVE('',#151780,#151778,#69024,.T.); #185763=EDGE_CURVE('',#151781,#151779,#69025,.T.); #185764=EDGE_CURVE('',#151780,#151781,#69026,.T.); #185765=EDGE_CURVE('',#151782,#151780,#69027,.T.); #185766=EDGE_CURVE('',#151783,#151781,#69028,.T.); #185767=EDGE_CURVE('',#151782,#151783,#69029,.T.); #185768=EDGE_CURVE('',#151784,#151782,#69030,.T.); #185769=EDGE_CURVE('',#151785,#151783,#69031,.T.); #185770=EDGE_CURVE('',#151784,#151785,#69032,.T.); #185771=EDGE_CURVE('',#151786,#151784,#69033,.T.); #185772=EDGE_CURVE('',#151787,#151785,#69034,.T.); #185773=EDGE_CURVE('',#151786,#151787,#69035,.T.); #185774=EDGE_CURVE('',#151788,#151786,#69036,.T.); #185775=EDGE_CURVE('',#151789,#151787,#69037,.T.); #185776=EDGE_CURVE('',#151788,#151789,#69038,.T.); #185777=EDGE_CURVE('',#151790,#151788,#69039,.T.); #185778=EDGE_CURVE('',#151791,#151789,#69040,.T.); #185779=EDGE_CURVE('',#151790,#151791,#69041,.T.); #185780=EDGE_CURVE('',#151792,#151790,#69042,.T.); #185781=EDGE_CURVE('',#151793,#151791,#69043,.T.); #185782=EDGE_CURVE('',#151792,#151793,#69044,.T.); #185783=EDGE_CURVE('',#151794,#151792,#69045,.T.); #185784=EDGE_CURVE('',#151795,#151793,#69046,.T.); #185785=EDGE_CURVE('',#151794,#151795,#69047,.T.); #185786=EDGE_CURVE('',#151796,#151794,#69048,.T.); #185787=EDGE_CURVE('',#151797,#151795,#69049,.T.); #185788=EDGE_CURVE('',#151796,#151797,#69050,.T.); #185789=EDGE_CURVE('',#151798,#151796,#69051,.T.); #185790=EDGE_CURVE('',#151799,#151797,#69052,.T.); #185791=EDGE_CURVE('',#151798,#151799,#69053,.T.); #185792=EDGE_CURVE('',#151800,#151798,#69054,.T.); #185793=EDGE_CURVE('',#151801,#151799,#69055,.T.); #185794=EDGE_CURVE('',#151800,#151801,#69056,.T.); #185795=EDGE_CURVE('',#151802,#151800,#69057,.T.); #185796=EDGE_CURVE('',#151803,#151801,#69058,.T.); #185797=EDGE_CURVE('',#151802,#151803,#69059,.T.); #185798=EDGE_CURVE('',#151804,#151802,#69060,.T.); #185799=EDGE_CURVE('',#151805,#151803,#69061,.T.); #185800=EDGE_CURVE('',#151804,#151805,#69062,.T.); #185801=EDGE_CURVE('',#151806,#151804,#69063,.T.); #185802=EDGE_CURVE('',#151807,#151805,#69064,.T.); #185803=EDGE_CURVE('',#151806,#151807,#69065,.T.); #185804=EDGE_CURVE('',#151808,#151806,#69066,.T.); #185805=EDGE_CURVE('',#151809,#151807,#69067,.T.); #185806=EDGE_CURVE('',#151808,#151809,#69068,.T.); #185807=EDGE_CURVE('',#151810,#151808,#69069,.T.); #185808=EDGE_CURVE('',#151811,#151809,#69070,.T.); #185809=EDGE_CURVE('',#151810,#151811,#69071,.T.); #185810=EDGE_CURVE('',#151812,#151810,#69072,.T.); #185811=EDGE_CURVE('',#151813,#151811,#69073,.T.); #185812=EDGE_CURVE('',#151812,#151813,#69074,.T.); #185813=EDGE_CURVE('',#151814,#151812,#69075,.T.); #185814=EDGE_CURVE('',#151815,#151813,#69076,.T.); #185815=EDGE_CURVE('',#151814,#151815,#69077,.T.); #185816=EDGE_CURVE('',#151816,#151814,#69078,.T.); #185817=EDGE_CURVE('',#151817,#151815,#69079,.T.); #185818=EDGE_CURVE('',#151816,#151817,#69080,.T.); #185819=EDGE_CURVE('',#151818,#151816,#69081,.T.); #185820=EDGE_CURVE('',#151819,#151817,#69082,.T.); #185821=EDGE_CURVE('',#151818,#151819,#69083,.T.); #185822=EDGE_CURVE('',#151820,#151818,#69084,.T.); #185823=EDGE_CURVE('',#151821,#151819,#69085,.T.); #185824=EDGE_CURVE('',#151820,#151821,#69086,.T.); #185825=EDGE_CURVE('',#151822,#151820,#69087,.T.); #185826=EDGE_CURVE('',#151823,#151821,#69088,.T.); #185827=EDGE_CURVE('',#151822,#151823,#69089,.T.); #185828=EDGE_CURVE('',#151824,#151822,#69090,.T.); #185829=EDGE_CURVE('',#151825,#151823,#69091,.T.); #185830=EDGE_CURVE('',#151824,#151825,#69092,.T.); #185831=EDGE_CURVE('',#151826,#151824,#69093,.T.); #185832=EDGE_CURVE('',#151827,#151825,#69094,.T.); #185833=EDGE_CURVE('',#151826,#151827,#69095,.T.); #185834=EDGE_CURVE('',#151828,#151826,#69096,.T.); #185835=EDGE_CURVE('',#151829,#151827,#69097,.T.); #185836=EDGE_CURVE('',#151828,#151829,#69098,.T.); #185837=EDGE_CURVE('',#151830,#151828,#69099,.T.); #185838=EDGE_CURVE('',#151831,#151829,#69100,.T.); #185839=EDGE_CURVE('',#151830,#151831,#69101,.T.); #185840=EDGE_CURVE('',#151832,#151830,#69102,.T.); #185841=EDGE_CURVE('',#151833,#151831,#69103,.T.); #185842=EDGE_CURVE('',#151832,#151833,#69104,.T.); #185843=EDGE_CURVE('',#151834,#151832,#69105,.T.); #185844=EDGE_CURVE('',#151835,#151833,#69106,.T.); #185845=EDGE_CURVE('',#151834,#151835,#69107,.T.); #185846=EDGE_CURVE('',#151836,#151834,#69108,.T.); #185847=EDGE_CURVE('',#151837,#151835,#69109,.T.); #185848=EDGE_CURVE('',#151836,#151837,#69110,.T.); #185849=EDGE_CURVE('',#151838,#151836,#69111,.T.); #185850=EDGE_CURVE('',#151839,#151837,#69112,.T.); #185851=EDGE_CURVE('',#151838,#151839,#69113,.T.); #185852=EDGE_CURVE('',#151840,#151838,#69114,.T.); #185853=EDGE_CURVE('',#151841,#151839,#69115,.T.); #185854=EDGE_CURVE('',#151840,#151841,#69116,.T.); #185855=EDGE_CURVE('',#151842,#151840,#69117,.T.); #185856=EDGE_CURVE('',#151843,#151841,#69118,.T.); #185857=EDGE_CURVE('',#151842,#151843,#69119,.T.); #185858=EDGE_CURVE('',#151844,#151842,#69120,.T.); #185859=EDGE_CURVE('',#151845,#151843,#69121,.T.); #185860=EDGE_CURVE('',#151844,#151845,#69122,.T.); #185861=EDGE_CURVE('',#151846,#151844,#69123,.T.); #185862=EDGE_CURVE('',#151847,#151845,#69124,.T.); #185863=EDGE_CURVE('',#151846,#151847,#69125,.T.); #185864=EDGE_CURVE('',#151848,#151846,#69126,.T.); #185865=EDGE_CURVE('',#151849,#151847,#69127,.T.); #185866=EDGE_CURVE('',#151848,#151849,#69128,.T.); #185867=EDGE_CURVE('',#151850,#151848,#69129,.T.); #185868=EDGE_CURVE('',#151851,#151849,#69130,.T.); #185869=EDGE_CURVE('',#151850,#151851,#69131,.T.); #185870=EDGE_CURVE('',#151852,#151850,#69132,.T.); #185871=EDGE_CURVE('',#151853,#151851,#69133,.T.); #185872=EDGE_CURVE('',#151852,#151853,#69134,.T.); #185873=EDGE_CURVE('',#151854,#151852,#69135,.T.); #185874=EDGE_CURVE('',#151855,#151853,#69136,.T.); #185875=EDGE_CURVE('',#151854,#151855,#69137,.T.); #185876=EDGE_CURVE('',#151856,#151854,#69138,.T.); #185877=EDGE_CURVE('',#151857,#151855,#69139,.T.); #185878=EDGE_CURVE('',#151856,#151857,#69140,.T.); #185879=EDGE_CURVE('',#151858,#151856,#69141,.T.); #185880=EDGE_CURVE('',#151859,#151857,#69142,.T.); #185881=EDGE_CURVE('',#151858,#151859,#69143,.T.); #185882=EDGE_CURVE('',#151860,#151858,#69144,.T.); #185883=EDGE_CURVE('',#151861,#151859,#69145,.T.); #185884=EDGE_CURVE('',#151860,#151861,#69146,.T.); #185885=EDGE_CURVE('',#151862,#151860,#69147,.T.); #185886=EDGE_CURVE('',#151863,#151861,#69148,.T.); #185887=EDGE_CURVE('',#151862,#151863,#69149,.T.); #185888=EDGE_CURVE('',#151864,#151862,#69150,.T.); #185889=EDGE_CURVE('',#151865,#151863,#69151,.T.); #185890=EDGE_CURVE('',#151864,#151865,#69152,.T.); #185891=EDGE_CURVE('',#151866,#151864,#69153,.T.); #185892=EDGE_CURVE('',#151867,#151865,#69154,.T.); #185893=EDGE_CURVE('',#151866,#151867,#69155,.T.); #185894=EDGE_CURVE('',#151868,#151866,#69156,.T.); #185895=EDGE_CURVE('',#151869,#151867,#69157,.T.); #185896=EDGE_CURVE('',#151868,#151869,#69158,.T.); #185897=EDGE_CURVE('',#151870,#151868,#69159,.T.); #185898=EDGE_CURVE('',#151871,#151869,#69160,.T.); #185899=EDGE_CURVE('',#151870,#151871,#69161,.T.); #185900=EDGE_CURVE('',#151872,#151870,#69162,.T.); #185901=EDGE_CURVE('',#151873,#151871,#69163,.T.); #185902=EDGE_CURVE('',#151872,#151873,#69164,.T.); #185903=EDGE_CURVE('',#151874,#151872,#69165,.T.); #185904=EDGE_CURVE('',#151875,#151873,#69166,.T.); #185905=EDGE_CURVE('',#151874,#151875,#69167,.T.); #185906=EDGE_CURVE('',#151876,#151874,#69168,.T.); #185907=EDGE_CURVE('',#151877,#151875,#69169,.T.); #185908=EDGE_CURVE('',#151876,#151877,#69170,.T.); #185909=EDGE_CURVE('',#151878,#151876,#69171,.T.); #185910=EDGE_CURVE('',#151879,#151877,#69172,.T.); #185911=EDGE_CURVE('',#151878,#151879,#69173,.T.); #185912=EDGE_CURVE('',#151880,#151878,#69174,.T.); #185913=EDGE_CURVE('',#151881,#151879,#69175,.T.); #185914=EDGE_CURVE('',#151880,#151881,#69176,.T.); #185915=EDGE_CURVE('',#151882,#151880,#69177,.T.); #185916=EDGE_CURVE('',#151883,#151881,#69178,.T.); #185917=EDGE_CURVE('',#151882,#151883,#69179,.T.); #185918=EDGE_CURVE('',#151884,#151882,#69180,.T.); #185919=EDGE_CURVE('',#151885,#151883,#69181,.T.); #185920=EDGE_CURVE('',#151884,#151885,#69182,.T.); #185921=EDGE_CURVE('',#151886,#151884,#69183,.T.); #185922=EDGE_CURVE('',#151887,#151885,#69184,.T.); #185923=EDGE_CURVE('',#151886,#151887,#69185,.T.); #185924=EDGE_CURVE('',#151888,#151886,#69186,.T.); #185925=EDGE_CURVE('',#151889,#151887,#69187,.T.); #185926=EDGE_CURVE('',#151888,#151889,#69188,.T.); #185927=EDGE_CURVE('',#151890,#151888,#69189,.T.); #185928=EDGE_CURVE('',#151891,#151889,#69190,.T.); #185929=EDGE_CURVE('',#151890,#151891,#69191,.T.); #185930=EDGE_CURVE('',#151892,#151890,#69192,.T.); #185931=EDGE_CURVE('',#151893,#151891,#69193,.T.); #185932=EDGE_CURVE('',#151892,#151893,#69194,.T.); #185933=EDGE_CURVE('',#151894,#151892,#69195,.T.); #185934=EDGE_CURVE('',#151895,#151893,#69196,.T.); #185935=EDGE_CURVE('',#151894,#151895,#69197,.T.); #185936=EDGE_CURVE('',#151896,#151894,#69198,.T.); #185937=EDGE_CURVE('',#151897,#151895,#69199,.T.); #185938=EDGE_CURVE('',#151896,#151897,#69200,.T.); #185939=EDGE_CURVE('',#151898,#151896,#69201,.T.); #185940=EDGE_CURVE('',#151899,#151897,#69202,.T.); #185941=EDGE_CURVE('',#151898,#151899,#69203,.T.); #185942=EDGE_CURVE('',#151900,#151898,#69204,.T.); #185943=EDGE_CURVE('',#151901,#151899,#69205,.T.); #185944=EDGE_CURVE('',#151900,#151901,#69206,.T.); #185945=EDGE_CURVE('',#151902,#151900,#69207,.T.); #185946=EDGE_CURVE('',#151903,#151901,#69208,.T.); #185947=EDGE_CURVE('',#151902,#151903,#69209,.T.); #185948=EDGE_CURVE('',#151904,#151902,#69210,.T.); #185949=EDGE_CURVE('',#151905,#151903,#69211,.T.); #185950=EDGE_CURVE('',#151904,#151905,#69212,.T.); #185951=EDGE_CURVE('',#151906,#151904,#69213,.T.); #185952=EDGE_CURVE('',#151907,#151905,#69214,.T.); #185953=EDGE_CURVE('',#151906,#151907,#69215,.T.); #185954=EDGE_CURVE('',#151908,#151906,#69216,.T.); #185955=EDGE_CURVE('',#151909,#151907,#69217,.T.); #185956=EDGE_CURVE('',#151908,#151909,#69218,.T.); #185957=EDGE_CURVE('',#151910,#151908,#69219,.T.); #185958=EDGE_CURVE('',#151911,#151909,#69220,.T.); #185959=EDGE_CURVE('',#151910,#151911,#69221,.T.); #185960=EDGE_CURVE('',#151912,#151910,#69222,.T.); #185961=EDGE_CURVE('',#151913,#151911,#69223,.T.); #185962=EDGE_CURVE('',#151912,#151913,#69224,.T.); #185963=EDGE_CURVE('',#151914,#151912,#69225,.T.); #185964=EDGE_CURVE('',#151915,#151913,#69226,.T.); #185965=EDGE_CURVE('',#151914,#151915,#69227,.T.); #185966=EDGE_CURVE('',#151916,#151914,#69228,.T.); #185967=EDGE_CURVE('',#151917,#151915,#69229,.T.); #185968=EDGE_CURVE('',#151916,#151917,#69230,.T.); #185969=EDGE_CURVE('',#151918,#151916,#69231,.T.); #185970=EDGE_CURVE('',#151919,#151917,#69232,.T.); #185971=EDGE_CURVE('',#151918,#151919,#69233,.T.); #185972=EDGE_CURVE('',#151920,#151918,#69234,.T.); #185973=EDGE_CURVE('',#151921,#151919,#69235,.T.); #185974=EDGE_CURVE('',#151920,#151921,#69236,.T.); #185975=EDGE_CURVE('',#151922,#151920,#69237,.T.); #185976=EDGE_CURVE('',#151923,#151921,#69238,.T.); #185977=EDGE_CURVE('',#151922,#151923,#69239,.T.); #185978=EDGE_CURVE('',#151924,#151922,#69240,.T.); #185979=EDGE_CURVE('',#151925,#151923,#69241,.T.); #185980=EDGE_CURVE('',#151924,#151925,#69242,.T.); #185981=EDGE_CURVE('',#151926,#151924,#69243,.T.); #185982=EDGE_CURVE('',#151927,#151925,#69244,.T.); #185983=EDGE_CURVE('',#151926,#151927,#69245,.T.); #185984=EDGE_CURVE('',#151928,#151926,#69246,.T.); #185985=EDGE_CURVE('',#151929,#151927,#69247,.T.); #185986=EDGE_CURVE('',#151928,#151929,#69248,.T.); #185987=EDGE_CURVE('',#151930,#151928,#69249,.T.); #185988=EDGE_CURVE('',#151931,#151929,#69250,.T.); #185989=EDGE_CURVE('',#151930,#151931,#69251,.T.); #185990=EDGE_CURVE('',#151932,#151930,#69252,.T.); #185991=EDGE_CURVE('',#151933,#151931,#69253,.T.); #185992=EDGE_CURVE('',#151932,#151933,#69254,.T.); #185993=EDGE_CURVE('',#151934,#151932,#69255,.T.); #185994=EDGE_CURVE('',#151935,#151933,#69256,.T.); #185995=EDGE_CURVE('',#151934,#151935,#69257,.T.); #185996=EDGE_CURVE('',#151936,#151934,#69258,.T.); #185997=EDGE_CURVE('',#151937,#151935,#69259,.T.); #185998=EDGE_CURVE('',#151936,#151937,#69260,.T.); #185999=EDGE_CURVE('',#151938,#151936,#69261,.T.); #186000=EDGE_CURVE('',#151939,#151937,#69262,.T.); #186001=EDGE_CURVE('',#151938,#151939,#69263,.T.); #186002=EDGE_CURVE('',#151940,#151938,#69264,.T.); #186003=EDGE_CURVE('',#151941,#151939,#69265,.T.); #186004=EDGE_CURVE('',#151940,#151941,#69266,.T.); #186005=EDGE_CURVE('',#151942,#151940,#69267,.T.); #186006=EDGE_CURVE('',#151943,#151941,#69268,.T.); #186007=EDGE_CURVE('',#151942,#151943,#69269,.T.); #186008=EDGE_CURVE('',#151944,#151942,#69270,.T.); #186009=EDGE_CURVE('',#151945,#151943,#69271,.T.); #186010=EDGE_CURVE('',#151944,#151945,#69272,.T.); #186011=EDGE_CURVE('',#151946,#151944,#69273,.T.); #186012=EDGE_CURVE('',#151947,#151945,#69274,.T.); #186013=EDGE_CURVE('',#151946,#151947,#69275,.T.); #186014=EDGE_CURVE('',#151948,#151946,#69276,.T.); #186015=EDGE_CURVE('',#151949,#151947,#69277,.T.); #186016=EDGE_CURVE('',#151948,#151949,#69278,.T.); #186017=EDGE_CURVE('',#151950,#151948,#69279,.T.); #186018=EDGE_CURVE('',#151951,#151949,#69280,.T.); #186019=EDGE_CURVE('',#151950,#151951,#69281,.T.); #186020=EDGE_CURVE('',#151952,#151950,#69282,.T.); #186021=EDGE_CURVE('',#151953,#151951,#69283,.T.); #186022=EDGE_CURVE('',#151952,#151953,#69284,.T.); #186023=EDGE_CURVE('',#151954,#151952,#69285,.T.); #186024=EDGE_CURVE('',#151955,#151953,#69286,.T.); #186025=EDGE_CURVE('',#151954,#151955,#69287,.T.); #186026=EDGE_CURVE('',#151956,#151954,#69288,.T.); #186027=EDGE_CURVE('',#151957,#151955,#69289,.T.); #186028=EDGE_CURVE('',#151956,#151957,#69290,.T.); #186029=EDGE_CURVE('',#151958,#151956,#69291,.T.); #186030=EDGE_CURVE('',#151959,#151957,#69292,.T.); #186031=EDGE_CURVE('',#151958,#151959,#69293,.T.); #186032=EDGE_CURVE('',#151960,#151958,#69294,.T.); #186033=EDGE_CURVE('',#151961,#151959,#69295,.T.); #186034=EDGE_CURVE('',#151960,#151961,#69296,.T.); #186035=EDGE_CURVE('',#151962,#151960,#69297,.T.); #186036=EDGE_CURVE('',#151963,#151961,#69298,.T.); #186037=EDGE_CURVE('',#151962,#151963,#69299,.T.); #186038=EDGE_CURVE('',#151964,#151962,#69300,.T.); #186039=EDGE_CURVE('',#151965,#151963,#69301,.T.); #186040=EDGE_CURVE('',#151964,#151965,#69302,.T.); #186041=EDGE_CURVE('',#151966,#151964,#69303,.T.); #186042=EDGE_CURVE('',#151967,#151965,#69304,.T.); #186043=EDGE_CURVE('',#151966,#151967,#69305,.T.); #186044=EDGE_CURVE('',#151968,#151966,#69306,.T.); #186045=EDGE_CURVE('',#151969,#151967,#69307,.T.); #186046=EDGE_CURVE('',#151968,#151969,#69308,.T.); #186047=EDGE_CURVE('',#151970,#151968,#69309,.T.); #186048=EDGE_CURVE('',#151971,#151969,#69310,.T.); #186049=EDGE_CURVE('',#151970,#151971,#69311,.T.); #186050=EDGE_CURVE('',#151972,#151970,#69312,.T.); #186051=EDGE_CURVE('',#151973,#151971,#69313,.T.); #186052=EDGE_CURVE('',#151972,#151973,#69314,.T.); #186053=EDGE_CURVE('',#151974,#151972,#69315,.T.); #186054=EDGE_CURVE('',#151975,#151973,#69316,.T.); #186055=EDGE_CURVE('',#151974,#151975,#69317,.T.); #186056=EDGE_CURVE('',#151976,#151974,#69318,.T.); #186057=EDGE_CURVE('',#151977,#151975,#69319,.T.); #186058=EDGE_CURVE('',#151976,#151977,#69320,.T.); #186059=EDGE_CURVE('',#151978,#151976,#69321,.T.); #186060=EDGE_CURVE('',#151979,#151977,#69322,.T.); #186061=EDGE_CURVE('',#151978,#151979,#69323,.T.); #186062=EDGE_CURVE('',#151980,#151978,#69324,.T.); #186063=EDGE_CURVE('',#151981,#151979,#69325,.T.); #186064=EDGE_CURVE('',#151980,#151981,#69326,.T.); #186065=EDGE_CURVE('',#151982,#151980,#69327,.T.); #186066=EDGE_CURVE('',#151983,#151981,#69328,.T.); #186067=EDGE_CURVE('',#151982,#151983,#69329,.T.); #186068=EDGE_CURVE('',#151984,#151982,#69330,.T.); #186069=EDGE_CURVE('',#151985,#151983,#69331,.T.); #186070=EDGE_CURVE('',#151984,#151985,#69332,.T.); #186071=EDGE_CURVE('',#151986,#151984,#69333,.T.); #186072=EDGE_CURVE('',#151987,#151985,#69334,.T.); #186073=EDGE_CURVE('',#151986,#151987,#69335,.T.); #186074=EDGE_CURVE('',#151988,#151986,#69336,.T.); #186075=EDGE_CURVE('',#151989,#151987,#69337,.T.); #186076=EDGE_CURVE('',#151988,#151989,#69338,.T.); #186077=EDGE_CURVE('',#151990,#151988,#69339,.T.); #186078=EDGE_CURVE('',#151991,#151989,#69340,.T.); #186079=EDGE_CURVE('',#151990,#151991,#69341,.T.); #186080=EDGE_CURVE('',#151992,#151990,#69342,.T.); #186081=EDGE_CURVE('',#151993,#151991,#69343,.T.); #186082=EDGE_CURVE('',#151992,#151993,#69344,.T.); #186083=EDGE_CURVE('',#151994,#151992,#69345,.T.); #186084=EDGE_CURVE('',#151995,#151993,#69346,.T.); #186085=EDGE_CURVE('',#151994,#151995,#69347,.T.); #186086=EDGE_CURVE('',#151996,#151994,#69348,.T.); #186087=EDGE_CURVE('',#151997,#151995,#69349,.T.); #186088=EDGE_CURVE('',#151996,#151997,#69350,.T.); #186089=EDGE_CURVE('',#151998,#151996,#69351,.T.); #186090=EDGE_CURVE('',#151999,#151997,#69352,.T.); #186091=EDGE_CURVE('',#151998,#151999,#69353,.T.); #186092=EDGE_CURVE('',#152000,#151998,#69354,.T.); #186093=EDGE_CURVE('',#152001,#151999,#69355,.T.); #186094=EDGE_CURVE('',#152000,#152001,#69356,.T.); #186095=EDGE_CURVE('',#152002,#152000,#69357,.T.); #186096=EDGE_CURVE('',#152003,#152001,#69358,.T.); #186097=EDGE_CURVE('',#152002,#152003,#69359,.T.); #186098=EDGE_CURVE('',#152004,#152002,#69360,.T.); #186099=EDGE_CURVE('',#152005,#152003,#69361,.T.); #186100=EDGE_CURVE('',#152004,#152005,#69362,.T.); #186101=EDGE_CURVE('',#152006,#152004,#69363,.T.); #186102=EDGE_CURVE('',#152007,#152005,#69364,.T.); #186103=EDGE_CURVE('',#152006,#152007,#69365,.T.); #186104=EDGE_CURVE('',#152008,#152006,#69366,.T.); #186105=EDGE_CURVE('',#152009,#152007,#69367,.T.); #186106=EDGE_CURVE('',#152008,#152009,#69368,.T.); #186107=EDGE_CURVE('',#152010,#152008,#69369,.T.); #186108=EDGE_CURVE('',#152011,#152009,#69370,.T.); #186109=EDGE_CURVE('',#152010,#152011,#69371,.T.); #186110=EDGE_CURVE('',#152012,#152010,#69372,.T.); #186111=EDGE_CURVE('',#152013,#152011,#69373,.T.); #186112=EDGE_CURVE('',#152012,#152013,#69374,.T.); #186113=EDGE_CURVE('',#152014,#152012,#69375,.T.); #186114=EDGE_CURVE('',#152015,#152013,#69376,.T.); #186115=EDGE_CURVE('',#152014,#152015,#69377,.T.); #186116=EDGE_CURVE('',#152016,#152014,#69378,.T.); #186117=EDGE_CURVE('',#152017,#152015,#69379,.T.); #186118=EDGE_CURVE('',#152016,#152017,#69380,.T.); #186119=EDGE_CURVE('',#152018,#152016,#69381,.T.); #186120=EDGE_CURVE('',#152019,#152017,#69382,.T.); #186121=EDGE_CURVE('',#152018,#152019,#69383,.T.); #186122=EDGE_CURVE('',#152020,#152018,#69384,.T.); #186123=EDGE_CURVE('',#152021,#152019,#69385,.T.); #186124=EDGE_CURVE('',#152020,#152021,#69386,.T.); #186125=EDGE_CURVE('',#152022,#152020,#69387,.T.); #186126=EDGE_CURVE('',#152023,#152021,#69388,.T.); #186127=EDGE_CURVE('',#152022,#152023,#69389,.T.); #186128=EDGE_CURVE('',#152024,#152022,#69390,.T.); #186129=EDGE_CURVE('',#152025,#152023,#69391,.T.); #186130=EDGE_CURVE('',#152024,#152025,#69392,.T.); #186131=EDGE_CURVE('',#152026,#152024,#69393,.T.); #186132=EDGE_CURVE('',#152027,#152025,#69394,.T.); #186133=EDGE_CURVE('',#152026,#152027,#69395,.T.); #186134=EDGE_CURVE('',#152028,#152026,#69396,.T.); #186135=EDGE_CURVE('',#152029,#152027,#69397,.T.); #186136=EDGE_CURVE('',#152028,#152029,#69398,.T.); #186137=EDGE_CURVE('',#152030,#152028,#69399,.T.); #186138=EDGE_CURVE('',#152031,#152029,#69400,.T.); #186139=EDGE_CURVE('',#152030,#152031,#69401,.T.); #186140=EDGE_CURVE('',#152032,#152030,#69402,.T.); #186141=EDGE_CURVE('',#152033,#152031,#69403,.T.); #186142=EDGE_CURVE('',#152032,#152033,#69404,.T.); #186143=EDGE_CURVE('',#152034,#152032,#69405,.T.); #186144=EDGE_CURVE('',#152035,#152033,#69406,.T.); #186145=EDGE_CURVE('',#152034,#152035,#69407,.T.); #186146=EDGE_CURVE('',#152036,#152034,#69408,.T.); #186147=EDGE_CURVE('',#152037,#152035,#69409,.T.); #186148=EDGE_CURVE('',#152036,#152037,#69410,.T.); #186149=EDGE_CURVE('',#152038,#152036,#69411,.T.); #186150=EDGE_CURVE('',#152039,#152037,#69412,.T.); #186151=EDGE_CURVE('',#152038,#152039,#69413,.T.); #186152=EDGE_CURVE('',#151675,#152038,#69414,.T.); #186153=EDGE_CURVE('',#151676,#152039,#69415,.T.); #186154=EDGE_CURVE('',#152040,#152041,#69416,.T.); #186155=EDGE_CURVE('',#152041,#152042,#69417,.T.); #186156=EDGE_CURVE('',#152043,#152042,#69418,.T.); #186157=EDGE_CURVE('',#152040,#152043,#69419,.T.); #186158=EDGE_CURVE('',#152044,#152040,#69420,.T.); #186159=EDGE_CURVE('',#152045,#152043,#69421,.T.); #186160=EDGE_CURVE('',#152044,#152045,#69422,.T.); #186161=EDGE_CURVE('',#152046,#152044,#69423,.T.); #186162=EDGE_CURVE('',#152047,#152045,#69424,.T.); #186163=EDGE_CURVE('',#152046,#152047,#69425,.T.); #186164=EDGE_CURVE('',#152048,#152046,#69426,.T.); #186165=EDGE_CURVE('',#152049,#152047,#69427,.T.); #186166=EDGE_CURVE('',#152048,#152049,#69428,.T.); #186167=EDGE_CURVE('',#152050,#152048,#69429,.T.); #186168=EDGE_CURVE('',#152051,#152049,#69430,.T.); #186169=EDGE_CURVE('',#152050,#152051,#69431,.T.); #186170=EDGE_CURVE('',#152052,#152050,#69432,.T.); #186171=EDGE_CURVE('',#152053,#152051,#69433,.T.); #186172=EDGE_CURVE('',#152052,#152053,#69434,.T.); #186173=EDGE_CURVE('',#152054,#152052,#69435,.T.); #186174=EDGE_CURVE('',#152055,#152053,#69436,.T.); #186175=EDGE_CURVE('',#152054,#152055,#69437,.T.); #186176=EDGE_CURVE('',#152056,#152054,#69438,.T.); #186177=EDGE_CURVE('',#152057,#152055,#69439,.T.); #186178=EDGE_CURVE('',#152056,#152057,#69440,.T.); #186179=EDGE_CURVE('',#152058,#152056,#69441,.T.); #186180=EDGE_CURVE('',#152059,#152057,#69442,.T.); #186181=EDGE_CURVE('',#152058,#152059,#69443,.T.); #186182=EDGE_CURVE('',#152060,#152058,#69444,.T.); #186183=EDGE_CURVE('',#152061,#152059,#69445,.T.); #186184=EDGE_CURVE('',#152060,#152061,#69446,.T.); #186185=EDGE_CURVE('',#152062,#152060,#69447,.T.); #186186=EDGE_CURVE('',#152063,#152061,#69448,.T.); #186187=EDGE_CURVE('',#152062,#152063,#69449,.T.); #186188=EDGE_CURVE('',#152064,#152062,#69450,.T.); #186189=EDGE_CURVE('',#152065,#152063,#69451,.T.); #186190=EDGE_CURVE('',#152064,#152065,#69452,.T.); #186191=EDGE_CURVE('',#152066,#152064,#69453,.T.); #186192=EDGE_CURVE('',#152067,#152065,#69454,.T.); #186193=EDGE_CURVE('',#152066,#152067,#69455,.T.); #186194=EDGE_CURVE('',#152068,#152066,#69456,.T.); #186195=EDGE_CURVE('',#152069,#152067,#69457,.T.); #186196=EDGE_CURVE('',#152068,#152069,#69458,.T.); #186197=EDGE_CURVE('',#152070,#152068,#69459,.T.); #186198=EDGE_CURVE('',#152071,#152069,#69460,.T.); #186199=EDGE_CURVE('',#152070,#152071,#69461,.T.); #186200=EDGE_CURVE('',#152072,#152070,#69462,.T.); #186201=EDGE_CURVE('',#152073,#152071,#69463,.T.); #186202=EDGE_CURVE('',#152072,#152073,#69464,.T.); #186203=EDGE_CURVE('',#152074,#152072,#69465,.T.); #186204=EDGE_CURVE('',#152075,#152073,#69466,.T.); #186205=EDGE_CURVE('',#152074,#152075,#69467,.T.); #186206=EDGE_CURVE('',#152076,#152074,#69468,.T.); #186207=EDGE_CURVE('',#152077,#152075,#69469,.T.); #186208=EDGE_CURVE('',#152076,#152077,#69470,.T.); #186209=EDGE_CURVE('',#152078,#152076,#69471,.T.); #186210=EDGE_CURVE('',#152079,#152077,#69472,.T.); #186211=EDGE_CURVE('',#152078,#152079,#69473,.T.); #186212=EDGE_CURVE('',#152041,#152078,#69474,.T.); #186213=EDGE_CURVE('',#152042,#152079,#69475,.T.); #186214=EDGE_CURVE('',#152080,#152081,#69476,.T.); #186215=EDGE_CURVE('',#152081,#152082,#69477,.T.); #186216=EDGE_CURVE('',#152083,#152082,#69478,.T.); #186217=EDGE_CURVE('',#152080,#152083,#69479,.T.); #186218=EDGE_CURVE('',#152084,#152080,#69480,.T.); #186219=EDGE_CURVE('',#152085,#152083,#69481,.T.); #186220=EDGE_CURVE('',#152084,#152085,#69482,.T.); #186221=EDGE_CURVE('',#152086,#152084,#69483,.T.); #186222=EDGE_CURVE('',#152087,#152085,#69484,.T.); #186223=EDGE_CURVE('',#152086,#152087,#69485,.T.); #186224=EDGE_CURVE('',#152088,#152086,#69486,.T.); #186225=EDGE_CURVE('',#152089,#152087,#69487,.T.); #186226=EDGE_CURVE('',#152088,#152089,#69488,.T.); #186227=EDGE_CURVE('',#152090,#152088,#69489,.T.); #186228=EDGE_CURVE('',#152091,#152089,#69490,.T.); #186229=EDGE_CURVE('',#152090,#152091,#69491,.T.); #186230=EDGE_CURVE('',#152092,#152090,#69492,.T.); #186231=EDGE_CURVE('',#152093,#152091,#69493,.T.); #186232=EDGE_CURVE('',#152092,#152093,#69494,.T.); #186233=EDGE_CURVE('',#152094,#152092,#69495,.T.); #186234=EDGE_CURVE('',#152095,#152093,#69496,.T.); #186235=EDGE_CURVE('',#152094,#152095,#69497,.T.); #186236=EDGE_CURVE('',#152096,#152094,#69498,.T.); #186237=EDGE_CURVE('',#152097,#152095,#69499,.T.); #186238=EDGE_CURVE('',#152096,#152097,#69500,.T.); #186239=EDGE_CURVE('',#152098,#152096,#69501,.T.); #186240=EDGE_CURVE('',#152099,#152097,#69502,.T.); #186241=EDGE_CURVE('',#152098,#152099,#69503,.T.); #186242=EDGE_CURVE('',#152100,#152098,#69504,.T.); #186243=EDGE_CURVE('',#152101,#152099,#69505,.T.); #186244=EDGE_CURVE('',#152100,#152101,#69506,.T.); #186245=EDGE_CURVE('',#152102,#152100,#69507,.T.); #186246=EDGE_CURVE('',#152103,#152101,#69508,.T.); #186247=EDGE_CURVE('',#152102,#152103,#69509,.T.); #186248=EDGE_CURVE('',#152104,#152102,#69510,.T.); #186249=EDGE_CURVE('',#152105,#152103,#69511,.T.); #186250=EDGE_CURVE('',#152104,#152105,#69512,.T.); #186251=EDGE_CURVE('',#152106,#152104,#69513,.T.); #186252=EDGE_CURVE('',#152107,#152105,#69514,.T.); #186253=EDGE_CURVE('',#152106,#152107,#69515,.T.); #186254=EDGE_CURVE('',#152108,#152106,#69516,.T.); #186255=EDGE_CURVE('',#152109,#152107,#69517,.T.); #186256=EDGE_CURVE('',#152108,#152109,#69518,.T.); #186257=EDGE_CURVE('',#152110,#152108,#69519,.T.); #186258=EDGE_CURVE('',#152111,#152109,#69520,.T.); #186259=EDGE_CURVE('',#152110,#152111,#69521,.T.); #186260=EDGE_CURVE('',#152112,#152110,#69522,.T.); #186261=EDGE_CURVE('',#152113,#152111,#69523,.T.); #186262=EDGE_CURVE('',#152112,#152113,#69524,.T.); #186263=EDGE_CURVE('',#152114,#152112,#69525,.T.); #186264=EDGE_CURVE('',#152115,#152113,#69526,.T.); #186265=EDGE_CURVE('',#152114,#152115,#69527,.T.); #186266=EDGE_CURVE('',#152116,#152114,#69528,.T.); #186267=EDGE_CURVE('',#152117,#152115,#69529,.T.); #186268=EDGE_CURVE('',#152116,#152117,#69530,.T.); #186269=EDGE_CURVE('',#152118,#152116,#69531,.T.); #186270=EDGE_CURVE('',#152119,#152117,#69532,.T.); #186271=EDGE_CURVE('',#152118,#152119,#69533,.T.); #186272=EDGE_CURVE('',#152120,#152118,#69534,.T.); #186273=EDGE_CURVE('',#152121,#152119,#69535,.T.); #186274=EDGE_CURVE('',#152120,#152121,#69536,.T.); #186275=EDGE_CURVE('',#152081,#152120,#69537,.T.); #186276=EDGE_CURVE('',#152082,#152121,#69538,.T.); #186277=EDGE_CURVE('',#152122,#152122,#139552,.T.); #186278=EDGE_CURVE('',#152122,#152123,#69539,.T.); #186279=EDGE_CURVE('',#152123,#152123,#139553,.T.); #186280=EDGE_CURVE('',#152124,#152124,#139554,.T.); #186281=EDGE_CURVE('',#152124,#152125,#69540,.T.); #186282=EDGE_CURVE('',#152125,#152125,#139555,.T.); #186283=EDGE_CURVE('',#152126,#152126,#139556,.T.); #186284=EDGE_CURVE('',#152126,#152127,#69541,.T.); #186285=EDGE_CURVE('',#152127,#152127,#139557,.T.); #186286=EDGE_CURVE('',#152128,#152128,#139558,.T.); #186287=EDGE_CURVE('',#152128,#152129,#69542,.T.); #186288=EDGE_CURVE('',#152129,#152129,#139559,.T.); #186289=EDGE_CURVE('',#152130,#152130,#139560,.T.); #186290=EDGE_CURVE('',#152130,#152131,#69543,.T.); #186291=EDGE_CURVE('',#152131,#152131,#139561,.T.); #186292=EDGE_CURVE('',#152132,#152132,#139562,.T.); #186293=EDGE_CURVE('',#152132,#152133,#69544,.T.); #186294=EDGE_CURVE('',#152133,#152133,#139563,.T.); #186295=EDGE_CURVE('',#152134,#152134,#139564,.T.); #186296=EDGE_CURVE('',#152134,#152135,#69545,.T.); #186297=EDGE_CURVE('',#152135,#152135,#139565,.T.); #186298=EDGE_CURVE('',#152136,#152136,#139566,.T.); #186299=EDGE_CURVE('',#152136,#152137,#69546,.T.); #186300=EDGE_CURVE('',#152137,#152137,#139567,.T.); #186301=EDGE_CURVE('',#152138,#152138,#139568,.T.); #186302=EDGE_CURVE('',#152138,#152139,#69547,.T.); #186303=EDGE_CURVE('',#152139,#152139,#139569,.T.); #186304=EDGE_CURVE('',#152140,#152140,#139570,.T.); #186305=EDGE_CURVE('',#152140,#152141,#69548,.T.); #186306=EDGE_CURVE('',#152141,#152141,#139571,.T.); #186307=EDGE_CURVE('',#152142,#152142,#139572,.T.); #186308=EDGE_CURVE('',#152142,#152143,#69549,.T.); #186309=EDGE_CURVE('',#152143,#152143,#139573,.T.); #186310=EDGE_CURVE('',#152144,#152144,#139574,.T.); #186311=EDGE_CURVE('',#152144,#152145,#69550,.T.); #186312=EDGE_CURVE('',#152145,#152145,#139575,.T.); #186313=EDGE_CURVE('',#152146,#152146,#139576,.T.); #186314=EDGE_CURVE('',#152146,#152147,#69551,.T.); #186315=EDGE_CURVE('',#152147,#152147,#139577,.T.); #186316=EDGE_CURVE('',#152148,#152148,#139578,.T.); #186317=EDGE_CURVE('',#152148,#152149,#69552,.T.); #186318=EDGE_CURVE('',#152149,#152149,#139579,.T.); #186319=EDGE_CURVE('',#152150,#152150,#139580,.T.); #186320=EDGE_CURVE('',#152150,#152151,#69553,.T.); #186321=EDGE_CURVE('',#152151,#152151,#139581,.T.); #186322=EDGE_CURVE('',#152152,#152152,#139582,.T.); #186323=EDGE_CURVE('',#152152,#152153,#69554,.T.); #186324=EDGE_CURVE('',#152153,#152153,#139583,.T.); #186325=EDGE_CURVE('',#152154,#152154,#139584,.T.); #186326=EDGE_CURVE('',#152154,#152155,#69555,.T.); #186327=EDGE_CURVE('',#152155,#152155,#139585,.T.); #186328=EDGE_CURVE('',#152156,#152156,#139586,.T.); #186329=EDGE_CURVE('',#152156,#152157,#69556,.T.); #186330=EDGE_CURVE('',#152157,#152157,#139587,.T.); #186331=EDGE_CURVE('',#152158,#152158,#139588,.T.); #186332=EDGE_CURVE('',#152158,#152159,#69557,.T.); #186333=EDGE_CURVE('',#152159,#152159,#139589,.T.); #186334=EDGE_CURVE('',#152160,#152160,#139590,.T.); #186335=EDGE_CURVE('',#152160,#152161,#69558,.T.); #186336=EDGE_CURVE('',#152161,#152161,#139591,.T.); #186337=EDGE_CURVE('',#152162,#152162,#139592,.T.); #186338=EDGE_CURVE('',#152162,#152163,#69559,.T.); #186339=EDGE_CURVE('',#152163,#152163,#139593,.T.); #186340=EDGE_CURVE('',#152164,#152164,#139594,.T.); #186341=EDGE_CURVE('',#152164,#152165,#69560,.T.); #186342=EDGE_CURVE('',#152165,#152165,#139595,.T.); #186343=EDGE_CURVE('',#152166,#152166,#139596,.T.); #186344=EDGE_CURVE('',#152166,#152167,#69561,.T.); #186345=EDGE_CURVE('',#152167,#152167,#139597,.T.); #186346=EDGE_CURVE('',#152168,#152168,#139598,.T.); #186347=EDGE_CURVE('',#152168,#152169,#69562,.T.); #186348=EDGE_CURVE('',#152169,#152169,#139599,.T.); #186349=EDGE_CURVE('',#152170,#152170,#139600,.T.); #186350=EDGE_CURVE('',#152170,#152171,#69563,.T.); #186351=EDGE_CURVE('',#152171,#152171,#139601,.T.); #186352=EDGE_CURVE('',#152172,#152172,#139602,.T.); #186353=EDGE_CURVE('',#152172,#152173,#69564,.T.); #186354=EDGE_CURVE('',#152173,#152173,#139603,.T.); #186355=EDGE_CURVE('',#152174,#152174,#139604,.T.); #186356=EDGE_CURVE('',#152174,#152175,#69565,.T.); #186357=EDGE_CURVE('',#152175,#152175,#139605,.T.); #186358=EDGE_CURVE('',#152176,#152176,#139606,.T.); #186359=EDGE_CURVE('',#152176,#152177,#69566,.T.); #186360=EDGE_CURVE('',#152177,#152177,#139607,.T.); #186361=EDGE_CURVE('',#152178,#152178,#139608,.T.); #186362=EDGE_CURVE('',#152178,#152179,#69567,.T.); #186363=EDGE_CURVE('',#152179,#152179,#139609,.T.); #186364=EDGE_CURVE('',#152180,#152180,#139610,.T.); #186365=EDGE_CURVE('',#152180,#152181,#69568,.T.); #186366=EDGE_CURVE('',#152181,#152181,#139611,.T.); #186367=EDGE_CURVE('',#152182,#152182,#139612,.T.); #186368=EDGE_CURVE('',#152182,#152183,#69569,.T.); #186369=EDGE_CURVE('',#152183,#152183,#139613,.T.); #186370=EDGE_CURVE('',#152184,#152184,#139614,.T.); #186371=EDGE_CURVE('',#152184,#152185,#69570,.T.); #186372=EDGE_CURVE('',#152185,#152185,#139615,.T.); #186373=EDGE_CURVE('',#152186,#152186,#139616,.T.); #186374=EDGE_CURVE('',#152186,#152187,#69571,.T.); #186375=EDGE_CURVE('',#152187,#152187,#139617,.T.); #186376=EDGE_CURVE('',#152188,#152188,#139618,.T.); #186377=EDGE_CURVE('',#152188,#152189,#69572,.T.); #186378=EDGE_CURVE('',#152189,#152189,#139619,.T.); #186379=EDGE_CURVE('',#152190,#152190,#139620,.T.); #186380=EDGE_CURVE('',#152190,#152191,#69573,.T.); #186381=EDGE_CURVE('',#152191,#152191,#139621,.T.); #186382=EDGE_CURVE('',#152192,#152192,#139622,.T.); #186383=EDGE_CURVE('',#152192,#152193,#69574,.T.); #186384=EDGE_CURVE('',#152193,#152193,#139623,.T.); #186385=EDGE_CURVE('',#152194,#152194,#139624,.T.); #186386=EDGE_CURVE('',#152194,#152195,#69575,.T.); #186387=EDGE_CURVE('',#152195,#152195,#139625,.T.); #186388=EDGE_CURVE('',#152196,#152196,#139626,.T.); #186389=EDGE_CURVE('',#152196,#152197,#69576,.T.); #186390=EDGE_CURVE('',#152197,#152197,#139627,.T.); #186391=EDGE_CURVE('',#152198,#152198,#139628,.T.); #186392=EDGE_CURVE('',#152198,#152199,#69577,.T.); #186393=EDGE_CURVE('',#152199,#152199,#139629,.T.); #186394=EDGE_CURVE('',#152200,#152200,#139630,.T.); #186395=EDGE_CURVE('',#152200,#152201,#69578,.T.); #186396=EDGE_CURVE('',#152201,#152201,#139631,.T.); #186397=EDGE_CURVE('',#152202,#152202,#139632,.T.); #186398=EDGE_CURVE('',#152202,#152203,#69579,.T.); #186399=EDGE_CURVE('',#152203,#152203,#139633,.T.); #186400=EDGE_CURVE('',#152204,#152204,#139634,.T.); #186401=EDGE_CURVE('',#152204,#152205,#69580,.T.); #186402=EDGE_CURVE('',#152205,#152205,#139635,.T.); #186403=EDGE_CURVE('',#152206,#152206,#139636,.T.); #186404=EDGE_CURVE('',#152206,#152207,#69581,.T.); #186405=EDGE_CURVE('',#152207,#152207,#139637,.T.); #186406=EDGE_CURVE('',#152208,#152208,#139638,.T.); #186407=EDGE_CURVE('',#152208,#152209,#69582,.T.); #186408=EDGE_CURVE('',#152209,#152209,#139639,.T.); #186409=EDGE_CURVE('',#152210,#152210,#139640,.T.); #186410=EDGE_CURVE('',#152210,#152211,#69583,.T.); #186411=EDGE_CURVE('',#152211,#152211,#139641,.T.); #186412=EDGE_CURVE('',#152212,#152212,#139642,.T.); #186413=EDGE_CURVE('',#152212,#152213,#69584,.T.); #186414=EDGE_CURVE('',#152213,#152213,#139643,.T.); #186415=EDGE_CURVE('',#152214,#152214,#139644,.T.); #186416=EDGE_CURVE('',#152214,#152215,#69585,.T.); #186417=EDGE_CURVE('',#152215,#152215,#139645,.T.); #186418=EDGE_CURVE('',#152216,#152216,#139646,.T.); #186419=EDGE_CURVE('',#152216,#152217,#69586,.T.); #186420=EDGE_CURVE('',#152217,#152217,#139647,.T.); #186421=EDGE_CURVE('',#152218,#152218,#139648,.T.); #186422=EDGE_CURVE('',#152218,#152219,#69587,.T.); #186423=EDGE_CURVE('',#152219,#152219,#139649,.T.); #186424=EDGE_CURVE('',#152220,#152221,#69588,.T.); #186425=EDGE_CURVE('',#152221,#152222,#69589,.T.); #186426=EDGE_CURVE('',#152223,#152222,#69590,.T.); #186427=EDGE_CURVE('',#152220,#152223,#69591,.T.); #186428=EDGE_CURVE('',#152224,#152220,#69592,.T.); #186429=EDGE_CURVE('',#152225,#152223,#69593,.T.); #186430=EDGE_CURVE('',#152224,#152225,#69594,.T.); #186431=EDGE_CURVE('',#152226,#152224,#69595,.T.); #186432=EDGE_CURVE('',#152227,#152225,#69596,.T.); #186433=EDGE_CURVE('',#152226,#152227,#69597,.T.); #186434=EDGE_CURVE('',#152228,#152226,#69598,.T.); #186435=EDGE_CURVE('',#152229,#152227,#69599,.T.); #186436=EDGE_CURVE('',#152228,#152229,#69600,.T.); #186437=EDGE_CURVE('',#152230,#152228,#69601,.T.); #186438=EDGE_CURVE('',#152231,#152229,#69602,.T.); #186439=EDGE_CURVE('',#152230,#152231,#69603,.T.); #186440=EDGE_CURVE('',#152232,#152230,#69604,.T.); #186441=EDGE_CURVE('',#152233,#152231,#69605,.T.); #186442=EDGE_CURVE('',#152232,#152233,#69606,.T.); #186443=EDGE_CURVE('',#152234,#152232,#69607,.T.); #186444=EDGE_CURVE('',#152235,#152233,#69608,.T.); #186445=EDGE_CURVE('',#152234,#152235,#69609,.T.); #186446=EDGE_CURVE('',#152236,#152234,#69610,.T.); #186447=EDGE_CURVE('',#152237,#152235,#69611,.T.); #186448=EDGE_CURVE('',#152236,#152237,#69612,.T.); #186449=EDGE_CURVE('',#152238,#152236,#69613,.T.); #186450=EDGE_CURVE('',#152239,#152237,#69614,.T.); #186451=EDGE_CURVE('',#152238,#152239,#69615,.T.); #186452=EDGE_CURVE('',#152240,#152238,#69616,.T.); #186453=EDGE_CURVE('',#152241,#152239,#69617,.T.); #186454=EDGE_CURVE('',#152240,#152241,#69618,.T.); #186455=EDGE_CURVE('',#152242,#152240,#69619,.T.); #186456=EDGE_CURVE('',#152243,#152241,#69620,.T.); #186457=EDGE_CURVE('',#152242,#152243,#69621,.T.); #186458=EDGE_CURVE('',#152244,#152242,#69622,.T.); #186459=EDGE_CURVE('',#152245,#152243,#69623,.T.); #186460=EDGE_CURVE('',#152244,#152245,#69624,.T.); #186461=EDGE_CURVE('',#152246,#152244,#69625,.T.); #186462=EDGE_CURVE('',#152247,#152245,#69626,.T.); #186463=EDGE_CURVE('',#152246,#152247,#69627,.T.); #186464=EDGE_CURVE('',#152248,#152246,#69628,.T.); #186465=EDGE_CURVE('',#152249,#152247,#69629,.T.); #186466=EDGE_CURVE('',#152248,#152249,#69630,.T.); #186467=EDGE_CURVE('',#152250,#152248,#69631,.T.); #186468=EDGE_CURVE('',#152251,#152249,#69632,.T.); #186469=EDGE_CURVE('',#152250,#152251,#69633,.T.); #186470=EDGE_CURVE('',#152252,#152250,#69634,.T.); #186471=EDGE_CURVE('',#152253,#152251,#69635,.T.); #186472=EDGE_CURVE('',#152252,#152253,#69636,.T.); #186473=EDGE_CURVE('',#152254,#152252,#69637,.T.); #186474=EDGE_CURVE('',#152255,#152253,#69638,.T.); #186475=EDGE_CURVE('',#152254,#152255,#69639,.T.); #186476=EDGE_CURVE('',#152256,#152254,#69640,.T.); #186477=EDGE_CURVE('',#152257,#152255,#69641,.T.); #186478=EDGE_CURVE('',#152256,#152257,#69642,.T.); #186479=EDGE_CURVE('',#152258,#152256,#69643,.T.); #186480=EDGE_CURVE('',#152259,#152257,#69644,.T.); #186481=EDGE_CURVE('',#152258,#152259,#69645,.T.); #186482=EDGE_CURVE('',#152260,#152258,#69646,.T.); #186483=EDGE_CURVE('',#152261,#152259,#69647,.T.); #186484=EDGE_CURVE('',#152260,#152261,#69648,.T.); #186485=EDGE_CURVE('',#152262,#152260,#69649,.T.); #186486=EDGE_CURVE('',#152263,#152261,#69650,.T.); #186487=EDGE_CURVE('',#152262,#152263,#69651,.T.); #186488=EDGE_CURVE('',#152264,#152262,#69652,.T.); #186489=EDGE_CURVE('',#152265,#152263,#69653,.T.); #186490=EDGE_CURVE('',#152264,#152265,#69654,.T.); #186491=EDGE_CURVE('',#152266,#152264,#69655,.T.); #186492=EDGE_CURVE('',#152267,#152265,#69656,.T.); #186493=EDGE_CURVE('',#152266,#152267,#69657,.T.); #186494=EDGE_CURVE('',#152268,#152266,#69658,.T.); #186495=EDGE_CURVE('',#152269,#152267,#69659,.T.); #186496=EDGE_CURVE('',#152268,#152269,#69660,.T.); #186497=EDGE_CURVE('',#152270,#152268,#69661,.T.); #186498=EDGE_CURVE('',#152271,#152269,#69662,.T.); #186499=EDGE_CURVE('',#152270,#152271,#69663,.T.); #186500=EDGE_CURVE('',#152272,#152270,#69664,.T.); #186501=EDGE_CURVE('',#152273,#152271,#69665,.T.); #186502=EDGE_CURVE('',#152272,#152273,#69666,.T.); #186503=EDGE_CURVE('',#152274,#152272,#69667,.T.); #186504=EDGE_CURVE('',#152275,#152273,#69668,.T.); #186505=EDGE_CURVE('',#152274,#152275,#69669,.T.); #186506=EDGE_CURVE('',#152276,#152274,#69670,.T.); #186507=EDGE_CURVE('',#152277,#152275,#69671,.T.); #186508=EDGE_CURVE('',#152276,#152277,#69672,.T.); #186509=EDGE_CURVE('',#152278,#152276,#69673,.T.); #186510=EDGE_CURVE('',#152279,#152277,#69674,.T.); #186511=EDGE_CURVE('',#152278,#152279,#69675,.T.); #186512=EDGE_CURVE('',#152280,#152278,#69676,.T.); #186513=EDGE_CURVE('',#152281,#152279,#69677,.T.); #186514=EDGE_CURVE('',#152280,#152281,#69678,.T.); #186515=EDGE_CURVE('',#152282,#152280,#69679,.T.); #186516=EDGE_CURVE('',#152283,#152281,#69680,.T.); #186517=EDGE_CURVE('',#152282,#152283,#69681,.T.); #186518=EDGE_CURVE('',#152284,#152282,#69682,.T.); #186519=EDGE_CURVE('',#152285,#152283,#69683,.T.); #186520=EDGE_CURVE('',#152284,#152285,#69684,.T.); #186521=EDGE_CURVE('',#152286,#152284,#69685,.T.); #186522=EDGE_CURVE('',#152287,#152285,#69686,.T.); #186523=EDGE_CURVE('',#152286,#152287,#69687,.T.); #186524=EDGE_CURVE('',#152288,#152286,#69688,.T.); #186525=EDGE_CURVE('',#152289,#152287,#69689,.T.); #186526=EDGE_CURVE('',#152288,#152289,#69690,.T.); #186527=EDGE_CURVE('',#152290,#152288,#69691,.T.); #186528=EDGE_CURVE('',#152291,#152289,#69692,.T.); #186529=EDGE_CURVE('',#152290,#152291,#69693,.T.); #186530=EDGE_CURVE('',#152292,#152290,#69694,.T.); #186531=EDGE_CURVE('',#152293,#152291,#69695,.T.); #186532=EDGE_CURVE('',#152292,#152293,#69696,.T.); #186533=EDGE_CURVE('',#152294,#152292,#69697,.T.); #186534=EDGE_CURVE('',#152295,#152293,#69698,.T.); #186535=EDGE_CURVE('',#152294,#152295,#69699,.T.); #186536=EDGE_CURVE('',#152296,#152294,#69700,.T.); #186537=EDGE_CURVE('',#152297,#152295,#69701,.T.); #186538=EDGE_CURVE('',#152296,#152297,#69702,.T.); #186539=EDGE_CURVE('',#152298,#152296,#69703,.T.); #186540=EDGE_CURVE('',#152299,#152297,#69704,.T.); #186541=EDGE_CURVE('',#152298,#152299,#69705,.T.); #186542=EDGE_CURVE('',#152300,#152298,#69706,.T.); #186543=EDGE_CURVE('',#152301,#152299,#69707,.T.); #186544=EDGE_CURVE('',#152300,#152301,#69708,.T.); #186545=EDGE_CURVE('',#152302,#152300,#69709,.T.); #186546=EDGE_CURVE('',#152303,#152301,#69710,.T.); #186547=EDGE_CURVE('',#152302,#152303,#69711,.T.); #186548=EDGE_CURVE('',#152304,#152302,#69712,.T.); #186549=EDGE_CURVE('',#152305,#152303,#69713,.T.); #186550=EDGE_CURVE('',#152304,#152305,#69714,.T.); #186551=EDGE_CURVE('',#152306,#152304,#69715,.T.); #186552=EDGE_CURVE('',#152307,#152305,#69716,.T.); #186553=EDGE_CURVE('',#152306,#152307,#69717,.T.); #186554=EDGE_CURVE('',#152308,#152306,#69718,.T.); #186555=EDGE_CURVE('',#152309,#152307,#69719,.T.); #186556=EDGE_CURVE('',#152308,#152309,#69720,.T.); #186557=EDGE_CURVE('',#152310,#152308,#69721,.T.); #186558=EDGE_CURVE('',#152311,#152309,#69722,.T.); #186559=EDGE_CURVE('',#152310,#152311,#69723,.T.); #186560=EDGE_CURVE('',#152312,#152310,#69724,.T.); #186561=EDGE_CURVE('',#152313,#152311,#69725,.T.); #186562=EDGE_CURVE('',#152312,#152313,#69726,.T.); #186563=EDGE_CURVE('',#152314,#152312,#69727,.T.); #186564=EDGE_CURVE('',#152315,#152313,#69728,.T.); #186565=EDGE_CURVE('',#152314,#152315,#69729,.T.); #186566=EDGE_CURVE('',#152316,#152314,#69730,.T.); #186567=EDGE_CURVE('',#152317,#152315,#69731,.T.); #186568=EDGE_CURVE('',#152316,#152317,#69732,.T.); #186569=EDGE_CURVE('',#152318,#152316,#69733,.T.); #186570=EDGE_CURVE('',#152319,#152317,#69734,.T.); #186571=EDGE_CURVE('',#152318,#152319,#69735,.T.); #186572=EDGE_CURVE('',#152320,#152318,#69736,.T.); #186573=EDGE_CURVE('',#152321,#152319,#69737,.T.); #186574=EDGE_CURVE('',#152320,#152321,#69738,.T.); #186575=EDGE_CURVE('',#152322,#152320,#69739,.T.); #186576=EDGE_CURVE('',#152323,#152321,#69740,.T.); #186577=EDGE_CURVE('',#152322,#152323,#69741,.T.); #186578=EDGE_CURVE('',#152324,#152322,#69742,.T.); #186579=EDGE_CURVE('',#152325,#152323,#69743,.T.); #186580=EDGE_CURVE('',#152324,#152325,#69744,.T.); #186581=EDGE_CURVE('',#152326,#152324,#69745,.T.); #186582=EDGE_CURVE('',#152327,#152325,#69746,.T.); #186583=EDGE_CURVE('',#152326,#152327,#69747,.T.); #186584=EDGE_CURVE('',#152328,#152326,#69748,.T.); #186585=EDGE_CURVE('',#152329,#152327,#69749,.T.); #186586=EDGE_CURVE('',#152328,#152329,#69750,.T.); #186587=EDGE_CURVE('',#152330,#152328,#69751,.T.); #186588=EDGE_CURVE('',#152331,#152329,#69752,.T.); #186589=EDGE_CURVE('',#152330,#152331,#69753,.T.); #186590=EDGE_CURVE('',#152332,#152330,#69754,.T.); #186591=EDGE_CURVE('',#152333,#152331,#69755,.T.); #186592=EDGE_CURVE('',#152332,#152333,#69756,.T.); #186593=EDGE_CURVE('',#152334,#152332,#69757,.T.); #186594=EDGE_CURVE('',#152335,#152333,#69758,.T.); #186595=EDGE_CURVE('',#152334,#152335,#69759,.T.); #186596=EDGE_CURVE('',#152336,#152334,#69760,.T.); #186597=EDGE_CURVE('',#152337,#152335,#69761,.T.); #186598=EDGE_CURVE('',#152336,#152337,#69762,.T.); #186599=EDGE_CURVE('',#152338,#152336,#69763,.T.); #186600=EDGE_CURVE('',#152339,#152337,#69764,.T.); #186601=EDGE_CURVE('',#152338,#152339,#69765,.T.); #186602=EDGE_CURVE('',#152340,#152338,#69766,.T.); #186603=EDGE_CURVE('',#152341,#152339,#69767,.T.); #186604=EDGE_CURVE('',#152340,#152341,#69768,.T.); #186605=EDGE_CURVE('',#152342,#152340,#69769,.T.); #186606=EDGE_CURVE('',#152343,#152341,#69770,.T.); #186607=EDGE_CURVE('',#152342,#152343,#69771,.T.); #186608=EDGE_CURVE('',#152344,#152342,#69772,.T.); #186609=EDGE_CURVE('',#152345,#152343,#69773,.T.); #186610=EDGE_CURVE('',#152344,#152345,#69774,.T.); #186611=EDGE_CURVE('',#152346,#152344,#69775,.T.); #186612=EDGE_CURVE('',#152347,#152345,#69776,.T.); #186613=EDGE_CURVE('',#152346,#152347,#69777,.T.); #186614=EDGE_CURVE('',#152348,#152346,#69778,.T.); #186615=EDGE_CURVE('',#152349,#152347,#69779,.T.); #186616=EDGE_CURVE('',#152348,#152349,#69780,.T.); #186617=EDGE_CURVE('',#152350,#152348,#69781,.T.); #186618=EDGE_CURVE('',#152351,#152349,#69782,.T.); #186619=EDGE_CURVE('',#152350,#152351,#69783,.T.); #186620=EDGE_CURVE('',#152352,#152350,#69784,.T.); #186621=EDGE_CURVE('',#152353,#152351,#69785,.T.); #186622=EDGE_CURVE('',#152352,#152353,#69786,.T.); #186623=EDGE_CURVE('',#152354,#152352,#69787,.T.); #186624=EDGE_CURVE('',#152355,#152353,#69788,.T.); #186625=EDGE_CURVE('',#152354,#152355,#69789,.T.); #186626=EDGE_CURVE('',#152356,#152354,#69790,.T.); #186627=EDGE_CURVE('',#152357,#152355,#69791,.T.); #186628=EDGE_CURVE('',#152356,#152357,#69792,.T.); #186629=EDGE_CURVE('',#152358,#152356,#69793,.T.); #186630=EDGE_CURVE('',#152359,#152357,#69794,.T.); #186631=EDGE_CURVE('',#152358,#152359,#69795,.T.); #186632=EDGE_CURVE('',#152360,#152358,#69796,.T.); #186633=EDGE_CURVE('',#152361,#152359,#69797,.T.); #186634=EDGE_CURVE('',#152360,#152361,#69798,.T.); #186635=EDGE_CURVE('',#152362,#152360,#69799,.T.); #186636=EDGE_CURVE('',#152363,#152361,#69800,.T.); #186637=EDGE_CURVE('',#152362,#152363,#69801,.T.); #186638=EDGE_CURVE('',#152364,#152362,#69802,.T.); #186639=EDGE_CURVE('',#152365,#152363,#69803,.T.); #186640=EDGE_CURVE('',#152364,#152365,#69804,.T.); #186641=EDGE_CURVE('',#152366,#152364,#69805,.T.); #186642=EDGE_CURVE('',#152367,#152365,#69806,.T.); #186643=EDGE_CURVE('',#152366,#152367,#69807,.T.); #186644=EDGE_CURVE('',#152368,#152366,#69808,.T.); #186645=EDGE_CURVE('',#152369,#152367,#69809,.T.); #186646=EDGE_CURVE('',#152368,#152369,#69810,.T.); #186647=EDGE_CURVE('',#152370,#152368,#69811,.T.); #186648=EDGE_CURVE('',#152371,#152369,#69812,.T.); #186649=EDGE_CURVE('',#152370,#152371,#69813,.T.); #186650=EDGE_CURVE('',#152372,#152370,#69814,.T.); #186651=EDGE_CURVE('',#152373,#152371,#69815,.T.); #186652=EDGE_CURVE('',#152372,#152373,#69816,.T.); #186653=EDGE_CURVE('',#152374,#152372,#69817,.T.); #186654=EDGE_CURVE('',#152375,#152373,#69818,.T.); #186655=EDGE_CURVE('',#152374,#152375,#69819,.T.); #186656=EDGE_CURVE('',#152376,#152374,#69820,.T.); #186657=EDGE_CURVE('',#152377,#152375,#69821,.T.); #186658=EDGE_CURVE('',#152376,#152377,#69822,.T.); #186659=EDGE_CURVE('',#152378,#152376,#69823,.T.); #186660=EDGE_CURVE('',#152379,#152377,#69824,.T.); #186661=EDGE_CURVE('',#152378,#152379,#69825,.T.); #186662=EDGE_CURVE('',#152380,#152378,#69826,.T.); #186663=EDGE_CURVE('',#152381,#152379,#69827,.T.); #186664=EDGE_CURVE('',#152380,#152381,#69828,.T.); #186665=EDGE_CURVE('',#152382,#152380,#69829,.T.); #186666=EDGE_CURVE('',#152383,#152381,#69830,.T.); #186667=EDGE_CURVE('',#152382,#152383,#69831,.T.); #186668=EDGE_CURVE('',#152384,#152382,#69832,.T.); #186669=EDGE_CURVE('',#152385,#152383,#69833,.T.); #186670=EDGE_CURVE('',#152384,#152385,#69834,.T.); #186671=EDGE_CURVE('',#152386,#152384,#69835,.T.); #186672=EDGE_CURVE('',#152387,#152385,#69836,.T.); #186673=EDGE_CURVE('',#152386,#152387,#69837,.T.); #186674=EDGE_CURVE('',#152388,#152386,#69838,.T.); #186675=EDGE_CURVE('',#152389,#152387,#69839,.T.); #186676=EDGE_CURVE('',#152388,#152389,#69840,.T.); #186677=EDGE_CURVE('',#152390,#152388,#69841,.T.); #186678=EDGE_CURVE('',#152391,#152389,#69842,.T.); #186679=EDGE_CURVE('',#152390,#152391,#69843,.T.); #186680=EDGE_CURVE('',#152392,#152390,#69844,.T.); #186681=EDGE_CURVE('',#152393,#152391,#69845,.T.); #186682=EDGE_CURVE('',#152392,#152393,#69846,.T.); #186683=EDGE_CURVE('',#152394,#152392,#69847,.T.); #186684=EDGE_CURVE('',#152395,#152393,#69848,.T.); #186685=EDGE_CURVE('',#152394,#152395,#69849,.T.); #186686=EDGE_CURVE('',#152396,#152394,#69850,.T.); #186687=EDGE_CURVE('',#152397,#152395,#69851,.T.); #186688=EDGE_CURVE('',#152396,#152397,#69852,.T.); #186689=EDGE_CURVE('',#152398,#152396,#69853,.T.); #186690=EDGE_CURVE('',#152399,#152397,#69854,.T.); #186691=EDGE_CURVE('',#152398,#152399,#69855,.T.); #186692=EDGE_CURVE('',#152400,#152398,#69856,.T.); #186693=EDGE_CURVE('',#152401,#152399,#69857,.T.); #186694=EDGE_CURVE('',#152400,#152401,#69858,.T.); #186695=EDGE_CURVE('',#152402,#152400,#69859,.T.); #186696=EDGE_CURVE('',#152403,#152401,#69860,.T.); #186697=EDGE_CURVE('',#152402,#152403,#69861,.T.); #186698=EDGE_CURVE('',#152404,#152402,#69862,.T.); #186699=EDGE_CURVE('',#152405,#152403,#69863,.T.); #186700=EDGE_CURVE('',#152404,#152405,#69864,.T.); #186701=EDGE_CURVE('',#152406,#152404,#69865,.T.); #186702=EDGE_CURVE('',#152407,#152405,#69866,.T.); #186703=EDGE_CURVE('',#152406,#152407,#69867,.T.); #186704=EDGE_CURVE('',#152408,#152406,#69868,.T.); #186705=EDGE_CURVE('',#152409,#152407,#69869,.T.); #186706=EDGE_CURVE('',#152408,#152409,#69870,.T.); #186707=EDGE_CURVE('',#152410,#152408,#69871,.T.); #186708=EDGE_CURVE('',#152411,#152409,#69872,.T.); #186709=EDGE_CURVE('',#152410,#152411,#69873,.T.); #186710=EDGE_CURVE('',#152412,#152410,#69874,.T.); #186711=EDGE_CURVE('',#152413,#152411,#69875,.T.); #186712=EDGE_CURVE('',#152412,#152413,#69876,.T.); #186713=EDGE_CURVE('',#152414,#152412,#69877,.T.); #186714=EDGE_CURVE('',#152415,#152413,#69878,.T.); #186715=EDGE_CURVE('',#152414,#152415,#69879,.T.); #186716=EDGE_CURVE('',#152416,#152414,#69880,.T.); #186717=EDGE_CURVE('',#152417,#152415,#69881,.T.); #186718=EDGE_CURVE('',#152416,#152417,#69882,.T.); #186719=EDGE_CURVE('',#152418,#152416,#69883,.T.); #186720=EDGE_CURVE('',#152419,#152417,#69884,.T.); #186721=EDGE_CURVE('',#152418,#152419,#69885,.T.); #186722=EDGE_CURVE('',#152420,#152418,#69886,.T.); #186723=EDGE_CURVE('',#152421,#152419,#69887,.T.); #186724=EDGE_CURVE('',#152420,#152421,#69888,.T.); #186725=EDGE_CURVE('',#152422,#152420,#69889,.T.); #186726=EDGE_CURVE('',#152423,#152421,#69890,.T.); #186727=EDGE_CURVE('',#152422,#152423,#69891,.T.); #186728=EDGE_CURVE('',#152424,#152422,#69892,.T.); #186729=EDGE_CURVE('',#152425,#152423,#69893,.T.); #186730=EDGE_CURVE('',#152424,#152425,#69894,.T.); #186731=EDGE_CURVE('',#152426,#152424,#69895,.T.); #186732=EDGE_CURVE('',#152427,#152425,#69896,.T.); #186733=EDGE_CURVE('',#152426,#152427,#69897,.T.); #186734=EDGE_CURVE('',#152428,#152426,#69898,.T.); #186735=EDGE_CURVE('',#152429,#152427,#69899,.T.); #186736=EDGE_CURVE('',#152428,#152429,#69900,.T.); #186737=EDGE_CURVE('',#152430,#152428,#69901,.T.); #186738=EDGE_CURVE('',#152431,#152429,#69902,.T.); #186739=EDGE_CURVE('',#152430,#152431,#69903,.T.); #186740=EDGE_CURVE('',#152432,#152430,#69904,.T.); #186741=EDGE_CURVE('',#152433,#152431,#69905,.T.); #186742=EDGE_CURVE('',#152432,#152433,#69906,.T.); #186743=EDGE_CURVE('',#152434,#152432,#69907,.T.); #186744=EDGE_CURVE('',#152435,#152433,#69908,.T.); #186745=EDGE_CURVE('',#152434,#152435,#69909,.T.); #186746=EDGE_CURVE('',#152436,#152434,#69910,.T.); #186747=EDGE_CURVE('',#152437,#152435,#69911,.T.); #186748=EDGE_CURVE('',#152436,#152437,#69912,.T.); #186749=EDGE_CURVE('',#152438,#152436,#69913,.T.); #186750=EDGE_CURVE('',#152439,#152437,#69914,.T.); #186751=EDGE_CURVE('',#152438,#152439,#69915,.T.); #186752=EDGE_CURVE('',#152440,#152438,#69916,.T.); #186753=EDGE_CURVE('',#152441,#152439,#69917,.T.); #186754=EDGE_CURVE('',#152440,#152441,#69918,.T.); #186755=EDGE_CURVE('',#152442,#152440,#69919,.T.); #186756=EDGE_CURVE('',#152443,#152441,#69920,.T.); #186757=EDGE_CURVE('',#152442,#152443,#69921,.T.); #186758=EDGE_CURVE('',#152444,#152442,#69922,.T.); #186759=EDGE_CURVE('',#152445,#152443,#69923,.T.); #186760=EDGE_CURVE('',#152444,#152445,#69924,.T.); #186761=EDGE_CURVE('',#152446,#152444,#69925,.T.); #186762=EDGE_CURVE('',#152447,#152445,#69926,.T.); #186763=EDGE_CURVE('',#152446,#152447,#69927,.T.); #186764=EDGE_CURVE('',#152448,#152446,#69928,.T.); #186765=EDGE_CURVE('',#152449,#152447,#69929,.T.); #186766=EDGE_CURVE('',#152448,#152449,#69930,.T.); #186767=EDGE_CURVE('',#152450,#152448,#69931,.T.); #186768=EDGE_CURVE('',#152451,#152449,#69932,.T.); #186769=EDGE_CURVE('',#152450,#152451,#69933,.T.); #186770=EDGE_CURVE('',#152452,#152450,#69934,.T.); #186771=EDGE_CURVE('',#152453,#152451,#69935,.T.); #186772=EDGE_CURVE('',#152452,#152453,#69936,.T.); #186773=EDGE_CURVE('',#152454,#152452,#69937,.T.); #186774=EDGE_CURVE('',#152455,#152453,#69938,.T.); #186775=EDGE_CURVE('',#152454,#152455,#69939,.T.); #186776=EDGE_CURVE('',#152456,#152454,#69940,.T.); #186777=EDGE_CURVE('',#152457,#152455,#69941,.T.); #186778=EDGE_CURVE('',#152456,#152457,#69942,.T.); #186779=EDGE_CURVE('',#152458,#152456,#69943,.T.); #186780=EDGE_CURVE('',#152459,#152457,#69944,.T.); #186781=EDGE_CURVE('',#152458,#152459,#69945,.T.); #186782=EDGE_CURVE('',#152460,#152458,#69946,.T.); #186783=EDGE_CURVE('',#152461,#152459,#69947,.T.); #186784=EDGE_CURVE('',#152460,#152461,#69948,.T.); #186785=EDGE_CURVE('',#152462,#152460,#69949,.T.); #186786=EDGE_CURVE('',#152463,#152461,#69950,.T.); #186787=EDGE_CURVE('',#152462,#152463,#69951,.T.); #186788=EDGE_CURVE('',#152464,#152462,#69952,.T.); #186789=EDGE_CURVE('',#152465,#152463,#69953,.T.); #186790=EDGE_CURVE('',#152464,#152465,#69954,.T.); #186791=EDGE_CURVE('',#152466,#152464,#69955,.T.); #186792=EDGE_CURVE('',#152467,#152465,#69956,.T.); #186793=EDGE_CURVE('',#152466,#152467,#69957,.T.); #186794=EDGE_CURVE('',#152468,#152466,#69958,.T.); #186795=EDGE_CURVE('',#152469,#152467,#69959,.T.); #186796=EDGE_CURVE('',#152468,#152469,#69960,.T.); #186797=EDGE_CURVE('',#152470,#152468,#69961,.T.); #186798=EDGE_CURVE('',#152471,#152469,#69962,.T.); #186799=EDGE_CURVE('',#152470,#152471,#69963,.T.); #186800=EDGE_CURVE('',#152472,#152470,#69964,.T.); #186801=EDGE_CURVE('',#152473,#152471,#69965,.T.); #186802=EDGE_CURVE('',#152472,#152473,#69966,.T.); #186803=EDGE_CURVE('',#152474,#152472,#69967,.T.); #186804=EDGE_CURVE('',#152475,#152473,#69968,.T.); #186805=EDGE_CURVE('',#152474,#152475,#69969,.T.); #186806=EDGE_CURVE('',#152476,#152474,#69970,.T.); #186807=EDGE_CURVE('',#152477,#152475,#69971,.T.); #186808=EDGE_CURVE('',#152476,#152477,#69972,.T.); #186809=EDGE_CURVE('',#152478,#152476,#69973,.T.); #186810=EDGE_CURVE('',#152479,#152477,#69974,.T.); #186811=EDGE_CURVE('',#152478,#152479,#69975,.T.); #186812=EDGE_CURVE('',#152480,#152478,#69976,.T.); #186813=EDGE_CURVE('',#152481,#152479,#69977,.T.); #186814=EDGE_CURVE('',#152480,#152481,#69978,.T.); #186815=EDGE_CURVE('',#152482,#152480,#69979,.T.); #186816=EDGE_CURVE('',#152483,#152481,#69980,.T.); #186817=EDGE_CURVE('',#152482,#152483,#69981,.T.); #186818=EDGE_CURVE('',#152484,#152482,#69982,.T.); #186819=EDGE_CURVE('',#152485,#152483,#69983,.T.); #186820=EDGE_CURVE('',#152484,#152485,#69984,.T.); #186821=EDGE_CURVE('',#152486,#152484,#69985,.T.); #186822=EDGE_CURVE('',#152487,#152485,#69986,.T.); #186823=EDGE_CURVE('',#152486,#152487,#69987,.T.); #186824=EDGE_CURVE('',#152488,#152486,#69988,.T.); #186825=EDGE_CURVE('',#152489,#152487,#69989,.T.); #186826=EDGE_CURVE('',#152488,#152489,#69990,.T.); #186827=EDGE_CURVE('',#152490,#152488,#69991,.T.); #186828=EDGE_CURVE('',#152491,#152489,#69992,.T.); #186829=EDGE_CURVE('',#152490,#152491,#69993,.T.); #186830=EDGE_CURVE('',#152492,#152490,#69994,.T.); #186831=EDGE_CURVE('',#152493,#152491,#69995,.T.); #186832=EDGE_CURVE('',#152492,#152493,#69996,.T.); #186833=EDGE_CURVE('',#152494,#152492,#69997,.T.); #186834=EDGE_CURVE('',#152495,#152493,#69998,.T.); #186835=EDGE_CURVE('',#152494,#152495,#69999,.T.); #186836=EDGE_CURVE('',#152496,#152494,#70000,.T.); #186837=EDGE_CURVE('',#152497,#152495,#70001,.T.); #186838=EDGE_CURVE('',#152496,#152497,#70002,.T.); #186839=EDGE_CURVE('',#152498,#152496,#70003,.T.); #186840=EDGE_CURVE('',#152499,#152497,#70004,.T.); #186841=EDGE_CURVE('',#152498,#152499,#70005,.T.); #186842=EDGE_CURVE('',#152500,#152498,#70006,.T.); #186843=EDGE_CURVE('',#152501,#152499,#70007,.T.); #186844=EDGE_CURVE('',#152500,#152501,#70008,.T.); #186845=EDGE_CURVE('',#152502,#152500,#70009,.T.); #186846=EDGE_CURVE('',#152503,#152501,#70010,.T.); #186847=EDGE_CURVE('',#152502,#152503,#70011,.T.); #186848=EDGE_CURVE('',#152504,#152502,#70012,.T.); #186849=EDGE_CURVE('',#152505,#152503,#70013,.T.); #186850=EDGE_CURVE('',#152504,#152505,#70014,.T.); #186851=EDGE_CURVE('',#152506,#152504,#70015,.T.); #186852=EDGE_CURVE('',#152507,#152505,#70016,.T.); #186853=EDGE_CURVE('',#152506,#152507,#70017,.T.); #186854=EDGE_CURVE('',#152508,#152506,#70018,.T.); #186855=EDGE_CURVE('',#152509,#152507,#70019,.T.); #186856=EDGE_CURVE('',#152508,#152509,#70020,.T.); #186857=EDGE_CURVE('',#152510,#152508,#70021,.T.); #186858=EDGE_CURVE('',#152511,#152509,#70022,.T.); #186859=EDGE_CURVE('',#152510,#152511,#70023,.T.); #186860=EDGE_CURVE('',#152512,#152510,#70024,.T.); #186861=EDGE_CURVE('',#152513,#152511,#70025,.T.); #186862=EDGE_CURVE('',#152512,#152513,#70026,.T.); #186863=EDGE_CURVE('',#152514,#152512,#70027,.T.); #186864=EDGE_CURVE('',#152515,#152513,#70028,.T.); #186865=EDGE_CURVE('',#152514,#152515,#70029,.T.); #186866=EDGE_CURVE('',#152516,#152514,#70030,.T.); #186867=EDGE_CURVE('',#152517,#152515,#70031,.T.); #186868=EDGE_CURVE('',#152516,#152517,#70032,.T.); #186869=EDGE_CURVE('',#152518,#152516,#70033,.T.); #186870=EDGE_CURVE('',#152519,#152517,#70034,.T.); #186871=EDGE_CURVE('',#152518,#152519,#70035,.T.); #186872=EDGE_CURVE('',#152520,#152518,#70036,.T.); #186873=EDGE_CURVE('',#152521,#152519,#70037,.T.); #186874=EDGE_CURVE('',#152520,#152521,#70038,.T.); #186875=EDGE_CURVE('',#152522,#152520,#70039,.T.); #186876=EDGE_CURVE('',#152523,#152521,#70040,.T.); #186877=EDGE_CURVE('',#152522,#152523,#70041,.T.); #186878=EDGE_CURVE('',#152524,#152522,#70042,.T.); #186879=EDGE_CURVE('',#152525,#152523,#70043,.T.); #186880=EDGE_CURVE('',#152524,#152525,#70044,.T.); #186881=EDGE_CURVE('',#152526,#152524,#70045,.T.); #186882=EDGE_CURVE('',#152527,#152525,#70046,.T.); #186883=EDGE_CURVE('',#152526,#152527,#70047,.T.); #186884=EDGE_CURVE('',#152528,#152526,#70048,.T.); #186885=EDGE_CURVE('',#152529,#152527,#70049,.T.); #186886=EDGE_CURVE('',#152528,#152529,#70050,.T.); #186887=EDGE_CURVE('',#152530,#152528,#70051,.T.); #186888=EDGE_CURVE('',#152531,#152529,#70052,.T.); #186889=EDGE_CURVE('',#152530,#152531,#70053,.T.); #186890=EDGE_CURVE('',#152532,#152530,#70054,.T.); #186891=EDGE_CURVE('',#152533,#152531,#70055,.T.); #186892=EDGE_CURVE('',#152532,#152533,#70056,.T.); #186893=EDGE_CURVE('',#152534,#152532,#70057,.T.); #186894=EDGE_CURVE('',#152535,#152533,#70058,.T.); #186895=EDGE_CURVE('',#152534,#152535,#70059,.T.); #186896=EDGE_CURVE('',#152536,#152534,#70060,.T.); #186897=EDGE_CURVE('',#152537,#152535,#70061,.T.); #186898=EDGE_CURVE('',#152536,#152537,#70062,.T.); #186899=EDGE_CURVE('',#152538,#152536,#70063,.T.); #186900=EDGE_CURVE('',#152539,#152537,#70064,.T.); #186901=EDGE_CURVE('',#152538,#152539,#70065,.T.); #186902=EDGE_CURVE('',#152540,#152538,#70066,.T.); #186903=EDGE_CURVE('',#152541,#152539,#70067,.T.); #186904=EDGE_CURVE('',#152540,#152541,#70068,.T.); #186905=EDGE_CURVE('',#152542,#152540,#70069,.T.); #186906=EDGE_CURVE('',#152543,#152541,#70070,.T.); #186907=EDGE_CURVE('',#152542,#152543,#70071,.T.); #186908=EDGE_CURVE('',#152544,#152542,#70072,.T.); #186909=EDGE_CURVE('',#152545,#152543,#70073,.T.); #186910=EDGE_CURVE('',#152544,#152545,#70074,.T.); #186911=EDGE_CURVE('',#152546,#152544,#70075,.T.); #186912=EDGE_CURVE('',#152547,#152545,#70076,.T.); #186913=EDGE_CURVE('',#152546,#152547,#70077,.T.); #186914=EDGE_CURVE('',#152548,#152546,#70078,.T.); #186915=EDGE_CURVE('',#152549,#152547,#70079,.T.); #186916=EDGE_CURVE('',#152548,#152549,#70080,.T.); #186917=EDGE_CURVE('',#152550,#152548,#70081,.T.); #186918=EDGE_CURVE('',#152551,#152549,#70082,.T.); #186919=EDGE_CURVE('',#152550,#152551,#70083,.T.); #186920=EDGE_CURVE('',#152552,#152550,#70084,.T.); #186921=EDGE_CURVE('',#152553,#152551,#70085,.T.); #186922=EDGE_CURVE('',#152552,#152553,#70086,.T.); #186923=EDGE_CURVE('',#152554,#152552,#70087,.T.); #186924=EDGE_CURVE('',#152555,#152553,#70088,.T.); #186925=EDGE_CURVE('',#152554,#152555,#70089,.T.); #186926=EDGE_CURVE('',#152556,#152554,#70090,.T.); #186927=EDGE_CURVE('',#152557,#152555,#70091,.T.); #186928=EDGE_CURVE('',#152556,#152557,#70092,.T.); #186929=EDGE_CURVE('',#152558,#152556,#70093,.T.); #186930=EDGE_CURVE('',#152559,#152557,#70094,.T.); #186931=EDGE_CURVE('',#152558,#152559,#70095,.T.); #186932=EDGE_CURVE('',#152560,#152558,#70096,.T.); #186933=EDGE_CURVE('',#152561,#152559,#70097,.T.); #186934=EDGE_CURVE('',#152560,#152561,#70098,.T.); #186935=EDGE_CURVE('',#152562,#152560,#70099,.T.); #186936=EDGE_CURVE('',#152563,#152561,#70100,.T.); #186937=EDGE_CURVE('',#152562,#152563,#70101,.T.); #186938=EDGE_CURVE('',#152564,#152562,#70102,.T.); #186939=EDGE_CURVE('',#152565,#152563,#70103,.T.); #186940=EDGE_CURVE('',#152564,#152565,#70104,.T.); #186941=EDGE_CURVE('',#152566,#152564,#70105,.T.); #186942=EDGE_CURVE('',#152567,#152565,#70106,.T.); #186943=EDGE_CURVE('',#152566,#152567,#70107,.T.); #186944=EDGE_CURVE('',#152568,#152566,#70108,.T.); #186945=EDGE_CURVE('',#152569,#152567,#70109,.T.); #186946=EDGE_CURVE('',#152568,#152569,#70110,.T.); #186947=EDGE_CURVE('',#152570,#152568,#70111,.T.); #186948=EDGE_CURVE('',#152571,#152569,#70112,.T.); #186949=EDGE_CURVE('',#152570,#152571,#70113,.T.); #186950=EDGE_CURVE('',#152572,#152570,#70114,.T.); #186951=EDGE_CURVE('',#152573,#152571,#70115,.T.); #186952=EDGE_CURVE('',#152572,#152573,#70116,.T.); #186953=EDGE_CURVE('',#152574,#152572,#70117,.T.); #186954=EDGE_CURVE('',#152575,#152573,#70118,.T.); #186955=EDGE_CURVE('',#152574,#152575,#70119,.T.); #186956=EDGE_CURVE('',#152576,#152574,#70120,.T.); #186957=EDGE_CURVE('',#152577,#152575,#70121,.T.); #186958=EDGE_CURVE('',#152576,#152577,#70122,.T.); #186959=EDGE_CURVE('',#152578,#152576,#70123,.T.); #186960=EDGE_CURVE('',#152579,#152577,#70124,.T.); #186961=EDGE_CURVE('',#152578,#152579,#70125,.T.); #186962=EDGE_CURVE('',#152580,#152578,#70126,.T.); #186963=EDGE_CURVE('',#152581,#152579,#70127,.T.); #186964=EDGE_CURVE('',#152580,#152581,#70128,.T.); #186965=EDGE_CURVE('',#152582,#152580,#70129,.T.); #186966=EDGE_CURVE('',#152583,#152581,#70130,.T.); #186967=EDGE_CURVE('',#152582,#152583,#70131,.T.); #186968=EDGE_CURVE('',#152584,#152582,#70132,.T.); #186969=EDGE_CURVE('',#152585,#152583,#70133,.T.); #186970=EDGE_CURVE('',#152584,#152585,#70134,.T.); #186971=EDGE_CURVE('',#152586,#152584,#70135,.T.); #186972=EDGE_CURVE('',#152587,#152585,#70136,.T.); #186973=EDGE_CURVE('',#152586,#152587,#70137,.T.); #186974=EDGE_CURVE('',#152588,#152586,#70138,.T.); #186975=EDGE_CURVE('',#152589,#152587,#70139,.T.); #186976=EDGE_CURVE('',#152588,#152589,#70140,.T.); #186977=EDGE_CURVE('',#152590,#152588,#70141,.T.); #186978=EDGE_CURVE('',#152591,#152589,#70142,.T.); #186979=EDGE_CURVE('',#152590,#152591,#70143,.T.); #186980=EDGE_CURVE('',#152592,#152590,#70144,.T.); #186981=EDGE_CURVE('',#152593,#152591,#70145,.T.); #186982=EDGE_CURVE('',#152592,#152593,#70146,.T.); #186983=EDGE_CURVE('',#152594,#152592,#70147,.T.); #186984=EDGE_CURVE('',#152595,#152593,#70148,.T.); #186985=EDGE_CURVE('',#152594,#152595,#70149,.T.); #186986=EDGE_CURVE('',#152596,#152594,#70150,.T.); #186987=EDGE_CURVE('',#152597,#152595,#70151,.T.); #186988=EDGE_CURVE('',#152596,#152597,#70152,.T.); #186989=EDGE_CURVE('',#152598,#152596,#70153,.T.); #186990=EDGE_CURVE('',#152599,#152597,#70154,.T.); #186991=EDGE_CURVE('',#152598,#152599,#70155,.T.); #186992=EDGE_CURVE('',#152600,#152598,#70156,.T.); #186993=EDGE_CURVE('',#152601,#152599,#70157,.T.); #186994=EDGE_CURVE('',#152600,#152601,#70158,.T.); #186995=EDGE_CURVE('',#152602,#152600,#70159,.T.); #186996=EDGE_CURVE('',#152603,#152601,#70160,.T.); #186997=EDGE_CURVE('',#152602,#152603,#70161,.T.); #186998=EDGE_CURVE('',#152604,#152602,#70162,.T.); #186999=EDGE_CURVE('',#152605,#152603,#70163,.T.); #187000=EDGE_CURVE('',#152604,#152605,#70164,.T.); #187001=EDGE_CURVE('',#152606,#152604,#70165,.T.); #187002=EDGE_CURVE('',#152607,#152605,#70166,.T.); #187003=EDGE_CURVE('',#152606,#152607,#70167,.T.); #187004=EDGE_CURVE('',#152608,#152606,#70168,.T.); #187005=EDGE_CURVE('',#152609,#152607,#70169,.T.); #187006=EDGE_CURVE('',#152608,#152609,#70170,.T.); #187007=EDGE_CURVE('',#152610,#152608,#70171,.T.); #187008=EDGE_CURVE('',#152611,#152609,#70172,.T.); #187009=EDGE_CURVE('',#152610,#152611,#70173,.T.); #187010=EDGE_CURVE('',#152612,#152610,#70174,.T.); #187011=EDGE_CURVE('',#152613,#152611,#70175,.T.); #187012=EDGE_CURVE('',#152612,#152613,#70176,.T.); #187013=EDGE_CURVE('',#152614,#152612,#70177,.T.); #187014=EDGE_CURVE('',#152615,#152613,#70178,.T.); #187015=EDGE_CURVE('',#152614,#152615,#70179,.T.); #187016=EDGE_CURVE('',#152616,#152614,#70180,.T.); #187017=EDGE_CURVE('',#152617,#152615,#70181,.T.); #187018=EDGE_CURVE('',#152616,#152617,#70182,.T.); #187019=EDGE_CURVE('',#152618,#152616,#70183,.T.); #187020=EDGE_CURVE('',#152619,#152617,#70184,.T.); #187021=EDGE_CURVE('',#152618,#152619,#70185,.T.); #187022=EDGE_CURVE('',#152620,#152618,#70186,.T.); #187023=EDGE_CURVE('',#152621,#152619,#70187,.T.); #187024=EDGE_CURVE('',#152620,#152621,#70188,.T.); #187025=EDGE_CURVE('',#152622,#152620,#70189,.T.); #187026=EDGE_CURVE('',#152623,#152621,#70190,.T.); #187027=EDGE_CURVE('',#152622,#152623,#70191,.T.); #187028=EDGE_CURVE('',#152624,#152622,#70192,.T.); #187029=EDGE_CURVE('',#152625,#152623,#70193,.T.); #187030=EDGE_CURVE('',#152624,#152625,#70194,.T.); #187031=EDGE_CURVE('',#152626,#152624,#70195,.T.); #187032=EDGE_CURVE('',#152627,#152625,#70196,.T.); #187033=EDGE_CURVE('',#152626,#152627,#70197,.T.); #187034=EDGE_CURVE('',#152628,#152626,#70198,.T.); #187035=EDGE_CURVE('',#152629,#152627,#70199,.T.); #187036=EDGE_CURVE('',#152628,#152629,#70200,.T.); #187037=EDGE_CURVE('',#152630,#152628,#70201,.T.); #187038=EDGE_CURVE('',#152631,#152629,#70202,.T.); #187039=EDGE_CURVE('',#152630,#152631,#70203,.T.); #187040=EDGE_CURVE('',#152632,#152630,#70204,.T.); #187041=EDGE_CURVE('',#152633,#152631,#70205,.T.); #187042=EDGE_CURVE('',#152632,#152633,#70206,.T.); #187043=EDGE_CURVE('',#152634,#152632,#70207,.T.); #187044=EDGE_CURVE('',#152635,#152633,#70208,.T.); #187045=EDGE_CURVE('',#152634,#152635,#70209,.T.); #187046=EDGE_CURVE('',#152636,#152634,#70210,.T.); #187047=EDGE_CURVE('',#152637,#152635,#70211,.T.); #187048=EDGE_CURVE('',#152636,#152637,#70212,.T.); #187049=EDGE_CURVE('',#152638,#152636,#70213,.T.); #187050=EDGE_CURVE('',#152639,#152637,#70214,.T.); #187051=EDGE_CURVE('',#152638,#152639,#70215,.T.); #187052=EDGE_CURVE('',#152640,#152638,#70216,.T.); #187053=EDGE_CURVE('',#152641,#152639,#70217,.T.); #187054=EDGE_CURVE('',#152640,#152641,#70218,.T.); #187055=EDGE_CURVE('',#152642,#152640,#70219,.T.); #187056=EDGE_CURVE('',#152643,#152641,#70220,.T.); #187057=EDGE_CURVE('',#152642,#152643,#70221,.T.); #187058=EDGE_CURVE('',#152644,#152642,#70222,.T.); #187059=EDGE_CURVE('',#152645,#152643,#70223,.T.); #187060=EDGE_CURVE('',#152644,#152645,#70224,.T.); #187061=EDGE_CURVE('',#152646,#152644,#70225,.T.); #187062=EDGE_CURVE('',#152647,#152645,#70226,.T.); #187063=EDGE_CURVE('',#152646,#152647,#70227,.T.); #187064=EDGE_CURVE('',#152648,#152646,#70228,.T.); #187065=EDGE_CURVE('',#152649,#152647,#70229,.T.); #187066=EDGE_CURVE('',#152648,#152649,#70230,.T.); #187067=EDGE_CURVE('',#152650,#152648,#70231,.T.); #187068=EDGE_CURVE('',#152651,#152649,#70232,.T.); #187069=EDGE_CURVE('',#152650,#152651,#70233,.T.); #187070=EDGE_CURVE('',#152652,#152650,#70234,.T.); #187071=EDGE_CURVE('',#152653,#152651,#70235,.T.); #187072=EDGE_CURVE('',#152652,#152653,#70236,.T.); #187073=EDGE_CURVE('',#152654,#152652,#70237,.T.); #187074=EDGE_CURVE('',#152655,#152653,#70238,.T.); #187075=EDGE_CURVE('',#152654,#152655,#70239,.T.); #187076=EDGE_CURVE('',#152656,#152654,#70240,.T.); #187077=EDGE_CURVE('',#152657,#152655,#70241,.T.); #187078=EDGE_CURVE('',#152656,#152657,#70242,.T.); #187079=EDGE_CURVE('',#152658,#152656,#70243,.T.); #187080=EDGE_CURVE('',#152659,#152657,#70244,.T.); #187081=EDGE_CURVE('',#152658,#152659,#70245,.T.); #187082=EDGE_CURVE('',#152660,#152658,#70246,.T.); #187083=EDGE_CURVE('',#152661,#152659,#70247,.T.); #187084=EDGE_CURVE('',#152660,#152661,#70248,.T.); #187085=EDGE_CURVE('',#152662,#152660,#70249,.T.); #187086=EDGE_CURVE('',#152663,#152661,#70250,.T.); #187087=EDGE_CURVE('',#152662,#152663,#70251,.T.); #187088=EDGE_CURVE('',#152664,#152662,#70252,.T.); #187089=EDGE_CURVE('',#152665,#152663,#70253,.T.); #187090=EDGE_CURVE('',#152664,#152665,#70254,.T.); #187091=EDGE_CURVE('',#152666,#152664,#70255,.T.); #187092=EDGE_CURVE('',#152667,#152665,#70256,.T.); #187093=EDGE_CURVE('',#152666,#152667,#70257,.T.); #187094=EDGE_CURVE('',#152668,#152666,#70258,.T.); #187095=EDGE_CURVE('',#152669,#152667,#70259,.T.); #187096=EDGE_CURVE('',#152668,#152669,#70260,.T.); #187097=EDGE_CURVE('',#152670,#152668,#70261,.T.); #187098=EDGE_CURVE('',#152671,#152669,#70262,.T.); #187099=EDGE_CURVE('',#152670,#152671,#70263,.T.); #187100=EDGE_CURVE('',#152672,#152670,#70264,.T.); #187101=EDGE_CURVE('',#152673,#152671,#70265,.T.); #187102=EDGE_CURVE('',#152672,#152673,#70266,.T.); #187103=EDGE_CURVE('',#152674,#152672,#70267,.T.); #187104=EDGE_CURVE('',#152675,#152673,#70268,.T.); #187105=EDGE_CURVE('',#152674,#152675,#70269,.T.); #187106=EDGE_CURVE('',#152676,#152674,#70270,.T.); #187107=EDGE_CURVE('',#152677,#152675,#70271,.T.); #187108=EDGE_CURVE('',#152676,#152677,#70272,.T.); #187109=EDGE_CURVE('',#152678,#152676,#70273,.T.); #187110=EDGE_CURVE('',#152679,#152677,#70274,.T.); #187111=EDGE_CURVE('',#152678,#152679,#70275,.T.); #187112=EDGE_CURVE('',#152680,#152678,#70276,.T.); #187113=EDGE_CURVE('',#152681,#152679,#70277,.T.); #187114=EDGE_CURVE('',#152680,#152681,#70278,.T.); #187115=EDGE_CURVE('',#152682,#152680,#70279,.T.); #187116=EDGE_CURVE('',#152683,#152681,#70280,.T.); #187117=EDGE_CURVE('',#152682,#152683,#70281,.T.); #187118=EDGE_CURVE('',#152684,#152682,#70282,.T.); #187119=EDGE_CURVE('',#152685,#152683,#70283,.T.); #187120=EDGE_CURVE('',#152684,#152685,#70284,.T.); #187121=EDGE_CURVE('',#152686,#152684,#70285,.T.); #187122=EDGE_CURVE('',#152687,#152685,#70286,.T.); #187123=EDGE_CURVE('',#152686,#152687,#70287,.T.); #187124=EDGE_CURVE('',#152688,#152686,#70288,.T.); #187125=EDGE_CURVE('',#152689,#152687,#70289,.T.); #187126=EDGE_CURVE('',#152688,#152689,#70290,.T.); #187127=EDGE_CURVE('',#152690,#152688,#70291,.T.); #187128=EDGE_CURVE('',#152691,#152689,#70292,.T.); #187129=EDGE_CURVE('',#152690,#152691,#70293,.T.); #187130=EDGE_CURVE('',#152692,#152690,#70294,.T.); #187131=EDGE_CURVE('',#152693,#152691,#70295,.T.); #187132=EDGE_CURVE('',#152692,#152693,#70296,.T.); #187133=EDGE_CURVE('',#152694,#152692,#70297,.T.); #187134=EDGE_CURVE('',#152695,#152693,#70298,.T.); #187135=EDGE_CURVE('',#152694,#152695,#70299,.T.); #187136=EDGE_CURVE('',#152696,#152694,#70300,.T.); #187137=EDGE_CURVE('',#152697,#152695,#70301,.T.); #187138=EDGE_CURVE('',#152696,#152697,#70302,.T.); #187139=EDGE_CURVE('',#152698,#152696,#70303,.T.); #187140=EDGE_CURVE('',#152699,#152697,#70304,.T.); #187141=EDGE_CURVE('',#152698,#152699,#70305,.T.); #187142=EDGE_CURVE('',#152700,#152698,#70306,.T.); #187143=EDGE_CURVE('',#152701,#152699,#70307,.T.); #187144=EDGE_CURVE('',#152700,#152701,#70308,.T.); #187145=EDGE_CURVE('',#152702,#152700,#70309,.T.); #187146=EDGE_CURVE('',#152703,#152701,#70310,.T.); #187147=EDGE_CURVE('',#152702,#152703,#70311,.T.); #187148=EDGE_CURVE('',#152704,#152702,#70312,.T.); #187149=EDGE_CURVE('',#152705,#152703,#70313,.T.); #187150=EDGE_CURVE('',#152704,#152705,#70314,.T.); #187151=EDGE_CURVE('',#152706,#152704,#70315,.T.); #187152=EDGE_CURVE('',#152707,#152705,#70316,.T.); #187153=EDGE_CURVE('',#152706,#152707,#70317,.T.); #187154=EDGE_CURVE('',#152708,#152706,#70318,.T.); #187155=EDGE_CURVE('',#152709,#152707,#70319,.T.); #187156=EDGE_CURVE('',#152708,#152709,#70320,.T.); #187157=EDGE_CURVE('',#152710,#152708,#70321,.T.); #187158=EDGE_CURVE('',#152711,#152709,#70322,.T.); #187159=EDGE_CURVE('',#152710,#152711,#70323,.T.); #187160=EDGE_CURVE('',#152712,#152710,#70324,.T.); #187161=EDGE_CURVE('',#152713,#152711,#70325,.T.); #187162=EDGE_CURVE('',#152712,#152713,#70326,.T.); #187163=EDGE_CURVE('',#152714,#152712,#70327,.T.); #187164=EDGE_CURVE('',#152715,#152713,#70328,.T.); #187165=EDGE_CURVE('',#152714,#152715,#70329,.T.); #187166=EDGE_CURVE('',#152716,#152714,#70330,.T.); #187167=EDGE_CURVE('',#152717,#152715,#70331,.T.); #187168=EDGE_CURVE('',#152716,#152717,#70332,.T.); #187169=EDGE_CURVE('',#152718,#152716,#70333,.T.); #187170=EDGE_CURVE('',#152719,#152717,#70334,.T.); #187171=EDGE_CURVE('',#152718,#152719,#70335,.T.); #187172=EDGE_CURVE('',#152720,#152718,#70336,.T.); #187173=EDGE_CURVE('',#152721,#152719,#70337,.T.); #187174=EDGE_CURVE('',#152720,#152721,#70338,.T.); #187175=EDGE_CURVE('',#152722,#152720,#70339,.T.); #187176=EDGE_CURVE('',#152723,#152721,#70340,.T.); #187177=EDGE_CURVE('',#152722,#152723,#70341,.T.); #187178=EDGE_CURVE('',#152724,#152722,#70342,.T.); #187179=EDGE_CURVE('',#152725,#152723,#70343,.T.); #187180=EDGE_CURVE('',#152724,#152725,#70344,.T.); #187181=EDGE_CURVE('',#152726,#152724,#70345,.T.); #187182=EDGE_CURVE('',#152727,#152725,#70346,.T.); #187183=EDGE_CURVE('',#152726,#152727,#70347,.T.); #187184=EDGE_CURVE('',#152728,#152726,#70348,.T.); #187185=EDGE_CURVE('',#152729,#152727,#70349,.T.); #187186=EDGE_CURVE('',#152728,#152729,#70350,.T.); #187187=EDGE_CURVE('',#152730,#152728,#70351,.T.); #187188=EDGE_CURVE('',#152731,#152729,#70352,.T.); #187189=EDGE_CURVE('',#152730,#152731,#70353,.T.); #187190=EDGE_CURVE('',#152732,#152730,#70354,.T.); #187191=EDGE_CURVE('',#152733,#152731,#70355,.T.); #187192=EDGE_CURVE('',#152732,#152733,#70356,.T.); #187193=EDGE_CURVE('',#152734,#152732,#70357,.T.); #187194=EDGE_CURVE('',#152735,#152733,#70358,.T.); #187195=EDGE_CURVE('',#152734,#152735,#70359,.T.); #187196=EDGE_CURVE('',#152736,#152734,#70360,.T.); #187197=EDGE_CURVE('',#152737,#152735,#70361,.T.); #187198=EDGE_CURVE('',#152736,#152737,#70362,.T.); #187199=EDGE_CURVE('',#152738,#152736,#70363,.T.); #187200=EDGE_CURVE('',#152739,#152737,#70364,.T.); #187201=EDGE_CURVE('',#152738,#152739,#70365,.T.); #187202=EDGE_CURVE('',#152740,#152738,#70366,.T.); #187203=EDGE_CURVE('',#152741,#152739,#70367,.T.); #187204=EDGE_CURVE('',#152740,#152741,#70368,.T.); #187205=EDGE_CURVE('',#152742,#152740,#70369,.T.); #187206=EDGE_CURVE('',#152743,#152741,#70370,.T.); #187207=EDGE_CURVE('',#152742,#152743,#70371,.T.); #187208=EDGE_CURVE('',#152744,#152742,#70372,.T.); #187209=EDGE_CURVE('',#152745,#152743,#70373,.T.); #187210=EDGE_CURVE('',#152744,#152745,#70374,.T.); #187211=EDGE_CURVE('',#152746,#152744,#70375,.T.); #187212=EDGE_CURVE('',#152747,#152745,#70376,.T.); #187213=EDGE_CURVE('',#152746,#152747,#70377,.T.); #187214=EDGE_CURVE('',#152748,#152746,#70378,.T.); #187215=EDGE_CURVE('',#152749,#152747,#70379,.T.); #187216=EDGE_CURVE('',#152748,#152749,#70380,.T.); #187217=EDGE_CURVE('',#152750,#152748,#70381,.T.); #187218=EDGE_CURVE('',#152751,#152749,#70382,.T.); #187219=EDGE_CURVE('',#152750,#152751,#70383,.T.); #187220=EDGE_CURVE('',#152752,#152750,#70384,.T.); #187221=EDGE_CURVE('',#152753,#152751,#70385,.T.); #187222=EDGE_CURVE('',#152752,#152753,#70386,.T.); #187223=EDGE_CURVE('',#152754,#152752,#70387,.T.); #187224=EDGE_CURVE('',#152755,#152753,#70388,.T.); #187225=EDGE_CURVE('',#152754,#152755,#70389,.T.); #187226=EDGE_CURVE('',#152756,#152754,#70390,.T.); #187227=EDGE_CURVE('',#152757,#152755,#70391,.T.); #187228=EDGE_CURVE('',#152756,#152757,#70392,.T.); #187229=EDGE_CURVE('',#152758,#152756,#70393,.T.); #187230=EDGE_CURVE('',#152759,#152757,#70394,.T.); #187231=EDGE_CURVE('',#152758,#152759,#70395,.T.); #187232=EDGE_CURVE('',#152760,#152758,#70396,.T.); #187233=EDGE_CURVE('',#152761,#152759,#70397,.T.); #187234=EDGE_CURVE('',#152760,#152761,#70398,.T.); #187235=EDGE_CURVE('',#152762,#152760,#70399,.T.); #187236=EDGE_CURVE('',#152763,#152761,#70400,.T.); #187237=EDGE_CURVE('',#152762,#152763,#70401,.T.); #187238=EDGE_CURVE('',#152764,#152762,#70402,.T.); #187239=EDGE_CURVE('',#152765,#152763,#70403,.T.); #187240=EDGE_CURVE('',#152764,#152765,#70404,.T.); #187241=EDGE_CURVE('',#152766,#152764,#70405,.T.); #187242=EDGE_CURVE('',#152767,#152765,#70406,.T.); #187243=EDGE_CURVE('',#152766,#152767,#70407,.T.); #187244=EDGE_CURVE('',#152768,#152766,#70408,.T.); #187245=EDGE_CURVE('',#152769,#152767,#70409,.T.); #187246=EDGE_CURVE('',#152768,#152769,#70410,.T.); #187247=EDGE_CURVE('',#152770,#152768,#70411,.T.); #187248=EDGE_CURVE('',#152771,#152769,#70412,.T.); #187249=EDGE_CURVE('',#152770,#152771,#70413,.T.); #187250=EDGE_CURVE('',#152772,#152770,#70414,.T.); #187251=EDGE_CURVE('',#152773,#152771,#70415,.T.); #187252=EDGE_CURVE('',#152772,#152773,#70416,.T.); #187253=EDGE_CURVE('',#152774,#152772,#70417,.T.); #187254=EDGE_CURVE('',#152775,#152773,#70418,.T.); #187255=EDGE_CURVE('',#152774,#152775,#70419,.T.); #187256=EDGE_CURVE('',#152776,#152774,#70420,.T.); #187257=EDGE_CURVE('',#152777,#152775,#70421,.T.); #187258=EDGE_CURVE('',#152776,#152777,#70422,.T.); #187259=EDGE_CURVE('',#152778,#152776,#70423,.T.); #187260=EDGE_CURVE('',#152779,#152777,#70424,.T.); #187261=EDGE_CURVE('',#152778,#152779,#70425,.T.); #187262=EDGE_CURVE('',#152780,#152778,#70426,.T.); #187263=EDGE_CURVE('',#152781,#152779,#70427,.T.); #187264=EDGE_CURVE('',#152780,#152781,#70428,.T.); #187265=EDGE_CURVE('',#152782,#152780,#70429,.T.); #187266=EDGE_CURVE('',#152783,#152781,#70430,.T.); #187267=EDGE_CURVE('',#152782,#152783,#70431,.T.); #187268=EDGE_CURVE('',#152784,#152782,#70432,.T.); #187269=EDGE_CURVE('',#152785,#152783,#70433,.T.); #187270=EDGE_CURVE('',#152784,#152785,#70434,.T.); #187271=EDGE_CURVE('',#152786,#152784,#70435,.T.); #187272=EDGE_CURVE('',#152787,#152785,#70436,.T.); #187273=EDGE_CURVE('',#152786,#152787,#70437,.T.); #187274=EDGE_CURVE('',#152788,#152786,#70438,.T.); #187275=EDGE_CURVE('',#152789,#152787,#70439,.T.); #187276=EDGE_CURVE('',#152788,#152789,#70440,.T.); #187277=EDGE_CURVE('',#152790,#152788,#70441,.T.); #187278=EDGE_CURVE('',#152791,#152789,#70442,.T.); #187279=EDGE_CURVE('',#152790,#152791,#70443,.T.); #187280=EDGE_CURVE('',#152792,#152790,#70444,.T.); #187281=EDGE_CURVE('',#152793,#152791,#70445,.T.); #187282=EDGE_CURVE('',#152792,#152793,#70446,.T.); #187283=EDGE_CURVE('',#152794,#152792,#70447,.T.); #187284=EDGE_CURVE('',#152795,#152793,#70448,.T.); #187285=EDGE_CURVE('',#152794,#152795,#70449,.T.); #187286=EDGE_CURVE('',#152796,#152794,#70450,.T.); #187287=EDGE_CURVE('',#152797,#152795,#70451,.T.); #187288=EDGE_CURVE('',#152796,#152797,#70452,.T.); #187289=EDGE_CURVE('',#152798,#152796,#70453,.T.); #187290=EDGE_CURVE('',#152799,#152797,#70454,.T.); #187291=EDGE_CURVE('',#152798,#152799,#70455,.T.); #187292=EDGE_CURVE('',#152800,#152798,#70456,.T.); #187293=EDGE_CURVE('',#152801,#152799,#70457,.T.); #187294=EDGE_CURVE('',#152800,#152801,#70458,.T.); #187295=EDGE_CURVE('',#152802,#152800,#70459,.T.); #187296=EDGE_CURVE('',#152803,#152801,#70460,.T.); #187297=EDGE_CURVE('',#152802,#152803,#70461,.T.); #187298=EDGE_CURVE('',#152804,#152802,#70462,.T.); #187299=EDGE_CURVE('',#152805,#152803,#70463,.T.); #187300=EDGE_CURVE('',#152804,#152805,#70464,.T.); #187301=EDGE_CURVE('',#152806,#152804,#70465,.T.); #187302=EDGE_CURVE('',#152807,#152805,#70466,.T.); #187303=EDGE_CURVE('',#152806,#152807,#70467,.T.); #187304=EDGE_CURVE('',#152808,#152806,#70468,.T.); #187305=EDGE_CURVE('',#152809,#152807,#70469,.T.); #187306=EDGE_CURVE('',#152808,#152809,#70470,.T.); #187307=EDGE_CURVE('',#152810,#152808,#70471,.T.); #187308=EDGE_CURVE('',#152811,#152809,#70472,.T.); #187309=EDGE_CURVE('',#152810,#152811,#70473,.T.); #187310=EDGE_CURVE('',#152221,#152810,#70474,.T.); #187311=EDGE_CURVE('',#152222,#152811,#70475,.T.); #187312=EDGE_CURVE('',#152812,#152813,#70476,.T.); #187313=EDGE_CURVE('',#152813,#152814,#70477,.T.); #187314=EDGE_CURVE('',#152815,#152814,#70478,.T.); #187315=EDGE_CURVE('',#152812,#152815,#70479,.T.); #187316=EDGE_CURVE('',#152816,#152812,#70480,.T.); #187317=EDGE_CURVE('',#152817,#152815,#70481,.T.); #187318=EDGE_CURVE('',#152816,#152817,#70482,.T.); #187319=EDGE_CURVE('',#152818,#152816,#70483,.T.); #187320=EDGE_CURVE('',#152819,#152817,#70484,.T.); #187321=EDGE_CURVE('',#152818,#152819,#70485,.T.); #187322=EDGE_CURVE('',#152820,#152818,#70486,.T.); #187323=EDGE_CURVE('',#152821,#152819,#70487,.T.); #187324=EDGE_CURVE('',#152820,#152821,#70488,.T.); #187325=EDGE_CURVE('',#152822,#152820,#70489,.T.); #187326=EDGE_CURVE('',#152823,#152821,#70490,.T.); #187327=EDGE_CURVE('',#152822,#152823,#70491,.T.); #187328=EDGE_CURVE('',#152824,#152822,#70492,.T.); #187329=EDGE_CURVE('',#152825,#152823,#70493,.T.); #187330=EDGE_CURVE('',#152824,#152825,#70494,.T.); #187331=EDGE_CURVE('',#152826,#152824,#70495,.T.); #187332=EDGE_CURVE('',#152827,#152825,#70496,.T.); #187333=EDGE_CURVE('',#152826,#152827,#70497,.T.); #187334=EDGE_CURVE('',#152828,#152826,#70498,.T.); #187335=EDGE_CURVE('',#152829,#152827,#70499,.T.); #187336=EDGE_CURVE('',#152828,#152829,#70500,.T.); #187337=EDGE_CURVE('',#152830,#152828,#70501,.T.); #187338=EDGE_CURVE('',#152831,#152829,#70502,.T.); #187339=EDGE_CURVE('',#152830,#152831,#70503,.T.); #187340=EDGE_CURVE('',#152832,#152830,#70504,.T.); #187341=EDGE_CURVE('',#152833,#152831,#70505,.T.); #187342=EDGE_CURVE('',#152832,#152833,#70506,.T.); #187343=EDGE_CURVE('',#152834,#152832,#70507,.T.); #187344=EDGE_CURVE('',#152835,#152833,#70508,.T.); #187345=EDGE_CURVE('',#152834,#152835,#70509,.T.); #187346=EDGE_CURVE('',#152836,#152834,#70510,.T.); #187347=EDGE_CURVE('',#152837,#152835,#70511,.T.); #187348=EDGE_CURVE('',#152836,#152837,#70512,.T.); #187349=EDGE_CURVE('',#152838,#152836,#70513,.T.); #187350=EDGE_CURVE('',#152839,#152837,#70514,.T.); #187351=EDGE_CURVE('',#152838,#152839,#70515,.T.); #187352=EDGE_CURVE('',#152840,#152838,#70516,.T.); #187353=EDGE_CURVE('',#152841,#152839,#70517,.T.); #187354=EDGE_CURVE('',#152840,#152841,#70518,.T.); #187355=EDGE_CURVE('',#152842,#152840,#70519,.T.); #187356=EDGE_CURVE('',#152843,#152841,#70520,.T.); #187357=EDGE_CURVE('',#152842,#152843,#70521,.T.); #187358=EDGE_CURVE('',#152844,#152842,#70522,.T.); #187359=EDGE_CURVE('',#152845,#152843,#70523,.T.); #187360=EDGE_CURVE('',#152844,#152845,#70524,.T.); #187361=EDGE_CURVE('',#152846,#152844,#70525,.T.); #187362=EDGE_CURVE('',#152847,#152845,#70526,.T.); #187363=EDGE_CURVE('',#152846,#152847,#70527,.T.); #187364=EDGE_CURVE('',#152848,#152846,#70528,.T.); #187365=EDGE_CURVE('',#152849,#152847,#70529,.T.); #187366=EDGE_CURVE('',#152848,#152849,#70530,.T.); #187367=EDGE_CURVE('',#152850,#152848,#70531,.T.); #187368=EDGE_CURVE('',#152851,#152849,#70532,.T.); #187369=EDGE_CURVE('',#152850,#152851,#70533,.T.); #187370=EDGE_CURVE('',#152813,#152850,#70534,.T.); #187371=EDGE_CURVE('',#152814,#152851,#70535,.T.); #187372=EDGE_CURVE('',#152852,#152853,#70536,.T.); #187373=EDGE_CURVE('',#152853,#152854,#70537,.T.); #187374=EDGE_CURVE('',#152855,#152854,#70538,.T.); #187375=EDGE_CURVE('',#152852,#152855,#70539,.T.); #187376=EDGE_CURVE('',#152856,#152852,#70540,.T.); #187377=EDGE_CURVE('',#152857,#152855,#70541,.T.); #187378=EDGE_CURVE('',#152856,#152857,#70542,.T.); #187379=EDGE_CURVE('',#152858,#152856,#70543,.T.); #187380=EDGE_CURVE('',#152859,#152857,#70544,.T.); #187381=EDGE_CURVE('',#152858,#152859,#70545,.T.); #187382=EDGE_CURVE('',#152860,#152858,#70546,.T.); #187383=EDGE_CURVE('',#152861,#152859,#70547,.T.); #187384=EDGE_CURVE('',#152860,#152861,#70548,.T.); #187385=EDGE_CURVE('',#152862,#152860,#70549,.T.); #187386=EDGE_CURVE('',#152863,#152861,#70550,.T.); #187387=EDGE_CURVE('',#152862,#152863,#70551,.T.); #187388=EDGE_CURVE('',#152864,#152862,#70552,.T.); #187389=EDGE_CURVE('',#152865,#152863,#70553,.T.); #187390=EDGE_CURVE('',#152864,#152865,#70554,.T.); #187391=EDGE_CURVE('',#152866,#152864,#70555,.T.); #187392=EDGE_CURVE('',#152867,#152865,#70556,.T.); #187393=EDGE_CURVE('',#152866,#152867,#70557,.T.); #187394=EDGE_CURVE('',#152868,#152866,#70558,.T.); #187395=EDGE_CURVE('',#152869,#152867,#70559,.T.); #187396=EDGE_CURVE('',#152868,#152869,#70560,.T.); #187397=EDGE_CURVE('',#152870,#152868,#70561,.T.); #187398=EDGE_CURVE('',#152871,#152869,#70562,.T.); #187399=EDGE_CURVE('',#152870,#152871,#70563,.T.); #187400=EDGE_CURVE('',#152872,#152870,#70564,.T.); #187401=EDGE_CURVE('',#152873,#152871,#70565,.T.); #187402=EDGE_CURVE('',#152872,#152873,#70566,.T.); #187403=EDGE_CURVE('',#152874,#152872,#70567,.T.); #187404=EDGE_CURVE('',#152875,#152873,#70568,.T.); #187405=EDGE_CURVE('',#152874,#152875,#70569,.T.); #187406=EDGE_CURVE('',#152876,#152874,#70570,.T.); #187407=EDGE_CURVE('',#152877,#152875,#70571,.T.); #187408=EDGE_CURVE('',#152876,#152877,#70572,.T.); #187409=EDGE_CURVE('',#152878,#152876,#70573,.T.); #187410=EDGE_CURVE('',#152879,#152877,#70574,.T.); #187411=EDGE_CURVE('',#152878,#152879,#70575,.T.); #187412=EDGE_CURVE('',#152880,#152878,#70576,.T.); #187413=EDGE_CURVE('',#152881,#152879,#70577,.T.); #187414=EDGE_CURVE('',#152880,#152881,#70578,.T.); #187415=EDGE_CURVE('',#152882,#152880,#70579,.T.); #187416=EDGE_CURVE('',#152883,#152881,#70580,.T.); #187417=EDGE_CURVE('',#152882,#152883,#70581,.T.); #187418=EDGE_CURVE('',#152884,#152882,#70582,.T.); #187419=EDGE_CURVE('',#152885,#152883,#70583,.T.); #187420=EDGE_CURVE('',#152884,#152885,#70584,.T.); #187421=EDGE_CURVE('',#152886,#152884,#70585,.T.); #187422=EDGE_CURVE('',#152887,#152885,#70586,.T.); #187423=EDGE_CURVE('',#152886,#152887,#70587,.T.); #187424=EDGE_CURVE('',#152888,#152886,#70588,.T.); #187425=EDGE_CURVE('',#152889,#152887,#70589,.T.); #187426=EDGE_CURVE('',#152888,#152889,#70590,.T.); #187427=EDGE_CURVE('',#152890,#152888,#70591,.T.); #187428=EDGE_CURVE('',#152891,#152889,#70592,.T.); #187429=EDGE_CURVE('',#152890,#152891,#70593,.T.); #187430=EDGE_CURVE('',#152892,#152890,#70594,.T.); #187431=EDGE_CURVE('',#152893,#152891,#70595,.T.); #187432=EDGE_CURVE('',#152892,#152893,#70596,.T.); #187433=EDGE_CURVE('',#152894,#152892,#70597,.T.); #187434=EDGE_CURVE('',#152895,#152893,#70598,.T.); #187435=EDGE_CURVE('',#152894,#152895,#70599,.T.); #187436=EDGE_CURVE('',#152896,#152894,#70600,.T.); #187437=EDGE_CURVE('',#152897,#152895,#70601,.T.); #187438=EDGE_CURVE('',#152896,#152897,#70602,.T.); #187439=EDGE_CURVE('',#152898,#152896,#70603,.T.); #187440=EDGE_CURVE('',#152899,#152897,#70604,.T.); #187441=EDGE_CURVE('',#152898,#152899,#70605,.T.); #187442=EDGE_CURVE('',#152900,#152898,#70606,.T.); #187443=EDGE_CURVE('',#152901,#152899,#70607,.T.); #187444=EDGE_CURVE('',#152900,#152901,#70608,.T.); #187445=EDGE_CURVE('',#152902,#152900,#70609,.T.); #187446=EDGE_CURVE('',#152903,#152901,#70610,.T.); #187447=EDGE_CURVE('',#152902,#152903,#70611,.T.); #187448=EDGE_CURVE('',#152853,#152902,#70612,.T.); #187449=EDGE_CURVE('',#152854,#152903,#70613,.T.); #187450=EDGE_CURVE('',#152904,#152905,#70614,.T.); #187451=EDGE_CURVE('',#152905,#152906,#70615,.T.); #187452=EDGE_CURVE('',#152907,#152906,#70616,.T.); #187453=EDGE_CURVE('',#152904,#152907,#70617,.T.); #187454=EDGE_CURVE('',#152908,#152904,#70618,.T.); #187455=EDGE_CURVE('',#152909,#152907,#70619,.T.); #187456=EDGE_CURVE('',#152908,#152909,#70620,.T.); #187457=EDGE_CURVE('',#152910,#152908,#70621,.T.); #187458=EDGE_CURVE('',#152911,#152909,#70622,.T.); #187459=EDGE_CURVE('',#152910,#152911,#70623,.T.); #187460=EDGE_CURVE('',#152912,#152910,#70624,.T.); #187461=EDGE_CURVE('',#152913,#152911,#70625,.T.); #187462=EDGE_CURVE('',#152912,#152913,#70626,.T.); #187463=EDGE_CURVE('',#152914,#152912,#70627,.T.); #187464=EDGE_CURVE('',#152915,#152913,#70628,.T.); #187465=EDGE_CURVE('',#152914,#152915,#70629,.T.); #187466=EDGE_CURVE('',#152916,#152914,#70630,.T.); #187467=EDGE_CURVE('',#152917,#152915,#70631,.T.); #187468=EDGE_CURVE('',#152916,#152917,#70632,.T.); #187469=EDGE_CURVE('',#152918,#152916,#70633,.T.); #187470=EDGE_CURVE('',#152919,#152917,#70634,.T.); #187471=EDGE_CURVE('',#152918,#152919,#70635,.T.); #187472=EDGE_CURVE('',#152920,#152918,#70636,.T.); #187473=EDGE_CURVE('',#152921,#152919,#70637,.T.); #187474=EDGE_CURVE('',#152920,#152921,#70638,.T.); #187475=EDGE_CURVE('',#152922,#152920,#70639,.T.); #187476=EDGE_CURVE('',#152923,#152921,#70640,.T.); #187477=EDGE_CURVE('',#152922,#152923,#70641,.T.); #187478=EDGE_CURVE('',#152924,#152922,#70642,.T.); #187479=EDGE_CURVE('',#152925,#152923,#70643,.T.); #187480=EDGE_CURVE('',#152924,#152925,#70644,.T.); #187481=EDGE_CURVE('',#152926,#152924,#70645,.T.); #187482=EDGE_CURVE('',#152927,#152925,#70646,.T.); #187483=EDGE_CURVE('',#152926,#152927,#70647,.T.); #187484=EDGE_CURVE('',#152928,#152926,#70648,.T.); #187485=EDGE_CURVE('',#152929,#152927,#70649,.T.); #187486=EDGE_CURVE('',#152928,#152929,#70650,.T.); #187487=EDGE_CURVE('',#152930,#152928,#70651,.T.); #187488=EDGE_CURVE('',#152931,#152929,#70652,.T.); #187489=EDGE_CURVE('',#152930,#152931,#70653,.T.); #187490=EDGE_CURVE('',#152932,#152930,#70654,.T.); #187491=EDGE_CURVE('',#152933,#152931,#70655,.T.); #187492=EDGE_CURVE('',#152932,#152933,#70656,.T.); #187493=EDGE_CURVE('',#152934,#152932,#70657,.T.); #187494=EDGE_CURVE('',#152935,#152933,#70658,.T.); #187495=EDGE_CURVE('',#152934,#152935,#70659,.T.); #187496=EDGE_CURVE('',#152936,#152934,#70660,.T.); #187497=EDGE_CURVE('',#152937,#152935,#70661,.T.); #187498=EDGE_CURVE('',#152936,#152937,#70662,.T.); #187499=EDGE_CURVE('',#152938,#152936,#70663,.T.); #187500=EDGE_CURVE('',#152939,#152937,#70664,.T.); #187501=EDGE_CURVE('',#152938,#152939,#70665,.T.); #187502=EDGE_CURVE('',#152940,#152938,#70666,.T.); #187503=EDGE_CURVE('',#152941,#152939,#70667,.T.); #187504=EDGE_CURVE('',#152940,#152941,#70668,.T.); #187505=EDGE_CURVE('',#152942,#152940,#70669,.T.); #187506=EDGE_CURVE('',#152943,#152941,#70670,.T.); #187507=EDGE_CURVE('',#152942,#152943,#70671,.T.); #187508=EDGE_CURVE('',#152944,#152942,#70672,.T.); #187509=EDGE_CURVE('',#152945,#152943,#70673,.T.); #187510=EDGE_CURVE('',#152944,#152945,#70674,.T.); #187511=EDGE_CURVE('',#152905,#152944,#70675,.T.); #187512=EDGE_CURVE('',#152906,#152945,#70676,.T.); #187513=EDGE_CURVE('',#152946,#152947,#70677,.T.); #187514=EDGE_CURVE('',#152947,#152948,#70678,.T.); #187515=EDGE_CURVE('',#152949,#152948,#70679,.T.); #187516=EDGE_CURVE('',#152946,#152949,#70680,.T.); #187517=EDGE_CURVE('',#152950,#152946,#70681,.T.); #187518=EDGE_CURVE('',#152951,#152949,#70682,.T.); #187519=EDGE_CURVE('',#152950,#152951,#70683,.T.); #187520=EDGE_CURVE('',#152952,#152950,#70684,.T.); #187521=EDGE_CURVE('',#152953,#152951,#70685,.T.); #187522=EDGE_CURVE('',#152952,#152953,#70686,.T.); #187523=EDGE_CURVE('',#152954,#152952,#70687,.T.); #187524=EDGE_CURVE('',#152955,#152953,#70688,.T.); #187525=EDGE_CURVE('',#152954,#152955,#70689,.T.); #187526=EDGE_CURVE('',#152956,#152954,#70690,.T.); #187527=EDGE_CURVE('',#152957,#152955,#70691,.T.); #187528=EDGE_CURVE('',#152956,#152957,#70692,.T.); #187529=EDGE_CURVE('',#152958,#152956,#70693,.T.); #187530=EDGE_CURVE('',#152959,#152957,#70694,.T.); #187531=EDGE_CURVE('',#152958,#152959,#70695,.T.); #187532=EDGE_CURVE('',#152960,#152958,#70696,.T.); #187533=EDGE_CURVE('',#152961,#152959,#70697,.T.); #187534=EDGE_CURVE('',#152960,#152961,#70698,.T.); #187535=EDGE_CURVE('',#152962,#152960,#70699,.T.); #187536=EDGE_CURVE('',#152963,#152961,#70700,.T.); #187537=EDGE_CURVE('',#152962,#152963,#70701,.T.); #187538=EDGE_CURVE('',#152964,#152962,#70702,.T.); #187539=EDGE_CURVE('',#152965,#152963,#70703,.T.); #187540=EDGE_CURVE('',#152964,#152965,#70704,.T.); #187541=EDGE_CURVE('',#152966,#152964,#70705,.T.); #187542=EDGE_CURVE('',#152967,#152965,#70706,.T.); #187543=EDGE_CURVE('',#152966,#152967,#70707,.T.); #187544=EDGE_CURVE('',#152968,#152966,#70708,.T.); #187545=EDGE_CURVE('',#152969,#152967,#70709,.T.); #187546=EDGE_CURVE('',#152968,#152969,#70710,.T.); #187547=EDGE_CURVE('',#152970,#152968,#70711,.T.); #187548=EDGE_CURVE('',#152971,#152969,#70712,.T.); #187549=EDGE_CURVE('',#152970,#152971,#70713,.T.); #187550=EDGE_CURVE('',#152972,#152970,#70714,.T.); #187551=EDGE_CURVE('',#152973,#152971,#70715,.T.); #187552=EDGE_CURVE('',#152972,#152973,#70716,.T.); #187553=EDGE_CURVE('',#152974,#152972,#70717,.T.); #187554=EDGE_CURVE('',#152975,#152973,#70718,.T.); #187555=EDGE_CURVE('',#152974,#152975,#70719,.T.); #187556=EDGE_CURVE('',#152976,#152974,#70720,.T.); #187557=EDGE_CURVE('',#152977,#152975,#70721,.T.); #187558=EDGE_CURVE('',#152976,#152977,#70722,.T.); #187559=EDGE_CURVE('',#152978,#152976,#70723,.T.); #187560=EDGE_CURVE('',#152979,#152977,#70724,.T.); #187561=EDGE_CURVE('',#152978,#152979,#70725,.T.); #187562=EDGE_CURVE('',#152980,#152978,#70726,.T.); #187563=EDGE_CURVE('',#152981,#152979,#70727,.T.); #187564=EDGE_CURVE('',#152980,#152981,#70728,.T.); #187565=EDGE_CURVE('',#152982,#152980,#70729,.T.); #187566=EDGE_CURVE('',#152983,#152981,#70730,.T.); #187567=EDGE_CURVE('',#152982,#152983,#70731,.T.); #187568=EDGE_CURVE('',#152984,#152982,#70732,.T.); #187569=EDGE_CURVE('',#152985,#152983,#70733,.T.); #187570=EDGE_CURVE('',#152984,#152985,#70734,.T.); #187571=EDGE_CURVE('',#152986,#152984,#70735,.T.); #187572=EDGE_CURVE('',#152987,#152985,#70736,.T.); #187573=EDGE_CURVE('',#152986,#152987,#70737,.T.); #187574=EDGE_CURVE('',#152988,#152986,#70738,.T.); #187575=EDGE_CURVE('',#152989,#152987,#70739,.T.); #187576=EDGE_CURVE('',#152988,#152989,#70740,.T.); #187577=EDGE_CURVE('',#152990,#152988,#70741,.T.); #187578=EDGE_CURVE('',#152991,#152989,#70742,.T.); #187579=EDGE_CURVE('',#152990,#152991,#70743,.T.); #187580=EDGE_CURVE('',#152992,#152990,#70744,.T.); #187581=EDGE_CURVE('',#152993,#152991,#70745,.T.); #187582=EDGE_CURVE('',#152992,#152993,#70746,.T.); #187583=EDGE_CURVE('',#152994,#152992,#70747,.T.); #187584=EDGE_CURVE('',#152995,#152993,#70748,.T.); #187585=EDGE_CURVE('',#152994,#152995,#70749,.T.); #187586=EDGE_CURVE('',#152996,#152994,#70750,.T.); #187587=EDGE_CURVE('',#152997,#152995,#70751,.T.); #187588=EDGE_CURVE('',#152996,#152997,#70752,.T.); #187589=EDGE_CURVE('',#152998,#152996,#70753,.T.); #187590=EDGE_CURVE('',#152999,#152997,#70754,.T.); #187591=EDGE_CURVE('',#152998,#152999,#70755,.T.); #187592=EDGE_CURVE('',#153000,#152998,#70756,.T.); #187593=EDGE_CURVE('',#153001,#152999,#70757,.T.); #187594=EDGE_CURVE('',#153000,#153001,#70758,.T.); #187595=EDGE_CURVE('',#153002,#153000,#70759,.T.); #187596=EDGE_CURVE('',#153003,#153001,#70760,.T.); #187597=EDGE_CURVE('',#153002,#153003,#70761,.T.); #187598=EDGE_CURVE('',#153004,#153002,#70762,.T.); #187599=EDGE_CURVE('',#153005,#153003,#70763,.T.); #187600=EDGE_CURVE('',#153004,#153005,#70764,.T.); #187601=EDGE_CURVE('',#153006,#153004,#70765,.T.); #187602=EDGE_CURVE('',#153007,#153005,#70766,.T.); #187603=EDGE_CURVE('',#153006,#153007,#70767,.T.); #187604=EDGE_CURVE('',#153008,#153006,#70768,.T.); #187605=EDGE_CURVE('',#153009,#153007,#70769,.T.); #187606=EDGE_CURVE('',#153008,#153009,#70770,.T.); #187607=EDGE_CURVE('',#153010,#153008,#70771,.T.); #187608=EDGE_CURVE('',#153011,#153009,#70772,.T.); #187609=EDGE_CURVE('',#153010,#153011,#70773,.T.); #187610=EDGE_CURVE('',#152947,#153010,#70774,.T.); #187611=EDGE_CURVE('',#152948,#153011,#70775,.T.); #187612=EDGE_CURVE('',#153012,#153013,#70776,.T.); #187613=EDGE_CURVE('',#153013,#153014,#70777,.T.); #187614=EDGE_CURVE('',#153015,#153014,#70778,.T.); #187615=EDGE_CURVE('',#153012,#153015,#70779,.T.); #187616=EDGE_CURVE('',#153016,#153012,#70780,.T.); #187617=EDGE_CURVE('',#153017,#153015,#70781,.T.); #187618=EDGE_CURVE('',#153016,#153017,#70782,.T.); #187619=EDGE_CURVE('',#153018,#153016,#70783,.T.); #187620=EDGE_CURVE('',#153019,#153017,#70784,.T.); #187621=EDGE_CURVE('',#153018,#153019,#70785,.T.); #187622=EDGE_CURVE('',#153020,#153018,#70786,.T.); #187623=EDGE_CURVE('',#153021,#153019,#70787,.T.); #187624=EDGE_CURVE('',#153020,#153021,#70788,.T.); #187625=EDGE_CURVE('',#153022,#153020,#70789,.T.); #187626=EDGE_CURVE('',#153023,#153021,#70790,.T.); #187627=EDGE_CURVE('',#153022,#153023,#70791,.T.); #187628=EDGE_CURVE('',#153024,#153022,#70792,.T.); #187629=EDGE_CURVE('',#153025,#153023,#70793,.T.); #187630=EDGE_CURVE('',#153024,#153025,#70794,.T.); #187631=EDGE_CURVE('',#153026,#153024,#70795,.T.); #187632=EDGE_CURVE('',#153027,#153025,#70796,.T.); #187633=EDGE_CURVE('',#153026,#153027,#70797,.T.); #187634=EDGE_CURVE('',#153028,#153026,#70798,.T.); #187635=EDGE_CURVE('',#153029,#153027,#70799,.T.); #187636=EDGE_CURVE('',#153028,#153029,#70800,.T.); #187637=EDGE_CURVE('',#153030,#153028,#70801,.T.); #187638=EDGE_CURVE('',#153031,#153029,#70802,.T.); #187639=EDGE_CURVE('',#153030,#153031,#70803,.T.); #187640=EDGE_CURVE('',#153032,#153030,#70804,.T.); #187641=EDGE_CURVE('',#153033,#153031,#70805,.T.); #187642=EDGE_CURVE('',#153032,#153033,#70806,.T.); #187643=EDGE_CURVE('',#153034,#153032,#70807,.T.); #187644=EDGE_CURVE('',#153035,#153033,#70808,.T.); #187645=EDGE_CURVE('',#153034,#153035,#70809,.T.); #187646=EDGE_CURVE('',#153036,#153034,#70810,.T.); #187647=EDGE_CURVE('',#153037,#153035,#70811,.T.); #187648=EDGE_CURVE('',#153036,#153037,#70812,.T.); #187649=EDGE_CURVE('',#153038,#153036,#70813,.T.); #187650=EDGE_CURVE('',#153039,#153037,#70814,.T.); #187651=EDGE_CURVE('',#153038,#153039,#70815,.T.); #187652=EDGE_CURVE('',#153040,#153038,#70816,.T.); #187653=EDGE_CURVE('',#153041,#153039,#70817,.T.); #187654=EDGE_CURVE('',#153040,#153041,#70818,.T.); #187655=EDGE_CURVE('',#153042,#153040,#70819,.T.); #187656=EDGE_CURVE('',#153043,#153041,#70820,.T.); #187657=EDGE_CURVE('',#153042,#153043,#70821,.T.); #187658=EDGE_CURVE('',#153044,#153042,#70822,.T.); #187659=EDGE_CURVE('',#153045,#153043,#70823,.T.); #187660=EDGE_CURVE('',#153044,#153045,#70824,.T.); #187661=EDGE_CURVE('',#153046,#153044,#70825,.T.); #187662=EDGE_CURVE('',#153047,#153045,#70826,.T.); #187663=EDGE_CURVE('',#153046,#153047,#70827,.T.); #187664=EDGE_CURVE('',#153048,#153046,#70828,.T.); #187665=EDGE_CURVE('',#153049,#153047,#70829,.T.); #187666=EDGE_CURVE('',#153048,#153049,#70830,.T.); #187667=EDGE_CURVE('',#153050,#153048,#70831,.T.); #187668=EDGE_CURVE('',#153051,#153049,#70832,.T.); #187669=EDGE_CURVE('',#153050,#153051,#70833,.T.); #187670=EDGE_CURVE('',#153013,#153050,#70834,.T.); #187671=EDGE_CURVE('',#153014,#153051,#70835,.T.); #187672=EDGE_CURVE('',#153052,#153053,#70836,.T.); #187673=EDGE_CURVE('',#153053,#153054,#70837,.T.); #187674=EDGE_CURVE('',#153055,#153054,#70838,.T.); #187675=EDGE_CURVE('',#153052,#153055,#70839,.T.); #187676=EDGE_CURVE('',#153056,#153052,#70840,.T.); #187677=EDGE_CURVE('',#153057,#153055,#70841,.T.); #187678=EDGE_CURVE('',#153056,#153057,#70842,.T.); #187679=EDGE_CURVE('',#153058,#153056,#70843,.T.); #187680=EDGE_CURVE('',#153059,#153057,#70844,.T.); #187681=EDGE_CURVE('',#153058,#153059,#70845,.T.); #187682=EDGE_CURVE('',#153060,#153058,#70846,.T.); #187683=EDGE_CURVE('',#153061,#153059,#70847,.T.); #187684=EDGE_CURVE('',#153060,#153061,#70848,.T.); #187685=EDGE_CURVE('',#153062,#153060,#70849,.T.); #187686=EDGE_CURVE('',#153063,#153061,#70850,.T.); #187687=EDGE_CURVE('',#153062,#153063,#70851,.T.); #187688=EDGE_CURVE('',#153064,#153062,#70852,.T.); #187689=EDGE_CURVE('',#153065,#153063,#70853,.T.); #187690=EDGE_CURVE('',#153064,#153065,#70854,.T.); #187691=EDGE_CURVE('',#153066,#153064,#70855,.T.); #187692=EDGE_CURVE('',#153067,#153065,#70856,.T.); #187693=EDGE_CURVE('',#153066,#153067,#70857,.T.); #187694=EDGE_CURVE('',#153068,#153066,#70858,.T.); #187695=EDGE_CURVE('',#153069,#153067,#70859,.T.); #187696=EDGE_CURVE('',#153068,#153069,#70860,.T.); #187697=EDGE_CURVE('',#153070,#153068,#70861,.T.); #187698=EDGE_CURVE('',#153071,#153069,#70862,.T.); #187699=EDGE_CURVE('',#153070,#153071,#70863,.T.); #187700=EDGE_CURVE('',#153072,#153070,#70864,.T.); #187701=EDGE_CURVE('',#153073,#153071,#70865,.T.); #187702=EDGE_CURVE('',#153072,#153073,#70866,.T.); #187703=EDGE_CURVE('',#153074,#153072,#70867,.T.); #187704=EDGE_CURVE('',#153075,#153073,#70868,.T.); #187705=EDGE_CURVE('',#153074,#153075,#70869,.T.); #187706=EDGE_CURVE('',#153076,#153074,#70870,.T.); #187707=EDGE_CURVE('',#153077,#153075,#70871,.T.); #187708=EDGE_CURVE('',#153076,#153077,#70872,.T.); #187709=EDGE_CURVE('',#153078,#153076,#70873,.T.); #187710=EDGE_CURVE('',#153079,#153077,#70874,.T.); #187711=EDGE_CURVE('',#153078,#153079,#70875,.T.); #187712=EDGE_CURVE('',#153080,#153078,#70876,.T.); #187713=EDGE_CURVE('',#153081,#153079,#70877,.T.); #187714=EDGE_CURVE('',#153080,#153081,#70878,.T.); #187715=EDGE_CURVE('',#153082,#153080,#70879,.T.); #187716=EDGE_CURVE('',#153083,#153081,#70880,.T.); #187717=EDGE_CURVE('',#153082,#153083,#70881,.T.); #187718=EDGE_CURVE('',#153084,#153082,#70882,.T.); #187719=EDGE_CURVE('',#153085,#153083,#70883,.T.); #187720=EDGE_CURVE('',#153084,#153085,#70884,.T.); #187721=EDGE_CURVE('',#153086,#153084,#70885,.T.); #187722=EDGE_CURVE('',#153087,#153085,#70886,.T.); #187723=EDGE_CURVE('',#153086,#153087,#70887,.T.); #187724=EDGE_CURVE('',#153088,#153086,#70888,.T.); #187725=EDGE_CURVE('',#153089,#153087,#70889,.T.); #187726=EDGE_CURVE('',#153088,#153089,#70890,.T.); #187727=EDGE_CURVE('',#153090,#153088,#70891,.T.); #187728=EDGE_CURVE('',#153091,#153089,#70892,.T.); #187729=EDGE_CURVE('',#153090,#153091,#70893,.T.); #187730=EDGE_CURVE('',#153092,#153090,#70894,.T.); #187731=EDGE_CURVE('',#153093,#153091,#70895,.T.); #187732=EDGE_CURVE('',#153092,#153093,#70896,.T.); #187733=EDGE_CURVE('',#153094,#153092,#70897,.T.); #187734=EDGE_CURVE('',#153095,#153093,#70898,.T.); #187735=EDGE_CURVE('',#153094,#153095,#70899,.T.); #187736=EDGE_CURVE('',#153096,#153094,#70900,.T.); #187737=EDGE_CURVE('',#153097,#153095,#70901,.T.); #187738=EDGE_CURVE('',#153096,#153097,#70902,.T.); #187739=EDGE_CURVE('',#153053,#153096,#70903,.T.); #187740=EDGE_CURVE('',#153054,#153097,#70904,.T.); #187741=EDGE_CURVE('',#153098,#153098,#139650,.T.); #187742=EDGE_CURVE('',#153098,#153099,#70905,.T.); #187743=EDGE_CURVE('',#153099,#153099,#139651,.T.); #187744=EDGE_CURVE('',#153100,#153100,#139652,.T.); #187745=EDGE_CURVE('',#153100,#153101,#70906,.T.); #187746=EDGE_CURVE('',#153101,#153101,#139653,.T.); #187747=EDGE_CURVE('',#153102,#153102,#139654,.T.); #187748=EDGE_CURVE('',#153102,#153103,#70907,.T.); #187749=EDGE_CURVE('',#153103,#153103,#139655,.T.); #187750=EDGE_CURVE('',#153104,#153105,#70908,.T.); #187751=EDGE_CURVE('',#153105,#153106,#70909,.T.); #187752=EDGE_CURVE('',#153107,#153106,#70910,.T.); #187753=EDGE_CURVE('',#153104,#153107,#70911,.T.); #187754=EDGE_CURVE('',#153108,#153104,#70912,.T.); #187755=EDGE_CURVE('',#153109,#153107,#70913,.T.); #187756=EDGE_CURVE('',#153108,#153109,#70914,.T.); #187757=EDGE_CURVE('',#153110,#153108,#70915,.T.); #187758=EDGE_CURVE('',#153111,#153109,#70916,.T.); #187759=EDGE_CURVE('',#153110,#153111,#70917,.T.); #187760=EDGE_CURVE('',#153112,#153110,#70918,.T.); #187761=EDGE_CURVE('',#153113,#153111,#70919,.T.); #187762=EDGE_CURVE('',#153112,#153113,#70920,.T.); #187763=EDGE_CURVE('',#153114,#153112,#70921,.T.); #187764=EDGE_CURVE('',#153115,#153113,#70922,.T.); #187765=EDGE_CURVE('',#153114,#153115,#70923,.T.); #187766=EDGE_CURVE('',#153116,#153114,#70924,.T.); #187767=EDGE_CURVE('',#153117,#153115,#70925,.T.); #187768=EDGE_CURVE('',#153116,#153117,#70926,.T.); #187769=EDGE_CURVE('',#153118,#153116,#70927,.T.); #187770=EDGE_CURVE('',#153119,#153117,#70928,.T.); #187771=EDGE_CURVE('',#153118,#153119,#70929,.T.); #187772=EDGE_CURVE('',#153120,#153118,#70930,.T.); #187773=EDGE_CURVE('',#153121,#153119,#70931,.T.); #187774=EDGE_CURVE('',#153120,#153121,#70932,.T.); #187775=EDGE_CURVE('',#153122,#153120,#70933,.T.); #187776=EDGE_CURVE('',#153123,#153121,#70934,.T.); #187777=EDGE_CURVE('',#153122,#153123,#70935,.T.); #187778=EDGE_CURVE('',#153124,#153122,#70936,.T.); #187779=EDGE_CURVE('',#153125,#153123,#70937,.T.); #187780=EDGE_CURVE('',#153124,#153125,#70938,.T.); #187781=EDGE_CURVE('',#153126,#153124,#70939,.T.); #187782=EDGE_CURVE('',#153127,#153125,#70940,.T.); #187783=EDGE_CURVE('',#153126,#153127,#70941,.T.); #187784=EDGE_CURVE('',#153128,#153126,#70942,.T.); #187785=EDGE_CURVE('',#153129,#153127,#70943,.T.); #187786=EDGE_CURVE('',#153128,#153129,#70944,.T.); #187787=EDGE_CURVE('',#153130,#153128,#70945,.T.); #187788=EDGE_CURVE('',#153131,#153129,#70946,.T.); #187789=EDGE_CURVE('',#153130,#153131,#70947,.T.); #187790=EDGE_CURVE('',#153132,#153130,#70948,.T.); #187791=EDGE_CURVE('',#153133,#153131,#70949,.T.); #187792=EDGE_CURVE('',#153132,#153133,#70950,.T.); #187793=EDGE_CURVE('',#153134,#153132,#70951,.T.); #187794=EDGE_CURVE('',#153135,#153133,#70952,.T.); #187795=EDGE_CURVE('',#153134,#153135,#70953,.T.); #187796=EDGE_CURVE('',#153136,#153134,#70954,.T.); #187797=EDGE_CURVE('',#153137,#153135,#70955,.T.); #187798=EDGE_CURVE('',#153136,#153137,#70956,.T.); #187799=EDGE_CURVE('',#153138,#153136,#70957,.T.); #187800=EDGE_CURVE('',#153139,#153137,#70958,.T.); #187801=EDGE_CURVE('',#153138,#153139,#70959,.T.); #187802=EDGE_CURVE('',#153140,#153138,#70960,.T.); #187803=EDGE_CURVE('',#153141,#153139,#70961,.T.); #187804=EDGE_CURVE('',#153140,#153141,#70962,.T.); #187805=EDGE_CURVE('',#153142,#153140,#70963,.T.); #187806=EDGE_CURVE('',#153143,#153141,#70964,.T.); #187807=EDGE_CURVE('',#153142,#153143,#70965,.T.); #187808=EDGE_CURVE('',#153144,#153142,#70966,.T.); #187809=EDGE_CURVE('',#153145,#153143,#70967,.T.); #187810=EDGE_CURVE('',#153144,#153145,#70968,.T.); #187811=EDGE_CURVE('',#153146,#153144,#70969,.T.); #187812=EDGE_CURVE('',#153147,#153145,#70970,.T.); #187813=EDGE_CURVE('',#153146,#153147,#70971,.T.); #187814=EDGE_CURVE('',#153148,#153146,#70972,.T.); #187815=EDGE_CURVE('',#153149,#153147,#70973,.T.); #187816=EDGE_CURVE('',#153148,#153149,#70974,.T.); #187817=EDGE_CURVE('',#153150,#153148,#70975,.T.); #187818=EDGE_CURVE('',#153151,#153149,#70976,.T.); #187819=EDGE_CURVE('',#153150,#153151,#70977,.T.); #187820=EDGE_CURVE('',#153152,#153150,#70978,.T.); #187821=EDGE_CURVE('',#153153,#153151,#70979,.T.); #187822=EDGE_CURVE('',#153152,#153153,#70980,.T.); #187823=EDGE_CURVE('',#153154,#153152,#70981,.T.); #187824=EDGE_CURVE('',#153155,#153153,#70982,.T.); #187825=EDGE_CURVE('',#153154,#153155,#70983,.T.); #187826=EDGE_CURVE('',#153156,#153154,#70984,.T.); #187827=EDGE_CURVE('',#153157,#153155,#70985,.T.); #187828=EDGE_CURVE('',#153156,#153157,#70986,.T.); #187829=EDGE_CURVE('',#153158,#153156,#70987,.T.); #187830=EDGE_CURVE('',#153159,#153157,#70988,.T.); #187831=EDGE_CURVE('',#153158,#153159,#70989,.T.); #187832=EDGE_CURVE('',#153160,#153158,#70990,.T.); #187833=EDGE_CURVE('',#153161,#153159,#70991,.T.); #187834=EDGE_CURVE('',#153160,#153161,#70992,.T.); #187835=EDGE_CURVE('',#153162,#153160,#70993,.T.); #187836=EDGE_CURVE('',#153163,#153161,#70994,.T.); #187837=EDGE_CURVE('',#153162,#153163,#70995,.T.); #187838=EDGE_CURVE('',#153164,#153162,#70996,.T.); #187839=EDGE_CURVE('',#153165,#153163,#70997,.T.); #187840=EDGE_CURVE('',#153164,#153165,#70998,.T.); #187841=EDGE_CURVE('',#153166,#153164,#70999,.T.); #187842=EDGE_CURVE('',#153167,#153165,#71000,.T.); #187843=EDGE_CURVE('',#153166,#153167,#71001,.T.); #187844=EDGE_CURVE('',#153168,#153166,#71002,.T.); #187845=EDGE_CURVE('',#153169,#153167,#71003,.T.); #187846=EDGE_CURVE('',#153168,#153169,#71004,.T.); #187847=EDGE_CURVE('',#153170,#153168,#71005,.T.); #187848=EDGE_CURVE('',#153171,#153169,#71006,.T.); #187849=EDGE_CURVE('',#153170,#153171,#71007,.T.); #187850=EDGE_CURVE('',#153172,#153170,#71008,.T.); #187851=EDGE_CURVE('',#153173,#153171,#71009,.T.); #187852=EDGE_CURVE('',#153172,#153173,#71010,.T.); #187853=EDGE_CURVE('',#153174,#153172,#71011,.T.); #187854=EDGE_CURVE('',#153175,#153173,#71012,.T.); #187855=EDGE_CURVE('',#153174,#153175,#71013,.T.); #187856=EDGE_CURVE('',#153176,#153174,#71014,.T.); #187857=EDGE_CURVE('',#153177,#153175,#71015,.T.); #187858=EDGE_CURVE('',#153176,#153177,#71016,.T.); #187859=EDGE_CURVE('',#153178,#153176,#71017,.T.); #187860=EDGE_CURVE('',#153179,#153177,#71018,.T.); #187861=EDGE_CURVE('',#153178,#153179,#71019,.T.); #187862=EDGE_CURVE('',#153180,#153178,#71020,.T.); #187863=EDGE_CURVE('',#153181,#153179,#71021,.T.); #187864=EDGE_CURVE('',#153180,#153181,#71022,.T.); #187865=EDGE_CURVE('',#153182,#153180,#71023,.T.); #187866=EDGE_CURVE('',#153183,#153181,#71024,.T.); #187867=EDGE_CURVE('',#153182,#153183,#71025,.T.); #187868=EDGE_CURVE('',#153184,#153182,#71026,.T.); #187869=EDGE_CURVE('',#153185,#153183,#71027,.T.); #187870=EDGE_CURVE('',#153184,#153185,#71028,.T.); #187871=EDGE_CURVE('',#153186,#153184,#71029,.T.); #187872=EDGE_CURVE('',#153187,#153185,#71030,.T.); #187873=EDGE_CURVE('',#153186,#153187,#71031,.T.); #187874=EDGE_CURVE('',#153188,#153186,#71032,.T.); #187875=EDGE_CURVE('',#153189,#153187,#71033,.T.); #187876=EDGE_CURVE('',#153188,#153189,#71034,.T.); #187877=EDGE_CURVE('',#153190,#153188,#71035,.T.); #187878=EDGE_CURVE('',#153191,#153189,#71036,.T.); #187879=EDGE_CURVE('',#153190,#153191,#71037,.T.); #187880=EDGE_CURVE('',#153192,#153190,#71038,.T.); #187881=EDGE_CURVE('',#153193,#153191,#71039,.T.); #187882=EDGE_CURVE('',#153192,#153193,#71040,.T.); #187883=EDGE_CURVE('',#153194,#153192,#71041,.T.); #187884=EDGE_CURVE('',#153195,#153193,#71042,.T.); #187885=EDGE_CURVE('',#153194,#153195,#71043,.T.); #187886=EDGE_CURVE('',#153196,#153194,#71044,.T.); #187887=EDGE_CURVE('',#153197,#153195,#71045,.T.); #187888=EDGE_CURVE('',#153196,#153197,#71046,.T.); #187889=EDGE_CURVE('',#153198,#153196,#71047,.T.); #187890=EDGE_CURVE('',#153199,#153197,#71048,.T.); #187891=EDGE_CURVE('',#153198,#153199,#71049,.T.); #187892=EDGE_CURVE('',#153200,#153198,#71050,.T.); #187893=EDGE_CURVE('',#153201,#153199,#71051,.T.); #187894=EDGE_CURVE('',#153200,#153201,#71052,.T.); #187895=EDGE_CURVE('',#153202,#153200,#71053,.T.); #187896=EDGE_CURVE('',#153203,#153201,#71054,.T.); #187897=EDGE_CURVE('',#153202,#153203,#71055,.T.); #187898=EDGE_CURVE('',#153204,#153202,#71056,.T.); #187899=EDGE_CURVE('',#153205,#153203,#71057,.T.); #187900=EDGE_CURVE('',#153204,#153205,#71058,.T.); #187901=EDGE_CURVE('',#153206,#153204,#71059,.T.); #187902=EDGE_CURVE('',#153207,#153205,#71060,.T.); #187903=EDGE_CURVE('',#153206,#153207,#71061,.T.); #187904=EDGE_CURVE('',#153208,#153206,#71062,.T.); #187905=EDGE_CURVE('',#153209,#153207,#71063,.T.); #187906=EDGE_CURVE('',#153208,#153209,#71064,.T.); #187907=EDGE_CURVE('',#153210,#153208,#71065,.T.); #187908=EDGE_CURVE('',#153211,#153209,#71066,.T.); #187909=EDGE_CURVE('',#153210,#153211,#71067,.T.); #187910=EDGE_CURVE('',#153212,#153210,#71068,.T.); #187911=EDGE_CURVE('',#153213,#153211,#71069,.T.); #187912=EDGE_CURVE('',#153212,#153213,#71070,.T.); #187913=EDGE_CURVE('',#153214,#153212,#71071,.T.); #187914=EDGE_CURVE('',#153215,#153213,#71072,.T.); #187915=EDGE_CURVE('',#153214,#153215,#71073,.T.); #187916=EDGE_CURVE('',#153216,#153214,#71074,.T.); #187917=EDGE_CURVE('',#153217,#153215,#71075,.T.); #187918=EDGE_CURVE('',#153216,#153217,#71076,.T.); #187919=EDGE_CURVE('',#153218,#153216,#71077,.T.); #187920=EDGE_CURVE('',#153219,#153217,#71078,.T.); #187921=EDGE_CURVE('',#153218,#153219,#71079,.T.); #187922=EDGE_CURVE('',#153220,#153218,#71080,.T.); #187923=EDGE_CURVE('',#153221,#153219,#71081,.T.); #187924=EDGE_CURVE('',#153220,#153221,#71082,.T.); #187925=EDGE_CURVE('',#153222,#153220,#71083,.T.); #187926=EDGE_CURVE('',#153223,#153221,#71084,.T.); #187927=EDGE_CURVE('',#153222,#153223,#71085,.T.); #187928=EDGE_CURVE('',#153224,#153222,#71086,.T.); #187929=EDGE_CURVE('',#153225,#153223,#71087,.T.); #187930=EDGE_CURVE('',#153224,#153225,#71088,.T.); #187931=EDGE_CURVE('',#153226,#153224,#71089,.T.); #187932=EDGE_CURVE('',#153227,#153225,#71090,.T.); #187933=EDGE_CURVE('',#153226,#153227,#71091,.T.); #187934=EDGE_CURVE('',#153228,#153226,#71092,.T.); #187935=EDGE_CURVE('',#153229,#153227,#71093,.T.); #187936=EDGE_CURVE('',#153228,#153229,#71094,.T.); #187937=EDGE_CURVE('',#153230,#153228,#71095,.T.); #187938=EDGE_CURVE('',#153231,#153229,#71096,.T.); #187939=EDGE_CURVE('',#153230,#153231,#71097,.T.); #187940=EDGE_CURVE('',#153232,#153230,#71098,.T.); #187941=EDGE_CURVE('',#153233,#153231,#71099,.T.); #187942=EDGE_CURVE('',#153232,#153233,#71100,.T.); #187943=EDGE_CURVE('',#153234,#153232,#71101,.T.); #187944=EDGE_CURVE('',#153235,#153233,#71102,.T.); #187945=EDGE_CURVE('',#153234,#153235,#71103,.T.); #187946=EDGE_CURVE('',#153236,#153234,#71104,.T.); #187947=EDGE_CURVE('',#153237,#153235,#71105,.T.); #187948=EDGE_CURVE('',#153236,#153237,#71106,.T.); #187949=EDGE_CURVE('',#153238,#153236,#71107,.T.); #187950=EDGE_CURVE('',#153239,#153237,#71108,.T.); #187951=EDGE_CURVE('',#153238,#153239,#71109,.T.); #187952=EDGE_CURVE('',#153240,#153238,#71110,.T.); #187953=EDGE_CURVE('',#153241,#153239,#71111,.T.); #187954=EDGE_CURVE('',#153240,#153241,#71112,.T.); #187955=EDGE_CURVE('',#153242,#153240,#71113,.T.); #187956=EDGE_CURVE('',#153243,#153241,#71114,.T.); #187957=EDGE_CURVE('',#153242,#153243,#71115,.T.); #187958=EDGE_CURVE('',#153244,#153242,#71116,.T.); #187959=EDGE_CURVE('',#153245,#153243,#71117,.T.); #187960=EDGE_CURVE('',#153244,#153245,#71118,.T.); #187961=EDGE_CURVE('',#153246,#153244,#71119,.T.); #187962=EDGE_CURVE('',#153247,#153245,#71120,.T.); #187963=EDGE_CURVE('',#153246,#153247,#71121,.T.); #187964=EDGE_CURVE('',#153248,#153246,#71122,.T.); #187965=EDGE_CURVE('',#153249,#153247,#71123,.T.); #187966=EDGE_CURVE('',#153248,#153249,#71124,.T.); #187967=EDGE_CURVE('',#153250,#153248,#71125,.T.); #187968=EDGE_CURVE('',#153251,#153249,#71126,.T.); #187969=EDGE_CURVE('',#153250,#153251,#71127,.T.); #187970=EDGE_CURVE('',#153252,#153250,#71128,.T.); #187971=EDGE_CURVE('',#153253,#153251,#71129,.T.); #187972=EDGE_CURVE('',#153252,#153253,#71130,.T.); #187973=EDGE_CURVE('',#153254,#153252,#71131,.T.); #187974=EDGE_CURVE('',#153255,#153253,#71132,.T.); #187975=EDGE_CURVE('',#153254,#153255,#71133,.T.); #187976=EDGE_CURVE('',#153256,#153254,#71134,.T.); #187977=EDGE_CURVE('',#153257,#153255,#71135,.T.); #187978=EDGE_CURVE('',#153256,#153257,#71136,.T.); #187979=EDGE_CURVE('',#153258,#153256,#71137,.T.); #187980=EDGE_CURVE('',#153259,#153257,#71138,.T.); #187981=EDGE_CURVE('',#153258,#153259,#71139,.T.); #187982=EDGE_CURVE('',#153260,#153258,#71140,.T.); #187983=EDGE_CURVE('',#153261,#153259,#71141,.T.); #187984=EDGE_CURVE('',#153260,#153261,#71142,.T.); #187985=EDGE_CURVE('',#153262,#153260,#71143,.T.); #187986=EDGE_CURVE('',#153263,#153261,#71144,.T.); #187987=EDGE_CURVE('',#153262,#153263,#71145,.T.); #187988=EDGE_CURVE('',#153264,#153262,#71146,.T.); #187989=EDGE_CURVE('',#153265,#153263,#71147,.T.); #187990=EDGE_CURVE('',#153264,#153265,#71148,.T.); #187991=EDGE_CURVE('',#153266,#153264,#71149,.T.); #187992=EDGE_CURVE('',#153267,#153265,#71150,.T.); #187993=EDGE_CURVE('',#153266,#153267,#71151,.T.); #187994=EDGE_CURVE('',#153268,#153266,#71152,.T.); #187995=EDGE_CURVE('',#153269,#153267,#71153,.T.); #187996=EDGE_CURVE('',#153268,#153269,#71154,.T.); #187997=EDGE_CURVE('',#153270,#153268,#71155,.T.); #187998=EDGE_CURVE('',#153271,#153269,#71156,.T.); #187999=EDGE_CURVE('',#153270,#153271,#71157,.T.); #188000=EDGE_CURVE('',#153272,#153270,#71158,.T.); #188001=EDGE_CURVE('',#153273,#153271,#71159,.T.); #188002=EDGE_CURVE('',#153272,#153273,#71160,.T.); #188003=EDGE_CURVE('',#153274,#153272,#71161,.T.); #188004=EDGE_CURVE('',#153275,#153273,#71162,.T.); #188005=EDGE_CURVE('',#153274,#153275,#71163,.T.); #188006=EDGE_CURVE('',#153276,#153274,#71164,.T.); #188007=EDGE_CURVE('',#153277,#153275,#71165,.T.); #188008=EDGE_CURVE('',#153276,#153277,#71166,.T.); #188009=EDGE_CURVE('',#153105,#153276,#71167,.T.); #188010=EDGE_CURVE('',#153106,#153277,#71168,.T.); #188011=EDGE_CURVE('',#153278,#153279,#71169,.T.); #188012=EDGE_CURVE('',#153279,#153280,#71170,.T.); #188013=EDGE_CURVE('',#153281,#153280,#71171,.T.); #188014=EDGE_CURVE('',#153278,#153281,#71172,.T.); #188015=EDGE_CURVE('',#153282,#153278,#71173,.T.); #188016=EDGE_CURVE('',#153283,#153281,#71174,.T.); #188017=EDGE_CURVE('',#153282,#153283,#71175,.T.); #188018=EDGE_CURVE('',#153284,#153282,#71176,.T.); #188019=EDGE_CURVE('',#153285,#153283,#71177,.T.); #188020=EDGE_CURVE('',#153284,#153285,#71178,.T.); #188021=EDGE_CURVE('',#153286,#153284,#71179,.T.); #188022=EDGE_CURVE('',#153287,#153285,#71180,.T.); #188023=EDGE_CURVE('',#153286,#153287,#71181,.T.); #188024=EDGE_CURVE('',#153288,#153286,#71182,.T.); #188025=EDGE_CURVE('',#153289,#153287,#71183,.T.); #188026=EDGE_CURVE('',#153288,#153289,#71184,.T.); #188027=EDGE_CURVE('',#153290,#153288,#71185,.T.); #188028=EDGE_CURVE('',#153291,#153289,#71186,.T.); #188029=EDGE_CURVE('',#153290,#153291,#71187,.T.); #188030=EDGE_CURVE('',#153292,#153290,#71188,.T.); #188031=EDGE_CURVE('',#153293,#153291,#71189,.T.); #188032=EDGE_CURVE('',#153292,#153293,#71190,.T.); #188033=EDGE_CURVE('',#153294,#153292,#71191,.T.); #188034=EDGE_CURVE('',#153295,#153293,#71192,.T.); #188035=EDGE_CURVE('',#153294,#153295,#71193,.T.); #188036=EDGE_CURVE('',#153296,#153294,#71194,.T.); #188037=EDGE_CURVE('',#153297,#153295,#71195,.T.); #188038=EDGE_CURVE('',#153296,#153297,#71196,.T.); #188039=EDGE_CURVE('',#153298,#153296,#71197,.T.); #188040=EDGE_CURVE('',#153299,#153297,#71198,.T.); #188041=EDGE_CURVE('',#153298,#153299,#71199,.T.); #188042=EDGE_CURVE('',#153300,#153298,#71200,.T.); #188043=EDGE_CURVE('',#153301,#153299,#71201,.T.); #188044=EDGE_CURVE('',#153300,#153301,#71202,.T.); #188045=EDGE_CURVE('',#153302,#153300,#71203,.T.); #188046=EDGE_CURVE('',#153303,#153301,#71204,.T.); #188047=EDGE_CURVE('',#153302,#153303,#71205,.T.); #188048=EDGE_CURVE('',#153304,#153302,#71206,.T.); #188049=EDGE_CURVE('',#153305,#153303,#71207,.T.); #188050=EDGE_CURVE('',#153304,#153305,#71208,.T.); #188051=EDGE_CURVE('',#153306,#153304,#71209,.T.); #188052=EDGE_CURVE('',#153307,#153305,#71210,.T.); #188053=EDGE_CURVE('',#153306,#153307,#71211,.T.); #188054=EDGE_CURVE('',#153308,#153306,#71212,.T.); #188055=EDGE_CURVE('',#153309,#153307,#71213,.T.); #188056=EDGE_CURVE('',#153308,#153309,#71214,.T.); #188057=EDGE_CURVE('',#153310,#153308,#71215,.T.); #188058=EDGE_CURVE('',#153311,#153309,#71216,.T.); #188059=EDGE_CURVE('',#153310,#153311,#71217,.T.); #188060=EDGE_CURVE('',#153312,#153310,#71218,.T.); #188061=EDGE_CURVE('',#153313,#153311,#71219,.T.); #188062=EDGE_CURVE('',#153312,#153313,#71220,.T.); #188063=EDGE_CURVE('',#153314,#153312,#71221,.T.); #188064=EDGE_CURVE('',#153315,#153313,#71222,.T.); #188065=EDGE_CURVE('',#153314,#153315,#71223,.T.); #188066=EDGE_CURVE('',#153316,#153314,#71224,.T.); #188067=EDGE_CURVE('',#153317,#153315,#71225,.T.); #188068=EDGE_CURVE('',#153316,#153317,#71226,.T.); #188069=EDGE_CURVE('',#153318,#153316,#71227,.T.); #188070=EDGE_CURVE('',#153319,#153317,#71228,.T.); #188071=EDGE_CURVE('',#153318,#153319,#71229,.T.); #188072=EDGE_CURVE('',#153279,#153318,#71230,.T.); #188073=EDGE_CURVE('',#153280,#153319,#71231,.T.); #188074=EDGE_CURVE('',#153320,#153321,#71232,.T.); #188075=EDGE_CURVE('',#153321,#153322,#71233,.T.); #188076=EDGE_CURVE('',#153323,#153322,#71234,.T.); #188077=EDGE_CURVE('',#153320,#153323,#71235,.T.); #188078=EDGE_CURVE('',#153324,#153320,#71236,.T.); #188079=EDGE_CURVE('',#153325,#153323,#71237,.T.); #188080=EDGE_CURVE('',#153324,#153325,#71238,.T.); #188081=EDGE_CURVE('',#153326,#153324,#71239,.T.); #188082=EDGE_CURVE('',#153327,#153325,#71240,.T.); #188083=EDGE_CURVE('',#153326,#153327,#71241,.T.); #188084=EDGE_CURVE('',#153328,#153326,#71242,.T.); #188085=EDGE_CURVE('',#153329,#153327,#71243,.T.); #188086=EDGE_CURVE('',#153328,#153329,#71244,.T.); #188087=EDGE_CURVE('',#153330,#153328,#71245,.T.); #188088=EDGE_CURVE('',#153331,#153329,#71246,.T.); #188089=EDGE_CURVE('',#153330,#153331,#71247,.T.); #188090=EDGE_CURVE('',#153332,#153330,#71248,.T.); #188091=EDGE_CURVE('',#153333,#153331,#71249,.T.); #188092=EDGE_CURVE('',#153332,#153333,#71250,.T.); #188093=EDGE_CURVE('',#153334,#153332,#71251,.T.); #188094=EDGE_CURVE('',#153335,#153333,#71252,.T.); #188095=EDGE_CURVE('',#153334,#153335,#71253,.T.); #188096=EDGE_CURVE('',#153336,#153334,#71254,.T.); #188097=EDGE_CURVE('',#153337,#153335,#71255,.T.); #188098=EDGE_CURVE('',#153336,#153337,#71256,.T.); #188099=EDGE_CURVE('',#153338,#153336,#71257,.T.); #188100=EDGE_CURVE('',#153339,#153337,#71258,.T.); #188101=EDGE_CURVE('',#153338,#153339,#71259,.T.); #188102=EDGE_CURVE('',#153340,#153338,#71260,.T.); #188103=EDGE_CURVE('',#153341,#153339,#71261,.T.); #188104=EDGE_CURVE('',#153340,#153341,#71262,.T.); #188105=EDGE_CURVE('',#153342,#153340,#71263,.T.); #188106=EDGE_CURVE('',#153343,#153341,#71264,.T.); #188107=EDGE_CURVE('',#153342,#153343,#71265,.T.); #188108=EDGE_CURVE('',#153344,#153342,#71266,.T.); #188109=EDGE_CURVE('',#153345,#153343,#71267,.T.); #188110=EDGE_CURVE('',#153344,#153345,#71268,.T.); #188111=EDGE_CURVE('',#153346,#153344,#71269,.T.); #188112=EDGE_CURVE('',#153347,#153345,#71270,.T.); #188113=EDGE_CURVE('',#153346,#153347,#71271,.T.); #188114=EDGE_CURVE('',#153348,#153346,#71272,.T.); #188115=EDGE_CURVE('',#153349,#153347,#71273,.T.); #188116=EDGE_CURVE('',#153348,#153349,#71274,.T.); #188117=EDGE_CURVE('',#153350,#153348,#71275,.T.); #188118=EDGE_CURVE('',#153351,#153349,#71276,.T.); #188119=EDGE_CURVE('',#153350,#153351,#71277,.T.); #188120=EDGE_CURVE('',#153352,#153350,#71278,.T.); #188121=EDGE_CURVE('',#153353,#153351,#71279,.T.); #188122=EDGE_CURVE('',#153352,#153353,#71280,.T.); #188123=EDGE_CURVE('',#153354,#153352,#71281,.T.); #188124=EDGE_CURVE('',#153355,#153353,#71282,.T.); #188125=EDGE_CURVE('',#153354,#153355,#71283,.T.); #188126=EDGE_CURVE('',#153356,#153354,#71284,.T.); #188127=EDGE_CURVE('',#153357,#153355,#71285,.T.); #188128=EDGE_CURVE('',#153356,#153357,#71286,.T.); #188129=EDGE_CURVE('',#153321,#153356,#71287,.T.); #188130=EDGE_CURVE('',#153322,#153357,#71288,.T.); #188131=EDGE_CURVE('',#153358,#153359,#71289,.T.); #188132=EDGE_CURVE('',#153359,#153360,#71290,.T.); #188133=EDGE_CURVE('',#153361,#153360,#71291,.T.); #188134=EDGE_CURVE('',#153358,#153361,#71292,.T.); #188135=EDGE_CURVE('',#153362,#153358,#71293,.T.); #188136=EDGE_CURVE('',#153363,#153361,#71294,.T.); #188137=EDGE_CURVE('',#153362,#153363,#71295,.T.); #188138=EDGE_CURVE('',#153364,#153362,#71296,.T.); #188139=EDGE_CURVE('',#153365,#153363,#71297,.T.); #188140=EDGE_CURVE('',#153364,#153365,#71298,.T.); #188141=EDGE_CURVE('',#153366,#153364,#71299,.T.); #188142=EDGE_CURVE('',#153367,#153365,#71300,.T.); #188143=EDGE_CURVE('',#153366,#153367,#71301,.T.); #188144=EDGE_CURVE('',#153368,#153366,#71302,.T.); #188145=EDGE_CURVE('',#153369,#153367,#71303,.T.); #188146=EDGE_CURVE('',#153368,#153369,#71304,.T.); #188147=EDGE_CURVE('',#153370,#153368,#71305,.T.); #188148=EDGE_CURVE('',#153371,#153369,#71306,.T.); #188149=EDGE_CURVE('',#153370,#153371,#71307,.T.); #188150=EDGE_CURVE('',#153372,#153370,#71308,.T.); #188151=EDGE_CURVE('',#153373,#153371,#71309,.T.); #188152=EDGE_CURVE('',#153372,#153373,#71310,.T.); #188153=EDGE_CURVE('',#153374,#153372,#71311,.T.); #188154=EDGE_CURVE('',#153375,#153373,#71312,.T.); #188155=EDGE_CURVE('',#153374,#153375,#71313,.T.); #188156=EDGE_CURVE('',#153376,#153374,#71314,.T.); #188157=EDGE_CURVE('',#153377,#153375,#71315,.T.); #188158=EDGE_CURVE('',#153376,#153377,#71316,.T.); #188159=EDGE_CURVE('',#153378,#153376,#71317,.T.); #188160=EDGE_CURVE('',#153379,#153377,#71318,.T.); #188161=EDGE_CURVE('',#153378,#153379,#71319,.T.); #188162=EDGE_CURVE('',#153380,#153378,#71320,.T.); #188163=EDGE_CURVE('',#153381,#153379,#71321,.T.); #188164=EDGE_CURVE('',#153380,#153381,#71322,.T.); #188165=EDGE_CURVE('',#153382,#153380,#71323,.T.); #188166=EDGE_CURVE('',#153383,#153381,#71324,.T.); #188167=EDGE_CURVE('',#153382,#153383,#71325,.T.); #188168=EDGE_CURVE('',#153384,#153382,#71326,.T.); #188169=EDGE_CURVE('',#153385,#153383,#71327,.T.); #188170=EDGE_CURVE('',#153384,#153385,#71328,.T.); #188171=EDGE_CURVE('',#153386,#153384,#71329,.T.); #188172=EDGE_CURVE('',#153387,#153385,#71330,.T.); #188173=EDGE_CURVE('',#153386,#153387,#71331,.T.); #188174=EDGE_CURVE('',#153388,#153386,#71332,.T.); #188175=EDGE_CURVE('',#153389,#153387,#71333,.T.); #188176=EDGE_CURVE('',#153388,#153389,#71334,.T.); #188177=EDGE_CURVE('',#153390,#153388,#71335,.T.); #188178=EDGE_CURVE('',#153391,#153389,#71336,.T.); #188179=EDGE_CURVE('',#153390,#153391,#71337,.T.); #188180=EDGE_CURVE('',#153392,#153390,#71338,.T.); #188181=EDGE_CURVE('',#153393,#153391,#71339,.T.); #188182=EDGE_CURVE('',#153392,#153393,#71340,.T.); #188183=EDGE_CURVE('',#153394,#153392,#71341,.T.); #188184=EDGE_CURVE('',#153395,#153393,#71342,.T.); #188185=EDGE_CURVE('',#153394,#153395,#71343,.T.); #188186=EDGE_CURVE('',#153396,#153394,#71344,.T.); #188187=EDGE_CURVE('',#153397,#153395,#71345,.T.); #188188=EDGE_CURVE('',#153396,#153397,#71346,.T.); #188189=EDGE_CURVE('',#153398,#153396,#71347,.T.); #188190=EDGE_CURVE('',#153399,#153397,#71348,.T.); #188191=EDGE_CURVE('',#153398,#153399,#71349,.T.); #188192=EDGE_CURVE('',#153400,#153398,#71350,.T.); #188193=EDGE_CURVE('',#153401,#153399,#71351,.T.); #188194=EDGE_CURVE('',#153400,#153401,#71352,.T.); #188195=EDGE_CURVE('',#153402,#153400,#71353,.T.); #188196=EDGE_CURVE('',#153403,#153401,#71354,.T.); #188197=EDGE_CURVE('',#153402,#153403,#71355,.T.); #188198=EDGE_CURVE('',#153404,#153402,#71356,.T.); #188199=EDGE_CURVE('',#153405,#153403,#71357,.T.); #188200=EDGE_CURVE('',#153404,#153405,#71358,.T.); #188201=EDGE_CURVE('',#153406,#153404,#71359,.T.); #188202=EDGE_CURVE('',#153407,#153405,#71360,.T.); #188203=EDGE_CURVE('',#153406,#153407,#71361,.T.); #188204=EDGE_CURVE('',#153408,#153406,#71362,.T.); #188205=EDGE_CURVE('',#153409,#153407,#71363,.T.); #188206=EDGE_CURVE('',#153408,#153409,#71364,.T.); #188207=EDGE_CURVE('',#153410,#153408,#71365,.T.); #188208=EDGE_CURVE('',#153411,#153409,#71366,.T.); #188209=EDGE_CURVE('',#153410,#153411,#71367,.T.); #188210=EDGE_CURVE('',#153412,#153410,#71368,.T.); #188211=EDGE_CURVE('',#153413,#153411,#71369,.T.); #188212=EDGE_CURVE('',#153412,#153413,#71370,.T.); #188213=EDGE_CURVE('',#153414,#153412,#71371,.T.); #188214=EDGE_CURVE('',#153415,#153413,#71372,.T.); #188215=EDGE_CURVE('',#153414,#153415,#71373,.T.); #188216=EDGE_CURVE('',#153359,#153414,#71374,.T.); #188217=EDGE_CURVE('',#153360,#153415,#71375,.T.); #188218=EDGE_CURVE('',#153416,#153417,#71376,.T.); #188219=EDGE_CURVE('',#153417,#153418,#71377,.T.); #188220=EDGE_CURVE('',#153419,#153418,#71378,.T.); #188221=EDGE_CURVE('',#153416,#153419,#71379,.T.); #188222=EDGE_CURVE('',#153420,#153416,#71380,.T.); #188223=EDGE_CURVE('',#153421,#153419,#71381,.T.); #188224=EDGE_CURVE('',#153420,#153421,#71382,.T.); #188225=EDGE_CURVE('',#153422,#153420,#71383,.T.); #188226=EDGE_CURVE('',#153423,#153421,#71384,.T.); #188227=EDGE_CURVE('',#153422,#153423,#71385,.T.); #188228=EDGE_CURVE('',#153424,#153422,#71386,.T.); #188229=EDGE_CURVE('',#153425,#153423,#71387,.T.); #188230=EDGE_CURVE('',#153424,#153425,#71388,.T.); #188231=EDGE_CURVE('',#153426,#153424,#71389,.T.); #188232=EDGE_CURVE('',#153427,#153425,#71390,.T.); #188233=EDGE_CURVE('',#153426,#153427,#71391,.T.); #188234=EDGE_CURVE('',#153428,#153426,#71392,.T.); #188235=EDGE_CURVE('',#153429,#153427,#71393,.T.); #188236=EDGE_CURVE('',#153428,#153429,#71394,.T.); #188237=EDGE_CURVE('',#153430,#153428,#71395,.T.); #188238=EDGE_CURVE('',#153431,#153429,#71396,.T.); #188239=EDGE_CURVE('',#153430,#153431,#71397,.T.); #188240=EDGE_CURVE('',#153432,#153430,#71398,.T.); #188241=EDGE_CURVE('',#153433,#153431,#71399,.T.); #188242=EDGE_CURVE('',#153432,#153433,#71400,.T.); #188243=EDGE_CURVE('',#153434,#153432,#71401,.T.); #188244=EDGE_CURVE('',#153435,#153433,#71402,.T.); #188245=EDGE_CURVE('',#153434,#153435,#71403,.T.); #188246=EDGE_CURVE('',#153436,#153434,#71404,.T.); #188247=EDGE_CURVE('',#153437,#153435,#71405,.T.); #188248=EDGE_CURVE('',#153436,#153437,#71406,.T.); #188249=EDGE_CURVE('',#153438,#153436,#71407,.T.); #188250=EDGE_CURVE('',#153439,#153437,#71408,.T.); #188251=EDGE_CURVE('',#153438,#153439,#71409,.T.); #188252=EDGE_CURVE('',#153440,#153438,#71410,.T.); #188253=EDGE_CURVE('',#153441,#153439,#71411,.T.); #188254=EDGE_CURVE('',#153440,#153441,#71412,.T.); #188255=EDGE_CURVE('',#153442,#153440,#71413,.T.); #188256=EDGE_CURVE('',#153443,#153441,#71414,.T.); #188257=EDGE_CURVE('',#153442,#153443,#71415,.T.); #188258=EDGE_CURVE('',#153444,#153442,#71416,.T.); #188259=EDGE_CURVE('',#153445,#153443,#71417,.T.); #188260=EDGE_CURVE('',#153444,#153445,#71418,.T.); #188261=EDGE_CURVE('',#153446,#153444,#71419,.T.); #188262=EDGE_CURVE('',#153447,#153445,#71420,.T.); #188263=EDGE_CURVE('',#153446,#153447,#71421,.T.); #188264=EDGE_CURVE('',#153448,#153446,#71422,.T.); #188265=EDGE_CURVE('',#153449,#153447,#71423,.T.); #188266=EDGE_CURVE('',#153448,#153449,#71424,.T.); #188267=EDGE_CURVE('',#153450,#153448,#71425,.T.); #188268=EDGE_CURVE('',#153451,#153449,#71426,.T.); #188269=EDGE_CURVE('',#153450,#153451,#71427,.T.); #188270=EDGE_CURVE('',#153452,#153450,#71428,.T.); #188271=EDGE_CURVE('',#153453,#153451,#71429,.T.); #188272=EDGE_CURVE('',#153452,#153453,#71430,.T.); #188273=EDGE_CURVE('',#153454,#153452,#71431,.T.); #188274=EDGE_CURVE('',#153455,#153453,#71432,.T.); #188275=EDGE_CURVE('',#153454,#153455,#71433,.T.); #188276=EDGE_CURVE('',#153456,#153454,#71434,.T.); #188277=EDGE_CURVE('',#153457,#153455,#71435,.T.); #188278=EDGE_CURVE('',#153456,#153457,#71436,.T.); #188279=EDGE_CURVE('',#153417,#153456,#71437,.T.); #188280=EDGE_CURVE('',#153418,#153457,#71438,.T.); #188281=EDGE_CURVE('',#153458,#153459,#71439,.T.); #188282=EDGE_CURVE('',#153459,#153460,#71440,.T.); #188283=EDGE_CURVE('',#153461,#153460,#71441,.T.); #188284=EDGE_CURVE('',#153458,#153461,#71442,.T.); #188285=EDGE_CURVE('',#153462,#153458,#71443,.T.); #188286=EDGE_CURVE('',#153463,#153461,#71444,.T.); #188287=EDGE_CURVE('',#153462,#153463,#71445,.T.); #188288=EDGE_CURVE('',#153464,#153462,#71446,.T.); #188289=EDGE_CURVE('',#153465,#153463,#71447,.T.); #188290=EDGE_CURVE('',#153464,#153465,#71448,.T.); #188291=EDGE_CURVE('',#153466,#153464,#71449,.T.); #188292=EDGE_CURVE('',#153467,#153465,#71450,.T.); #188293=EDGE_CURVE('',#153466,#153467,#71451,.T.); #188294=EDGE_CURVE('',#153468,#153466,#71452,.T.); #188295=EDGE_CURVE('',#153469,#153467,#71453,.T.); #188296=EDGE_CURVE('',#153468,#153469,#71454,.T.); #188297=EDGE_CURVE('',#153470,#153468,#71455,.T.); #188298=EDGE_CURVE('',#153471,#153469,#71456,.T.); #188299=EDGE_CURVE('',#153470,#153471,#71457,.T.); #188300=EDGE_CURVE('',#153472,#153470,#71458,.T.); #188301=EDGE_CURVE('',#153473,#153471,#71459,.T.); #188302=EDGE_CURVE('',#153472,#153473,#71460,.T.); #188303=EDGE_CURVE('',#153474,#153472,#71461,.T.); #188304=EDGE_CURVE('',#153475,#153473,#71462,.T.); #188305=EDGE_CURVE('',#153474,#153475,#71463,.T.); #188306=EDGE_CURVE('',#153476,#153474,#71464,.T.); #188307=EDGE_CURVE('',#153477,#153475,#71465,.T.); #188308=EDGE_CURVE('',#153476,#153477,#71466,.T.); #188309=EDGE_CURVE('',#153478,#153476,#71467,.T.); #188310=EDGE_CURVE('',#153479,#153477,#71468,.T.); #188311=EDGE_CURVE('',#153478,#153479,#71469,.T.); #188312=EDGE_CURVE('',#153480,#153478,#71470,.T.); #188313=EDGE_CURVE('',#153481,#153479,#71471,.T.); #188314=EDGE_CURVE('',#153480,#153481,#71472,.T.); #188315=EDGE_CURVE('',#153482,#153480,#71473,.T.); #188316=EDGE_CURVE('',#153483,#153481,#71474,.T.); #188317=EDGE_CURVE('',#153482,#153483,#71475,.T.); #188318=EDGE_CURVE('',#153484,#153482,#71476,.T.); #188319=EDGE_CURVE('',#153485,#153483,#71477,.T.); #188320=EDGE_CURVE('',#153484,#153485,#71478,.T.); #188321=EDGE_CURVE('',#153486,#153484,#71479,.T.); #188322=EDGE_CURVE('',#153487,#153485,#71480,.T.); #188323=EDGE_CURVE('',#153486,#153487,#71481,.T.); #188324=EDGE_CURVE('',#153488,#153486,#71482,.T.); #188325=EDGE_CURVE('',#153489,#153487,#71483,.T.); #188326=EDGE_CURVE('',#153488,#153489,#71484,.T.); #188327=EDGE_CURVE('',#153490,#153488,#71485,.T.); #188328=EDGE_CURVE('',#153491,#153489,#71486,.T.); #188329=EDGE_CURVE('',#153490,#153491,#71487,.T.); #188330=EDGE_CURVE('',#153492,#153490,#71488,.T.); #188331=EDGE_CURVE('',#153493,#153491,#71489,.T.); #188332=EDGE_CURVE('',#153492,#153493,#71490,.T.); #188333=EDGE_CURVE('',#153494,#153492,#71491,.T.); #188334=EDGE_CURVE('',#153495,#153493,#71492,.T.); #188335=EDGE_CURVE('',#153494,#153495,#71493,.T.); #188336=EDGE_CURVE('',#153459,#153494,#71494,.T.); #188337=EDGE_CURVE('',#153460,#153495,#71495,.T.); #188338=EDGE_CURVE('',#153496,#153497,#71496,.T.); #188339=EDGE_CURVE('',#153497,#153498,#71497,.T.); #188340=EDGE_CURVE('',#153499,#153498,#71498,.T.); #188341=EDGE_CURVE('',#153496,#153499,#71499,.T.); #188342=EDGE_CURVE('',#153500,#153496,#71500,.T.); #188343=EDGE_CURVE('',#153501,#153499,#71501,.T.); #188344=EDGE_CURVE('',#153500,#153501,#71502,.T.); #188345=EDGE_CURVE('',#153502,#153500,#71503,.T.); #188346=EDGE_CURVE('',#153503,#153501,#71504,.T.); #188347=EDGE_CURVE('',#153502,#153503,#71505,.T.); #188348=EDGE_CURVE('',#153504,#153502,#71506,.T.); #188349=EDGE_CURVE('',#153505,#153503,#71507,.T.); #188350=EDGE_CURVE('',#153504,#153505,#71508,.T.); #188351=EDGE_CURVE('',#153506,#153504,#71509,.T.); #188352=EDGE_CURVE('',#153507,#153505,#71510,.T.); #188353=EDGE_CURVE('',#153506,#153507,#71511,.T.); #188354=EDGE_CURVE('',#153508,#153506,#71512,.T.); #188355=EDGE_CURVE('',#153509,#153507,#71513,.T.); #188356=EDGE_CURVE('',#153508,#153509,#71514,.T.); #188357=EDGE_CURVE('',#153510,#153508,#71515,.T.); #188358=EDGE_CURVE('',#153511,#153509,#71516,.T.); #188359=EDGE_CURVE('',#153510,#153511,#71517,.T.); #188360=EDGE_CURVE('',#153512,#153510,#71518,.T.); #188361=EDGE_CURVE('',#153513,#153511,#71519,.T.); #188362=EDGE_CURVE('',#153512,#153513,#71520,.T.); #188363=EDGE_CURVE('',#153514,#153512,#71521,.T.); #188364=EDGE_CURVE('',#153515,#153513,#71522,.T.); #188365=EDGE_CURVE('',#153514,#153515,#71523,.T.); #188366=EDGE_CURVE('',#153516,#153514,#71524,.T.); #188367=EDGE_CURVE('',#153517,#153515,#71525,.T.); #188368=EDGE_CURVE('',#153516,#153517,#71526,.T.); #188369=EDGE_CURVE('',#153518,#153516,#71527,.T.); #188370=EDGE_CURVE('',#153519,#153517,#71528,.T.); #188371=EDGE_CURVE('',#153518,#153519,#71529,.T.); #188372=EDGE_CURVE('',#153520,#153518,#71530,.T.); #188373=EDGE_CURVE('',#153521,#153519,#71531,.T.); #188374=EDGE_CURVE('',#153520,#153521,#71532,.T.); #188375=EDGE_CURVE('',#153522,#153520,#71533,.T.); #188376=EDGE_CURVE('',#153523,#153521,#71534,.T.); #188377=EDGE_CURVE('',#153522,#153523,#71535,.T.); #188378=EDGE_CURVE('',#153524,#153522,#71536,.T.); #188379=EDGE_CURVE('',#153525,#153523,#71537,.T.); #188380=EDGE_CURVE('',#153524,#153525,#71538,.T.); #188381=EDGE_CURVE('',#153526,#153524,#71539,.T.); #188382=EDGE_CURVE('',#153527,#153525,#71540,.T.); #188383=EDGE_CURVE('',#153526,#153527,#71541,.T.); #188384=EDGE_CURVE('',#153528,#153526,#71542,.T.); #188385=EDGE_CURVE('',#153529,#153527,#71543,.T.); #188386=EDGE_CURVE('',#153528,#153529,#71544,.T.); #188387=EDGE_CURVE('',#153530,#153528,#71545,.T.); #188388=EDGE_CURVE('',#153531,#153529,#71546,.T.); #188389=EDGE_CURVE('',#153530,#153531,#71547,.T.); #188390=EDGE_CURVE('',#153532,#153530,#71548,.T.); #188391=EDGE_CURVE('',#153533,#153531,#71549,.T.); #188392=EDGE_CURVE('',#153532,#153533,#71550,.T.); #188393=EDGE_CURVE('',#153497,#153532,#71551,.T.); #188394=EDGE_CURVE('',#153498,#153533,#71552,.T.); #188395=EDGE_CURVE('',#153534,#153535,#71553,.T.); #188396=EDGE_CURVE('',#153535,#153536,#71554,.T.); #188397=EDGE_CURVE('',#153537,#153536,#71555,.T.); #188398=EDGE_CURVE('',#153534,#153537,#71556,.T.); #188399=EDGE_CURVE('',#153538,#153534,#71557,.T.); #188400=EDGE_CURVE('',#153539,#153537,#71558,.T.); #188401=EDGE_CURVE('',#153538,#153539,#71559,.T.); #188402=EDGE_CURVE('',#153540,#153538,#71560,.T.); #188403=EDGE_CURVE('',#153541,#153539,#71561,.T.); #188404=EDGE_CURVE('',#153540,#153541,#71562,.T.); #188405=EDGE_CURVE('',#153542,#153540,#71563,.T.); #188406=EDGE_CURVE('',#153543,#153541,#71564,.T.); #188407=EDGE_CURVE('',#153542,#153543,#71565,.T.); #188408=EDGE_CURVE('',#153544,#153542,#71566,.T.); #188409=EDGE_CURVE('',#153545,#153543,#71567,.T.); #188410=EDGE_CURVE('',#153544,#153545,#71568,.T.); #188411=EDGE_CURVE('',#153546,#153544,#71569,.T.); #188412=EDGE_CURVE('',#153547,#153545,#71570,.T.); #188413=EDGE_CURVE('',#153546,#153547,#71571,.T.); #188414=EDGE_CURVE('',#153548,#153546,#71572,.T.); #188415=EDGE_CURVE('',#153549,#153547,#71573,.T.); #188416=EDGE_CURVE('',#153548,#153549,#71574,.T.); #188417=EDGE_CURVE('',#153550,#153548,#71575,.T.); #188418=EDGE_CURVE('',#153551,#153549,#71576,.T.); #188419=EDGE_CURVE('',#153550,#153551,#71577,.T.); #188420=EDGE_CURVE('',#153552,#153550,#71578,.T.); #188421=EDGE_CURVE('',#153553,#153551,#71579,.T.); #188422=EDGE_CURVE('',#153552,#153553,#71580,.T.); #188423=EDGE_CURVE('',#153554,#153552,#71581,.T.); #188424=EDGE_CURVE('',#153555,#153553,#71582,.T.); #188425=EDGE_CURVE('',#153554,#153555,#71583,.T.); #188426=EDGE_CURVE('',#153556,#153554,#71584,.T.); #188427=EDGE_CURVE('',#153557,#153555,#71585,.T.); #188428=EDGE_CURVE('',#153556,#153557,#71586,.T.); #188429=EDGE_CURVE('',#153558,#153556,#71587,.T.); #188430=EDGE_CURVE('',#153559,#153557,#71588,.T.); #188431=EDGE_CURVE('',#153558,#153559,#71589,.T.); #188432=EDGE_CURVE('',#153560,#153558,#71590,.T.); #188433=EDGE_CURVE('',#153561,#153559,#71591,.T.); #188434=EDGE_CURVE('',#153560,#153561,#71592,.T.); #188435=EDGE_CURVE('',#153562,#153560,#71593,.T.); #188436=EDGE_CURVE('',#153563,#153561,#71594,.T.); #188437=EDGE_CURVE('',#153562,#153563,#71595,.T.); #188438=EDGE_CURVE('',#153564,#153562,#71596,.T.); #188439=EDGE_CURVE('',#153565,#153563,#71597,.T.); #188440=EDGE_CURVE('',#153564,#153565,#71598,.T.); #188441=EDGE_CURVE('',#153566,#153564,#71599,.T.); #188442=EDGE_CURVE('',#153567,#153565,#71600,.T.); #188443=EDGE_CURVE('',#153566,#153567,#71601,.T.); #188444=EDGE_CURVE('',#153568,#153566,#71602,.T.); #188445=EDGE_CURVE('',#153569,#153567,#71603,.T.); #188446=EDGE_CURVE('',#153568,#153569,#71604,.T.); #188447=EDGE_CURVE('',#153570,#153568,#71605,.T.); #188448=EDGE_CURVE('',#153571,#153569,#71606,.T.); #188449=EDGE_CURVE('',#153570,#153571,#71607,.T.); #188450=EDGE_CURVE('',#153572,#153570,#71608,.T.); #188451=EDGE_CURVE('',#153573,#153571,#71609,.T.); #188452=EDGE_CURVE('',#153572,#153573,#71610,.T.); #188453=EDGE_CURVE('',#153574,#153572,#71611,.T.); #188454=EDGE_CURVE('',#153575,#153573,#71612,.T.); #188455=EDGE_CURVE('',#153574,#153575,#71613,.T.); #188456=EDGE_CURVE('',#153535,#153574,#71614,.T.); #188457=EDGE_CURVE('',#153536,#153575,#71615,.T.); #188458=EDGE_CURVE('',#153576,#153577,#71616,.T.); #188459=EDGE_CURVE('',#153577,#153578,#71617,.T.); #188460=EDGE_CURVE('',#153579,#153578,#71618,.T.); #188461=EDGE_CURVE('',#153576,#153579,#71619,.T.); #188462=EDGE_CURVE('',#153580,#153576,#71620,.T.); #188463=EDGE_CURVE('',#153581,#153579,#71621,.T.); #188464=EDGE_CURVE('',#153580,#153581,#71622,.T.); #188465=EDGE_CURVE('',#153582,#153580,#71623,.T.); #188466=EDGE_CURVE('',#153583,#153581,#71624,.T.); #188467=EDGE_CURVE('',#153582,#153583,#71625,.T.); #188468=EDGE_CURVE('',#153584,#153582,#71626,.T.); #188469=EDGE_CURVE('',#153585,#153583,#71627,.T.); #188470=EDGE_CURVE('',#153584,#153585,#71628,.T.); #188471=EDGE_CURVE('',#153586,#153584,#71629,.T.); #188472=EDGE_CURVE('',#153587,#153585,#71630,.T.); #188473=EDGE_CURVE('',#153586,#153587,#71631,.T.); #188474=EDGE_CURVE('',#153588,#153586,#71632,.T.); #188475=EDGE_CURVE('',#153589,#153587,#71633,.T.); #188476=EDGE_CURVE('',#153588,#153589,#71634,.T.); #188477=EDGE_CURVE('',#153590,#153588,#71635,.T.); #188478=EDGE_CURVE('',#153591,#153589,#71636,.T.); #188479=EDGE_CURVE('',#153590,#153591,#71637,.T.); #188480=EDGE_CURVE('',#153592,#153590,#71638,.T.); #188481=EDGE_CURVE('',#153593,#153591,#71639,.T.); #188482=EDGE_CURVE('',#153592,#153593,#71640,.T.); #188483=EDGE_CURVE('',#153594,#153592,#71641,.T.); #188484=EDGE_CURVE('',#153595,#153593,#71642,.T.); #188485=EDGE_CURVE('',#153594,#153595,#71643,.T.); #188486=EDGE_CURVE('',#153596,#153594,#71644,.T.); #188487=EDGE_CURVE('',#153597,#153595,#71645,.T.); #188488=EDGE_CURVE('',#153596,#153597,#71646,.T.); #188489=EDGE_CURVE('',#153598,#153596,#71647,.T.); #188490=EDGE_CURVE('',#153599,#153597,#71648,.T.); #188491=EDGE_CURVE('',#153598,#153599,#71649,.T.); #188492=EDGE_CURVE('',#153600,#153598,#71650,.T.); #188493=EDGE_CURVE('',#153601,#153599,#71651,.T.); #188494=EDGE_CURVE('',#153600,#153601,#71652,.T.); #188495=EDGE_CURVE('',#153602,#153600,#71653,.T.); #188496=EDGE_CURVE('',#153603,#153601,#71654,.T.); #188497=EDGE_CURVE('',#153602,#153603,#71655,.T.); #188498=EDGE_CURVE('',#153604,#153602,#71656,.T.); #188499=EDGE_CURVE('',#153605,#153603,#71657,.T.); #188500=EDGE_CURVE('',#153604,#153605,#71658,.T.); #188501=EDGE_CURVE('',#153606,#153604,#71659,.T.); #188502=EDGE_CURVE('',#153607,#153605,#71660,.T.); #188503=EDGE_CURVE('',#153606,#153607,#71661,.T.); #188504=EDGE_CURVE('',#153608,#153606,#71662,.T.); #188505=EDGE_CURVE('',#153609,#153607,#71663,.T.); #188506=EDGE_CURVE('',#153608,#153609,#71664,.T.); #188507=EDGE_CURVE('',#153610,#153608,#71665,.T.); #188508=EDGE_CURVE('',#153611,#153609,#71666,.T.); #188509=EDGE_CURVE('',#153610,#153611,#71667,.T.); #188510=EDGE_CURVE('',#153612,#153610,#71668,.T.); #188511=EDGE_CURVE('',#153613,#153611,#71669,.T.); #188512=EDGE_CURVE('',#153612,#153613,#71670,.T.); #188513=EDGE_CURVE('',#153614,#153612,#71671,.T.); #188514=EDGE_CURVE('',#153615,#153613,#71672,.T.); #188515=EDGE_CURVE('',#153614,#153615,#71673,.T.); #188516=EDGE_CURVE('',#153577,#153614,#71674,.T.); #188517=EDGE_CURVE('',#153578,#153615,#71675,.T.); #188518=EDGE_CURVE('',#153616,#153617,#71676,.T.); #188519=EDGE_CURVE('',#153617,#153618,#71677,.T.); #188520=EDGE_CURVE('',#153619,#153618,#71678,.T.); #188521=EDGE_CURVE('',#153616,#153619,#71679,.T.); #188522=EDGE_CURVE('',#153620,#153616,#71680,.T.); #188523=EDGE_CURVE('',#153621,#153619,#71681,.T.); #188524=EDGE_CURVE('',#153620,#153621,#71682,.T.); #188525=EDGE_CURVE('',#153622,#153620,#71683,.T.); #188526=EDGE_CURVE('',#153623,#153621,#71684,.T.); #188527=EDGE_CURVE('',#153622,#153623,#71685,.T.); #188528=EDGE_CURVE('',#153624,#153622,#71686,.T.); #188529=EDGE_CURVE('',#153625,#153623,#71687,.T.); #188530=EDGE_CURVE('',#153624,#153625,#71688,.T.); #188531=EDGE_CURVE('',#153626,#153624,#71689,.T.); #188532=EDGE_CURVE('',#153627,#153625,#71690,.T.); #188533=EDGE_CURVE('',#153626,#153627,#71691,.T.); #188534=EDGE_CURVE('',#153628,#153626,#71692,.T.); #188535=EDGE_CURVE('',#153629,#153627,#71693,.T.); #188536=EDGE_CURVE('',#153628,#153629,#71694,.T.); #188537=EDGE_CURVE('',#153630,#153628,#71695,.T.); #188538=EDGE_CURVE('',#153631,#153629,#71696,.T.); #188539=EDGE_CURVE('',#153630,#153631,#71697,.T.); #188540=EDGE_CURVE('',#153632,#153630,#71698,.T.); #188541=EDGE_CURVE('',#153633,#153631,#71699,.T.); #188542=EDGE_CURVE('',#153632,#153633,#71700,.T.); #188543=EDGE_CURVE('',#153634,#153632,#71701,.T.); #188544=EDGE_CURVE('',#153635,#153633,#71702,.T.); #188545=EDGE_CURVE('',#153634,#153635,#71703,.T.); #188546=EDGE_CURVE('',#153636,#153634,#71704,.T.); #188547=EDGE_CURVE('',#153637,#153635,#71705,.T.); #188548=EDGE_CURVE('',#153636,#153637,#71706,.T.); #188549=EDGE_CURVE('',#153638,#153636,#71707,.T.); #188550=EDGE_CURVE('',#153639,#153637,#71708,.T.); #188551=EDGE_CURVE('',#153638,#153639,#71709,.T.); #188552=EDGE_CURVE('',#153640,#153638,#71710,.T.); #188553=EDGE_CURVE('',#153641,#153639,#71711,.T.); #188554=EDGE_CURVE('',#153640,#153641,#71712,.T.); #188555=EDGE_CURVE('',#153642,#153640,#71713,.T.); #188556=EDGE_CURVE('',#153643,#153641,#71714,.T.); #188557=EDGE_CURVE('',#153642,#153643,#71715,.T.); #188558=EDGE_CURVE('',#153644,#153642,#71716,.T.); #188559=EDGE_CURVE('',#153645,#153643,#71717,.T.); #188560=EDGE_CURVE('',#153644,#153645,#71718,.T.); #188561=EDGE_CURVE('',#153646,#153644,#71719,.T.); #188562=EDGE_CURVE('',#153647,#153645,#71720,.T.); #188563=EDGE_CURVE('',#153646,#153647,#71721,.T.); #188564=EDGE_CURVE('',#153648,#153646,#71722,.T.); #188565=EDGE_CURVE('',#153649,#153647,#71723,.T.); #188566=EDGE_CURVE('',#153648,#153649,#71724,.T.); #188567=EDGE_CURVE('',#153650,#153648,#71725,.T.); #188568=EDGE_CURVE('',#153651,#153649,#71726,.T.); #188569=EDGE_CURVE('',#153650,#153651,#71727,.T.); #188570=EDGE_CURVE('',#153652,#153650,#71728,.T.); #188571=EDGE_CURVE('',#153653,#153651,#71729,.T.); #188572=EDGE_CURVE('',#153652,#153653,#71730,.T.); #188573=EDGE_CURVE('',#153654,#153652,#71731,.T.); #188574=EDGE_CURVE('',#153655,#153653,#71732,.T.); #188575=EDGE_CURVE('',#153654,#153655,#71733,.T.); #188576=EDGE_CURVE('',#153656,#153654,#71734,.T.); #188577=EDGE_CURVE('',#153657,#153655,#71735,.T.); #188578=EDGE_CURVE('',#153656,#153657,#71736,.T.); #188579=EDGE_CURVE('',#153658,#153656,#71737,.T.); #188580=EDGE_CURVE('',#153659,#153657,#71738,.T.); #188581=EDGE_CURVE('',#153658,#153659,#71739,.T.); #188582=EDGE_CURVE('',#153660,#153658,#71740,.T.); #188583=EDGE_CURVE('',#153661,#153659,#71741,.T.); #188584=EDGE_CURVE('',#153660,#153661,#71742,.T.); #188585=EDGE_CURVE('',#153662,#153660,#71743,.T.); #188586=EDGE_CURVE('',#153663,#153661,#71744,.T.); #188587=EDGE_CURVE('',#153662,#153663,#71745,.T.); #188588=EDGE_CURVE('',#153664,#153662,#71746,.T.); #188589=EDGE_CURVE('',#153665,#153663,#71747,.T.); #188590=EDGE_CURVE('',#153664,#153665,#71748,.T.); #188591=EDGE_CURVE('',#153666,#153664,#71749,.T.); #188592=EDGE_CURVE('',#153667,#153665,#71750,.T.); #188593=EDGE_CURVE('',#153666,#153667,#71751,.T.); #188594=EDGE_CURVE('',#153668,#153666,#71752,.T.); #188595=EDGE_CURVE('',#153669,#153667,#71753,.T.); #188596=EDGE_CURVE('',#153668,#153669,#71754,.T.); #188597=EDGE_CURVE('',#153670,#153668,#71755,.T.); #188598=EDGE_CURVE('',#153671,#153669,#71756,.T.); #188599=EDGE_CURVE('',#153670,#153671,#71757,.T.); #188600=EDGE_CURVE('',#153672,#153670,#71758,.T.); #188601=EDGE_CURVE('',#153673,#153671,#71759,.T.); #188602=EDGE_CURVE('',#153672,#153673,#71760,.T.); #188603=EDGE_CURVE('',#153674,#153672,#71761,.T.); #188604=EDGE_CURVE('',#153675,#153673,#71762,.T.); #188605=EDGE_CURVE('',#153674,#153675,#71763,.T.); #188606=EDGE_CURVE('',#153676,#153674,#71764,.T.); #188607=EDGE_CURVE('',#153677,#153675,#71765,.T.); #188608=EDGE_CURVE('',#153676,#153677,#71766,.T.); #188609=EDGE_CURVE('',#153678,#153676,#71767,.T.); #188610=EDGE_CURVE('',#153679,#153677,#71768,.T.); #188611=EDGE_CURVE('',#153678,#153679,#71769,.T.); #188612=EDGE_CURVE('',#153680,#153678,#71770,.T.); #188613=EDGE_CURVE('',#153681,#153679,#71771,.T.); #188614=EDGE_CURVE('',#153680,#153681,#71772,.T.); #188615=EDGE_CURVE('',#153682,#153680,#71773,.T.); #188616=EDGE_CURVE('',#153683,#153681,#71774,.T.); #188617=EDGE_CURVE('',#153682,#153683,#71775,.T.); #188618=EDGE_CURVE('',#153684,#153682,#71776,.T.); #188619=EDGE_CURVE('',#153685,#153683,#71777,.T.); #188620=EDGE_CURVE('',#153684,#153685,#71778,.T.); #188621=EDGE_CURVE('',#153686,#153684,#71779,.T.); #188622=EDGE_CURVE('',#153687,#153685,#71780,.T.); #188623=EDGE_CURVE('',#153686,#153687,#71781,.T.); #188624=EDGE_CURVE('',#153688,#153686,#71782,.T.); #188625=EDGE_CURVE('',#153689,#153687,#71783,.T.); #188626=EDGE_CURVE('',#153688,#153689,#71784,.T.); #188627=EDGE_CURVE('',#153617,#153688,#71785,.T.); #188628=EDGE_CURVE('',#153618,#153689,#71786,.T.); #188629=EDGE_CURVE('',#153690,#153691,#71787,.T.); #188630=EDGE_CURVE('',#153691,#153692,#71788,.T.); #188631=EDGE_CURVE('',#153693,#153692,#71789,.T.); #188632=EDGE_CURVE('',#153690,#153693,#71790,.T.); #188633=EDGE_CURVE('',#153694,#153690,#71791,.T.); #188634=EDGE_CURVE('',#153695,#153693,#71792,.T.); #188635=EDGE_CURVE('',#153694,#153695,#71793,.T.); #188636=EDGE_CURVE('',#153696,#153694,#71794,.T.); #188637=EDGE_CURVE('',#153697,#153695,#71795,.T.); #188638=EDGE_CURVE('',#153696,#153697,#71796,.T.); #188639=EDGE_CURVE('',#153698,#153696,#71797,.T.); #188640=EDGE_CURVE('',#153699,#153697,#71798,.T.); #188641=EDGE_CURVE('',#153698,#153699,#71799,.T.); #188642=EDGE_CURVE('',#153700,#153698,#71800,.T.); #188643=EDGE_CURVE('',#153701,#153699,#71801,.T.); #188644=EDGE_CURVE('',#153700,#153701,#71802,.T.); #188645=EDGE_CURVE('',#153702,#153700,#71803,.T.); #188646=EDGE_CURVE('',#153703,#153701,#71804,.T.); #188647=EDGE_CURVE('',#153702,#153703,#71805,.T.); #188648=EDGE_CURVE('',#153704,#153702,#71806,.T.); #188649=EDGE_CURVE('',#153705,#153703,#71807,.T.); #188650=EDGE_CURVE('',#153704,#153705,#71808,.T.); #188651=EDGE_CURVE('',#153706,#153704,#71809,.T.); #188652=EDGE_CURVE('',#153707,#153705,#71810,.T.); #188653=EDGE_CURVE('',#153706,#153707,#71811,.T.); #188654=EDGE_CURVE('',#153708,#153706,#71812,.T.); #188655=EDGE_CURVE('',#153709,#153707,#71813,.T.); #188656=EDGE_CURVE('',#153708,#153709,#71814,.T.); #188657=EDGE_CURVE('',#153710,#153708,#71815,.T.); #188658=EDGE_CURVE('',#153711,#153709,#71816,.T.); #188659=EDGE_CURVE('',#153710,#153711,#71817,.T.); #188660=EDGE_CURVE('',#153712,#153710,#71818,.T.); #188661=EDGE_CURVE('',#153713,#153711,#71819,.T.); #188662=EDGE_CURVE('',#153712,#153713,#71820,.T.); #188663=EDGE_CURVE('',#153714,#153712,#71821,.T.); #188664=EDGE_CURVE('',#153715,#153713,#71822,.T.); #188665=EDGE_CURVE('',#153714,#153715,#71823,.T.); #188666=EDGE_CURVE('',#153716,#153714,#71824,.T.); #188667=EDGE_CURVE('',#153717,#153715,#71825,.T.); #188668=EDGE_CURVE('',#153716,#153717,#71826,.T.); #188669=EDGE_CURVE('',#153718,#153716,#71827,.T.); #188670=EDGE_CURVE('',#153719,#153717,#71828,.T.); #188671=EDGE_CURVE('',#153718,#153719,#71829,.T.); #188672=EDGE_CURVE('',#153720,#153718,#71830,.T.); #188673=EDGE_CURVE('',#153721,#153719,#71831,.T.); #188674=EDGE_CURVE('',#153720,#153721,#71832,.T.); #188675=EDGE_CURVE('',#153722,#153720,#71833,.T.); #188676=EDGE_CURVE('',#153723,#153721,#71834,.T.); #188677=EDGE_CURVE('',#153722,#153723,#71835,.T.); #188678=EDGE_CURVE('',#153724,#153722,#71836,.T.); #188679=EDGE_CURVE('',#153725,#153723,#71837,.T.); #188680=EDGE_CURVE('',#153724,#153725,#71838,.T.); #188681=EDGE_CURVE('',#153726,#153724,#71839,.T.); #188682=EDGE_CURVE('',#153727,#153725,#71840,.T.); #188683=EDGE_CURVE('',#153726,#153727,#71841,.T.); #188684=EDGE_CURVE('',#153728,#153726,#71842,.T.); #188685=EDGE_CURVE('',#153729,#153727,#71843,.T.); #188686=EDGE_CURVE('',#153728,#153729,#71844,.T.); #188687=EDGE_CURVE('',#153691,#153728,#71845,.T.); #188688=EDGE_CURVE('',#153692,#153729,#71846,.T.); #188689=EDGE_CURVE('',#153730,#153731,#71847,.T.); #188690=EDGE_CURVE('',#153731,#153732,#71848,.T.); #188691=EDGE_CURVE('',#153733,#153732,#71849,.T.); #188692=EDGE_CURVE('',#153730,#153733,#71850,.T.); #188693=EDGE_CURVE('',#153734,#153730,#71851,.T.); #188694=EDGE_CURVE('',#153735,#153733,#71852,.T.); #188695=EDGE_CURVE('',#153734,#153735,#71853,.T.); #188696=EDGE_CURVE('',#153736,#153734,#71854,.T.); #188697=EDGE_CURVE('',#153737,#153735,#71855,.T.); #188698=EDGE_CURVE('',#153736,#153737,#71856,.T.); #188699=EDGE_CURVE('',#153738,#153736,#71857,.T.); #188700=EDGE_CURVE('',#153739,#153737,#71858,.T.); #188701=EDGE_CURVE('',#153738,#153739,#71859,.T.); #188702=EDGE_CURVE('',#153740,#153738,#71860,.T.); #188703=EDGE_CURVE('',#153741,#153739,#71861,.T.); #188704=EDGE_CURVE('',#153740,#153741,#71862,.T.); #188705=EDGE_CURVE('',#153742,#153740,#71863,.T.); #188706=EDGE_CURVE('',#153743,#153741,#71864,.T.); #188707=EDGE_CURVE('',#153742,#153743,#71865,.T.); #188708=EDGE_CURVE('',#153744,#153742,#71866,.T.); #188709=EDGE_CURVE('',#153745,#153743,#71867,.T.); #188710=EDGE_CURVE('',#153744,#153745,#71868,.T.); #188711=EDGE_CURVE('',#153746,#153744,#71869,.T.); #188712=EDGE_CURVE('',#153747,#153745,#71870,.T.); #188713=EDGE_CURVE('',#153746,#153747,#71871,.T.); #188714=EDGE_CURVE('',#153748,#153746,#71872,.T.); #188715=EDGE_CURVE('',#153749,#153747,#71873,.T.); #188716=EDGE_CURVE('',#153748,#153749,#71874,.T.); #188717=EDGE_CURVE('',#153750,#153748,#71875,.T.); #188718=EDGE_CURVE('',#153751,#153749,#71876,.T.); #188719=EDGE_CURVE('',#153750,#153751,#71877,.T.); #188720=EDGE_CURVE('',#153752,#153750,#71878,.T.); #188721=EDGE_CURVE('',#153753,#153751,#71879,.T.); #188722=EDGE_CURVE('',#153752,#153753,#71880,.T.); #188723=EDGE_CURVE('',#153754,#153752,#71881,.T.); #188724=EDGE_CURVE('',#153755,#153753,#71882,.T.); #188725=EDGE_CURVE('',#153754,#153755,#71883,.T.); #188726=EDGE_CURVE('',#153756,#153754,#71884,.T.); #188727=EDGE_CURVE('',#153757,#153755,#71885,.T.); #188728=EDGE_CURVE('',#153756,#153757,#71886,.T.); #188729=EDGE_CURVE('',#153758,#153756,#71887,.T.); #188730=EDGE_CURVE('',#153759,#153757,#71888,.T.); #188731=EDGE_CURVE('',#153758,#153759,#71889,.T.); #188732=EDGE_CURVE('',#153760,#153758,#71890,.T.); #188733=EDGE_CURVE('',#153761,#153759,#71891,.T.); #188734=EDGE_CURVE('',#153760,#153761,#71892,.T.); #188735=EDGE_CURVE('',#153762,#153760,#71893,.T.); #188736=EDGE_CURVE('',#153763,#153761,#71894,.T.); #188737=EDGE_CURVE('',#153762,#153763,#71895,.T.); #188738=EDGE_CURVE('',#153764,#153762,#71896,.T.); #188739=EDGE_CURVE('',#153765,#153763,#71897,.T.); #188740=EDGE_CURVE('',#153764,#153765,#71898,.T.); #188741=EDGE_CURVE('',#153766,#153764,#71899,.T.); #188742=EDGE_CURVE('',#153767,#153765,#71900,.T.); #188743=EDGE_CURVE('',#153766,#153767,#71901,.T.); #188744=EDGE_CURVE('',#153768,#153766,#71902,.T.); #188745=EDGE_CURVE('',#153769,#153767,#71903,.T.); #188746=EDGE_CURVE('',#153768,#153769,#71904,.T.); #188747=EDGE_CURVE('',#153770,#153768,#71905,.T.); #188748=EDGE_CURVE('',#153771,#153769,#71906,.T.); #188749=EDGE_CURVE('',#153770,#153771,#71907,.T.); #188750=EDGE_CURVE('',#153772,#153770,#71908,.T.); #188751=EDGE_CURVE('',#153773,#153771,#71909,.T.); #188752=EDGE_CURVE('',#153772,#153773,#71910,.T.); #188753=EDGE_CURVE('',#153774,#153772,#71911,.T.); #188754=EDGE_CURVE('',#153775,#153773,#71912,.T.); #188755=EDGE_CURVE('',#153774,#153775,#71913,.T.); #188756=EDGE_CURVE('',#153776,#153774,#71914,.T.); #188757=EDGE_CURVE('',#153777,#153775,#71915,.T.); #188758=EDGE_CURVE('',#153776,#153777,#71916,.T.); #188759=EDGE_CURVE('',#153778,#153776,#71917,.T.); #188760=EDGE_CURVE('',#153779,#153777,#71918,.T.); #188761=EDGE_CURVE('',#153778,#153779,#71919,.T.); #188762=EDGE_CURVE('',#153780,#153778,#71920,.T.); #188763=EDGE_CURVE('',#153781,#153779,#71921,.T.); #188764=EDGE_CURVE('',#153780,#153781,#71922,.T.); #188765=EDGE_CURVE('',#153782,#153780,#71923,.T.); #188766=EDGE_CURVE('',#153783,#153781,#71924,.T.); #188767=EDGE_CURVE('',#153782,#153783,#71925,.T.); #188768=EDGE_CURVE('',#153784,#153782,#71926,.T.); #188769=EDGE_CURVE('',#153785,#153783,#71927,.T.); #188770=EDGE_CURVE('',#153784,#153785,#71928,.T.); #188771=EDGE_CURVE('',#153786,#153784,#71929,.T.); #188772=EDGE_CURVE('',#153787,#153785,#71930,.T.); #188773=EDGE_CURVE('',#153786,#153787,#71931,.T.); #188774=EDGE_CURVE('',#153788,#153786,#71932,.T.); #188775=EDGE_CURVE('',#153789,#153787,#71933,.T.); #188776=EDGE_CURVE('',#153788,#153789,#71934,.T.); #188777=EDGE_CURVE('',#153790,#153788,#71935,.T.); #188778=EDGE_CURVE('',#153791,#153789,#71936,.T.); #188779=EDGE_CURVE('',#153790,#153791,#71937,.T.); #188780=EDGE_CURVE('',#153792,#153790,#71938,.T.); #188781=EDGE_CURVE('',#153793,#153791,#71939,.T.); #188782=EDGE_CURVE('',#153792,#153793,#71940,.T.); #188783=EDGE_CURVE('',#153794,#153792,#71941,.T.); #188784=EDGE_CURVE('',#153795,#153793,#71942,.T.); #188785=EDGE_CURVE('',#153794,#153795,#71943,.T.); #188786=EDGE_CURVE('',#153796,#153794,#71944,.T.); #188787=EDGE_CURVE('',#153797,#153795,#71945,.T.); #188788=EDGE_CURVE('',#153796,#153797,#71946,.T.); #188789=EDGE_CURVE('',#153798,#153796,#71947,.T.); #188790=EDGE_CURVE('',#153799,#153797,#71948,.T.); #188791=EDGE_CURVE('',#153798,#153799,#71949,.T.); #188792=EDGE_CURVE('',#153800,#153798,#71950,.T.); #188793=EDGE_CURVE('',#153801,#153799,#71951,.T.); #188794=EDGE_CURVE('',#153800,#153801,#71952,.T.); #188795=EDGE_CURVE('',#153802,#153800,#71953,.T.); #188796=EDGE_CURVE('',#153803,#153801,#71954,.T.); #188797=EDGE_CURVE('',#153802,#153803,#71955,.T.); #188798=EDGE_CURVE('',#153804,#153802,#71956,.T.); #188799=EDGE_CURVE('',#153805,#153803,#71957,.T.); #188800=EDGE_CURVE('',#153804,#153805,#71958,.T.); #188801=EDGE_CURVE('',#153806,#153804,#71959,.T.); #188802=EDGE_CURVE('',#153807,#153805,#71960,.T.); #188803=EDGE_CURVE('',#153806,#153807,#71961,.T.); #188804=EDGE_CURVE('',#153808,#153806,#71962,.T.); #188805=EDGE_CURVE('',#153809,#153807,#71963,.T.); #188806=EDGE_CURVE('',#153808,#153809,#71964,.T.); #188807=EDGE_CURVE('',#153810,#153808,#71965,.T.); #188808=EDGE_CURVE('',#153811,#153809,#71966,.T.); #188809=EDGE_CURVE('',#153810,#153811,#71967,.T.); #188810=EDGE_CURVE('',#153812,#153810,#71968,.T.); #188811=EDGE_CURVE('',#153813,#153811,#71969,.T.); #188812=EDGE_CURVE('',#153812,#153813,#71970,.T.); #188813=EDGE_CURVE('',#153814,#153812,#71971,.T.); #188814=EDGE_CURVE('',#153815,#153813,#71972,.T.); #188815=EDGE_CURVE('',#153814,#153815,#71973,.T.); #188816=EDGE_CURVE('',#153816,#153814,#71974,.T.); #188817=EDGE_CURVE('',#153817,#153815,#71975,.T.); #188818=EDGE_CURVE('',#153816,#153817,#71976,.T.); #188819=EDGE_CURVE('',#153818,#153816,#71977,.T.); #188820=EDGE_CURVE('',#153819,#153817,#71978,.T.); #188821=EDGE_CURVE('',#153818,#153819,#71979,.T.); #188822=EDGE_CURVE('',#153820,#153818,#71980,.T.); #188823=EDGE_CURVE('',#153821,#153819,#71981,.T.); #188824=EDGE_CURVE('',#153820,#153821,#71982,.T.); #188825=EDGE_CURVE('',#153822,#153820,#71983,.T.); #188826=EDGE_CURVE('',#153823,#153821,#71984,.T.); #188827=EDGE_CURVE('',#153822,#153823,#71985,.T.); #188828=EDGE_CURVE('',#153824,#153822,#71986,.T.); #188829=EDGE_CURVE('',#153825,#153823,#71987,.T.); #188830=EDGE_CURVE('',#153824,#153825,#71988,.T.); #188831=EDGE_CURVE('',#153731,#153824,#71989,.T.); #188832=EDGE_CURVE('',#153732,#153825,#71990,.T.); #188833=EDGE_CURVE('',#153826,#153827,#71991,.T.); #188834=EDGE_CURVE('',#153827,#153828,#71992,.T.); #188835=EDGE_CURVE('',#153829,#153828,#71993,.T.); #188836=EDGE_CURVE('',#153826,#153829,#71994,.T.); #188837=EDGE_CURVE('',#153830,#153826,#71995,.T.); #188838=EDGE_CURVE('',#153831,#153829,#71996,.T.); #188839=EDGE_CURVE('',#153830,#153831,#71997,.T.); #188840=EDGE_CURVE('',#153832,#153830,#71998,.T.); #188841=EDGE_CURVE('',#153833,#153831,#71999,.T.); #188842=EDGE_CURVE('',#153832,#153833,#72000,.T.); #188843=EDGE_CURVE('',#153834,#153832,#72001,.T.); #188844=EDGE_CURVE('',#153835,#153833,#72002,.T.); #188845=EDGE_CURVE('',#153834,#153835,#72003,.T.); #188846=EDGE_CURVE('',#153836,#153834,#72004,.T.); #188847=EDGE_CURVE('',#153837,#153835,#72005,.T.); #188848=EDGE_CURVE('',#153836,#153837,#72006,.T.); #188849=EDGE_CURVE('',#153838,#153836,#72007,.T.); #188850=EDGE_CURVE('',#153839,#153837,#72008,.T.); #188851=EDGE_CURVE('',#153838,#153839,#72009,.T.); #188852=EDGE_CURVE('',#153840,#153838,#72010,.T.); #188853=EDGE_CURVE('',#153841,#153839,#72011,.T.); #188854=EDGE_CURVE('',#153840,#153841,#72012,.T.); #188855=EDGE_CURVE('',#153842,#153840,#72013,.T.); #188856=EDGE_CURVE('',#153843,#153841,#72014,.T.); #188857=EDGE_CURVE('',#153842,#153843,#72015,.T.); #188858=EDGE_CURVE('',#153844,#153842,#72016,.T.); #188859=EDGE_CURVE('',#153845,#153843,#72017,.T.); #188860=EDGE_CURVE('',#153844,#153845,#72018,.T.); #188861=EDGE_CURVE('',#153846,#153844,#72019,.T.); #188862=EDGE_CURVE('',#153847,#153845,#72020,.T.); #188863=EDGE_CURVE('',#153846,#153847,#72021,.T.); #188864=EDGE_CURVE('',#153848,#153846,#72022,.T.); #188865=EDGE_CURVE('',#153849,#153847,#72023,.T.); #188866=EDGE_CURVE('',#153848,#153849,#72024,.T.); #188867=EDGE_CURVE('',#153850,#153848,#72025,.T.); #188868=EDGE_CURVE('',#153851,#153849,#72026,.T.); #188869=EDGE_CURVE('',#153850,#153851,#72027,.T.); #188870=EDGE_CURVE('',#153852,#153850,#72028,.T.); #188871=EDGE_CURVE('',#153853,#153851,#72029,.T.); #188872=EDGE_CURVE('',#153852,#153853,#72030,.T.); #188873=EDGE_CURVE('',#153854,#153852,#72031,.T.); #188874=EDGE_CURVE('',#153855,#153853,#72032,.T.); #188875=EDGE_CURVE('',#153854,#153855,#72033,.T.); #188876=EDGE_CURVE('',#153856,#153854,#72034,.T.); #188877=EDGE_CURVE('',#153857,#153855,#72035,.T.); #188878=EDGE_CURVE('',#153856,#153857,#72036,.T.); #188879=EDGE_CURVE('',#153858,#153856,#72037,.T.); #188880=EDGE_CURVE('',#153859,#153857,#72038,.T.); #188881=EDGE_CURVE('',#153858,#153859,#72039,.T.); #188882=EDGE_CURVE('',#153860,#153858,#72040,.T.); #188883=EDGE_CURVE('',#153861,#153859,#72041,.T.); #188884=EDGE_CURVE('',#153860,#153861,#72042,.T.); #188885=EDGE_CURVE('',#153862,#153860,#72043,.T.); #188886=EDGE_CURVE('',#153863,#153861,#72044,.T.); #188887=EDGE_CURVE('',#153862,#153863,#72045,.T.); #188888=EDGE_CURVE('',#153864,#153862,#72046,.T.); #188889=EDGE_CURVE('',#153865,#153863,#72047,.T.); #188890=EDGE_CURVE('',#153864,#153865,#72048,.T.); #188891=EDGE_CURVE('',#153827,#153864,#72049,.T.); #188892=EDGE_CURVE('',#153828,#153865,#72050,.T.); #188893=EDGE_CURVE('',#153866,#153867,#72051,.T.); #188894=EDGE_CURVE('',#153867,#153868,#72052,.T.); #188895=EDGE_CURVE('',#153869,#153868,#72053,.T.); #188896=EDGE_CURVE('',#153866,#153869,#72054,.T.); #188897=EDGE_CURVE('',#153870,#153866,#72055,.T.); #188898=EDGE_CURVE('',#153871,#153869,#72056,.T.); #188899=EDGE_CURVE('',#153870,#153871,#72057,.T.); #188900=EDGE_CURVE('',#153872,#153870,#72058,.T.); #188901=EDGE_CURVE('',#153873,#153871,#72059,.T.); #188902=EDGE_CURVE('',#153872,#153873,#72060,.T.); #188903=EDGE_CURVE('',#153874,#153872,#72061,.T.); #188904=EDGE_CURVE('',#153875,#153873,#72062,.T.); #188905=EDGE_CURVE('',#153874,#153875,#72063,.T.); #188906=EDGE_CURVE('',#153876,#153874,#72064,.T.); #188907=EDGE_CURVE('',#153877,#153875,#72065,.T.); #188908=EDGE_CURVE('',#153876,#153877,#72066,.T.); #188909=EDGE_CURVE('',#153878,#153876,#72067,.T.); #188910=EDGE_CURVE('',#153879,#153877,#72068,.T.); #188911=EDGE_CURVE('',#153878,#153879,#72069,.T.); #188912=EDGE_CURVE('',#153880,#153878,#72070,.T.); #188913=EDGE_CURVE('',#153881,#153879,#72071,.T.); #188914=EDGE_CURVE('',#153880,#153881,#72072,.T.); #188915=EDGE_CURVE('',#153882,#153880,#72073,.T.); #188916=EDGE_CURVE('',#153883,#153881,#72074,.T.); #188917=EDGE_CURVE('',#153882,#153883,#72075,.T.); #188918=EDGE_CURVE('',#153884,#153882,#72076,.T.); #188919=EDGE_CURVE('',#153885,#153883,#72077,.T.); #188920=EDGE_CURVE('',#153884,#153885,#72078,.T.); #188921=EDGE_CURVE('',#153886,#153884,#72079,.T.); #188922=EDGE_CURVE('',#153887,#153885,#72080,.T.); #188923=EDGE_CURVE('',#153886,#153887,#72081,.T.); #188924=EDGE_CURVE('',#153888,#153886,#72082,.T.); #188925=EDGE_CURVE('',#153889,#153887,#72083,.T.); #188926=EDGE_CURVE('',#153888,#153889,#72084,.T.); #188927=EDGE_CURVE('',#153890,#153888,#72085,.T.); #188928=EDGE_CURVE('',#153891,#153889,#72086,.T.); #188929=EDGE_CURVE('',#153890,#153891,#72087,.T.); #188930=EDGE_CURVE('',#153892,#153890,#72088,.T.); #188931=EDGE_CURVE('',#153893,#153891,#72089,.T.); #188932=EDGE_CURVE('',#153892,#153893,#72090,.T.); #188933=EDGE_CURVE('',#153894,#153892,#72091,.T.); #188934=EDGE_CURVE('',#153895,#153893,#72092,.T.); #188935=EDGE_CURVE('',#153894,#153895,#72093,.T.); #188936=EDGE_CURVE('',#153896,#153894,#72094,.T.); #188937=EDGE_CURVE('',#153897,#153895,#72095,.T.); #188938=EDGE_CURVE('',#153896,#153897,#72096,.T.); #188939=EDGE_CURVE('',#153898,#153896,#72097,.T.); #188940=EDGE_CURVE('',#153899,#153897,#72098,.T.); #188941=EDGE_CURVE('',#153898,#153899,#72099,.T.); #188942=EDGE_CURVE('',#153900,#153898,#72100,.T.); #188943=EDGE_CURVE('',#153901,#153899,#72101,.T.); #188944=EDGE_CURVE('',#153900,#153901,#72102,.T.); #188945=EDGE_CURVE('',#153902,#153900,#72103,.T.); #188946=EDGE_CURVE('',#153903,#153901,#72104,.T.); #188947=EDGE_CURVE('',#153902,#153903,#72105,.T.); #188948=EDGE_CURVE('',#153904,#153902,#72106,.T.); #188949=EDGE_CURVE('',#153905,#153903,#72107,.T.); #188950=EDGE_CURVE('',#153904,#153905,#72108,.T.); #188951=EDGE_CURVE('',#153906,#153904,#72109,.T.); #188952=EDGE_CURVE('',#153907,#153905,#72110,.T.); #188953=EDGE_CURVE('',#153906,#153907,#72111,.T.); #188954=EDGE_CURVE('',#153908,#153906,#72112,.T.); #188955=EDGE_CURVE('',#153909,#153907,#72113,.T.); #188956=EDGE_CURVE('',#153908,#153909,#72114,.T.); #188957=EDGE_CURVE('',#153910,#153908,#72115,.T.); #188958=EDGE_CURVE('',#153911,#153909,#72116,.T.); #188959=EDGE_CURVE('',#153910,#153911,#72117,.T.); #188960=EDGE_CURVE('',#153912,#153910,#72118,.T.); #188961=EDGE_CURVE('',#153913,#153911,#72119,.T.); #188962=EDGE_CURVE('',#153912,#153913,#72120,.T.); #188963=EDGE_CURVE('',#153914,#153912,#72121,.T.); #188964=EDGE_CURVE('',#153915,#153913,#72122,.T.); #188965=EDGE_CURVE('',#153914,#153915,#72123,.T.); #188966=EDGE_CURVE('',#153916,#153914,#72124,.T.); #188967=EDGE_CURVE('',#153917,#153915,#72125,.T.); #188968=EDGE_CURVE('',#153916,#153917,#72126,.T.); #188969=EDGE_CURVE('',#153918,#153916,#72127,.T.); #188970=EDGE_CURVE('',#153919,#153917,#72128,.T.); #188971=EDGE_CURVE('',#153918,#153919,#72129,.T.); #188972=EDGE_CURVE('',#153920,#153918,#72130,.T.); #188973=EDGE_CURVE('',#153921,#153919,#72131,.T.); #188974=EDGE_CURVE('',#153920,#153921,#72132,.T.); #188975=EDGE_CURVE('',#153922,#153920,#72133,.T.); #188976=EDGE_CURVE('',#153923,#153921,#72134,.T.); #188977=EDGE_CURVE('',#153922,#153923,#72135,.T.); #188978=EDGE_CURVE('',#153924,#153922,#72136,.T.); #188979=EDGE_CURVE('',#153925,#153923,#72137,.T.); #188980=EDGE_CURVE('',#153924,#153925,#72138,.T.); #188981=EDGE_CURVE('',#153926,#153924,#72139,.T.); #188982=EDGE_CURVE('',#153927,#153925,#72140,.T.); #188983=EDGE_CURVE('',#153926,#153927,#72141,.T.); #188984=EDGE_CURVE('',#153867,#153926,#72142,.T.); #188985=EDGE_CURVE('',#153868,#153927,#72143,.T.); #188986=EDGE_CURVE('',#153928,#153929,#72144,.T.); #188987=EDGE_CURVE('',#153929,#153930,#72145,.T.); #188988=EDGE_CURVE('',#153931,#153930,#72146,.T.); #188989=EDGE_CURVE('',#153928,#153931,#72147,.T.); #188990=EDGE_CURVE('',#153932,#153928,#72148,.T.); #188991=EDGE_CURVE('',#153933,#153931,#72149,.T.); #188992=EDGE_CURVE('',#153932,#153933,#72150,.T.); #188993=EDGE_CURVE('',#153934,#153932,#72151,.T.); #188994=EDGE_CURVE('',#153935,#153933,#72152,.T.); #188995=EDGE_CURVE('',#153934,#153935,#72153,.T.); #188996=EDGE_CURVE('',#153936,#153934,#72154,.T.); #188997=EDGE_CURVE('',#153937,#153935,#72155,.T.); #188998=EDGE_CURVE('',#153936,#153937,#72156,.T.); #188999=EDGE_CURVE('',#153938,#153936,#72157,.T.); #189000=EDGE_CURVE('',#153939,#153937,#72158,.T.); #189001=EDGE_CURVE('',#153938,#153939,#72159,.T.); #189002=EDGE_CURVE('',#153940,#153938,#72160,.T.); #189003=EDGE_CURVE('',#153941,#153939,#72161,.T.); #189004=EDGE_CURVE('',#153940,#153941,#72162,.T.); #189005=EDGE_CURVE('',#153942,#153940,#72163,.T.); #189006=EDGE_CURVE('',#153943,#153941,#72164,.T.); #189007=EDGE_CURVE('',#153942,#153943,#72165,.T.); #189008=EDGE_CURVE('',#153944,#153942,#72166,.T.); #189009=EDGE_CURVE('',#153945,#153943,#72167,.T.); #189010=EDGE_CURVE('',#153944,#153945,#72168,.T.); #189011=EDGE_CURVE('',#153946,#153944,#72169,.T.); #189012=EDGE_CURVE('',#153947,#153945,#72170,.T.); #189013=EDGE_CURVE('',#153946,#153947,#72171,.T.); #189014=EDGE_CURVE('',#153948,#153946,#72172,.T.); #189015=EDGE_CURVE('',#153949,#153947,#72173,.T.); #189016=EDGE_CURVE('',#153948,#153949,#72174,.T.); #189017=EDGE_CURVE('',#153950,#153948,#72175,.T.); #189018=EDGE_CURVE('',#153951,#153949,#72176,.T.); #189019=EDGE_CURVE('',#153950,#153951,#72177,.T.); #189020=EDGE_CURVE('',#153952,#153950,#72178,.T.); #189021=EDGE_CURVE('',#153953,#153951,#72179,.T.); #189022=EDGE_CURVE('',#153952,#153953,#72180,.T.); #189023=EDGE_CURVE('',#153954,#153952,#72181,.T.); #189024=EDGE_CURVE('',#153955,#153953,#72182,.T.); #189025=EDGE_CURVE('',#153954,#153955,#72183,.T.); #189026=EDGE_CURVE('',#153956,#153954,#72184,.T.); #189027=EDGE_CURVE('',#153957,#153955,#72185,.T.); #189028=EDGE_CURVE('',#153956,#153957,#72186,.T.); #189029=EDGE_CURVE('',#153958,#153956,#72187,.T.); #189030=EDGE_CURVE('',#153959,#153957,#72188,.T.); #189031=EDGE_CURVE('',#153958,#153959,#72189,.T.); #189032=EDGE_CURVE('',#153960,#153958,#72190,.T.); #189033=EDGE_CURVE('',#153961,#153959,#72191,.T.); #189034=EDGE_CURVE('',#153960,#153961,#72192,.T.); #189035=EDGE_CURVE('',#153962,#153960,#72193,.T.); #189036=EDGE_CURVE('',#153963,#153961,#72194,.T.); #189037=EDGE_CURVE('',#153962,#153963,#72195,.T.); #189038=EDGE_CURVE('',#153964,#153962,#72196,.T.); #189039=EDGE_CURVE('',#153965,#153963,#72197,.T.); #189040=EDGE_CURVE('',#153964,#153965,#72198,.T.); #189041=EDGE_CURVE('',#153966,#153964,#72199,.T.); #189042=EDGE_CURVE('',#153967,#153965,#72200,.T.); #189043=EDGE_CURVE('',#153966,#153967,#72201,.T.); #189044=EDGE_CURVE('',#153929,#153966,#72202,.T.); #189045=EDGE_CURVE('',#153930,#153967,#72203,.T.); #189046=EDGE_CURVE('',#153968,#153969,#72204,.T.); #189047=EDGE_CURVE('',#153969,#153970,#72205,.T.); #189048=EDGE_CURVE('',#153971,#153970,#72206,.T.); #189049=EDGE_CURVE('',#153968,#153971,#72207,.T.); #189050=EDGE_CURVE('',#153972,#153968,#72208,.T.); #189051=EDGE_CURVE('',#153973,#153971,#72209,.T.); #189052=EDGE_CURVE('',#153972,#153973,#72210,.T.); #189053=EDGE_CURVE('',#153974,#153972,#72211,.T.); #189054=EDGE_CURVE('',#153975,#153973,#72212,.T.); #189055=EDGE_CURVE('',#153974,#153975,#72213,.T.); #189056=EDGE_CURVE('',#153976,#153974,#72214,.T.); #189057=EDGE_CURVE('',#153977,#153975,#72215,.T.); #189058=EDGE_CURVE('',#153976,#153977,#72216,.T.); #189059=EDGE_CURVE('',#153978,#153976,#72217,.T.); #189060=EDGE_CURVE('',#153979,#153977,#72218,.T.); #189061=EDGE_CURVE('',#153978,#153979,#72219,.T.); #189062=EDGE_CURVE('',#153980,#153978,#72220,.T.); #189063=EDGE_CURVE('',#153981,#153979,#72221,.T.); #189064=EDGE_CURVE('',#153980,#153981,#72222,.T.); #189065=EDGE_CURVE('',#153982,#153980,#72223,.T.); #189066=EDGE_CURVE('',#153983,#153981,#72224,.T.); #189067=EDGE_CURVE('',#153982,#153983,#72225,.T.); #189068=EDGE_CURVE('',#153984,#153982,#72226,.T.); #189069=EDGE_CURVE('',#153985,#153983,#72227,.T.); #189070=EDGE_CURVE('',#153984,#153985,#72228,.T.); #189071=EDGE_CURVE('',#153986,#153984,#72229,.T.); #189072=EDGE_CURVE('',#153987,#153985,#72230,.T.); #189073=EDGE_CURVE('',#153986,#153987,#72231,.T.); #189074=EDGE_CURVE('',#153988,#153986,#72232,.T.); #189075=EDGE_CURVE('',#153989,#153987,#72233,.T.); #189076=EDGE_CURVE('',#153988,#153989,#72234,.T.); #189077=EDGE_CURVE('',#153990,#153988,#72235,.T.); #189078=EDGE_CURVE('',#153991,#153989,#72236,.T.); #189079=EDGE_CURVE('',#153990,#153991,#72237,.T.); #189080=EDGE_CURVE('',#153992,#153990,#72238,.T.); #189081=EDGE_CURVE('',#153993,#153991,#72239,.T.); #189082=EDGE_CURVE('',#153992,#153993,#72240,.T.); #189083=EDGE_CURVE('',#153994,#153992,#72241,.T.); #189084=EDGE_CURVE('',#153995,#153993,#72242,.T.); #189085=EDGE_CURVE('',#153994,#153995,#72243,.T.); #189086=EDGE_CURVE('',#153996,#153994,#72244,.T.); #189087=EDGE_CURVE('',#153997,#153995,#72245,.T.); #189088=EDGE_CURVE('',#153996,#153997,#72246,.T.); #189089=EDGE_CURVE('',#153998,#153996,#72247,.T.); #189090=EDGE_CURVE('',#153999,#153997,#72248,.T.); #189091=EDGE_CURVE('',#153998,#153999,#72249,.T.); #189092=EDGE_CURVE('',#154000,#153998,#72250,.T.); #189093=EDGE_CURVE('',#154001,#153999,#72251,.T.); #189094=EDGE_CURVE('',#154000,#154001,#72252,.T.); #189095=EDGE_CURVE('',#154002,#154000,#72253,.T.); #189096=EDGE_CURVE('',#154003,#154001,#72254,.T.); #189097=EDGE_CURVE('',#154002,#154003,#72255,.T.); #189098=EDGE_CURVE('',#154004,#154002,#72256,.T.); #189099=EDGE_CURVE('',#154005,#154003,#72257,.T.); #189100=EDGE_CURVE('',#154004,#154005,#72258,.T.); #189101=EDGE_CURVE('',#154006,#154004,#72259,.T.); #189102=EDGE_CURVE('',#154007,#154005,#72260,.T.); #189103=EDGE_CURVE('',#154006,#154007,#72261,.T.); #189104=EDGE_CURVE('',#154008,#154006,#72262,.T.); #189105=EDGE_CURVE('',#154009,#154007,#72263,.T.); #189106=EDGE_CURVE('',#154008,#154009,#72264,.T.); #189107=EDGE_CURVE('',#154010,#154008,#72265,.T.); #189108=EDGE_CURVE('',#154011,#154009,#72266,.T.); #189109=EDGE_CURVE('',#154010,#154011,#72267,.T.); #189110=EDGE_CURVE('',#154012,#154010,#72268,.T.); #189111=EDGE_CURVE('',#154013,#154011,#72269,.T.); #189112=EDGE_CURVE('',#154012,#154013,#72270,.T.); #189113=EDGE_CURVE('',#154014,#154012,#72271,.T.); #189114=EDGE_CURVE('',#154015,#154013,#72272,.T.); #189115=EDGE_CURVE('',#154014,#154015,#72273,.T.); #189116=EDGE_CURVE('',#154016,#154014,#72274,.T.); #189117=EDGE_CURVE('',#154017,#154015,#72275,.T.); #189118=EDGE_CURVE('',#154016,#154017,#72276,.T.); #189119=EDGE_CURVE('',#154018,#154016,#72277,.T.); #189120=EDGE_CURVE('',#154019,#154017,#72278,.T.); #189121=EDGE_CURVE('',#154018,#154019,#72279,.T.); #189122=EDGE_CURVE('',#154020,#154018,#72280,.T.); #189123=EDGE_CURVE('',#154021,#154019,#72281,.T.); #189124=EDGE_CURVE('',#154020,#154021,#72282,.T.); #189125=EDGE_CURVE('',#154022,#154020,#72283,.T.); #189126=EDGE_CURVE('',#154023,#154021,#72284,.T.); #189127=EDGE_CURVE('',#154022,#154023,#72285,.T.); #189128=EDGE_CURVE('',#154024,#154022,#72286,.T.); #189129=EDGE_CURVE('',#154025,#154023,#72287,.T.); #189130=EDGE_CURVE('',#154024,#154025,#72288,.T.); #189131=EDGE_CURVE('',#154026,#154024,#72289,.T.); #189132=EDGE_CURVE('',#154027,#154025,#72290,.T.); #189133=EDGE_CURVE('',#154026,#154027,#72291,.T.); #189134=EDGE_CURVE('',#154028,#154026,#72292,.T.); #189135=EDGE_CURVE('',#154029,#154027,#72293,.T.); #189136=EDGE_CURVE('',#154028,#154029,#72294,.T.); #189137=EDGE_CURVE('',#153969,#154028,#72295,.T.); #189138=EDGE_CURVE('',#153970,#154029,#72296,.T.); #189139=EDGE_CURVE('',#154030,#154031,#72297,.T.); #189140=EDGE_CURVE('',#154031,#154032,#72298,.T.); #189141=EDGE_CURVE('',#154033,#154032,#72299,.T.); #189142=EDGE_CURVE('',#154030,#154033,#72300,.T.); #189143=EDGE_CURVE('',#154034,#154030,#72301,.T.); #189144=EDGE_CURVE('',#154035,#154033,#72302,.T.); #189145=EDGE_CURVE('',#154034,#154035,#72303,.T.); #189146=EDGE_CURVE('',#154036,#154034,#72304,.T.); #189147=EDGE_CURVE('',#154037,#154035,#72305,.T.); #189148=EDGE_CURVE('',#154036,#154037,#72306,.T.); #189149=EDGE_CURVE('',#154038,#154036,#72307,.T.); #189150=EDGE_CURVE('',#154039,#154037,#72308,.T.); #189151=EDGE_CURVE('',#154038,#154039,#72309,.T.); #189152=EDGE_CURVE('',#154040,#154038,#72310,.T.); #189153=EDGE_CURVE('',#154041,#154039,#72311,.T.); #189154=EDGE_CURVE('',#154040,#154041,#72312,.T.); #189155=EDGE_CURVE('',#154042,#154040,#72313,.T.); #189156=EDGE_CURVE('',#154043,#154041,#72314,.T.); #189157=EDGE_CURVE('',#154042,#154043,#72315,.T.); #189158=EDGE_CURVE('',#154044,#154042,#72316,.T.); #189159=EDGE_CURVE('',#154045,#154043,#72317,.T.); #189160=EDGE_CURVE('',#154044,#154045,#72318,.T.); #189161=EDGE_CURVE('',#154046,#154044,#72319,.T.); #189162=EDGE_CURVE('',#154047,#154045,#72320,.T.); #189163=EDGE_CURVE('',#154046,#154047,#72321,.T.); #189164=EDGE_CURVE('',#154048,#154046,#72322,.T.); #189165=EDGE_CURVE('',#154049,#154047,#72323,.T.); #189166=EDGE_CURVE('',#154048,#154049,#72324,.T.); #189167=EDGE_CURVE('',#154050,#154048,#72325,.T.); #189168=EDGE_CURVE('',#154051,#154049,#72326,.T.); #189169=EDGE_CURVE('',#154050,#154051,#72327,.T.); #189170=EDGE_CURVE('',#154052,#154050,#72328,.T.); #189171=EDGE_CURVE('',#154053,#154051,#72329,.T.); #189172=EDGE_CURVE('',#154052,#154053,#72330,.T.); #189173=EDGE_CURVE('',#154054,#154052,#72331,.T.); #189174=EDGE_CURVE('',#154055,#154053,#72332,.T.); #189175=EDGE_CURVE('',#154054,#154055,#72333,.T.); #189176=EDGE_CURVE('',#154056,#154054,#72334,.T.); #189177=EDGE_CURVE('',#154057,#154055,#72335,.T.); #189178=EDGE_CURVE('',#154056,#154057,#72336,.T.); #189179=EDGE_CURVE('',#154058,#154056,#72337,.T.); #189180=EDGE_CURVE('',#154059,#154057,#72338,.T.); #189181=EDGE_CURVE('',#154058,#154059,#72339,.T.); #189182=EDGE_CURVE('',#154060,#154058,#72340,.T.); #189183=EDGE_CURVE('',#154061,#154059,#72341,.T.); #189184=EDGE_CURVE('',#154060,#154061,#72342,.T.); #189185=EDGE_CURVE('',#154062,#154060,#72343,.T.); #189186=EDGE_CURVE('',#154063,#154061,#72344,.T.); #189187=EDGE_CURVE('',#154062,#154063,#72345,.T.); #189188=EDGE_CURVE('',#154064,#154062,#72346,.T.); #189189=EDGE_CURVE('',#154065,#154063,#72347,.T.); #189190=EDGE_CURVE('',#154064,#154065,#72348,.T.); #189191=EDGE_CURVE('',#154066,#154064,#72349,.T.); #189192=EDGE_CURVE('',#154067,#154065,#72350,.T.); #189193=EDGE_CURVE('',#154066,#154067,#72351,.T.); #189194=EDGE_CURVE('',#154068,#154066,#72352,.T.); #189195=EDGE_CURVE('',#154069,#154067,#72353,.T.); #189196=EDGE_CURVE('',#154068,#154069,#72354,.T.); #189197=EDGE_CURVE('',#154070,#154068,#72355,.T.); #189198=EDGE_CURVE('',#154071,#154069,#72356,.T.); #189199=EDGE_CURVE('',#154070,#154071,#72357,.T.); #189200=EDGE_CURVE('',#154031,#154070,#72358,.T.); #189201=EDGE_CURVE('',#154032,#154071,#72359,.T.); #189202=EDGE_CURVE('',#154072,#154073,#72360,.T.); #189203=EDGE_CURVE('',#154073,#154074,#72361,.T.); #189204=EDGE_CURVE('',#154075,#154074,#72362,.T.); #189205=EDGE_CURVE('',#154072,#154075,#72363,.T.); #189206=EDGE_CURVE('',#154076,#154072,#72364,.T.); #189207=EDGE_CURVE('',#154077,#154075,#72365,.T.); #189208=EDGE_CURVE('',#154076,#154077,#72366,.T.); #189209=EDGE_CURVE('',#154078,#154076,#72367,.T.); #189210=EDGE_CURVE('',#154079,#154077,#72368,.T.); #189211=EDGE_CURVE('',#154078,#154079,#72369,.T.); #189212=EDGE_CURVE('',#154080,#154078,#72370,.T.); #189213=EDGE_CURVE('',#154081,#154079,#72371,.T.); #189214=EDGE_CURVE('',#154080,#154081,#72372,.T.); #189215=EDGE_CURVE('',#154082,#154080,#72373,.T.); #189216=EDGE_CURVE('',#154083,#154081,#72374,.T.); #189217=EDGE_CURVE('',#154082,#154083,#72375,.T.); #189218=EDGE_CURVE('',#154084,#154082,#72376,.T.); #189219=EDGE_CURVE('',#154085,#154083,#72377,.T.); #189220=EDGE_CURVE('',#154084,#154085,#72378,.T.); #189221=EDGE_CURVE('',#154086,#154084,#72379,.T.); #189222=EDGE_CURVE('',#154087,#154085,#72380,.T.); #189223=EDGE_CURVE('',#154086,#154087,#72381,.T.); #189224=EDGE_CURVE('',#154088,#154086,#72382,.T.); #189225=EDGE_CURVE('',#154089,#154087,#72383,.T.); #189226=EDGE_CURVE('',#154088,#154089,#72384,.T.); #189227=EDGE_CURVE('',#154090,#154088,#72385,.T.); #189228=EDGE_CURVE('',#154091,#154089,#72386,.T.); #189229=EDGE_CURVE('',#154090,#154091,#72387,.T.); #189230=EDGE_CURVE('',#154092,#154090,#72388,.T.); #189231=EDGE_CURVE('',#154093,#154091,#72389,.T.); #189232=EDGE_CURVE('',#154092,#154093,#72390,.T.); #189233=EDGE_CURVE('',#154094,#154092,#72391,.T.); #189234=EDGE_CURVE('',#154095,#154093,#72392,.T.); #189235=EDGE_CURVE('',#154094,#154095,#72393,.T.); #189236=EDGE_CURVE('',#154096,#154094,#72394,.T.); #189237=EDGE_CURVE('',#154097,#154095,#72395,.T.); #189238=EDGE_CURVE('',#154096,#154097,#72396,.T.); #189239=EDGE_CURVE('',#154098,#154096,#72397,.T.); #189240=EDGE_CURVE('',#154099,#154097,#72398,.T.); #189241=EDGE_CURVE('',#154098,#154099,#72399,.T.); #189242=EDGE_CURVE('',#154100,#154098,#72400,.T.); #189243=EDGE_CURVE('',#154101,#154099,#72401,.T.); #189244=EDGE_CURVE('',#154100,#154101,#72402,.T.); #189245=EDGE_CURVE('',#154102,#154100,#72403,.T.); #189246=EDGE_CURVE('',#154103,#154101,#72404,.T.); #189247=EDGE_CURVE('',#154102,#154103,#72405,.T.); #189248=EDGE_CURVE('',#154104,#154102,#72406,.T.); #189249=EDGE_CURVE('',#154105,#154103,#72407,.T.); #189250=EDGE_CURVE('',#154104,#154105,#72408,.T.); #189251=EDGE_CURVE('',#154106,#154104,#72409,.T.); #189252=EDGE_CURVE('',#154107,#154105,#72410,.T.); #189253=EDGE_CURVE('',#154106,#154107,#72411,.T.); #189254=EDGE_CURVE('',#154108,#154106,#72412,.T.); #189255=EDGE_CURVE('',#154109,#154107,#72413,.T.); #189256=EDGE_CURVE('',#154108,#154109,#72414,.T.); #189257=EDGE_CURVE('',#154110,#154108,#72415,.T.); #189258=EDGE_CURVE('',#154111,#154109,#72416,.T.); #189259=EDGE_CURVE('',#154110,#154111,#72417,.T.); #189260=EDGE_CURVE('',#154112,#154110,#72418,.T.); #189261=EDGE_CURVE('',#154113,#154111,#72419,.T.); #189262=EDGE_CURVE('',#154112,#154113,#72420,.T.); #189263=EDGE_CURVE('',#154114,#154112,#72421,.T.); #189264=EDGE_CURVE('',#154115,#154113,#72422,.T.); #189265=EDGE_CURVE('',#154114,#154115,#72423,.T.); #189266=EDGE_CURVE('',#154116,#154114,#72424,.T.); #189267=EDGE_CURVE('',#154117,#154115,#72425,.T.); #189268=EDGE_CURVE('',#154116,#154117,#72426,.T.); #189269=EDGE_CURVE('',#154118,#154116,#72427,.T.); #189270=EDGE_CURVE('',#154119,#154117,#72428,.T.); #189271=EDGE_CURVE('',#154118,#154119,#72429,.T.); #189272=EDGE_CURVE('',#154120,#154118,#72430,.T.); #189273=EDGE_CURVE('',#154121,#154119,#72431,.T.); #189274=EDGE_CURVE('',#154120,#154121,#72432,.T.); #189275=EDGE_CURVE('',#154122,#154120,#72433,.T.); #189276=EDGE_CURVE('',#154123,#154121,#72434,.T.); #189277=EDGE_CURVE('',#154122,#154123,#72435,.T.); #189278=EDGE_CURVE('',#154124,#154122,#72436,.T.); #189279=EDGE_CURVE('',#154125,#154123,#72437,.T.); #189280=EDGE_CURVE('',#154124,#154125,#72438,.T.); #189281=EDGE_CURVE('',#154126,#154124,#72439,.T.); #189282=EDGE_CURVE('',#154127,#154125,#72440,.T.); #189283=EDGE_CURVE('',#154126,#154127,#72441,.T.); #189284=EDGE_CURVE('',#154128,#154126,#72442,.T.); #189285=EDGE_CURVE('',#154129,#154127,#72443,.T.); #189286=EDGE_CURVE('',#154128,#154129,#72444,.T.); #189287=EDGE_CURVE('',#154130,#154128,#72445,.T.); #189288=EDGE_CURVE('',#154131,#154129,#72446,.T.); #189289=EDGE_CURVE('',#154130,#154131,#72447,.T.); #189290=EDGE_CURVE('',#154132,#154130,#72448,.T.); #189291=EDGE_CURVE('',#154133,#154131,#72449,.T.); #189292=EDGE_CURVE('',#154132,#154133,#72450,.T.); #189293=EDGE_CURVE('',#154134,#154132,#72451,.T.); #189294=EDGE_CURVE('',#154135,#154133,#72452,.T.); #189295=EDGE_CURVE('',#154134,#154135,#72453,.T.); #189296=EDGE_CURVE('',#154136,#154134,#72454,.T.); #189297=EDGE_CURVE('',#154137,#154135,#72455,.T.); #189298=EDGE_CURVE('',#154136,#154137,#72456,.T.); #189299=EDGE_CURVE('',#154138,#154136,#72457,.T.); #189300=EDGE_CURVE('',#154139,#154137,#72458,.T.); #189301=EDGE_CURVE('',#154138,#154139,#72459,.T.); #189302=EDGE_CURVE('',#154140,#154138,#72460,.T.); #189303=EDGE_CURVE('',#154141,#154139,#72461,.T.); #189304=EDGE_CURVE('',#154140,#154141,#72462,.T.); #189305=EDGE_CURVE('',#154142,#154140,#72463,.T.); #189306=EDGE_CURVE('',#154143,#154141,#72464,.T.); #189307=EDGE_CURVE('',#154142,#154143,#72465,.T.); #189308=EDGE_CURVE('',#154144,#154142,#72466,.T.); #189309=EDGE_CURVE('',#154145,#154143,#72467,.T.); #189310=EDGE_CURVE('',#154144,#154145,#72468,.T.); #189311=EDGE_CURVE('',#154146,#154144,#72469,.T.); #189312=EDGE_CURVE('',#154147,#154145,#72470,.T.); #189313=EDGE_CURVE('',#154146,#154147,#72471,.T.); #189314=EDGE_CURVE('',#154148,#154146,#72472,.T.); #189315=EDGE_CURVE('',#154149,#154147,#72473,.T.); #189316=EDGE_CURVE('',#154148,#154149,#72474,.T.); #189317=EDGE_CURVE('',#154150,#154148,#72475,.T.); #189318=EDGE_CURVE('',#154151,#154149,#72476,.T.); #189319=EDGE_CURVE('',#154150,#154151,#72477,.T.); #189320=EDGE_CURVE('',#154073,#154150,#72478,.T.); #189321=EDGE_CURVE('',#154074,#154151,#72479,.T.); #189322=EDGE_CURVE('',#154152,#154153,#72480,.T.); #189323=EDGE_CURVE('',#154153,#154154,#72481,.T.); #189324=EDGE_CURVE('',#154155,#154154,#72482,.T.); #189325=EDGE_CURVE('',#154152,#154155,#72483,.T.); #189326=EDGE_CURVE('',#154156,#154152,#72484,.T.); #189327=EDGE_CURVE('',#154157,#154155,#72485,.T.); #189328=EDGE_CURVE('',#154156,#154157,#72486,.T.); #189329=EDGE_CURVE('',#154158,#154156,#72487,.T.); #189330=EDGE_CURVE('',#154159,#154157,#72488,.T.); #189331=EDGE_CURVE('',#154158,#154159,#72489,.T.); #189332=EDGE_CURVE('',#154160,#154158,#72490,.T.); #189333=EDGE_CURVE('',#154161,#154159,#72491,.T.); #189334=EDGE_CURVE('',#154160,#154161,#72492,.T.); #189335=EDGE_CURVE('',#154162,#154160,#72493,.T.); #189336=EDGE_CURVE('',#154163,#154161,#72494,.T.); #189337=EDGE_CURVE('',#154162,#154163,#72495,.T.); #189338=EDGE_CURVE('',#154164,#154162,#72496,.T.); #189339=EDGE_CURVE('',#154165,#154163,#72497,.T.); #189340=EDGE_CURVE('',#154164,#154165,#72498,.T.); #189341=EDGE_CURVE('',#154166,#154164,#72499,.T.); #189342=EDGE_CURVE('',#154167,#154165,#72500,.T.); #189343=EDGE_CURVE('',#154166,#154167,#72501,.T.); #189344=EDGE_CURVE('',#154168,#154166,#72502,.T.); #189345=EDGE_CURVE('',#154169,#154167,#72503,.T.); #189346=EDGE_CURVE('',#154168,#154169,#72504,.T.); #189347=EDGE_CURVE('',#154170,#154168,#72505,.T.); #189348=EDGE_CURVE('',#154171,#154169,#72506,.T.); #189349=EDGE_CURVE('',#154170,#154171,#72507,.T.); #189350=EDGE_CURVE('',#154172,#154170,#72508,.T.); #189351=EDGE_CURVE('',#154173,#154171,#72509,.T.); #189352=EDGE_CURVE('',#154172,#154173,#72510,.T.); #189353=EDGE_CURVE('',#154174,#154172,#72511,.T.); #189354=EDGE_CURVE('',#154175,#154173,#72512,.T.); #189355=EDGE_CURVE('',#154174,#154175,#72513,.T.); #189356=EDGE_CURVE('',#154176,#154174,#72514,.T.); #189357=EDGE_CURVE('',#154177,#154175,#72515,.T.); #189358=EDGE_CURVE('',#154176,#154177,#72516,.T.); #189359=EDGE_CURVE('',#154178,#154176,#72517,.T.); #189360=EDGE_CURVE('',#154179,#154177,#72518,.T.); #189361=EDGE_CURVE('',#154178,#154179,#72519,.T.); #189362=EDGE_CURVE('',#154180,#154178,#72520,.T.); #189363=EDGE_CURVE('',#154181,#154179,#72521,.T.); #189364=EDGE_CURVE('',#154180,#154181,#72522,.T.); #189365=EDGE_CURVE('',#154182,#154180,#72523,.T.); #189366=EDGE_CURVE('',#154183,#154181,#72524,.T.); #189367=EDGE_CURVE('',#154182,#154183,#72525,.T.); #189368=EDGE_CURVE('',#154184,#154182,#72526,.T.); #189369=EDGE_CURVE('',#154185,#154183,#72527,.T.); #189370=EDGE_CURVE('',#154184,#154185,#72528,.T.); #189371=EDGE_CURVE('',#154186,#154184,#72529,.T.); #189372=EDGE_CURVE('',#154187,#154185,#72530,.T.); #189373=EDGE_CURVE('',#154186,#154187,#72531,.T.); #189374=EDGE_CURVE('',#154188,#154186,#72532,.T.); #189375=EDGE_CURVE('',#154189,#154187,#72533,.T.); #189376=EDGE_CURVE('',#154188,#154189,#72534,.T.); #189377=EDGE_CURVE('',#154190,#154188,#72535,.T.); #189378=EDGE_CURVE('',#154191,#154189,#72536,.T.); #189379=EDGE_CURVE('',#154190,#154191,#72537,.T.); #189380=EDGE_CURVE('',#154153,#154190,#72538,.T.); #189381=EDGE_CURVE('',#154154,#154191,#72539,.T.); #189382=EDGE_CURVE('',#154192,#154193,#72540,.T.); #189383=EDGE_CURVE('',#154193,#154194,#72541,.T.); #189384=EDGE_CURVE('',#154195,#154194,#72542,.T.); #189385=EDGE_CURVE('',#154192,#154195,#72543,.T.); #189386=EDGE_CURVE('',#154196,#154192,#72544,.T.); #189387=EDGE_CURVE('',#154197,#154195,#72545,.T.); #189388=EDGE_CURVE('',#154196,#154197,#72546,.T.); #189389=EDGE_CURVE('',#154198,#154196,#72547,.T.); #189390=EDGE_CURVE('',#154199,#154197,#72548,.T.); #189391=EDGE_CURVE('',#154198,#154199,#72549,.T.); #189392=EDGE_CURVE('',#154200,#154198,#72550,.T.); #189393=EDGE_CURVE('',#154201,#154199,#72551,.T.); #189394=EDGE_CURVE('',#154200,#154201,#72552,.T.); #189395=EDGE_CURVE('',#154202,#154200,#72553,.T.); #189396=EDGE_CURVE('',#154203,#154201,#72554,.T.); #189397=EDGE_CURVE('',#154202,#154203,#72555,.T.); #189398=EDGE_CURVE('',#154204,#154202,#72556,.T.); #189399=EDGE_CURVE('',#154205,#154203,#72557,.T.); #189400=EDGE_CURVE('',#154204,#154205,#72558,.T.); #189401=EDGE_CURVE('',#154206,#154204,#72559,.T.); #189402=EDGE_CURVE('',#154207,#154205,#72560,.T.); #189403=EDGE_CURVE('',#154206,#154207,#72561,.T.); #189404=EDGE_CURVE('',#154208,#154206,#72562,.T.); #189405=EDGE_CURVE('',#154209,#154207,#72563,.T.); #189406=EDGE_CURVE('',#154208,#154209,#72564,.T.); #189407=EDGE_CURVE('',#154210,#154208,#72565,.T.); #189408=EDGE_CURVE('',#154211,#154209,#72566,.T.); #189409=EDGE_CURVE('',#154210,#154211,#72567,.T.); #189410=EDGE_CURVE('',#154212,#154210,#72568,.T.); #189411=EDGE_CURVE('',#154213,#154211,#72569,.T.); #189412=EDGE_CURVE('',#154212,#154213,#72570,.T.); #189413=EDGE_CURVE('',#154214,#154212,#72571,.T.); #189414=EDGE_CURVE('',#154215,#154213,#72572,.T.); #189415=EDGE_CURVE('',#154214,#154215,#72573,.T.); #189416=EDGE_CURVE('',#154216,#154214,#72574,.T.); #189417=EDGE_CURVE('',#154217,#154215,#72575,.T.); #189418=EDGE_CURVE('',#154216,#154217,#72576,.T.); #189419=EDGE_CURVE('',#154218,#154216,#72577,.T.); #189420=EDGE_CURVE('',#154219,#154217,#72578,.T.); #189421=EDGE_CURVE('',#154218,#154219,#72579,.T.); #189422=EDGE_CURVE('',#154220,#154218,#72580,.T.); #189423=EDGE_CURVE('',#154221,#154219,#72581,.T.); #189424=EDGE_CURVE('',#154220,#154221,#72582,.T.); #189425=EDGE_CURVE('',#154222,#154220,#72583,.T.); #189426=EDGE_CURVE('',#154223,#154221,#72584,.T.); #189427=EDGE_CURVE('',#154222,#154223,#72585,.T.); #189428=EDGE_CURVE('',#154224,#154222,#72586,.T.); #189429=EDGE_CURVE('',#154225,#154223,#72587,.T.); #189430=EDGE_CURVE('',#154224,#154225,#72588,.T.); #189431=EDGE_CURVE('',#154226,#154224,#72589,.T.); #189432=EDGE_CURVE('',#154227,#154225,#72590,.T.); #189433=EDGE_CURVE('',#154226,#154227,#72591,.T.); #189434=EDGE_CURVE('',#154228,#154226,#72592,.T.); #189435=EDGE_CURVE('',#154229,#154227,#72593,.T.); #189436=EDGE_CURVE('',#154228,#154229,#72594,.T.); #189437=EDGE_CURVE('',#154230,#154228,#72595,.T.); #189438=EDGE_CURVE('',#154231,#154229,#72596,.T.); #189439=EDGE_CURVE('',#154230,#154231,#72597,.T.); #189440=EDGE_CURVE('',#154232,#154230,#72598,.T.); #189441=EDGE_CURVE('',#154233,#154231,#72599,.T.); #189442=EDGE_CURVE('',#154232,#154233,#72600,.T.); #189443=EDGE_CURVE('',#154234,#154232,#72601,.T.); #189444=EDGE_CURVE('',#154235,#154233,#72602,.T.); #189445=EDGE_CURVE('',#154234,#154235,#72603,.T.); #189446=EDGE_CURVE('',#154236,#154234,#72604,.T.); #189447=EDGE_CURVE('',#154237,#154235,#72605,.T.); #189448=EDGE_CURVE('',#154236,#154237,#72606,.T.); #189449=EDGE_CURVE('',#154238,#154236,#72607,.T.); #189450=EDGE_CURVE('',#154239,#154237,#72608,.T.); #189451=EDGE_CURVE('',#154238,#154239,#72609,.T.); #189452=EDGE_CURVE('',#154240,#154238,#72610,.T.); #189453=EDGE_CURVE('',#154241,#154239,#72611,.T.); #189454=EDGE_CURVE('',#154240,#154241,#72612,.T.); #189455=EDGE_CURVE('',#154242,#154240,#72613,.T.); #189456=EDGE_CURVE('',#154243,#154241,#72614,.T.); #189457=EDGE_CURVE('',#154242,#154243,#72615,.T.); #189458=EDGE_CURVE('',#154244,#154242,#72616,.T.); #189459=EDGE_CURVE('',#154245,#154243,#72617,.T.); #189460=EDGE_CURVE('',#154244,#154245,#72618,.T.); #189461=EDGE_CURVE('',#154246,#154244,#72619,.T.); #189462=EDGE_CURVE('',#154247,#154245,#72620,.T.); #189463=EDGE_CURVE('',#154246,#154247,#72621,.T.); #189464=EDGE_CURVE('',#154248,#154246,#72622,.T.); #189465=EDGE_CURVE('',#154249,#154247,#72623,.T.); #189466=EDGE_CURVE('',#154248,#154249,#72624,.T.); #189467=EDGE_CURVE('',#154250,#154248,#72625,.T.); #189468=EDGE_CURVE('',#154251,#154249,#72626,.T.); #189469=EDGE_CURVE('',#154250,#154251,#72627,.T.); #189470=EDGE_CURVE('',#154252,#154250,#72628,.T.); #189471=EDGE_CURVE('',#154253,#154251,#72629,.T.); #189472=EDGE_CURVE('',#154252,#154253,#72630,.T.); #189473=EDGE_CURVE('',#154254,#154252,#72631,.T.); #189474=EDGE_CURVE('',#154255,#154253,#72632,.T.); #189475=EDGE_CURVE('',#154254,#154255,#72633,.T.); #189476=EDGE_CURVE('',#154256,#154254,#72634,.T.); #189477=EDGE_CURVE('',#154257,#154255,#72635,.T.); #189478=EDGE_CURVE('',#154256,#154257,#72636,.T.); #189479=EDGE_CURVE('',#154258,#154256,#72637,.T.); #189480=EDGE_CURVE('',#154259,#154257,#72638,.T.); #189481=EDGE_CURVE('',#154258,#154259,#72639,.T.); #189482=EDGE_CURVE('',#154260,#154258,#72640,.T.); #189483=EDGE_CURVE('',#154261,#154259,#72641,.T.); #189484=EDGE_CURVE('',#154260,#154261,#72642,.T.); #189485=EDGE_CURVE('',#154262,#154260,#72643,.T.); #189486=EDGE_CURVE('',#154263,#154261,#72644,.T.); #189487=EDGE_CURVE('',#154262,#154263,#72645,.T.); #189488=EDGE_CURVE('',#154264,#154262,#72646,.T.); #189489=EDGE_CURVE('',#154265,#154263,#72647,.T.); #189490=EDGE_CURVE('',#154264,#154265,#72648,.T.); #189491=EDGE_CURVE('',#154266,#154264,#72649,.T.); #189492=EDGE_CURVE('',#154267,#154265,#72650,.T.); #189493=EDGE_CURVE('',#154266,#154267,#72651,.T.); #189494=EDGE_CURVE('',#154268,#154266,#72652,.T.); #189495=EDGE_CURVE('',#154269,#154267,#72653,.T.); #189496=EDGE_CURVE('',#154268,#154269,#72654,.T.); #189497=EDGE_CURVE('',#154270,#154268,#72655,.T.); #189498=EDGE_CURVE('',#154271,#154269,#72656,.T.); #189499=EDGE_CURVE('',#154270,#154271,#72657,.T.); #189500=EDGE_CURVE('',#154193,#154270,#72658,.T.); #189501=EDGE_CURVE('',#154194,#154271,#72659,.T.); #189502=EDGE_CURVE('',#154272,#154273,#72660,.T.); #189503=EDGE_CURVE('',#154273,#154274,#72661,.T.); #189504=EDGE_CURVE('',#154275,#154274,#72662,.T.); #189505=EDGE_CURVE('',#154272,#154275,#72663,.T.); #189506=EDGE_CURVE('',#154276,#154272,#72664,.T.); #189507=EDGE_CURVE('',#154277,#154275,#72665,.T.); #189508=EDGE_CURVE('',#154276,#154277,#72666,.T.); #189509=EDGE_CURVE('',#154278,#154276,#72667,.T.); #189510=EDGE_CURVE('',#154279,#154277,#72668,.T.); #189511=EDGE_CURVE('',#154278,#154279,#72669,.T.); #189512=EDGE_CURVE('',#154280,#154278,#72670,.T.); #189513=EDGE_CURVE('',#154281,#154279,#72671,.T.); #189514=EDGE_CURVE('',#154280,#154281,#72672,.T.); #189515=EDGE_CURVE('',#154282,#154280,#72673,.T.); #189516=EDGE_CURVE('',#154283,#154281,#72674,.T.); #189517=EDGE_CURVE('',#154282,#154283,#72675,.T.); #189518=EDGE_CURVE('',#154284,#154282,#72676,.T.); #189519=EDGE_CURVE('',#154285,#154283,#72677,.T.); #189520=EDGE_CURVE('',#154284,#154285,#72678,.T.); #189521=EDGE_CURVE('',#154286,#154284,#72679,.T.); #189522=EDGE_CURVE('',#154287,#154285,#72680,.T.); #189523=EDGE_CURVE('',#154286,#154287,#72681,.T.); #189524=EDGE_CURVE('',#154288,#154286,#72682,.T.); #189525=EDGE_CURVE('',#154289,#154287,#72683,.T.); #189526=EDGE_CURVE('',#154288,#154289,#72684,.T.); #189527=EDGE_CURVE('',#154290,#154288,#72685,.T.); #189528=EDGE_CURVE('',#154291,#154289,#72686,.T.); #189529=EDGE_CURVE('',#154290,#154291,#72687,.T.); #189530=EDGE_CURVE('',#154292,#154290,#72688,.T.); #189531=EDGE_CURVE('',#154293,#154291,#72689,.T.); #189532=EDGE_CURVE('',#154292,#154293,#72690,.T.); #189533=EDGE_CURVE('',#154294,#154292,#72691,.T.); #189534=EDGE_CURVE('',#154295,#154293,#72692,.T.); #189535=EDGE_CURVE('',#154294,#154295,#72693,.T.); #189536=EDGE_CURVE('',#154296,#154294,#72694,.T.); #189537=EDGE_CURVE('',#154297,#154295,#72695,.T.); #189538=EDGE_CURVE('',#154296,#154297,#72696,.T.); #189539=EDGE_CURVE('',#154298,#154296,#72697,.T.); #189540=EDGE_CURVE('',#154299,#154297,#72698,.T.); #189541=EDGE_CURVE('',#154298,#154299,#72699,.T.); #189542=EDGE_CURVE('',#154300,#154298,#72700,.T.); #189543=EDGE_CURVE('',#154301,#154299,#72701,.T.); #189544=EDGE_CURVE('',#154300,#154301,#72702,.T.); #189545=EDGE_CURVE('',#154302,#154300,#72703,.T.); #189546=EDGE_CURVE('',#154303,#154301,#72704,.T.); #189547=EDGE_CURVE('',#154302,#154303,#72705,.T.); #189548=EDGE_CURVE('',#154304,#154302,#72706,.T.); #189549=EDGE_CURVE('',#154305,#154303,#72707,.T.); #189550=EDGE_CURVE('',#154304,#154305,#72708,.T.); #189551=EDGE_CURVE('',#154306,#154304,#72709,.T.); #189552=EDGE_CURVE('',#154307,#154305,#72710,.T.); #189553=EDGE_CURVE('',#154306,#154307,#72711,.T.); #189554=EDGE_CURVE('',#154308,#154306,#72712,.T.); #189555=EDGE_CURVE('',#154309,#154307,#72713,.T.); #189556=EDGE_CURVE('',#154308,#154309,#72714,.T.); #189557=EDGE_CURVE('',#154310,#154308,#72715,.T.); #189558=EDGE_CURVE('',#154311,#154309,#72716,.T.); #189559=EDGE_CURVE('',#154310,#154311,#72717,.T.); #189560=EDGE_CURVE('',#154273,#154310,#72718,.T.); #189561=EDGE_CURVE('',#154274,#154311,#72719,.T.); #189562=EDGE_CURVE('',#154312,#154313,#72720,.T.); #189563=EDGE_CURVE('',#154313,#154314,#72721,.T.); #189564=EDGE_CURVE('',#154315,#154314,#72722,.T.); #189565=EDGE_CURVE('',#154312,#154315,#72723,.T.); #189566=EDGE_CURVE('',#154316,#154312,#72724,.T.); #189567=EDGE_CURVE('',#154317,#154315,#72725,.T.); #189568=EDGE_CURVE('',#154316,#154317,#72726,.T.); #189569=EDGE_CURVE('',#154318,#154316,#72727,.T.); #189570=EDGE_CURVE('',#154319,#154317,#72728,.T.); #189571=EDGE_CURVE('',#154318,#154319,#72729,.T.); #189572=EDGE_CURVE('',#154320,#154318,#72730,.T.); #189573=EDGE_CURVE('',#154321,#154319,#72731,.T.); #189574=EDGE_CURVE('',#154320,#154321,#72732,.T.); #189575=EDGE_CURVE('',#154322,#154320,#72733,.T.); #189576=EDGE_CURVE('',#154323,#154321,#72734,.T.); #189577=EDGE_CURVE('',#154322,#154323,#72735,.T.); #189578=EDGE_CURVE('',#154324,#154322,#72736,.T.); #189579=EDGE_CURVE('',#154325,#154323,#72737,.T.); #189580=EDGE_CURVE('',#154324,#154325,#72738,.T.); #189581=EDGE_CURVE('',#154326,#154324,#72739,.T.); #189582=EDGE_CURVE('',#154327,#154325,#72740,.T.); #189583=EDGE_CURVE('',#154326,#154327,#72741,.T.); #189584=EDGE_CURVE('',#154328,#154326,#72742,.T.); #189585=EDGE_CURVE('',#154329,#154327,#72743,.T.); #189586=EDGE_CURVE('',#154328,#154329,#72744,.T.); #189587=EDGE_CURVE('',#154330,#154328,#72745,.T.); #189588=EDGE_CURVE('',#154331,#154329,#72746,.T.); #189589=EDGE_CURVE('',#154330,#154331,#72747,.T.); #189590=EDGE_CURVE('',#154332,#154330,#72748,.T.); #189591=EDGE_CURVE('',#154333,#154331,#72749,.T.); #189592=EDGE_CURVE('',#154332,#154333,#72750,.T.); #189593=EDGE_CURVE('',#154334,#154332,#72751,.T.); #189594=EDGE_CURVE('',#154335,#154333,#72752,.T.); #189595=EDGE_CURVE('',#154334,#154335,#72753,.T.); #189596=EDGE_CURVE('',#154336,#154334,#72754,.T.); #189597=EDGE_CURVE('',#154337,#154335,#72755,.T.); #189598=EDGE_CURVE('',#154336,#154337,#72756,.T.); #189599=EDGE_CURVE('',#154338,#154336,#72757,.T.); #189600=EDGE_CURVE('',#154339,#154337,#72758,.T.); #189601=EDGE_CURVE('',#154338,#154339,#72759,.T.); #189602=EDGE_CURVE('',#154340,#154338,#72760,.T.); #189603=EDGE_CURVE('',#154341,#154339,#72761,.T.); #189604=EDGE_CURVE('',#154340,#154341,#72762,.T.); #189605=EDGE_CURVE('',#154342,#154340,#72763,.T.); #189606=EDGE_CURVE('',#154343,#154341,#72764,.T.); #189607=EDGE_CURVE('',#154342,#154343,#72765,.T.); #189608=EDGE_CURVE('',#154344,#154342,#72766,.T.); #189609=EDGE_CURVE('',#154345,#154343,#72767,.T.); #189610=EDGE_CURVE('',#154344,#154345,#72768,.T.); #189611=EDGE_CURVE('',#154346,#154344,#72769,.T.); #189612=EDGE_CURVE('',#154347,#154345,#72770,.T.); #189613=EDGE_CURVE('',#154346,#154347,#72771,.T.); #189614=EDGE_CURVE('',#154348,#154346,#72772,.T.); #189615=EDGE_CURVE('',#154349,#154347,#72773,.T.); #189616=EDGE_CURVE('',#154348,#154349,#72774,.T.); #189617=EDGE_CURVE('',#154350,#154348,#72775,.T.); #189618=EDGE_CURVE('',#154351,#154349,#72776,.T.); #189619=EDGE_CURVE('',#154350,#154351,#72777,.T.); #189620=EDGE_CURVE('',#154313,#154350,#72778,.T.); #189621=EDGE_CURVE('',#154314,#154351,#72779,.T.); #189622=EDGE_CURVE('',#154352,#154352,#139656,.T.); #189623=EDGE_CURVE('',#154352,#154353,#72780,.T.); #189624=EDGE_CURVE('',#154353,#154353,#139657,.T.); #189625=EDGE_CURVE('',#154354,#154355,#72781,.T.); #189626=EDGE_CURVE('',#154356,#154354,#72782,.T.); #189627=EDGE_CURVE('',#154357,#154356,#72783,.T.); #189628=EDGE_CURVE('',#154357,#154355,#72784,.T.); #189629=EDGE_CURVE('',#154355,#154358,#139658,.T.); #189630=EDGE_CURVE('',#154359,#154357,#139659,.T.); #189631=EDGE_CURVE('',#154359,#154358,#72785,.T.); #189632=EDGE_CURVE('',#154358,#154360,#72786,.T.); #189633=EDGE_CURVE('',#154361,#154359,#72787,.T.); #189634=EDGE_CURVE('',#154361,#154360,#72788,.T.); #189635=EDGE_CURVE('',#154360,#154354,#139660,.T.); #189636=EDGE_CURVE('',#154356,#154361,#139661,.T.); #189637=EDGE_CURVE('',#154362,#154363,#72789,.T.); #189638=EDGE_CURVE('',#154363,#154364,#72790,.T.); #189639=EDGE_CURVE('',#154365,#154364,#72791,.T.); #189640=EDGE_CURVE('',#154362,#154365,#72792,.T.); #189641=EDGE_CURVE('',#154366,#154362,#72793,.T.); #189642=EDGE_CURVE('',#154367,#154365,#72794,.T.); #189643=EDGE_CURVE('',#154366,#154367,#72795,.T.); #189644=EDGE_CURVE('',#154368,#154366,#72796,.T.); #189645=EDGE_CURVE('',#154369,#154367,#72797,.T.); #189646=EDGE_CURVE('',#154368,#154369,#72798,.T.); #189647=EDGE_CURVE('',#154370,#154368,#72799,.T.); #189648=EDGE_CURVE('',#154371,#154369,#72800,.T.); #189649=EDGE_CURVE('',#154370,#154371,#72801,.T.); #189650=EDGE_CURVE('',#154372,#154370,#72802,.T.); #189651=EDGE_CURVE('',#154373,#154371,#72803,.T.); #189652=EDGE_CURVE('',#154372,#154373,#72804,.T.); #189653=EDGE_CURVE('',#154374,#154372,#72805,.T.); #189654=EDGE_CURVE('',#154375,#154373,#72806,.T.); #189655=EDGE_CURVE('',#154374,#154375,#72807,.T.); #189656=EDGE_CURVE('',#154376,#154374,#72808,.T.); #189657=EDGE_CURVE('',#154377,#154375,#72809,.T.); #189658=EDGE_CURVE('',#154376,#154377,#72810,.T.); #189659=EDGE_CURVE('',#154378,#154376,#72811,.T.); #189660=EDGE_CURVE('',#154379,#154377,#72812,.T.); #189661=EDGE_CURVE('',#154378,#154379,#72813,.T.); #189662=EDGE_CURVE('',#154380,#154378,#72814,.T.); #189663=EDGE_CURVE('',#154381,#154379,#72815,.T.); #189664=EDGE_CURVE('',#154380,#154381,#72816,.T.); #189665=EDGE_CURVE('',#154382,#154380,#72817,.T.); #189666=EDGE_CURVE('',#154383,#154381,#72818,.T.); #189667=EDGE_CURVE('',#154382,#154383,#72819,.T.); #189668=EDGE_CURVE('',#154384,#154382,#72820,.T.); #189669=EDGE_CURVE('',#154385,#154383,#72821,.T.); #189670=EDGE_CURVE('',#154384,#154385,#72822,.T.); #189671=EDGE_CURVE('',#154386,#154384,#72823,.T.); #189672=EDGE_CURVE('',#154387,#154385,#72824,.T.); #189673=EDGE_CURVE('',#154386,#154387,#72825,.T.); #189674=EDGE_CURVE('',#154388,#154386,#72826,.T.); #189675=EDGE_CURVE('',#154389,#154387,#72827,.T.); #189676=EDGE_CURVE('',#154388,#154389,#72828,.T.); #189677=EDGE_CURVE('',#154390,#154388,#72829,.T.); #189678=EDGE_CURVE('',#154391,#154389,#72830,.T.); #189679=EDGE_CURVE('',#154390,#154391,#72831,.T.); #189680=EDGE_CURVE('',#154392,#154390,#72832,.T.); #189681=EDGE_CURVE('',#154393,#154391,#72833,.T.); #189682=EDGE_CURVE('',#154392,#154393,#72834,.T.); #189683=EDGE_CURVE('',#154394,#154392,#72835,.T.); #189684=EDGE_CURVE('',#154395,#154393,#72836,.T.); #189685=EDGE_CURVE('',#154394,#154395,#72837,.T.); #189686=EDGE_CURVE('',#154396,#154394,#72838,.T.); #189687=EDGE_CURVE('',#154397,#154395,#72839,.T.); #189688=EDGE_CURVE('',#154396,#154397,#72840,.T.); #189689=EDGE_CURVE('',#154398,#154396,#72841,.T.); #189690=EDGE_CURVE('',#154399,#154397,#72842,.T.); #189691=EDGE_CURVE('',#154398,#154399,#72843,.T.); #189692=EDGE_CURVE('',#154400,#154398,#72844,.T.); #189693=EDGE_CURVE('',#154401,#154399,#72845,.T.); #189694=EDGE_CURVE('',#154400,#154401,#72846,.T.); #189695=EDGE_CURVE('',#154402,#154400,#72847,.T.); #189696=EDGE_CURVE('',#154403,#154401,#72848,.T.); #189697=EDGE_CURVE('',#154402,#154403,#72849,.T.); #189698=EDGE_CURVE('',#154404,#154402,#72850,.T.); #189699=EDGE_CURVE('',#154405,#154403,#72851,.T.); #189700=EDGE_CURVE('',#154404,#154405,#72852,.T.); #189701=EDGE_CURVE('',#154406,#154404,#72853,.T.); #189702=EDGE_CURVE('',#154407,#154405,#72854,.T.); #189703=EDGE_CURVE('',#154406,#154407,#72855,.T.); #189704=EDGE_CURVE('',#154408,#154406,#72856,.T.); #189705=EDGE_CURVE('',#154409,#154407,#72857,.T.); #189706=EDGE_CURVE('',#154408,#154409,#72858,.T.); #189707=EDGE_CURVE('',#154410,#154408,#72859,.T.); #189708=EDGE_CURVE('',#154411,#154409,#72860,.T.); #189709=EDGE_CURVE('',#154410,#154411,#72861,.T.); #189710=EDGE_CURVE('',#154412,#154410,#72862,.T.); #189711=EDGE_CURVE('',#154413,#154411,#72863,.T.); #189712=EDGE_CURVE('',#154412,#154413,#72864,.T.); #189713=EDGE_CURVE('',#154414,#154412,#72865,.T.); #189714=EDGE_CURVE('',#154415,#154413,#72866,.T.); #189715=EDGE_CURVE('',#154414,#154415,#72867,.T.); #189716=EDGE_CURVE('',#154416,#154414,#72868,.T.); #189717=EDGE_CURVE('',#154417,#154415,#72869,.T.); #189718=EDGE_CURVE('',#154416,#154417,#72870,.T.); #189719=EDGE_CURVE('',#154418,#154416,#72871,.T.); #189720=EDGE_CURVE('',#154419,#154417,#72872,.T.); #189721=EDGE_CURVE('',#154418,#154419,#72873,.T.); #189722=EDGE_CURVE('',#154420,#154418,#72874,.T.); #189723=EDGE_CURVE('',#154421,#154419,#72875,.T.); #189724=EDGE_CURVE('',#154420,#154421,#72876,.T.); #189725=EDGE_CURVE('',#154422,#154420,#72877,.T.); #189726=EDGE_CURVE('',#154423,#154421,#72878,.T.); #189727=EDGE_CURVE('',#154422,#154423,#72879,.T.); #189728=EDGE_CURVE('',#154424,#154422,#72880,.T.); #189729=EDGE_CURVE('',#154425,#154423,#72881,.T.); #189730=EDGE_CURVE('',#154424,#154425,#72882,.T.); #189731=EDGE_CURVE('',#154426,#154424,#72883,.T.); #189732=EDGE_CURVE('',#154427,#154425,#72884,.T.); #189733=EDGE_CURVE('',#154426,#154427,#72885,.T.); #189734=EDGE_CURVE('',#154428,#154426,#72886,.T.); #189735=EDGE_CURVE('',#154429,#154427,#72887,.T.); #189736=EDGE_CURVE('',#154428,#154429,#72888,.T.); #189737=EDGE_CURVE('',#154430,#154428,#72889,.T.); #189738=EDGE_CURVE('',#154431,#154429,#72890,.T.); #189739=EDGE_CURVE('',#154430,#154431,#72891,.T.); #189740=EDGE_CURVE('',#154432,#154430,#72892,.T.); #189741=EDGE_CURVE('',#154433,#154431,#72893,.T.); #189742=EDGE_CURVE('',#154432,#154433,#72894,.T.); #189743=EDGE_CURVE('',#154434,#154432,#72895,.T.); #189744=EDGE_CURVE('',#154435,#154433,#72896,.T.); #189745=EDGE_CURVE('',#154434,#154435,#72897,.T.); #189746=EDGE_CURVE('',#154436,#154434,#72898,.T.); #189747=EDGE_CURVE('',#154437,#154435,#72899,.T.); #189748=EDGE_CURVE('',#154436,#154437,#72900,.T.); #189749=EDGE_CURVE('',#154438,#154436,#72901,.T.); #189750=EDGE_CURVE('',#154439,#154437,#72902,.T.); #189751=EDGE_CURVE('',#154438,#154439,#72903,.T.); #189752=EDGE_CURVE('',#154440,#154438,#72904,.T.); #189753=EDGE_CURVE('',#154441,#154439,#72905,.T.); #189754=EDGE_CURVE('',#154440,#154441,#72906,.T.); #189755=EDGE_CURVE('',#154442,#154440,#72907,.T.); #189756=EDGE_CURVE('',#154443,#154441,#72908,.T.); #189757=EDGE_CURVE('',#154442,#154443,#72909,.T.); #189758=EDGE_CURVE('',#154444,#154442,#72910,.T.); #189759=EDGE_CURVE('',#154445,#154443,#72911,.T.); #189760=EDGE_CURVE('',#154444,#154445,#72912,.T.); #189761=EDGE_CURVE('',#154446,#154444,#72913,.T.); #189762=EDGE_CURVE('',#154447,#154445,#72914,.T.); #189763=EDGE_CURVE('',#154446,#154447,#72915,.T.); #189764=EDGE_CURVE('',#154448,#154446,#72916,.T.); #189765=EDGE_CURVE('',#154449,#154447,#72917,.T.); #189766=EDGE_CURVE('',#154448,#154449,#72918,.T.); #189767=EDGE_CURVE('',#154450,#154448,#72919,.T.); #189768=EDGE_CURVE('',#154451,#154449,#72920,.T.); #189769=EDGE_CURVE('',#154450,#154451,#72921,.T.); #189770=EDGE_CURVE('',#154452,#154450,#72922,.T.); #189771=EDGE_CURVE('',#154453,#154451,#72923,.T.); #189772=EDGE_CURVE('',#154452,#154453,#72924,.T.); #189773=EDGE_CURVE('',#154454,#154452,#72925,.T.); #189774=EDGE_CURVE('',#154455,#154453,#72926,.T.); #189775=EDGE_CURVE('',#154454,#154455,#72927,.T.); #189776=EDGE_CURVE('',#154456,#154454,#72928,.T.); #189777=EDGE_CURVE('',#154457,#154455,#72929,.T.); #189778=EDGE_CURVE('',#154456,#154457,#72930,.T.); #189779=EDGE_CURVE('',#154458,#154456,#72931,.T.); #189780=EDGE_CURVE('',#154459,#154457,#72932,.T.); #189781=EDGE_CURVE('',#154458,#154459,#72933,.T.); #189782=EDGE_CURVE('',#154460,#154458,#72934,.T.); #189783=EDGE_CURVE('',#154461,#154459,#72935,.T.); #189784=EDGE_CURVE('',#154460,#154461,#72936,.T.); #189785=EDGE_CURVE('',#154462,#154460,#72937,.T.); #189786=EDGE_CURVE('',#154463,#154461,#72938,.T.); #189787=EDGE_CURVE('',#154462,#154463,#72939,.T.); #189788=EDGE_CURVE('',#154464,#154462,#72940,.T.); #189789=EDGE_CURVE('',#154465,#154463,#72941,.T.); #189790=EDGE_CURVE('',#154464,#154465,#72942,.T.); #189791=EDGE_CURVE('',#154466,#154464,#72943,.T.); #189792=EDGE_CURVE('',#154467,#154465,#72944,.T.); #189793=EDGE_CURVE('',#154466,#154467,#72945,.T.); #189794=EDGE_CURVE('',#154468,#154466,#72946,.T.); #189795=EDGE_CURVE('',#154469,#154467,#72947,.T.); #189796=EDGE_CURVE('',#154468,#154469,#72948,.T.); #189797=EDGE_CURVE('',#154470,#154468,#72949,.T.); #189798=EDGE_CURVE('',#154471,#154469,#72950,.T.); #189799=EDGE_CURVE('',#154470,#154471,#72951,.T.); #189800=EDGE_CURVE('',#154472,#154470,#72952,.T.); #189801=EDGE_CURVE('',#154473,#154471,#72953,.T.); #189802=EDGE_CURVE('',#154472,#154473,#72954,.T.); #189803=EDGE_CURVE('',#154474,#154472,#72955,.T.); #189804=EDGE_CURVE('',#154475,#154473,#72956,.T.); #189805=EDGE_CURVE('',#154474,#154475,#72957,.T.); #189806=EDGE_CURVE('',#154476,#154474,#72958,.T.); #189807=EDGE_CURVE('',#154477,#154475,#72959,.T.); #189808=EDGE_CURVE('',#154476,#154477,#72960,.T.); #189809=EDGE_CURVE('',#154478,#154476,#72961,.T.); #189810=EDGE_CURVE('',#154479,#154477,#72962,.T.); #189811=EDGE_CURVE('',#154478,#154479,#72963,.T.); #189812=EDGE_CURVE('',#154480,#154478,#72964,.T.); #189813=EDGE_CURVE('',#154481,#154479,#72965,.T.); #189814=EDGE_CURVE('',#154480,#154481,#72966,.T.); #189815=EDGE_CURVE('',#154482,#154480,#72967,.T.); #189816=EDGE_CURVE('',#154483,#154481,#72968,.T.); #189817=EDGE_CURVE('',#154482,#154483,#72969,.T.); #189818=EDGE_CURVE('',#154484,#154482,#72970,.T.); #189819=EDGE_CURVE('',#154485,#154483,#72971,.T.); #189820=EDGE_CURVE('',#154484,#154485,#72972,.T.); #189821=EDGE_CURVE('',#154486,#154484,#72973,.T.); #189822=EDGE_CURVE('',#154487,#154485,#72974,.T.); #189823=EDGE_CURVE('',#154486,#154487,#72975,.T.); #189824=EDGE_CURVE('',#154488,#154486,#72976,.T.); #189825=EDGE_CURVE('',#154489,#154487,#72977,.T.); #189826=EDGE_CURVE('',#154488,#154489,#72978,.T.); #189827=EDGE_CURVE('',#154490,#154488,#72979,.T.); #189828=EDGE_CURVE('',#154491,#154489,#72980,.T.); #189829=EDGE_CURVE('',#154490,#154491,#72981,.T.); #189830=EDGE_CURVE('',#154492,#154490,#72982,.T.); #189831=EDGE_CURVE('',#154493,#154491,#72983,.T.); #189832=EDGE_CURVE('',#154492,#154493,#72984,.T.); #189833=EDGE_CURVE('',#154494,#154492,#72985,.T.); #189834=EDGE_CURVE('',#154495,#154493,#72986,.T.); #189835=EDGE_CURVE('',#154494,#154495,#72987,.T.); #189836=EDGE_CURVE('',#154496,#154494,#72988,.T.); #189837=EDGE_CURVE('',#154497,#154495,#72989,.T.); #189838=EDGE_CURVE('',#154496,#154497,#72990,.T.); #189839=EDGE_CURVE('',#154498,#154496,#72991,.T.); #189840=EDGE_CURVE('',#154499,#154497,#72992,.T.); #189841=EDGE_CURVE('',#154498,#154499,#72993,.T.); #189842=EDGE_CURVE('',#154500,#154498,#72994,.T.); #189843=EDGE_CURVE('',#154501,#154499,#72995,.T.); #189844=EDGE_CURVE('',#154500,#154501,#72996,.T.); #189845=EDGE_CURVE('',#154502,#154500,#72997,.T.); #189846=EDGE_CURVE('',#154503,#154501,#72998,.T.); #189847=EDGE_CURVE('',#154502,#154503,#72999,.T.); #189848=EDGE_CURVE('',#154504,#154502,#73000,.T.); #189849=EDGE_CURVE('',#154505,#154503,#73001,.T.); #189850=EDGE_CURVE('',#154504,#154505,#73002,.T.); #189851=EDGE_CURVE('',#154506,#154504,#73003,.T.); #189852=EDGE_CURVE('',#154507,#154505,#73004,.T.); #189853=EDGE_CURVE('',#154506,#154507,#73005,.T.); #189854=EDGE_CURVE('',#154508,#154506,#73006,.T.); #189855=EDGE_CURVE('',#154509,#154507,#73007,.T.); #189856=EDGE_CURVE('',#154508,#154509,#73008,.T.); #189857=EDGE_CURVE('',#154510,#154508,#73009,.T.); #189858=EDGE_CURVE('',#154511,#154509,#73010,.T.); #189859=EDGE_CURVE('',#154510,#154511,#73011,.T.); #189860=EDGE_CURVE('',#154512,#154510,#73012,.T.); #189861=EDGE_CURVE('',#154513,#154511,#73013,.T.); #189862=EDGE_CURVE('',#154512,#154513,#73014,.T.); #189863=EDGE_CURVE('',#154514,#154512,#73015,.T.); #189864=EDGE_CURVE('',#154515,#154513,#73016,.T.); #189865=EDGE_CURVE('',#154514,#154515,#73017,.T.); #189866=EDGE_CURVE('',#154516,#154514,#73018,.T.); #189867=EDGE_CURVE('',#154517,#154515,#73019,.T.); #189868=EDGE_CURVE('',#154516,#154517,#73020,.T.); #189869=EDGE_CURVE('',#154518,#154516,#73021,.T.); #189870=EDGE_CURVE('',#154519,#154517,#73022,.T.); #189871=EDGE_CURVE('',#154518,#154519,#73023,.T.); #189872=EDGE_CURVE('',#154520,#154518,#73024,.T.); #189873=EDGE_CURVE('',#154521,#154519,#73025,.T.); #189874=EDGE_CURVE('',#154520,#154521,#73026,.T.); #189875=EDGE_CURVE('',#154522,#154520,#73027,.T.); #189876=EDGE_CURVE('',#154523,#154521,#73028,.T.); #189877=EDGE_CURVE('',#154522,#154523,#73029,.T.); #189878=EDGE_CURVE('',#154524,#154522,#73030,.T.); #189879=EDGE_CURVE('',#154525,#154523,#73031,.T.); #189880=EDGE_CURVE('',#154524,#154525,#73032,.T.); #189881=EDGE_CURVE('',#154526,#154524,#73033,.T.); #189882=EDGE_CURVE('',#154527,#154525,#73034,.T.); #189883=EDGE_CURVE('',#154526,#154527,#73035,.T.); #189884=EDGE_CURVE('',#154528,#154526,#73036,.T.); #189885=EDGE_CURVE('',#154529,#154527,#73037,.T.); #189886=EDGE_CURVE('',#154528,#154529,#73038,.T.); #189887=EDGE_CURVE('',#154530,#154528,#73039,.T.); #189888=EDGE_CURVE('',#154531,#154529,#73040,.T.); #189889=EDGE_CURVE('',#154530,#154531,#73041,.T.); #189890=EDGE_CURVE('',#154532,#154530,#73042,.T.); #189891=EDGE_CURVE('',#154533,#154531,#73043,.T.); #189892=EDGE_CURVE('',#154532,#154533,#73044,.T.); #189893=EDGE_CURVE('',#154534,#154532,#73045,.T.); #189894=EDGE_CURVE('',#154535,#154533,#73046,.T.); #189895=EDGE_CURVE('',#154534,#154535,#73047,.T.); #189896=EDGE_CURVE('',#154536,#154534,#73048,.T.); #189897=EDGE_CURVE('',#154537,#154535,#73049,.T.); #189898=EDGE_CURVE('',#154536,#154537,#73050,.T.); #189899=EDGE_CURVE('',#154538,#154536,#73051,.T.); #189900=EDGE_CURVE('',#154539,#154537,#73052,.T.); #189901=EDGE_CURVE('',#154538,#154539,#73053,.T.); #189902=EDGE_CURVE('',#154540,#154538,#73054,.T.); #189903=EDGE_CURVE('',#154541,#154539,#73055,.T.); #189904=EDGE_CURVE('',#154540,#154541,#73056,.T.); #189905=EDGE_CURVE('',#154542,#154540,#73057,.T.); #189906=EDGE_CURVE('',#154543,#154541,#73058,.T.); #189907=EDGE_CURVE('',#154542,#154543,#73059,.T.); #189908=EDGE_CURVE('',#154544,#154542,#73060,.T.); #189909=EDGE_CURVE('',#154545,#154543,#73061,.T.); #189910=EDGE_CURVE('',#154544,#154545,#73062,.T.); #189911=EDGE_CURVE('',#154546,#154544,#73063,.T.); #189912=EDGE_CURVE('',#154547,#154545,#73064,.T.); #189913=EDGE_CURVE('',#154546,#154547,#73065,.T.); #189914=EDGE_CURVE('',#154363,#154546,#73066,.T.); #189915=EDGE_CURVE('',#154364,#154547,#73067,.T.); #189916=EDGE_CURVE('',#154548,#154549,#73068,.T.); #189917=EDGE_CURVE('',#154549,#154550,#73069,.T.); #189918=EDGE_CURVE('',#154551,#154550,#73070,.T.); #189919=EDGE_CURVE('',#154548,#154551,#73071,.T.); #189920=EDGE_CURVE('',#154552,#154548,#73072,.T.); #189921=EDGE_CURVE('',#154553,#154551,#73073,.T.); #189922=EDGE_CURVE('',#154552,#154553,#73074,.T.); #189923=EDGE_CURVE('',#154554,#154552,#73075,.T.); #189924=EDGE_CURVE('',#154555,#154553,#73076,.T.); #189925=EDGE_CURVE('',#154554,#154555,#73077,.T.); #189926=EDGE_CURVE('',#154556,#154554,#73078,.T.); #189927=EDGE_CURVE('',#154557,#154555,#73079,.T.); #189928=EDGE_CURVE('',#154556,#154557,#73080,.T.); #189929=EDGE_CURVE('',#154558,#154556,#73081,.T.); #189930=EDGE_CURVE('',#154559,#154557,#73082,.T.); #189931=EDGE_CURVE('',#154558,#154559,#73083,.T.); #189932=EDGE_CURVE('',#154560,#154558,#73084,.T.); #189933=EDGE_CURVE('',#154561,#154559,#73085,.T.); #189934=EDGE_CURVE('',#154560,#154561,#73086,.T.); #189935=EDGE_CURVE('',#154562,#154560,#73087,.T.); #189936=EDGE_CURVE('',#154563,#154561,#73088,.T.); #189937=EDGE_CURVE('',#154562,#154563,#73089,.T.); #189938=EDGE_CURVE('',#154564,#154562,#73090,.T.); #189939=EDGE_CURVE('',#154565,#154563,#73091,.T.); #189940=EDGE_CURVE('',#154564,#154565,#73092,.T.); #189941=EDGE_CURVE('',#154566,#154564,#73093,.T.); #189942=EDGE_CURVE('',#154567,#154565,#73094,.T.); #189943=EDGE_CURVE('',#154566,#154567,#73095,.T.); #189944=EDGE_CURVE('',#154568,#154566,#73096,.T.); #189945=EDGE_CURVE('',#154569,#154567,#73097,.T.); #189946=EDGE_CURVE('',#154568,#154569,#73098,.T.); #189947=EDGE_CURVE('',#154570,#154568,#73099,.T.); #189948=EDGE_CURVE('',#154571,#154569,#73100,.T.); #189949=EDGE_CURVE('',#154570,#154571,#73101,.T.); #189950=EDGE_CURVE('',#154572,#154570,#73102,.T.); #189951=EDGE_CURVE('',#154573,#154571,#73103,.T.); #189952=EDGE_CURVE('',#154572,#154573,#73104,.T.); #189953=EDGE_CURVE('',#154574,#154572,#73105,.T.); #189954=EDGE_CURVE('',#154575,#154573,#73106,.T.); #189955=EDGE_CURVE('',#154574,#154575,#73107,.T.); #189956=EDGE_CURVE('',#154576,#154574,#73108,.T.); #189957=EDGE_CURVE('',#154577,#154575,#73109,.T.); #189958=EDGE_CURVE('',#154576,#154577,#73110,.T.); #189959=EDGE_CURVE('',#154578,#154576,#73111,.T.); #189960=EDGE_CURVE('',#154579,#154577,#73112,.T.); #189961=EDGE_CURVE('',#154578,#154579,#73113,.T.); #189962=EDGE_CURVE('',#154580,#154578,#73114,.T.); #189963=EDGE_CURVE('',#154581,#154579,#73115,.T.); #189964=EDGE_CURVE('',#154580,#154581,#73116,.T.); #189965=EDGE_CURVE('',#154582,#154580,#73117,.T.); #189966=EDGE_CURVE('',#154583,#154581,#73118,.T.); #189967=EDGE_CURVE('',#154582,#154583,#73119,.T.); #189968=EDGE_CURVE('',#154584,#154582,#73120,.T.); #189969=EDGE_CURVE('',#154585,#154583,#73121,.T.); #189970=EDGE_CURVE('',#154584,#154585,#73122,.T.); #189971=EDGE_CURVE('',#154586,#154584,#73123,.T.); #189972=EDGE_CURVE('',#154587,#154585,#73124,.T.); #189973=EDGE_CURVE('',#154586,#154587,#73125,.T.); #189974=EDGE_CURVE('',#154588,#154586,#73126,.T.); #189975=EDGE_CURVE('',#154589,#154587,#73127,.T.); #189976=EDGE_CURVE('',#154588,#154589,#73128,.T.); #189977=EDGE_CURVE('',#154590,#154588,#73129,.T.); #189978=EDGE_CURVE('',#154591,#154589,#73130,.T.); #189979=EDGE_CURVE('',#154590,#154591,#73131,.T.); #189980=EDGE_CURVE('',#154592,#154590,#73132,.T.); #189981=EDGE_CURVE('',#154593,#154591,#73133,.T.); #189982=EDGE_CURVE('',#154592,#154593,#73134,.T.); #189983=EDGE_CURVE('',#154594,#154592,#73135,.T.); #189984=EDGE_CURVE('',#154595,#154593,#73136,.T.); #189985=EDGE_CURVE('',#154594,#154595,#73137,.T.); #189986=EDGE_CURVE('',#154596,#154594,#73138,.T.); #189987=EDGE_CURVE('',#154597,#154595,#73139,.T.); #189988=EDGE_CURVE('',#154596,#154597,#73140,.T.); #189989=EDGE_CURVE('',#154598,#154596,#73141,.T.); #189990=EDGE_CURVE('',#154599,#154597,#73142,.T.); #189991=EDGE_CURVE('',#154598,#154599,#73143,.T.); #189992=EDGE_CURVE('',#154600,#154598,#73144,.T.); #189993=EDGE_CURVE('',#154601,#154599,#73145,.T.); #189994=EDGE_CURVE('',#154600,#154601,#73146,.T.); #189995=EDGE_CURVE('',#154602,#154600,#73147,.T.); #189996=EDGE_CURVE('',#154603,#154601,#73148,.T.); #189997=EDGE_CURVE('',#154602,#154603,#73149,.T.); #189998=EDGE_CURVE('',#154604,#154602,#73150,.T.); #189999=EDGE_CURVE('',#154605,#154603,#73151,.T.); #190000=EDGE_CURVE('',#154604,#154605,#73152,.T.); #190001=EDGE_CURVE('',#154606,#154604,#73153,.T.); #190002=EDGE_CURVE('',#154607,#154605,#73154,.T.); #190003=EDGE_CURVE('',#154606,#154607,#73155,.T.); #190004=EDGE_CURVE('',#154549,#154606,#73156,.T.); #190005=EDGE_CURVE('',#154550,#154607,#73157,.T.); #190006=EDGE_CURVE('',#154608,#154608,#139662,.T.); #190007=EDGE_CURVE('',#154608,#154609,#73158,.T.); #190008=EDGE_CURVE('',#154609,#154609,#139663,.T.); #190009=EDGE_CURVE('',#154610,#154610,#139664,.T.); #190010=EDGE_CURVE('',#154610,#154611,#73159,.T.); #190011=EDGE_CURVE('',#154611,#154611,#139665,.T.); #190012=EDGE_CURVE('',#154612,#154612,#139666,.T.); #190013=EDGE_CURVE('',#154612,#154613,#73160,.T.); #190014=EDGE_CURVE('',#154613,#154613,#139667,.T.); #190015=EDGE_CURVE('',#154614,#154614,#139668,.T.); #190016=EDGE_CURVE('',#154614,#154615,#73161,.T.); #190017=EDGE_CURVE('',#154615,#154615,#139669,.T.); #190018=EDGE_CURVE('',#154616,#154616,#139670,.T.); #190019=EDGE_CURVE('',#154616,#154617,#73162,.T.); #190020=EDGE_CURVE('',#154617,#154617,#139671,.T.); #190021=EDGE_CURVE('',#154618,#154618,#139672,.T.); #190022=EDGE_CURVE('',#154618,#154619,#73163,.T.); #190023=EDGE_CURVE('',#154619,#154619,#139673,.T.); #190024=EDGE_CURVE('',#154620,#154620,#139674,.T.); #190025=EDGE_CURVE('',#154620,#154621,#73164,.T.); #190026=EDGE_CURVE('',#154621,#154621,#139675,.T.); #190027=EDGE_CURVE('',#154622,#154622,#139676,.T.); #190028=EDGE_CURVE('',#154622,#154623,#73165,.T.); #190029=EDGE_CURVE('',#154623,#154623,#139677,.T.); #190030=EDGE_CURVE('',#154624,#154624,#139678,.T.); #190031=EDGE_CURVE('',#154624,#154625,#73166,.T.); #190032=EDGE_CURVE('',#154625,#154625,#139679,.T.); #190033=EDGE_CURVE('',#154626,#154626,#139680,.T.); #190034=EDGE_CURVE('',#154626,#154627,#73167,.T.); #190035=EDGE_CURVE('',#154627,#154627,#139681,.T.); #190036=EDGE_CURVE('',#154628,#154628,#139682,.T.); #190037=EDGE_CURVE('',#154628,#154629,#73168,.T.); #190038=EDGE_CURVE('',#154629,#154629,#139683,.T.); #190039=EDGE_CURVE('',#154630,#154630,#139684,.T.); #190040=EDGE_CURVE('',#154630,#154631,#73169,.T.); #190041=EDGE_CURVE('',#154631,#154631,#139685,.T.); #190042=EDGE_CURVE('',#154632,#154632,#139686,.T.); #190043=EDGE_CURVE('',#154632,#154633,#73170,.T.); #190044=EDGE_CURVE('',#154633,#154633,#139687,.T.); #190045=EDGE_CURVE('',#154634,#154634,#139688,.T.); #190046=EDGE_CURVE('',#154634,#154635,#73171,.T.); #190047=EDGE_CURVE('',#154635,#154635,#139689,.T.); #190048=EDGE_CURVE('',#154636,#154636,#139690,.T.); #190049=EDGE_CURVE('',#154636,#154637,#73172,.T.); #190050=EDGE_CURVE('',#154637,#154637,#139691,.T.); #190051=EDGE_CURVE('',#154638,#154639,#73173,.T.); #190052=EDGE_CURVE('',#154639,#154640,#73174,.T.); #190053=EDGE_CURVE('',#154641,#154640,#73175,.T.); #190054=EDGE_CURVE('',#154638,#154641,#73176,.T.); #190055=EDGE_CURVE('',#154642,#154638,#73177,.T.); #190056=EDGE_CURVE('',#154643,#154641,#73178,.T.); #190057=EDGE_CURVE('',#154642,#154643,#73179,.T.); #190058=EDGE_CURVE('',#154644,#154642,#73180,.T.); #190059=EDGE_CURVE('',#154645,#154643,#73181,.T.); #190060=EDGE_CURVE('',#154644,#154645,#73182,.T.); #190061=EDGE_CURVE('',#154646,#154644,#73183,.T.); #190062=EDGE_CURVE('',#154647,#154645,#73184,.T.); #190063=EDGE_CURVE('',#154646,#154647,#73185,.T.); #190064=EDGE_CURVE('',#154648,#154646,#73186,.T.); #190065=EDGE_CURVE('',#154649,#154647,#73187,.T.); #190066=EDGE_CURVE('',#154648,#154649,#73188,.T.); #190067=EDGE_CURVE('',#154650,#154648,#73189,.T.); #190068=EDGE_CURVE('',#154651,#154649,#73190,.T.); #190069=EDGE_CURVE('',#154650,#154651,#73191,.T.); #190070=EDGE_CURVE('',#154652,#154650,#73192,.T.); #190071=EDGE_CURVE('',#154653,#154651,#73193,.T.); #190072=EDGE_CURVE('',#154652,#154653,#73194,.T.); #190073=EDGE_CURVE('',#154654,#154652,#73195,.T.); #190074=EDGE_CURVE('',#154655,#154653,#73196,.T.); #190075=EDGE_CURVE('',#154654,#154655,#73197,.T.); #190076=EDGE_CURVE('',#154656,#154654,#73198,.T.); #190077=EDGE_CURVE('',#154657,#154655,#73199,.T.); #190078=EDGE_CURVE('',#154656,#154657,#73200,.T.); #190079=EDGE_CURVE('',#154658,#154656,#73201,.T.); #190080=EDGE_CURVE('',#154659,#154657,#73202,.T.); #190081=EDGE_CURVE('',#154658,#154659,#73203,.T.); #190082=EDGE_CURVE('',#154660,#154658,#73204,.T.); #190083=EDGE_CURVE('',#154661,#154659,#73205,.T.); #190084=EDGE_CURVE('',#154660,#154661,#73206,.T.); #190085=EDGE_CURVE('',#154662,#154660,#73207,.T.); #190086=EDGE_CURVE('',#154663,#154661,#73208,.T.); #190087=EDGE_CURVE('',#154662,#154663,#73209,.T.); #190088=EDGE_CURVE('',#154664,#154662,#73210,.T.); #190089=EDGE_CURVE('',#154665,#154663,#73211,.T.); #190090=EDGE_CURVE('',#154664,#154665,#73212,.T.); #190091=EDGE_CURVE('',#154666,#154664,#73213,.T.); #190092=EDGE_CURVE('',#154667,#154665,#73214,.T.); #190093=EDGE_CURVE('',#154666,#154667,#73215,.T.); #190094=EDGE_CURVE('',#154668,#154666,#73216,.T.); #190095=EDGE_CURVE('',#154669,#154667,#73217,.T.); #190096=EDGE_CURVE('',#154668,#154669,#73218,.T.); #190097=EDGE_CURVE('',#154670,#154668,#73219,.T.); #190098=EDGE_CURVE('',#154671,#154669,#73220,.T.); #190099=EDGE_CURVE('',#154670,#154671,#73221,.T.); #190100=EDGE_CURVE('',#154672,#154670,#73222,.T.); #190101=EDGE_CURVE('',#154673,#154671,#73223,.T.); #190102=EDGE_CURVE('',#154672,#154673,#73224,.T.); #190103=EDGE_CURVE('',#154674,#154672,#73225,.T.); #190104=EDGE_CURVE('',#154675,#154673,#73226,.T.); #190105=EDGE_CURVE('',#154674,#154675,#73227,.T.); #190106=EDGE_CURVE('',#154676,#154674,#73228,.T.); #190107=EDGE_CURVE('',#154677,#154675,#73229,.T.); #190108=EDGE_CURVE('',#154676,#154677,#73230,.T.); #190109=EDGE_CURVE('',#154678,#154676,#73231,.T.); #190110=EDGE_CURVE('',#154679,#154677,#73232,.T.); #190111=EDGE_CURVE('',#154678,#154679,#73233,.T.); #190112=EDGE_CURVE('',#154680,#154678,#73234,.T.); #190113=EDGE_CURVE('',#154681,#154679,#73235,.T.); #190114=EDGE_CURVE('',#154680,#154681,#73236,.T.); #190115=EDGE_CURVE('',#154682,#154680,#73237,.T.); #190116=EDGE_CURVE('',#154683,#154681,#73238,.T.); #190117=EDGE_CURVE('',#154682,#154683,#73239,.T.); #190118=EDGE_CURVE('',#154684,#154682,#73240,.T.); #190119=EDGE_CURVE('',#154685,#154683,#73241,.T.); #190120=EDGE_CURVE('',#154684,#154685,#73242,.T.); #190121=EDGE_CURVE('',#154686,#154684,#73243,.T.); #190122=EDGE_CURVE('',#154687,#154685,#73244,.T.); #190123=EDGE_CURVE('',#154686,#154687,#73245,.T.); #190124=EDGE_CURVE('',#154688,#154686,#73246,.T.); #190125=EDGE_CURVE('',#154689,#154687,#73247,.T.); #190126=EDGE_CURVE('',#154688,#154689,#73248,.T.); #190127=EDGE_CURVE('',#154690,#154688,#73249,.T.); #190128=EDGE_CURVE('',#154691,#154689,#73250,.T.); #190129=EDGE_CURVE('',#154690,#154691,#73251,.T.); #190130=EDGE_CURVE('',#154692,#154690,#73252,.T.); #190131=EDGE_CURVE('',#154693,#154691,#73253,.T.); #190132=EDGE_CURVE('',#154692,#154693,#73254,.T.); #190133=EDGE_CURVE('',#154694,#154692,#73255,.T.); #190134=EDGE_CURVE('',#154695,#154693,#73256,.T.); #190135=EDGE_CURVE('',#154694,#154695,#73257,.T.); #190136=EDGE_CURVE('',#154696,#154694,#73258,.T.); #190137=EDGE_CURVE('',#154697,#154695,#73259,.T.); #190138=EDGE_CURVE('',#154696,#154697,#73260,.T.); #190139=EDGE_CURVE('',#154698,#154696,#73261,.T.); #190140=EDGE_CURVE('',#154699,#154697,#73262,.T.); #190141=EDGE_CURVE('',#154698,#154699,#73263,.T.); #190142=EDGE_CURVE('',#154700,#154698,#73264,.T.); #190143=EDGE_CURVE('',#154701,#154699,#73265,.T.); #190144=EDGE_CURVE('',#154700,#154701,#73266,.T.); #190145=EDGE_CURVE('',#154702,#154700,#73267,.T.); #190146=EDGE_CURVE('',#154703,#154701,#73268,.T.); #190147=EDGE_CURVE('',#154702,#154703,#73269,.T.); #190148=EDGE_CURVE('',#154704,#154702,#73270,.T.); #190149=EDGE_CURVE('',#154705,#154703,#73271,.T.); #190150=EDGE_CURVE('',#154704,#154705,#73272,.T.); #190151=EDGE_CURVE('',#154706,#154704,#73273,.T.); #190152=EDGE_CURVE('',#154707,#154705,#73274,.T.); #190153=EDGE_CURVE('',#154706,#154707,#73275,.T.); #190154=EDGE_CURVE('',#154708,#154706,#73276,.T.); #190155=EDGE_CURVE('',#154709,#154707,#73277,.T.); #190156=EDGE_CURVE('',#154708,#154709,#73278,.T.); #190157=EDGE_CURVE('',#154710,#154708,#73279,.T.); #190158=EDGE_CURVE('',#154711,#154709,#73280,.T.); #190159=EDGE_CURVE('',#154710,#154711,#73281,.T.); #190160=EDGE_CURVE('',#154712,#154710,#73282,.T.); #190161=EDGE_CURVE('',#154713,#154711,#73283,.T.); #190162=EDGE_CURVE('',#154712,#154713,#73284,.T.); #190163=EDGE_CURVE('',#154714,#154712,#73285,.T.); #190164=EDGE_CURVE('',#154715,#154713,#73286,.T.); #190165=EDGE_CURVE('',#154714,#154715,#73287,.T.); #190166=EDGE_CURVE('',#154716,#154714,#73288,.T.); #190167=EDGE_CURVE('',#154717,#154715,#73289,.T.); #190168=EDGE_CURVE('',#154716,#154717,#73290,.T.); #190169=EDGE_CURVE('',#154718,#154716,#73291,.T.); #190170=EDGE_CURVE('',#154719,#154717,#73292,.T.); #190171=EDGE_CURVE('',#154718,#154719,#73293,.T.); #190172=EDGE_CURVE('',#154639,#154718,#73294,.T.); #190173=EDGE_CURVE('',#154640,#154719,#73295,.T.); #190174=EDGE_CURVE('',#154720,#154720,#139692,.T.); #190175=EDGE_CURVE('',#154720,#154721,#73296,.T.); #190176=EDGE_CURVE('',#154721,#154721,#139693,.T.); #190177=EDGE_CURVE('',#154722,#154723,#73297,.T.); #190178=EDGE_CURVE('',#154723,#154724,#73298,.T.); #190179=EDGE_CURVE('',#154725,#154724,#73299,.T.); #190180=EDGE_CURVE('',#154722,#154725,#73300,.T.); #190181=EDGE_CURVE('',#154726,#154722,#73301,.T.); #190182=EDGE_CURVE('',#154727,#154725,#73302,.T.); #190183=EDGE_CURVE('',#154726,#154727,#73303,.T.); #190184=EDGE_CURVE('',#154728,#154726,#73304,.T.); #190185=EDGE_CURVE('',#154729,#154727,#73305,.T.); #190186=EDGE_CURVE('',#154728,#154729,#73306,.T.); #190187=EDGE_CURVE('',#154730,#154728,#73307,.T.); #190188=EDGE_CURVE('',#154731,#154729,#73308,.T.); #190189=EDGE_CURVE('',#154730,#154731,#73309,.T.); #190190=EDGE_CURVE('',#154732,#154730,#73310,.T.); #190191=EDGE_CURVE('',#154733,#154731,#73311,.T.); #190192=EDGE_CURVE('',#154732,#154733,#73312,.T.); #190193=EDGE_CURVE('',#154734,#154732,#139694,.T.); #190194=EDGE_CURVE('',#154735,#154733,#139695,.T.); #190195=EDGE_CURVE('',#154734,#154735,#73313,.T.); #190196=EDGE_CURVE('',#154736,#154734,#73314,.T.); #190197=EDGE_CURVE('',#154737,#154735,#73315,.T.); #190198=EDGE_CURVE('',#154736,#154737,#73316,.T.); #190199=EDGE_CURVE('',#154738,#154736,#73317,.T.); #190200=EDGE_CURVE('',#154739,#154737,#73318,.T.); #190201=EDGE_CURVE('',#154738,#154739,#73319,.T.); #190202=EDGE_CURVE('',#154740,#154738,#139696,.T.); #190203=EDGE_CURVE('',#154741,#154739,#139697,.T.); #190204=EDGE_CURVE('',#154740,#154741,#73320,.T.); #190205=EDGE_CURVE('',#154742,#154740,#73321,.T.); #190206=EDGE_CURVE('',#154743,#154741,#73322,.T.); #190207=EDGE_CURVE('',#154742,#154743,#73323,.T.); #190208=EDGE_CURVE('',#154744,#154742,#73324,.T.); #190209=EDGE_CURVE('',#154745,#154743,#73325,.T.); #190210=EDGE_CURVE('',#154744,#154745,#73326,.T.); #190211=EDGE_CURVE('',#154746,#154744,#73327,.T.); #190212=EDGE_CURVE('',#154747,#154745,#73328,.T.); #190213=EDGE_CURVE('',#154746,#154747,#73329,.T.); #190214=EDGE_CURVE('',#154748,#154746,#73330,.T.); #190215=EDGE_CURVE('',#154749,#154747,#73331,.T.); #190216=EDGE_CURVE('',#154748,#154749,#73332,.T.); #190217=EDGE_CURVE('',#154750,#154748,#73333,.T.); #190218=EDGE_CURVE('',#154751,#154749,#73334,.T.); #190219=EDGE_CURVE('',#154750,#154751,#73335,.T.); #190220=EDGE_CURVE('',#154752,#154750,#73336,.T.); #190221=EDGE_CURVE('',#154753,#154751,#73337,.T.); #190222=EDGE_CURVE('',#154752,#154753,#73338,.T.); #190223=EDGE_CURVE('',#154754,#154752,#73339,.T.); #190224=EDGE_CURVE('',#154755,#154753,#73340,.T.); #190225=EDGE_CURVE('',#154754,#154755,#73341,.T.); #190226=EDGE_CURVE('',#154756,#154754,#73342,.T.); #190227=EDGE_CURVE('',#154757,#154755,#73343,.T.); #190228=EDGE_CURVE('',#154756,#154757,#73344,.T.); #190229=EDGE_CURVE('',#154758,#154756,#73345,.T.); #190230=EDGE_CURVE('',#154759,#154757,#73346,.T.); #190231=EDGE_CURVE('',#154758,#154759,#73347,.T.); #190232=EDGE_CURVE('',#154760,#154758,#73348,.T.); #190233=EDGE_CURVE('',#154761,#154759,#73349,.T.); #190234=EDGE_CURVE('',#154760,#154761,#73350,.T.); #190235=EDGE_CURVE('',#154762,#154760,#73351,.T.); #190236=EDGE_CURVE('',#154763,#154761,#73352,.T.); #190237=EDGE_CURVE('',#154762,#154763,#73353,.T.); #190238=EDGE_CURVE('',#154764,#154762,#73354,.T.); #190239=EDGE_CURVE('',#154765,#154763,#73355,.T.); #190240=EDGE_CURVE('',#154764,#154765,#73356,.T.); #190241=EDGE_CURVE('',#154766,#154764,#73357,.T.); #190242=EDGE_CURVE('',#154767,#154765,#73358,.T.); #190243=EDGE_CURVE('',#154766,#154767,#73359,.T.); #190244=EDGE_CURVE('',#154768,#154766,#73360,.T.); #190245=EDGE_CURVE('',#154769,#154767,#73361,.T.); #190246=EDGE_CURVE('',#154768,#154769,#73362,.T.); #190247=EDGE_CURVE('',#154770,#154768,#73363,.T.); #190248=EDGE_CURVE('',#154771,#154769,#73364,.T.); #190249=EDGE_CURVE('',#154770,#154771,#73365,.T.); #190250=EDGE_CURVE('',#154772,#154770,#73366,.T.); #190251=EDGE_CURVE('',#154773,#154771,#73367,.T.); #190252=EDGE_CURVE('',#154772,#154773,#73368,.T.); #190253=EDGE_CURVE('',#154774,#154772,#139698,.T.); #190254=EDGE_CURVE('',#154775,#154773,#139699,.T.); #190255=EDGE_CURVE('',#154774,#154775,#73369,.T.); #190256=EDGE_CURVE('',#154776,#154774,#73370,.T.); #190257=EDGE_CURVE('',#154777,#154775,#73371,.T.); #190258=EDGE_CURVE('',#154776,#154777,#73372,.T.); #190259=EDGE_CURVE('',#154778,#154776,#139700,.T.); #190260=EDGE_CURVE('',#154779,#154777,#139701,.T.); #190261=EDGE_CURVE('',#154778,#154779,#73373,.T.); #190262=EDGE_CURVE('',#154780,#154778,#73374,.T.); #190263=EDGE_CURVE('',#154781,#154779,#73375,.T.); #190264=EDGE_CURVE('',#154780,#154781,#73376,.T.); #190265=EDGE_CURVE('',#154782,#154780,#139702,.T.); #190266=EDGE_CURVE('',#154783,#154781,#139703,.T.); #190267=EDGE_CURVE('',#154782,#154783,#73377,.T.); #190268=EDGE_CURVE('',#154784,#154782,#73378,.T.); #190269=EDGE_CURVE('',#154785,#154783,#73379,.T.); #190270=EDGE_CURVE('',#154784,#154785,#73380,.T.); #190271=EDGE_CURVE('',#154786,#154784,#73381,.T.); #190272=EDGE_CURVE('',#154787,#154785,#73382,.T.); #190273=EDGE_CURVE('',#154786,#154787,#73383,.T.); #190274=EDGE_CURVE('',#154788,#154786,#73384,.T.); #190275=EDGE_CURVE('',#154789,#154787,#73385,.T.); #190276=EDGE_CURVE('',#154788,#154789,#73386,.T.); #190277=EDGE_CURVE('',#154790,#154788,#139704,.T.); #190278=EDGE_CURVE('',#154791,#154789,#139705,.T.); #190279=EDGE_CURVE('',#154790,#154791,#73387,.T.); #190280=EDGE_CURVE('',#154792,#154790,#73388,.T.); #190281=EDGE_CURVE('',#154793,#154791,#73389,.T.); #190282=EDGE_CURVE('',#154792,#154793,#73390,.T.); #190283=EDGE_CURVE('',#154794,#154792,#139706,.T.); #190284=EDGE_CURVE('',#154795,#154793,#139707,.T.); #190285=EDGE_CURVE('',#154794,#154795,#73391,.T.); #190286=EDGE_CURVE('',#154796,#154794,#73392,.T.); #190287=EDGE_CURVE('',#154797,#154795,#73393,.T.); #190288=EDGE_CURVE('',#154796,#154797,#73394,.T.); #190289=EDGE_CURVE('',#154798,#154796,#73395,.T.); #190290=EDGE_CURVE('',#154799,#154797,#73396,.T.); #190291=EDGE_CURVE('',#154798,#154799,#73397,.T.); #190292=EDGE_CURVE('',#154800,#154798,#139708,.T.); #190293=EDGE_CURVE('',#154801,#154799,#139709,.T.); #190294=EDGE_CURVE('',#154800,#154801,#73398,.T.); #190295=EDGE_CURVE('',#154802,#154800,#73399,.T.); #190296=EDGE_CURVE('',#154803,#154801,#73400,.T.); #190297=EDGE_CURVE('',#154802,#154803,#73401,.T.); #190298=EDGE_CURVE('',#154804,#154802,#73402,.T.); #190299=EDGE_CURVE('',#154805,#154803,#73403,.T.); #190300=EDGE_CURVE('',#154804,#154805,#73404,.T.); #190301=EDGE_CURVE('',#154723,#154804,#73405,.T.); #190302=EDGE_CURVE('',#154724,#154805,#73406,.T.); #190303=EDGE_CURVE('',#154806,#154806,#139710,.T.); #190304=EDGE_CURVE('',#154806,#154807,#73407,.T.); #190305=EDGE_CURVE('',#154807,#154807,#139711,.T.); #190306=EDGE_CURVE('',#154808,#154808,#139712,.T.); #190307=EDGE_CURVE('',#154808,#154809,#73408,.T.); #190308=EDGE_CURVE('',#154809,#154809,#139713,.T.); #190309=EDGE_CURVE('',#154810,#154810,#139714,.T.); #190310=EDGE_CURVE('',#154810,#154811,#73409,.T.); #190311=EDGE_CURVE('',#154811,#154811,#139715,.T.); #190312=EDGE_CURVE('',#154812,#154812,#139716,.T.); #190313=EDGE_CURVE('',#154812,#154813,#73410,.T.); #190314=EDGE_CURVE('',#154813,#154813,#139717,.T.); #190315=EDGE_CURVE('',#154814,#154814,#139718,.T.); #190316=EDGE_CURVE('',#154814,#154815,#73411,.T.); #190317=EDGE_CURVE('',#154815,#154815,#139719,.T.); #190318=EDGE_CURVE('',#154816,#154816,#139720,.T.); #190319=EDGE_CURVE('',#154816,#154817,#73412,.T.); #190320=EDGE_CURVE('',#154817,#154817,#139721,.T.); #190321=EDGE_CURVE('',#154818,#154818,#139722,.T.); #190322=EDGE_CURVE('',#154818,#154819,#73413,.T.); #190323=EDGE_CURVE('',#154819,#154819,#139723,.T.); #190324=EDGE_CURVE('',#154820,#154820,#139724,.T.); #190325=EDGE_CURVE('',#154820,#154821,#73414,.T.); #190326=EDGE_CURVE('',#154821,#154821,#139725,.T.); #190327=EDGE_CURVE('',#154822,#154822,#139726,.T.); #190328=EDGE_CURVE('',#154822,#154823,#73415,.T.); #190329=EDGE_CURVE('',#154823,#154823,#139727,.T.); #190330=EDGE_CURVE('',#154824,#154824,#139728,.T.); #190331=EDGE_CURVE('',#154824,#154825,#73416,.T.); #190332=EDGE_CURVE('',#154825,#154825,#139729,.T.); #190333=EDGE_CURVE('',#154826,#154826,#139730,.T.); #190334=EDGE_CURVE('',#154826,#154827,#73417,.T.); #190335=EDGE_CURVE('',#154827,#154827,#139731,.T.); #190336=EDGE_CURVE('',#154828,#154828,#139732,.T.); #190337=EDGE_CURVE('',#154828,#154829,#73418,.T.); #190338=EDGE_CURVE('',#154829,#154829,#139733,.T.); #190339=EDGE_CURVE('',#154830,#154830,#139734,.T.); #190340=EDGE_CURVE('',#154830,#154831,#73419,.T.); #190341=EDGE_CURVE('',#154831,#154831,#139735,.T.); #190342=EDGE_CURVE('',#154832,#154832,#139736,.T.); #190343=EDGE_CURVE('',#154832,#154833,#73420,.T.); #190344=EDGE_CURVE('',#154833,#154833,#139737,.T.); #190345=EDGE_CURVE('',#154834,#154834,#139738,.T.); #190346=EDGE_CURVE('',#154834,#154835,#73421,.T.); #190347=EDGE_CURVE('',#154835,#154835,#139739,.T.); #190348=EDGE_CURVE('',#154836,#154837,#73422,.T.); #190349=EDGE_CURVE('',#154837,#154838,#73423,.T.); #190350=EDGE_CURVE('',#154839,#154838,#73424,.T.); #190351=EDGE_CURVE('',#154836,#154839,#73425,.T.); #190352=EDGE_CURVE('',#154840,#154836,#73426,.T.); #190353=EDGE_CURVE('',#154841,#154839,#73427,.T.); #190354=EDGE_CURVE('',#154840,#154841,#73428,.T.); #190355=EDGE_CURVE('',#154842,#154840,#73429,.T.); #190356=EDGE_CURVE('',#154843,#154841,#73430,.T.); #190357=EDGE_CURVE('',#154842,#154843,#73431,.T.); #190358=EDGE_CURVE('',#154844,#154842,#73432,.T.); #190359=EDGE_CURVE('',#154845,#154843,#73433,.T.); #190360=EDGE_CURVE('',#154844,#154845,#73434,.T.); #190361=EDGE_CURVE('',#154846,#154844,#73435,.T.); #190362=EDGE_CURVE('',#154847,#154845,#73436,.T.); #190363=EDGE_CURVE('',#154846,#154847,#73437,.T.); #190364=EDGE_CURVE('',#154848,#154846,#73438,.T.); #190365=EDGE_CURVE('',#154849,#154847,#73439,.T.); #190366=EDGE_CURVE('',#154848,#154849,#73440,.T.); #190367=EDGE_CURVE('',#154850,#154848,#73441,.T.); #190368=EDGE_CURVE('',#154851,#154849,#73442,.T.); #190369=EDGE_CURVE('',#154850,#154851,#73443,.T.); #190370=EDGE_CURVE('',#154852,#154850,#73444,.T.); #190371=EDGE_CURVE('',#154853,#154851,#73445,.T.); #190372=EDGE_CURVE('',#154852,#154853,#73446,.T.); #190373=EDGE_CURVE('',#154854,#154852,#73447,.T.); #190374=EDGE_CURVE('',#154855,#154853,#73448,.T.); #190375=EDGE_CURVE('',#154854,#154855,#73449,.T.); #190376=EDGE_CURVE('',#154856,#154854,#73450,.T.); #190377=EDGE_CURVE('',#154857,#154855,#73451,.T.); #190378=EDGE_CURVE('',#154856,#154857,#73452,.T.); #190379=EDGE_CURVE('',#154858,#154856,#73453,.T.); #190380=EDGE_CURVE('',#154859,#154857,#73454,.T.); #190381=EDGE_CURVE('',#154858,#154859,#73455,.T.); #190382=EDGE_CURVE('',#154860,#154858,#73456,.T.); #190383=EDGE_CURVE('',#154861,#154859,#73457,.T.); #190384=EDGE_CURVE('',#154860,#154861,#73458,.T.); #190385=EDGE_CURVE('',#154862,#154860,#73459,.T.); #190386=EDGE_CURVE('',#154863,#154861,#73460,.T.); #190387=EDGE_CURVE('',#154862,#154863,#73461,.T.); #190388=EDGE_CURVE('',#154864,#154862,#73462,.T.); #190389=EDGE_CURVE('',#154865,#154863,#73463,.T.); #190390=EDGE_CURVE('',#154864,#154865,#73464,.T.); #190391=EDGE_CURVE('',#154866,#154864,#73465,.T.); #190392=EDGE_CURVE('',#154867,#154865,#73466,.T.); #190393=EDGE_CURVE('',#154866,#154867,#73467,.T.); #190394=EDGE_CURVE('',#154868,#154866,#73468,.T.); #190395=EDGE_CURVE('',#154869,#154867,#73469,.T.); #190396=EDGE_CURVE('',#154868,#154869,#73470,.T.); #190397=EDGE_CURVE('',#154870,#154868,#73471,.T.); #190398=EDGE_CURVE('',#154871,#154869,#73472,.T.); #190399=EDGE_CURVE('',#154870,#154871,#73473,.T.); #190400=EDGE_CURVE('',#154872,#154870,#73474,.T.); #190401=EDGE_CURVE('',#154873,#154871,#73475,.T.); #190402=EDGE_CURVE('',#154872,#154873,#73476,.T.); #190403=EDGE_CURVE('',#154874,#154872,#73477,.T.); #190404=EDGE_CURVE('',#154875,#154873,#73478,.T.); #190405=EDGE_CURVE('',#154874,#154875,#73479,.T.); #190406=EDGE_CURVE('',#154876,#154874,#73480,.T.); #190407=EDGE_CURVE('',#154877,#154875,#73481,.T.); #190408=EDGE_CURVE('',#154876,#154877,#73482,.T.); #190409=EDGE_CURVE('',#154878,#154876,#73483,.T.); #190410=EDGE_CURVE('',#154879,#154877,#73484,.T.); #190411=EDGE_CURVE('',#154878,#154879,#73485,.T.); #190412=EDGE_CURVE('',#154880,#154878,#73486,.T.); #190413=EDGE_CURVE('',#154881,#154879,#73487,.T.); #190414=EDGE_CURVE('',#154880,#154881,#73488,.T.); #190415=EDGE_CURVE('',#154882,#154880,#73489,.T.); #190416=EDGE_CURVE('',#154883,#154881,#73490,.T.); #190417=EDGE_CURVE('',#154882,#154883,#73491,.T.); #190418=EDGE_CURVE('',#154884,#154882,#73492,.T.); #190419=EDGE_CURVE('',#154885,#154883,#73493,.T.); #190420=EDGE_CURVE('',#154884,#154885,#73494,.T.); #190421=EDGE_CURVE('',#154886,#154884,#73495,.T.); #190422=EDGE_CURVE('',#154887,#154885,#73496,.T.); #190423=EDGE_CURVE('',#154886,#154887,#73497,.T.); #190424=EDGE_CURVE('',#154888,#154886,#73498,.T.); #190425=EDGE_CURVE('',#154889,#154887,#73499,.T.); #190426=EDGE_CURVE('',#154888,#154889,#73500,.T.); #190427=EDGE_CURVE('',#154890,#154888,#73501,.T.); #190428=EDGE_CURVE('',#154891,#154889,#73502,.T.); #190429=EDGE_CURVE('',#154890,#154891,#73503,.T.); #190430=EDGE_CURVE('',#154892,#154890,#73504,.T.); #190431=EDGE_CURVE('',#154893,#154891,#73505,.T.); #190432=EDGE_CURVE('',#154892,#154893,#73506,.T.); #190433=EDGE_CURVE('',#154894,#154892,#73507,.T.); #190434=EDGE_CURVE('',#154895,#154893,#73508,.T.); #190435=EDGE_CURVE('',#154894,#154895,#73509,.T.); #190436=EDGE_CURVE('',#154896,#154894,#73510,.T.); #190437=EDGE_CURVE('',#154897,#154895,#73511,.T.); #190438=EDGE_CURVE('',#154896,#154897,#73512,.T.); #190439=EDGE_CURVE('',#154898,#154896,#73513,.T.); #190440=EDGE_CURVE('',#154899,#154897,#73514,.T.); #190441=EDGE_CURVE('',#154898,#154899,#73515,.T.); #190442=EDGE_CURVE('',#154900,#154898,#73516,.T.); #190443=EDGE_CURVE('',#154901,#154899,#73517,.T.); #190444=EDGE_CURVE('',#154900,#154901,#73518,.T.); #190445=EDGE_CURVE('',#154902,#154900,#73519,.T.); #190446=EDGE_CURVE('',#154903,#154901,#73520,.T.); #190447=EDGE_CURVE('',#154902,#154903,#73521,.T.); #190448=EDGE_CURVE('',#154904,#154902,#73522,.T.); #190449=EDGE_CURVE('',#154905,#154903,#73523,.T.); #190450=EDGE_CURVE('',#154904,#154905,#73524,.T.); #190451=EDGE_CURVE('',#154906,#154904,#73525,.T.); #190452=EDGE_CURVE('',#154907,#154905,#73526,.T.); #190453=EDGE_CURVE('',#154906,#154907,#73527,.T.); #190454=EDGE_CURVE('',#154908,#154906,#73528,.T.); #190455=EDGE_CURVE('',#154909,#154907,#73529,.T.); #190456=EDGE_CURVE('',#154908,#154909,#73530,.T.); #190457=EDGE_CURVE('',#154910,#154908,#73531,.T.); #190458=EDGE_CURVE('',#154911,#154909,#73532,.T.); #190459=EDGE_CURVE('',#154910,#154911,#73533,.T.); #190460=EDGE_CURVE('',#154912,#154910,#73534,.T.); #190461=EDGE_CURVE('',#154913,#154911,#73535,.T.); #190462=EDGE_CURVE('',#154912,#154913,#73536,.T.); #190463=EDGE_CURVE('',#154914,#154912,#73537,.T.); #190464=EDGE_CURVE('',#154915,#154913,#73538,.T.); #190465=EDGE_CURVE('',#154914,#154915,#73539,.T.); #190466=EDGE_CURVE('',#154916,#154914,#73540,.T.); #190467=EDGE_CURVE('',#154917,#154915,#73541,.T.); #190468=EDGE_CURVE('',#154916,#154917,#73542,.T.); #190469=EDGE_CURVE('',#154918,#154916,#73543,.T.); #190470=EDGE_CURVE('',#154919,#154917,#73544,.T.); #190471=EDGE_CURVE('',#154918,#154919,#73545,.T.); #190472=EDGE_CURVE('',#154920,#154918,#73546,.T.); #190473=EDGE_CURVE('',#154921,#154919,#73547,.T.); #190474=EDGE_CURVE('',#154920,#154921,#73548,.T.); #190475=EDGE_CURVE('',#154922,#154920,#73549,.T.); #190476=EDGE_CURVE('',#154923,#154921,#73550,.T.); #190477=EDGE_CURVE('',#154922,#154923,#73551,.T.); #190478=EDGE_CURVE('',#154924,#154922,#73552,.T.); #190479=EDGE_CURVE('',#154925,#154923,#73553,.T.); #190480=EDGE_CURVE('',#154924,#154925,#73554,.T.); #190481=EDGE_CURVE('',#154926,#154924,#73555,.T.); #190482=EDGE_CURVE('',#154927,#154925,#73556,.T.); #190483=EDGE_CURVE('',#154926,#154927,#73557,.T.); #190484=EDGE_CURVE('',#154928,#154926,#73558,.T.); #190485=EDGE_CURVE('',#154929,#154927,#73559,.T.); #190486=EDGE_CURVE('',#154928,#154929,#73560,.T.); #190487=EDGE_CURVE('',#154930,#154928,#73561,.T.); #190488=EDGE_CURVE('',#154931,#154929,#73562,.T.); #190489=EDGE_CURVE('',#154930,#154931,#73563,.T.); #190490=EDGE_CURVE('',#154932,#154930,#73564,.T.); #190491=EDGE_CURVE('',#154933,#154931,#73565,.T.); #190492=EDGE_CURVE('',#154932,#154933,#73566,.T.); #190493=EDGE_CURVE('',#154837,#154932,#73567,.T.); #190494=EDGE_CURVE('',#154838,#154933,#73568,.T.); #190495=EDGE_CURVE('',#154934,#154934,#139740,.T.); #190496=EDGE_CURVE('',#154934,#154935,#73569,.T.); #190497=EDGE_CURVE('',#154935,#154935,#139741,.T.); #190498=EDGE_CURVE('',#154936,#154937,#73570,.T.); #190499=EDGE_CURVE('',#154937,#154938,#73571,.T.); #190500=EDGE_CURVE('',#154939,#154938,#73572,.T.); #190501=EDGE_CURVE('',#154936,#154939,#73573,.T.); #190502=EDGE_CURVE('',#154940,#154936,#73574,.T.); #190503=EDGE_CURVE('',#154941,#154939,#73575,.T.); #190504=EDGE_CURVE('',#154940,#154941,#73576,.T.); #190505=EDGE_CURVE('',#154942,#154940,#73577,.T.); #190506=EDGE_CURVE('',#154943,#154941,#73578,.T.); #190507=EDGE_CURVE('',#154942,#154943,#73579,.T.); #190508=EDGE_CURVE('',#154944,#154942,#73580,.T.); #190509=EDGE_CURVE('',#154945,#154943,#73581,.T.); #190510=EDGE_CURVE('',#154944,#154945,#73582,.T.); #190511=EDGE_CURVE('',#154946,#154944,#73583,.T.); #190512=EDGE_CURVE('',#154947,#154945,#73584,.T.); #190513=EDGE_CURVE('',#154946,#154947,#73585,.T.); #190514=EDGE_CURVE('',#154948,#154946,#73586,.T.); #190515=EDGE_CURVE('',#154949,#154947,#73587,.T.); #190516=EDGE_CURVE('',#154948,#154949,#73588,.T.); #190517=EDGE_CURVE('',#154950,#154948,#139742,.T.); #190518=EDGE_CURVE('',#154951,#154949,#139743,.T.); #190519=EDGE_CURVE('',#154950,#154951,#73589,.T.); #190520=EDGE_CURVE('',#154952,#154950,#73590,.T.); #190521=EDGE_CURVE('',#154953,#154951,#73591,.T.); #190522=EDGE_CURVE('',#154952,#154953,#73592,.T.); #190523=EDGE_CURVE('',#154954,#154952,#73593,.T.); #190524=EDGE_CURVE('',#154955,#154953,#73594,.T.); #190525=EDGE_CURVE('',#154954,#154955,#73595,.T.); #190526=EDGE_CURVE('',#154956,#154954,#139744,.T.); #190527=EDGE_CURVE('',#154957,#154955,#139745,.T.); #190528=EDGE_CURVE('',#154956,#154957,#73596,.T.); #190529=EDGE_CURVE('',#154958,#154956,#73597,.T.); #190530=EDGE_CURVE('',#154959,#154957,#73598,.T.); #190531=EDGE_CURVE('',#154958,#154959,#73599,.T.); #190532=EDGE_CURVE('',#154960,#154958,#139746,.T.); #190533=EDGE_CURVE('',#154961,#154959,#139747,.T.); #190534=EDGE_CURVE('',#154960,#154961,#73600,.T.); #190535=EDGE_CURVE('',#154962,#154960,#73601,.T.); #190536=EDGE_CURVE('',#154963,#154961,#73602,.T.); #190537=EDGE_CURVE('',#154962,#154963,#73603,.T.); #190538=EDGE_CURVE('',#154964,#154962,#73604,.T.); #190539=EDGE_CURVE('',#154965,#154963,#73605,.T.); #190540=EDGE_CURVE('',#154964,#154965,#73606,.T.); #190541=EDGE_CURVE('',#154966,#154964,#73607,.T.); #190542=EDGE_CURVE('',#154967,#154965,#73608,.T.); #190543=EDGE_CURVE('',#154966,#154967,#73609,.T.); #190544=EDGE_CURVE('',#154968,#154966,#139748,.T.); #190545=EDGE_CURVE('',#154969,#154967,#139749,.T.); #190546=EDGE_CURVE('',#154968,#154969,#73610,.T.); #190547=EDGE_CURVE('',#154970,#154968,#73611,.T.); #190548=EDGE_CURVE('',#154971,#154969,#73612,.T.); #190549=EDGE_CURVE('',#154970,#154971,#73613,.T.); #190550=EDGE_CURVE('',#154972,#154970,#139750,.T.); #190551=EDGE_CURVE('',#154973,#154971,#139751,.T.); #190552=EDGE_CURVE('',#154972,#154973,#73614,.T.); #190553=EDGE_CURVE('',#154974,#154972,#73615,.T.); #190554=EDGE_CURVE('',#154975,#154973,#73616,.T.); #190555=EDGE_CURVE('',#154974,#154975,#73617,.T.); #190556=EDGE_CURVE('',#154976,#154974,#139752,.T.); #190557=EDGE_CURVE('',#154977,#154975,#139753,.T.); #190558=EDGE_CURVE('',#154976,#154977,#73618,.T.); #190559=EDGE_CURVE('',#154978,#154976,#73619,.T.); #190560=EDGE_CURVE('',#154979,#154977,#73620,.T.); #190561=EDGE_CURVE('',#154978,#154979,#73621,.T.); #190562=EDGE_CURVE('',#154980,#154978,#73622,.T.); #190563=EDGE_CURVE('',#154981,#154979,#73623,.T.); #190564=EDGE_CURVE('',#154980,#154981,#73624,.T.); #190565=EDGE_CURVE('',#154982,#154980,#73625,.T.); #190566=EDGE_CURVE('',#154983,#154981,#73626,.T.); #190567=EDGE_CURVE('',#154982,#154983,#73627,.T.); #190568=EDGE_CURVE('',#154984,#154982,#73628,.T.); #190569=EDGE_CURVE('',#154985,#154983,#73629,.T.); #190570=EDGE_CURVE('',#154984,#154985,#73630,.T.); #190571=EDGE_CURVE('',#154986,#154984,#73631,.T.); #190572=EDGE_CURVE('',#154987,#154985,#73632,.T.); #190573=EDGE_CURVE('',#154986,#154987,#73633,.T.); #190574=EDGE_CURVE('',#154988,#154986,#73634,.T.); #190575=EDGE_CURVE('',#154989,#154987,#73635,.T.); #190576=EDGE_CURVE('',#154988,#154989,#73636,.T.); #190577=EDGE_CURVE('',#154990,#154988,#73637,.T.); #190578=EDGE_CURVE('',#154991,#154989,#73638,.T.); #190579=EDGE_CURVE('',#154990,#154991,#73639,.T.); #190580=EDGE_CURVE('',#154992,#154990,#73640,.T.); #190581=EDGE_CURVE('',#154993,#154991,#73641,.T.); #190582=EDGE_CURVE('',#154992,#154993,#73642,.T.); #190583=EDGE_CURVE('',#154994,#154992,#73643,.T.); #190584=EDGE_CURVE('',#154995,#154993,#73644,.T.); #190585=EDGE_CURVE('',#154994,#154995,#73645,.T.); #190586=EDGE_CURVE('',#154996,#154994,#73646,.T.); #190587=EDGE_CURVE('',#154997,#154995,#73647,.T.); #190588=EDGE_CURVE('',#154996,#154997,#73648,.T.); #190589=EDGE_CURVE('',#154998,#154996,#73649,.T.); #190590=EDGE_CURVE('',#154999,#154997,#73650,.T.); #190591=EDGE_CURVE('',#154998,#154999,#73651,.T.); #190592=EDGE_CURVE('',#155000,#154998,#73652,.T.); #190593=EDGE_CURVE('',#155001,#154999,#73653,.T.); #190594=EDGE_CURVE('',#155000,#155001,#73654,.T.); #190595=EDGE_CURVE('',#155002,#155000,#73655,.T.); #190596=EDGE_CURVE('',#155003,#155001,#73656,.T.); #190597=EDGE_CURVE('',#155002,#155003,#73657,.T.); #190598=EDGE_CURVE('',#155004,#155002,#73658,.T.); #190599=EDGE_CURVE('',#155005,#155003,#73659,.T.); #190600=EDGE_CURVE('',#155004,#155005,#73660,.T.); #190601=EDGE_CURVE('',#155006,#155004,#73661,.T.); #190602=EDGE_CURVE('',#155007,#155005,#73662,.T.); #190603=EDGE_CURVE('',#155006,#155007,#73663,.T.); #190604=EDGE_CURVE('',#155008,#155006,#73664,.T.); #190605=EDGE_CURVE('',#155009,#155007,#73665,.T.); #190606=EDGE_CURVE('',#155008,#155009,#73666,.T.); #190607=EDGE_CURVE('',#155010,#155008,#139754,.T.); #190608=EDGE_CURVE('',#155011,#155009,#139755,.T.); #190609=EDGE_CURVE('',#155010,#155011,#73667,.T.); #190610=EDGE_CURVE('',#155012,#155010,#73668,.T.); #190611=EDGE_CURVE('',#155013,#155011,#73669,.T.); #190612=EDGE_CURVE('',#155012,#155013,#73670,.T.); #190613=EDGE_CURVE('',#155014,#155012,#73671,.T.); #190614=EDGE_CURVE('',#155015,#155013,#73672,.T.); #190615=EDGE_CURVE('',#155014,#155015,#73673,.T.); #190616=EDGE_CURVE('',#155016,#155014,#139756,.T.); #190617=EDGE_CURVE('',#155017,#155015,#139757,.T.); #190618=EDGE_CURVE('',#155016,#155017,#73674,.T.); #190619=EDGE_CURVE('',#155018,#155016,#73675,.T.); #190620=EDGE_CURVE('',#155019,#155017,#73676,.T.); #190621=EDGE_CURVE('',#155018,#155019,#73677,.T.); #190622=EDGE_CURVE('',#154937,#155018,#73678,.T.); #190623=EDGE_CURVE('',#154938,#155019,#73679,.T.); #190624=EDGE_CURVE('',#155020,#155020,#139758,.T.); #190625=EDGE_CURVE('',#155020,#155021,#73680,.T.); #190626=EDGE_CURVE('',#155021,#155021,#139759,.T.); #190627=EDGE_CURVE('',#155022,#155022,#139760,.T.); #190628=EDGE_CURVE('',#155022,#155023,#73681,.T.); #190629=EDGE_CURVE('',#155023,#155023,#139761,.T.); #190630=EDGE_CURVE('',#155024,#155024,#139762,.T.); #190631=EDGE_CURVE('',#155024,#155025,#73682,.T.); #190632=EDGE_CURVE('',#155025,#155025,#139763,.T.); #190633=EDGE_CURVE('',#155026,#155026,#139764,.T.); #190634=EDGE_CURVE('',#155026,#155027,#73683,.T.); #190635=EDGE_CURVE('',#155027,#155027,#139765,.T.); #190636=EDGE_CURVE('',#155028,#155028,#139766,.T.); #190637=EDGE_CURVE('',#155028,#155029,#73684,.T.); #190638=EDGE_CURVE('',#155029,#155029,#139767,.T.); #190639=EDGE_CURVE('',#155030,#155030,#139768,.T.); #190640=EDGE_CURVE('',#155030,#155031,#73685,.T.); #190641=EDGE_CURVE('',#155031,#155031,#139769,.T.); #190642=EDGE_CURVE('',#155032,#155032,#139770,.T.); #190643=EDGE_CURVE('',#155032,#155033,#73686,.T.); #190644=EDGE_CURVE('',#155033,#155033,#139771,.T.); #190645=EDGE_CURVE('',#155034,#155034,#139772,.T.); #190646=EDGE_CURVE('',#155034,#155035,#73687,.T.); #190647=EDGE_CURVE('',#155035,#155035,#139773,.T.); #190648=EDGE_CURVE('',#155036,#155036,#139774,.T.); #190649=EDGE_CURVE('',#155036,#155037,#73688,.T.); #190650=EDGE_CURVE('',#155037,#155037,#139775,.T.); #190651=EDGE_CURVE('',#155038,#155038,#139776,.T.); #190652=EDGE_CURVE('',#155038,#155039,#73689,.T.); #190653=EDGE_CURVE('',#155039,#155039,#139777,.T.); #190654=EDGE_CURVE('',#155040,#155040,#139778,.T.); #190655=EDGE_CURVE('',#155040,#155041,#73690,.T.); #190656=EDGE_CURVE('',#155041,#155041,#139779,.T.); #190657=EDGE_CURVE('',#155042,#155042,#139780,.T.); #190658=EDGE_CURVE('',#155042,#155043,#73691,.T.); #190659=EDGE_CURVE('',#155043,#155043,#139781,.T.); #190660=EDGE_CURVE('',#155044,#155044,#139782,.T.); #190661=EDGE_CURVE('',#155044,#155045,#73692,.T.); #190662=EDGE_CURVE('',#155045,#155045,#139783,.T.); #190663=EDGE_CURVE('',#155046,#155046,#139784,.T.); #190664=EDGE_CURVE('',#155046,#155047,#73693,.T.); #190665=EDGE_CURVE('',#155047,#155047,#139785,.T.); #190666=EDGE_CURVE('',#155048,#155048,#139786,.T.); #190667=EDGE_CURVE('',#155048,#155049,#73694,.T.); #190668=EDGE_CURVE('',#155049,#155049,#139787,.T.); #190669=EDGE_CURVE('',#155050,#155050,#139788,.T.); #190670=EDGE_CURVE('',#155050,#155051,#73695,.T.); #190671=EDGE_CURVE('',#155051,#155051,#139789,.T.); #190672=EDGE_CURVE('',#155052,#155052,#139790,.T.); #190673=EDGE_CURVE('',#155052,#155053,#73696,.T.); #190674=EDGE_CURVE('',#155053,#155053,#139791,.T.); #190675=EDGE_CURVE('',#155054,#155054,#139792,.T.); #190676=EDGE_CURVE('',#155054,#155055,#73697,.T.); #190677=EDGE_CURVE('',#155055,#155055,#139793,.T.); #190678=EDGE_CURVE('',#155056,#155056,#139794,.T.); #190679=EDGE_CURVE('',#155056,#155057,#73698,.T.); #190680=EDGE_CURVE('',#155057,#155057,#139795,.T.); #190681=EDGE_CURVE('',#155058,#155058,#139796,.T.); #190682=EDGE_CURVE('',#155058,#155059,#73699,.T.); #190683=EDGE_CURVE('',#155059,#155059,#139797,.T.); #190684=EDGE_CURVE('',#155060,#155060,#139798,.T.); #190685=EDGE_CURVE('',#155060,#155061,#73700,.T.); #190686=EDGE_CURVE('',#155061,#155061,#139799,.T.); #190687=EDGE_CURVE('',#155062,#155062,#139800,.T.); #190688=EDGE_CURVE('',#155062,#155063,#73701,.T.); #190689=EDGE_CURVE('',#155063,#155063,#139801,.T.); #190690=EDGE_CURVE('',#155064,#155064,#139802,.T.); #190691=EDGE_CURVE('',#155064,#155065,#73702,.T.); #190692=EDGE_CURVE('',#155065,#155065,#139803,.T.); #190693=EDGE_CURVE('',#155066,#155066,#139804,.T.); #190694=EDGE_CURVE('',#155066,#155067,#73703,.T.); #190695=EDGE_CURVE('',#155067,#155067,#139805,.T.); #190696=EDGE_CURVE('',#155068,#155068,#139806,.T.); #190697=EDGE_CURVE('',#155068,#155069,#73704,.T.); #190698=EDGE_CURVE('',#155069,#155069,#139807,.T.); #190699=EDGE_CURVE('',#155070,#155070,#139808,.T.); #190700=EDGE_CURVE('',#155070,#155071,#73705,.T.); #190701=EDGE_CURVE('',#155071,#155071,#139809,.T.); #190702=EDGE_CURVE('',#155072,#155072,#139810,.T.); #190703=EDGE_CURVE('',#155072,#155073,#73706,.T.); #190704=EDGE_CURVE('',#155073,#155073,#139811,.T.); #190705=EDGE_CURVE('',#155074,#155074,#139812,.T.); #190706=EDGE_CURVE('',#155074,#155075,#73707,.T.); #190707=EDGE_CURVE('',#155075,#155075,#139813,.T.); #190708=EDGE_CURVE('',#155076,#155076,#139814,.T.); #190709=EDGE_CURVE('',#155076,#155077,#73708,.T.); #190710=EDGE_CURVE('',#155077,#155077,#139815,.T.); #190711=EDGE_CURVE('',#155078,#155078,#139816,.T.); #190712=EDGE_CURVE('',#155078,#155079,#73709,.T.); #190713=EDGE_CURVE('',#155079,#155079,#139817,.T.); #190714=EDGE_CURVE('',#155080,#155080,#139818,.T.); #190715=EDGE_CURVE('',#155080,#155081,#73710,.T.); #190716=EDGE_CURVE('',#155081,#155081,#139819,.T.); #190717=EDGE_CURVE('',#155082,#155083,#73711,.T.); #190718=EDGE_CURVE('',#155083,#155084,#73712,.T.); #190719=EDGE_CURVE('',#155085,#155084,#73713,.T.); #190720=EDGE_CURVE('',#155082,#155085,#73714,.T.); #190721=EDGE_CURVE('',#155086,#155082,#139820,.T.); #190722=EDGE_CURVE('',#155087,#155085,#139821,.T.); #190723=EDGE_CURVE('',#155086,#155087,#73715,.T.); #190724=EDGE_CURVE('',#155088,#155086,#73716,.T.); #190725=EDGE_CURVE('',#155089,#155087,#73717,.T.); #190726=EDGE_CURVE('',#155088,#155089,#73718,.T.); #190727=EDGE_CURVE('',#155090,#155088,#73719,.T.); #190728=EDGE_CURVE('',#155091,#155089,#73720,.T.); #190729=EDGE_CURVE('',#155090,#155091,#73721,.T.); #190730=EDGE_CURVE('',#155092,#155090,#73722,.T.); #190731=EDGE_CURVE('',#155093,#155091,#73723,.T.); #190732=EDGE_CURVE('',#155092,#155093,#73724,.T.); #190733=EDGE_CURVE('',#155094,#155092,#139822,.T.); #190734=EDGE_CURVE('',#155095,#155093,#139823,.T.); #190735=EDGE_CURVE('',#155094,#155095,#73725,.T.); #190736=EDGE_CURVE('',#155096,#155094,#73726,.T.); #190737=EDGE_CURVE('',#155097,#155095,#73727,.T.); #190738=EDGE_CURVE('',#155096,#155097,#73728,.T.); #190739=EDGE_CURVE('',#155098,#155096,#139824,.T.); #190740=EDGE_CURVE('',#155099,#155097,#139825,.T.); #190741=EDGE_CURVE('',#155098,#155099,#73729,.T.); #190742=EDGE_CURVE('',#155100,#155098,#73730,.T.); #190743=EDGE_CURVE('',#155101,#155099,#73731,.T.); #190744=EDGE_CURVE('',#155100,#155101,#73732,.T.); #190745=EDGE_CURVE('',#155102,#155100,#73733,.T.); #190746=EDGE_CURVE('',#155103,#155101,#73734,.T.); #190747=EDGE_CURVE('',#155102,#155103,#73735,.T.); #190748=EDGE_CURVE('',#155104,#155102,#73736,.T.); #190749=EDGE_CURVE('',#155105,#155103,#73737,.T.); #190750=EDGE_CURVE('',#155104,#155105,#73738,.T.); #190751=EDGE_CURVE('',#155106,#155104,#73739,.T.); #190752=EDGE_CURVE('',#155107,#155105,#73740,.T.); #190753=EDGE_CURVE('',#155106,#155107,#73741,.T.); #190754=EDGE_CURVE('',#155108,#155106,#73742,.T.); #190755=EDGE_CURVE('',#155109,#155107,#73743,.T.); #190756=EDGE_CURVE('',#155108,#155109,#73744,.T.); #190757=EDGE_CURVE('',#155110,#155108,#73745,.T.); #190758=EDGE_CURVE('',#155111,#155109,#73746,.T.); #190759=EDGE_CURVE('',#155110,#155111,#73747,.T.); #190760=EDGE_CURVE('',#155112,#155110,#73748,.T.); #190761=EDGE_CURVE('',#155113,#155111,#73749,.T.); #190762=EDGE_CURVE('',#155112,#155113,#73750,.T.); #190763=EDGE_CURVE('',#155114,#155112,#73751,.T.); #190764=EDGE_CURVE('',#155115,#155113,#73752,.T.); #190765=EDGE_CURVE('',#155114,#155115,#73753,.T.); #190766=EDGE_CURVE('',#155116,#155114,#73754,.T.); #190767=EDGE_CURVE('',#155117,#155115,#73755,.T.); #190768=EDGE_CURVE('',#155116,#155117,#73756,.T.); #190769=EDGE_CURVE('',#155118,#155116,#73757,.T.); #190770=EDGE_CURVE('',#155119,#155117,#73758,.T.); #190771=EDGE_CURVE('',#155118,#155119,#73759,.T.); #190772=EDGE_CURVE('',#155120,#155118,#73760,.T.); #190773=EDGE_CURVE('',#155121,#155119,#73761,.T.); #190774=EDGE_CURVE('',#155120,#155121,#73762,.T.); #190775=EDGE_CURVE('',#155122,#155120,#73763,.T.); #190776=EDGE_CURVE('',#155123,#155121,#73764,.T.); #190777=EDGE_CURVE('',#155122,#155123,#73765,.T.); #190778=EDGE_CURVE('',#155124,#155122,#73766,.T.); #190779=EDGE_CURVE('',#155125,#155123,#73767,.T.); #190780=EDGE_CURVE('',#155124,#155125,#73768,.T.); #190781=EDGE_CURVE('',#155126,#155124,#73769,.T.); #190782=EDGE_CURVE('',#155127,#155125,#73770,.T.); #190783=EDGE_CURVE('',#155126,#155127,#73771,.T.); #190784=EDGE_CURVE('',#155128,#155126,#73772,.T.); #190785=EDGE_CURVE('',#155129,#155127,#73773,.T.); #190786=EDGE_CURVE('',#155128,#155129,#73774,.T.); #190787=EDGE_CURVE('',#155130,#155128,#73775,.T.); #190788=EDGE_CURVE('',#155131,#155129,#73776,.T.); #190789=EDGE_CURVE('',#155130,#155131,#73777,.T.); #190790=EDGE_CURVE('',#155132,#155130,#73778,.T.); #190791=EDGE_CURVE('',#155133,#155131,#73779,.T.); #190792=EDGE_CURVE('',#155132,#155133,#73780,.T.); #190793=EDGE_CURVE('',#155134,#155132,#73781,.T.); #190794=EDGE_CURVE('',#155135,#155133,#73782,.T.); #190795=EDGE_CURVE('',#155134,#155135,#73783,.T.); #190796=EDGE_CURVE('',#155136,#155134,#73784,.T.); #190797=EDGE_CURVE('',#155137,#155135,#73785,.T.); #190798=EDGE_CURVE('',#155136,#155137,#73786,.T.); #190799=EDGE_CURVE('',#155138,#155136,#73787,.T.); #190800=EDGE_CURVE('',#155139,#155137,#73788,.T.); #190801=EDGE_CURVE('',#155138,#155139,#73789,.T.); #190802=EDGE_CURVE('',#155140,#155138,#73790,.T.); #190803=EDGE_CURVE('',#155141,#155139,#73791,.T.); #190804=EDGE_CURVE('',#155140,#155141,#73792,.T.); #190805=EDGE_CURVE('',#155142,#155140,#73793,.T.); #190806=EDGE_CURVE('',#155143,#155141,#73794,.T.); #190807=EDGE_CURVE('',#155142,#155143,#73795,.T.); #190808=EDGE_CURVE('',#155144,#155142,#73796,.T.); #190809=EDGE_CURVE('',#155145,#155143,#73797,.T.); #190810=EDGE_CURVE('',#155144,#155145,#73798,.T.); #190811=EDGE_CURVE('',#155146,#155144,#73799,.T.); #190812=EDGE_CURVE('',#155147,#155145,#73800,.T.); #190813=EDGE_CURVE('',#155146,#155147,#73801,.T.); #190814=EDGE_CURVE('',#155148,#155146,#73802,.T.); #190815=EDGE_CURVE('',#155149,#155147,#73803,.T.); #190816=EDGE_CURVE('',#155148,#155149,#73804,.T.); #190817=EDGE_CURVE('',#155150,#155148,#73805,.T.); #190818=EDGE_CURVE('',#155151,#155149,#73806,.T.); #190819=EDGE_CURVE('',#155150,#155151,#73807,.T.); #190820=EDGE_CURVE('',#155152,#155150,#73808,.T.); #190821=EDGE_CURVE('',#155153,#155151,#73809,.T.); #190822=EDGE_CURVE('',#155152,#155153,#73810,.T.); #190823=EDGE_CURVE('',#155154,#155152,#73811,.T.); #190824=EDGE_CURVE('',#155155,#155153,#73812,.T.); #190825=EDGE_CURVE('',#155154,#155155,#73813,.T.); #190826=EDGE_CURVE('',#155156,#155154,#73814,.T.); #190827=EDGE_CURVE('',#155157,#155155,#73815,.T.); #190828=EDGE_CURVE('',#155156,#155157,#73816,.T.); #190829=EDGE_CURVE('',#155158,#155156,#73817,.T.); #190830=EDGE_CURVE('',#155159,#155157,#73818,.T.); #190831=EDGE_CURVE('',#155158,#155159,#73819,.T.); #190832=EDGE_CURVE('',#155160,#155158,#73820,.T.); #190833=EDGE_CURVE('',#155161,#155159,#73821,.T.); #190834=EDGE_CURVE('',#155160,#155161,#73822,.T.); #190835=EDGE_CURVE('',#155162,#155160,#73823,.T.); #190836=EDGE_CURVE('',#155163,#155161,#73824,.T.); #190837=EDGE_CURVE('',#155162,#155163,#73825,.T.); #190838=EDGE_CURVE('',#155164,#155162,#73826,.T.); #190839=EDGE_CURVE('',#155165,#155163,#73827,.T.); #190840=EDGE_CURVE('',#155164,#155165,#73828,.T.); #190841=EDGE_CURVE('',#155166,#155164,#73829,.T.); #190842=EDGE_CURVE('',#155167,#155165,#73830,.T.); #190843=EDGE_CURVE('',#155166,#155167,#73831,.T.); #190844=EDGE_CURVE('',#155168,#155166,#73832,.T.); #190845=EDGE_CURVE('',#155169,#155167,#73833,.T.); #190846=EDGE_CURVE('',#155168,#155169,#73834,.T.); #190847=EDGE_CURVE('',#155170,#155168,#73835,.T.); #190848=EDGE_CURVE('',#155171,#155169,#73836,.T.); #190849=EDGE_CURVE('',#155170,#155171,#73837,.T.); #190850=EDGE_CURVE('',#155172,#155170,#73838,.T.); #190851=EDGE_CURVE('',#155173,#155171,#73839,.T.); #190852=EDGE_CURVE('',#155172,#155173,#73840,.T.); #190853=EDGE_CURVE('',#155174,#155172,#73841,.T.); #190854=EDGE_CURVE('',#155175,#155173,#73842,.T.); #190855=EDGE_CURVE('',#155174,#155175,#73843,.T.); #190856=EDGE_CURVE('',#155176,#155174,#73844,.T.); #190857=EDGE_CURVE('',#155177,#155175,#73845,.T.); #190858=EDGE_CURVE('',#155176,#155177,#73846,.T.); #190859=EDGE_CURVE('',#155178,#155176,#73847,.T.); #190860=EDGE_CURVE('',#155179,#155177,#73848,.T.); #190861=EDGE_CURVE('',#155178,#155179,#73849,.T.); #190862=EDGE_CURVE('',#155180,#155178,#73850,.T.); #190863=EDGE_CURVE('',#155181,#155179,#73851,.T.); #190864=EDGE_CURVE('',#155180,#155181,#73852,.T.); #190865=EDGE_CURVE('',#155182,#155180,#73853,.T.); #190866=EDGE_CURVE('',#155183,#155181,#73854,.T.); #190867=EDGE_CURVE('',#155182,#155183,#73855,.T.); #190868=EDGE_CURVE('',#155184,#155182,#73856,.T.); #190869=EDGE_CURVE('',#155185,#155183,#73857,.T.); #190870=EDGE_CURVE('',#155184,#155185,#73858,.T.); #190871=EDGE_CURVE('',#155186,#155184,#73859,.T.); #190872=EDGE_CURVE('',#155187,#155185,#73860,.T.); #190873=EDGE_CURVE('',#155186,#155187,#73861,.T.); #190874=EDGE_CURVE('',#155188,#155186,#73862,.T.); #190875=EDGE_CURVE('',#155189,#155187,#73863,.T.); #190876=EDGE_CURVE('',#155188,#155189,#73864,.T.); #190877=EDGE_CURVE('',#155190,#155188,#73865,.T.); #190878=EDGE_CURVE('',#155191,#155189,#73866,.T.); #190879=EDGE_CURVE('',#155190,#155191,#73867,.T.); #190880=EDGE_CURVE('',#155192,#155190,#73868,.T.); #190881=EDGE_CURVE('',#155193,#155191,#73869,.T.); #190882=EDGE_CURVE('',#155192,#155193,#73870,.T.); #190883=EDGE_CURVE('',#155194,#155192,#73871,.T.); #190884=EDGE_CURVE('',#155195,#155193,#73872,.T.); #190885=EDGE_CURVE('',#155194,#155195,#73873,.T.); #190886=EDGE_CURVE('',#155196,#155194,#73874,.T.); #190887=EDGE_CURVE('',#155197,#155195,#73875,.T.); #190888=EDGE_CURVE('',#155196,#155197,#73876,.T.); #190889=EDGE_CURVE('',#155198,#155196,#73877,.T.); #190890=EDGE_CURVE('',#155199,#155197,#73878,.T.); #190891=EDGE_CURVE('',#155198,#155199,#73879,.T.); #190892=EDGE_CURVE('',#155200,#155198,#73880,.T.); #190893=EDGE_CURVE('',#155201,#155199,#73881,.T.); #190894=EDGE_CURVE('',#155200,#155201,#73882,.T.); #190895=EDGE_CURVE('',#155202,#155200,#73883,.T.); #190896=EDGE_CURVE('',#155203,#155201,#73884,.T.); #190897=EDGE_CURVE('',#155202,#155203,#73885,.T.); #190898=EDGE_CURVE('',#155204,#155202,#73886,.T.); #190899=EDGE_CURVE('',#155205,#155203,#73887,.T.); #190900=EDGE_CURVE('',#155204,#155205,#73888,.T.); #190901=EDGE_CURVE('',#155206,#155204,#73889,.T.); #190902=EDGE_CURVE('',#155207,#155205,#73890,.T.); #190903=EDGE_CURVE('',#155206,#155207,#73891,.T.); #190904=EDGE_CURVE('',#155208,#155206,#73892,.T.); #190905=EDGE_CURVE('',#155209,#155207,#73893,.T.); #190906=EDGE_CURVE('',#155208,#155209,#73894,.T.); #190907=EDGE_CURVE('',#155210,#155208,#73895,.T.); #190908=EDGE_CURVE('',#155211,#155209,#73896,.T.); #190909=EDGE_CURVE('',#155210,#155211,#73897,.T.); #190910=EDGE_CURVE('',#155212,#155210,#73898,.T.); #190911=EDGE_CURVE('',#155213,#155211,#73899,.T.); #190912=EDGE_CURVE('',#155212,#155213,#73900,.T.); #190913=EDGE_CURVE('',#155214,#155212,#73901,.T.); #190914=EDGE_CURVE('',#155215,#155213,#73902,.T.); #190915=EDGE_CURVE('',#155214,#155215,#73903,.T.); #190916=EDGE_CURVE('',#155216,#155214,#73904,.T.); #190917=EDGE_CURVE('',#155217,#155215,#73905,.T.); #190918=EDGE_CURVE('',#155216,#155217,#73906,.T.); #190919=EDGE_CURVE('',#155218,#155216,#73907,.T.); #190920=EDGE_CURVE('',#155219,#155217,#73908,.T.); #190921=EDGE_CURVE('',#155218,#155219,#73909,.T.); #190922=EDGE_CURVE('',#155220,#155218,#73910,.T.); #190923=EDGE_CURVE('',#155221,#155219,#73911,.T.); #190924=EDGE_CURVE('',#155220,#155221,#73912,.T.); #190925=EDGE_CURVE('',#155222,#155220,#73913,.T.); #190926=EDGE_CURVE('',#155223,#155221,#73914,.T.); #190927=EDGE_CURVE('',#155222,#155223,#73915,.T.); #190928=EDGE_CURVE('',#155224,#155222,#73916,.T.); #190929=EDGE_CURVE('',#155225,#155223,#73917,.T.); #190930=EDGE_CURVE('',#155224,#155225,#73918,.T.); #190931=EDGE_CURVE('',#155226,#155224,#73919,.T.); #190932=EDGE_CURVE('',#155227,#155225,#73920,.T.); #190933=EDGE_CURVE('',#155226,#155227,#73921,.T.); #190934=EDGE_CURVE('',#155228,#155226,#73922,.T.); #190935=EDGE_CURVE('',#155229,#155227,#73923,.T.); #190936=EDGE_CURVE('',#155228,#155229,#73924,.T.); #190937=EDGE_CURVE('',#155230,#155228,#73925,.T.); #190938=EDGE_CURVE('',#155231,#155229,#73926,.T.); #190939=EDGE_CURVE('',#155230,#155231,#73927,.T.); #190940=EDGE_CURVE('',#155232,#155230,#73928,.T.); #190941=EDGE_CURVE('',#155233,#155231,#73929,.T.); #190942=EDGE_CURVE('',#155232,#155233,#73930,.T.); #190943=EDGE_CURVE('',#155234,#155232,#73931,.T.); #190944=EDGE_CURVE('',#155235,#155233,#73932,.T.); #190945=EDGE_CURVE('',#155234,#155235,#73933,.T.); #190946=EDGE_CURVE('',#155236,#155234,#73934,.T.); #190947=EDGE_CURVE('',#155237,#155235,#73935,.T.); #190948=EDGE_CURVE('',#155236,#155237,#73936,.T.); #190949=EDGE_CURVE('',#155238,#155236,#73937,.T.); #190950=EDGE_CURVE('',#155239,#155237,#73938,.T.); #190951=EDGE_CURVE('',#155238,#155239,#73939,.T.); #190952=EDGE_CURVE('',#155240,#155238,#73940,.T.); #190953=EDGE_CURVE('',#155241,#155239,#73941,.T.); #190954=EDGE_CURVE('',#155240,#155241,#73942,.T.); #190955=EDGE_CURVE('',#155242,#155240,#73943,.T.); #190956=EDGE_CURVE('',#155243,#155241,#73944,.T.); #190957=EDGE_CURVE('',#155242,#155243,#73945,.T.); #190958=EDGE_CURVE('',#155244,#155242,#139826,.T.); #190959=EDGE_CURVE('',#155245,#155243,#139827,.T.); #190960=EDGE_CURVE('',#155244,#155245,#73946,.T.); #190961=EDGE_CURVE('',#155246,#155244,#73947,.T.); #190962=EDGE_CURVE('',#155247,#155245,#73948,.T.); #190963=EDGE_CURVE('',#155246,#155247,#73949,.T.); #190964=EDGE_CURVE('',#155248,#155246,#139828,.T.); #190965=EDGE_CURVE('',#155249,#155247,#139829,.T.); #190966=EDGE_CURVE('',#155248,#155249,#73950,.T.); #190967=EDGE_CURVE('',#155250,#155248,#73951,.T.); #190968=EDGE_CURVE('',#155251,#155249,#73952,.T.); #190969=EDGE_CURVE('',#155250,#155251,#73953,.T.); #190970=EDGE_CURVE('',#155083,#155250,#139830,.T.); #190971=EDGE_CURVE('',#155084,#155251,#139831,.T.); #190972=EDGE_CURVE('',#155252,#155252,#139832,.T.); #190973=EDGE_CURVE('',#155252,#155253,#73954,.T.); #190974=EDGE_CURVE('',#155253,#155253,#139833,.T.); #190975=EDGE_CURVE('',#155254,#155255,#73955,.T.); #190976=EDGE_CURVE('',#155255,#155256,#73956,.T.); #190977=EDGE_CURVE('',#155257,#155256,#73957,.T.); #190978=EDGE_CURVE('',#155254,#155257,#73958,.T.); #190979=EDGE_CURVE('',#155258,#155254,#73959,.T.); #190980=EDGE_CURVE('',#155259,#155257,#73960,.T.); #190981=EDGE_CURVE('',#155258,#155259,#73961,.T.); #190982=EDGE_CURVE('',#155260,#155258,#73962,.T.); #190983=EDGE_CURVE('',#155261,#155259,#73963,.T.); #190984=EDGE_CURVE('',#155260,#155261,#73964,.T.); #190985=EDGE_CURVE('',#155262,#155260,#73965,.T.); #190986=EDGE_CURVE('',#155263,#155261,#73966,.T.); #190987=EDGE_CURVE('',#155262,#155263,#73967,.T.); #190988=EDGE_CURVE('',#155264,#155262,#73968,.T.); #190989=EDGE_CURVE('',#155265,#155263,#73969,.T.); #190990=EDGE_CURVE('',#155264,#155265,#73970,.T.); #190991=EDGE_CURVE('',#155266,#155264,#73971,.T.); #190992=EDGE_CURVE('',#155267,#155265,#73972,.T.); #190993=EDGE_CURVE('',#155266,#155267,#73973,.T.); #190994=EDGE_CURVE('',#155268,#155266,#139834,.T.); #190995=EDGE_CURVE('',#155269,#155267,#139835,.T.); #190996=EDGE_CURVE('',#155268,#155269,#73974,.T.); #190997=EDGE_CURVE('',#155270,#155268,#73975,.T.); #190998=EDGE_CURVE('',#155271,#155269,#73976,.T.); #190999=EDGE_CURVE('',#155270,#155271,#73977,.T.); #191000=EDGE_CURVE('',#155272,#155270,#73978,.T.); #191001=EDGE_CURVE('',#155273,#155271,#73979,.T.); #191002=EDGE_CURVE('',#155272,#155273,#73980,.T.); #191003=EDGE_CURVE('',#155274,#155272,#139836,.T.); #191004=EDGE_CURVE('',#155275,#155273,#139837,.T.); #191005=EDGE_CURVE('',#155274,#155275,#73981,.T.); #191006=EDGE_CURVE('',#155276,#155274,#73982,.T.); #191007=EDGE_CURVE('',#155277,#155275,#73983,.T.); #191008=EDGE_CURVE('',#155276,#155277,#73984,.T.); #191009=EDGE_CURVE('',#155278,#155276,#139838,.T.); #191010=EDGE_CURVE('',#155279,#155277,#139839,.T.); #191011=EDGE_CURVE('',#155278,#155279,#73985,.T.); #191012=EDGE_CURVE('',#155280,#155278,#139840,.T.); #191013=EDGE_CURVE('',#155281,#155279,#139841,.T.); #191014=EDGE_CURVE('',#155280,#155281,#73986,.T.); #191015=EDGE_CURVE('',#155282,#155280,#73987,.T.); #191016=EDGE_CURVE('',#155283,#155281,#73988,.T.); #191017=EDGE_CURVE('',#155282,#155283,#73989,.T.); #191018=EDGE_CURVE('',#155284,#155282,#73990,.T.); #191019=EDGE_CURVE('',#155285,#155283,#73991,.T.); #191020=EDGE_CURVE('',#155284,#155285,#73992,.T.); #191021=EDGE_CURVE('',#155286,#155284,#139842,.T.); #191022=EDGE_CURVE('',#155287,#155285,#139843,.T.); #191023=EDGE_CURVE('',#155286,#155287,#73993,.T.); #191024=EDGE_CURVE('',#155288,#155286,#73994,.T.); #191025=EDGE_CURVE('',#155289,#155287,#73995,.T.); #191026=EDGE_CURVE('',#155288,#155289,#73996,.T.); #191027=EDGE_CURVE('',#155290,#155288,#73997,.T.); #191028=EDGE_CURVE('',#155291,#155289,#73998,.T.); #191029=EDGE_CURVE('',#155290,#155291,#73999,.T.); #191030=EDGE_CURVE('',#155292,#155290,#139844,.T.); #191031=EDGE_CURVE('',#155293,#155291,#139845,.T.); #191032=EDGE_CURVE('',#155292,#155293,#74000,.T.); #191033=EDGE_CURVE('',#155294,#155292,#74001,.T.); #191034=EDGE_CURVE('',#155295,#155293,#74002,.T.); #191035=EDGE_CURVE('',#155294,#155295,#74003,.T.); #191036=EDGE_CURVE('',#155255,#155294,#74004,.T.); #191037=EDGE_CURVE('',#155256,#155295,#74005,.T.); #191038=EDGE_CURVE('',#155296,#155296,#139846,.T.); #191039=EDGE_CURVE('',#155296,#155297,#74006,.T.); #191040=EDGE_CURVE('',#155297,#155297,#139847,.T.); #191041=EDGE_CURVE('',#155298,#155298,#139848,.T.); #191042=EDGE_CURVE('',#155298,#155299,#74007,.T.); #191043=EDGE_CURVE('',#155299,#155299,#139849,.T.); #191044=EDGE_CURVE('',#155300,#155300,#139850,.T.); #191045=EDGE_CURVE('',#155300,#155301,#74008,.T.); #191046=EDGE_CURVE('',#155301,#155301,#139851,.T.); #191047=EDGE_CURVE('',#155302,#155302,#139852,.T.); #191048=EDGE_CURVE('',#155302,#155303,#74009,.T.); #191049=EDGE_CURVE('',#155303,#155303,#139853,.T.); #191050=EDGE_CURVE('',#155304,#155304,#139854,.T.); #191051=EDGE_CURVE('',#155304,#155305,#74010,.T.); #191052=EDGE_CURVE('',#155305,#155305,#139855,.T.); #191053=EDGE_CURVE('',#155306,#155306,#139856,.T.); #191054=EDGE_CURVE('',#155306,#155307,#74011,.T.); #191055=EDGE_CURVE('',#155307,#155307,#139857,.T.); #191056=EDGE_CURVE('',#155308,#155308,#139858,.T.); #191057=EDGE_CURVE('',#155308,#155309,#74012,.T.); #191058=EDGE_CURVE('',#155309,#155309,#139859,.T.); #191059=EDGE_CURVE('',#155310,#155310,#139860,.T.); #191060=EDGE_CURVE('',#155310,#155311,#74013,.T.); #191061=EDGE_CURVE('',#155311,#155311,#139861,.T.); #191062=EDGE_CURVE('',#155312,#155312,#139862,.T.); #191063=EDGE_CURVE('',#155312,#155313,#74014,.T.); #191064=EDGE_CURVE('',#155313,#155313,#139863,.T.); #191065=EDGE_CURVE('',#155314,#155314,#139864,.T.); #191066=EDGE_CURVE('',#155314,#155315,#74015,.T.); #191067=EDGE_CURVE('',#155315,#155315,#139865,.T.); #191068=EDGE_CURVE('',#155316,#155316,#139866,.T.); #191069=EDGE_CURVE('',#155316,#155317,#74016,.T.); #191070=EDGE_CURVE('',#155317,#155317,#139867,.T.); #191071=EDGE_CURVE('',#155318,#155318,#139868,.T.); #191072=EDGE_CURVE('',#155318,#155319,#74017,.T.); #191073=EDGE_CURVE('',#155319,#155319,#139869,.T.); #191074=EDGE_CURVE('',#155320,#155320,#139870,.T.); #191075=EDGE_CURVE('',#155320,#155321,#74018,.T.); #191076=EDGE_CURVE('',#155321,#155321,#139871,.T.); #191077=EDGE_CURVE('',#155322,#155322,#139872,.T.); #191078=EDGE_CURVE('',#155322,#155323,#74019,.T.); #191079=EDGE_CURVE('',#155323,#155323,#139873,.T.); #191080=EDGE_CURVE('',#155324,#155324,#139874,.T.); #191081=EDGE_CURVE('',#155324,#155325,#74020,.T.); #191082=EDGE_CURVE('',#155325,#155325,#139875,.T.); #191083=EDGE_CURVE('',#155326,#155326,#139876,.T.); #191084=EDGE_CURVE('',#155326,#155327,#74021,.T.); #191085=EDGE_CURVE('',#155327,#155327,#139877,.T.); #191086=EDGE_CURVE('',#155328,#155328,#139878,.T.); #191087=EDGE_CURVE('',#155328,#155329,#74022,.T.); #191088=EDGE_CURVE('',#155329,#155329,#139879,.T.); #191089=EDGE_CURVE('',#155330,#155330,#139880,.T.); #191090=EDGE_CURVE('',#155330,#155331,#74023,.T.); #191091=EDGE_CURVE('',#155331,#155331,#139881,.T.); #191092=EDGE_CURVE('',#155332,#155332,#139882,.T.); #191093=EDGE_CURVE('',#155332,#155333,#74024,.T.); #191094=EDGE_CURVE('',#155333,#155333,#139883,.T.); #191095=EDGE_CURVE('',#155334,#155334,#139884,.T.); #191096=EDGE_CURVE('',#155334,#155335,#74025,.T.); #191097=EDGE_CURVE('',#155335,#155335,#139885,.T.); #191098=EDGE_CURVE('',#155336,#155336,#139886,.T.); #191099=EDGE_CURVE('',#155336,#155337,#74026,.T.); #191100=EDGE_CURVE('',#155337,#155337,#139887,.T.); #191101=EDGE_CURVE('',#155338,#155338,#139888,.T.); #191102=EDGE_CURVE('',#155338,#155339,#74027,.T.); #191103=EDGE_CURVE('',#155339,#155339,#139889,.T.); #191104=EDGE_CURVE('',#155340,#155340,#139890,.T.); #191105=EDGE_CURVE('',#155340,#155341,#74028,.T.); #191106=EDGE_CURVE('',#155341,#155341,#139891,.T.); #191107=EDGE_CURVE('',#155342,#155342,#139892,.T.); #191108=EDGE_CURVE('',#155342,#155343,#74029,.T.); #191109=EDGE_CURVE('',#155343,#155343,#139893,.T.); #191110=EDGE_CURVE('',#155344,#155344,#139894,.T.); #191111=EDGE_CURVE('',#155344,#155345,#74030,.T.); #191112=EDGE_CURVE('',#155345,#155345,#139895,.T.); #191113=EDGE_CURVE('',#155346,#155346,#139896,.T.); #191114=EDGE_CURVE('',#155346,#155347,#74031,.T.); #191115=EDGE_CURVE('',#155347,#155347,#139897,.T.); #191116=EDGE_CURVE('',#155348,#155348,#139898,.T.); #191117=EDGE_CURVE('',#155348,#155349,#74032,.T.); #191118=EDGE_CURVE('',#155349,#155349,#139899,.T.); #191119=EDGE_CURVE('',#155350,#155350,#139900,.T.); #191120=EDGE_CURVE('',#155350,#155351,#74033,.T.); #191121=EDGE_CURVE('',#155351,#155351,#139901,.T.); #191122=EDGE_CURVE('',#155352,#155352,#139902,.T.); #191123=EDGE_CURVE('',#155352,#155353,#74034,.T.); #191124=EDGE_CURVE('',#155353,#155353,#139903,.T.); #191125=EDGE_CURVE('',#155354,#155354,#139904,.T.); #191126=EDGE_CURVE('',#155354,#155355,#74035,.T.); #191127=EDGE_CURVE('',#155355,#155355,#139905,.T.); #191128=EDGE_CURVE('',#155356,#155356,#139906,.T.); #191129=EDGE_CURVE('',#155356,#155357,#74036,.T.); #191130=EDGE_CURVE('',#155357,#155357,#139907,.T.); #191131=EDGE_CURVE('',#155358,#155359,#74037,.T.); #191132=EDGE_CURVE('',#155359,#155360,#74038,.T.); #191133=EDGE_CURVE('',#155361,#155360,#74039,.T.); #191134=EDGE_CURVE('',#155358,#155361,#74040,.T.); #191135=EDGE_CURVE('',#155362,#155358,#139908,.T.); #191136=EDGE_CURVE('',#155363,#155361,#139909,.T.); #191137=EDGE_CURVE('',#155362,#155363,#74041,.T.); #191138=EDGE_CURVE('',#155364,#155362,#74042,.T.); #191139=EDGE_CURVE('',#155365,#155363,#74043,.T.); #191140=EDGE_CURVE('',#155364,#155365,#74044,.T.); #191141=EDGE_CURVE('',#155366,#155364,#139910,.T.); #191142=EDGE_CURVE('',#155367,#155365,#139911,.T.); #191143=EDGE_CURVE('',#155366,#155367,#74045,.T.); #191144=EDGE_CURVE('',#155368,#155366,#74046,.T.); #191145=EDGE_CURVE('',#155369,#155367,#74047,.T.); #191146=EDGE_CURVE('',#155368,#155369,#74048,.T.); #191147=EDGE_CURVE('',#155370,#155368,#139912,.T.); #191148=EDGE_CURVE('',#155371,#155369,#139913,.T.); #191149=EDGE_CURVE('',#155370,#155371,#74049,.T.); #191150=EDGE_CURVE('',#155372,#155370,#74050,.T.); #191151=EDGE_CURVE('',#155373,#155371,#74051,.T.); #191152=EDGE_CURVE('',#155372,#155373,#74052,.T.); #191153=EDGE_CURVE('',#155374,#155372,#74053,.T.); #191154=EDGE_CURVE('',#155375,#155373,#74054,.T.); #191155=EDGE_CURVE('',#155374,#155375,#74055,.T.); #191156=EDGE_CURVE('',#155376,#155374,#74056,.T.); #191157=EDGE_CURVE('',#155377,#155375,#74057,.T.); #191158=EDGE_CURVE('',#155376,#155377,#74058,.T.); #191159=EDGE_CURVE('',#155378,#155376,#74059,.T.); #191160=EDGE_CURVE('',#155379,#155377,#74060,.T.); #191161=EDGE_CURVE('',#155378,#155379,#74061,.T.); #191162=EDGE_CURVE('',#155380,#155378,#74062,.T.); #191163=EDGE_CURVE('',#155381,#155379,#74063,.T.); #191164=EDGE_CURVE('',#155380,#155381,#74064,.T.); #191165=EDGE_CURVE('',#155382,#155380,#74065,.T.); #191166=EDGE_CURVE('',#155383,#155381,#74066,.T.); #191167=EDGE_CURVE('',#155382,#155383,#74067,.T.); #191168=EDGE_CURVE('',#155384,#155382,#74068,.T.); #191169=EDGE_CURVE('',#155385,#155383,#74069,.T.); #191170=EDGE_CURVE('',#155384,#155385,#74070,.T.); #191171=EDGE_CURVE('',#155386,#155384,#74071,.T.); #191172=EDGE_CURVE('',#155387,#155385,#74072,.T.); #191173=EDGE_CURVE('',#155386,#155387,#74073,.T.); #191174=EDGE_CURVE('',#155388,#155386,#74074,.T.); #191175=EDGE_CURVE('',#155389,#155387,#74075,.T.); #191176=EDGE_CURVE('',#155388,#155389,#74076,.T.); #191177=EDGE_CURVE('',#155390,#155388,#74077,.T.); #191178=EDGE_CURVE('',#155391,#155389,#74078,.T.); #191179=EDGE_CURVE('',#155390,#155391,#74079,.T.); #191180=EDGE_CURVE('',#155392,#155390,#74080,.T.); #191181=EDGE_CURVE('',#155393,#155391,#74081,.T.); #191182=EDGE_CURVE('',#155392,#155393,#74082,.T.); #191183=EDGE_CURVE('',#155394,#155392,#74083,.T.); #191184=EDGE_CURVE('',#155395,#155393,#74084,.T.); #191185=EDGE_CURVE('',#155394,#155395,#74085,.T.); #191186=EDGE_CURVE('',#155396,#155394,#74086,.T.); #191187=EDGE_CURVE('',#155397,#155395,#74087,.T.); #191188=EDGE_CURVE('',#155396,#155397,#74088,.T.); #191189=EDGE_CURVE('',#155398,#155396,#74089,.T.); #191190=EDGE_CURVE('',#155399,#155397,#74090,.T.); #191191=EDGE_CURVE('',#155398,#155399,#74091,.T.); #191192=EDGE_CURVE('',#155400,#155398,#74092,.T.); #191193=EDGE_CURVE('',#155401,#155399,#74093,.T.); #191194=EDGE_CURVE('',#155400,#155401,#74094,.T.); #191195=EDGE_CURVE('',#155402,#155400,#74095,.T.); #191196=EDGE_CURVE('',#155403,#155401,#74096,.T.); #191197=EDGE_CURVE('',#155402,#155403,#74097,.T.); #191198=EDGE_CURVE('',#155404,#155402,#74098,.T.); #191199=EDGE_CURVE('',#155405,#155403,#74099,.T.); #191200=EDGE_CURVE('',#155404,#155405,#74100,.T.); #191201=EDGE_CURVE('',#155406,#155404,#74101,.T.); #191202=EDGE_CURVE('',#155407,#155405,#74102,.T.); #191203=EDGE_CURVE('',#155406,#155407,#74103,.T.); #191204=EDGE_CURVE('',#155408,#155406,#74104,.T.); #191205=EDGE_CURVE('',#155409,#155407,#74105,.T.); #191206=EDGE_CURVE('',#155408,#155409,#74106,.T.); #191207=EDGE_CURVE('',#155410,#155408,#74107,.T.); #191208=EDGE_CURVE('',#155411,#155409,#74108,.T.); #191209=EDGE_CURVE('',#155410,#155411,#74109,.T.); #191210=EDGE_CURVE('',#155412,#155410,#74110,.T.); #191211=EDGE_CURVE('',#155413,#155411,#74111,.T.); #191212=EDGE_CURVE('',#155412,#155413,#74112,.T.); #191213=EDGE_CURVE('',#155414,#155412,#74113,.T.); #191214=EDGE_CURVE('',#155415,#155413,#74114,.T.); #191215=EDGE_CURVE('',#155414,#155415,#74115,.T.); #191216=EDGE_CURVE('',#155416,#155414,#74116,.T.); #191217=EDGE_CURVE('',#155417,#155415,#74117,.T.); #191218=EDGE_CURVE('',#155416,#155417,#74118,.T.); #191219=EDGE_CURVE('',#155418,#155416,#74119,.T.); #191220=EDGE_CURVE('',#155419,#155417,#74120,.T.); #191221=EDGE_CURVE('',#155418,#155419,#74121,.T.); #191222=EDGE_CURVE('',#155420,#155418,#74122,.T.); #191223=EDGE_CURVE('',#155421,#155419,#74123,.T.); #191224=EDGE_CURVE('',#155420,#155421,#74124,.T.); #191225=EDGE_CURVE('',#155422,#155420,#74125,.T.); #191226=EDGE_CURVE('',#155423,#155421,#74126,.T.); #191227=EDGE_CURVE('',#155422,#155423,#74127,.T.); #191228=EDGE_CURVE('',#155424,#155422,#74128,.T.); #191229=EDGE_CURVE('',#155425,#155423,#74129,.T.); #191230=EDGE_CURVE('',#155424,#155425,#74130,.T.); #191231=EDGE_CURVE('',#155426,#155424,#74131,.T.); #191232=EDGE_CURVE('',#155427,#155425,#74132,.T.); #191233=EDGE_CURVE('',#155426,#155427,#74133,.T.); #191234=EDGE_CURVE('',#155428,#155426,#74134,.T.); #191235=EDGE_CURVE('',#155429,#155427,#74135,.T.); #191236=EDGE_CURVE('',#155428,#155429,#74136,.T.); #191237=EDGE_CURVE('',#155430,#155428,#74137,.T.); #191238=EDGE_CURVE('',#155431,#155429,#74138,.T.); #191239=EDGE_CURVE('',#155430,#155431,#74139,.T.); #191240=EDGE_CURVE('',#155432,#155430,#74140,.T.); #191241=EDGE_CURVE('',#155433,#155431,#74141,.T.); #191242=EDGE_CURVE('',#155432,#155433,#74142,.T.); #191243=EDGE_CURVE('',#155434,#155432,#74143,.T.); #191244=EDGE_CURVE('',#155435,#155433,#74144,.T.); #191245=EDGE_CURVE('',#155434,#155435,#74145,.T.); #191246=EDGE_CURVE('',#155436,#155434,#74146,.T.); #191247=EDGE_CURVE('',#155437,#155435,#74147,.T.); #191248=EDGE_CURVE('',#155436,#155437,#74148,.T.); #191249=EDGE_CURVE('',#155438,#155436,#74149,.T.); #191250=EDGE_CURVE('',#155439,#155437,#74150,.T.); #191251=EDGE_CURVE('',#155438,#155439,#74151,.T.); #191252=EDGE_CURVE('',#155440,#155438,#74152,.T.); #191253=EDGE_CURVE('',#155441,#155439,#74153,.T.); #191254=EDGE_CURVE('',#155440,#155441,#74154,.T.); #191255=EDGE_CURVE('',#155442,#155440,#74155,.T.); #191256=EDGE_CURVE('',#155443,#155441,#74156,.T.); #191257=EDGE_CURVE('',#155442,#155443,#74157,.T.); #191258=EDGE_CURVE('',#155444,#155442,#74158,.T.); #191259=EDGE_CURVE('',#155445,#155443,#74159,.T.); #191260=EDGE_CURVE('',#155444,#155445,#74160,.T.); #191261=EDGE_CURVE('',#155446,#155444,#74161,.T.); #191262=EDGE_CURVE('',#155447,#155445,#74162,.T.); #191263=EDGE_CURVE('',#155446,#155447,#74163,.T.); #191264=EDGE_CURVE('',#155448,#155446,#74164,.T.); #191265=EDGE_CURVE('',#155449,#155447,#74165,.T.); #191266=EDGE_CURVE('',#155448,#155449,#74166,.T.); #191267=EDGE_CURVE('',#155450,#155448,#74167,.T.); #191268=EDGE_CURVE('',#155451,#155449,#74168,.T.); #191269=EDGE_CURVE('',#155450,#155451,#74169,.T.); #191270=EDGE_CURVE('',#155452,#155450,#74170,.T.); #191271=EDGE_CURVE('',#155453,#155451,#74171,.T.); #191272=EDGE_CURVE('',#155452,#155453,#74172,.T.); #191273=EDGE_CURVE('',#155454,#155452,#74173,.T.); #191274=EDGE_CURVE('',#155455,#155453,#74174,.T.); #191275=EDGE_CURVE('',#155454,#155455,#74175,.T.); #191276=EDGE_CURVE('',#155456,#155454,#74176,.T.); #191277=EDGE_CURVE('',#155457,#155455,#74177,.T.); #191278=EDGE_CURVE('',#155456,#155457,#74178,.T.); #191279=EDGE_CURVE('',#155458,#155456,#74179,.T.); #191280=EDGE_CURVE('',#155459,#155457,#74180,.T.); #191281=EDGE_CURVE('',#155458,#155459,#74181,.T.); #191282=EDGE_CURVE('',#155460,#155458,#74182,.T.); #191283=EDGE_CURVE('',#155461,#155459,#74183,.T.); #191284=EDGE_CURVE('',#155460,#155461,#74184,.T.); #191285=EDGE_CURVE('',#155462,#155460,#74185,.T.); #191286=EDGE_CURVE('',#155463,#155461,#74186,.T.); #191287=EDGE_CURVE('',#155462,#155463,#74187,.T.); #191288=EDGE_CURVE('',#155464,#155462,#74188,.T.); #191289=EDGE_CURVE('',#155465,#155463,#74189,.T.); #191290=EDGE_CURVE('',#155464,#155465,#74190,.T.); #191291=EDGE_CURVE('',#155466,#155464,#74191,.T.); #191292=EDGE_CURVE('',#155467,#155465,#74192,.T.); #191293=EDGE_CURVE('',#155466,#155467,#74193,.T.); #191294=EDGE_CURVE('',#155468,#155466,#74194,.T.); #191295=EDGE_CURVE('',#155469,#155467,#74195,.T.); #191296=EDGE_CURVE('',#155468,#155469,#74196,.T.); #191297=EDGE_CURVE('',#155470,#155468,#74197,.T.); #191298=EDGE_CURVE('',#155471,#155469,#74198,.T.); #191299=EDGE_CURVE('',#155470,#155471,#74199,.T.); #191300=EDGE_CURVE('',#155472,#155470,#74200,.T.); #191301=EDGE_CURVE('',#155473,#155471,#74201,.T.); #191302=EDGE_CURVE('',#155472,#155473,#74202,.T.); #191303=EDGE_CURVE('',#155474,#155472,#74203,.T.); #191304=EDGE_CURVE('',#155475,#155473,#74204,.T.); #191305=EDGE_CURVE('',#155474,#155475,#74205,.T.); #191306=EDGE_CURVE('',#155476,#155474,#74206,.T.); #191307=EDGE_CURVE('',#155477,#155475,#74207,.T.); #191308=EDGE_CURVE('',#155476,#155477,#74208,.T.); #191309=EDGE_CURVE('',#155478,#155476,#74209,.T.); #191310=EDGE_CURVE('',#155479,#155477,#74210,.T.); #191311=EDGE_CURVE('',#155478,#155479,#74211,.T.); #191312=EDGE_CURVE('',#155480,#155478,#74212,.T.); #191313=EDGE_CURVE('',#155481,#155479,#74213,.T.); #191314=EDGE_CURVE('',#155480,#155481,#74214,.T.); #191315=EDGE_CURVE('',#155482,#155480,#74215,.T.); #191316=EDGE_CURVE('',#155483,#155481,#74216,.T.); #191317=EDGE_CURVE('',#155482,#155483,#74217,.T.); #191318=EDGE_CURVE('',#155484,#155482,#74218,.T.); #191319=EDGE_CURVE('',#155485,#155483,#74219,.T.); #191320=EDGE_CURVE('',#155484,#155485,#74220,.T.); #191321=EDGE_CURVE('',#155486,#155484,#74221,.T.); #191322=EDGE_CURVE('',#155487,#155485,#74222,.T.); #191323=EDGE_CURVE('',#155486,#155487,#74223,.T.); #191324=EDGE_CURVE('',#155488,#155486,#74224,.T.); #191325=EDGE_CURVE('',#155489,#155487,#74225,.T.); #191326=EDGE_CURVE('',#155488,#155489,#74226,.T.); #191327=EDGE_CURVE('',#155490,#155488,#74227,.T.); #191328=EDGE_CURVE('',#155491,#155489,#74228,.T.); #191329=EDGE_CURVE('',#155490,#155491,#74229,.T.); #191330=EDGE_CURVE('',#155492,#155490,#74230,.T.); #191331=EDGE_CURVE('',#155493,#155491,#74231,.T.); #191332=EDGE_CURVE('',#155492,#155493,#74232,.T.); #191333=EDGE_CURVE('',#155494,#155492,#74233,.T.); #191334=EDGE_CURVE('',#155495,#155493,#74234,.T.); #191335=EDGE_CURVE('',#155494,#155495,#74235,.T.); #191336=EDGE_CURVE('',#155496,#155494,#74236,.T.); #191337=EDGE_CURVE('',#155497,#155495,#74237,.T.); #191338=EDGE_CURVE('',#155496,#155497,#74238,.T.); #191339=EDGE_CURVE('',#155498,#155496,#74239,.T.); #191340=EDGE_CURVE('',#155499,#155497,#74240,.T.); #191341=EDGE_CURVE('',#155498,#155499,#74241,.T.); #191342=EDGE_CURVE('',#155500,#155498,#74242,.T.); #191343=EDGE_CURVE('',#155501,#155499,#74243,.T.); #191344=EDGE_CURVE('',#155500,#155501,#74244,.T.); #191345=EDGE_CURVE('',#155502,#155500,#74245,.T.); #191346=EDGE_CURVE('',#155503,#155501,#74246,.T.); #191347=EDGE_CURVE('',#155502,#155503,#74247,.T.); #191348=EDGE_CURVE('',#155504,#155502,#74248,.T.); #191349=EDGE_CURVE('',#155505,#155503,#74249,.T.); #191350=EDGE_CURVE('',#155504,#155505,#74250,.T.); #191351=EDGE_CURVE('',#155506,#155504,#139914,.T.); #191352=EDGE_CURVE('',#155507,#155505,#139915,.T.); #191353=EDGE_CURVE('',#155506,#155507,#74251,.T.); #191354=EDGE_CURVE('',#155508,#155506,#74252,.T.); #191355=EDGE_CURVE('',#155509,#155507,#74253,.T.); #191356=EDGE_CURVE('',#155508,#155509,#74254,.T.); #191357=EDGE_CURVE('',#155510,#155508,#139916,.T.); #191358=EDGE_CURVE('',#155511,#155509,#139917,.T.); #191359=EDGE_CURVE('',#155510,#155511,#74255,.T.); #191360=EDGE_CURVE('',#155512,#155510,#74256,.T.); #191361=EDGE_CURVE('',#155513,#155511,#74257,.T.); #191362=EDGE_CURVE('',#155512,#155513,#74258,.T.); #191363=EDGE_CURVE('',#155514,#155512,#74259,.T.); #191364=EDGE_CURVE('',#155515,#155513,#74260,.T.); #191365=EDGE_CURVE('',#155514,#155515,#74261,.T.); #191366=EDGE_CURVE('',#155516,#155514,#74262,.T.); #191367=EDGE_CURVE('',#155517,#155515,#74263,.T.); #191368=EDGE_CURVE('',#155516,#155517,#74264,.T.); #191369=EDGE_CURVE('',#155359,#155516,#139918,.T.); #191370=EDGE_CURVE('',#155360,#155517,#139919,.T.); #191371=EDGE_CURVE('',#155518,#155518,#139920,.T.); #191372=EDGE_CURVE('',#155518,#155519,#74265,.T.); #191373=EDGE_CURVE('',#155519,#155519,#139921,.T.); #191374=EDGE_CURVE('',#155520,#155521,#74266,.T.); #191375=EDGE_CURVE('',#155521,#155522,#74267,.T.); #191376=EDGE_CURVE('',#155523,#155522,#74268,.T.); #191377=EDGE_CURVE('',#155520,#155523,#74269,.T.); #191378=EDGE_CURVE('',#155524,#155520,#74270,.T.); #191379=EDGE_CURVE('',#155525,#155523,#74271,.T.); #191380=EDGE_CURVE('',#155524,#155525,#74272,.T.); #191381=EDGE_CURVE('',#155526,#155524,#74273,.T.); #191382=EDGE_CURVE('',#155527,#155525,#74274,.T.); #191383=EDGE_CURVE('',#155526,#155527,#74275,.T.); #191384=EDGE_CURVE('',#155528,#155526,#74276,.T.); #191385=EDGE_CURVE('',#155529,#155527,#74277,.T.); #191386=EDGE_CURVE('',#155528,#155529,#74278,.T.); #191387=EDGE_CURVE('',#155530,#155528,#74279,.T.); #191388=EDGE_CURVE('',#155531,#155529,#74280,.T.); #191389=EDGE_CURVE('',#155530,#155531,#74281,.T.); #191390=EDGE_CURVE('',#155532,#155530,#139922,.T.); #191391=EDGE_CURVE('',#155533,#155531,#139923,.T.); #191392=EDGE_CURVE('',#155532,#155533,#74282,.T.); #191393=EDGE_CURVE('',#155534,#155532,#74283,.T.); #191394=EDGE_CURVE('',#155535,#155533,#74284,.T.); #191395=EDGE_CURVE('',#155534,#155535,#74285,.T.); #191396=EDGE_CURVE('',#155536,#155534,#74286,.T.); #191397=EDGE_CURVE('',#155537,#155535,#74287,.T.); #191398=EDGE_CURVE('',#155536,#155537,#74288,.T.); #191399=EDGE_CURVE('',#155538,#155536,#139924,.T.); #191400=EDGE_CURVE('',#155539,#155537,#139925,.T.); #191401=EDGE_CURVE('',#155538,#155539,#74289,.T.); #191402=EDGE_CURVE('',#155540,#155538,#74290,.T.); #191403=EDGE_CURVE('',#155541,#155539,#74291,.T.); #191404=EDGE_CURVE('',#155540,#155541,#74292,.T.); #191405=EDGE_CURVE('',#155542,#155540,#74293,.T.); #191406=EDGE_CURVE('',#155543,#155541,#74294,.T.); #191407=EDGE_CURVE('',#155542,#155543,#74295,.T.); #191408=EDGE_CURVE('',#155544,#155542,#139926,.T.); #191409=EDGE_CURVE('',#155545,#155543,#139927,.T.); #191410=EDGE_CURVE('',#155544,#155545,#74296,.T.); #191411=EDGE_CURVE('',#155546,#155544,#139928,.T.); #191412=EDGE_CURVE('',#155547,#155545,#139929,.T.); #191413=EDGE_CURVE('',#155546,#155547,#74297,.T.); #191414=EDGE_CURVE('',#155548,#155546,#74298,.T.); #191415=EDGE_CURVE('',#155549,#155547,#74299,.T.); #191416=EDGE_CURVE('',#155548,#155549,#74300,.T.); #191417=EDGE_CURVE('',#155550,#155548,#139930,.T.); #191418=EDGE_CURVE('',#155551,#155549,#139931,.T.); #191419=EDGE_CURVE('',#155550,#155551,#74301,.T.); #191420=EDGE_CURVE('',#155552,#155550,#74302,.T.); #191421=EDGE_CURVE('',#155553,#155551,#74303,.T.); #191422=EDGE_CURVE('',#155552,#155553,#74304,.T.); #191423=EDGE_CURVE('',#155554,#155552,#74305,.T.); #191424=EDGE_CURVE('',#155555,#155553,#74306,.T.); #191425=EDGE_CURVE('',#155554,#155555,#74307,.T.); #191426=EDGE_CURVE('',#155556,#155554,#139932,.T.); #191427=EDGE_CURVE('',#155557,#155555,#139933,.T.); #191428=EDGE_CURVE('',#155556,#155557,#74308,.T.); #191429=EDGE_CURVE('',#155558,#155556,#74309,.T.); #191430=EDGE_CURVE('',#155559,#155557,#74310,.T.); #191431=EDGE_CURVE('',#155558,#155559,#74311,.T.); #191432=EDGE_CURVE('',#155560,#155558,#74312,.T.); #191433=EDGE_CURVE('',#155561,#155559,#74313,.T.); #191434=EDGE_CURVE('',#155560,#155561,#74314,.T.); #191435=EDGE_CURVE('',#155521,#155560,#74315,.T.); #191436=EDGE_CURVE('',#155522,#155561,#74316,.T.); #191437=EDGE_CURVE('',#155562,#155562,#139934,.T.); #191438=EDGE_CURVE('',#155562,#155563,#74317,.T.); #191439=EDGE_CURVE('',#155563,#155563,#139935,.T.); #191440=EDGE_CURVE('',#155564,#155564,#139936,.T.); #191441=EDGE_CURVE('',#155564,#155565,#74318,.T.); #191442=EDGE_CURVE('',#155565,#155565,#139937,.T.); #191443=EDGE_CURVE('',#155566,#155566,#139938,.T.); #191444=EDGE_CURVE('',#155566,#155567,#74319,.T.); #191445=EDGE_CURVE('',#155567,#155567,#139939,.T.); #191446=EDGE_CURVE('',#155568,#155568,#139940,.T.); #191447=EDGE_CURVE('',#155568,#155569,#74320,.T.); #191448=EDGE_CURVE('',#155569,#155569,#139941,.T.); #191449=EDGE_CURVE('',#155570,#155570,#139942,.T.); #191450=EDGE_CURVE('',#155570,#155571,#74321,.T.); #191451=EDGE_CURVE('',#155571,#155571,#139943,.T.); #191452=EDGE_CURVE('',#155572,#155572,#139944,.T.); #191453=EDGE_CURVE('',#155572,#155573,#74322,.T.); #191454=EDGE_CURVE('',#155573,#155573,#139945,.T.); #191455=EDGE_CURVE('',#155574,#155574,#139946,.T.); #191456=EDGE_CURVE('',#155574,#155575,#74323,.T.); #191457=EDGE_CURVE('',#155575,#155575,#139947,.T.); #191458=EDGE_CURVE('',#155576,#155576,#139948,.T.); #191459=EDGE_CURVE('',#155576,#155577,#74324,.T.); #191460=EDGE_CURVE('',#155577,#155577,#139949,.T.); #191461=EDGE_CURVE('',#155578,#155578,#139950,.T.); #191462=EDGE_CURVE('',#155578,#155579,#74325,.T.); #191463=EDGE_CURVE('',#155579,#155579,#139951,.T.); #191464=EDGE_CURVE('',#155580,#155580,#139952,.T.); #191465=EDGE_CURVE('',#155580,#155581,#74326,.T.); #191466=EDGE_CURVE('',#155581,#155581,#139953,.T.); #191467=EDGE_CURVE('',#155582,#155582,#139954,.T.); #191468=EDGE_CURVE('',#155582,#155583,#74327,.T.); #191469=EDGE_CURVE('',#155583,#155583,#139955,.T.); #191470=EDGE_CURVE('',#155584,#155584,#139956,.T.); #191471=EDGE_CURVE('',#155584,#155585,#74328,.T.); #191472=EDGE_CURVE('',#155585,#155585,#139957,.T.); #191473=EDGE_CURVE('',#155586,#155586,#139958,.T.); #191474=EDGE_CURVE('',#155586,#155587,#74329,.T.); #191475=EDGE_CURVE('',#155587,#155587,#139959,.T.); #191476=EDGE_CURVE('',#155588,#155588,#139960,.T.); #191477=EDGE_CURVE('',#155588,#155589,#74330,.T.); #191478=EDGE_CURVE('',#155589,#155589,#139961,.T.); #191479=EDGE_CURVE('',#155590,#155590,#139962,.T.); #191480=EDGE_CURVE('',#155590,#155591,#74331,.T.); #191481=EDGE_CURVE('',#155591,#155591,#139963,.T.); #191482=EDGE_CURVE('',#155592,#155592,#139964,.T.); #191483=EDGE_CURVE('',#155592,#155593,#74332,.T.); #191484=EDGE_CURVE('',#155593,#155593,#139965,.T.); #191485=EDGE_CURVE('',#155594,#155595,#74333,.T.); #191486=EDGE_CURVE('',#155595,#155596,#74334,.T.); #191487=EDGE_CURVE('',#155597,#155596,#74335,.T.); #191488=EDGE_CURVE('',#155594,#155597,#74336,.T.); #191489=EDGE_CURVE('',#155598,#155594,#74337,.T.); #191490=EDGE_CURVE('',#155599,#155597,#74338,.T.); #191491=EDGE_CURVE('',#155598,#155599,#74339,.T.); #191492=EDGE_CURVE('',#155600,#155598,#74340,.T.); #191493=EDGE_CURVE('',#155601,#155599,#74341,.T.); #191494=EDGE_CURVE('',#155600,#155601,#74342,.T.); #191495=EDGE_CURVE('',#155602,#155600,#74343,.T.); #191496=EDGE_CURVE('',#155603,#155601,#74344,.T.); #191497=EDGE_CURVE('',#155602,#155603,#74345,.T.); #191498=EDGE_CURVE('',#155604,#155602,#74346,.T.); #191499=EDGE_CURVE('',#155605,#155603,#74347,.T.); #191500=EDGE_CURVE('',#155604,#155605,#74348,.T.); #191501=EDGE_CURVE('',#155606,#155604,#74349,.T.); #191502=EDGE_CURVE('',#155607,#155605,#74350,.T.); #191503=EDGE_CURVE('',#155606,#155607,#74351,.T.); #191504=EDGE_CURVE('',#155608,#155606,#74352,.T.); #191505=EDGE_CURVE('',#155609,#155607,#74353,.T.); #191506=EDGE_CURVE('',#155608,#155609,#74354,.T.); #191507=EDGE_CURVE('',#155610,#155608,#74355,.T.); #191508=EDGE_CURVE('',#155611,#155609,#74356,.T.); #191509=EDGE_CURVE('',#155610,#155611,#74357,.T.); #191510=EDGE_CURVE('',#155612,#155610,#74358,.T.); #191511=EDGE_CURVE('',#155613,#155611,#74359,.T.); #191512=EDGE_CURVE('',#155612,#155613,#74360,.T.); #191513=EDGE_CURVE('',#155614,#155612,#74361,.T.); #191514=EDGE_CURVE('',#155615,#155613,#74362,.T.); #191515=EDGE_CURVE('',#155614,#155615,#74363,.T.); #191516=EDGE_CURVE('',#155616,#155614,#74364,.T.); #191517=EDGE_CURVE('',#155617,#155615,#74365,.T.); #191518=EDGE_CURVE('',#155616,#155617,#74366,.T.); #191519=EDGE_CURVE('',#155618,#155616,#74367,.T.); #191520=EDGE_CURVE('',#155619,#155617,#74368,.T.); #191521=EDGE_CURVE('',#155618,#155619,#74369,.T.); #191522=EDGE_CURVE('',#155620,#155618,#74370,.T.); #191523=EDGE_CURVE('',#155621,#155619,#74371,.T.); #191524=EDGE_CURVE('',#155620,#155621,#74372,.T.); #191525=EDGE_CURVE('',#155622,#155620,#74373,.T.); #191526=EDGE_CURVE('',#155623,#155621,#74374,.T.); #191527=EDGE_CURVE('',#155622,#155623,#74375,.T.); #191528=EDGE_CURVE('',#155624,#155622,#74376,.T.); #191529=EDGE_CURVE('',#155625,#155623,#74377,.T.); #191530=EDGE_CURVE('',#155624,#155625,#74378,.T.); #191531=EDGE_CURVE('',#155626,#155624,#74379,.T.); #191532=EDGE_CURVE('',#155627,#155625,#74380,.T.); #191533=EDGE_CURVE('',#155626,#155627,#74381,.T.); #191534=EDGE_CURVE('',#155628,#155626,#74382,.T.); #191535=EDGE_CURVE('',#155629,#155627,#74383,.T.); #191536=EDGE_CURVE('',#155628,#155629,#74384,.T.); #191537=EDGE_CURVE('',#155630,#155628,#74385,.T.); #191538=EDGE_CURVE('',#155631,#155629,#74386,.T.); #191539=EDGE_CURVE('',#155630,#155631,#74387,.T.); #191540=EDGE_CURVE('',#155632,#155630,#74388,.T.); #191541=EDGE_CURVE('',#155633,#155631,#74389,.T.); #191542=EDGE_CURVE('',#155632,#155633,#74390,.T.); #191543=EDGE_CURVE('',#155634,#155632,#74391,.T.); #191544=EDGE_CURVE('',#155635,#155633,#74392,.T.); #191545=EDGE_CURVE('',#155634,#155635,#74393,.T.); #191546=EDGE_CURVE('',#155636,#155634,#74394,.T.); #191547=EDGE_CURVE('',#155637,#155635,#74395,.T.); #191548=EDGE_CURVE('',#155636,#155637,#74396,.T.); #191549=EDGE_CURVE('',#155638,#155636,#74397,.T.); #191550=EDGE_CURVE('',#155639,#155637,#74398,.T.); #191551=EDGE_CURVE('',#155638,#155639,#74399,.T.); #191552=EDGE_CURVE('',#155640,#155638,#74400,.T.); #191553=EDGE_CURVE('',#155641,#155639,#74401,.T.); #191554=EDGE_CURVE('',#155640,#155641,#74402,.T.); #191555=EDGE_CURVE('',#155595,#155640,#74403,.T.); #191556=EDGE_CURVE('',#155596,#155641,#74404,.T.); #191557=EDGE_CURVE('',#155642,#155643,#74405,.T.); #191558=EDGE_CURVE('',#155643,#155644,#74406,.T.); #191559=EDGE_CURVE('',#155645,#155644,#74407,.T.); #191560=EDGE_CURVE('',#155642,#155645,#74408,.T.); #191561=EDGE_CURVE('',#155646,#155642,#74409,.T.); #191562=EDGE_CURVE('',#155647,#155645,#74410,.T.); #191563=EDGE_CURVE('',#155646,#155647,#74411,.T.); #191564=EDGE_CURVE('',#155648,#155646,#74412,.T.); #191565=EDGE_CURVE('',#155649,#155647,#74413,.T.); #191566=EDGE_CURVE('',#155648,#155649,#74414,.T.); #191567=EDGE_CURVE('',#155650,#155648,#74415,.T.); #191568=EDGE_CURVE('',#155651,#155649,#74416,.T.); #191569=EDGE_CURVE('',#155650,#155651,#74417,.T.); #191570=EDGE_CURVE('',#155652,#155650,#74418,.T.); #191571=EDGE_CURVE('',#155653,#155651,#74419,.T.); #191572=EDGE_CURVE('',#155652,#155653,#74420,.T.); #191573=EDGE_CURVE('',#155654,#155652,#74421,.T.); #191574=EDGE_CURVE('',#155655,#155653,#74422,.T.); #191575=EDGE_CURVE('',#155654,#155655,#74423,.T.); #191576=EDGE_CURVE('',#155656,#155654,#74424,.T.); #191577=EDGE_CURVE('',#155657,#155655,#74425,.T.); #191578=EDGE_CURVE('',#155656,#155657,#74426,.T.); #191579=EDGE_CURVE('',#155658,#155656,#74427,.T.); #191580=EDGE_CURVE('',#155659,#155657,#74428,.T.); #191581=EDGE_CURVE('',#155658,#155659,#74429,.T.); #191582=EDGE_CURVE('',#155660,#155658,#74430,.T.); #191583=EDGE_CURVE('',#155661,#155659,#74431,.T.); #191584=EDGE_CURVE('',#155660,#155661,#74432,.T.); #191585=EDGE_CURVE('',#155662,#155660,#74433,.T.); #191586=EDGE_CURVE('',#155663,#155661,#74434,.T.); #191587=EDGE_CURVE('',#155662,#155663,#74435,.T.); #191588=EDGE_CURVE('',#155664,#155662,#74436,.T.); #191589=EDGE_CURVE('',#155665,#155663,#74437,.T.); #191590=EDGE_CURVE('',#155664,#155665,#74438,.T.); #191591=EDGE_CURVE('',#155666,#155664,#74439,.T.); #191592=EDGE_CURVE('',#155667,#155665,#74440,.T.); #191593=EDGE_CURVE('',#155666,#155667,#74441,.T.); #191594=EDGE_CURVE('',#155668,#155666,#74442,.T.); #191595=EDGE_CURVE('',#155669,#155667,#74443,.T.); #191596=EDGE_CURVE('',#155668,#155669,#74444,.T.); #191597=EDGE_CURVE('',#155670,#155668,#74445,.T.); #191598=EDGE_CURVE('',#155671,#155669,#74446,.T.); #191599=EDGE_CURVE('',#155670,#155671,#74447,.T.); #191600=EDGE_CURVE('',#155672,#155670,#74448,.T.); #191601=EDGE_CURVE('',#155673,#155671,#74449,.T.); #191602=EDGE_CURVE('',#155672,#155673,#74450,.T.); #191603=EDGE_CURVE('',#155674,#155672,#74451,.T.); #191604=EDGE_CURVE('',#155675,#155673,#74452,.T.); #191605=EDGE_CURVE('',#155674,#155675,#74453,.T.); #191606=EDGE_CURVE('',#155676,#155674,#74454,.T.); #191607=EDGE_CURVE('',#155677,#155675,#74455,.T.); #191608=EDGE_CURVE('',#155676,#155677,#74456,.T.); #191609=EDGE_CURVE('',#155678,#155676,#74457,.T.); #191610=EDGE_CURVE('',#155679,#155677,#74458,.T.); #191611=EDGE_CURVE('',#155678,#155679,#74459,.T.); #191612=EDGE_CURVE('',#155680,#155678,#74460,.T.); #191613=EDGE_CURVE('',#155681,#155679,#74461,.T.); #191614=EDGE_CURVE('',#155680,#155681,#74462,.T.); #191615=EDGE_CURVE('',#155682,#155680,#74463,.T.); #191616=EDGE_CURVE('',#155683,#155681,#74464,.T.); #191617=EDGE_CURVE('',#155682,#155683,#74465,.T.); #191618=EDGE_CURVE('',#155684,#155682,#74466,.T.); #191619=EDGE_CURVE('',#155685,#155683,#74467,.T.); #191620=EDGE_CURVE('',#155684,#155685,#74468,.T.); #191621=EDGE_CURVE('',#155686,#155684,#74469,.T.); #191622=EDGE_CURVE('',#155687,#155685,#74470,.T.); #191623=EDGE_CURVE('',#155686,#155687,#74471,.T.); #191624=EDGE_CURVE('',#155688,#155686,#74472,.T.); #191625=EDGE_CURVE('',#155689,#155687,#74473,.T.); #191626=EDGE_CURVE('',#155688,#155689,#74474,.T.); #191627=EDGE_CURVE('',#155690,#155688,#74475,.T.); #191628=EDGE_CURVE('',#155691,#155689,#74476,.T.); #191629=EDGE_CURVE('',#155690,#155691,#74477,.T.); #191630=EDGE_CURVE('',#155692,#155690,#74478,.T.); #191631=EDGE_CURVE('',#155693,#155691,#74479,.T.); #191632=EDGE_CURVE('',#155692,#155693,#74480,.T.); #191633=EDGE_CURVE('',#155694,#155692,#74481,.T.); #191634=EDGE_CURVE('',#155695,#155693,#74482,.T.); #191635=EDGE_CURVE('',#155694,#155695,#74483,.T.); #191636=EDGE_CURVE('',#155696,#155694,#74484,.T.); #191637=EDGE_CURVE('',#155697,#155695,#74485,.T.); #191638=EDGE_CURVE('',#155696,#155697,#74486,.T.); #191639=EDGE_CURVE('',#155698,#155696,#74487,.T.); #191640=EDGE_CURVE('',#155699,#155697,#74488,.T.); #191641=EDGE_CURVE('',#155698,#155699,#74489,.T.); #191642=EDGE_CURVE('',#155700,#155698,#74490,.T.); #191643=EDGE_CURVE('',#155701,#155699,#74491,.T.); #191644=EDGE_CURVE('',#155700,#155701,#74492,.T.); #191645=EDGE_CURVE('',#155702,#155700,#74493,.T.); #191646=EDGE_CURVE('',#155703,#155701,#74494,.T.); #191647=EDGE_CURVE('',#155702,#155703,#74495,.T.); #191648=EDGE_CURVE('',#155704,#155702,#74496,.T.); #191649=EDGE_CURVE('',#155705,#155703,#74497,.T.); #191650=EDGE_CURVE('',#155704,#155705,#74498,.T.); #191651=EDGE_CURVE('',#155706,#155704,#74499,.T.); #191652=EDGE_CURVE('',#155707,#155705,#74500,.T.); #191653=EDGE_CURVE('',#155706,#155707,#74501,.T.); #191654=EDGE_CURVE('',#155708,#155706,#74502,.T.); #191655=EDGE_CURVE('',#155709,#155707,#74503,.T.); #191656=EDGE_CURVE('',#155708,#155709,#74504,.T.); #191657=EDGE_CURVE('',#155710,#155708,#74505,.T.); #191658=EDGE_CURVE('',#155711,#155709,#74506,.T.); #191659=EDGE_CURVE('',#155710,#155711,#74507,.T.); #191660=EDGE_CURVE('',#155712,#155710,#74508,.T.); #191661=EDGE_CURVE('',#155713,#155711,#74509,.T.); #191662=EDGE_CURVE('',#155712,#155713,#74510,.T.); #191663=EDGE_CURVE('',#155714,#155712,#74511,.T.); #191664=EDGE_CURVE('',#155715,#155713,#74512,.T.); #191665=EDGE_CURVE('',#155714,#155715,#74513,.T.); #191666=EDGE_CURVE('',#155716,#155714,#74514,.T.); #191667=EDGE_CURVE('',#155717,#155715,#74515,.T.); #191668=EDGE_CURVE('',#155716,#155717,#74516,.T.); #191669=EDGE_CURVE('',#155718,#155716,#74517,.T.); #191670=EDGE_CURVE('',#155719,#155717,#74518,.T.); #191671=EDGE_CURVE('',#155718,#155719,#74519,.T.); #191672=EDGE_CURVE('',#155720,#155718,#74520,.T.); #191673=EDGE_CURVE('',#155721,#155719,#74521,.T.); #191674=EDGE_CURVE('',#155720,#155721,#74522,.T.); #191675=EDGE_CURVE('',#155722,#155720,#74523,.T.); #191676=EDGE_CURVE('',#155723,#155721,#74524,.T.); #191677=EDGE_CURVE('',#155722,#155723,#74525,.T.); #191678=EDGE_CURVE('',#155724,#155722,#74526,.T.); #191679=EDGE_CURVE('',#155725,#155723,#74527,.T.); #191680=EDGE_CURVE('',#155724,#155725,#74528,.T.); #191681=EDGE_CURVE('',#155726,#155724,#74529,.T.); #191682=EDGE_CURVE('',#155727,#155725,#74530,.T.); #191683=EDGE_CURVE('',#155726,#155727,#74531,.T.); #191684=EDGE_CURVE('',#155728,#155726,#74532,.T.); #191685=EDGE_CURVE('',#155729,#155727,#74533,.T.); #191686=EDGE_CURVE('',#155728,#155729,#74534,.T.); #191687=EDGE_CURVE('',#155730,#155728,#74535,.T.); #191688=EDGE_CURVE('',#155731,#155729,#74536,.T.); #191689=EDGE_CURVE('',#155730,#155731,#74537,.T.); #191690=EDGE_CURVE('',#155732,#155730,#74538,.T.); #191691=EDGE_CURVE('',#155733,#155731,#74539,.T.); #191692=EDGE_CURVE('',#155732,#155733,#74540,.T.); #191693=EDGE_CURVE('',#155734,#155732,#74541,.T.); #191694=EDGE_CURVE('',#155735,#155733,#74542,.T.); #191695=EDGE_CURVE('',#155734,#155735,#74543,.T.); #191696=EDGE_CURVE('',#155736,#155734,#74544,.T.); #191697=EDGE_CURVE('',#155737,#155735,#74545,.T.); #191698=EDGE_CURVE('',#155736,#155737,#74546,.T.); #191699=EDGE_CURVE('',#155738,#155736,#74547,.T.); #191700=EDGE_CURVE('',#155739,#155737,#74548,.T.); #191701=EDGE_CURVE('',#155738,#155739,#74549,.T.); #191702=EDGE_CURVE('',#155740,#155738,#74550,.T.); #191703=EDGE_CURVE('',#155741,#155739,#74551,.T.); #191704=EDGE_CURVE('',#155740,#155741,#74552,.T.); #191705=EDGE_CURVE('',#155742,#155740,#74553,.T.); #191706=EDGE_CURVE('',#155743,#155741,#74554,.T.); #191707=EDGE_CURVE('',#155742,#155743,#74555,.T.); #191708=EDGE_CURVE('',#155744,#155742,#74556,.T.); #191709=EDGE_CURVE('',#155745,#155743,#74557,.T.); #191710=EDGE_CURVE('',#155744,#155745,#74558,.T.); #191711=EDGE_CURVE('',#155746,#155744,#74559,.T.); #191712=EDGE_CURVE('',#155747,#155745,#74560,.T.); #191713=EDGE_CURVE('',#155746,#155747,#74561,.T.); #191714=EDGE_CURVE('',#155748,#155746,#74562,.T.); #191715=EDGE_CURVE('',#155749,#155747,#74563,.T.); #191716=EDGE_CURVE('',#155748,#155749,#74564,.T.); #191717=EDGE_CURVE('',#155750,#155748,#74565,.T.); #191718=EDGE_CURVE('',#155751,#155749,#74566,.T.); #191719=EDGE_CURVE('',#155750,#155751,#74567,.T.); #191720=EDGE_CURVE('',#155752,#155750,#74568,.T.); #191721=EDGE_CURVE('',#155753,#155751,#74569,.T.); #191722=EDGE_CURVE('',#155752,#155753,#74570,.T.); #191723=EDGE_CURVE('',#155754,#155752,#74571,.T.); #191724=EDGE_CURVE('',#155755,#155753,#74572,.T.); #191725=EDGE_CURVE('',#155754,#155755,#74573,.T.); #191726=EDGE_CURVE('',#155756,#155754,#74574,.T.); #191727=EDGE_CURVE('',#155757,#155755,#74575,.T.); #191728=EDGE_CURVE('',#155756,#155757,#74576,.T.); #191729=EDGE_CURVE('',#155758,#155756,#74577,.T.); #191730=EDGE_CURVE('',#155759,#155757,#74578,.T.); #191731=EDGE_CURVE('',#155758,#155759,#74579,.T.); #191732=EDGE_CURVE('',#155760,#155758,#74580,.T.); #191733=EDGE_CURVE('',#155761,#155759,#74581,.T.); #191734=EDGE_CURVE('',#155760,#155761,#74582,.T.); #191735=EDGE_CURVE('',#155762,#155760,#74583,.T.); #191736=EDGE_CURVE('',#155763,#155761,#74584,.T.); #191737=EDGE_CURVE('',#155762,#155763,#74585,.T.); #191738=EDGE_CURVE('',#155764,#155762,#74586,.T.); #191739=EDGE_CURVE('',#155765,#155763,#74587,.T.); #191740=EDGE_CURVE('',#155764,#155765,#74588,.T.); #191741=EDGE_CURVE('',#155766,#155764,#74589,.T.); #191742=EDGE_CURVE('',#155767,#155765,#74590,.T.); #191743=EDGE_CURVE('',#155766,#155767,#74591,.T.); #191744=EDGE_CURVE('',#155768,#155766,#74592,.T.); #191745=EDGE_CURVE('',#155769,#155767,#74593,.T.); #191746=EDGE_CURVE('',#155768,#155769,#74594,.T.); #191747=EDGE_CURVE('',#155770,#155768,#74595,.T.); #191748=EDGE_CURVE('',#155771,#155769,#74596,.T.); #191749=EDGE_CURVE('',#155770,#155771,#74597,.T.); #191750=EDGE_CURVE('',#155772,#155770,#74598,.T.); #191751=EDGE_CURVE('',#155773,#155771,#74599,.T.); #191752=EDGE_CURVE('',#155772,#155773,#74600,.T.); #191753=EDGE_CURVE('',#155774,#155772,#74601,.T.); #191754=EDGE_CURVE('',#155775,#155773,#74602,.T.); #191755=EDGE_CURVE('',#155774,#155775,#74603,.T.); #191756=EDGE_CURVE('',#155776,#155774,#74604,.T.); #191757=EDGE_CURVE('',#155777,#155775,#74605,.T.); #191758=EDGE_CURVE('',#155776,#155777,#74606,.T.); #191759=EDGE_CURVE('',#155778,#155776,#74607,.T.); #191760=EDGE_CURVE('',#155779,#155777,#74608,.T.); #191761=EDGE_CURVE('',#155778,#155779,#74609,.T.); #191762=EDGE_CURVE('',#155780,#155778,#74610,.T.); #191763=EDGE_CURVE('',#155781,#155779,#74611,.T.); #191764=EDGE_CURVE('',#155780,#155781,#74612,.T.); #191765=EDGE_CURVE('',#155782,#155780,#74613,.T.); #191766=EDGE_CURVE('',#155783,#155781,#74614,.T.); #191767=EDGE_CURVE('',#155782,#155783,#74615,.T.); #191768=EDGE_CURVE('',#155784,#155782,#74616,.T.); #191769=EDGE_CURVE('',#155785,#155783,#74617,.T.); #191770=EDGE_CURVE('',#155784,#155785,#74618,.T.); #191771=EDGE_CURVE('',#155786,#155784,#74619,.T.); #191772=EDGE_CURVE('',#155787,#155785,#74620,.T.); #191773=EDGE_CURVE('',#155786,#155787,#74621,.T.); #191774=EDGE_CURVE('',#155788,#155786,#74622,.T.); #191775=EDGE_CURVE('',#155789,#155787,#74623,.T.); #191776=EDGE_CURVE('',#155788,#155789,#74624,.T.); #191777=EDGE_CURVE('',#155790,#155788,#74625,.T.); #191778=EDGE_CURVE('',#155791,#155789,#74626,.T.); #191779=EDGE_CURVE('',#155790,#155791,#74627,.T.); #191780=EDGE_CURVE('',#155792,#155790,#74628,.T.); #191781=EDGE_CURVE('',#155793,#155791,#74629,.T.); #191782=EDGE_CURVE('',#155792,#155793,#74630,.T.); #191783=EDGE_CURVE('',#155794,#155792,#74631,.T.); #191784=EDGE_CURVE('',#155795,#155793,#74632,.T.); #191785=EDGE_CURVE('',#155794,#155795,#74633,.T.); #191786=EDGE_CURVE('',#155796,#155794,#74634,.T.); #191787=EDGE_CURVE('',#155797,#155795,#74635,.T.); #191788=EDGE_CURVE('',#155796,#155797,#74636,.T.); #191789=EDGE_CURVE('',#155798,#155796,#74637,.T.); #191790=EDGE_CURVE('',#155799,#155797,#74638,.T.); #191791=EDGE_CURVE('',#155798,#155799,#74639,.T.); #191792=EDGE_CURVE('',#155800,#155798,#74640,.T.); #191793=EDGE_CURVE('',#155801,#155799,#74641,.T.); #191794=EDGE_CURVE('',#155800,#155801,#74642,.T.); #191795=EDGE_CURVE('',#155802,#155800,#74643,.T.); #191796=EDGE_CURVE('',#155803,#155801,#74644,.T.); #191797=EDGE_CURVE('',#155802,#155803,#74645,.T.); #191798=EDGE_CURVE('',#155804,#155802,#74646,.T.); #191799=EDGE_CURVE('',#155805,#155803,#74647,.T.); #191800=EDGE_CURVE('',#155804,#155805,#74648,.T.); #191801=EDGE_CURVE('',#155806,#155804,#74649,.T.); #191802=EDGE_CURVE('',#155807,#155805,#74650,.T.); #191803=EDGE_CURVE('',#155806,#155807,#74651,.T.); #191804=EDGE_CURVE('',#155808,#155806,#74652,.T.); #191805=EDGE_CURVE('',#155809,#155807,#74653,.T.); #191806=EDGE_CURVE('',#155808,#155809,#74654,.T.); #191807=EDGE_CURVE('',#155810,#155808,#74655,.T.); #191808=EDGE_CURVE('',#155811,#155809,#74656,.T.); #191809=EDGE_CURVE('',#155810,#155811,#74657,.T.); #191810=EDGE_CURVE('',#155812,#155810,#74658,.T.); #191811=EDGE_CURVE('',#155813,#155811,#74659,.T.); #191812=EDGE_CURVE('',#155812,#155813,#74660,.T.); #191813=EDGE_CURVE('',#155814,#155812,#74661,.T.); #191814=EDGE_CURVE('',#155815,#155813,#74662,.T.); #191815=EDGE_CURVE('',#155814,#155815,#74663,.T.); #191816=EDGE_CURVE('',#155816,#155814,#74664,.T.); #191817=EDGE_CURVE('',#155817,#155815,#74665,.T.); #191818=EDGE_CURVE('',#155816,#155817,#74666,.T.); #191819=EDGE_CURVE('',#155818,#155816,#74667,.T.); #191820=EDGE_CURVE('',#155819,#155817,#74668,.T.); #191821=EDGE_CURVE('',#155818,#155819,#74669,.T.); #191822=EDGE_CURVE('',#155820,#155818,#74670,.T.); #191823=EDGE_CURVE('',#155821,#155819,#74671,.T.); #191824=EDGE_CURVE('',#155820,#155821,#74672,.T.); #191825=EDGE_CURVE('',#155822,#155820,#74673,.T.); #191826=EDGE_CURVE('',#155823,#155821,#74674,.T.); #191827=EDGE_CURVE('',#155822,#155823,#74675,.T.); #191828=EDGE_CURVE('',#155824,#155822,#74676,.T.); #191829=EDGE_CURVE('',#155825,#155823,#74677,.T.); #191830=EDGE_CURVE('',#155824,#155825,#74678,.T.); #191831=EDGE_CURVE('',#155826,#155824,#74679,.T.); #191832=EDGE_CURVE('',#155827,#155825,#74680,.T.); #191833=EDGE_CURVE('',#155826,#155827,#74681,.T.); #191834=EDGE_CURVE('',#155828,#155826,#74682,.T.); #191835=EDGE_CURVE('',#155829,#155827,#74683,.T.); #191836=EDGE_CURVE('',#155828,#155829,#74684,.T.); #191837=EDGE_CURVE('',#155830,#155828,#74685,.T.); #191838=EDGE_CURVE('',#155831,#155829,#74686,.T.); #191839=EDGE_CURVE('',#155830,#155831,#74687,.T.); #191840=EDGE_CURVE('',#155832,#155830,#74688,.T.); #191841=EDGE_CURVE('',#155833,#155831,#74689,.T.); #191842=EDGE_CURVE('',#155832,#155833,#74690,.T.); #191843=EDGE_CURVE('',#155834,#155832,#74691,.T.); #191844=EDGE_CURVE('',#155835,#155833,#74692,.T.); #191845=EDGE_CURVE('',#155834,#155835,#74693,.T.); #191846=EDGE_CURVE('',#155836,#155834,#74694,.T.); #191847=EDGE_CURVE('',#155837,#155835,#74695,.T.); #191848=EDGE_CURVE('',#155836,#155837,#74696,.T.); #191849=EDGE_CURVE('',#155838,#155836,#74697,.T.); #191850=EDGE_CURVE('',#155839,#155837,#74698,.T.); #191851=EDGE_CURVE('',#155838,#155839,#74699,.T.); #191852=EDGE_CURVE('',#155840,#155838,#74700,.T.); #191853=EDGE_CURVE('',#155841,#155839,#74701,.T.); #191854=EDGE_CURVE('',#155840,#155841,#74702,.T.); #191855=EDGE_CURVE('',#155842,#155840,#74703,.T.); #191856=EDGE_CURVE('',#155843,#155841,#74704,.T.); #191857=EDGE_CURVE('',#155842,#155843,#74705,.T.); #191858=EDGE_CURVE('',#155844,#155842,#74706,.T.); #191859=EDGE_CURVE('',#155845,#155843,#74707,.T.); #191860=EDGE_CURVE('',#155844,#155845,#74708,.T.); #191861=EDGE_CURVE('',#155846,#155844,#74709,.T.); #191862=EDGE_CURVE('',#155847,#155845,#74710,.T.); #191863=EDGE_CURVE('',#155846,#155847,#74711,.T.); #191864=EDGE_CURVE('',#155848,#155846,#74712,.T.); #191865=EDGE_CURVE('',#155849,#155847,#74713,.T.); #191866=EDGE_CURVE('',#155848,#155849,#74714,.T.); #191867=EDGE_CURVE('',#155850,#155848,#74715,.T.); #191868=EDGE_CURVE('',#155851,#155849,#74716,.T.); #191869=EDGE_CURVE('',#155850,#155851,#74717,.T.); #191870=EDGE_CURVE('',#155852,#155850,#74718,.T.); #191871=EDGE_CURVE('',#155853,#155851,#74719,.T.); #191872=EDGE_CURVE('',#155852,#155853,#74720,.T.); #191873=EDGE_CURVE('',#155854,#155852,#74721,.T.); #191874=EDGE_CURVE('',#155855,#155853,#74722,.T.); #191875=EDGE_CURVE('',#155854,#155855,#74723,.T.); #191876=EDGE_CURVE('',#155856,#155854,#74724,.T.); #191877=EDGE_CURVE('',#155857,#155855,#74725,.T.); #191878=EDGE_CURVE('',#155856,#155857,#74726,.T.); #191879=EDGE_CURVE('',#155858,#155856,#74727,.T.); #191880=EDGE_CURVE('',#155859,#155857,#74728,.T.); #191881=EDGE_CURVE('',#155858,#155859,#74729,.T.); #191882=EDGE_CURVE('',#155860,#155858,#74730,.T.); #191883=EDGE_CURVE('',#155861,#155859,#74731,.T.); #191884=EDGE_CURVE('',#155860,#155861,#74732,.T.); #191885=EDGE_CURVE('',#155862,#155860,#74733,.T.); #191886=EDGE_CURVE('',#155863,#155861,#74734,.T.); #191887=EDGE_CURVE('',#155862,#155863,#74735,.T.); #191888=EDGE_CURVE('',#155864,#155862,#74736,.T.); #191889=EDGE_CURVE('',#155865,#155863,#74737,.T.); #191890=EDGE_CURVE('',#155864,#155865,#74738,.T.); #191891=EDGE_CURVE('',#155866,#155864,#74739,.T.); #191892=EDGE_CURVE('',#155867,#155865,#74740,.T.); #191893=EDGE_CURVE('',#155866,#155867,#74741,.T.); #191894=EDGE_CURVE('',#155868,#155866,#74742,.T.); #191895=EDGE_CURVE('',#155869,#155867,#74743,.T.); #191896=EDGE_CURVE('',#155868,#155869,#74744,.T.); #191897=EDGE_CURVE('',#155870,#155868,#74745,.T.); #191898=EDGE_CURVE('',#155871,#155869,#74746,.T.); #191899=EDGE_CURVE('',#155870,#155871,#74747,.T.); #191900=EDGE_CURVE('',#155872,#155870,#74748,.T.); #191901=EDGE_CURVE('',#155873,#155871,#74749,.T.); #191902=EDGE_CURVE('',#155872,#155873,#74750,.T.); #191903=EDGE_CURVE('',#155874,#155872,#74751,.T.); #191904=EDGE_CURVE('',#155875,#155873,#74752,.T.); #191905=EDGE_CURVE('',#155874,#155875,#74753,.T.); #191906=EDGE_CURVE('',#155876,#155874,#74754,.T.); #191907=EDGE_CURVE('',#155877,#155875,#74755,.T.); #191908=EDGE_CURVE('',#155876,#155877,#74756,.T.); #191909=EDGE_CURVE('',#155878,#155876,#74757,.T.); #191910=EDGE_CURVE('',#155879,#155877,#74758,.T.); #191911=EDGE_CURVE('',#155878,#155879,#74759,.T.); #191912=EDGE_CURVE('',#155880,#155878,#74760,.T.); #191913=EDGE_CURVE('',#155881,#155879,#74761,.T.); #191914=EDGE_CURVE('',#155880,#155881,#74762,.T.); #191915=EDGE_CURVE('',#155882,#155880,#74763,.T.); #191916=EDGE_CURVE('',#155883,#155881,#74764,.T.); #191917=EDGE_CURVE('',#155882,#155883,#74765,.T.); #191918=EDGE_CURVE('',#155884,#155882,#74766,.T.); #191919=EDGE_CURVE('',#155885,#155883,#74767,.T.); #191920=EDGE_CURVE('',#155884,#155885,#74768,.T.); #191921=EDGE_CURVE('',#155886,#155884,#74769,.T.); #191922=EDGE_CURVE('',#155887,#155885,#74770,.T.); #191923=EDGE_CURVE('',#155886,#155887,#74771,.T.); #191924=EDGE_CURVE('',#155888,#155886,#74772,.T.); #191925=EDGE_CURVE('',#155889,#155887,#74773,.T.); #191926=EDGE_CURVE('',#155888,#155889,#74774,.T.); #191927=EDGE_CURVE('',#155890,#155888,#74775,.T.); #191928=EDGE_CURVE('',#155891,#155889,#74776,.T.); #191929=EDGE_CURVE('',#155890,#155891,#74777,.T.); #191930=EDGE_CURVE('',#155892,#155890,#74778,.T.); #191931=EDGE_CURVE('',#155893,#155891,#74779,.T.); #191932=EDGE_CURVE('',#155892,#155893,#74780,.T.); #191933=EDGE_CURVE('',#155894,#155892,#74781,.T.); #191934=EDGE_CURVE('',#155895,#155893,#74782,.T.); #191935=EDGE_CURVE('',#155894,#155895,#74783,.T.); #191936=EDGE_CURVE('',#155896,#155894,#74784,.T.); #191937=EDGE_CURVE('',#155897,#155895,#74785,.T.); #191938=EDGE_CURVE('',#155896,#155897,#74786,.T.); #191939=EDGE_CURVE('',#155898,#155896,#74787,.T.); #191940=EDGE_CURVE('',#155899,#155897,#74788,.T.); #191941=EDGE_CURVE('',#155898,#155899,#74789,.T.); #191942=EDGE_CURVE('',#155900,#155898,#74790,.T.); #191943=EDGE_CURVE('',#155901,#155899,#74791,.T.); #191944=EDGE_CURVE('',#155900,#155901,#74792,.T.); #191945=EDGE_CURVE('',#155902,#155900,#74793,.T.); #191946=EDGE_CURVE('',#155903,#155901,#74794,.T.); #191947=EDGE_CURVE('',#155902,#155903,#74795,.T.); #191948=EDGE_CURVE('',#155904,#155902,#74796,.T.); #191949=EDGE_CURVE('',#155905,#155903,#74797,.T.); #191950=EDGE_CURVE('',#155904,#155905,#74798,.T.); #191951=EDGE_CURVE('',#155906,#155904,#74799,.T.); #191952=EDGE_CURVE('',#155907,#155905,#74800,.T.); #191953=EDGE_CURVE('',#155906,#155907,#74801,.T.); #191954=EDGE_CURVE('',#155908,#155906,#74802,.T.); #191955=EDGE_CURVE('',#155909,#155907,#74803,.T.); #191956=EDGE_CURVE('',#155908,#155909,#74804,.T.); #191957=EDGE_CURVE('',#155910,#155908,#74805,.T.); #191958=EDGE_CURVE('',#155911,#155909,#74806,.T.); #191959=EDGE_CURVE('',#155910,#155911,#74807,.T.); #191960=EDGE_CURVE('',#155912,#155910,#74808,.T.); #191961=EDGE_CURVE('',#155913,#155911,#74809,.T.); #191962=EDGE_CURVE('',#155912,#155913,#74810,.T.); #191963=EDGE_CURVE('',#155914,#155912,#74811,.T.); #191964=EDGE_CURVE('',#155915,#155913,#74812,.T.); #191965=EDGE_CURVE('',#155914,#155915,#74813,.T.); #191966=EDGE_CURVE('',#155916,#155914,#74814,.T.); #191967=EDGE_CURVE('',#155917,#155915,#74815,.T.); #191968=EDGE_CURVE('',#155916,#155917,#74816,.T.); #191969=EDGE_CURVE('',#155918,#155916,#74817,.T.); #191970=EDGE_CURVE('',#155919,#155917,#74818,.T.); #191971=EDGE_CURVE('',#155918,#155919,#74819,.T.); #191972=EDGE_CURVE('',#155920,#155918,#74820,.T.); #191973=EDGE_CURVE('',#155921,#155919,#74821,.T.); #191974=EDGE_CURVE('',#155920,#155921,#74822,.T.); #191975=EDGE_CURVE('',#155922,#155920,#74823,.T.); #191976=EDGE_CURVE('',#155923,#155921,#74824,.T.); #191977=EDGE_CURVE('',#155922,#155923,#74825,.T.); #191978=EDGE_CURVE('',#155924,#155922,#74826,.T.); #191979=EDGE_CURVE('',#155925,#155923,#74827,.T.); #191980=EDGE_CURVE('',#155924,#155925,#74828,.T.); #191981=EDGE_CURVE('',#155926,#155924,#74829,.T.); #191982=EDGE_CURVE('',#155927,#155925,#74830,.T.); #191983=EDGE_CURVE('',#155926,#155927,#74831,.T.); #191984=EDGE_CURVE('',#155928,#155926,#74832,.T.); #191985=EDGE_CURVE('',#155929,#155927,#74833,.T.); #191986=EDGE_CURVE('',#155928,#155929,#74834,.T.); #191987=EDGE_CURVE('',#155930,#155928,#74835,.T.); #191988=EDGE_CURVE('',#155931,#155929,#74836,.T.); #191989=EDGE_CURVE('',#155930,#155931,#74837,.T.); #191990=EDGE_CURVE('',#155932,#155930,#74838,.T.); #191991=EDGE_CURVE('',#155933,#155931,#74839,.T.); #191992=EDGE_CURVE('',#155932,#155933,#74840,.T.); #191993=EDGE_CURVE('',#155934,#155932,#74841,.T.); #191994=EDGE_CURVE('',#155935,#155933,#74842,.T.); #191995=EDGE_CURVE('',#155934,#155935,#74843,.T.); #191996=EDGE_CURVE('',#155936,#155934,#74844,.T.); #191997=EDGE_CURVE('',#155937,#155935,#74845,.T.); #191998=EDGE_CURVE('',#155936,#155937,#74846,.T.); #191999=EDGE_CURVE('',#155938,#155936,#74847,.T.); #192000=EDGE_CURVE('',#155939,#155937,#74848,.T.); #192001=EDGE_CURVE('',#155938,#155939,#74849,.T.); #192002=EDGE_CURVE('',#155940,#155938,#74850,.T.); #192003=EDGE_CURVE('',#155941,#155939,#74851,.T.); #192004=EDGE_CURVE('',#155940,#155941,#74852,.T.); #192005=EDGE_CURVE('',#155942,#155940,#74853,.T.); #192006=EDGE_CURVE('',#155943,#155941,#74854,.T.); #192007=EDGE_CURVE('',#155942,#155943,#74855,.T.); #192008=EDGE_CURVE('',#155944,#155942,#74856,.T.); #192009=EDGE_CURVE('',#155945,#155943,#74857,.T.); #192010=EDGE_CURVE('',#155944,#155945,#74858,.T.); #192011=EDGE_CURVE('',#155946,#155944,#74859,.T.); #192012=EDGE_CURVE('',#155947,#155945,#74860,.T.); #192013=EDGE_CURVE('',#155946,#155947,#74861,.T.); #192014=EDGE_CURVE('',#155948,#155946,#74862,.T.); #192015=EDGE_CURVE('',#155949,#155947,#74863,.T.); #192016=EDGE_CURVE('',#155948,#155949,#74864,.T.); #192017=EDGE_CURVE('',#155950,#155948,#74865,.T.); #192018=EDGE_CURVE('',#155951,#155949,#74866,.T.); #192019=EDGE_CURVE('',#155950,#155951,#74867,.T.); #192020=EDGE_CURVE('',#155952,#155950,#74868,.T.); #192021=EDGE_CURVE('',#155953,#155951,#74869,.T.); #192022=EDGE_CURVE('',#155952,#155953,#74870,.T.); #192023=EDGE_CURVE('',#155954,#155952,#74871,.T.); #192024=EDGE_CURVE('',#155955,#155953,#74872,.T.); #192025=EDGE_CURVE('',#155954,#155955,#74873,.T.); #192026=EDGE_CURVE('',#155956,#155954,#74874,.T.); #192027=EDGE_CURVE('',#155957,#155955,#74875,.T.); #192028=EDGE_CURVE('',#155956,#155957,#74876,.T.); #192029=EDGE_CURVE('',#155958,#155956,#74877,.T.); #192030=EDGE_CURVE('',#155959,#155957,#74878,.T.); #192031=EDGE_CURVE('',#155958,#155959,#74879,.T.); #192032=EDGE_CURVE('',#155960,#155958,#74880,.T.); #192033=EDGE_CURVE('',#155961,#155959,#74881,.T.); #192034=EDGE_CURVE('',#155960,#155961,#74882,.T.); #192035=EDGE_CURVE('',#155962,#155960,#74883,.T.); #192036=EDGE_CURVE('',#155963,#155961,#74884,.T.); #192037=EDGE_CURVE('',#155962,#155963,#74885,.T.); #192038=EDGE_CURVE('',#155964,#155962,#74886,.T.); #192039=EDGE_CURVE('',#155965,#155963,#74887,.T.); #192040=EDGE_CURVE('',#155964,#155965,#74888,.T.); #192041=EDGE_CURVE('',#155966,#155964,#74889,.T.); #192042=EDGE_CURVE('',#155967,#155965,#74890,.T.); #192043=EDGE_CURVE('',#155966,#155967,#74891,.T.); #192044=EDGE_CURVE('',#155968,#155966,#74892,.T.); #192045=EDGE_CURVE('',#155969,#155967,#74893,.T.); #192046=EDGE_CURVE('',#155968,#155969,#74894,.T.); #192047=EDGE_CURVE('',#155970,#155968,#74895,.T.); #192048=EDGE_CURVE('',#155971,#155969,#74896,.T.); #192049=EDGE_CURVE('',#155970,#155971,#74897,.T.); #192050=EDGE_CURVE('',#155972,#155970,#74898,.T.); #192051=EDGE_CURVE('',#155973,#155971,#74899,.T.); #192052=EDGE_CURVE('',#155972,#155973,#74900,.T.); #192053=EDGE_CURVE('',#155974,#155972,#74901,.T.); #192054=EDGE_CURVE('',#155975,#155973,#74902,.T.); #192055=EDGE_CURVE('',#155974,#155975,#74903,.T.); #192056=EDGE_CURVE('',#155976,#155974,#74904,.T.); #192057=EDGE_CURVE('',#155977,#155975,#74905,.T.); #192058=EDGE_CURVE('',#155976,#155977,#74906,.T.); #192059=EDGE_CURVE('',#155978,#155976,#74907,.T.); #192060=EDGE_CURVE('',#155979,#155977,#74908,.T.); #192061=EDGE_CURVE('',#155978,#155979,#74909,.T.); #192062=EDGE_CURVE('',#155980,#155978,#74910,.T.); #192063=EDGE_CURVE('',#155981,#155979,#74911,.T.); #192064=EDGE_CURVE('',#155980,#155981,#74912,.T.); #192065=EDGE_CURVE('',#155982,#155980,#74913,.T.); #192066=EDGE_CURVE('',#155983,#155981,#74914,.T.); #192067=EDGE_CURVE('',#155982,#155983,#74915,.T.); #192068=EDGE_CURVE('',#155984,#155982,#74916,.T.); #192069=EDGE_CURVE('',#155985,#155983,#74917,.T.); #192070=EDGE_CURVE('',#155984,#155985,#74918,.T.); #192071=EDGE_CURVE('',#155986,#155984,#74919,.T.); #192072=EDGE_CURVE('',#155987,#155985,#74920,.T.); #192073=EDGE_CURVE('',#155986,#155987,#74921,.T.); #192074=EDGE_CURVE('',#155988,#155986,#74922,.T.); #192075=EDGE_CURVE('',#155989,#155987,#74923,.T.); #192076=EDGE_CURVE('',#155988,#155989,#74924,.T.); #192077=EDGE_CURVE('',#155990,#155988,#74925,.T.); #192078=EDGE_CURVE('',#155991,#155989,#74926,.T.); #192079=EDGE_CURVE('',#155990,#155991,#74927,.T.); #192080=EDGE_CURVE('',#155992,#155990,#74928,.T.); #192081=EDGE_CURVE('',#155993,#155991,#74929,.T.); #192082=EDGE_CURVE('',#155992,#155993,#74930,.T.); #192083=EDGE_CURVE('',#155994,#155992,#74931,.T.); #192084=EDGE_CURVE('',#155995,#155993,#74932,.T.); #192085=EDGE_CURVE('',#155994,#155995,#74933,.T.); #192086=EDGE_CURVE('',#155996,#155994,#74934,.T.); #192087=EDGE_CURVE('',#155997,#155995,#74935,.T.); #192088=EDGE_CURVE('',#155996,#155997,#74936,.T.); #192089=EDGE_CURVE('',#155998,#155996,#74937,.T.); #192090=EDGE_CURVE('',#155999,#155997,#74938,.T.); #192091=EDGE_CURVE('',#155998,#155999,#74939,.T.); #192092=EDGE_CURVE('',#156000,#155998,#74940,.T.); #192093=EDGE_CURVE('',#156001,#155999,#74941,.T.); #192094=EDGE_CURVE('',#156000,#156001,#74942,.T.); #192095=EDGE_CURVE('',#156002,#156000,#74943,.T.); #192096=EDGE_CURVE('',#156003,#156001,#74944,.T.); #192097=EDGE_CURVE('',#156002,#156003,#74945,.T.); #192098=EDGE_CURVE('',#156004,#156002,#74946,.T.); #192099=EDGE_CURVE('',#156005,#156003,#74947,.T.); #192100=EDGE_CURVE('',#156004,#156005,#74948,.T.); #192101=EDGE_CURVE('',#156006,#156004,#74949,.T.); #192102=EDGE_CURVE('',#156007,#156005,#74950,.T.); #192103=EDGE_CURVE('',#156006,#156007,#74951,.T.); #192104=EDGE_CURVE('',#156008,#156006,#74952,.T.); #192105=EDGE_CURVE('',#156009,#156007,#74953,.T.); #192106=EDGE_CURVE('',#156008,#156009,#74954,.T.); #192107=EDGE_CURVE('',#156010,#156008,#74955,.T.); #192108=EDGE_CURVE('',#156011,#156009,#74956,.T.); #192109=EDGE_CURVE('',#156010,#156011,#74957,.T.); #192110=EDGE_CURVE('',#156012,#156010,#74958,.T.); #192111=EDGE_CURVE('',#156013,#156011,#74959,.T.); #192112=EDGE_CURVE('',#156012,#156013,#74960,.T.); #192113=EDGE_CURVE('',#156014,#156012,#74961,.T.); #192114=EDGE_CURVE('',#156015,#156013,#74962,.T.); #192115=EDGE_CURVE('',#156014,#156015,#74963,.T.); #192116=EDGE_CURVE('',#156016,#156014,#74964,.T.); #192117=EDGE_CURVE('',#156017,#156015,#74965,.T.); #192118=EDGE_CURVE('',#156016,#156017,#74966,.T.); #192119=EDGE_CURVE('',#156018,#156016,#74967,.T.); #192120=EDGE_CURVE('',#156019,#156017,#74968,.T.); #192121=EDGE_CURVE('',#156018,#156019,#74969,.T.); #192122=EDGE_CURVE('',#156020,#156018,#74970,.T.); #192123=EDGE_CURVE('',#156021,#156019,#74971,.T.); #192124=EDGE_CURVE('',#156020,#156021,#74972,.T.); #192125=EDGE_CURVE('',#156022,#156020,#74973,.T.); #192126=EDGE_CURVE('',#156023,#156021,#74974,.T.); #192127=EDGE_CURVE('',#156022,#156023,#74975,.T.); #192128=EDGE_CURVE('',#156024,#156022,#74976,.T.); #192129=EDGE_CURVE('',#156025,#156023,#74977,.T.); #192130=EDGE_CURVE('',#156024,#156025,#74978,.T.); #192131=EDGE_CURVE('',#156026,#156024,#74979,.T.); #192132=EDGE_CURVE('',#156027,#156025,#74980,.T.); #192133=EDGE_CURVE('',#156026,#156027,#74981,.T.); #192134=EDGE_CURVE('',#156028,#156026,#139966,.T.); #192135=EDGE_CURVE('',#156029,#156027,#139967,.T.); #192136=EDGE_CURVE('',#156028,#156029,#74982,.T.); #192137=EDGE_CURVE('',#156030,#156028,#74983,.T.); #192138=EDGE_CURVE('',#156031,#156029,#74984,.T.); #192139=EDGE_CURVE('',#156030,#156031,#74985,.T.); #192140=EDGE_CURVE('',#156032,#156030,#139968,.T.); #192141=EDGE_CURVE('',#156033,#156031,#139969,.T.); #192142=EDGE_CURVE('',#156032,#156033,#74986,.T.); #192143=EDGE_CURVE('',#156034,#156032,#74987,.T.); #192144=EDGE_CURVE('',#156035,#156033,#74988,.T.); #192145=EDGE_CURVE('',#156034,#156035,#74989,.T.); #192146=EDGE_CURVE('',#156036,#156034,#74990,.T.); #192147=EDGE_CURVE('',#156037,#156035,#74991,.T.); #192148=EDGE_CURVE('',#156036,#156037,#74992,.T.); #192149=EDGE_CURVE('',#156038,#156036,#74993,.T.); #192150=EDGE_CURVE('',#156039,#156037,#74994,.T.); #192151=EDGE_CURVE('',#156038,#156039,#74995,.T.); #192152=EDGE_CURVE('',#156040,#156038,#74996,.T.); #192153=EDGE_CURVE('',#156041,#156039,#74997,.T.); #192154=EDGE_CURVE('',#156040,#156041,#74998,.T.); #192155=EDGE_CURVE('',#156042,#156040,#74999,.T.); #192156=EDGE_CURVE('',#156043,#156041,#75000,.T.); #192157=EDGE_CURVE('',#156042,#156043,#75001,.T.); #192158=EDGE_CURVE('',#156044,#156042,#75002,.T.); #192159=EDGE_CURVE('',#156045,#156043,#75003,.T.); #192160=EDGE_CURVE('',#156044,#156045,#75004,.T.); #192161=EDGE_CURVE('',#156046,#156044,#75005,.T.); #192162=EDGE_CURVE('',#156047,#156045,#75006,.T.); #192163=EDGE_CURVE('',#156046,#156047,#75007,.T.); #192164=EDGE_CURVE('',#156048,#156046,#75008,.T.); #192165=EDGE_CURVE('',#156049,#156047,#75009,.T.); #192166=EDGE_CURVE('',#156048,#156049,#75010,.T.); #192167=EDGE_CURVE('',#156050,#156048,#139970,.T.); #192168=EDGE_CURVE('',#156051,#156049,#139971,.T.); #192169=EDGE_CURVE('',#156050,#156051,#75011,.T.); #192170=EDGE_CURVE('',#156052,#156050,#75012,.T.); #192171=EDGE_CURVE('',#156053,#156051,#75013,.T.); #192172=EDGE_CURVE('',#156052,#156053,#75014,.T.); #192173=EDGE_CURVE('',#156054,#156052,#75015,.T.); #192174=EDGE_CURVE('',#156055,#156053,#75016,.T.); #192175=EDGE_CURVE('',#156054,#156055,#75017,.T.); #192176=EDGE_CURVE('',#156056,#156054,#139972,.T.); #192177=EDGE_CURVE('',#156057,#156055,#139973,.T.); #192178=EDGE_CURVE('',#156056,#156057,#75018,.T.); #192179=EDGE_CURVE('',#156058,#156056,#75019,.T.); #192180=EDGE_CURVE('',#156059,#156057,#75020,.T.); #192181=EDGE_CURVE('',#156058,#156059,#75021,.T.); #192182=EDGE_CURVE('',#156060,#156058,#75022,.T.); #192183=EDGE_CURVE('',#156061,#156059,#75023,.T.); #192184=EDGE_CURVE('',#156060,#156061,#75024,.T.); #192185=EDGE_CURVE('',#156062,#156060,#75025,.T.); #192186=EDGE_CURVE('',#156063,#156061,#75026,.T.); #192187=EDGE_CURVE('',#156062,#156063,#75027,.T.); #192188=EDGE_CURVE('',#156064,#156062,#75028,.T.); #192189=EDGE_CURVE('',#156065,#156063,#75029,.T.); #192190=EDGE_CURVE('',#156064,#156065,#75030,.T.); #192191=EDGE_CURVE('',#156066,#156064,#75031,.T.); #192192=EDGE_CURVE('',#156067,#156065,#75032,.T.); #192193=EDGE_CURVE('',#156066,#156067,#75033,.T.); #192194=EDGE_CURVE('',#156068,#156066,#75034,.T.); #192195=EDGE_CURVE('',#156069,#156067,#75035,.T.); #192196=EDGE_CURVE('',#156068,#156069,#75036,.T.); #192197=EDGE_CURVE('',#156070,#156068,#75037,.T.); #192198=EDGE_CURVE('',#156071,#156069,#75038,.T.); #192199=EDGE_CURVE('',#156070,#156071,#75039,.T.); #192200=EDGE_CURVE('',#156072,#156070,#75040,.T.); #192201=EDGE_CURVE('',#156073,#156071,#75041,.T.); #192202=EDGE_CURVE('',#156072,#156073,#75042,.T.); #192203=EDGE_CURVE('',#156074,#156072,#75043,.T.); #192204=EDGE_CURVE('',#156075,#156073,#75044,.T.); #192205=EDGE_CURVE('',#156074,#156075,#75045,.T.); #192206=EDGE_CURVE('',#156076,#156074,#75046,.T.); #192207=EDGE_CURVE('',#156077,#156075,#75047,.T.); #192208=EDGE_CURVE('',#156076,#156077,#75048,.T.); #192209=EDGE_CURVE('',#156078,#156076,#75049,.T.); #192210=EDGE_CURVE('',#156079,#156077,#75050,.T.); #192211=EDGE_CURVE('',#156078,#156079,#75051,.T.); #192212=EDGE_CURVE('',#156080,#156078,#75052,.T.); #192213=EDGE_CURVE('',#156081,#156079,#75053,.T.); #192214=EDGE_CURVE('',#156080,#156081,#75054,.T.); #192215=EDGE_CURVE('',#156082,#156080,#75055,.T.); #192216=EDGE_CURVE('',#156083,#156081,#75056,.T.); #192217=EDGE_CURVE('',#156082,#156083,#75057,.T.); #192218=EDGE_CURVE('',#156084,#156082,#75058,.T.); #192219=EDGE_CURVE('',#156085,#156083,#75059,.T.); #192220=EDGE_CURVE('',#156084,#156085,#75060,.T.); #192221=EDGE_CURVE('',#156086,#156084,#75061,.T.); #192222=EDGE_CURVE('',#156087,#156085,#75062,.T.); #192223=EDGE_CURVE('',#156086,#156087,#75063,.T.); #192224=EDGE_CURVE('',#156088,#156086,#75064,.T.); #192225=EDGE_CURVE('',#156089,#156087,#75065,.T.); #192226=EDGE_CURVE('',#156088,#156089,#75066,.T.); #192227=EDGE_CURVE('',#156090,#156088,#75067,.T.); #192228=EDGE_CURVE('',#156091,#156089,#75068,.T.); #192229=EDGE_CURVE('',#156090,#156091,#75069,.T.); #192230=EDGE_CURVE('',#156092,#156090,#75070,.T.); #192231=EDGE_CURVE('',#156093,#156091,#75071,.T.); #192232=EDGE_CURVE('',#156092,#156093,#75072,.T.); #192233=EDGE_CURVE('',#156094,#156092,#75073,.T.); #192234=EDGE_CURVE('',#156095,#156093,#75074,.T.); #192235=EDGE_CURVE('',#156094,#156095,#75075,.T.); #192236=EDGE_CURVE('',#156096,#156094,#75076,.T.); #192237=EDGE_CURVE('',#156097,#156095,#75077,.T.); #192238=EDGE_CURVE('',#156096,#156097,#75078,.T.); #192239=EDGE_CURVE('',#156098,#156096,#75079,.T.); #192240=EDGE_CURVE('',#156099,#156097,#75080,.T.); #192241=EDGE_CURVE('',#156098,#156099,#75081,.T.); #192242=EDGE_CURVE('',#156100,#156098,#75082,.T.); #192243=EDGE_CURVE('',#156101,#156099,#75083,.T.); #192244=EDGE_CURVE('',#156100,#156101,#75084,.T.); #192245=EDGE_CURVE('',#156102,#156100,#75085,.T.); #192246=EDGE_CURVE('',#156103,#156101,#75086,.T.); #192247=EDGE_CURVE('',#156102,#156103,#75087,.T.); #192248=EDGE_CURVE('',#156104,#156102,#75088,.T.); #192249=EDGE_CURVE('',#156105,#156103,#75089,.T.); #192250=EDGE_CURVE('',#156104,#156105,#75090,.T.); #192251=EDGE_CURVE('',#156106,#156104,#75091,.T.); #192252=EDGE_CURVE('',#156107,#156105,#75092,.T.); #192253=EDGE_CURVE('',#156106,#156107,#75093,.T.); #192254=EDGE_CURVE('',#156108,#156106,#75094,.T.); #192255=EDGE_CURVE('',#156109,#156107,#75095,.T.); #192256=EDGE_CURVE('',#156108,#156109,#75096,.T.); #192257=EDGE_CURVE('',#156110,#156108,#75097,.T.); #192258=EDGE_CURVE('',#156111,#156109,#75098,.T.); #192259=EDGE_CURVE('',#156110,#156111,#75099,.T.); #192260=EDGE_CURVE('',#156112,#156110,#75100,.T.); #192261=EDGE_CURVE('',#156113,#156111,#75101,.T.); #192262=EDGE_CURVE('',#156112,#156113,#75102,.T.); #192263=EDGE_CURVE('',#156114,#156112,#75103,.T.); #192264=EDGE_CURVE('',#156115,#156113,#75104,.T.); #192265=EDGE_CURVE('',#156114,#156115,#75105,.T.); #192266=EDGE_CURVE('',#156116,#156114,#75106,.T.); #192267=EDGE_CURVE('',#156117,#156115,#75107,.T.); #192268=EDGE_CURVE('',#156116,#156117,#75108,.T.); #192269=EDGE_CURVE('',#156118,#156116,#75109,.T.); #192270=EDGE_CURVE('',#156119,#156117,#75110,.T.); #192271=EDGE_CURVE('',#156118,#156119,#75111,.T.); #192272=EDGE_CURVE('',#156120,#156118,#75112,.T.); #192273=EDGE_CURVE('',#156121,#156119,#75113,.T.); #192274=EDGE_CURVE('',#156120,#156121,#75114,.T.); #192275=EDGE_CURVE('',#156122,#156120,#75115,.T.); #192276=EDGE_CURVE('',#156123,#156121,#75116,.T.); #192277=EDGE_CURVE('',#156122,#156123,#75117,.T.); #192278=EDGE_CURVE('',#156124,#156122,#75118,.T.); #192279=EDGE_CURVE('',#156125,#156123,#75119,.T.); #192280=EDGE_CURVE('',#156124,#156125,#75120,.T.); #192281=EDGE_CURVE('',#156126,#156124,#75121,.T.); #192282=EDGE_CURVE('',#156127,#156125,#75122,.T.); #192283=EDGE_CURVE('',#156126,#156127,#75123,.T.); #192284=EDGE_CURVE('',#156128,#156126,#75124,.T.); #192285=EDGE_CURVE('',#156129,#156127,#75125,.T.); #192286=EDGE_CURVE('',#156128,#156129,#75126,.T.); #192287=EDGE_CURVE('',#156130,#156128,#75127,.T.); #192288=EDGE_CURVE('',#156131,#156129,#75128,.T.); #192289=EDGE_CURVE('',#156130,#156131,#75129,.T.); #192290=EDGE_CURVE('',#156132,#156130,#75130,.T.); #192291=EDGE_CURVE('',#156133,#156131,#75131,.T.); #192292=EDGE_CURVE('',#156132,#156133,#75132,.T.); #192293=EDGE_CURVE('',#156134,#156132,#75133,.T.); #192294=EDGE_CURVE('',#156135,#156133,#75134,.T.); #192295=EDGE_CURVE('',#156134,#156135,#75135,.T.); #192296=EDGE_CURVE('',#156136,#156134,#75136,.T.); #192297=EDGE_CURVE('',#156137,#156135,#75137,.T.); #192298=EDGE_CURVE('',#156136,#156137,#75138,.T.); #192299=EDGE_CURVE('',#156138,#156136,#75139,.T.); #192300=EDGE_CURVE('',#156139,#156137,#75140,.T.); #192301=EDGE_CURVE('',#156138,#156139,#75141,.T.); #192302=EDGE_CURVE('',#156140,#156138,#75142,.T.); #192303=EDGE_CURVE('',#156141,#156139,#75143,.T.); #192304=EDGE_CURVE('',#156140,#156141,#75144,.T.); #192305=EDGE_CURVE('',#156142,#156140,#75145,.T.); #192306=EDGE_CURVE('',#156143,#156141,#75146,.T.); #192307=EDGE_CURVE('',#156142,#156143,#75147,.T.); #192308=EDGE_CURVE('',#156144,#156142,#75148,.T.); #192309=EDGE_CURVE('',#156145,#156143,#75149,.T.); #192310=EDGE_CURVE('',#156144,#156145,#75150,.T.); #192311=EDGE_CURVE('',#156146,#156144,#75151,.T.); #192312=EDGE_CURVE('',#156147,#156145,#75152,.T.); #192313=EDGE_CURVE('',#156146,#156147,#75153,.T.); #192314=EDGE_CURVE('',#156148,#156146,#75154,.T.); #192315=EDGE_CURVE('',#156149,#156147,#75155,.T.); #192316=EDGE_CURVE('',#156148,#156149,#75156,.T.); #192317=EDGE_CURVE('',#156150,#156148,#75157,.T.); #192318=EDGE_CURVE('',#156151,#156149,#75158,.T.); #192319=EDGE_CURVE('',#156150,#156151,#75159,.T.); #192320=EDGE_CURVE('',#156152,#156150,#75160,.T.); #192321=EDGE_CURVE('',#156153,#156151,#75161,.T.); #192322=EDGE_CURVE('',#156152,#156153,#75162,.T.); #192323=EDGE_CURVE('',#156154,#156152,#75163,.T.); #192324=EDGE_CURVE('',#156155,#156153,#75164,.T.); #192325=EDGE_CURVE('',#156154,#156155,#75165,.T.); #192326=EDGE_CURVE('',#156156,#156154,#75166,.T.); #192327=EDGE_CURVE('',#156157,#156155,#75167,.T.); #192328=EDGE_CURVE('',#156156,#156157,#75168,.T.); #192329=EDGE_CURVE('',#156158,#156156,#75169,.T.); #192330=EDGE_CURVE('',#156159,#156157,#75170,.T.); #192331=EDGE_CURVE('',#156158,#156159,#75171,.T.); #192332=EDGE_CURVE('',#156160,#156158,#75172,.T.); #192333=EDGE_CURVE('',#156161,#156159,#75173,.T.); #192334=EDGE_CURVE('',#156160,#156161,#75174,.T.); #192335=EDGE_CURVE('',#156162,#156160,#75175,.T.); #192336=EDGE_CURVE('',#156163,#156161,#75176,.T.); #192337=EDGE_CURVE('',#156162,#156163,#75177,.T.); #192338=EDGE_CURVE('',#156164,#156162,#75178,.T.); #192339=EDGE_CURVE('',#156165,#156163,#75179,.T.); #192340=EDGE_CURVE('',#156164,#156165,#75180,.T.); #192341=EDGE_CURVE('',#156166,#156164,#75181,.T.); #192342=EDGE_CURVE('',#156167,#156165,#75182,.T.); #192343=EDGE_CURVE('',#156166,#156167,#75183,.T.); #192344=EDGE_CURVE('',#156168,#156166,#75184,.T.); #192345=EDGE_CURVE('',#156169,#156167,#75185,.T.); #192346=EDGE_CURVE('',#156168,#156169,#75186,.T.); #192347=EDGE_CURVE('',#156170,#156168,#75187,.T.); #192348=EDGE_CURVE('',#156171,#156169,#75188,.T.); #192349=EDGE_CURVE('',#156170,#156171,#75189,.T.); #192350=EDGE_CURVE('',#156172,#156170,#75190,.T.); #192351=EDGE_CURVE('',#156173,#156171,#75191,.T.); #192352=EDGE_CURVE('',#156172,#156173,#75192,.T.); #192353=EDGE_CURVE('',#156174,#156172,#75193,.T.); #192354=EDGE_CURVE('',#156175,#156173,#75194,.T.); #192355=EDGE_CURVE('',#156174,#156175,#75195,.T.); #192356=EDGE_CURVE('',#156176,#156174,#75196,.T.); #192357=EDGE_CURVE('',#156177,#156175,#75197,.T.); #192358=EDGE_CURVE('',#156176,#156177,#75198,.T.); #192359=EDGE_CURVE('',#156178,#156176,#75199,.T.); #192360=EDGE_CURVE('',#156179,#156177,#75200,.T.); #192361=EDGE_CURVE('',#156178,#156179,#75201,.T.); #192362=EDGE_CURVE('',#156180,#156178,#75202,.T.); #192363=EDGE_CURVE('',#156181,#156179,#75203,.T.); #192364=EDGE_CURVE('',#156180,#156181,#75204,.T.); #192365=EDGE_CURVE('',#156182,#156180,#75205,.T.); #192366=EDGE_CURVE('',#156183,#156181,#75206,.T.); #192367=EDGE_CURVE('',#156182,#156183,#75207,.T.); #192368=EDGE_CURVE('',#156184,#156182,#75208,.T.); #192369=EDGE_CURVE('',#156185,#156183,#75209,.T.); #192370=EDGE_CURVE('',#156184,#156185,#75210,.T.); #192371=EDGE_CURVE('',#156186,#156184,#75211,.T.); #192372=EDGE_CURVE('',#156187,#156185,#75212,.T.); #192373=EDGE_CURVE('',#156186,#156187,#75213,.T.); #192374=EDGE_CURVE('',#156188,#156186,#75214,.T.); #192375=EDGE_CURVE('',#156189,#156187,#75215,.T.); #192376=EDGE_CURVE('',#156188,#156189,#75216,.T.); #192377=EDGE_CURVE('',#156190,#156188,#75217,.T.); #192378=EDGE_CURVE('',#156191,#156189,#75218,.T.); #192379=EDGE_CURVE('',#156190,#156191,#75219,.T.); #192380=EDGE_CURVE('',#156192,#156190,#75220,.T.); #192381=EDGE_CURVE('',#156193,#156191,#75221,.T.); #192382=EDGE_CURVE('',#156192,#156193,#75222,.T.); #192383=EDGE_CURVE('',#156194,#156192,#75223,.T.); #192384=EDGE_CURVE('',#156195,#156193,#75224,.T.); #192385=EDGE_CURVE('',#156194,#156195,#75225,.T.); #192386=EDGE_CURVE('',#156196,#156194,#75226,.T.); #192387=EDGE_CURVE('',#156197,#156195,#75227,.T.); #192388=EDGE_CURVE('',#156196,#156197,#75228,.T.); #192389=EDGE_CURVE('',#156198,#156196,#75229,.T.); #192390=EDGE_CURVE('',#156199,#156197,#75230,.T.); #192391=EDGE_CURVE('',#156198,#156199,#75231,.T.); #192392=EDGE_CURVE('',#156200,#156198,#75232,.T.); #192393=EDGE_CURVE('',#156201,#156199,#75233,.T.); #192394=EDGE_CURVE('',#156200,#156201,#75234,.T.); #192395=EDGE_CURVE('',#156202,#156200,#75235,.T.); #192396=EDGE_CURVE('',#156203,#156201,#75236,.T.); #192397=EDGE_CURVE('',#156202,#156203,#75237,.T.); #192398=EDGE_CURVE('',#156204,#156202,#75238,.T.); #192399=EDGE_CURVE('',#156205,#156203,#75239,.T.); #192400=EDGE_CURVE('',#156204,#156205,#75240,.T.); #192401=EDGE_CURVE('',#156206,#156204,#75241,.T.); #192402=EDGE_CURVE('',#156207,#156205,#75242,.T.); #192403=EDGE_CURVE('',#156206,#156207,#75243,.T.); #192404=EDGE_CURVE('',#156208,#156206,#75244,.T.); #192405=EDGE_CURVE('',#156209,#156207,#75245,.T.); #192406=EDGE_CURVE('',#156208,#156209,#75246,.T.); #192407=EDGE_CURVE('',#156210,#156208,#75247,.T.); #192408=EDGE_CURVE('',#156211,#156209,#75248,.T.); #192409=EDGE_CURVE('',#156210,#156211,#75249,.T.); #192410=EDGE_CURVE('',#156212,#156210,#75250,.T.); #192411=EDGE_CURVE('',#156213,#156211,#75251,.T.); #192412=EDGE_CURVE('',#156212,#156213,#75252,.T.); #192413=EDGE_CURVE('',#156214,#156212,#75253,.T.); #192414=EDGE_CURVE('',#156215,#156213,#75254,.T.); #192415=EDGE_CURVE('',#156214,#156215,#75255,.T.); #192416=EDGE_CURVE('',#156216,#156214,#75256,.T.); #192417=EDGE_CURVE('',#156217,#156215,#75257,.T.); #192418=EDGE_CURVE('',#156216,#156217,#75258,.T.); #192419=EDGE_CURVE('',#156218,#156216,#75259,.T.); #192420=EDGE_CURVE('',#156219,#156217,#75260,.T.); #192421=EDGE_CURVE('',#156218,#156219,#75261,.T.); #192422=EDGE_CURVE('',#156220,#156218,#75262,.T.); #192423=EDGE_CURVE('',#156221,#156219,#75263,.T.); #192424=EDGE_CURVE('',#156220,#156221,#75264,.T.); #192425=EDGE_CURVE('',#156222,#156220,#75265,.T.); #192426=EDGE_CURVE('',#156223,#156221,#75266,.T.); #192427=EDGE_CURVE('',#156222,#156223,#75267,.T.); #192428=EDGE_CURVE('',#155643,#156222,#75268,.T.); #192429=EDGE_CURVE('',#155644,#156223,#75269,.T.); #192430=EDGE_CURVE('',#156224,#156225,#75270,.T.); #192431=EDGE_CURVE('',#156225,#156226,#75271,.T.); #192432=EDGE_CURVE('',#156227,#156226,#75272,.T.); #192433=EDGE_CURVE('',#156224,#156227,#75273,.T.); #192434=EDGE_CURVE('',#156228,#156224,#75274,.T.); #192435=EDGE_CURVE('',#156229,#156227,#75275,.T.); #192436=EDGE_CURVE('',#156228,#156229,#75276,.T.); #192437=EDGE_CURVE('',#156230,#156228,#75277,.T.); #192438=EDGE_CURVE('',#156231,#156229,#75278,.T.); #192439=EDGE_CURVE('',#156230,#156231,#75279,.T.); #192440=EDGE_CURVE('',#156232,#156230,#75280,.T.); #192441=EDGE_CURVE('',#156233,#156231,#75281,.T.); #192442=EDGE_CURVE('',#156232,#156233,#75282,.T.); #192443=EDGE_CURVE('',#156234,#156232,#75283,.T.); #192444=EDGE_CURVE('',#156235,#156233,#75284,.T.); #192445=EDGE_CURVE('',#156234,#156235,#75285,.T.); #192446=EDGE_CURVE('',#156236,#156234,#75286,.T.); #192447=EDGE_CURVE('',#156237,#156235,#75287,.T.); #192448=EDGE_CURVE('',#156236,#156237,#75288,.T.); #192449=EDGE_CURVE('',#156238,#156236,#75289,.T.); #192450=EDGE_CURVE('',#156239,#156237,#75290,.T.); #192451=EDGE_CURVE('',#156238,#156239,#75291,.T.); #192452=EDGE_CURVE('',#156240,#156238,#75292,.T.); #192453=EDGE_CURVE('',#156241,#156239,#75293,.T.); #192454=EDGE_CURVE('',#156240,#156241,#75294,.T.); #192455=EDGE_CURVE('',#156242,#156240,#75295,.T.); #192456=EDGE_CURVE('',#156243,#156241,#75296,.T.); #192457=EDGE_CURVE('',#156242,#156243,#75297,.T.); #192458=EDGE_CURVE('',#156244,#156242,#75298,.T.); #192459=EDGE_CURVE('',#156245,#156243,#75299,.T.); #192460=EDGE_CURVE('',#156244,#156245,#75300,.T.); #192461=EDGE_CURVE('',#156246,#156244,#75301,.T.); #192462=EDGE_CURVE('',#156247,#156245,#75302,.T.); #192463=EDGE_CURVE('',#156246,#156247,#75303,.T.); #192464=EDGE_CURVE('',#156248,#156246,#75304,.T.); #192465=EDGE_CURVE('',#156249,#156247,#75305,.T.); #192466=EDGE_CURVE('',#156248,#156249,#75306,.T.); #192467=EDGE_CURVE('',#156250,#156248,#75307,.T.); #192468=EDGE_CURVE('',#156251,#156249,#75308,.T.); #192469=EDGE_CURVE('',#156250,#156251,#75309,.T.); #192470=EDGE_CURVE('',#156252,#156250,#75310,.T.); #192471=EDGE_CURVE('',#156253,#156251,#75311,.T.); #192472=EDGE_CURVE('',#156252,#156253,#75312,.T.); #192473=EDGE_CURVE('',#156254,#156252,#75313,.T.); #192474=EDGE_CURVE('',#156255,#156253,#75314,.T.); #192475=EDGE_CURVE('',#156254,#156255,#75315,.T.); #192476=EDGE_CURVE('',#156256,#156254,#75316,.T.); #192477=EDGE_CURVE('',#156257,#156255,#75317,.T.); #192478=EDGE_CURVE('',#156256,#156257,#75318,.T.); #192479=EDGE_CURVE('',#156258,#156256,#75319,.T.); #192480=EDGE_CURVE('',#156259,#156257,#75320,.T.); #192481=EDGE_CURVE('',#156258,#156259,#75321,.T.); #192482=EDGE_CURVE('',#156260,#156258,#75322,.T.); #192483=EDGE_CURVE('',#156261,#156259,#75323,.T.); #192484=EDGE_CURVE('',#156260,#156261,#75324,.T.); #192485=EDGE_CURVE('',#156262,#156260,#75325,.T.); #192486=EDGE_CURVE('',#156263,#156261,#75326,.T.); #192487=EDGE_CURVE('',#156262,#156263,#75327,.T.); #192488=EDGE_CURVE('',#156225,#156262,#75328,.T.); #192489=EDGE_CURVE('',#156226,#156263,#75329,.T.); #192490=EDGE_CURVE('',#156264,#156265,#75330,.T.); #192491=EDGE_CURVE('',#156265,#156266,#75331,.T.); #192492=EDGE_CURVE('',#156267,#156266,#75332,.T.); #192493=EDGE_CURVE('',#156264,#156267,#75333,.T.); #192494=EDGE_CURVE('',#156268,#156264,#75334,.T.); #192495=EDGE_CURVE('',#156269,#156267,#75335,.T.); #192496=EDGE_CURVE('',#156268,#156269,#75336,.T.); #192497=EDGE_CURVE('',#156270,#156268,#75337,.T.); #192498=EDGE_CURVE('',#156271,#156269,#75338,.T.); #192499=EDGE_CURVE('',#156270,#156271,#75339,.T.); #192500=EDGE_CURVE('',#156272,#156270,#75340,.T.); #192501=EDGE_CURVE('',#156273,#156271,#75341,.T.); #192502=EDGE_CURVE('',#156272,#156273,#75342,.T.); #192503=EDGE_CURVE('',#156274,#156272,#75343,.T.); #192504=EDGE_CURVE('',#156275,#156273,#75344,.T.); #192505=EDGE_CURVE('',#156274,#156275,#75345,.T.); #192506=EDGE_CURVE('',#156276,#156274,#75346,.T.); #192507=EDGE_CURVE('',#156277,#156275,#75347,.T.); #192508=EDGE_CURVE('',#156276,#156277,#75348,.T.); #192509=EDGE_CURVE('',#156278,#156276,#75349,.T.); #192510=EDGE_CURVE('',#156279,#156277,#75350,.T.); #192511=EDGE_CURVE('',#156278,#156279,#75351,.T.); #192512=EDGE_CURVE('',#156280,#156278,#75352,.T.); #192513=EDGE_CURVE('',#156281,#156279,#75353,.T.); #192514=EDGE_CURVE('',#156280,#156281,#75354,.T.); #192515=EDGE_CURVE('',#156282,#156280,#75355,.T.); #192516=EDGE_CURVE('',#156283,#156281,#75356,.T.); #192517=EDGE_CURVE('',#156282,#156283,#75357,.T.); #192518=EDGE_CURVE('',#156284,#156282,#75358,.T.); #192519=EDGE_CURVE('',#156285,#156283,#75359,.T.); #192520=EDGE_CURVE('',#156284,#156285,#75360,.T.); #192521=EDGE_CURVE('',#156286,#156284,#75361,.T.); #192522=EDGE_CURVE('',#156287,#156285,#75362,.T.); #192523=EDGE_CURVE('',#156286,#156287,#75363,.T.); #192524=EDGE_CURVE('',#156288,#156286,#75364,.T.); #192525=EDGE_CURVE('',#156289,#156287,#75365,.T.); #192526=EDGE_CURVE('',#156288,#156289,#75366,.T.); #192527=EDGE_CURVE('',#156290,#156288,#75367,.T.); #192528=EDGE_CURVE('',#156291,#156289,#75368,.T.); #192529=EDGE_CURVE('',#156290,#156291,#75369,.T.); #192530=EDGE_CURVE('',#156292,#156290,#75370,.T.); #192531=EDGE_CURVE('',#156293,#156291,#75371,.T.); #192532=EDGE_CURVE('',#156292,#156293,#75372,.T.); #192533=EDGE_CURVE('',#156294,#156292,#75373,.T.); #192534=EDGE_CURVE('',#156295,#156293,#75374,.T.); #192535=EDGE_CURVE('',#156294,#156295,#75375,.T.); #192536=EDGE_CURVE('',#156296,#156294,#75376,.T.); #192537=EDGE_CURVE('',#156297,#156295,#75377,.T.); #192538=EDGE_CURVE('',#156296,#156297,#75378,.T.); #192539=EDGE_CURVE('',#156298,#156296,#75379,.T.); #192540=EDGE_CURVE('',#156299,#156297,#75380,.T.); #192541=EDGE_CURVE('',#156298,#156299,#75381,.T.); #192542=EDGE_CURVE('',#156300,#156298,#75382,.T.); #192543=EDGE_CURVE('',#156301,#156299,#75383,.T.); #192544=EDGE_CURVE('',#156300,#156301,#75384,.T.); #192545=EDGE_CURVE('',#156302,#156300,#75385,.T.); #192546=EDGE_CURVE('',#156303,#156301,#75386,.T.); #192547=EDGE_CURVE('',#156302,#156303,#75387,.T.); #192548=EDGE_CURVE('',#156265,#156302,#75388,.T.); #192549=EDGE_CURVE('',#156266,#156303,#75389,.T.); #192550=EDGE_CURVE('',#156304,#156305,#75390,.T.); #192551=EDGE_CURVE('',#156305,#156306,#75391,.T.); #192552=EDGE_CURVE('',#156307,#156306,#75392,.T.); #192553=EDGE_CURVE('',#156304,#156307,#75393,.T.); #192554=EDGE_CURVE('',#156308,#156304,#75394,.T.); #192555=EDGE_CURVE('',#156309,#156307,#75395,.T.); #192556=EDGE_CURVE('',#156308,#156309,#75396,.T.); #192557=EDGE_CURVE('',#156310,#156308,#75397,.T.); #192558=EDGE_CURVE('',#156311,#156309,#75398,.T.); #192559=EDGE_CURVE('',#156310,#156311,#75399,.T.); #192560=EDGE_CURVE('',#156312,#156310,#75400,.T.); #192561=EDGE_CURVE('',#156313,#156311,#75401,.T.); #192562=EDGE_CURVE('',#156312,#156313,#75402,.T.); #192563=EDGE_CURVE('',#156314,#156312,#75403,.T.); #192564=EDGE_CURVE('',#156315,#156313,#75404,.T.); #192565=EDGE_CURVE('',#156314,#156315,#75405,.T.); #192566=EDGE_CURVE('',#156316,#156314,#75406,.T.); #192567=EDGE_CURVE('',#156317,#156315,#75407,.T.); #192568=EDGE_CURVE('',#156316,#156317,#75408,.T.); #192569=EDGE_CURVE('',#156318,#156316,#75409,.T.); #192570=EDGE_CURVE('',#156319,#156317,#75410,.T.); #192571=EDGE_CURVE('',#156318,#156319,#75411,.T.); #192572=EDGE_CURVE('',#156320,#156318,#75412,.T.); #192573=EDGE_CURVE('',#156321,#156319,#75413,.T.); #192574=EDGE_CURVE('',#156320,#156321,#75414,.T.); #192575=EDGE_CURVE('',#156322,#156320,#75415,.T.); #192576=EDGE_CURVE('',#156323,#156321,#75416,.T.); #192577=EDGE_CURVE('',#156322,#156323,#75417,.T.); #192578=EDGE_CURVE('',#156324,#156322,#75418,.T.); #192579=EDGE_CURVE('',#156325,#156323,#75419,.T.); #192580=EDGE_CURVE('',#156324,#156325,#75420,.T.); #192581=EDGE_CURVE('',#156326,#156324,#75421,.T.); #192582=EDGE_CURVE('',#156327,#156325,#75422,.T.); #192583=EDGE_CURVE('',#156326,#156327,#75423,.T.); #192584=EDGE_CURVE('',#156328,#156326,#75424,.T.); #192585=EDGE_CURVE('',#156329,#156327,#75425,.T.); #192586=EDGE_CURVE('',#156328,#156329,#75426,.T.); #192587=EDGE_CURVE('',#156330,#156328,#75427,.T.); #192588=EDGE_CURVE('',#156331,#156329,#75428,.T.); #192589=EDGE_CURVE('',#156330,#156331,#75429,.T.); #192590=EDGE_CURVE('',#156332,#156330,#75430,.T.); #192591=EDGE_CURVE('',#156333,#156331,#75431,.T.); #192592=EDGE_CURVE('',#156332,#156333,#75432,.T.); #192593=EDGE_CURVE('',#156334,#156332,#75433,.T.); #192594=EDGE_CURVE('',#156335,#156333,#75434,.T.); #192595=EDGE_CURVE('',#156334,#156335,#75435,.T.); #192596=EDGE_CURVE('',#156336,#156334,#75436,.T.); #192597=EDGE_CURVE('',#156337,#156335,#75437,.T.); #192598=EDGE_CURVE('',#156336,#156337,#75438,.T.); #192599=EDGE_CURVE('',#156338,#156336,#75439,.T.); #192600=EDGE_CURVE('',#156339,#156337,#75440,.T.); #192601=EDGE_CURVE('',#156338,#156339,#75441,.T.); #192602=EDGE_CURVE('',#156340,#156338,#75442,.T.); #192603=EDGE_CURVE('',#156341,#156339,#75443,.T.); #192604=EDGE_CURVE('',#156340,#156341,#75444,.T.); #192605=EDGE_CURVE('',#156342,#156340,#75445,.T.); #192606=EDGE_CURVE('',#156343,#156341,#75446,.T.); #192607=EDGE_CURVE('',#156342,#156343,#75447,.T.); #192608=EDGE_CURVE('',#156305,#156342,#75448,.T.); #192609=EDGE_CURVE('',#156306,#156343,#75449,.T.); #192610=EDGE_CURVE('',#156344,#156345,#75450,.T.); #192611=EDGE_CURVE('',#156345,#156346,#75451,.T.); #192612=EDGE_CURVE('',#156347,#156346,#75452,.T.); #192613=EDGE_CURVE('',#156344,#156347,#75453,.T.); #192614=EDGE_CURVE('',#156348,#156344,#75454,.T.); #192615=EDGE_CURVE('',#156349,#156347,#75455,.T.); #192616=EDGE_CURVE('',#156348,#156349,#75456,.T.); #192617=EDGE_CURVE('',#156350,#156348,#75457,.T.); #192618=EDGE_CURVE('',#156351,#156349,#75458,.T.); #192619=EDGE_CURVE('',#156350,#156351,#75459,.T.); #192620=EDGE_CURVE('',#156352,#156350,#75460,.T.); #192621=EDGE_CURVE('',#156353,#156351,#75461,.T.); #192622=EDGE_CURVE('',#156352,#156353,#75462,.T.); #192623=EDGE_CURVE('',#156354,#156352,#75463,.T.); #192624=EDGE_CURVE('',#156355,#156353,#75464,.T.); #192625=EDGE_CURVE('',#156354,#156355,#75465,.T.); #192626=EDGE_CURVE('',#156356,#156354,#75466,.T.); #192627=EDGE_CURVE('',#156357,#156355,#75467,.T.); #192628=EDGE_CURVE('',#156356,#156357,#75468,.T.); #192629=EDGE_CURVE('',#156358,#156356,#75469,.T.); #192630=EDGE_CURVE('',#156359,#156357,#75470,.T.); #192631=EDGE_CURVE('',#156358,#156359,#75471,.T.); #192632=EDGE_CURVE('',#156360,#156358,#75472,.T.); #192633=EDGE_CURVE('',#156361,#156359,#75473,.T.); #192634=EDGE_CURVE('',#156360,#156361,#75474,.T.); #192635=EDGE_CURVE('',#156362,#156360,#75475,.T.); #192636=EDGE_CURVE('',#156363,#156361,#75476,.T.); #192637=EDGE_CURVE('',#156362,#156363,#75477,.T.); #192638=EDGE_CURVE('',#156364,#156362,#75478,.T.); #192639=EDGE_CURVE('',#156365,#156363,#75479,.T.); #192640=EDGE_CURVE('',#156364,#156365,#75480,.T.); #192641=EDGE_CURVE('',#156366,#156364,#75481,.T.); #192642=EDGE_CURVE('',#156367,#156365,#75482,.T.); #192643=EDGE_CURVE('',#156366,#156367,#75483,.T.); #192644=EDGE_CURVE('',#156368,#156366,#75484,.T.); #192645=EDGE_CURVE('',#156369,#156367,#75485,.T.); #192646=EDGE_CURVE('',#156368,#156369,#75486,.T.); #192647=EDGE_CURVE('',#156370,#156368,#75487,.T.); #192648=EDGE_CURVE('',#156371,#156369,#75488,.T.); #192649=EDGE_CURVE('',#156370,#156371,#75489,.T.); #192650=EDGE_CURVE('',#156372,#156370,#75490,.T.); #192651=EDGE_CURVE('',#156373,#156371,#75491,.T.); #192652=EDGE_CURVE('',#156372,#156373,#75492,.T.); #192653=EDGE_CURVE('',#156374,#156372,#75493,.T.); #192654=EDGE_CURVE('',#156375,#156373,#75494,.T.); #192655=EDGE_CURVE('',#156374,#156375,#75495,.T.); #192656=EDGE_CURVE('',#156376,#156374,#75496,.T.); #192657=EDGE_CURVE('',#156377,#156375,#75497,.T.); #192658=EDGE_CURVE('',#156376,#156377,#75498,.T.); #192659=EDGE_CURVE('',#156378,#156376,#75499,.T.); #192660=EDGE_CURVE('',#156379,#156377,#75500,.T.); #192661=EDGE_CURVE('',#156378,#156379,#75501,.T.); #192662=EDGE_CURVE('',#156380,#156378,#75502,.T.); #192663=EDGE_CURVE('',#156381,#156379,#75503,.T.); #192664=EDGE_CURVE('',#156380,#156381,#75504,.T.); #192665=EDGE_CURVE('',#156382,#156380,#75505,.T.); #192666=EDGE_CURVE('',#156383,#156381,#75506,.T.); #192667=EDGE_CURVE('',#156382,#156383,#75507,.T.); #192668=EDGE_CURVE('',#156384,#156382,#75508,.T.); #192669=EDGE_CURVE('',#156385,#156383,#75509,.T.); #192670=EDGE_CURVE('',#156384,#156385,#75510,.T.); #192671=EDGE_CURVE('',#156386,#156384,#75511,.T.); #192672=EDGE_CURVE('',#156387,#156385,#75512,.T.); #192673=EDGE_CURVE('',#156386,#156387,#75513,.T.); #192674=EDGE_CURVE('',#156388,#156386,#75514,.T.); #192675=EDGE_CURVE('',#156389,#156387,#75515,.T.); #192676=EDGE_CURVE('',#156388,#156389,#75516,.T.); #192677=EDGE_CURVE('',#156345,#156388,#75517,.T.); #192678=EDGE_CURVE('',#156346,#156389,#75518,.T.); #192679=EDGE_CURVE('',#156390,#156391,#75519,.T.); #192680=EDGE_CURVE('',#156391,#156392,#75520,.T.); #192681=EDGE_CURVE('',#156393,#156392,#75521,.T.); #192682=EDGE_CURVE('',#156390,#156393,#75522,.T.); #192683=EDGE_CURVE('',#156394,#156390,#75523,.T.); #192684=EDGE_CURVE('',#156395,#156393,#75524,.T.); #192685=EDGE_CURVE('',#156394,#156395,#75525,.T.); #192686=EDGE_CURVE('',#156396,#156394,#75526,.T.); #192687=EDGE_CURVE('',#156397,#156395,#75527,.T.); #192688=EDGE_CURVE('',#156396,#156397,#75528,.T.); #192689=EDGE_CURVE('',#156398,#156396,#75529,.T.); #192690=EDGE_CURVE('',#156399,#156397,#75530,.T.); #192691=EDGE_CURVE('',#156398,#156399,#75531,.T.); #192692=EDGE_CURVE('',#156400,#156398,#75532,.T.); #192693=EDGE_CURVE('',#156401,#156399,#75533,.T.); #192694=EDGE_CURVE('',#156400,#156401,#75534,.T.); #192695=EDGE_CURVE('',#156402,#156400,#75535,.T.); #192696=EDGE_CURVE('',#156403,#156401,#75536,.T.); #192697=EDGE_CURVE('',#156402,#156403,#75537,.T.); #192698=EDGE_CURVE('',#156404,#156402,#75538,.T.); #192699=EDGE_CURVE('',#156405,#156403,#75539,.T.); #192700=EDGE_CURVE('',#156404,#156405,#75540,.T.); #192701=EDGE_CURVE('',#156406,#156404,#75541,.T.); #192702=EDGE_CURVE('',#156407,#156405,#75542,.T.); #192703=EDGE_CURVE('',#156406,#156407,#75543,.T.); #192704=EDGE_CURVE('',#156408,#156406,#75544,.T.); #192705=EDGE_CURVE('',#156409,#156407,#75545,.T.); #192706=EDGE_CURVE('',#156408,#156409,#75546,.T.); #192707=EDGE_CURVE('',#156410,#156408,#75547,.T.); #192708=EDGE_CURVE('',#156411,#156409,#75548,.T.); #192709=EDGE_CURVE('',#156410,#156411,#75549,.T.); #192710=EDGE_CURVE('',#156412,#156410,#75550,.T.); #192711=EDGE_CURVE('',#156413,#156411,#75551,.T.); #192712=EDGE_CURVE('',#156412,#156413,#75552,.T.); #192713=EDGE_CURVE('',#156414,#156412,#75553,.T.); #192714=EDGE_CURVE('',#156415,#156413,#75554,.T.); #192715=EDGE_CURVE('',#156414,#156415,#75555,.T.); #192716=EDGE_CURVE('',#156416,#156414,#75556,.T.); #192717=EDGE_CURVE('',#156417,#156415,#75557,.T.); #192718=EDGE_CURVE('',#156416,#156417,#75558,.T.); #192719=EDGE_CURVE('',#156418,#156416,#75559,.T.); #192720=EDGE_CURVE('',#156419,#156417,#75560,.T.); #192721=EDGE_CURVE('',#156418,#156419,#75561,.T.); #192722=EDGE_CURVE('',#156420,#156418,#75562,.T.); #192723=EDGE_CURVE('',#156421,#156419,#75563,.T.); #192724=EDGE_CURVE('',#156420,#156421,#75564,.T.); #192725=EDGE_CURVE('',#156422,#156420,#75565,.T.); #192726=EDGE_CURVE('',#156423,#156421,#75566,.T.); #192727=EDGE_CURVE('',#156422,#156423,#75567,.T.); #192728=EDGE_CURVE('',#156424,#156422,#75568,.T.); #192729=EDGE_CURVE('',#156425,#156423,#75569,.T.); #192730=EDGE_CURVE('',#156424,#156425,#75570,.T.); #192731=EDGE_CURVE('',#156426,#156424,#75571,.T.); #192732=EDGE_CURVE('',#156427,#156425,#75572,.T.); #192733=EDGE_CURVE('',#156426,#156427,#75573,.T.); #192734=EDGE_CURVE('',#156428,#156426,#75574,.T.); #192735=EDGE_CURVE('',#156429,#156427,#75575,.T.); #192736=EDGE_CURVE('',#156428,#156429,#75576,.T.); #192737=EDGE_CURVE('',#156391,#156428,#75577,.T.); #192738=EDGE_CURVE('',#156392,#156429,#75578,.T.); #192739=EDGE_CURVE('',#156430,#156431,#75579,.T.); #192740=EDGE_CURVE('',#156431,#156432,#75580,.T.); #192741=EDGE_CURVE('',#156433,#156432,#75581,.T.); #192742=EDGE_CURVE('',#156430,#156433,#75582,.T.); #192743=EDGE_CURVE('',#156434,#156430,#75583,.T.); #192744=EDGE_CURVE('',#156435,#156433,#75584,.T.); #192745=EDGE_CURVE('',#156434,#156435,#75585,.T.); #192746=EDGE_CURVE('',#156436,#156434,#75586,.T.); #192747=EDGE_CURVE('',#156437,#156435,#75587,.T.); #192748=EDGE_CURVE('',#156436,#156437,#75588,.T.); #192749=EDGE_CURVE('',#156438,#156436,#75589,.T.); #192750=EDGE_CURVE('',#156439,#156437,#75590,.T.); #192751=EDGE_CURVE('',#156438,#156439,#75591,.T.); #192752=EDGE_CURVE('',#156440,#156438,#75592,.T.); #192753=EDGE_CURVE('',#156441,#156439,#75593,.T.); #192754=EDGE_CURVE('',#156440,#156441,#75594,.T.); #192755=EDGE_CURVE('',#156442,#156440,#75595,.T.); #192756=EDGE_CURVE('',#156443,#156441,#75596,.T.); #192757=EDGE_CURVE('',#156442,#156443,#75597,.T.); #192758=EDGE_CURVE('',#156444,#156442,#75598,.T.); #192759=EDGE_CURVE('',#156445,#156443,#75599,.T.); #192760=EDGE_CURVE('',#156444,#156445,#75600,.T.); #192761=EDGE_CURVE('',#156446,#156444,#75601,.T.); #192762=EDGE_CURVE('',#156447,#156445,#75602,.T.); #192763=EDGE_CURVE('',#156446,#156447,#75603,.T.); #192764=EDGE_CURVE('',#156448,#156446,#75604,.T.); #192765=EDGE_CURVE('',#156449,#156447,#75605,.T.); #192766=EDGE_CURVE('',#156448,#156449,#75606,.T.); #192767=EDGE_CURVE('',#156450,#156448,#75607,.T.); #192768=EDGE_CURVE('',#156451,#156449,#75608,.T.); #192769=EDGE_CURVE('',#156450,#156451,#75609,.T.); #192770=EDGE_CURVE('',#156452,#156450,#75610,.T.); #192771=EDGE_CURVE('',#156453,#156451,#75611,.T.); #192772=EDGE_CURVE('',#156452,#156453,#75612,.T.); #192773=EDGE_CURVE('',#156454,#156452,#75613,.T.); #192774=EDGE_CURVE('',#156455,#156453,#75614,.T.); #192775=EDGE_CURVE('',#156454,#156455,#75615,.T.); #192776=EDGE_CURVE('',#156456,#156454,#75616,.T.); #192777=EDGE_CURVE('',#156457,#156455,#75617,.T.); #192778=EDGE_CURVE('',#156456,#156457,#75618,.T.); #192779=EDGE_CURVE('',#156458,#156456,#75619,.T.); #192780=EDGE_CURVE('',#156459,#156457,#75620,.T.); #192781=EDGE_CURVE('',#156458,#156459,#75621,.T.); #192782=EDGE_CURVE('',#156460,#156458,#75622,.T.); #192783=EDGE_CURVE('',#156461,#156459,#75623,.T.); #192784=EDGE_CURVE('',#156460,#156461,#75624,.T.); #192785=EDGE_CURVE('',#156462,#156460,#75625,.T.); #192786=EDGE_CURVE('',#156463,#156461,#75626,.T.); #192787=EDGE_CURVE('',#156462,#156463,#75627,.T.); #192788=EDGE_CURVE('',#156464,#156462,#75628,.T.); #192789=EDGE_CURVE('',#156465,#156463,#75629,.T.); #192790=EDGE_CURVE('',#156464,#156465,#75630,.T.); #192791=EDGE_CURVE('',#156466,#156464,#75631,.T.); #192792=EDGE_CURVE('',#156467,#156465,#75632,.T.); #192793=EDGE_CURVE('',#156466,#156467,#75633,.T.); #192794=EDGE_CURVE('',#156468,#156466,#75634,.T.); #192795=EDGE_CURVE('',#156469,#156467,#75635,.T.); #192796=EDGE_CURVE('',#156468,#156469,#75636,.T.); #192797=EDGE_CURVE('',#156470,#156468,#75637,.T.); #192798=EDGE_CURVE('',#156471,#156469,#75638,.T.); #192799=EDGE_CURVE('',#156470,#156471,#75639,.T.); #192800=EDGE_CURVE('',#156431,#156470,#75640,.T.); #192801=EDGE_CURVE('',#156432,#156471,#75641,.T.); #192802=EDGE_CURVE('',#156472,#156472,#139974,.T.); #192803=EDGE_CURVE('',#156472,#156473,#75642,.T.); #192804=EDGE_CURVE('',#156473,#156473,#139975,.T.); #192805=EDGE_CURVE('',#156474,#156475,#75643,.T.); #192806=EDGE_CURVE('',#156475,#156476,#75644,.T.); #192807=EDGE_CURVE('',#156477,#156476,#75645,.T.); #192808=EDGE_CURVE('',#156474,#156477,#75646,.T.); #192809=EDGE_CURVE('',#156478,#156474,#139976,.T.); #192810=EDGE_CURVE('',#156479,#156477,#139977,.T.); #192811=EDGE_CURVE('',#156478,#156479,#75647,.T.); #192812=EDGE_CURVE('',#156480,#156478,#75648,.T.); #192813=EDGE_CURVE('',#156481,#156479,#75649,.T.); #192814=EDGE_CURVE('',#156480,#156481,#75650,.T.); #192815=EDGE_CURVE('',#156482,#156480,#139978,.T.); #192816=EDGE_CURVE('',#156483,#156481,#139979,.T.); #192817=EDGE_CURVE('',#156482,#156483,#75651,.T.); #192818=EDGE_CURVE('',#156484,#156482,#75652,.T.); #192819=EDGE_CURVE('',#156485,#156483,#75653,.T.); #192820=EDGE_CURVE('',#156484,#156485,#75654,.T.); #192821=EDGE_CURVE('',#156486,#156484,#75655,.T.); #192822=EDGE_CURVE('',#156487,#156485,#75656,.T.); #192823=EDGE_CURVE('',#156486,#156487,#75657,.T.); #192824=EDGE_CURVE('',#156488,#156486,#75658,.T.); #192825=EDGE_CURVE('',#156489,#156487,#75659,.T.); #192826=EDGE_CURVE('',#156488,#156489,#75660,.T.); #192827=EDGE_CURVE('',#156490,#156488,#75661,.T.); #192828=EDGE_CURVE('',#156491,#156489,#75662,.T.); #192829=EDGE_CURVE('',#156490,#156491,#75663,.T.); #192830=EDGE_CURVE('',#156492,#156490,#139980,.T.); #192831=EDGE_CURVE('',#156493,#156491,#139981,.T.); #192832=EDGE_CURVE('',#156492,#156493,#75664,.T.); #192833=EDGE_CURVE('',#156494,#156492,#75665,.T.); #192834=EDGE_CURVE('',#156495,#156493,#75666,.T.); #192835=EDGE_CURVE('',#156494,#156495,#75667,.T.); #192836=EDGE_CURVE('',#156496,#156494,#75668,.T.); #192837=EDGE_CURVE('',#156497,#156495,#75669,.T.); #192838=EDGE_CURVE('',#156496,#156497,#75670,.T.); #192839=EDGE_CURVE('',#156498,#156496,#75671,.T.); #192840=EDGE_CURVE('',#156499,#156497,#75672,.T.); #192841=EDGE_CURVE('',#156498,#156499,#75673,.T.); #192842=EDGE_CURVE('',#156500,#156498,#75674,.T.); #192843=EDGE_CURVE('',#156501,#156499,#75675,.T.); #192844=EDGE_CURVE('',#156500,#156501,#75676,.T.); #192845=EDGE_CURVE('',#156502,#156500,#75677,.T.); #192846=EDGE_CURVE('',#156503,#156501,#75678,.T.); #192847=EDGE_CURVE('',#156502,#156503,#75679,.T.); #192848=EDGE_CURVE('',#156504,#156502,#75680,.T.); #192849=EDGE_CURVE('',#156505,#156503,#75681,.T.); #192850=EDGE_CURVE('',#156504,#156505,#75682,.T.); #192851=EDGE_CURVE('',#156506,#156504,#75683,.T.); #192852=EDGE_CURVE('',#156507,#156505,#75684,.T.); #192853=EDGE_CURVE('',#156506,#156507,#75685,.T.); #192854=EDGE_CURVE('',#156508,#156506,#139982,.T.); #192855=EDGE_CURVE('',#156509,#156507,#139983,.T.); #192856=EDGE_CURVE('',#156508,#156509,#75686,.T.); #192857=EDGE_CURVE('',#156510,#156508,#75687,.T.); #192858=EDGE_CURVE('',#156511,#156509,#75688,.T.); #192859=EDGE_CURVE('',#156510,#156511,#75689,.T.); #192860=EDGE_CURVE('',#156512,#156510,#139984,.T.); #192861=EDGE_CURVE('',#156513,#156511,#139985,.T.); #192862=EDGE_CURVE('',#156512,#156513,#75690,.T.); #192863=EDGE_CURVE('',#156514,#156512,#75691,.T.); #192864=EDGE_CURVE('',#156515,#156513,#75692,.T.); #192865=EDGE_CURVE('',#156514,#156515,#75693,.T.); #192866=EDGE_CURVE('',#156516,#156514,#139986,.T.); #192867=EDGE_CURVE('',#156517,#156515,#139987,.T.); #192868=EDGE_CURVE('',#156516,#156517,#75694,.T.); #192869=EDGE_CURVE('',#156518,#156516,#75695,.T.); #192870=EDGE_CURVE('',#156519,#156517,#75696,.T.); #192871=EDGE_CURVE('',#156518,#156519,#75697,.T.); #192872=EDGE_CURVE('',#156520,#156518,#75698,.T.); #192873=EDGE_CURVE('',#156521,#156519,#75699,.T.); #192874=EDGE_CURVE('',#156520,#156521,#75700,.T.); #192875=EDGE_CURVE('',#156475,#156520,#139988,.T.); #192876=EDGE_CURVE('',#156476,#156521,#139989,.T.); #192877=EDGE_CURVE('',#156522,#156522,#139990,.T.); #192878=EDGE_CURVE('',#156522,#156523,#75701,.T.); #192879=EDGE_CURVE('',#156523,#156523,#139991,.T.); #192880=EDGE_CURVE('',#156524,#156524,#139992,.T.); #192881=EDGE_CURVE('',#156524,#156525,#75702,.T.); #192882=EDGE_CURVE('',#156525,#156525,#139993,.T.); #192883=EDGE_CURVE('',#156526,#156526,#139994,.T.); #192884=EDGE_CURVE('',#156526,#156527,#75703,.T.); #192885=EDGE_CURVE('',#156527,#156527,#139995,.T.); #192886=EDGE_CURVE('',#156528,#156528,#139996,.T.); #192887=EDGE_CURVE('',#156528,#156529,#75704,.T.); #192888=EDGE_CURVE('',#156529,#156529,#139997,.T.); #192889=EDGE_CURVE('',#156530,#156530,#139998,.T.); #192890=EDGE_CURVE('',#156530,#156531,#75705,.T.); #192891=EDGE_CURVE('',#156531,#156531,#139999,.T.); #192892=EDGE_CURVE('',#156532,#156532,#140000,.T.); #192893=EDGE_CURVE('',#156532,#156533,#75706,.T.); #192894=EDGE_CURVE('',#156533,#156533,#140001,.T.); #192895=EDGE_CURVE('',#156534,#156534,#140002,.T.); #192896=EDGE_CURVE('',#156534,#156535,#75707,.T.); #192897=EDGE_CURVE('',#156535,#156535,#140003,.T.); #192898=EDGE_CURVE('',#156536,#156536,#140004,.T.); #192899=EDGE_CURVE('',#156536,#156537,#75708,.T.); #192900=EDGE_CURVE('',#156537,#156537,#140005,.T.); #192901=EDGE_CURVE('',#156538,#156538,#140006,.T.); #192902=EDGE_CURVE('',#156538,#156539,#75709,.T.); #192903=EDGE_CURVE('',#156539,#156539,#140007,.T.); #192904=EDGE_CURVE('',#156540,#156540,#140008,.T.); #192905=EDGE_CURVE('',#156540,#156541,#75710,.T.); #192906=EDGE_CURVE('',#156541,#156541,#140009,.T.); #192907=EDGE_CURVE('',#156542,#156542,#140010,.T.); #192908=EDGE_CURVE('',#156542,#156543,#75711,.T.); #192909=EDGE_CURVE('',#156543,#156543,#140011,.T.); #192910=EDGE_CURVE('',#156544,#156544,#140012,.T.); #192911=EDGE_CURVE('',#156544,#156545,#75712,.T.); #192912=EDGE_CURVE('',#156545,#156545,#140013,.T.); #192913=EDGE_CURVE('',#156546,#156547,#75713,.T.); #192914=EDGE_CURVE('',#156547,#156548,#75714,.T.); #192915=EDGE_CURVE('',#156549,#156548,#75715,.T.); #192916=EDGE_CURVE('',#156546,#156549,#75716,.T.); #192917=EDGE_CURVE('',#156550,#156546,#75717,.T.); #192918=EDGE_CURVE('',#156551,#156549,#75718,.T.); #192919=EDGE_CURVE('',#156550,#156551,#75719,.T.); #192920=EDGE_CURVE('',#156552,#156550,#75720,.T.); #192921=EDGE_CURVE('',#156553,#156551,#75721,.T.); #192922=EDGE_CURVE('',#156552,#156553,#75722,.T.); #192923=EDGE_CURVE('',#156554,#156552,#75723,.T.); #192924=EDGE_CURVE('',#156555,#156553,#75724,.T.); #192925=EDGE_CURVE('',#156554,#156555,#75725,.T.); #192926=EDGE_CURVE('',#156556,#156554,#75726,.T.); #192927=EDGE_CURVE('',#156557,#156555,#75727,.T.); #192928=EDGE_CURVE('',#156556,#156557,#75728,.T.); #192929=EDGE_CURVE('',#156558,#156556,#75729,.T.); #192930=EDGE_CURVE('',#156559,#156557,#75730,.T.); #192931=EDGE_CURVE('',#156558,#156559,#75731,.T.); #192932=EDGE_CURVE('',#156560,#156558,#75732,.T.); #192933=EDGE_CURVE('',#156561,#156559,#75733,.T.); #192934=EDGE_CURVE('',#156560,#156561,#75734,.T.); #192935=EDGE_CURVE('',#156562,#156560,#75735,.T.); #192936=EDGE_CURVE('',#156563,#156561,#75736,.T.); #192937=EDGE_CURVE('',#156562,#156563,#75737,.T.); #192938=EDGE_CURVE('',#156564,#156562,#75738,.T.); #192939=EDGE_CURVE('',#156565,#156563,#75739,.T.); #192940=EDGE_CURVE('',#156564,#156565,#75740,.T.); #192941=EDGE_CURVE('',#156566,#156564,#75741,.T.); #192942=EDGE_CURVE('',#156567,#156565,#75742,.T.); #192943=EDGE_CURVE('',#156566,#156567,#75743,.T.); #192944=EDGE_CURVE('',#156568,#156566,#75744,.T.); #192945=EDGE_CURVE('',#156569,#156567,#75745,.T.); #192946=EDGE_CURVE('',#156568,#156569,#75746,.T.); #192947=EDGE_CURVE('',#156570,#156568,#75747,.T.); #192948=EDGE_CURVE('',#156571,#156569,#75748,.T.); #192949=EDGE_CURVE('',#156570,#156571,#75749,.T.); #192950=EDGE_CURVE('',#156572,#156570,#75750,.T.); #192951=EDGE_CURVE('',#156573,#156571,#75751,.T.); #192952=EDGE_CURVE('',#156572,#156573,#75752,.T.); #192953=EDGE_CURVE('',#156574,#156572,#75753,.T.); #192954=EDGE_CURVE('',#156575,#156573,#75754,.T.); #192955=EDGE_CURVE('',#156574,#156575,#75755,.T.); #192956=EDGE_CURVE('',#156576,#156574,#75756,.T.); #192957=EDGE_CURVE('',#156577,#156575,#75757,.T.); #192958=EDGE_CURVE('',#156576,#156577,#75758,.T.); #192959=EDGE_CURVE('',#156578,#156576,#75759,.T.); #192960=EDGE_CURVE('',#156579,#156577,#75760,.T.); #192961=EDGE_CURVE('',#156578,#156579,#75761,.T.); #192962=EDGE_CURVE('',#156580,#156578,#75762,.T.); #192963=EDGE_CURVE('',#156581,#156579,#75763,.T.); #192964=EDGE_CURVE('',#156580,#156581,#75764,.T.); #192965=EDGE_CURVE('',#156582,#156580,#75765,.T.); #192966=EDGE_CURVE('',#156583,#156581,#75766,.T.); #192967=EDGE_CURVE('',#156582,#156583,#75767,.T.); #192968=EDGE_CURVE('',#156584,#156582,#75768,.T.); #192969=EDGE_CURVE('',#156585,#156583,#75769,.T.); #192970=EDGE_CURVE('',#156584,#156585,#75770,.T.); #192971=EDGE_CURVE('',#156586,#156584,#75771,.T.); #192972=EDGE_CURVE('',#156587,#156585,#75772,.T.); #192973=EDGE_CURVE('',#156586,#156587,#75773,.T.); #192974=EDGE_CURVE('',#156588,#156586,#75774,.T.); #192975=EDGE_CURVE('',#156589,#156587,#75775,.T.); #192976=EDGE_CURVE('',#156588,#156589,#75776,.T.); #192977=EDGE_CURVE('',#156590,#156588,#75777,.T.); #192978=EDGE_CURVE('',#156591,#156589,#75778,.T.); #192979=EDGE_CURVE('',#156590,#156591,#75779,.T.); #192980=EDGE_CURVE('',#156592,#156590,#75780,.T.); #192981=EDGE_CURVE('',#156593,#156591,#75781,.T.); #192982=EDGE_CURVE('',#156592,#156593,#75782,.T.); #192983=EDGE_CURVE('',#156594,#156592,#75783,.T.); #192984=EDGE_CURVE('',#156595,#156593,#75784,.T.); #192985=EDGE_CURVE('',#156594,#156595,#75785,.T.); #192986=EDGE_CURVE('',#156596,#156594,#140014,.T.); #192987=EDGE_CURVE('',#156597,#156595,#140015,.T.); #192988=EDGE_CURVE('',#156596,#156597,#75786,.T.); #192989=EDGE_CURVE('',#156598,#156596,#75787,.T.); #192990=EDGE_CURVE('',#156599,#156597,#75788,.T.); #192991=EDGE_CURVE('',#156598,#156599,#75789,.T.); #192992=EDGE_CURVE('',#156600,#156598,#75790,.T.); #192993=EDGE_CURVE('',#156601,#156599,#75791,.T.); #192994=EDGE_CURVE('',#156600,#156601,#75792,.T.); #192995=EDGE_CURVE('',#156602,#156600,#140016,.T.); #192996=EDGE_CURVE('',#156603,#156601,#140017,.T.); #192997=EDGE_CURVE('',#156602,#156603,#75793,.T.); #192998=EDGE_CURVE('',#156604,#156602,#75794,.T.); #192999=EDGE_CURVE('',#156605,#156603,#75795,.T.); #193000=EDGE_CURVE('',#156604,#156605,#75796,.T.); #193001=EDGE_CURVE('',#156606,#156604,#75797,.T.); #193002=EDGE_CURVE('',#156607,#156605,#75798,.T.); #193003=EDGE_CURVE('',#156606,#156607,#75799,.T.); #193004=EDGE_CURVE('',#156608,#156606,#75800,.T.); #193005=EDGE_CURVE('',#156609,#156607,#75801,.T.); #193006=EDGE_CURVE('',#156608,#156609,#75802,.T.); #193007=EDGE_CURVE('',#156610,#156608,#75803,.T.); #193008=EDGE_CURVE('',#156611,#156609,#75804,.T.); #193009=EDGE_CURVE('',#156610,#156611,#75805,.T.); #193010=EDGE_CURVE('',#156612,#156610,#75806,.T.); #193011=EDGE_CURVE('',#156613,#156611,#75807,.T.); #193012=EDGE_CURVE('',#156612,#156613,#75808,.T.); #193013=EDGE_CURVE('',#156614,#156612,#75809,.T.); #193014=EDGE_CURVE('',#156615,#156613,#75810,.T.); #193015=EDGE_CURVE('',#156614,#156615,#75811,.T.); #193016=EDGE_CURVE('',#156616,#156614,#75812,.T.); #193017=EDGE_CURVE('',#156617,#156615,#75813,.T.); #193018=EDGE_CURVE('',#156616,#156617,#75814,.T.); #193019=EDGE_CURVE('',#156618,#156616,#75815,.T.); #193020=EDGE_CURVE('',#156619,#156617,#75816,.T.); #193021=EDGE_CURVE('',#156618,#156619,#75817,.T.); #193022=EDGE_CURVE('',#156620,#156618,#140018,.T.); #193023=EDGE_CURVE('',#156621,#156619,#140019,.T.); #193024=EDGE_CURVE('',#156620,#156621,#75818,.T.); #193025=EDGE_CURVE('',#156622,#156620,#75819,.T.); #193026=EDGE_CURVE('',#156623,#156621,#75820,.T.); #193027=EDGE_CURVE('',#156622,#156623,#75821,.T.); #193028=EDGE_CURVE('',#156624,#156622,#140020,.T.); #193029=EDGE_CURVE('',#156625,#156623,#140021,.T.); #193030=EDGE_CURVE('',#156624,#156625,#75822,.T.); #193031=EDGE_CURVE('',#156626,#156624,#75823,.T.); #193032=EDGE_CURVE('',#156627,#156625,#75824,.T.); #193033=EDGE_CURVE('',#156626,#156627,#75825,.T.); #193034=EDGE_CURVE('',#156628,#156626,#75826,.T.); #193035=EDGE_CURVE('',#156629,#156627,#75827,.T.); #193036=EDGE_CURVE('',#156628,#156629,#75828,.T.); #193037=EDGE_CURVE('',#156630,#156628,#75829,.T.); #193038=EDGE_CURVE('',#156631,#156629,#75830,.T.); #193039=EDGE_CURVE('',#156630,#156631,#75831,.T.); #193040=EDGE_CURVE('',#156632,#156630,#75832,.T.); #193041=EDGE_CURVE('',#156633,#156631,#75833,.T.); #193042=EDGE_CURVE('',#156632,#156633,#75834,.T.); #193043=EDGE_CURVE('',#156634,#156632,#75835,.T.); #193044=EDGE_CURVE('',#156635,#156633,#75836,.T.); #193045=EDGE_CURVE('',#156634,#156635,#75837,.T.); #193046=EDGE_CURVE('',#156636,#156634,#75838,.T.); #193047=EDGE_CURVE('',#156637,#156635,#75839,.T.); #193048=EDGE_CURVE('',#156636,#156637,#75840,.T.); #193049=EDGE_CURVE('',#156638,#156636,#75841,.T.); #193050=EDGE_CURVE('',#156639,#156637,#75842,.T.); #193051=EDGE_CURVE('',#156638,#156639,#75843,.T.); #193052=EDGE_CURVE('',#156640,#156638,#75844,.T.); #193053=EDGE_CURVE('',#156641,#156639,#75845,.T.); #193054=EDGE_CURVE('',#156640,#156641,#75846,.T.); #193055=EDGE_CURVE('',#156642,#156640,#75847,.T.); #193056=EDGE_CURVE('',#156643,#156641,#75848,.T.); #193057=EDGE_CURVE('',#156642,#156643,#75849,.T.); #193058=EDGE_CURVE('',#156644,#156642,#75850,.T.); #193059=EDGE_CURVE('',#156645,#156643,#75851,.T.); #193060=EDGE_CURVE('',#156644,#156645,#75852,.T.); #193061=EDGE_CURVE('',#156646,#156644,#75853,.T.); #193062=EDGE_CURVE('',#156647,#156645,#75854,.T.); #193063=EDGE_CURVE('',#156646,#156647,#75855,.T.); #193064=EDGE_CURVE('',#156648,#156646,#75856,.T.); #193065=EDGE_CURVE('',#156649,#156647,#75857,.T.); #193066=EDGE_CURVE('',#156648,#156649,#75858,.T.); #193067=EDGE_CURVE('',#156650,#156648,#75859,.T.); #193068=EDGE_CURVE('',#156651,#156649,#75860,.T.); #193069=EDGE_CURVE('',#156650,#156651,#75861,.T.); #193070=EDGE_CURVE('',#156652,#156650,#75862,.T.); #193071=EDGE_CURVE('',#156653,#156651,#75863,.T.); #193072=EDGE_CURVE('',#156652,#156653,#75864,.T.); #193073=EDGE_CURVE('',#156654,#156652,#75865,.T.); #193074=EDGE_CURVE('',#156655,#156653,#75866,.T.); #193075=EDGE_CURVE('',#156654,#156655,#75867,.T.); #193076=EDGE_CURVE('',#156656,#156654,#140022,.T.); #193077=EDGE_CURVE('',#156657,#156655,#140023,.T.); #193078=EDGE_CURVE('',#156656,#156657,#75868,.T.); #193079=EDGE_CURVE('',#156658,#156656,#75869,.T.); #193080=EDGE_CURVE('',#156659,#156657,#75870,.T.); #193081=EDGE_CURVE('',#156658,#156659,#75871,.T.); #193082=EDGE_CURVE('',#156660,#156658,#140024,.T.); #193083=EDGE_CURVE('',#156661,#156659,#140025,.T.); #193084=EDGE_CURVE('',#156660,#156661,#75872,.T.); #193085=EDGE_CURVE('',#156662,#156660,#75873,.T.); #193086=EDGE_CURVE('',#156663,#156661,#75874,.T.); #193087=EDGE_CURVE('',#156662,#156663,#75875,.T.); #193088=EDGE_CURVE('',#156664,#156662,#75876,.T.); #193089=EDGE_CURVE('',#156665,#156663,#75877,.T.); #193090=EDGE_CURVE('',#156664,#156665,#75878,.T.); #193091=EDGE_CURVE('',#156666,#156664,#75879,.T.); #193092=EDGE_CURVE('',#156667,#156665,#75880,.T.); #193093=EDGE_CURVE('',#156666,#156667,#75881,.T.); #193094=EDGE_CURVE('',#156668,#156666,#75882,.T.); #193095=EDGE_CURVE('',#156669,#156667,#75883,.T.); #193096=EDGE_CURVE('',#156668,#156669,#75884,.T.); #193097=EDGE_CURVE('',#156670,#156668,#75885,.T.); #193098=EDGE_CURVE('',#156671,#156669,#75886,.T.); #193099=EDGE_CURVE('',#156670,#156671,#75887,.T.); #193100=EDGE_CURVE('',#156672,#156670,#75888,.T.); #193101=EDGE_CURVE('',#156673,#156671,#75889,.T.); #193102=EDGE_CURVE('',#156672,#156673,#75890,.T.); #193103=EDGE_CURVE('',#156674,#156672,#75891,.T.); #193104=EDGE_CURVE('',#156675,#156673,#75892,.T.); #193105=EDGE_CURVE('',#156674,#156675,#75893,.T.); #193106=EDGE_CURVE('',#156676,#156674,#75894,.T.); #193107=EDGE_CURVE('',#156677,#156675,#75895,.T.); #193108=EDGE_CURVE('',#156676,#156677,#75896,.T.); #193109=EDGE_CURVE('',#156678,#156676,#75897,.T.); #193110=EDGE_CURVE('',#156679,#156677,#75898,.T.); #193111=EDGE_CURVE('',#156678,#156679,#75899,.T.); #193112=EDGE_CURVE('',#156680,#156678,#75900,.T.); #193113=EDGE_CURVE('',#156681,#156679,#75901,.T.); #193114=EDGE_CURVE('',#156680,#156681,#75902,.T.); #193115=EDGE_CURVE('',#156682,#156680,#75903,.T.); #193116=EDGE_CURVE('',#156683,#156681,#75904,.T.); #193117=EDGE_CURVE('',#156682,#156683,#75905,.T.); #193118=EDGE_CURVE('',#156684,#156682,#75906,.T.); #193119=EDGE_CURVE('',#156685,#156683,#75907,.T.); #193120=EDGE_CURVE('',#156684,#156685,#75908,.T.); #193121=EDGE_CURVE('',#156686,#156684,#75909,.T.); #193122=EDGE_CURVE('',#156687,#156685,#75910,.T.); #193123=EDGE_CURVE('',#156686,#156687,#75911,.T.); #193124=EDGE_CURVE('',#156688,#156686,#75912,.T.); #193125=EDGE_CURVE('',#156689,#156687,#75913,.T.); #193126=EDGE_CURVE('',#156688,#156689,#75914,.T.); #193127=EDGE_CURVE('',#156690,#156688,#75915,.T.); #193128=EDGE_CURVE('',#156691,#156689,#75916,.T.); #193129=EDGE_CURVE('',#156690,#156691,#75917,.T.); #193130=EDGE_CURVE('',#156692,#156690,#75918,.T.); #193131=EDGE_CURVE('',#156693,#156691,#75919,.T.); #193132=EDGE_CURVE('',#156692,#156693,#75920,.T.); #193133=EDGE_CURVE('',#156694,#156692,#75921,.T.); #193134=EDGE_CURVE('',#156695,#156693,#75922,.T.); #193135=EDGE_CURVE('',#156694,#156695,#75923,.T.); #193136=EDGE_CURVE('',#156696,#156694,#75924,.T.); #193137=EDGE_CURVE('',#156697,#156695,#75925,.T.); #193138=EDGE_CURVE('',#156696,#156697,#75926,.T.); #193139=EDGE_CURVE('',#156698,#156696,#75927,.T.); #193140=EDGE_CURVE('',#156699,#156697,#75928,.T.); #193141=EDGE_CURVE('',#156698,#156699,#75929,.T.); #193142=EDGE_CURVE('',#156700,#156698,#75930,.T.); #193143=EDGE_CURVE('',#156701,#156699,#75931,.T.); #193144=EDGE_CURVE('',#156700,#156701,#75932,.T.); #193145=EDGE_CURVE('',#156702,#156700,#75933,.T.); #193146=EDGE_CURVE('',#156703,#156701,#75934,.T.); #193147=EDGE_CURVE('',#156702,#156703,#75935,.T.); #193148=EDGE_CURVE('',#156704,#156702,#75936,.T.); #193149=EDGE_CURVE('',#156705,#156703,#75937,.T.); #193150=EDGE_CURVE('',#156704,#156705,#75938,.T.); #193151=EDGE_CURVE('',#156706,#156704,#75939,.T.); #193152=EDGE_CURVE('',#156707,#156705,#75940,.T.); #193153=EDGE_CURVE('',#156706,#156707,#75941,.T.); #193154=EDGE_CURVE('',#156708,#156706,#75942,.T.); #193155=EDGE_CURVE('',#156709,#156707,#75943,.T.); #193156=EDGE_CURVE('',#156708,#156709,#75944,.T.); #193157=EDGE_CURVE('',#156710,#156708,#75945,.T.); #193158=EDGE_CURVE('',#156711,#156709,#75946,.T.); #193159=EDGE_CURVE('',#156710,#156711,#75947,.T.); #193160=EDGE_CURVE('',#156712,#156710,#75948,.T.); #193161=EDGE_CURVE('',#156713,#156711,#75949,.T.); #193162=EDGE_CURVE('',#156712,#156713,#75950,.T.); #193163=EDGE_CURVE('',#156714,#156712,#75951,.T.); #193164=EDGE_CURVE('',#156715,#156713,#75952,.T.); #193165=EDGE_CURVE('',#156714,#156715,#75953,.T.); #193166=EDGE_CURVE('',#156716,#156714,#75954,.T.); #193167=EDGE_CURVE('',#156717,#156715,#75955,.T.); #193168=EDGE_CURVE('',#156716,#156717,#75956,.T.); #193169=EDGE_CURVE('',#156718,#156716,#75957,.T.); #193170=EDGE_CURVE('',#156719,#156717,#75958,.T.); #193171=EDGE_CURVE('',#156718,#156719,#75959,.T.); #193172=EDGE_CURVE('',#156720,#156718,#75960,.T.); #193173=EDGE_CURVE('',#156721,#156719,#75961,.T.); #193174=EDGE_CURVE('',#156720,#156721,#75962,.T.); #193175=EDGE_CURVE('',#156722,#156720,#75963,.T.); #193176=EDGE_CURVE('',#156723,#156721,#75964,.T.); #193177=EDGE_CURVE('',#156722,#156723,#75965,.T.); #193178=EDGE_CURVE('',#156724,#156722,#75966,.T.); #193179=EDGE_CURVE('',#156725,#156723,#75967,.T.); #193180=EDGE_CURVE('',#156724,#156725,#75968,.T.); #193181=EDGE_CURVE('',#156726,#156724,#75969,.T.); #193182=EDGE_CURVE('',#156727,#156725,#75970,.T.); #193183=EDGE_CURVE('',#156726,#156727,#75971,.T.); #193184=EDGE_CURVE('',#156728,#156726,#75972,.T.); #193185=EDGE_CURVE('',#156729,#156727,#75973,.T.); #193186=EDGE_CURVE('',#156728,#156729,#75974,.T.); #193187=EDGE_CURVE('',#156730,#156728,#75975,.T.); #193188=EDGE_CURVE('',#156731,#156729,#75976,.T.); #193189=EDGE_CURVE('',#156730,#156731,#75977,.T.); #193190=EDGE_CURVE('',#156732,#156730,#75978,.T.); #193191=EDGE_CURVE('',#156733,#156731,#75979,.T.); #193192=EDGE_CURVE('',#156732,#156733,#75980,.T.); #193193=EDGE_CURVE('',#156734,#156732,#75981,.T.); #193194=EDGE_CURVE('',#156735,#156733,#75982,.T.); #193195=EDGE_CURVE('',#156734,#156735,#75983,.T.); #193196=EDGE_CURVE('',#156736,#156734,#75984,.T.); #193197=EDGE_CURVE('',#156737,#156735,#75985,.T.); #193198=EDGE_CURVE('',#156736,#156737,#75986,.T.); #193199=EDGE_CURVE('',#156738,#156736,#75987,.T.); #193200=EDGE_CURVE('',#156739,#156737,#75988,.T.); #193201=EDGE_CURVE('',#156738,#156739,#75989,.T.); #193202=EDGE_CURVE('',#156740,#156738,#75990,.T.); #193203=EDGE_CURVE('',#156741,#156739,#75991,.T.); #193204=EDGE_CURVE('',#156740,#156741,#75992,.T.); #193205=EDGE_CURVE('',#156742,#156740,#75993,.T.); #193206=EDGE_CURVE('',#156743,#156741,#75994,.T.); #193207=EDGE_CURVE('',#156742,#156743,#75995,.T.); #193208=EDGE_CURVE('',#156744,#156742,#75996,.T.); #193209=EDGE_CURVE('',#156745,#156743,#75997,.T.); #193210=EDGE_CURVE('',#156744,#156745,#75998,.T.); #193211=EDGE_CURVE('',#156746,#156744,#75999,.T.); #193212=EDGE_CURVE('',#156747,#156745,#76000,.T.); #193213=EDGE_CURVE('',#156746,#156747,#76001,.T.); #193214=EDGE_CURVE('',#156748,#156746,#76002,.T.); #193215=EDGE_CURVE('',#156749,#156747,#76003,.T.); #193216=EDGE_CURVE('',#156748,#156749,#76004,.T.); #193217=EDGE_CURVE('',#156750,#156748,#76005,.T.); #193218=EDGE_CURVE('',#156751,#156749,#76006,.T.); #193219=EDGE_CURVE('',#156750,#156751,#76007,.T.); #193220=EDGE_CURVE('',#156752,#156750,#76008,.T.); #193221=EDGE_CURVE('',#156753,#156751,#76009,.T.); #193222=EDGE_CURVE('',#156752,#156753,#76010,.T.); #193223=EDGE_CURVE('',#156754,#156752,#76011,.T.); #193224=EDGE_CURVE('',#156755,#156753,#76012,.T.); #193225=EDGE_CURVE('',#156754,#156755,#76013,.T.); #193226=EDGE_CURVE('',#156756,#156754,#76014,.T.); #193227=EDGE_CURVE('',#156757,#156755,#76015,.T.); #193228=EDGE_CURVE('',#156756,#156757,#76016,.T.); #193229=EDGE_CURVE('',#156758,#156756,#76017,.T.); #193230=EDGE_CURVE('',#156759,#156757,#76018,.T.); #193231=EDGE_CURVE('',#156758,#156759,#76019,.T.); #193232=EDGE_CURVE('',#156760,#156758,#76020,.T.); #193233=EDGE_CURVE('',#156761,#156759,#76021,.T.); #193234=EDGE_CURVE('',#156760,#156761,#76022,.T.); #193235=EDGE_CURVE('',#156762,#156760,#76023,.T.); #193236=EDGE_CURVE('',#156763,#156761,#76024,.T.); #193237=EDGE_CURVE('',#156762,#156763,#76025,.T.); #193238=EDGE_CURVE('',#156764,#156762,#76026,.T.); #193239=EDGE_CURVE('',#156765,#156763,#76027,.T.); #193240=EDGE_CURVE('',#156764,#156765,#76028,.T.); #193241=EDGE_CURVE('',#156766,#156764,#76029,.T.); #193242=EDGE_CURVE('',#156767,#156765,#76030,.T.); #193243=EDGE_CURVE('',#156766,#156767,#76031,.T.); #193244=EDGE_CURVE('',#156768,#156766,#76032,.T.); #193245=EDGE_CURVE('',#156769,#156767,#76033,.T.); #193246=EDGE_CURVE('',#156768,#156769,#76034,.T.); #193247=EDGE_CURVE('',#156770,#156768,#76035,.T.); #193248=EDGE_CURVE('',#156771,#156769,#76036,.T.); #193249=EDGE_CURVE('',#156770,#156771,#76037,.T.); #193250=EDGE_CURVE('',#156772,#156770,#76038,.T.); #193251=EDGE_CURVE('',#156773,#156771,#76039,.T.); #193252=EDGE_CURVE('',#156772,#156773,#76040,.T.); #193253=EDGE_CURVE('',#156774,#156772,#76041,.T.); #193254=EDGE_CURVE('',#156775,#156773,#76042,.T.); #193255=EDGE_CURVE('',#156774,#156775,#76043,.T.); #193256=EDGE_CURVE('',#156776,#156774,#76044,.T.); #193257=EDGE_CURVE('',#156777,#156775,#76045,.T.); #193258=EDGE_CURVE('',#156776,#156777,#76046,.T.); #193259=EDGE_CURVE('',#156778,#156776,#76047,.T.); #193260=EDGE_CURVE('',#156779,#156777,#76048,.T.); #193261=EDGE_CURVE('',#156778,#156779,#76049,.T.); #193262=EDGE_CURVE('',#156780,#156778,#76050,.T.); #193263=EDGE_CURVE('',#156781,#156779,#76051,.T.); #193264=EDGE_CURVE('',#156780,#156781,#76052,.T.); #193265=EDGE_CURVE('',#156782,#156780,#76053,.T.); #193266=EDGE_CURVE('',#156783,#156781,#76054,.T.); #193267=EDGE_CURVE('',#156782,#156783,#76055,.T.); #193268=EDGE_CURVE('',#156784,#156782,#76056,.T.); #193269=EDGE_CURVE('',#156785,#156783,#76057,.T.); #193270=EDGE_CURVE('',#156784,#156785,#76058,.T.); #193271=EDGE_CURVE('',#156786,#156784,#76059,.T.); #193272=EDGE_CURVE('',#156787,#156785,#76060,.T.); #193273=EDGE_CURVE('',#156786,#156787,#76061,.T.); #193274=EDGE_CURVE('',#156788,#156786,#76062,.T.); #193275=EDGE_CURVE('',#156789,#156787,#76063,.T.); #193276=EDGE_CURVE('',#156788,#156789,#76064,.T.); #193277=EDGE_CURVE('',#156790,#156788,#76065,.T.); #193278=EDGE_CURVE('',#156791,#156789,#76066,.T.); #193279=EDGE_CURVE('',#156790,#156791,#76067,.T.); #193280=EDGE_CURVE('',#156792,#156790,#76068,.T.); #193281=EDGE_CURVE('',#156793,#156791,#76069,.T.); #193282=EDGE_CURVE('',#156792,#156793,#76070,.T.); #193283=EDGE_CURVE('',#156547,#156792,#76071,.T.); #193284=EDGE_CURVE('',#156548,#156793,#76072,.T.); #193285=EDGE_CURVE('',#156794,#156794,#140026,.T.); #193286=EDGE_CURVE('',#156794,#156795,#76073,.T.); #193287=EDGE_CURVE('',#156795,#156795,#140027,.T.); #193288=EDGE_CURVE('',#156796,#156797,#76074,.T.); #193289=EDGE_CURVE('',#156797,#156798,#76075,.T.); #193290=EDGE_CURVE('',#156799,#156798,#76076,.T.); #193291=EDGE_CURVE('',#156796,#156799,#76077,.T.); #193292=EDGE_CURVE('',#156800,#156796,#140028,.T.); #193293=EDGE_CURVE('',#156801,#156799,#140029,.T.); #193294=EDGE_CURVE('',#156800,#156801,#76078,.T.); #193295=EDGE_CURVE('',#156797,#156800,#140030,.T.); #193296=EDGE_CURVE('',#156798,#156801,#140031,.T.); #193297=EDGE_CURVE('',#156802,#156802,#140032,.T.); #193298=EDGE_CURVE('',#156802,#156803,#76079,.T.); #193299=EDGE_CURVE('',#156803,#156803,#140033,.T.); #193300=EDGE_CURVE('',#156804,#156805,#76080,.T.); #193301=EDGE_CURVE('',#156805,#156806,#76081,.T.); #193302=EDGE_CURVE('',#156807,#156806,#76082,.T.); #193303=EDGE_CURVE('',#156804,#156807,#76083,.T.); #193304=EDGE_CURVE('',#156808,#156804,#140034,.T.); #193305=EDGE_CURVE('',#156809,#156807,#140035,.T.); #193306=EDGE_CURVE('',#156808,#156809,#76084,.T.); #193307=EDGE_CURVE('',#156805,#156808,#140036,.T.); #193308=EDGE_CURVE('',#156806,#156809,#140037,.T.); #193309=EDGE_CURVE('',#156810,#156810,#140038,.T.); #193310=EDGE_CURVE('',#156810,#156811,#76085,.T.); #193311=EDGE_CURVE('',#156811,#156811,#140039,.T.); #193312=EDGE_CURVE('',#156812,#156813,#76086,.T.); #193313=EDGE_CURVE('',#156813,#156814,#76087,.T.); #193314=EDGE_CURVE('',#156815,#156814,#76088,.T.); #193315=EDGE_CURVE('',#156812,#156815,#76089,.T.); #193316=EDGE_CURVE('',#156816,#156812,#140040,.T.); #193317=EDGE_CURVE('',#156817,#156815,#140041,.T.); #193318=EDGE_CURVE('',#156816,#156817,#76090,.T.); #193319=EDGE_CURVE('',#156813,#156816,#140042,.T.); #193320=EDGE_CURVE('',#156814,#156817,#140043,.T.); #193321=EDGE_CURVE('',#156818,#156818,#140044,.T.); #193322=EDGE_CURVE('',#156818,#156819,#76091,.T.); #193323=EDGE_CURVE('',#156819,#156819,#140045,.T.); #193324=EDGE_CURVE('',#156820,#156821,#76092,.T.); #193325=EDGE_CURVE('',#156821,#156822,#76093,.T.); #193326=EDGE_CURVE('',#156823,#156822,#76094,.T.); #193327=EDGE_CURVE('',#156820,#156823,#76095,.T.); #193328=EDGE_CURVE('',#156824,#156820,#76096,.T.); #193329=EDGE_CURVE('',#156825,#156823,#76097,.T.); #193330=EDGE_CURVE('',#156824,#156825,#76098,.T.); #193331=EDGE_CURVE('',#156826,#156824,#76099,.T.); #193332=EDGE_CURVE('',#156827,#156825,#76100,.T.); #193333=EDGE_CURVE('',#156826,#156827,#76101,.T.); #193334=EDGE_CURVE('',#156828,#156826,#76102,.T.); #193335=EDGE_CURVE('',#156829,#156827,#76103,.T.); #193336=EDGE_CURVE('',#156828,#156829,#76104,.T.); #193337=EDGE_CURVE('',#156830,#156828,#76105,.T.); #193338=EDGE_CURVE('',#156831,#156829,#76106,.T.); #193339=EDGE_CURVE('',#156830,#156831,#76107,.T.); #193340=EDGE_CURVE('',#156832,#156830,#76108,.T.); #193341=EDGE_CURVE('',#156833,#156831,#76109,.T.); #193342=EDGE_CURVE('',#156832,#156833,#76110,.T.); #193343=EDGE_CURVE('',#156834,#156832,#76111,.T.); #193344=EDGE_CURVE('',#156835,#156833,#76112,.T.); #193345=EDGE_CURVE('',#156834,#156835,#76113,.T.); #193346=EDGE_CURVE('',#156821,#156834,#76114,.T.); #193347=EDGE_CURVE('',#156822,#156835,#76115,.T.); #193348=EDGE_CURVE('',#156836,#156836,#140046,.T.); #193349=EDGE_CURVE('',#156836,#156837,#76116,.T.); #193350=EDGE_CURVE('',#156837,#156837,#140047,.T.); #193351=EDGE_CURVE('',#156838,#156839,#76117,.T.); #193352=EDGE_CURVE('',#156839,#156840,#76118,.T.); #193353=EDGE_CURVE('',#156841,#156840,#76119,.T.); #193354=EDGE_CURVE('',#156838,#156841,#76120,.T.); #193355=EDGE_CURVE('',#156842,#156838,#140048,.T.); #193356=EDGE_CURVE('',#156843,#156841,#140049,.T.); #193357=EDGE_CURVE('',#156842,#156843,#76121,.T.); #193358=EDGE_CURVE('',#156839,#156842,#140050,.T.); #193359=EDGE_CURVE('',#156840,#156843,#140051,.T.); #193360=EDGE_CURVE('',#156844,#156844,#140052,.T.); #193361=EDGE_CURVE('',#156844,#156845,#76122,.T.); #193362=EDGE_CURVE('',#156845,#156845,#140053,.T.); #193363=EDGE_CURVE('',#156846,#156847,#76123,.T.); #193364=EDGE_CURVE('',#156847,#156848,#76124,.T.); #193365=EDGE_CURVE('',#156849,#156848,#76125,.T.); #193366=EDGE_CURVE('',#156846,#156849,#76126,.T.); #193367=EDGE_CURVE('',#156850,#156846,#76127,.T.); #193368=EDGE_CURVE('',#156851,#156849,#76128,.T.); #193369=EDGE_CURVE('',#156850,#156851,#76129,.T.); #193370=EDGE_CURVE('',#156852,#156850,#76130,.T.); #193371=EDGE_CURVE('',#156853,#156851,#76131,.T.); #193372=EDGE_CURVE('',#156852,#156853,#76132,.T.); #193373=EDGE_CURVE('',#156847,#156852,#76133,.T.); #193374=EDGE_CURVE('',#156848,#156853,#76134,.T.); #193375=EDGE_CURVE('',#156854,#156854,#140054,.T.); #193376=EDGE_CURVE('',#156854,#156855,#76135,.T.); #193377=EDGE_CURVE('',#156855,#156855,#140055,.T.); #193378=EDGE_CURVE('',#156856,#156857,#76136,.T.); #193379=EDGE_CURVE('',#156857,#156858,#76137,.T.); #193380=EDGE_CURVE('',#156859,#156858,#76138,.T.); #193381=EDGE_CURVE('',#156856,#156859,#76139,.T.); #193382=EDGE_CURVE('',#156860,#156856,#140056,.T.); #193383=EDGE_CURVE('',#156861,#156859,#140057,.T.); #193384=EDGE_CURVE('',#156860,#156861,#76140,.T.); #193385=EDGE_CURVE('',#156857,#156860,#140058,.T.); #193386=EDGE_CURVE('',#156858,#156861,#140059,.T.); #193387=EDGE_CURVE('',#156862,#156863,#76141,.T.); #193388=EDGE_CURVE('',#156864,#156862,#76142,.T.); #193389=EDGE_CURVE('',#156865,#156864,#76143,.T.); #193390=EDGE_CURVE('',#156865,#156863,#76144,.T.); #193391=EDGE_CURVE('',#156863,#156866,#140060,.T.); #193392=EDGE_CURVE('',#156867,#156865,#140061,.T.); #193393=EDGE_CURVE('',#156867,#156866,#76145,.T.); #193394=EDGE_CURVE('',#156866,#156868,#76146,.T.); #193395=EDGE_CURVE('',#156869,#156867,#76147,.T.); #193396=EDGE_CURVE('',#156869,#156868,#76148,.T.); #193397=EDGE_CURVE('',#156868,#156862,#140062,.T.); #193398=EDGE_CURVE('',#156864,#156869,#140063,.T.); #193399=EDGE_CURVE('',#156870,#156871,#76149,.T.); #193400=EDGE_CURVE('',#156871,#156872,#76150,.T.); #193401=EDGE_CURVE('',#156873,#156872,#76151,.T.); #193402=EDGE_CURVE('',#156870,#156873,#76152,.T.); #193403=EDGE_CURVE('',#156874,#156870,#76153,.T.); #193404=EDGE_CURVE('',#156875,#156873,#76154,.T.); #193405=EDGE_CURVE('',#156874,#156875,#76155,.T.); #193406=EDGE_CURVE('',#156876,#156874,#76156,.T.); #193407=EDGE_CURVE('',#156877,#156875,#76157,.T.); #193408=EDGE_CURVE('',#156876,#156877,#76158,.T.); #193409=EDGE_CURVE('',#156878,#156876,#76159,.T.); #193410=EDGE_CURVE('',#156879,#156877,#76160,.T.); #193411=EDGE_CURVE('',#156878,#156879,#76161,.T.); #193412=EDGE_CURVE('',#156880,#156878,#76162,.T.); #193413=EDGE_CURVE('',#156881,#156879,#76163,.T.); #193414=EDGE_CURVE('',#156880,#156881,#76164,.T.); #193415=EDGE_CURVE('',#156882,#156880,#76165,.T.); #193416=EDGE_CURVE('',#156883,#156881,#76166,.T.); #193417=EDGE_CURVE('',#156882,#156883,#76167,.T.); #193418=EDGE_CURVE('',#156884,#156882,#76168,.T.); #193419=EDGE_CURVE('',#156885,#156883,#76169,.T.); #193420=EDGE_CURVE('',#156884,#156885,#76170,.T.); #193421=EDGE_CURVE('',#156886,#156884,#76171,.T.); #193422=EDGE_CURVE('',#156887,#156885,#76172,.T.); #193423=EDGE_CURVE('',#156886,#156887,#76173,.T.); #193424=EDGE_CURVE('',#156888,#156886,#76174,.T.); #193425=EDGE_CURVE('',#156889,#156887,#76175,.T.); #193426=EDGE_CURVE('',#156888,#156889,#76176,.T.); #193427=EDGE_CURVE('',#156890,#156888,#76177,.T.); #193428=EDGE_CURVE('',#156891,#156889,#76178,.T.); #193429=EDGE_CURVE('',#156890,#156891,#76179,.T.); #193430=EDGE_CURVE('',#156892,#156890,#76180,.T.); #193431=EDGE_CURVE('',#156893,#156891,#76181,.T.); #193432=EDGE_CURVE('',#156892,#156893,#76182,.T.); #193433=EDGE_CURVE('',#156894,#156892,#76183,.T.); #193434=EDGE_CURVE('',#156895,#156893,#76184,.T.); #193435=EDGE_CURVE('',#156894,#156895,#76185,.T.); #193436=EDGE_CURVE('',#156896,#156894,#76186,.T.); #193437=EDGE_CURVE('',#156897,#156895,#76187,.T.); #193438=EDGE_CURVE('',#156896,#156897,#76188,.T.); #193439=EDGE_CURVE('',#156898,#156896,#76189,.T.); #193440=EDGE_CURVE('',#156899,#156897,#76190,.T.); #193441=EDGE_CURVE('',#156898,#156899,#76191,.T.); #193442=EDGE_CURVE('',#156900,#156898,#76192,.T.); #193443=EDGE_CURVE('',#156901,#156899,#76193,.T.); #193444=EDGE_CURVE('',#156900,#156901,#76194,.T.); #193445=EDGE_CURVE('',#156902,#156900,#76195,.T.); #193446=EDGE_CURVE('',#156903,#156901,#76196,.T.); #193447=EDGE_CURVE('',#156902,#156903,#76197,.T.); #193448=EDGE_CURVE('',#156904,#156902,#76198,.T.); #193449=EDGE_CURVE('',#156905,#156903,#76199,.T.); #193450=EDGE_CURVE('',#156904,#156905,#76200,.T.); #193451=EDGE_CURVE('',#156906,#156904,#76201,.T.); #193452=EDGE_CURVE('',#156907,#156905,#76202,.T.); #193453=EDGE_CURVE('',#156906,#156907,#76203,.T.); #193454=EDGE_CURVE('',#156908,#156906,#76204,.T.); #193455=EDGE_CURVE('',#156909,#156907,#76205,.T.); #193456=EDGE_CURVE('',#156908,#156909,#76206,.T.); #193457=EDGE_CURVE('',#156910,#156908,#76207,.T.); #193458=EDGE_CURVE('',#156911,#156909,#76208,.T.); #193459=EDGE_CURVE('',#156910,#156911,#76209,.T.); #193460=EDGE_CURVE('',#156912,#156910,#76210,.T.); #193461=EDGE_CURVE('',#156913,#156911,#76211,.T.); #193462=EDGE_CURVE('',#156912,#156913,#76212,.T.); #193463=EDGE_CURVE('',#156914,#156912,#76213,.T.); #193464=EDGE_CURVE('',#156915,#156913,#76214,.T.); #193465=EDGE_CURVE('',#156914,#156915,#76215,.T.); #193466=EDGE_CURVE('',#156916,#156914,#76216,.T.); #193467=EDGE_CURVE('',#156917,#156915,#76217,.T.); #193468=EDGE_CURVE('',#156916,#156917,#76218,.T.); #193469=EDGE_CURVE('',#156918,#156916,#76219,.T.); #193470=EDGE_CURVE('',#156919,#156917,#76220,.T.); #193471=EDGE_CURVE('',#156918,#156919,#76221,.T.); #193472=EDGE_CURVE('',#156920,#156918,#76222,.T.); #193473=EDGE_CURVE('',#156921,#156919,#76223,.T.); #193474=EDGE_CURVE('',#156920,#156921,#76224,.T.); #193475=EDGE_CURVE('',#156922,#156920,#76225,.T.); #193476=EDGE_CURVE('',#156923,#156921,#76226,.T.); #193477=EDGE_CURVE('',#156922,#156923,#76227,.T.); #193478=EDGE_CURVE('',#156924,#156922,#76228,.T.); #193479=EDGE_CURVE('',#156925,#156923,#76229,.T.); #193480=EDGE_CURVE('',#156924,#156925,#76230,.T.); #193481=EDGE_CURVE('',#156926,#156924,#76231,.T.); #193482=EDGE_CURVE('',#156927,#156925,#76232,.T.); #193483=EDGE_CURVE('',#156926,#156927,#76233,.T.); #193484=EDGE_CURVE('',#156928,#156926,#76234,.T.); #193485=EDGE_CURVE('',#156929,#156927,#76235,.T.); #193486=EDGE_CURVE('',#156928,#156929,#76236,.T.); #193487=EDGE_CURVE('',#156930,#156928,#76237,.T.); #193488=EDGE_CURVE('',#156931,#156929,#76238,.T.); #193489=EDGE_CURVE('',#156930,#156931,#76239,.T.); #193490=EDGE_CURVE('',#156932,#156930,#76240,.T.); #193491=EDGE_CURVE('',#156933,#156931,#76241,.T.); #193492=EDGE_CURVE('',#156932,#156933,#76242,.T.); #193493=EDGE_CURVE('',#156934,#156932,#76243,.T.); #193494=EDGE_CURVE('',#156935,#156933,#76244,.T.); #193495=EDGE_CURVE('',#156934,#156935,#76245,.T.); #193496=EDGE_CURVE('',#156936,#156934,#76246,.T.); #193497=EDGE_CURVE('',#156937,#156935,#76247,.T.); #193498=EDGE_CURVE('',#156936,#156937,#76248,.T.); #193499=EDGE_CURVE('',#156938,#156936,#76249,.T.); #193500=EDGE_CURVE('',#156939,#156937,#76250,.T.); #193501=EDGE_CURVE('',#156938,#156939,#76251,.T.); #193502=EDGE_CURVE('',#156940,#156938,#76252,.T.); #193503=EDGE_CURVE('',#156941,#156939,#76253,.T.); #193504=EDGE_CURVE('',#156940,#156941,#76254,.T.); #193505=EDGE_CURVE('',#156942,#156940,#76255,.T.); #193506=EDGE_CURVE('',#156943,#156941,#76256,.T.); #193507=EDGE_CURVE('',#156942,#156943,#76257,.T.); #193508=EDGE_CURVE('',#156944,#156942,#76258,.T.); #193509=EDGE_CURVE('',#156945,#156943,#76259,.T.); #193510=EDGE_CURVE('',#156944,#156945,#76260,.T.); #193511=EDGE_CURVE('',#156946,#156944,#76261,.T.); #193512=EDGE_CURVE('',#156947,#156945,#76262,.T.); #193513=EDGE_CURVE('',#156946,#156947,#76263,.T.); #193514=EDGE_CURVE('',#156948,#156946,#76264,.T.); #193515=EDGE_CURVE('',#156949,#156947,#76265,.T.); #193516=EDGE_CURVE('',#156948,#156949,#76266,.T.); #193517=EDGE_CURVE('',#156950,#156948,#76267,.T.); #193518=EDGE_CURVE('',#156951,#156949,#76268,.T.); #193519=EDGE_CURVE('',#156950,#156951,#76269,.T.); #193520=EDGE_CURVE('',#156952,#156950,#76270,.T.); #193521=EDGE_CURVE('',#156953,#156951,#76271,.T.); #193522=EDGE_CURVE('',#156952,#156953,#76272,.T.); #193523=EDGE_CURVE('',#156954,#156952,#76273,.T.); #193524=EDGE_CURVE('',#156955,#156953,#76274,.T.); #193525=EDGE_CURVE('',#156954,#156955,#76275,.T.); #193526=EDGE_CURVE('',#156956,#156954,#76276,.T.); #193527=EDGE_CURVE('',#156957,#156955,#76277,.T.); #193528=EDGE_CURVE('',#156956,#156957,#76278,.T.); #193529=EDGE_CURVE('',#156958,#156956,#76279,.T.); #193530=EDGE_CURVE('',#156959,#156957,#76280,.T.); #193531=EDGE_CURVE('',#156958,#156959,#76281,.T.); #193532=EDGE_CURVE('',#156960,#156958,#76282,.T.); #193533=EDGE_CURVE('',#156961,#156959,#76283,.T.); #193534=EDGE_CURVE('',#156960,#156961,#76284,.T.); #193535=EDGE_CURVE('',#156962,#156960,#76285,.T.); #193536=EDGE_CURVE('',#156963,#156961,#76286,.T.); #193537=EDGE_CURVE('',#156962,#156963,#76287,.T.); #193538=EDGE_CURVE('',#156964,#156962,#76288,.T.); #193539=EDGE_CURVE('',#156965,#156963,#76289,.T.); #193540=EDGE_CURVE('',#156964,#156965,#76290,.T.); #193541=EDGE_CURVE('',#156966,#156964,#76291,.T.); #193542=EDGE_CURVE('',#156967,#156965,#76292,.T.); #193543=EDGE_CURVE('',#156966,#156967,#76293,.T.); #193544=EDGE_CURVE('',#156968,#156966,#76294,.T.); #193545=EDGE_CURVE('',#156969,#156967,#76295,.T.); #193546=EDGE_CURVE('',#156968,#156969,#76296,.T.); #193547=EDGE_CURVE('',#156970,#156968,#76297,.T.); #193548=EDGE_CURVE('',#156971,#156969,#76298,.T.); #193549=EDGE_CURVE('',#156970,#156971,#76299,.T.); #193550=EDGE_CURVE('',#156972,#156970,#76300,.T.); #193551=EDGE_CURVE('',#156973,#156971,#76301,.T.); #193552=EDGE_CURVE('',#156972,#156973,#76302,.T.); #193553=EDGE_CURVE('',#156974,#156972,#76303,.T.); #193554=EDGE_CURVE('',#156975,#156973,#76304,.T.); #193555=EDGE_CURVE('',#156974,#156975,#76305,.T.); #193556=EDGE_CURVE('',#156976,#156974,#76306,.T.); #193557=EDGE_CURVE('',#156977,#156975,#76307,.T.); #193558=EDGE_CURVE('',#156976,#156977,#76308,.T.); #193559=EDGE_CURVE('',#156978,#156976,#76309,.T.); #193560=EDGE_CURVE('',#156979,#156977,#76310,.T.); #193561=EDGE_CURVE('',#156978,#156979,#76311,.T.); #193562=EDGE_CURVE('',#156980,#156978,#76312,.T.); #193563=EDGE_CURVE('',#156981,#156979,#76313,.T.); #193564=EDGE_CURVE('',#156980,#156981,#76314,.T.); #193565=EDGE_CURVE('',#156871,#156980,#76315,.T.); #193566=EDGE_CURVE('',#156872,#156981,#76316,.T.); #193567=EDGE_CURVE('',#156982,#156982,#140064,.T.); #193568=EDGE_CURVE('',#156982,#156983,#76317,.T.); #193569=EDGE_CURVE('',#156983,#156983,#140065,.T.); #193570=EDGE_CURVE('',#156984,#156985,#76318,.T.); #193571=EDGE_CURVE('',#156985,#156986,#76319,.T.); #193572=EDGE_CURVE('',#156987,#156986,#76320,.T.); #193573=EDGE_CURVE('',#156984,#156987,#76321,.T.); #193574=EDGE_CURVE('',#156988,#156984,#140066,.T.); #193575=EDGE_CURVE('',#156989,#156987,#140067,.T.); #193576=EDGE_CURVE('',#156988,#156989,#76322,.T.); #193577=EDGE_CURVE('',#156985,#156988,#140068,.T.); #193578=EDGE_CURVE('',#156986,#156989,#140069,.T.); #193579=EDGE_CURVE('',#156990,#156991,#76323,.T.); #193580=EDGE_CURVE('',#156992,#156990,#76324,.T.); #193581=EDGE_CURVE('',#156993,#156992,#76325,.T.); #193582=EDGE_CURVE('',#156993,#156991,#76326,.T.); #193583=EDGE_CURVE('',#156991,#156994,#140070,.T.); #193584=EDGE_CURVE('',#156995,#156993,#140071,.T.); #193585=EDGE_CURVE('',#156995,#156994,#76327,.T.); #193586=EDGE_CURVE('',#156994,#156996,#76328,.T.); #193587=EDGE_CURVE('',#156997,#156995,#76329,.T.); #193588=EDGE_CURVE('',#156997,#156996,#76330,.T.); #193589=EDGE_CURVE('',#156996,#156990,#140072,.T.); #193590=EDGE_CURVE('',#156992,#156997,#140073,.T.); #193591=EDGE_CURVE('',#156998,#156999,#76331,.T.); #193592=EDGE_CURVE('',#156999,#157000,#76332,.T.); #193593=EDGE_CURVE('',#157001,#157000,#76333,.T.); #193594=EDGE_CURVE('',#156998,#157001,#76334,.T.); #193595=EDGE_CURVE('',#157002,#156998,#76335,.T.); #193596=EDGE_CURVE('',#157003,#157001,#76336,.T.); #193597=EDGE_CURVE('',#157002,#157003,#76337,.T.); #193598=EDGE_CURVE('',#157004,#157002,#76338,.T.); #193599=EDGE_CURVE('',#157005,#157003,#76339,.T.); #193600=EDGE_CURVE('',#157004,#157005,#76340,.T.); #193601=EDGE_CURVE('',#157006,#157004,#76341,.T.); #193602=EDGE_CURVE('',#157007,#157005,#76342,.T.); #193603=EDGE_CURVE('',#157006,#157007,#76343,.T.); #193604=EDGE_CURVE('',#157008,#157006,#76344,.T.); #193605=EDGE_CURVE('',#157009,#157007,#76345,.T.); #193606=EDGE_CURVE('',#157008,#157009,#76346,.T.); #193607=EDGE_CURVE('',#157010,#157008,#76347,.T.); #193608=EDGE_CURVE('',#157011,#157009,#76348,.T.); #193609=EDGE_CURVE('',#157010,#157011,#76349,.T.); #193610=EDGE_CURVE('',#157012,#157010,#76350,.T.); #193611=EDGE_CURVE('',#157013,#157011,#76351,.T.); #193612=EDGE_CURVE('',#157012,#157013,#76352,.T.); #193613=EDGE_CURVE('',#157014,#157012,#76353,.T.); #193614=EDGE_CURVE('',#157015,#157013,#76354,.T.); #193615=EDGE_CURVE('',#157014,#157015,#76355,.T.); #193616=EDGE_CURVE('',#157016,#157014,#76356,.T.); #193617=EDGE_CURVE('',#157017,#157015,#76357,.T.); #193618=EDGE_CURVE('',#157016,#157017,#76358,.T.); #193619=EDGE_CURVE('',#157018,#157016,#76359,.T.); #193620=EDGE_CURVE('',#157019,#157017,#76360,.T.); #193621=EDGE_CURVE('',#157018,#157019,#76361,.T.); #193622=EDGE_CURVE('',#157020,#157018,#76362,.T.); #193623=EDGE_CURVE('',#157021,#157019,#76363,.T.); #193624=EDGE_CURVE('',#157020,#157021,#76364,.T.); #193625=EDGE_CURVE('',#157022,#157020,#76365,.T.); #193626=EDGE_CURVE('',#157023,#157021,#76366,.T.); #193627=EDGE_CURVE('',#157022,#157023,#76367,.T.); #193628=EDGE_CURVE('',#157024,#157022,#76368,.T.); #193629=EDGE_CURVE('',#157025,#157023,#76369,.T.); #193630=EDGE_CURVE('',#157024,#157025,#76370,.T.); #193631=EDGE_CURVE('',#157026,#157024,#76371,.T.); #193632=EDGE_CURVE('',#157027,#157025,#76372,.T.); #193633=EDGE_CURVE('',#157026,#157027,#76373,.T.); #193634=EDGE_CURVE('',#157028,#157026,#76374,.T.); #193635=EDGE_CURVE('',#157029,#157027,#76375,.T.); #193636=EDGE_CURVE('',#157028,#157029,#76376,.T.); #193637=EDGE_CURVE('',#157030,#157028,#76377,.T.); #193638=EDGE_CURVE('',#157031,#157029,#76378,.T.); #193639=EDGE_CURVE('',#157030,#157031,#76379,.T.); #193640=EDGE_CURVE('',#157032,#157030,#76380,.T.); #193641=EDGE_CURVE('',#157033,#157031,#76381,.T.); #193642=EDGE_CURVE('',#157032,#157033,#76382,.T.); #193643=EDGE_CURVE('',#157034,#157032,#76383,.T.); #193644=EDGE_CURVE('',#157035,#157033,#76384,.T.); #193645=EDGE_CURVE('',#157034,#157035,#76385,.T.); #193646=EDGE_CURVE('',#157036,#157034,#76386,.T.); #193647=EDGE_CURVE('',#157037,#157035,#76387,.T.); #193648=EDGE_CURVE('',#157036,#157037,#76388,.T.); #193649=EDGE_CURVE('',#157038,#157036,#76389,.T.); #193650=EDGE_CURVE('',#157039,#157037,#76390,.T.); #193651=EDGE_CURVE('',#157038,#157039,#76391,.T.); #193652=EDGE_CURVE('',#157040,#157038,#76392,.T.); #193653=EDGE_CURVE('',#157041,#157039,#76393,.T.); #193654=EDGE_CURVE('',#157040,#157041,#76394,.T.); #193655=EDGE_CURVE('',#157042,#157040,#76395,.T.); #193656=EDGE_CURVE('',#157043,#157041,#76396,.T.); #193657=EDGE_CURVE('',#157042,#157043,#76397,.T.); #193658=EDGE_CURVE('',#157044,#157042,#76398,.T.); #193659=EDGE_CURVE('',#157045,#157043,#76399,.T.); #193660=EDGE_CURVE('',#157044,#157045,#76400,.T.); #193661=EDGE_CURVE('',#157046,#157044,#76401,.T.); #193662=EDGE_CURVE('',#157047,#157045,#76402,.T.); #193663=EDGE_CURVE('',#157046,#157047,#76403,.T.); #193664=EDGE_CURVE('',#157048,#157046,#76404,.T.); #193665=EDGE_CURVE('',#157049,#157047,#76405,.T.); #193666=EDGE_CURVE('',#157048,#157049,#76406,.T.); #193667=EDGE_CURVE('',#157050,#157048,#76407,.T.); #193668=EDGE_CURVE('',#157051,#157049,#76408,.T.); #193669=EDGE_CURVE('',#157050,#157051,#76409,.T.); #193670=EDGE_CURVE('',#157052,#157050,#76410,.T.); #193671=EDGE_CURVE('',#157053,#157051,#76411,.T.); #193672=EDGE_CURVE('',#157052,#157053,#76412,.T.); #193673=EDGE_CURVE('',#157054,#157052,#76413,.T.); #193674=EDGE_CURVE('',#157055,#157053,#76414,.T.); #193675=EDGE_CURVE('',#157054,#157055,#76415,.T.); #193676=EDGE_CURVE('',#157056,#157054,#76416,.T.); #193677=EDGE_CURVE('',#157057,#157055,#76417,.T.); #193678=EDGE_CURVE('',#157056,#157057,#76418,.T.); #193679=EDGE_CURVE('',#157058,#157056,#76419,.T.); #193680=EDGE_CURVE('',#157059,#157057,#76420,.T.); #193681=EDGE_CURVE('',#157058,#157059,#76421,.T.); #193682=EDGE_CURVE('',#157060,#157058,#76422,.T.); #193683=EDGE_CURVE('',#157061,#157059,#76423,.T.); #193684=EDGE_CURVE('',#157060,#157061,#76424,.T.); #193685=EDGE_CURVE('',#157062,#157060,#76425,.T.); #193686=EDGE_CURVE('',#157063,#157061,#76426,.T.); #193687=EDGE_CURVE('',#157062,#157063,#76427,.T.); #193688=EDGE_CURVE('',#157064,#157062,#76428,.T.); #193689=EDGE_CURVE('',#157065,#157063,#76429,.T.); #193690=EDGE_CURVE('',#157064,#157065,#76430,.T.); #193691=EDGE_CURVE('',#157066,#157064,#76431,.T.); #193692=EDGE_CURVE('',#157067,#157065,#76432,.T.); #193693=EDGE_CURVE('',#157066,#157067,#76433,.T.); #193694=EDGE_CURVE('',#157068,#157066,#76434,.T.); #193695=EDGE_CURVE('',#157069,#157067,#76435,.T.); #193696=EDGE_CURVE('',#157068,#157069,#76436,.T.); #193697=EDGE_CURVE('',#157070,#157068,#76437,.T.); #193698=EDGE_CURVE('',#157071,#157069,#76438,.T.); #193699=EDGE_CURVE('',#157070,#157071,#76439,.T.); #193700=EDGE_CURVE('',#157072,#157070,#76440,.T.); #193701=EDGE_CURVE('',#157073,#157071,#76441,.T.); #193702=EDGE_CURVE('',#157072,#157073,#76442,.T.); #193703=EDGE_CURVE('',#157074,#157072,#76443,.T.); #193704=EDGE_CURVE('',#157075,#157073,#76444,.T.); #193705=EDGE_CURVE('',#157074,#157075,#76445,.T.); #193706=EDGE_CURVE('',#157076,#157074,#76446,.T.); #193707=EDGE_CURVE('',#157077,#157075,#76447,.T.); #193708=EDGE_CURVE('',#157076,#157077,#76448,.T.); #193709=EDGE_CURVE('',#157078,#157076,#76449,.T.); #193710=EDGE_CURVE('',#157079,#157077,#76450,.T.); #193711=EDGE_CURVE('',#157078,#157079,#76451,.T.); #193712=EDGE_CURVE('',#157080,#157078,#76452,.T.); #193713=EDGE_CURVE('',#157081,#157079,#76453,.T.); #193714=EDGE_CURVE('',#157080,#157081,#76454,.T.); #193715=EDGE_CURVE('',#157082,#157080,#76455,.T.); #193716=EDGE_CURVE('',#157083,#157081,#76456,.T.); #193717=EDGE_CURVE('',#157082,#157083,#76457,.T.); #193718=EDGE_CURVE('',#157084,#157082,#76458,.T.); #193719=EDGE_CURVE('',#157085,#157083,#76459,.T.); #193720=EDGE_CURVE('',#157084,#157085,#76460,.T.); #193721=EDGE_CURVE('',#157086,#157084,#76461,.T.); #193722=EDGE_CURVE('',#157087,#157085,#76462,.T.); #193723=EDGE_CURVE('',#157086,#157087,#76463,.T.); #193724=EDGE_CURVE('',#157088,#157086,#76464,.T.); #193725=EDGE_CURVE('',#157089,#157087,#76465,.T.); #193726=EDGE_CURVE('',#157088,#157089,#76466,.T.); #193727=EDGE_CURVE('',#157090,#157088,#76467,.T.); #193728=EDGE_CURVE('',#157091,#157089,#76468,.T.); #193729=EDGE_CURVE('',#157090,#157091,#76469,.T.); #193730=EDGE_CURVE('',#157092,#157090,#76470,.T.); #193731=EDGE_CURVE('',#157093,#157091,#76471,.T.); #193732=EDGE_CURVE('',#157092,#157093,#76472,.T.); #193733=EDGE_CURVE('',#157094,#157092,#76473,.T.); #193734=EDGE_CURVE('',#157095,#157093,#76474,.T.); #193735=EDGE_CURVE('',#157094,#157095,#76475,.T.); #193736=EDGE_CURVE('',#157096,#157094,#76476,.T.); #193737=EDGE_CURVE('',#157097,#157095,#76477,.T.); #193738=EDGE_CURVE('',#157096,#157097,#76478,.T.); #193739=EDGE_CURVE('',#157098,#157096,#76479,.T.); #193740=EDGE_CURVE('',#157099,#157097,#76480,.T.); #193741=EDGE_CURVE('',#157098,#157099,#76481,.T.); #193742=EDGE_CURVE('',#157100,#157098,#76482,.T.); #193743=EDGE_CURVE('',#157101,#157099,#76483,.T.); #193744=EDGE_CURVE('',#157100,#157101,#76484,.T.); #193745=EDGE_CURVE('',#157102,#157100,#76485,.T.); #193746=EDGE_CURVE('',#157103,#157101,#76486,.T.); #193747=EDGE_CURVE('',#157102,#157103,#76487,.T.); #193748=EDGE_CURVE('',#157104,#157102,#76488,.T.); #193749=EDGE_CURVE('',#157105,#157103,#76489,.T.); #193750=EDGE_CURVE('',#157104,#157105,#76490,.T.); #193751=EDGE_CURVE('',#157106,#157104,#76491,.T.); #193752=EDGE_CURVE('',#157107,#157105,#76492,.T.); #193753=EDGE_CURVE('',#157106,#157107,#76493,.T.); #193754=EDGE_CURVE('',#157108,#157106,#76494,.T.); #193755=EDGE_CURVE('',#157109,#157107,#76495,.T.); #193756=EDGE_CURVE('',#157108,#157109,#76496,.T.); #193757=EDGE_CURVE('',#156999,#157108,#76497,.T.); #193758=EDGE_CURVE('',#157000,#157109,#76498,.T.); #193759=EDGE_CURVE('',#157110,#157111,#76499,.T.); #193760=EDGE_CURVE('',#157112,#157110,#76500,.T.); #193761=EDGE_CURVE('',#157113,#157112,#76501,.T.); #193762=EDGE_CURVE('',#157113,#157111,#76502,.T.); #193763=EDGE_CURVE('',#157111,#157114,#140074,.T.); #193764=EDGE_CURVE('',#157115,#157113,#140075,.T.); #193765=EDGE_CURVE('',#157115,#157114,#76503,.T.); #193766=EDGE_CURVE('',#157114,#157116,#76504,.T.); #193767=EDGE_CURVE('',#157117,#157115,#76505,.T.); #193768=EDGE_CURVE('',#157117,#157116,#76506,.T.); #193769=EDGE_CURVE('',#157116,#157110,#140076,.T.); #193770=EDGE_CURVE('',#157112,#157117,#140077,.T.); #193771=EDGE_CURVE('',#157118,#157119,#76507,.T.); #193772=EDGE_CURVE('',#157119,#157120,#76508,.T.); #193773=EDGE_CURVE('',#157121,#157120,#76509,.T.); #193774=EDGE_CURVE('',#157118,#157121,#76510,.T.); #193775=EDGE_CURVE('',#157122,#157118,#76511,.T.); #193776=EDGE_CURVE('',#157123,#157121,#76512,.T.); #193777=EDGE_CURVE('',#157122,#157123,#76513,.T.); #193778=EDGE_CURVE('',#157124,#157122,#76514,.T.); #193779=EDGE_CURVE('',#157125,#157123,#76515,.T.); #193780=EDGE_CURVE('',#157124,#157125,#76516,.T.); #193781=EDGE_CURVE('',#157126,#157124,#76517,.T.); #193782=EDGE_CURVE('',#157127,#157125,#76518,.T.); #193783=EDGE_CURVE('',#157126,#157127,#76519,.T.); #193784=EDGE_CURVE('',#157128,#157126,#76520,.T.); #193785=EDGE_CURVE('',#157129,#157127,#76521,.T.); #193786=EDGE_CURVE('',#157128,#157129,#76522,.T.); #193787=EDGE_CURVE('',#157130,#157128,#76523,.T.); #193788=EDGE_CURVE('',#157131,#157129,#76524,.T.); #193789=EDGE_CURVE('',#157130,#157131,#76525,.T.); #193790=EDGE_CURVE('',#157132,#157130,#76526,.T.); #193791=EDGE_CURVE('',#157133,#157131,#76527,.T.); #193792=EDGE_CURVE('',#157132,#157133,#76528,.T.); #193793=EDGE_CURVE('',#157134,#157132,#76529,.T.); #193794=EDGE_CURVE('',#157135,#157133,#76530,.T.); #193795=EDGE_CURVE('',#157134,#157135,#76531,.T.); #193796=EDGE_CURVE('',#157136,#157134,#76532,.T.); #193797=EDGE_CURVE('',#157137,#157135,#76533,.T.); #193798=EDGE_CURVE('',#157136,#157137,#76534,.T.); #193799=EDGE_CURVE('',#157138,#157136,#76535,.T.); #193800=EDGE_CURVE('',#157139,#157137,#76536,.T.); #193801=EDGE_CURVE('',#157138,#157139,#76537,.T.); #193802=EDGE_CURVE('',#157140,#157138,#76538,.T.); #193803=EDGE_CURVE('',#157141,#157139,#76539,.T.); #193804=EDGE_CURVE('',#157140,#157141,#76540,.T.); #193805=EDGE_CURVE('',#157142,#157140,#76541,.T.); #193806=EDGE_CURVE('',#157143,#157141,#76542,.T.); #193807=EDGE_CURVE('',#157142,#157143,#76543,.T.); #193808=EDGE_CURVE('',#157144,#157142,#76544,.T.); #193809=EDGE_CURVE('',#157145,#157143,#76545,.T.); #193810=EDGE_CURVE('',#157144,#157145,#76546,.T.); #193811=EDGE_CURVE('',#157146,#157144,#76547,.T.); #193812=EDGE_CURVE('',#157147,#157145,#76548,.T.); #193813=EDGE_CURVE('',#157146,#157147,#76549,.T.); #193814=EDGE_CURVE('',#157148,#157146,#76550,.T.); #193815=EDGE_CURVE('',#157149,#157147,#76551,.T.); #193816=EDGE_CURVE('',#157148,#157149,#76552,.T.); #193817=EDGE_CURVE('',#157150,#157148,#76553,.T.); #193818=EDGE_CURVE('',#157151,#157149,#76554,.T.); #193819=EDGE_CURVE('',#157150,#157151,#76555,.T.); #193820=EDGE_CURVE('',#157152,#157150,#76556,.T.); #193821=EDGE_CURVE('',#157153,#157151,#76557,.T.); #193822=EDGE_CURVE('',#157152,#157153,#76558,.T.); #193823=EDGE_CURVE('',#157154,#157152,#76559,.T.); #193824=EDGE_CURVE('',#157155,#157153,#76560,.T.); #193825=EDGE_CURVE('',#157154,#157155,#76561,.T.); #193826=EDGE_CURVE('',#157156,#157154,#76562,.T.); #193827=EDGE_CURVE('',#157157,#157155,#76563,.T.); #193828=EDGE_CURVE('',#157156,#157157,#76564,.T.); #193829=EDGE_CURVE('',#157158,#157156,#76565,.T.); #193830=EDGE_CURVE('',#157159,#157157,#76566,.T.); #193831=EDGE_CURVE('',#157158,#157159,#76567,.T.); #193832=EDGE_CURVE('',#157160,#157158,#76568,.T.); #193833=EDGE_CURVE('',#157161,#157159,#76569,.T.); #193834=EDGE_CURVE('',#157160,#157161,#76570,.T.); #193835=EDGE_CURVE('',#157162,#157160,#76571,.T.); #193836=EDGE_CURVE('',#157163,#157161,#76572,.T.); #193837=EDGE_CURVE('',#157162,#157163,#76573,.T.); #193838=EDGE_CURVE('',#157164,#157162,#76574,.T.); #193839=EDGE_CURVE('',#157165,#157163,#76575,.T.); #193840=EDGE_CURVE('',#157164,#157165,#76576,.T.); #193841=EDGE_CURVE('',#157166,#157164,#76577,.T.); #193842=EDGE_CURVE('',#157167,#157165,#76578,.T.); #193843=EDGE_CURVE('',#157166,#157167,#76579,.T.); #193844=EDGE_CURVE('',#157168,#157166,#76580,.T.); #193845=EDGE_CURVE('',#157169,#157167,#76581,.T.); #193846=EDGE_CURVE('',#157168,#157169,#76582,.T.); #193847=EDGE_CURVE('',#157170,#157168,#76583,.T.); #193848=EDGE_CURVE('',#157171,#157169,#76584,.T.); #193849=EDGE_CURVE('',#157170,#157171,#76585,.T.); #193850=EDGE_CURVE('',#157172,#157170,#76586,.T.); #193851=EDGE_CURVE('',#157173,#157171,#76587,.T.); #193852=EDGE_CURVE('',#157172,#157173,#76588,.T.); #193853=EDGE_CURVE('',#157174,#157172,#76589,.T.); #193854=EDGE_CURVE('',#157175,#157173,#76590,.T.); #193855=EDGE_CURVE('',#157174,#157175,#76591,.T.); #193856=EDGE_CURVE('',#157176,#157174,#76592,.T.); #193857=EDGE_CURVE('',#157177,#157175,#76593,.T.); #193858=EDGE_CURVE('',#157176,#157177,#76594,.T.); #193859=EDGE_CURVE('',#157178,#157176,#76595,.T.); #193860=EDGE_CURVE('',#157179,#157177,#76596,.T.); #193861=EDGE_CURVE('',#157178,#157179,#76597,.T.); #193862=EDGE_CURVE('',#157180,#157178,#76598,.T.); #193863=EDGE_CURVE('',#157181,#157179,#76599,.T.); #193864=EDGE_CURVE('',#157180,#157181,#76600,.T.); #193865=EDGE_CURVE('',#157182,#157180,#76601,.T.); #193866=EDGE_CURVE('',#157183,#157181,#76602,.T.); #193867=EDGE_CURVE('',#157182,#157183,#76603,.T.); #193868=EDGE_CURVE('',#157184,#157182,#76604,.T.); #193869=EDGE_CURVE('',#157185,#157183,#76605,.T.); #193870=EDGE_CURVE('',#157184,#157185,#76606,.T.); #193871=EDGE_CURVE('',#157186,#157184,#76607,.T.); #193872=EDGE_CURVE('',#157187,#157185,#76608,.T.); #193873=EDGE_CURVE('',#157186,#157187,#76609,.T.); #193874=EDGE_CURVE('',#157188,#157186,#76610,.T.); #193875=EDGE_CURVE('',#157189,#157187,#76611,.T.); #193876=EDGE_CURVE('',#157188,#157189,#76612,.T.); #193877=EDGE_CURVE('',#157190,#157188,#76613,.T.); #193878=EDGE_CURVE('',#157191,#157189,#76614,.T.); #193879=EDGE_CURVE('',#157190,#157191,#76615,.T.); #193880=EDGE_CURVE('',#157192,#157190,#76616,.T.); #193881=EDGE_CURVE('',#157193,#157191,#76617,.T.); #193882=EDGE_CURVE('',#157192,#157193,#76618,.T.); #193883=EDGE_CURVE('',#157194,#157192,#76619,.T.); #193884=EDGE_CURVE('',#157195,#157193,#76620,.T.); #193885=EDGE_CURVE('',#157194,#157195,#76621,.T.); #193886=EDGE_CURVE('',#157196,#157194,#76622,.T.); #193887=EDGE_CURVE('',#157197,#157195,#76623,.T.); #193888=EDGE_CURVE('',#157196,#157197,#76624,.T.); #193889=EDGE_CURVE('',#157198,#157196,#76625,.T.); #193890=EDGE_CURVE('',#157199,#157197,#76626,.T.); #193891=EDGE_CURVE('',#157198,#157199,#76627,.T.); #193892=EDGE_CURVE('',#157200,#157198,#76628,.T.); #193893=EDGE_CURVE('',#157201,#157199,#76629,.T.); #193894=EDGE_CURVE('',#157200,#157201,#76630,.T.); #193895=EDGE_CURVE('',#157202,#157200,#76631,.T.); #193896=EDGE_CURVE('',#157203,#157201,#76632,.T.); #193897=EDGE_CURVE('',#157202,#157203,#76633,.T.); #193898=EDGE_CURVE('',#157204,#157202,#76634,.T.); #193899=EDGE_CURVE('',#157205,#157203,#76635,.T.); #193900=EDGE_CURVE('',#157204,#157205,#76636,.T.); #193901=EDGE_CURVE('',#157206,#157204,#76637,.T.); #193902=EDGE_CURVE('',#157207,#157205,#76638,.T.); #193903=EDGE_CURVE('',#157206,#157207,#76639,.T.); #193904=EDGE_CURVE('',#157208,#157206,#76640,.T.); #193905=EDGE_CURVE('',#157209,#157207,#76641,.T.); #193906=EDGE_CURVE('',#157208,#157209,#76642,.T.); #193907=EDGE_CURVE('',#157210,#157208,#76643,.T.); #193908=EDGE_CURVE('',#157211,#157209,#76644,.T.); #193909=EDGE_CURVE('',#157210,#157211,#76645,.T.); #193910=EDGE_CURVE('',#157212,#157210,#76646,.T.); #193911=EDGE_CURVE('',#157213,#157211,#76647,.T.); #193912=EDGE_CURVE('',#157212,#157213,#76648,.T.); #193913=EDGE_CURVE('',#157214,#157212,#76649,.T.); #193914=EDGE_CURVE('',#157215,#157213,#76650,.T.); #193915=EDGE_CURVE('',#157214,#157215,#76651,.T.); #193916=EDGE_CURVE('',#157216,#157214,#76652,.T.); #193917=EDGE_CURVE('',#157217,#157215,#76653,.T.); #193918=EDGE_CURVE('',#157216,#157217,#76654,.T.); #193919=EDGE_CURVE('',#157218,#157216,#76655,.T.); #193920=EDGE_CURVE('',#157219,#157217,#76656,.T.); #193921=EDGE_CURVE('',#157218,#157219,#76657,.T.); #193922=EDGE_CURVE('',#157220,#157218,#76658,.T.); #193923=EDGE_CURVE('',#157221,#157219,#76659,.T.); #193924=EDGE_CURVE('',#157220,#157221,#76660,.T.); #193925=EDGE_CURVE('',#157222,#157220,#76661,.T.); #193926=EDGE_CURVE('',#157223,#157221,#76662,.T.); #193927=EDGE_CURVE('',#157222,#157223,#76663,.T.); #193928=EDGE_CURVE('',#157224,#157222,#76664,.T.); #193929=EDGE_CURVE('',#157225,#157223,#76665,.T.); #193930=EDGE_CURVE('',#157224,#157225,#76666,.T.); #193931=EDGE_CURVE('',#157226,#157224,#76667,.T.); #193932=EDGE_CURVE('',#157227,#157225,#76668,.T.); #193933=EDGE_CURVE('',#157226,#157227,#76669,.T.); #193934=EDGE_CURVE('',#157228,#157226,#76670,.T.); #193935=EDGE_CURVE('',#157229,#157227,#76671,.T.); #193936=EDGE_CURVE('',#157228,#157229,#76672,.T.); #193937=EDGE_CURVE('',#157230,#157228,#76673,.T.); #193938=EDGE_CURVE('',#157231,#157229,#76674,.T.); #193939=EDGE_CURVE('',#157230,#157231,#76675,.T.); #193940=EDGE_CURVE('',#157232,#157230,#76676,.T.); #193941=EDGE_CURVE('',#157233,#157231,#76677,.T.); #193942=EDGE_CURVE('',#157232,#157233,#76678,.T.); #193943=EDGE_CURVE('',#157234,#157232,#76679,.T.); #193944=EDGE_CURVE('',#157235,#157233,#76680,.T.); #193945=EDGE_CURVE('',#157234,#157235,#76681,.T.); #193946=EDGE_CURVE('',#157236,#157234,#76682,.T.); #193947=EDGE_CURVE('',#157237,#157235,#76683,.T.); #193948=EDGE_CURVE('',#157236,#157237,#76684,.T.); #193949=EDGE_CURVE('',#157238,#157236,#76685,.T.); #193950=EDGE_CURVE('',#157239,#157237,#76686,.T.); #193951=EDGE_CURVE('',#157238,#157239,#76687,.T.); #193952=EDGE_CURVE('',#157240,#157238,#76688,.T.); #193953=EDGE_CURVE('',#157241,#157239,#76689,.T.); #193954=EDGE_CURVE('',#157240,#157241,#76690,.T.); #193955=EDGE_CURVE('',#157242,#157240,#76691,.T.); #193956=EDGE_CURVE('',#157243,#157241,#76692,.T.); #193957=EDGE_CURVE('',#157242,#157243,#76693,.T.); #193958=EDGE_CURVE('',#157244,#157242,#76694,.T.); #193959=EDGE_CURVE('',#157245,#157243,#76695,.T.); #193960=EDGE_CURVE('',#157244,#157245,#76696,.T.); #193961=EDGE_CURVE('',#157246,#157244,#76697,.T.); #193962=EDGE_CURVE('',#157247,#157245,#76698,.T.); #193963=EDGE_CURVE('',#157246,#157247,#76699,.T.); #193964=EDGE_CURVE('',#157248,#157246,#76700,.T.); #193965=EDGE_CURVE('',#157249,#157247,#76701,.T.); #193966=EDGE_CURVE('',#157248,#157249,#76702,.T.); #193967=EDGE_CURVE('',#157250,#157248,#76703,.T.); #193968=EDGE_CURVE('',#157251,#157249,#76704,.T.); #193969=EDGE_CURVE('',#157250,#157251,#76705,.T.); #193970=EDGE_CURVE('',#157252,#157250,#76706,.T.); #193971=EDGE_CURVE('',#157253,#157251,#76707,.T.); #193972=EDGE_CURVE('',#157252,#157253,#76708,.T.); #193973=EDGE_CURVE('',#157254,#157252,#76709,.T.); #193974=EDGE_CURVE('',#157255,#157253,#76710,.T.); #193975=EDGE_CURVE('',#157254,#157255,#76711,.T.); #193976=EDGE_CURVE('',#157256,#157254,#76712,.T.); #193977=EDGE_CURVE('',#157257,#157255,#76713,.T.); #193978=EDGE_CURVE('',#157256,#157257,#76714,.T.); #193979=EDGE_CURVE('',#157258,#157256,#76715,.T.); #193980=EDGE_CURVE('',#157259,#157257,#76716,.T.); #193981=EDGE_CURVE('',#157258,#157259,#76717,.T.); #193982=EDGE_CURVE('',#157260,#157258,#76718,.T.); #193983=EDGE_CURVE('',#157261,#157259,#76719,.T.); #193984=EDGE_CURVE('',#157260,#157261,#76720,.T.); #193985=EDGE_CURVE('',#157119,#157260,#76721,.T.); #193986=EDGE_CURVE('',#157120,#157261,#76722,.T.); #193987=EDGE_CURVE('',#157262,#157263,#76723,.T.); #193988=EDGE_CURVE('',#157264,#157262,#76724,.T.); #193989=EDGE_CURVE('',#157265,#157264,#76725,.T.); #193990=EDGE_CURVE('',#157265,#157263,#76726,.T.); #193991=EDGE_CURVE('',#157263,#157266,#140078,.T.); #193992=EDGE_CURVE('',#157267,#157265,#140079,.T.); #193993=EDGE_CURVE('',#157267,#157266,#76727,.T.); #193994=EDGE_CURVE('',#157266,#157268,#76728,.T.); #193995=EDGE_CURVE('',#157269,#157267,#76729,.T.); #193996=EDGE_CURVE('',#157269,#157268,#76730,.T.); #193997=EDGE_CURVE('',#157268,#157262,#140080,.T.); #193998=EDGE_CURVE('',#157264,#157269,#140081,.T.); #193999=EDGE_CURVE('',#157270,#157271,#76731,.T.); #194000=EDGE_CURVE('',#157271,#157272,#76732,.T.); #194001=EDGE_CURVE('',#157273,#157272,#76733,.T.); #194002=EDGE_CURVE('',#157270,#157273,#76734,.T.); #194003=EDGE_CURVE('',#157274,#157270,#76735,.T.); #194004=EDGE_CURVE('',#157275,#157273,#76736,.T.); #194005=EDGE_CURVE('',#157274,#157275,#76737,.T.); #194006=EDGE_CURVE('',#157276,#157274,#76738,.T.); #194007=EDGE_CURVE('',#157277,#157275,#76739,.T.); #194008=EDGE_CURVE('',#157276,#157277,#76740,.T.); #194009=EDGE_CURVE('',#157278,#157276,#76741,.T.); #194010=EDGE_CURVE('',#157279,#157277,#76742,.T.); #194011=EDGE_CURVE('',#157278,#157279,#76743,.T.); #194012=EDGE_CURVE('',#157280,#157278,#76744,.T.); #194013=EDGE_CURVE('',#157281,#157279,#76745,.T.); #194014=EDGE_CURVE('',#157280,#157281,#76746,.T.); #194015=EDGE_CURVE('',#157282,#157280,#76747,.T.); #194016=EDGE_CURVE('',#157283,#157281,#76748,.T.); #194017=EDGE_CURVE('',#157282,#157283,#76749,.T.); #194018=EDGE_CURVE('',#157284,#157282,#76750,.T.); #194019=EDGE_CURVE('',#157285,#157283,#76751,.T.); #194020=EDGE_CURVE('',#157284,#157285,#76752,.T.); #194021=EDGE_CURVE('',#157286,#157284,#76753,.T.); #194022=EDGE_CURVE('',#157287,#157285,#76754,.T.); #194023=EDGE_CURVE('',#157286,#157287,#76755,.T.); #194024=EDGE_CURVE('',#157288,#157286,#76756,.T.); #194025=EDGE_CURVE('',#157289,#157287,#76757,.T.); #194026=EDGE_CURVE('',#157288,#157289,#76758,.T.); #194027=EDGE_CURVE('',#157290,#157288,#76759,.T.); #194028=EDGE_CURVE('',#157291,#157289,#76760,.T.); #194029=EDGE_CURVE('',#157290,#157291,#76761,.T.); #194030=EDGE_CURVE('',#157292,#157290,#76762,.T.); #194031=EDGE_CURVE('',#157293,#157291,#76763,.T.); #194032=EDGE_CURVE('',#157292,#157293,#76764,.T.); #194033=EDGE_CURVE('',#157294,#157292,#76765,.T.); #194034=EDGE_CURVE('',#157295,#157293,#76766,.T.); #194035=EDGE_CURVE('',#157294,#157295,#76767,.T.); #194036=EDGE_CURVE('',#157296,#157294,#76768,.T.); #194037=EDGE_CURVE('',#157297,#157295,#76769,.T.); #194038=EDGE_CURVE('',#157296,#157297,#76770,.T.); #194039=EDGE_CURVE('',#157298,#157296,#76771,.T.); #194040=EDGE_CURVE('',#157299,#157297,#76772,.T.); #194041=EDGE_CURVE('',#157298,#157299,#76773,.T.); #194042=EDGE_CURVE('',#157300,#157298,#76774,.T.); #194043=EDGE_CURVE('',#157301,#157299,#76775,.T.); #194044=EDGE_CURVE('',#157300,#157301,#76776,.T.); #194045=EDGE_CURVE('',#157302,#157300,#76777,.T.); #194046=EDGE_CURVE('',#157303,#157301,#76778,.T.); #194047=EDGE_CURVE('',#157302,#157303,#76779,.T.); #194048=EDGE_CURVE('',#157304,#157302,#76780,.T.); #194049=EDGE_CURVE('',#157305,#157303,#76781,.T.); #194050=EDGE_CURVE('',#157304,#157305,#76782,.T.); #194051=EDGE_CURVE('',#157306,#157304,#76783,.T.); #194052=EDGE_CURVE('',#157307,#157305,#76784,.T.); #194053=EDGE_CURVE('',#157306,#157307,#76785,.T.); #194054=EDGE_CURVE('',#157308,#157306,#76786,.T.); #194055=EDGE_CURVE('',#157309,#157307,#76787,.T.); #194056=EDGE_CURVE('',#157308,#157309,#76788,.T.); #194057=EDGE_CURVE('',#157310,#157308,#76789,.T.); #194058=EDGE_CURVE('',#157311,#157309,#76790,.T.); #194059=EDGE_CURVE('',#157310,#157311,#76791,.T.); #194060=EDGE_CURVE('',#157312,#157310,#76792,.T.); #194061=EDGE_CURVE('',#157313,#157311,#76793,.T.); #194062=EDGE_CURVE('',#157312,#157313,#76794,.T.); #194063=EDGE_CURVE('',#157314,#157312,#76795,.T.); #194064=EDGE_CURVE('',#157315,#157313,#76796,.T.); #194065=EDGE_CURVE('',#157314,#157315,#76797,.T.); #194066=EDGE_CURVE('',#157316,#157314,#76798,.T.); #194067=EDGE_CURVE('',#157317,#157315,#76799,.T.); #194068=EDGE_CURVE('',#157316,#157317,#76800,.T.); #194069=EDGE_CURVE('',#157318,#157316,#76801,.T.); #194070=EDGE_CURVE('',#157319,#157317,#76802,.T.); #194071=EDGE_CURVE('',#157318,#157319,#76803,.T.); #194072=EDGE_CURVE('',#157320,#157318,#76804,.T.); #194073=EDGE_CURVE('',#157321,#157319,#76805,.T.); #194074=EDGE_CURVE('',#157320,#157321,#76806,.T.); #194075=EDGE_CURVE('',#157322,#157320,#76807,.T.); #194076=EDGE_CURVE('',#157323,#157321,#76808,.T.); #194077=EDGE_CURVE('',#157322,#157323,#76809,.T.); #194078=EDGE_CURVE('',#157324,#157322,#76810,.T.); #194079=EDGE_CURVE('',#157325,#157323,#76811,.T.); #194080=EDGE_CURVE('',#157324,#157325,#76812,.T.); #194081=EDGE_CURVE('',#157326,#157324,#76813,.T.); #194082=EDGE_CURVE('',#157327,#157325,#76814,.T.); #194083=EDGE_CURVE('',#157326,#157327,#76815,.T.); #194084=EDGE_CURVE('',#157328,#157326,#76816,.T.); #194085=EDGE_CURVE('',#157329,#157327,#76817,.T.); #194086=EDGE_CURVE('',#157328,#157329,#76818,.T.); #194087=EDGE_CURVE('',#157330,#157328,#76819,.T.); #194088=EDGE_CURVE('',#157331,#157329,#76820,.T.); #194089=EDGE_CURVE('',#157330,#157331,#76821,.T.); #194090=EDGE_CURVE('',#157332,#157330,#76822,.T.); #194091=EDGE_CURVE('',#157333,#157331,#76823,.T.); #194092=EDGE_CURVE('',#157332,#157333,#76824,.T.); #194093=EDGE_CURVE('',#157334,#157332,#76825,.T.); #194094=EDGE_CURVE('',#157335,#157333,#76826,.T.); #194095=EDGE_CURVE('',#157334,#157335,#76827,.T.); #194096=EDGE_CURVE('',#157336,#157334,#76828,.T.); #194097=EDGE_CURVE('',#157337,#157335,#76829,.T.); #194098=EDGE_CURVE('',#157336,#157337,#76830,.T.); #194099=EDGE_CURVE('',#157338,#157336,#76831,.T.); #194100=EDGE_CURVE('',#157339,#157337,#76832,.T.); #194101=EDGE_CURVE('',#157338,#157339,#76833,.T.); #194102=EDGE_CURVE('',#157340,#157338,#76834,.T.); #194103=EDGE_CURVE('',#157341,#157339,#76835,.T.); #194104=EDGE_CURVE('',#157340,#157341,#76836,.T.); #194105=EDGE_CURVE('',#157342,#157340,#76837,.T.); #194106=EDGE_CURVE('',#157343,#157341,#76838,.T.); #194107=EDGE_CURVE('',#157342,#157343,#76839,.T.); #194108=EDGE_CURVE('',#157344,#157342,#76840,.T.); #194109=EDGE_CURVE('',#157345,#157343,#76841,.T.); #194110=EDGE_CURVE('',#157344,#157345,#76842,.T.); #194111=EDGE_CURVE('',#157346,#157344,#76843,.T.); #194112=EDGE_CURVE('',#157347,#157345,#76844,.T.); #194113=EDGE_CURVE('',#157346,#157347,#76845,.T.); #194114=EDGE_CURVE('',#157348,#157346,#76846,.T.); #194115=EDGE_CURVE('',#157349,#157347,#76847,.T.); #194116=EDGE_CURVE('',#157348,#157349,#76848,.T.); #194117=EDGE_CURVE('',#157350,#157348,#76849,.T.); #194118=EDGE_CURVE('',#157351,#157349,#76850,.T.); #194119=EDGE_CURVE('',#157350,#157351,#76851,.T.); #194120=EDGE_CURVE('',#157352,#157350,#76852,.T.); #194121=EDGE_CURVE('',#157353,#157351,#76853,.T.); #194122=EDGE_CURVE('',#157352,#157353,#76854,.T.); #194123=EDGE_CURVE('',#157354,#157352,#76855,.T.); #194124=EDGE_CURVE('',#157355,#157353,#76856,.T.); #194125=EDGE_CURVE('',#157354,#157355,#76857,.T.); #194126=EDGE_CURVE('',#157356,#157354,#76858,.T.); #194127=EDGE_CURVE('',#157357,#157355,#76859,.T.); #194128=EDGE_CURVE('',#157356,#157357,#76860,.T.); #194129=EDGE_CURVE('',#157358,#157356,#76861,.T.); #194130=EDGE_CURVE('',#157359,#157357,#76862,.T.); #194131=EDGE_CURVE('',#157358,#157359,#76863,.T.); #194132=EDGE_CURVE('',#157360,#157358,#76864,.T.); #194133=EDGE_CURVE('',#157361,#157359,#76865,.T.); #194134=EDGE_CURVE('',#157360,#157361,#76866,.T.); #194135=EDGE_CURVE('',#157362,#157360,#76867,.T.); #194136=EDGE_CURVE('',#157363,#157361,#76868,.T.); #194137=EDGE_CURVE('',#157362,#157363,#76869,.T.); #194138=EDGE_CURVE('',#157364,#157362,#76870,.T.); #194139=EDGE_CURVE('',#157365,#157363,#76871,.T.); #194140=EDGE_CURVE('',#157364,#157365,#76872,.T.); #194141=EDGE_CURVE('',#157366,#157364,#76873,.T.); #194142=EDGE_CURVE('',#157367,#157365,#76874,.T.); #194143=EDGE_CURVE('',#157366,#157367,#76875,.T.); #194144=EDGE_CURVE('',#157368,#157366,#76876,.T.); #194145=EDGE_CURVE('',#157369,#157367,#76877,.T.); #194146=EDGE_CURVE('',#157368,#157369,#76878,.T.); #194147=EDGE_CURVE('',#157370,#157368,#76879,.T.); #194148=EDGE_CURVE('',#157371,#157369,#76880,.T.); #194149=EDGE_CURVE('',#157370,#157371,#76881,.T.); #194150=EDGE_CURVE('',#157372,#157370,#76882,.T.); #194151=EDGE_CURVE('',#157373,#157371,#76883,.T.); #194152=EDGE_CURVE('',#157372,#157373,#76884,.T.); #194153=EDGE_CURVE('',#157374,#157372,#76885,.T.); #194154=EDGE_CURVE('',#157375,#157373,#76886,.T.); #194155=EDGE_CURVE('',#157374,#157375,#76887,.T.); #194156=EDGE_CURVE('',#157376,#157374,#76888,.T.); #194157=EDGE_CURVE('',#157377,#157375,#76889,.T.); #194158=EDGE_CURVE('',#157376,#157377,#76890,.T.); #194159=EDGE_CURVE('',#157378,#157376,#76891,.T.); #194160=EDGE_CURVE('',#157379,#157377,#76892,.T.); #194161=EDGE_CURVE('',#157378,#157379,#76893,.T.); #194162=EDGE_CURVE('',#157380,#157378,#76894,.T.); #194163=EDGE_CURVE('',#157381,#157379,#76895,.T.); #194164=EDGE_CURVE('',#157380,#157381,#76896,.T.); #194165=EDGE_CURVE('',#157271,#157380,#76897,.T.); #194166=EDGE_CURVE('',#157272,#157381,#76898,.T.); #194167=EDGE_CURVE('',#157382,#157382,#140082,.T.); #194168=EDGE_CURVE('',#157382,#157383,#76899,.T.); #194169=EDGE_CURVE('',#157383,#157383,#140083,.T.); #194170=EDGE_CURVE('',#157384,#157385,#76900,.T.); #194171=EDGE_CURVE('',#157385,#157386,#76901,.T.); #194172=EDGE_CURVE('',#157387,#157386,#76902,.T.); #194173=EDGE_CURVE('',#157384,#157387,#76903,.T.); #194174=EDGE_CURVE('',#157388,#157384,#140084,.T.); #194175=EDGE_CURVE('',#157389,#157387,#140085,.T.); #194176=EDGE_CURVE('',#157388,#157389,#76904,.T.); #194177=EDGE_CURVE('',#157385,#157388,#140086,.T.); #194178=EDGE_CURVE('',#157386,#157389,#140087,.T.); #194179=EDGE_CURVE('',#157390,#157391,#76905,.T.); #194180=EDGE_CURVE('',#157392,#157390,#76906,.T.); #194181=EDGE_CURVE('',#157393,#157392,#76907,.T.); #194182=EDGE_CURVE('',#157393,#157391,#76908,.T.); #194183=EDGE_CURVE('',#157391,#157394,#140088,.T.); #194184=EDGE_CURVE('',#157395,#157393,#140089,.T.); #194185=EDGE_CURVE('',#157395,#157394,#76909,.T.); #194186=EDGE_CURVE('',#157394,#157396,#76910,.T.); #194187=EDGE_CURVE('',#157397,#157395,#76911,.T.); #194188=EDGE_CURVE('',#157397,#157396,#76912,.T.); #194189=EDGE_CURVE('',#157396,#157390,#140090,.T.); #194190=EDGE_CURVE('',#157392,#157397,#140091,.T.); #194191=EDGE_CURVE('',#157398,#157399,#76913,.T.); #194192=EDGE_CURVE('',#157399,#157400,#76914,.T.); #194193=EDGE_CURVE('',#157401,#157400,#76915,.T.); #194194=EDGE_CURVE('',#157398,#157401,#76916,.T.); #194195=EDGE_CURVE('',#157402,#157398,#76917,.T.); #194196=EDGE_CURVE('',#157403,#157401,#76918,.T.); #194197=EDGE_CURVE('',#157402,#157403,#76919,.T.); #194198=EDGE_CURVE('',#157404,#157402,#76920,.T.); #194199=EDGE_CURVE('',#157405,#157403,#76921,.T.); #194200=EDGE_CURVE('',#157404,#157405,#76922,.T.); #194201=EDGE_CURVE('',#157406,#157404,#76923,.T.); #194202=EDGE_CURVE('',#157407,#157405,#76924,.T.); #194203=EDGE_CURVE('',#157406,#157407,#76925,.T.); #194204=EDGE_CURVE('',#157408,#157406,#76926,.T.); #194205=EDGE_CURVE('',#157409,#157407,#76927,.T.); #194206=EDGE_CURVE('',#157408,#157409,#76928,.T.); #194207=EDGE_CURVE('',#157410,#157408,#76929,.T.); #194208=EDGE_CURVE('',#157411,#157409,#76930,.T.); #194209=EDGE_CURVE('',#157410,#157411,#76931,.T.); #194210=EDGE_CURVE('',#157412,#157410,#76932,.T.); #194211=EDGE_CURVE('',#157413,#157411,#76933,.T.); #194212=EDGE_CURVE('',#157412,#157413,#76934,.T.); #194213=EDGE_CURVE('',#157414,#157412,#76935,.T.); #194214=EDGE_CURVE('',#157415,#157413,#76936,.T.); #194215=EDGE_CURVE('',#157414,#157415,#76937,.T.); #194216=EDGE_CURVE('',#157416,#157414,#76938,.T.); #194217=EDGE_CURVE('',#157417,#157415,#76939,.T.); #194218=EDGE_CURVE('',#157416,#157417,#76940,.T.); #194219=EDGE_CURVE('',#157418,#157416,#76941,.T.); #194220=EDGE_CURVE('',#157419,#157417,#76942,.T.); #194221=EDGE_CURVE('',#157418,#157419,#76943,.T.); #194222=EDGE_CURVE('',#157420,#157418,#76944,.T.); #194223=EDGE_CURVE('',#157421,#157419,#76945,.T.); #194224=EDGE_CURVE('',#157420,#157421,#76946,.T.); #194225=EDGE_CURVE('',#157422,#157420,#76947,.T.); #194226=EDGE_CURVE('',#157423,#157421,#76948,.T.); #194227=EDGE_CURVE('',#157422,#157423,#76949,.T.); #194228=EDGE_CURVE('',#157424,#157422,#76950,.T.); #194229=EDGE_CURVE('',#157425,#157423,#76951,.T.); #194230=EDGE_CURVE('',#157424,#157425,#76952,.T.); #194231=EDGE_CURVE('',#157426,#157424,#76953,.T.); #194232=EDGE_CURVE('',#157427,#157425,#76954,.T.); #194233=EDGE_CURVE('',#157426,#157427,#76955,.T.); #194234=EDGE_CURVE('',#157428,#157426,#76956,.T.); #194235=EDGE_CURVE('',#157429,#157427,#76957,.T.); #194236=EDGE_CURVE('',#157428,#157429,#76958,.T.); #194237=EDGE_CURVE('',#157430,#157428,#76959,.T.); #194238=EDGE_CURVE('',#157431,#157429,#76960,.T.); #194239=EDGE_CURVE('',#157430,#157431,#76961,.T.); #194240=EDGE_CURVE('',#157432,#157430,#76962,.T.); #194241=EDGE_CURVE('',#157433,#157431,#76963,.T.); #194242=EDGE_CURVE('',#157432,#157433,#76964,.T.); #194243=EDGE_CURVE('',#157434,#157432,#76965,.T.); #194244=EDGE_CURVE('',#157435,#157433,#76966,.T.); #194245=EDGE_CURVE('',#157434,#157435,#76967,.T.); #194246=EDGE_CURVE('',#157436,#157434,#76968,.T.); #194247=EDGE_CURVE('',#157437,#157435,#76969,.T.); #194248=EDGE_CURVE('',#157436,#157437,#76970,.T.); #194249=EDGE_CURVE('',#157438,#157436,#76971,.T.); #194250=EDGE_CURVE('',#157439,#157437,#76972,.T.); #194251=EDGE_CURVE('',#157438,#157439,#76973,.T.); #194252=EDGE_CURVE('',#157440,#157438,#76974,.T.); #194253=EDGE_CURVE('',#157441,#157439,#76975,.T.); #194254=EDGE_CURVE('',#157440,#157441,#76976,.T.); #194255=EDGE_CURVE('',#157442,#157440,#76977,.T.); #194256=EDGE_CURVE('',#157443,#157441,#76978,.T.); #194257=EDGE_CURVE('',#157442,#157443,#76979,.T.); #194258=EDGE_CURVE('',#157444,#157442,#76980,.T.); #194259=EDGE_CURVE('',#157445,#157443,#76981,.T.); #194260=EDGE_CURVE('',#157444,#157445,#76982,.T.); #194261=EDGE_CURVE('',#157446,#157444,#76983,.T.); #194262=EDGE_CURVE('',#157447,#157445,#76984,.T.); #194263=EDGE_CURVE('',#157446,#157447,#76985,.T.); #194264=EDGE_CURVE('',#157448,#157446,#76986,.T.); #194265=EDGE_CURVE('',#157449,#157447,#76987,.T.); #194266=EDGE_CURVE('',#157448,#157449,#76988,.T.); #194267=EDGE_CURVE('',#157450,#157448,#76989,.T.); #194268=EDGE_CURVE('',#157451,#157449,#76990,.T.); #194269=EDGE_CURVE('',#157450,#157451,#76991,.T.); #194270=EDGE_CURVE('',#157452,#157450,#76992,.T.); #194271=EDGE_CURVE('',#157453,#157451,#76993,.T.); #194272=EDGE_CURVE('',#157452,#157453,#76994,.T.); #194273=EDGE_CURVE('',#157454,#157452,#76995,.T.); #194274=EDGE_CURVE('',#157455,#157453,#76996,.T.); #194275=EDGE_CURVE('',#157454,#157455,#76997,.T.); #194276=EDGE_CURVE('',#157456,#157454,#76998,.T.); #194277=EDGE_CURVE('',#157457,#157455,#76999,.T.); #194278=EDGE_CURVE('',#157456,#157457,#77000,.T.); #194279=EDGE_CURVE('',#157458,#157456,#77001,.T.); #194280=EDGE_CURVE('',#157459,#157457,#77002,.T.); #194281=EDGE_CURVE('',#157458,#157459,#77003,.T.); #194282=EDGE_CURVE('',#157460,#157458,#77004,.T.); #194283=EDGE_CURVE('',#157461,#157459,#77005,.T.); #194284=EDGE_CURVE('',#157460,#157461,#77006,.T.); #194285=EDGE_CURVE('',#157462,#157460,#77007,.T.); #194286=EDGE_CURVE('',#157463,#157461,#77008,.T.); #194287=EDGE_CURVE('',#157462,#157463,#77009,.T.); #194288=EDGE_CURVE('',#157464,#157462,#77010,.T.); #194289=EDGE_CURVE('',#157465,#157463,#77011,.T.); #194290=EDGE_CURVE('',#157464,#157465,#77012,.T.); #194291=EDGE_CURVE('',#157466,#157464,#77013,.T.); #194292=EDGE_CURVE('',#157467,#157465,#77014,.T.); #194293=EDGE_CURVE('',#157466,#157467,#77015,.T.); #194294=EDGE_CURVE('',#157468,#157466,#77016,.T.); #194295=EDGE_CURVE('',#157469,#157467,#77017,.T.); #194296=EDGE_CURVE('',#157468,#157469,#77018,.T.); #194297=EDGE_CURVE('',#157470,#157468,#77019,.T.); #194298=EDGE_CURVE('',#157471,#157469,#77020,.T.); #194299=EDGE_CURVE('',#157470,#157471,#77021,.T.); #194300=EDGE_CURVE('',#157472,#157470,#77022,.T.); #194301=EDGE_CURVE('',#157473,#157471,#77023,.T.); #194302=EDGE_CURVE('',#157472,#157473,#77024,.T.); #194303=EDGE_CURVE('',#157474,#157472,#77025,.T.); #194304=EDGE_CURVE('',#157475,#157473,#77026,.T.); #194305=EDGE_CURVE('',#157474,#157475,#77027,.T.); #194306=EDGE_CURVE('',#157476,#157474,#77028,.T.); #194307=EDGE_CURVE('',#157477,#157475,#77029,.T.); #194308=EDGE_CURVE('',#157476,#157477,#77030,.T.); #194309=EDGE_CURVE('',#157478,#157476,#77031,.T.); #194310=EDGE_CURVE('',#157479,#157477,#77032,.T.); #194311=EDGE_CURVE('',#157478,#157479,#77033,.T.); #194312=EDGE_CURVE('',#157480,#157478,#77034,.T.); #194313=EDGE_CURVE('',#157481,#157479,#77035,.T.); #194314=EDGE_CURVE('',#157480,#157481,#77036,.T.); #194315=EDGE_CURVE('',#157482,#157480,#77037,.T.); #194316=EDGE_CURVE('',#157483,#157481,#77038,.T.); #194317=EDGE_CURVE('',#157482,#157483,#77039,.T.); #194318=EDGE_CURVE('',#157484,#157482,#77040,.T.); #194319=EDGE_CURVE('',#157485,#157483,#77041,.T.); #194320=EDGE_CURVE('',#157484,#157485,#77042,.T.); #194321=EDGE_CURVE('',#157486,#157484,#77043,.T.); #194322=EDGE_CURVE('',#157487,#157485,#77044,.T.); #194323=EDGE_CURVE('',#157486,#157487,#77045,.T.); #194324=EDGE_CURVE('',#157488,#157486,#77046,.T.); #194325=EDGE_CURVE('',#157489,#157487,#77047,.T.); #194326=EDGE_CURVE('',#157488,#157489,#77048,.T.); #194327=EDGE_CURVE('',#157490,#157488,#77049,.T.); #194328=EDGE_CURVE('',#157491,#157489,#77050,.T.); #194329=EDGE_CURVE('',#157490,#157491,#77051,.T.); #194330=EDGE_CURVE('',#157492,#157490,#77052,.T.); #194331=EDGE_CURVE('',#157493,#157491,#77053,.T.); #194332=EDGE_CURVE('',#157492,#157493,#77054,.T.); #194333=EDGE_CURVE('',#157494,#157492,#77055,.T.); #194334=EDGE_CURVE('',#157495,#157493,#77056,.T.); #194335=EDGE_CURVE('',#157494,#157495,#77057,.T.); #194336=EDGE_CURVE('',#157496,#157494,#77058,.T.); #194337=EDGE_CURVE('',#157497,#157495,#77059,.T.); #194338=EDGE_CURVE('',#157496,#157497,#77060,.T.); #194339=EDGE_CURVE('',#157498,#157496,#77061,.T.); #194340=EDGE_CURVE('',#157499,#157497,#77062,.T.); #194341=EDGE_CURVE('',#157498,#157499,#77063,.T.); #194342=EDGE_CURVE('',#157500,#157498,#77064,.T.); #194343=EDGE_CURVE('',#157501,#157499,#77065,.T.); #194344=EDGE_CURVE('',#157500,#157501,#77066,.T.); #194345=EDGE_CURVE('',#157502,#157500,#77067,.T.); #194346=EDGE_CURVE('',#157503,#157501,#77068,.T.); #194347=EDGE_CURVE('',#157502,#157503,#77069,.T.); #194348=EDGE_CURVE('',#157504,#157502,#77070,.T.); #194349=EDGE_CURVE('',#157505,#157503,#77071,.T.); #194350=EDGE_CURVE('',#157504,#157505,#77072,.T.); #194351=EDGE_CURVE('',#157506,#157504,#77073,.T.); #194352=EDGE_CURVE('',#157507,#157505,#77074,.T.); #194353=EDGE_CURVE('',#157506,#157507,#77075,.T.); #194354=EDGE_CURVE('',#157508,#157506,#77076,.T.); #194355=EDGE_CURVE('',#157509,#157507,#77077,.T.); #194356=EDGE_CURVE('',#157508,#157509,#77078,.T.); #194357=EDGE_CURVE('',#157399,#157508,#77079,.T.); #194358=EDGE_CURVE('',#157400,#157509,#77080,.T.); #194359=EDGE_CURVE('',#157510,#157510,#140092,.T.); #194360=EDGE_CURVE('',#157510,#157511,#77081,.T.); #194361=EDGE_CURVE('',#157511,#157511,#140093,.T.); #194362=EDGE_CURVE('',#157512,#157513,#77082,.T.); #194363=EDGE_CURVE('',#157513,#157514,#77083,.T.); #194364=EDGE_CURVE('',#157515,#157514,#77084,.T.); #194365=EDGE_CURVE('',#157512,#157515,#77085,.T.); #194366=EDGE_CURVE('',#157516,#157512,#77086,.T.); #194367=EDGE_CURVE('',#157517,#157515,#77087,.T.); #194368=EDGE_CURVE('',#157516,#157517,#77088,.T.); #194369=EDGE_CURVE('',#157518,#157516,#77089,.T.); #194370=EDGE_CURVE('',#157519,#157517,#77090,.T.); #194371=EDGE_CURVE('',#157518,#157519,#77091,.T.); #194372=EDGE_CURVE('',#157520,#157518,#77092,.T.); #194373=EDGE_CURVE('',#157521,#157519,#77093,.T.); #194374=EDGE_CURVE('',#157520,#157521,#77094,.T.); #194375=EDGE_CURVE('',#157522,#157520,#77095,.T.); #194376=EDGE_CURVE('',#157523,#157521,#77096,.T.); #194377=EDGE_CURVE('',#157522,#157523,#77097,.T.); #194378=EDGE_CURVE('',#157524,#157522,#77098,.T.); #194379=EDGE_CURVE('',#157525,#157523,#77099,.T.); #194380=EDGE_CURVE('',#157524,#157525,#77100,.T.); #194381=EDGE_CURVE('',#157526,#157524,#77101,.T.); #194382=EDGE_CURVE('',#157527,#157525,#77102,.T.); #194383=EDGE_CURVE('',#157526,#157527,#77103,.T.); #194384=EDGE_CURVE('',#157513,#157526,#77104,.T.); #194385=EDGE_CURVE('',#157514,#157527,#77105,.T.); #194386=EDGE_CURVE('',#157528,#157528,#140094,.T.); #194387=EDGE_CURVE('',#157528,#157529,#77106,.T.); #194388=EDGE_CURVE('',#157529,#157529,#140095,.T.); #194389=EDGE_CURVE('',#157530,#157531,#77107,.T.); #194390=EDGE_CURVE('',#157531,#157532,#77108,.T.); #194391=EDGE_CURVE('',#157533,#157532,#77109,.T.); #194392=EDGE_CURVE('',#157530,#157533,#77110,.T.); #194393=EDGE_CURVE('',#157534,#157530,#140096,.T.); #194394=EDGE_CURVE('',#157535,#157533,#140097,.T.); #194395=EDGE_CURVE('',#157534,#157535,#77111,.T.); #194396=EDGE_CURVE('',#157531,#157534,#140098,.T.); #194397=EDGE_CURVE('',#157532,#157535,#140099,.T.); #194398=EDGE_CURVE('',#157536,#157537,#77112,.T.); #194399=EDGE_CURVE('',#157538,#157536,#77113,.T.); #194400=EDGE_CURVE('',#157539,#157538,#77114,.T.); #194401=EDGE_CURVE('',#157539,#157537,#77115,.T.); #194402=EDGE_CURVE('',#157537,#157540,#140100,.T.); #194403=EDGE_CURVE('',#157541,#157539,#140101,.T.); #194404=EDGE_CURVE('',#157541,#157540,#77116,.T.); #194405=EDGE_CURVE('',#157540,#157542,#77117,.T.); #194406=EDGE_CURVE('',#157543,#157541,#77118,.T.); #194407=EDGE_CURVE('',#157543,#157542,#77119,.T.); #194408=EDGE_CURVE('',#157542,#157536,#140102,.T.); #194409=EDGE_CURVE('',#157538,#157543,#140103,.T.); #194410=EDGE_CURVE('',#157544,#157545,#77120,.T.); #194411=EDGE_CURVE('',#157545,#157546,#77121,.T.); #194412=EDGE_CURVE('',#157547,#157546,#77122,.T.); #194413=EDGE_CURVE('',#157544,#157547,#77123,.T.); #194414=EDGE_CURVE('',#157548,#157544,#77124,.T.); #194415=EDGE_CURVE('',#157549,#157547,#77125,.T.); #194416=EDGE_CURVE('',#157548,#157549,#77126,.T.); #194417=EDGE_CURVE('',#157550,#157548,#77127,.T.); #194418=EDGE_CURVE('',#157551,#157549,#77128,.T.); #194419=EDGE_CURVE('',#157550,#157551,#77129,.T.); #194420=EDGE_CURVE('',#157552,#157550,#77130,.T.); #194421=EDGE_CURVE('',#157553,#157551,#77131,.T.); #194422=EDGE_CURVE('',#157552,#157553,#77132,.T.); #194423=EDGE_CURVE('',#157554,#157552,#77133,.T.); #194424=EDGE_CURVE('',#157555,#157553,#77134,.T.); #194425=EDGE_CURVE('',#157554,#157555,#77135,.T.); #194426=EDGE_CURVE('',#157556,#157554,#77136,.T.); #194427=EDGE_CURVE('',#157557,#157555,#77137,.T.); #194428=EDGE_CURVE('',#157556,#157557,#77138,.T.); #194429=EDGE_CURVE('',#157558,#157556,#77139,.T.); #194430=EDGE_CURVE('',#157559,#157557,#77140,.T.); #194431=EDGE_CURVE('',#157558,#157559,#77141,.T.); #194432=EDGE_CURVE('',#157560,#157558,#77142,.T.); #194433=EDGE_CURVE('',#157561,#157559,#77143,.T.); #194434=EDGE_CURVE('',#157560,#157561,#77144,.T.); #194435=EDGE_CURVE('',#157562,#157560,#77145,.T.); #194436=EDGE_CURVE('',#157563,#157561,#77146,.T.); #194437=EDGE_CURVE('',#157562,#157563,#77147,.T.); #194438=EDGE_CURVE('',#157564,#157562,#77148,.T.); #194439=EDGE_CURVE('',#157565,#157563,#77149,.T.); #194440=EDGE_CURVE('',#157564,#157565,#77150,.T.); #194441=EDGE_CURVE('',#157566,#157564,#77151,.T.); #194442=EDGE_CURVE('',#157567,#157565,#77152,.T.); #194443=EDGE_CURVE('',#157566,#157567,#77153,.T.); #194444=EDGE_CURVE('',#157568,#157566,#77154,.T.); #194445=EDGE_CURVE('',#157569,#157567,#77155,.T.); #194446=EDGE_CURVE('',#157568,#157569,#77156,.T.); #194447=EDGE_CURVE('',#157570,#157568,#77157,.T.); #194448=EDGE_CURVE('',#157571,#157569,#77158,.T.); #194449=EDGE_CURVE('',#157570,#157571,#77159,.T.); #194450=EDGE_CURVE('',#157572,#157570,#77160,.T.); #194451=EDGE_CURVE('',#157573,#157571,#77161,.T.); #194452=EDGE_CURVE('',#157572,#157573,#77162,.T.); #194453=EDGE_CURVE('',#157574,#157572,#77163,.T.); #194454=EDGE_CURVE('',#157575,#157573,#77164,.T.); #194455=EDGE_CURVE('',#157574,#157575,#77165,.T.); #194456=EDGE_CURVE('',#157576,#157574,#77166,.T.); #194457=EDGE_CURVE('',#157577,#157575,#77167,.T.); #194458=EDGE_CURVE('',#157576,#157577,#77168,.T.); #194459=EDGE_CURVE('',#157578,#157576,#77169,.T.); #194460=EDGE_CURVE('',#157579,#157577,#77170,.T.); #194461=EDGE_CURVE('',#157578,#157579,#77171,.T.); #194462=EDGE_CURVE('',#157580,#157578,#77172,.T.); #194463=EDGE_CURVE('',#157581,#157579,#77173,.T.); #194464=EDGE_CURVE('',#157580,#157581,#77174,.T.); #194465=EDGE_CURVE('',#157582,#157580,#77175,.T.); #194466=EDGE_CURVE('',#157583,#157581,#77176,.T.); #194467=EDGE_CURVE('',#157582,#157583,#77177,.T.); #194468=EDGE_CURVE('',#157584,#157582,#77178,.T.); #194469=EDGE_CURVE('',#157585,#157583,#77179,.T.); #194470=EDGE_CURVE('',#157584,#157585,#77180,.T.); #194471=EDGE_CURVE('',#157586,#157584,#77181,.T.); #194472=EDGE_CURVE('',#157587,#157585,#77182,.T.); #194473=EDGE_CURVE('',#157586,#157587,#77183,.T.); #194474=EDGE_CURVE('',#157588,#157586,#77184,.T.); #194475=EDGE_CURVE('',#157589,#157587,#77185,.T.); #194476=EDGE_CURVE('',#157588,#157589,#77186,.T.); #194477=EDGE_CURVE('',#157590,#157588,#77187,.T.); #194478=EDGE_CURVE('',#157591,#157589,#77188,.T.); #194479=EDGE_CURVE('',#157590,#157591,#77189,.T.); #194480=EDGE_CURVE('',#157592,#157590,#77190,.T.); #194481=EDGE_CURVE('',#157593,#157591,#77191,.T.); #194482=EDGE_CURVE('',#157592,#157593,#77192,.T.); #194483=EDGE_CURVE('',#157594,#157592,#77193,.T.); #194484=EDGE_CURVE('',#157595,#157593,#77194,.T.); #194485=EDGE_CURVE('',#157594,#157595,#77195,.T.); #194486=EDGE_CURVE('',#157596,#157594,#77196,.T.); #194487=EDGE_CURVE('',#157597,#157595,#77197,.T.); #194488=EDGE_CURVE('',#157596,#157597,#77198,.T.); #194489=EDGE_CURVE('',#157598,#157596,#77199,.T.); #194490=EDGE_CURVE('',#157599,#157597,#77200,.T.); #194491=EDGE_CURVE('',#157598,#157599,#77201,.T.); #194492=EDGE_CURVE('',#157600,#157598,#77202,.T.); #194493=EDGE_CURVE('',#157601,#157599,#77203,.T.); #194494=EDGE_CURVE('',#157600,#157601,#77204,.T.); #194495=EDGE_CURVE('',#157602,#157600,#77205,.T.); #194496=EDGE_CURVE('',#157603,#157601,#77206,.T.); #194497=EDGE_CURVE('',#157602,#157603,#77207,.T.); #194498=EDGE_CURVE('',#157604,#157602,#77208,.T.); #194499=EDGE_CURVE('',#157605,#157603,#77209,.T.); #194500=EDGE_CURVE('',#157604,#157605,#77210,.T.); #194501=EDGE_CURVE('',#157606,#157604,#77211,.T.); #194502=EDGE_CURVE('',#157607,#157605,#77212,.T.); #194503=EDGE_CURVE('',#157606,#157607,#77213,.T.); #194504=EDGE_CURVE('',#157608,#157606,#77214,.T.); #194505=EDGE_CURVE('',#157609,#157607,#77215,.T.); #194506=EDGE_CURVE('',#157608,#157609,#77216,.T.); #194507=EDGE_CURVE('',#157610,#157608,#77217,.T.); #194508=EDGE_CURVE('',#157611,#157609,#77218,.T.); #194509=EDGE_CURVE('',#157610,#157611,#77219,.T.); #194510=EDGE_CURVE('',#157612,#157610,#77220,.T.); #194511=EDGE_CURVE('',#157613,#157611,#77221,.T.); #194512=EDGE_CURVE('',#157612,#157613,#77222,.T.); #194513=EDGE_CURVE('',#157614,#157612,#77223,.T.); #194514=EDGE_CURVE('',#157615,#157613,#77224,.T.); #194515=EDGE_CURVE('',#157614,#157615,#77225,.T.); #194516=EDGE_CURVE('',#157616,#157614,#77226,.T.); #194517=EDGE_CURVE('',#157617,#157615,#77227,.T.); #194518=EDGE_CURVE('',#157616,#157617,#77228,.T.); #194519=EDGE_CURVE('',#157618,#157616,#77229,.T.); #194520=EDGE_CURVE('',#157619,#157617,#77230,.T.); #194521=EDGE_CURVE('',#157618,#157619,#77231,.T.); #194522=EDGE_CURVE('',#157620,#157618,#77232,.T.); #194523=EDGE_CURVE('',#157621,#157619,#77233,.T.); #194524=EDGE_CURVE('',#157620,#157621,#77234,.T.); #194525=EDGE_CURVE('',#157622,#157620,#77235,.T.); #194526=EDGE_CURVE('',#157623,#157621,#77236,.T.); #194527=EDGE_CURVE('',#157622,#157623,#77237,.T.); #194528=EDGE_CURVE('',#157624,#157622,#77238,.T.); #194529=EDGE_CURVE('',#157625,#157623,#77239,.T.); #194530=EDGE_CURVE('',#157624,#157625,#77240,.T.); #194531=EDGE_CURVE('',#157626,#157624,#77241,.T.); #194532=EDGE_CURVE('',#157627,#157625,#77242,.T.); #194533=EDGE_CURVE('',#157626,#157627,#77243,.T.); #194534=EDGE_CURVE('',#157628,#157626,#77244,.T.); #194535=EDGE_CURVE('',#157629,#157627,#77245,.T.); #194536=EDGE_CURVE('',#157628,#157629,#77246,.T.); #194537=EDGE_CURVE('',#157630,#157628,#77247,.T.); #194538=EDGE_CURVE('',#157631,#157629,#77248,.T.); #194539=EDGE_CURVE('',#157630,#157631,#77249,.T.); #194540=EDGE_CURVE('',#157632,#157630,#77250,.T.); #194541=EDGE_CURVE('',#157633,#157631,#77251,.T.); #194542=EDGE_CURVE('',#157632,#157633,#77252,.T.); #194543=EDGE_CURVE('',#157634,#157632,#77253,.T.); #194544=EDGE_CURVE('',#157635,#157633,#77254,.T.); #194545=EDGE_CURVE('',#157634,#157635,#77255,.T.); #194546=EDGE_CURVE('',#157636,#157634,#77256,.T.); #194547=EDGE_CURVE('',#157637,#157635,#77257,.T.); #194548=EDGE_CURVE('',#157636,#157637,#77258,.T.); #194549=EDGE_CURVE('',#157638,#157636,#77259,.T.); #194550=EDGE_CURVE('',#157639,#157637,#77260,.T.); #194551=EDGE_CURVE('',#157638,#157639,#77261,.T.); #194552=EDGE_CURVE('',#157640,#157638,#77262,.T.); #194553=EDGE_CURVE('',#157641,#157639,#77263,.T.); #194554=EDGE_CURVE('',#157640,#157641,#77264,.T.); #194555=EDGE_CURVE('',#157642,#157640,#77265,.T.); #194556=EDGE_CURVE('',#157643,#157641,#77266,.T.); #194557=EDGE_CURVE('',#157642,#157643,#77267,.T.); #194558=EDGE_CURVE('',#157644,#157642,#77268,.T.); #194559=EDGE_CURVE('',#157645,#157643,#77269,.T.); #194560=EDGE_CURVE('',#157644,#157645,#77270,.T.); #194561=EDGE_CURVE('',#157646,#157644,#77271,.T.); #194562=EDGE_CURVE('',#157647,#157645,#77272,.T.); #194563=EDGE_CURVE('',#157646,#157647,#77273,.T.); #194564=EDGE_CURVE('',#157648,#157646,#77274,.T.); #194565=EDGE_CURVE('',#157649,#157647,#77275,.T.); #194566=EDGE_CURVE('',#157648,#157649,#77276,.T.); #194567=EDGE_CURVE('',#157650,#157648,#77277,.T.); #194568=EDGE_CURVE('',#157651,#157649,#77278,.T.); #194569=EDGE_CURVE('',#157650,#157651,#77279,.T.); #194570=EDGE_CURVE('',#157652,#157650,#77280,.T.); #194571=EDGE_CURVE('',#157653,#157651,#77281,.T.); #194572=EDGE_CURVE('',#157652,#157653,#77282,.T.); #194573=EDGE_CURVE('',#157654,#157652,#77283,.T.); #194574=EDGE_CURVE('',#157655,#157653,#77284,.T.); #194575=EDGE_CURVE('',#157654,#157655,#77285,.T.); #194576=EDGE_CURVE('',#157656,#157654,#77286,.T.); #194577=EDGE_CURVE('',#157657,#157655,#77287,.T.); #194578=EDGE_CURVE('',#157656,#157657,#77288,.T.); #194579=EDGE_CURVE('',#157658,#157656,#77289,.T.); #194580=EDGE_CURVE('',#157659,#157657,#77290,.T.); #194581=EDGE_CURVE('',#157658,#157659,#77291,.T.); #194582=EDGE_CURVE('',#157660,#157658,#77292,.T.); #194583=EDGE_CURVE('',#157661,#157659,#77293,.T.); #194584=EDGE_CURVE('',#157660,#157661,#77294,.T.); #194585=EDGE_CURVE('',#157662,#157660,#77295,.T.); #194586=EDGE_CURVE('',#157663,#157661,#77296,.T.); #194587=EDGE_CURVE('',#157662,#157663,#77297,.T.); #194588=EDGE_CURVE('',#157664,#157662,#77298,.T.); #194589=EDGE_CURVE('',#157665,#157663,#77299,.T.); #194590=EDGE_CURVE('',#157664,#157665,#77300,.T.); #194591=EDGE_CURVE('',#157666,#157664,#77301,.T.); #194592=EDGE_CURVE('',#157667,#157665,#77302,.T.); #194593=EDGE_CURVE('',#157666,#157667,#77303,.T.); #194594=EDGE_CURVE('',#157668,#157666,#77304,.T.); #194595=EDGE_CURVE('',#157669,#157667,#77305,.T.); #194596=EDGE_CURVE('',#157668,#157669,#77306,.T.); #194597=EDGE_CURVE('',#157670,#157668,#77307,.T.); #194598=EDGE_CURVE('',#157671,#157669,#77308,.T.); #194599=EDGE_CURVE('',#157670,#157671,#77309,.T.); #194600=EDGE_CURVE('',#157672,#157670,#77310,.T.); #194601=EDGE_CURVE('',#157673,#157671,#77311,.T.); #194602=EDGE_CURVE('',#157672,#157673,#77312,.T.); #194603=EDGE_CURVE('',#157674,#157672,#77313,.T.); #194604=EDGE_CURVE('',#157675,#157673,#77314,.T.); #194605=EDGE_CURVE('',#157674,#157675,#77315,.T.); #194606=EDGE_CURVE('',#157676,#157674,#77316,.T.); #194607=EDGE_CURVE('',#157677,#157675,#77317,.T.); #194608=EDGE_CURVE('',#157676,#157677,#77318,.T.); #194609=EDGE_CURVE('',#157678,#157676,#77319,.T.); #194610=EDGE_CURVE('',#157679,#157677,#77320,.T.); #194611=EDGE_CURVE('',#157678,#157679,#77321,.T.); #194612=EDGE_CURVE('',#157680,#157678,#77322,.T.); #194613=EDGE_CURVE('',#157681,#157679,#77323,.T.); #194614=EDGE_CURVE('',#157680,#157681,#77324,.T.); #194615=EDGE_CURVE('',#157682,#157680,#77325,.T.); #194616=EDGE_CURVE('',#157683,#157681,#77326,.T.); #194617=EDGE_CURVE('',#157682,#157683,#77327,.T.); #194618=EDGE_CURVE('',#157684,#157682,#77328,.T.); #194619=EDGE_CURVE('',#157685,#157683,#77329,.T.); #194620=EDGE_CURVE('',#157684,#157685,#77330,.T.); #194621=EDGE_CURVE('',#157686,#157684,#77331,.T.); #194622=EDGE_CURVE('',#157687,#157685,#77332,.T.); #194623=EDGE_CURVE('',#157686,#157687,#77333,.T.); #194624=EDGE_CURVE('',#157545,#157686,#77334,.T.); #194625=EDGE_CURVE('',#157546,#157687,#77335,.T.); #194626=EDGE_CURVE('',#157688,#157688,#140104,.T.); #194627=EDGE_CURVE('',#157688,#157689,#77336,.T.); #194628=EDGE_CURVE('',#157689,#157689,#140105,.T.); #194629=EDGE_CURVE('',#157690,#157691,#77337,.T.); #194630=EDGE_CURVE('',#157691,#157692,#77338,.T.); #194631=EDGE_CURVE('',#157693,#157692,#77339,.T.); #194632=EDGE_CURVE('',#157690,#157693,#77340,.T.); #194633=EDGE_CURVE('',#157694,#157690,#77341,.T.); #194634=EDGE_CURVE('',#157695,#157693,#77342,.T.); #194635=EDGE_CURVE('',#157694,#157695,#77343,.T.); #194636=EDGE_CURVE('',#157696,#157694,#77344,.T.); #194637=EDGE_CURVE('',#157697,#157695,#77345,.T.); #194638=EDGE_CURVE('',#157696,#157697,#77346,.T.); #194639=EDGE_CURVE('',#157698,#157696,#77347,.T.); #194640=EDGE_CURVE('',#157699,#157697,#77348,.T.); #194641=EDGE_CURVE('',#157698,#157699,#77349,.T.); #194642=EDGE_CURVE('',#157700,#157698,#77350,.T.); #194643=EDGE_CURVE('',#157701,#157699,#77351,.T.); #194644=EDGE_CURVE('',#157700,#157701,#77352,.T.); #194645=EDGE_CURVE('',#157702,#157700,#77353,.T.); #194646=EDGE_CURVE('',#157703,#157701,#77354,.T.); #194647=EDGE_CURVE('',#157702,#157703,#77355,.T.); #194648=EDGE_CURVE('',#157704,#157702,#77356,.T.); #194649=EDGE_CURVE('',#157705,#157703,#77357,.T.); #194650=EDGE_CURVE('',#157704,#157705,#77358,.T.); #194651=EDGE_CURVE('',#157691,#157704,#77359,.T.); #194652=EDGE_CURVE('',#157692,#157705,#77360,.T.); #194653=EDGE_CURVE('',#157706,#157706,#140106,.T.); #194654=EDGE_CURVE('',#157706,#157707,#77361,.T.); #194655=EDGE_CURVE('',#157707,#157707,#140107,.T.); #194656=EDGE_CURVE('',#157708,#157709,#77362,.T.); #194657=EDGE_CURVE('',#157709,#157710,#77363,.T.); #194658=EDGE_CURVE('',#157711,#157710,#77364,.T.); #194659=EDGE_CURVE('',#157708,#157711,#77365,.T.); #194660=EDGE_CURVE('',#157712,#157708,#140108,.T.); #194661=EDGE_CURVE('',#157713,#157711,#140109,.T.); #194662=EDGE_CURVE('',#157712,#157713,#77366,.T.); #194663=EDGE_CURVE('',#157709,#157712,#140110,.T.); #194664=EDGE_CURVE('',#157710,#157713,#140111,.T.); #194665=EDGE_CURVE('',#157714,#157714,#140112,.T.); #194666=EDGE_CURVE('',#157714,#157715,#77367,.T.); #194667=EDGE_CURVE('',#157715,#157715,#140113,.T.); #194668=EDGE_CURVE('',#157716,#157717,#77368,.T.); #194669=EDGE_CURVE('',#157717,#157718,#77369,.T.); #194670=EDGE_CURVE('',#157719,#157718,#77370,.T.); #194671=EDGE_CURVE('',#157716,#157719,#77371,.T.); #194672=EDGE_CURVE('',#157720,#157716,#140114,.T.); #194673=EDGE_CURVE('',#157721,#157719,#140115,.T.); #194674=EDGE_CURVE('',#157720,#157721,#77372,.T.); #194675=EDGE_CURVE('',#157717,#157720,#140116,.T.); #194676=EDGE_CURVE('',#157718,#157721,#140117,.T.); #194677=EDGE_CURVE('',#157722,#157722,#140118,.T.); #194678=EDGE_CURVE('',#157722,#157723,#77373,.T.); #194679=EDGE_CURVE('',#157723,#157723,#140119,.T.); #194680=EDGE_CURVE('',#157724,#157725,#77374,.T.); #194681=EDGE_CURVE('',#157725,#157726,#77375,.T.); #194682=EDGE_CURVE('',#157727,#157726,#77376,.T.); #194683=EDGE_CURVE('',#157724,#157727,#77377,.T.); #194684=EDGE_CURVE('',#157728,#157724,#140120,.T.); #194685=EDGE_CURVE('',#157729,#157727,#140121,.T.); #194686=EDGE_CURVE('',#157728,#157729,#77378,.T.); #194687=EDGE_CURVE('',#157725,#157728,#140122,.T.); #194688=EDGE_CURVE('',#157726,#157729,#140123,.T.); #194689=EDGE_CURVE('',#157730,#157730,#140124,.T.); #194690=EDGE_CURVE('',#157730,#157731,#77379,.T.); #194691=EDGE_CURVE('',#157731,#157731,#140125,.T.); #194692=EDGE_CURVE('',#157732,#157733,#77380,.T.); #194693=EDGE_CURVE('',#157733,#157734,#77381,.T.); #194694=EDGE_CURVE('',#157735,#157734,#77382,.T.); #194695=EDGE_CURVE('',#157732,#157735,#77383,.T.); #194696=EDGE_CURVE('',#157736,#157732,#77384,.T.); #194697=EDGE_CURVE('',#157737,#157735,#77385,.T.); #194698=EDGE_CURVE('',#157736,#157737,#77386,.T.); #194699=EDGE_CURVE('',#157738,#157736,#77387,.T.); #194700=EDGE_CURVE('',#157739,#157737,#77388,.T.); #194701=EDGE_CURVE('',#157738,#157739,#77389,.T.); #194702=EDGE_CURVE('',#157733,#157738,#77390,.T.); #194703=EDGE_CURVE('',#157734,#157739,#77391,.T.); #194704=EDGE_CURVE('',#157740,#157740,#140126,.T.); #194705=EDGE_CURVE('',#157740,#157741,#77392,.T.); #194706=EDGE_CURVE('',#157741,#157741,#140127,.T.); #194707=EDGE_CURVE('',#157742,#157743,#77393,.T.); #194708=EDGE_CURVE('',#157743,#157744,#77394,.T.); #194709=EDGE_CURVE('',#157745,#157744,#77395,.T.); #194710=EDGE_CURVE('',#157742,#157745,#77396,.T.); #194711=EDGE_CURVE('',#157746,#157742,#77397,.T.); #194712=EDGE_CURVE('',#157747,#157745,#77398,.T.); #194713=EDGE_CURVE('',#157746,#157747,#77399,.T.); #194714=EDGE_CURVE('',#157748,#157746,#77400,.T.); #194715=EDGE_CURVE('',#157749,#157747,#77401,.T.); #194716=EDGE_CURVE('',#157748,#157749,#77402,.T.); #194717=EDGE_CURVE('',#157750,#157748,#77403,.T.); #194718=EDGE_CURVE('',#157751,#157749,#77404,.T.); #194719=EDGE_CURVE('',#157750,#157751,#77405,.T.); #194720=EDGE_CURVE('',#157752,#157750,#77406,.T.); #194721=EDGE_CURVE('',#157753,#157751,#77407,.T.); #194722=EDGE_CURVE('',#157752,#157753,#77408,.T.); #194723=EDGE_CURVE('',#157754,#157752,#77409,.T.); #194724=EDGE_CURVE('',#157755,#157753,#77410,.T.); #194725=EDGE_CURVE('',#157754,#157755,#77411,.T.); #194726=EDGE_CURVE('',#157756,#157754,#77412,.T.); #194727=EDGE_CURVE('',#157757,#157755,#77413,.T.); #194728=EDGE_CURVE('',#157756,#157757,#77414,.T.); #194729=EDGE_CURVE('',#157743,#157756,#77415,.T.); #194730=EDGE_CURVE('',#157744,#157757,#77416,.T.); #194731=EDGE_CURVE('',#157758,#157758,#140128,.T.); #194732=EDGE_CURVE('',#157758,#157759,#77417,.T.); #194733=EDGE_CURVE('',#157759,#157759,#140129,.T.); #194734=EDGE_CURVE('',#157760,#157761,#77418,.T.); #194735=EDGE_CURVE('',#157761,#157762,#77419,.T.); #194736=EDGE_CURVE('',#157763,#157762,#77420,.T.); #194737=EDGE_CURVE('',#157760,#157763,#77421,.T.); #194738=EDGE_CURVE('',#157764,#157760,#140130,.T.); #194739=EDGE_CURVE('',#157765,#157763,#140131,.T.); #194740=EDGE_CURVE('',#157764,#157765,#77422,.T.); #194741=EDGE_CURVE('',#157761,#157764,#140132,.T.); #194742=EDGE_CURVE('',#157762,#157765,#140133,.T.); #194743=EDGE_CURVE('',#157766,#157766,#140134,.T.); #194744=EDGE_CURVE('',#157766,#157767,#77423,.T.); #194745=EDGE_CURVE('',#157767,#157767,#140135,.T.); #194746=EDGE_CURVE('',#157768,#157769,#77424,.T.); #194747=EDGE_CURVE('',#157769,#157770,#77425,.T.); #194748=EDGE_CURVE('',#157771,#157770,#77426,.T.); #194749=EDGE_CURVE('',#157768,#157771,#77427,.T.); #194750=EDGE_CURVE('',#157772,#157768,#140136,.T.); #194751=EDGE_CURVE('',#157773,#157771,#140137,.T.); #194752=EDGE_CURVE('',#157772,#157773,#77428,.T.); #194753=EDGE_CURVE('',#157769,#157772,#140138,.T.); #194754=EDGE_CURVE('',#157770,#157773,#140139,.T.); #194755=EDGE_CURVE('',#157774,#157775,#77429,.T.); #194756=EDGE_CURVE('',#157775,#157776,#77430,.T.); #194757=EDGE_CURVE('',#157777,#157776,#77431,.T.); #194758=EDGE_CURVE('',#157774,#157777,#77432,.T.); #194759=EDGE_CURVE('',#157778,#157774,#77433,.T.); #194760=EDGE_CURVE('',#157779,#157777,#77434,.T.); #194761=EDGE_CURVE('',#157778,#157779,#77435,.T.); #194762=EDGE_CURVE('',#157780,#157778,#77436,.T.); #194763=EDGE_CURVE('',#157781,#157779,#77437,.T.); #194764=EDGE_CURVE('',#157780,#157781,#77438,.T.); #194765=EDGE_CURVE('',#157775,#157780,#77439,.T.); #194766=EDGE_CURVE('',#157776,#157781,#77440,.T.); #194767=EDGE_CURVE('',#157782,#157783,#77441,.T.); #194768=EDGE_CURVE('',#157783,#157784,#77442,.T.); #194769=EDGE_CURVE('',#157785,#157784,#77443,.T.); #194770=EDGE_CURVE('',#157782,#157785,#77444,.T.); #194771=EDGE_CURVE('',#157786,#157782,#77445,.T.); #194772=EDGE_CURVE('',#157787,#157785,#77446,.T.); #194773=EDGE_CURVE('',#157786,#157787,#77447,.T.); #194774=EDGE_CURVE('',#157788,#157786,#77448,.T.); #194775=EDGE_CURVE('',#157789,#157787,#77449,.T.); #194776=EDGE_CURVE('',#157788,#157789,#77450,.T.); #194777=EDGE_CURVE('',#157783,#157788,#77451,.T.); #194778=EDGE_CURVE('',#157784,#157789,#77452,.T.); #194779=EDGE_CURVE('',#157790,#157791,#77453,.T.); #194780=EDGE_CURVE('',#157791,#157792,#77454,.T.); #194781=EDGE_CURVE('',#157793,#157792,#77455,.T.); #194782=EDGE_CURVE('',#157790,#157793,#77456,.T.); #194783=EDGE_CURVE('',#157794,#157790,#77457,.T.); #194784=EDGE_CURVE('',#157795,#157793,#77458,.T.); #194785=EDGE_CURVE('',#157794,#157795,#77459,.T.); #194786=EDGE_CURVE('',#157796,#157794,#77460,.T.); #194787=EDGE_CURVE('',#157797,#157795,#77461,.T.); #194788=EDGE_CURVE('',#157796,#157797,#77462,.T.); #194789=EDGE_CURVE('',#157791,#157796,#77463,.T.); #194790=EDGE_CURVE('',#157792,#157797,#77464,.T.); #194791=EDGE_CURVE('',#157798,#157799,#77465,.T.); #194792=EDGE_CURVE('',#157799,#157800,#77466,.T.); #194793=EDGE_CURVE('',#157801,#157800,#77467,.T.); #194794=EDGE_CURVE('',#157798,#157801,#77468,.T.); #194795=EDGE_CURVE('',#157802,#157798,#77469,.T.); #194796=EDGE_CURVE('',#157803,#157801,#77470,.T.); #194797=EDGE_CURVE('',#157802,#157803,#77471,.T.); #194798=EDGE_CURVE('',#157804,#157802,#77472,.T.); #194799=EDGE_CURVE('',#157805,#157803,#77473,.T.); #194800=EDGE_CURVE('',#157804,#157805,#77474,.T.); #194801=EDGE_CURVE('',#157799,#157804,#77475,.T.); #194802=EDGE_CURVE('',#157800,#157805,#77476,.T.); #194803=EDGE_CURVE('',#157806,#157807,#77477,.T.); #194804=EDGE_CURVE('',#157807,#157808,#77478,.T.); #194805=EDGE_CURVE('',#157809,#157808,#77479,.T.); #194806=EDGE_CURVE('',#157806,#157809,#77480,.T.); #194807=EDGE_CURVE('',#157810,#157806,#77481,.T.); #194808=EDGE_CURVE('',#157811,#157809,#77482,.T.); #194809=EDGE_CURVE('',#157810,#157811,#77483,.T.); #194810=EDGE_CURVE('',#157812,#157810,#77484,.T.); #194811=EDGE_CURVE('',#157813,#157811,#77485,.T.); #194812=EDGE_CURVE('',#157812,#157813,#77486,.T.); #194813=EDGE_CURVE('',#157807,#157812,#77487,.T.); #194814=EDGE_CURVE('',#157808,#157813,#77488,.T.); #194815=EDGE_CURVE('',#157814,#157815,#77489,.T.); #194816=EDGE_CURVE('',#157815,#157816,#77490,.T.); #194817=EDGE_CURVE('',#157817,#157816,#77491,.T.); #194818=EDGE_CURVE('',#157814,#157817,#77492,.T.); #194819=EDGE_CURVE('',#157818,#157814,#77493,.T.); #194820=EDGE_CURVE('',#157819,#157817,#77494,.T.); #194821=EDGE_CURVE('',#157818,#157819,#77495,.T.); #194822=EDGE_CURVE('',#157820,#157818,#77496,.T.); #194823=EDGE_CURVE('',#157821,#157819,#77497,.T.); #194824=EDGE_CURVE('',#157820,#157821,#77498,.T.); #194825=EDGE_CURVE('',#157815,#157820,#77499,.T.); #194826=EDGE_CURVE('',#157816,#157821,#77500,.T.); #194827=EDGE_CURVE('',#157822,#157823,#77501,.T.); #194828=EDGE_CURVE('',#157823,#157824,#77502,.T.); #194829=EDGE_CURVE('',#157825,#157824,#77503,.T.); #194830=EDGE_CURVE('',#157822,#157825,#77504,.T.); #194831=EDGE_CURVE('',#157826,#157822,#77505,.T.); #194832=EDGE_CURVE('',#157827,#157825,#77506,.T.); #194833=EDGE_CURVE('',#157826,#157827,#77507,.T.); #194834=EDGE_CURVE('',#157828,#157826,#77508,.T.); #194835=EDGE_CURVE('',#157829,#157827,#77509,.T.); #194836=EDGE_CURVE('',#157828,#157829,#77510,.T.); #194837=EDGE_CURVE('',#157823,#157828,#77511,.T.); #194838=EDGE_CURVE('',#157824,#157829,#77512,.T.); #194839=EDGE_CURVE('',#157830,#157831,#77513,.T.); #194840=EDGE_CURVE('',#157831,#157832,#77514,.T.); #194841=EDGE_CURVE('',#157833,#157832,#77515,.T.); #194842=EDGE_CURVE('',#157830,#157833,#77516,.T.); #194843=EDGE_CURVE('',#157834,#157830,#77517,.T.); #194844=EDGE_CURVE('',#157835,#157833,#77518,.T.); #194845=EDGE_CURVE('',#157834,#157835,#77519,.T.); #194846=EDGE_CURVE('',#157836,#157834,#77520,.T.); #194847=EDGE_CURVE('',#157837,#157835,#77521,.T.); #194848=EDGE_CURVE('',#157836,#157837,#77522,.T.); #194849=EDGE_CURVE('',#157831,#157836,#77523,.T.); #194850=EDGE_CURVE('',#157832,#157837,#77524,.T.); #194851=EDGE_CURVE('',#157838,#157839,#77525,.T.); #194852=EDGE_CURVE('',#157839,#157840,#77526,.T.); #194853=EDGE_CURVE('',#157841,#157840,#77527,.T.); #194854=EDGE_CURVE('',#157838,#157841,#77528,.T.); #194855=EDGE_CURVE('',#157842,#157838,#77529,.T.); #194856=EDGE_CURVE('',#157843,#157841,#77530,.T.); #194857=EDGE_CURVE('',#157842,#157843,#77531,.T.); #194858=EDGE_CURVE('',#157844,#157842,#77532,.T.); #194859=EDGE_CURVE('',#157845,#157843,#77533,.T.); #194860=EDGE_CURVE('',#157844,#157845,#77534,.T.); #194861=EDGE_CURVE('',#157839,#157844,#77535,.T.); #194862=EDGE_CURVE('',#157840,#157845,#77536,.T.); #194863=EDGE_CURVE('',#157846,#157847,#77537,.T.); #194864=EDGE_CURVE('',#157847,#157848,#77538,.T.); #194865=EDGE_CURVE('',#157849,#157848,#77539,.T.); #194866=EDGE_CURVE('',#157846,#157849,#77540,.T.); #194867=EDGE_CURVE('',#157850,#157846,#77541,.T.); #194868=EDGE_CURVE('',#157851,#157849,#77542,.T.); #194869=EDGE_CURVE('',#157850,#157851,#77543,.T.); #194870=EDGE_CURVE('',#157852,#157850,#77544,.T.); #194871=EDGE_CURVE('',#157853,#157851,#77545,.T.); #194872=EDGE_CURVE('',#157852,#157853,#77546,.T.); #194873=EDGE_CURVE('',#157847,#157852,#77547,.T.); #194874=EDGE_CURVE('',#157848,#157853,#77548,.T.); #194875=EDGE_CURVE('',#157854,#157855,#77549,.T.); #194876=EDGE_CURVE('',#157855,#157856,#77550,.T.); #194877=EDGE_CURVE('',#157857,#157856,#77551,.T.); #194878=EDGE_CURVE('',#157854,#157857,#77552,.T.); #194879=EDGE_CURVE('',#157858,#157854,#77553,.T.); #194880=EDGE_CURVE('',#157859,#157857,#77554,.T.); #194881=EDGE_CURVE('',#157858,#157859,#77555,.T.); #194882=EDGE_CURVE('',#157860,#157858,#77556,.T.); #194883=EDGE_CURVE('',#157861,#157859,#77557,.T.); #194884=EDGE_CURVE('',#157860,#157861,#77558,.T.); #194885=EDGE_CURVE('',#157855,#157860,#77559,.T.); #194886=EDGE_CURVE('',#157856,#157861,#77560,.T.); #194887=EDGE_CURVE('',#157862,#157863,#77561,.T.); #194888=EDGE_CURVE('',#157863,#157864,#77562,.T.); #194889=EDGE_CURVE('',#157865,#157864,#77563,.T.); #194890=EDGE_CURVE('',#157862,#157865,#77564,.T.); #194891=EDGE_CURVE('',#157866,#157862,#77565,.T.); #194892=EDGE_CURVE('',#157867,#157865,#77566,.T.); #194893=EDGE_CURVE('',#157866,#157867,#77567,.T.); #194894=EDGE_CURVE('',#157868,#157866,#77568,.T.); #194895=EDGE_CURVE('',#157869,#157867,#77569,.T.); #194896=EDGE_CURVE('',#157868,#157869,#77570,.T.); #194897=EDGE_CURVE('',#157863,#157868,#77571,.T.); #194898=EDGE_CURVE('',#157864,#157869,#77572,.T.); #194899=EDGE_CURVE('',#157870,#157871,#77573,.T.); #194900=EDGE_CURVE('',#157871,#157872,#77574,.T.); #194901=EDGE_CURVE('',#157873,#157872,#77575,.T.); #194902=EDGE_CURVE('',#157870,#157873,#77576,.T.); #194903=EDGE_CURVE('',#157874,#157870,#77577,.T.); #194904=EDGE_CURVE('',#157875,#157873,#77578,.T.); #194905=EDGE_CURVE('',#157874,#157875,#77579,.T.); #194906=EDGE_CURVE('',#157876,#157874,#77580,.T.); #194907=EDGE_CURVE('',#157877,#157875,#77581,.T.); #194908=EDGE_CURVE('',#157876,#157877,#77582,.T.); #194909=EDGE_CURVE('',#157871,#157876,#77583,.T.); #194910=EDGE_CURVE('',#157872,#157877,#77584,.T.); #194911=EDGE_CURVE('',#157878,#157879,#77585,.T.); #194912=EDGE_CURVE('',#157879,#157880,#77586,.T.); #194913=EDGE_CURVE('',#157881,#157880,#77587,.T.); #194914=EDGE_CURVE('',#157878,#157881,#77588,.T.); #194915=EDGE_CURVE('',#157882,#157878,#77589,.T.); #194916=EDGE_CURVE('',#157883,#157881,#77590,.T.); #194917=EDGE_CURVE('',#157882,#157883,#77591,.T.); #194918=EDGE_CURVE('',#157884,#157882,#77592,.T.); #194919=EDGE_CURVE('',#157885,#157883,#77593,.T.); #194920=EDGE_CURVE('',#157884,#157885,#77594,.T.); #194921=EDGE_CURVE('',#157879,#157884,#77595,.T.); #194922=EDGE_CURVE('',#157880,#157885,#77596,.T.); #194923=EDGE_CURVE('',#157886,#157886,#140140,.T.); #194924=EDGE_CURVE('',#157886,#157887,#77597,.T.); #194925=EDGE_CURVE('',#157887,#157887,#140141,.T.); #194926=EDGE_CURVE('',#157888,#157888,#140142,.T.); #194927=EDGE_CURVE('',#157888,#157889,#77598,.T.); #194928=EDGE_CURVE('',#157889,#157889,#140143,.T.); #194929=EDGE_CURVE('',#157890,#157890,#140144,.T.); #194930=EDGE_CURVE('',#157890,#157891,#77599,.T.); #194931=EDGE_CURVE('',#157891,#157891,#140145,.T.); #194932=EDGE_CURVE('',#157892,#157892,#140146,.T.); #194933=EDGE_CURVE('',#157892,#157893,#77600,.T.); #194934=EDGE_CURVE('',#157893,#157893,#140147,.T.); #194935=EDGE_CURVE('',#157894,#157894,#140148,.T.); #194936=EDGE_CURVE('',#157894,#157895,#77601,.T.); #194937=EDGE_CURVE('',#157895,#157895,#140149,.T.); #194938=EDGE_CURVE('',#157896,#157896,#140150,.T.); #194939=EDGE_CURVE('',#157896,#157897,#77602,.T.); #194940=EDGE_CURVE('',#157897,#157897,#140151,.T.); #194941=EDGE_CURVE('',#157898,#157899,#77603,.T.); #194942=EDGE_CURVE('',#157899,#157900,#77604,.T.); #194943=EDGE_CURVE('',#157901,#157900,#77605,.T.); #194944=EDGE_CURVE('',#157898,#157901,#77606,.T.); #194945=EDGE_CURVE('',#157902,#157898,#77607,.T.); #194946=EDGE_CURVE('',#157903,#157901,#77608,.T.); #194947=EDGE_CURVE('',#157902,#157903,#77609,.T.); #194948=EDGE_CURVE('',#157904,#157902,#77610,.T.); #194949=EDGE_CURVE('',#157905,#157903,#77611,.T.); #194950=EDGE_CURVE('',#157904,#157905,#77612,.T.); #194951=EDGE_CURVE('',#157899,#157904,#77613,.T.); #194952=EDGE_CURVE('',#157900,#157905,#77614,.T.); #194953=EDGE_CURVE('',#157906,#157907,#77615,.T.); #194954=EDGE_CURVE('',#157907,#157908,#77616,.T.); #194955=EDGE_CURVE('',#157909,#157908,#77617,.T.); #194956=EDGE_CURVE('',#157906,#157909,#77618,.T.); #194957=EDGE_CURVE('',#157910,#157906,#77619,.T.); #194958=EDGE_CURVE('',#157911,#157909,#77620,.T.); #194959=EDGE_CURVE('',#157910,#157911,#77621,.T.); #194960=EDGE_CURVE('',#157912,#157910,#77622,.T.); #194961=EDGE_CURVE('',#157913,#157911,#77623,.T.); #194962=EDGE_CURVE('',#157912,#157913,#77624,.T.); #194963=EDGE_CURVE('',#157907,#157912,#77625,.T.); #194964=EDGE_CURVE('',#157908,#157913,#77626,.T.); #194965=EDGE_CURVE('',#157914,#157915,#77627,.T.); #194966=EDGE_CURVE('',#157915,#157916,#77628,.T.); #194967=EDGE_CURVE('',#157917,#157916,#77629,.T.); #194968=EDGE_CURVE('',#157914,#157917,#77630,.T.); #194969=EDGE_CURVE('',#157918,#157914,#77631,.T.); #194970=EDGE_CURVE('',#157919,#157917,#77632,.T.); #194971=EDGE_CURVE('',#157918,#157919,#77633,.T.); #194972=EDGE_CURVE('',#157920,#157918,#77634,.T.); #194973=EDGE_CURVE('',#157921,#157919,#77635,.T.); #194974=EDGE_CURVE('',#157920,#157921,#77636,.T.); #194975=EDGE_CURVE('',#157915,#157920,#77637,.T.); #194976=EDGE_CURVE('',#157916,#157921,#77638,.T.); #194977=EDGE_CURVE('',#157922,#157923,#77639,.T.); #194978=EDGE_CURVE('',#157923,#157924,#77640,.T.); #194979=EDGE_CURVE('',#157925,#157924,#77641,.T.); #194980=EDGE_CURVE('',#157922,#157925,#77642,.T.); #194981=EDGE_CURVE('',#157926,#157922,#77643,.T.); #194982=EDGE_CURVE('',#157927,#157925,#77644,.T.); #194983=EDGE_CURVE('',#157926,#157927,#77645,.T.); #194984=EDGE_CURVE('',#157928,#157926,#77646,.T.); #194985=EDGE_CURVE('',#157929,#157927,#77647,.T.); #194986=EDGE_CURVE('',#157928,#157929,#77648,.T.); #194987=EDGE_CURVE('',#157923,#157928,#77649,.T.); #194988=EDGE_CURVE('',#157924,#157929,#77650,.T.); #194989=EDGE_CURVE('',#157930,#157931,#77651,.T.); #194990=EDGE_CURVE('',#157931,#157932,#77652,.T.); #194991=EDGE_CURVE('',#157933,#157932,#77653,.T.); #194992=EDGE_CURVE('',#157930,#157933,#77654,.T.); #194993=EDGE_CURVE('',#157934,#157930,#77655,.T.); #194994=EDGE_CURVE('',#157935,#157933,#77656,.T.); #194995=EDGE_CURVE('',#157934,#157935,#77657,.T.); #194996=EDGE_CURVE('',#157936,#157934,#77658,.T.); #194997=EDGE_CURVE('',#157937,#157935,#77659,.T.); #194998=EDGE_CURVE('',#157936,#157937,#77660,.T.); #194999=EDGE_CURVE('',#157931,#157936,#77661,.T.); #195000=EDGE_CURVE('',#157932,#157937,#77662,.T.); #195001=EDGE_CURVE('',#157938,#157939,#77663,.T.); #195002=EDGE_CURVE('',#157939,#157940,#77664,.T.); #195003=EDGE_CURVE('',#157941,#157940,#77665,.T.); #195004=EDGE_CURVE('',#157938,#157941,#77666,.T.); #195005=EDGE_CURVE('',#157942,#157938,#77667,.T.); #195006=EDGE_CURVE('',#157943,#157941,#77668,.T.); #195007=EDGE_CURVE('',#157942,#157943,#77669,.T.); #195008=EDGE_CURVE('',#157944,#157942,#77670,.T.); #195009=EDGE_CURVE('',#157945,#157943,#77671,.T.); #195010=EDGE_CURVE('',#157944,#157945,#77672,.T.); #195011=EDGE_CURVE('',#157939,#157944,#77673,.T.); #195012=EDGE_CURVE('',#157940,#157945,#77674,.T.); #195013=EDGE_CURVE('',#157946,#157947,#77675,.T.); #195014=EDGE_CURVE('',#157947,#157948,#77676,.T.); #195015=EDGE_CURVE('',#157949,#157948,#77677,.T.); #195016=EDGE_CURVE('',#157946,#157949,#77678,.T.); #195017=EDGE_CURVE('',#157950,#157946,#77679,.T.); #195018=EDGE_CURVE('',#157951,#157949,#77680,.T.); #195019=EDGE_CURVE('',#157950,#157951,#77681,.T.); #195020=EDGE_CURVE('',#157952,#157950,#77682,.T.); #195021=EDGE_CURVE('',#157953,#157951,#77683,.T.); #195022=EDGE_CURVE('',#157952,#157953,#77684,.T.); #195023=EDGE_CURVE('',#157947,#157952,#77685,.T.); #195024=EDGE_CURVE('',#157948,#157953,#77686,.T.); #195025=EDGE_CURVE('',#157954,#157955,#77687,.T.); #195026=EDGE_CURVE('',#157955,#157956,#77688,.T.); #195027=EDGE_CURVE('',#157957,#157956,#77689,.T.); #195028=EDGE_CURVE('',#157954,#157957,#77690,.T.); #195029=EDGE_CURVE('',#157958,#157954,#77691,.T.); #195030=EDGE_CURVE('',#157959,#157957,#77692,.T.); #195031=EDGE_CURVE('',#157958,#157959,#77693,.T.); #195032=EDGE_CURVE('',#157960,#157958,#77694,.T.); #195033=EDGE_CURVE('',#157961,#157959,#77695,.T.); #195034=EDGE_CURVE('',#157960,#157961,#77696,.T.); #195035=EDGE_CURVE('',#157955,#157960,#77697,.T.); #195036=EDGE_CURVE('',#157956,#157961,#77698,.T.); #195037=EDGE_CURVE('',#157962,#157963,#77699,.T.); #195038=EDGE_CURVE('',#157963,#157964,#77700,.T.); #195039=EDGE_CURVE('',#157965,#157964,#77701,.T.); #195040=EDGE_CURVE('',#157962,#157965,#77702,.T.); #195041=EDGE_CURVE('',#157966,#157962,#77703,.T.); #195042=EDGE_CURVE('',#157967,#157965,#77704,.T.); #195043=EDGE_CURVE('',#157966,#157967,#77705,.T.); #195044=EDGE_CURVE('',#157968,#157966,#77706,.T.); #195045=EDGE_CURVE('',#157969,#157967,#77707,.T.); #195046=EDGE_CURVE('',#157968,#157969,#77708,.T.); #195047=EDGE_CURVE('',#157963,#157968,#77709,.T.); #195048=EDGE_CURVE('',#157964,#157969,#77710,.T.); #195049=EDGE_CURVE('',#157970,#157971,#77711,.T.); #195050=EDGE_CURVE('',#157971,#157972,#77712,.T.); #195051=EDGE_CURVE('',#157973,#157972,#77713,.T.); #195052=EDGE_CURVE('',#157970,#157973,#77714,.T.); #195053=EDGE_CURVE('',#157974,#157970,#77715,.T.); #195054=EDGE_CURVE('',#157975,#157973,#77716,.T.); #195055=EDGE_CURVE('',#157974,#157975,#77717,.T.); #195056=EDGE_CURVE('',#157976,#157974,#77718,.T.); #195057=EDGE_CURVE('',#157977,#157975,#77719,.T.); #195058=EDGE_CURVE('',#157976,#157977,#77720,.T.); #195059=EDGE_CURVE('',#157971,#157976,#77721,.T.); #195060=EDGE_CURVE('',#157972,#157977,#77722,.T.); #195061=EDGE_CURVE('',#157978,#157979,#77723,.T.); #195062=EDGE_CURVE('',#157979,#157980,#77724,.T.); #195063=EDGE_CURVE('',#157981,#157980,#77725,.T.); #195064=EDGE_CURVE('',#157978,#157981,#77726,.T.); #195065=EDGE_CURVE('',#157982,#157978,#77727,.T.); #195066=EDGE_CURVE('',#157983,#157981,#77728,.T.); #195067=EDGE_CURVE('',#157982,#157983,#77729,.T.); #195068=EDGE_CURVE('',#157984,#157982,#77730,.T.); #195069=EDGE_CURVE('',#157985,#157983,#77731,.T.); #195070=EDGE_CURVE('',#157984,#157985,#77732,.T.); #195071=EDGE_CURVE('',#157979,#157984,#77733,.T.); #195072=EDGE_CURVE('',#157980,#157985,#77734,.T.); #195073=EDGE_CURVE('',#157986,#157987,#77735,.T.); #195074=EDGE_CURVE('',#157987,#157988,#77736,.T.); #195075=EDGE_CURVE('',#157989,#157988,#77737,.T.); #195076=EDGE_CURVE('',#157986,#157989,#77738,.T.); #195077=EDGE_CURVE('',#157990,#157986,#77739,.T.); #195078=EDGE_CURVE('',#157991,#157989,#77740,.T.); #195079=EDGE_CURVE('',#157990,#157991,#77741,.T.); #195080=EDGE_CURVE('',#157992,#157990,#77742,.T.); #195081=EDGE_CURVE('',#157993,#157991,#77743,.T.); #195082=EDGE_CURVE('',#157992,#157993,#77744,.T.); #195083=EDGE_CURVE('',#157987,#157992,#77745,.T.); #195084=EDGE_CURVE('',#157988,#157993,#77746,.T.); #195085=EDGE_CURVE('',#157994,#157995,#77747,.T.); #195086=EDGE_CURVE('',#157995,#157996,#77748,.T.); #195087=EDGE_CURVE('',#157997,#157996,#77749,.T.); #195088=EDGE_CURVE('',#157994,#157997,#77750,.T.); #195089=EDGE_CURVE('',#157998,#157994,#77751,.T.); #195090=EDGE_CURVE('',#157999,#157997,#77752,.T.); #195091=EDGE_CURVE('',#157998,#157999,#77753,.T.); #195092=EDGE_CURVE('',#158000,#157998,#77754,.T.); #195093=EDGE_CURVE('',#158001,#157999,#77755,.T.); #195094=EDGE_CURVE('',#158000,#158001,#77756,.T.); #195095=EDGE_CURVE('',#157995,#158000,#77757,.T.); #195096=EDGE_CURVE('',#157996,#158001,#77758,.T.); #195097=EDGE_CURVE('',#158002,#158003,#77759,.T.); #195098=EDGE_CURVE('',#158003,#158004,#77760,.T.); #195099=EDGE_CURVE('',#158005,#158004,#77761,.T.); #195100=EDGE_CURVE('',#158002,#158005,#77762,.T.); #195101=EDGE_CURVE('',#158006,#158002,#77763,.T.); #195102=EDGE_CURVE('',#158007,#158005,#77764,.T.); #195103=EDGE_CURVE('',#158006,#158007,#77765,.T.); #195104=EDGE_CURVE('',#158008,#158006,#77766,.T.); #195105=EDGE_CURVE('',#158009,#158007,#77767,.T.); #195106=EDGE_CURVE('',#158008,#158009,#77768,.T.); #195107=EDGE_CURVE('',#158003,#158008,#77769,.T.); #195108=EDGE_CURVE('',#158004,#158009,#77770,.T.); #195109=EDGE_CURVE('',#158010,#158011,#77771,.T.); #195110=EDGE_CURVE('',#158011,#158012,#77772,.T.); #195111=EDGE_CURVE('',#158013,#158012,#77773,.T.); #195112=EDGE_CURVE('',#158010,#158013,#77774,.T.); #195113=EDGE_CURVE('',#158014,#158010,#77775,.T.); #195114=EDGE_CURVE('',#158015,#158013,#77776,.T.); #195115=EDGE_CURVE('',#158014,#158015,#77777,.T.); #195116=EDGE_CURVE('',#158016,#158014,#77778,.T.); #195117=EDGE_CURVE('',#158017,#158015,#77779,.T.); #195118=EDGE_CURVE('',#158016,#158017,#77780,.T.); #195119=EDGE_CURVE('',#158011,#158016,#77781,.T.); #195120=EDGE_CURVE('',#158012,#158017,#77782,.T.); #195121=EDGE_CURVE('',#158018,#158019,#77783,.T.); #195122=EDGE_CURVE('',#158019,#158020,#77784,.T.); #195123=EDGE_CURVE('',#158021,#158020,#77785,.T.); #195124=EDGE_CURVE('',#158018,#158021,#77786,.T.); #195125=EDGE_CURVE('',#158022,#158018,#77787,.T.); #195126=EDGE_CURVE('',#158023,#158021,#77788,.T.); #195127=EDGE_CURVE('',#158022,#158023,#77789,.T.); #195128=EDGE_CURVE('',#158024,#158022,#77790,.T.); #195129=EDGE_CURVE('',#158025,#158023,#77791,.T.); #195130=EDGE_CURVE('',#158024,#158025,#77792,.T.); #195131=EDGE_CURVE('',#158019,#158024,#77793,.T.); #195132=EDGE_CURVE('',#158020,#158025,#77794,.T.); #195133=EDGE_CURVE('',#158026,#158027,#77795,.T.); #195134=EDGE_CURVE('',#158027,#158028,#77796,.T.); #195135=EDGE_CURVE('',#158029,#158028,#77797,.T.); #195136=EDGE_CURVE('',#158026,#158029,#77798,.T.); #195137=EDGE_CURVE('',#158030,#158026,#77799,.T.); #195138=EDGE_CURVE('',#158031,#158029,#77800,.T.); #195139=EDGE_CURVE('',#158030,#158031,#77801,.T.); #195140=EDGE_CURVE('',#158032,#158030,#77802,.T.); #195141=EDGE_CURVE('',#158033,#158031,#77803,.T.); #195142=EDGE_CURVE('',#158032,#158033,#77804,.T.); #195143=EDGE_CURVE('',#158027,#158032,#77805,.T.); #195144=EDGE_CURVE('',#158028,#158033,#77806,.T.); #195145=EDGE_CURVE('',#158034,#158035,#77807,.T.); #195146=EDGE_CURVE('',#158035,#158036,#77808,.T.); #195147=EDGE_CURVE('',#158037,#158036,#77809,.T.); #195148=EDGE_CURVE('',#158034,#158037,#77810,.T.); #195149=EDGE_CURVE('',#158038,#158034,#77811,.T.); #195150=EDGE_CURVE('',#158039,#158037,#77812,.T.); #195151=EDGE_CURVE('',#158038,#158039,#77813,.T.); #195152=EDGE_CURVE('',#158040,#158038,#77814,.T.); #195153=EDGE_CURVE('',#158041,#158039,#77815,.T.); #195154=EDGE_CURVE('',#158040,#158041,#77816,.T.); #195155=EDGE_CURVE('',#158035,#158040,#77817,.T.); #195156=EDGE_CURVE('',#158036,#158041,#77818,.T.); #195157=EDGE_CURVE('',#158042,#158043,#77819,.T.); #195158=EDGE_CURVE('',#158043,#158044,#77820,.T.); #195159=EDGE_CURVE('',#158045,#158044,#77821,.T.); #195160=EDGE_CURVE('',#158042,#158045,#77822,.T.); #195161=EDGE_CURVE('',#158046,#158042,#77823,.T.); #195162=EDGE_CURVE('',#158047,#158045,#77824,.T.); #195163=EDGE_CURVE('',#158046,#158047,#77825,.T.); #195164=EDGE_CURVE('',#158048,#158046,#77826,.T.); #195165=EDGE_CURVE('',#158049,#158047,#77827,.T.); #195166=EDGE_CURVE('',#158048,#158049,#77828,.T.); #195167=EDGE_CURVE('',#158043,#158048,#77829,.T.); #195168=EDGE_CURVE('',#158044,#158049,#77830,.T.); #195169=EDGE_CURVE('',#158050,#158051,#77831,.T.); #195170=EDGE_CURVE('',#158051,#158052,#77832,.T.); #195171=EDGE_CURVE('',#158053,#158052,#77833,.T.); #195172=EDGE_CURVE('',#158050,#158053,#77834,.T.); #195173=EDGE_CURVE('',#158054,#158050,#77835,.T.); #195174=EDGE_CURVE('',#158055,#158053,#77836,.T.); #195175=EDGE_CURVE('',#158054,#158055,#77837,.T.); #195176=EDGE_CURVE('',#158056,#158054,#77838,.T.); #195177=EDGE_CURVE('',#158057,#158055,#77839,.T.); #195178=EDGE_CURVE('',#158056,#158057,#77840,.T.); #195179=EDGE_CURVE('',#158051,#158056,#77841,.T.); #195180=EDGE_CURVE('',#158052,#158057,#77842,.T.); #195181=EDGE_CURVE('',#158058,#158059,#77843,.T.); #195182=EDGE_CURVE('',#158059,#158060,#77844,.T.); #195183=EDGE_CURVE('',#158061,#158060,#77845,.T.); #195184=EDGE_CURVE('',#158058,#158061,#77846,.T.); #195185=EDGE_CURVE('',#158062,#158058,#77847,.T.); #195186=EDGE_CURVE('',#158063,#158061,#77848,.T.); #195187=EDGE_CURVE('',#158062,#158063,#77849,.T.); #195188=EDGE_CURVE('',#158064,#158062,#77850,.T.); #195189=EDGE_CURVE('',#158065,#158063,#77851,.T.); #195190=EDGE_CURVE('',#158064,#158065,#77852,.T.); #195191=EDGE_CURVE('',#158059,#158064,#77853,.T.); #195192=EDGE_CURVE('',#158060,#158065,#77854,.T.); #195193=EDGE_CURVE('',#158066,#158067,#77855,.T.); #195194=EDGE_CURVE('',#158067,#158068,#77856,.T.); #195195=EDGE_CURVE('',#158069,#158068,#77857,.T.); #195196=EDGE_CURVE('',#158066,#158069,#77858,.T.); #195197=EDGE_CURVE('',#158070,#158066,#77859,.T.); #195198=EDGE_CURVE('',#158071,#158069,#77860,.T.); #195199=EDGE_CURVE('',#158070,#158071,#77861,.T.); #195200=EDGE_CURVE('',#158072,#158070,#77862,.T.); #195201=EDGE_CURVE('',#158073,#158071,#77863,.T.); #195202=EDGE_CURVE('',#158072,#158073,#77864,.T.); #195203=EDGE_CURVE('',#158067,#158072,#77865,.T.); #195204=EDGE_CURVE('',#158068,#158073,#77866,.T.); #195205=EDGE_CURVE('',#158074,#158075,#77867,.T.); #195206=EDGE_CURVE('',#158075,#158076,#77868,.T.); #195207=EDGE_CURVE('',#158077,#158076,#77869,.T.); #195208=EDGE_CURVE('',#158074,#158077,#77870,.T.); #195209=EDGE_CURVE('',#158078,#158074,#77871,.T.); #195210=EDGE_CURVE('',#158079,#158077,#77872,.T.); #195211=EDGE_CURVE('',#158078,#158079,#77873,.T.); #195212=EDGE_CURVE('',#158080,#158078,#77874,.T.); #195213=EDGE_CURVE('',#158081,#158079,#77875,.T.); #195214=EDGE_CURVE('',#158080,#158081,#77876,.T.); #195215=EDGE_CURVE('',#158075,#158080,#77877,.T.); #195216=EDGE_CURVE('',#158076,#158081,#77878,.T.); #195217=EDGE_CURVE('',#158082,#158083,#77879,.T.); #195218=EDGE_CURVE('',#158083,#158084,#77880,.T.); #195219=EDGE_CURVE('',#158085,#158084,#77881,.T.); #195220=EDGE_CURVE('',#158082,#158085,#77882,.T.); #195221=EDGE_CURVE('',#158086,#158082,#77883,.T.); #195222=EDGE_CURVE('',#158087,#158085,#77884,.T.); #195223=EDGE_CURVE('',#158086,#158087,#77885,.T.); #195224=EDGE_CURVE('',#158088,#158086,#77886,.T.); #195225=EDGE_CURVE('',#158089,#158087,#77887,.T.); #195226=EDGE_CURVE('',#158088,#158089,#77888,.T.); #195227=EDGE_CURVE('',#158083,#158088,#77889,.T.); #195228=EDGE_CURVE('',#158084,#158089,#77890,.T.); #195229=EDGE_CURVE('',#158090,#158090,#140152,.T.); #195230=EDGE_CURVE('',#158090,#158091,#77891,.T.); #195231=EDGE_CURVE('',#158091,#158091,#140153,.T.); #195232=EDGE_CURVE('',#158092,#158092,#140154,.T.); #195233=EDGE_CURVE('',#158092,#158093,#77892,.T.); #195234=EDGE_CURVE('',#158093,#158093,#140155,.T.); #195235=EDGE_CURVE('',#158094,#158094,#140156,.T.); #195236=EDGE_CURVE('',#158094,#158095,#77893,.T.); #195237=EDGE_CURVE('',#158095,#158095,#140157,.T.); #195238=EDGE_CURVE('',#158096,#158096,#140158,.T.); #195239=EDGE_CURVE('',#158096,#158097,#77894,.T.); #195240=EDGE_CURVE('',#158097,#158097,#140159,.T.); #195241=EDGE_CURVE('',#158098,#158098,#140160,.T.); #195242=EDGE_CURVE('',#158098,#158099,#77895,.T.); #195243=EDGE_CURVE('',#158099,#158099,#140161,.T.); #195244=EDGE_CURVE('',#158100,#158100,#140162,.T.); #195245=EDGE_CURVE('',#158100,#158101,#77896,.T.); #195246=EDGE_CURVE('',#158101,#158101,#140163,.T.); #195247=EDGE_CURVE('',#158102,#158103,#77897,.T.); #195248=EDGE_CURVE('',#158103,#158104,#77898,.T.); #195249=EDGE_CURVE('',#158105,#158104,#77899,.T.); #195250=EDGE_CURVE('',#158102,#158105,#77900,.T.); #195251=EDGE_CURVE('',#158106,#158102,#77901,.T.); #195252=EDGE_CURVE('',#158107,#158105,#77902,.T.); #195253=EDGE_CURVE('',#158106,#158107,#77903,.T.); #195254=EDGE_CURVE('',#158108,#158106,#77904,.T.); #195255=EDGE_CURVE('',#158109,#158107,#77905,.T.); #195256=EDGE_CURVE('',#158108,#158109,#77906,.T.); #195257=EDGE_CURVE('',#158103,#158108,#77907,.T.); #195258=EDGE_CURVE('',#158104,#158109,#77908,.T.); #195259=EDGE_CURVE('',#158110,#158111,#77909,.T.); #195260=EDGE_CURVE('',#158111,#158112,#77910,.T.); #195261=EDGE_CURVE('',#158113,#158112,#77911,.T.); #195262=EDGE_CURVE('',#158110,#158113,#77912,.T.); #195263=EDGE_CURVE('',#158114,#158110,#77913,.T.); #195264=EDGE_CURVE('',#158115,#158113,#77914,.T.); #195265=EDGE_CURVE('',#158114,#158115,#77915,.T.); #195266=EDGE_CURVE('',#158116,#158114,#77916,.T.); #195267=EDGE_CURVE('',#158117,#158115,#77917,.T.); #195268=EDGE_CURVE('',#158116,#158117,#77918,.T.); #195269=EDGE_CURVE('',#158111,#158116,#77919,.T.); #195270=EDGE_CURVE('',#158112,#158117,#77920,.T.); #195271=EDGE_CURVE('',#158118,#158119,#77921,.T.); #195272=EDGE_CURVE('',#158119,#158120,#77922,.T.); #195273=EDGE_CURVE('',#158121,#158120,#77923,.T.); #195274=EDGE_CURVE('',#158118,#158121,#77924,.T.); #195275=EDGE_CURVE('',#158122,#158118,#77925,.T.); #195276=EDGE_CURVE('',#158123,#158121,#77926,.T.); #195277=EDGE_CURVE('',#158122,#158123,#77927,.T.); #195278=EDGE_CURVE('',#158124,#158122,#77928,.T.); #195279=EDGE_CURVE('',#158125,#158123,#77929,.T.); #195280=EDGE_CURVE('',#158124,#158125,#77930,.T.); #195281=EDGE_CURVE('',#158119,#158124,#77931,.T.); #195282=EDGE_CURVE('',#158120,#158125,#77932,.T.); #195283=EDGE_CURVE('',#158126,#158126,#140164,.T.); #195284=EDGE_CURVE('',#158126,#158127,#77933,.T.); #195285=EDGE_CURVE('',#158127,#158127,#140165,.T.); #195286=EDGE_CURVE('',#158128,#158128,#140166,.T.); #195287=EDGE_CURVE('',#158128,#158129,#77934,.T.); #195288=EDGE_CURVE('',#158129,#158129,#140167,.T.); #195289=EDGE_CURVE('',#158130,#158130,#140168,.T.); #195290=EDGE_CURVE('',#158130,#158131,#77935,.T.); #195291=EDGE_CURVE('',#158131,#158131,#140169,.T.); #195292=EDGE_CURVE('',#158132,#158132,#140170,.T.); #195293=EDGE_CURVE('',#158132,#158133,#77936,.T.); #195294=EDGE_CURVE('',#158133,#158133,#140171,.T.); #195295=EDGE_CURVE('',#158134,#158134,#140172,.T.); #195296=EDGE_CURVE('',#158134,#158135,#77937,.T.); #195297=EDGE_CURVE('',#158135,#158135,#140173,.T.); #195298=EDGE_CURVE('',#158136,#158136,#140174,.T.); #195299=EDGE_CURVE('',#158136,#158137,#77938,.T.); #195300=EDGE_CURVE('',#158137,#158137,#140175,.T.); #195301=EDGE_CURVE('',#158138,#158139,#77939,.T.); #195302=EDGE_CURVE('',#158139,#158140,#77940,.T.); #195303=EDGE_CURVE('',#158141,#158140,#77941,.T.); #195304=EDGE_CURVE('',#158138,#158141,#77942,.T.); #195305=EDGE_CURVE('',#158142,#158138,#77943,.T.); #195306=EDGE_CURVE('',#158143,#158141,#77944,.T.); #195307=EDGE_CURVE('',#158142,#158143,#77945,.T.); #195308=EDGE_CURVE('',#158144,#158142,#77946,.T.); #195309=EDGE_CURVE('',#158145,#158143,#77947,.T.); #195310=EDGE_CURVE('',#158144,#158145,#77948,.T.); #195311=EDGE_CURVE('',#158139,#158144,#77949,.T.); #195312=EDGE_CURVE('',#158140,#158145,#77950,.T.); #195313=EDGE_CURVE('',#158146,#158147,#77951,.T.); #195314=EDGE_CURVE('',#158147,#158148,#77952,.T.); #195315=EDGE_CURVE('',#158149,#158148,#77953,.T.); #195316=EDGE_CURVE('',#158146,#158149,#77954,.T.); #195317=EDGE_CURVE('',#158150,#158146,#77955,.T.); #195318=EDGE_CURVE('',#158151,#158149,#77956,.T.); #195319=EDGE_CURVE('',#158150,#158151,#77957,.T.); #195320=EDGE_CURVE('',#158152,#158150,#77958,.T.); #195321=EDGE_CURVE('',#158153,#158151,#77959,.T.); #195322=EDGE_CURVE('',#158152,#158153,#77960,.T.); #195323=EDGE_CURVE('',#158147,#158152,#77961,.T.); #195324=EDGE_CURVE('',#158148,#158153,#77962,.T.); #195325=EDGE_CURVE('',#158154,#158155,#77963,.T.); #195326=EDGE_CURVE('',#158155,#158156,#77964,.T.); #195327=EDGE_CURVE('',#158157,#158156,#77965,.T.); #195328=EDGE_CURVE('',#158154,#158157,#77966,.T.); #195329=EDGE_CURVE('',#158158,#158154,#77967,.T.); #195330=EDGE_CURVE('',#158159,#158157,#77968,.T.); #195331=EDGE_CURVE('',#158158,#158159,#77969,.T.); #195332=EDGE_CURVE('',#158160,#158158,#77970,.T.); #195333=EDGE_CURVE('',#158161,#158159,#77971,.T.); #195334=EDGE_CURVE('',#158160,#158161,#77972,.T.); #195335=EDGE_CURVE('',#158155,#158160,#77973,.T.); #195336=EDGE_CURVE('',#158156,#158161,#77974,.T.); #195337=EDGE_CURVE('',#158162,#158163,#77975,.T.); #195338=EDGE_CURVE('',#158163,#158164,#77976,.T.); #195339=EDGE_CURVE('',#158165,#158164,#77977,.T.); #195340=EDGE_CURVE('',#158162,#158165,#77978,.T.); #195341=EDGE_CURVE('',#158166,#158162,#77979,.T.); #195342=EDGE_CURVE('',#158167,#158165,#77980,.T.); #195343=EDGE_CURVE('',#158166,#158167,#77981,.T.); #195344=EDGE_CURVE('',#158168,#158166,#77982,.T.); #195345=EDGE_CURVE('',#158169,#158167,#77983,.T.); #195346=EDGE_CURVE('',#158168,#158169,#77984,.T.); #195347=EDGE_CURVE('',#158163,#158168,#77985,.T.); #195348=EDGE_CURVE('',#158164,#158169,#77986,.T.); #195349=EDGE_CURVE('',#158170,#158171,#77987,.T.); #195350=EDGE_CURVE('',#158171,#158172,#77988,.T.); #195351=EDGE_CURVE('',#158173,#158172,#77989,.T.); #195352=EDGE_CURVE('',#158170,#158173,#77990,.T.); #195353=EDGE_CURVE('',#158174,#158170,#77991,.T.); #195354=EDGE_CURVE('',#158175,#158173,#77992,.T.); #195355=EDGE_CURVE('',#158174,#158175,#77993,.T.); #195356=EDGE_CURVE('',#158176,#158174,#77994,.T.); #195357=EDGE_CURVE('',#158177,#158175,#77995,.T.); #195358=EDGE_CURVE('',#158176,#158177,#77996,.T.); #195359=EDGE_CURVE('',#158171,#158176,#77997,.T.); #195360=EDGE_CURVE('',#158172,#158177,#77998,.T.); #195361=EDGE_CURVE('',#158178,#158179,#77999,.T.); #195362=EDGE_CURVE('',#158179,#158180,#78000,.T.); #195363=EDGE_CURVE('',#158181,#158180,#78001,.T.); #195364=EDGE_CURVE('',#158178,#158181,#78002,.T.); #195365=EDGE_CURVE('',#158182,#158178,#78003,.T.); #195366=EDGE_CURVE('',#158183,#158181,#78004,.T.); #195367=EDGE_CURVE('',#158182,#158183,#78005,.T.); #195368=EDGE_CURVE('',#158184,#158182,#78006,.T.); #195369=EDGE_CURVE('',#158185,#158183,#78007,.T.); #195370=EDGE_CURVE('',#158184,#158185,#78008,.T.); #195371=EDGE_CURVE('',#158179,#158184,#78009,.T.); #195372=EDGE_CURVE('',#158180,#158185,#78010,.T.); #195373=EDGE_CURVE('',#158186,#158187,#78011,.T.); #195374=EDGE_CURVE('',#158187,#158188,#78012,.T.); #195375=EDGE_CURVE('',#158189,#158188,#78013,.T.); #195376=EDGE_CURVE('',#158186,#158189,#78014,.T.); #195377=EDGE_CURVE('',#158190,#158186,#78015,.T.); #195378=EDGE_CURVE('',#158191,#158189,#78016,.T.); #195379=EDGE_CURVE('',#158190,#158191,#78017,.T.); #195380=EDGE_CURVE('',#158192,#158190,#78018,.T.); #195381=EDGE_CURVE('',#158193,#158191,#78019,.T.); #195382=EDGE_CURVE('',#158192,#158193,#78020,.T.); #195383=EDGE_CURVE('',#158187,#158192,#78021,.T.); #195384=EDGE_CURVE('',#158188,#158193,#78022,.T.); #195385=EDGE_CURVE('',#158194,#158195,#78023,.T.); #195386=EDGE_CURVE('',#158195,#158196,#78024,.T.); #195387=EDGE_CURVE('',#158197,#158196,#78025,.T.); #195388=EDGE_CURVE('',#158194,#158197,#78026,.T.); #195389=EDGE_CURVE('',#158198,#158194,#78027,.T.); #195390=EDGE_CURVE('',#158199,#158197,#78028,.T.); #195391=EDGE_CURVE('',#158198,#158199,#78029,.T.); #195392=EDGE_CURVE('',#158200,#158198,#78030,.T.); #195393=EDGE_CURVE('',#158201,#158199,#78031,.T.); #195394=EDGE_CURVE('',#158200,#158201,#78032,.T.); #195395=EDGE_CURVE('',#158195,#158200,#78033,.T.); #195396=EDGE_CURVE('',#158196,#158201,#78034,.T.); #195397=EDGE_CURVE('',#158202,#158203,#78035,.T.); #195398=EDGE_CURVE('',#158203,#158204,#78036,.T.); #195399=EDGE_CURVE('',#158205,#158204,#78037,.T.); #195400=EDGE_CURVE('',#158202,#158205,#78038,.T.); #195401=EDGE_CURVE('',#158206,#158202,#78039,.T.); #195402=EDGE_CURVE('',#158207,#158205,#78040,.T.); #195403=EDGE_CURVE('',#158206,#158207,#78041,.T.); #195404=EDGE_CURVE('',#158208,#158206,#78042,.T.); #195405=EDGE_CURVE('',#158209,#158207,#78043,.T.); #195406=EDGE_CURVE('',#158208,#158209,#78044,.T.); #195407=EDGE_CURVE('',#158203,#158208,#78045,.T.); #195408=EDGE_CURVE('',#158204,#158209,#78046,.T.); #195409=EDGE_CURVE('',#158210,#158211,#78047,.T.); #195410=EDGE_CURVE('',#158211,#158212,#78048,.T.); #195411=EDGE_CURVE('',#158213,#158212,#78049,.T.); #195412=EDGE_CURVE('',#158210,#158213,#78050,.T.); #195413=EDGE_CURVE('',#158214,#158210,#78051,.T.); #195414=EDGE_CURVE('',#158215,#158213,#78052,.T.); #195415=EDGE_CURVE('',#158214,#158215,#78053,.T.); #195416=EDGE_CURVE('',#158216,#158214,#78054,.T.); #195417=EDGE_CURVE('',#158217,#158215,#78055,.T.); #195418=EDGE_CURVE('',#158216,#158217,#78056,.T.); #195419=EDGE_CURVE('',#158211,#158216,#78057,.T.); #195420=EDGE_CURVE('',#158212,#158217,#78058,.T.); #195421=EDGE_CURVE('',#158218,#158219,#78059,.T.); #195422=EDGE_CURVE('',#158219,#158220,#78060,.T.); #195423=EDGE_CURVE('',#158221,#158220,#78061,.T.); #195424=EDGE_CURVE('',#158218,#158221,#78062,.T.); #195425=EDGE_CURVE('',#158222,#158218,#78063,.T.); #195426=EDGE_CURVE('',#158223,#158221,#78064,.T.); #195427=EDGE_CURVE('',#158222,#158223,#78065,.T.); #195428=EDGE_CURVE('',#158224,#158222,#78066,.T.); #195429=EDGE_CURVE('',#158225,#158223,#78067,.T.); #195430=EDGE_CURVE('',#158224,#158225,#78068,.T.); #195431=EDGE_CURVE('',#158219,#158224,#78069,.T.); #195432=EDGE_CURVE('',#158220,#158225,#78070,.T.); #195433=EDGE_CURVE('',#158226,#158227,#78071,.T.); #195434=EDGE_CURVE('',#158227,#158228,#78072,.T.); #195435=EDGE_CURVE('',#158229,#158228,#78073,.T.); #195436=EDGE_CURVE('',#158226,#158229,#78074,.T.); #195437=EDGE_CURVE('',#158230,#158226,#78075,.T.); #195438=EDGE_CURVE('',#158231,#158229,#78076,.T.); #195439=EDGE_CURVE('',#158230,#158231,#78077,.T.); #195440=EDGE_CURVE('',#158232,#158230,#78078,.T.); #195441=EDGE_CURVE('',#158233,#158231,#78079,.T.); #195442=EDGE_CURVE('',#158232,#158233,#78080,.T.); #195443=EDGE_CURVE('',#158227,#158232,#78081,.T.); #195444=EDGE_CURVE('',#158228,#158233,#78082,.T.); #195445=EDGE_CURVE('',#158234,#158235,#78083,.T.); #195446=EDGE_CURVE('',#158235,#158236,#78084,.T.); #195447=EDGE_CURVE('',#158237,#158236,#78085,.T.); #195448=EDGE_CURVE('',#158234,#158237,#78086,.T.); #195449=EDGE_CURVE('',#158238,#158234,#78087,.T.); #195450=EDGE_CURVE('',#158239,#158237,#78088,.T.); #195451=EDGE_CURVE('',#158238,#158239,#78089,.T.); #195452=EDGE_CURVE('',#158240,#158238,#78090,.T.); #195453=EDGE_CURVE('',#158241,#158239,#78091,.T.); #195454=EDGE_CURVE('',#158240,#158241,#78092,.T.); #195455=EDGE_CURVE('',#158235,#158240,#78093,.T.); #195456=EDGE_CURVE('',#158236,#158241,#78094,.T.); #195457=EDGE_CURVE('',#158242,#158243,#78095,.T.); #195458=EDGE_CURVE('',#158243,#158244,#78096,.T.); #195459=EDGE_CURVE('',#158245,#158244,#78097,.T.); #195460=EDGE_CURVE('',#158242,#158245,#78098,.T.); #195461=EDGE_CURVE('',#158246,#158242,#78099,.T.); #195462=EDGE_CURVE('',#158247,#158245,#78100,.T.); #195463=EDGE_CURVE('',#158246,#158247,#78101,.T.); #195464=EDGE_CURVE('',#158248,#158246,#78102,.T.); #195465=EDGE_CURVE('',#158249,#158247,#78103,.T.); #195466=EDGE_CURVE('',#158248,#158249,#78104,.T.); #195467=EDGE_CURVE('',#158243,#158248,#78105,.T.); #195468=EDGE_CURVE('',#158244,#158249,#78106,.T.); #195469=EDGE_CURVE('',#158250,#158251,#78107,.T.); #195470=EDGE_CURVE('',#158251,#158252,#78108,.T.); #195471=EDGE_CURVE('',#158253,#158252,#78109,.T.); #195472=EDGE_CURVE('',#158250,#158253,#78110,.T.); #195473=EDGE_CURVE('',#158254,#158250,#78111,.T.); #195474=EDGE_CURVE('',#158255,#158253,#78112,.T.); #195475=EDGE_CURVE('',#158254,#158255,#78113,.T.); #195476=EDGE_CURVE('',#158256,#158254,#78114,.T.); #195477=EDGE_CURVE('',#158257,#158255,#78115,.T.); #195478=EDGE_CURVE('',#158256,#158257,#78116,.T.); #195479=EDGE_CURVE('',#158251,#158256,#78117,.T.); #195480=EDGE_CURVE('',#158252,#158257,#78118,.T.); #195481=EDGE_CURVE('',#158258,#158258,#140176,.T.); #195482=EDGE_CURVE('',#158258,#158259,#78119,.T.); #195483=EDGE_CURVE('',#158259,#158259,#140177,.T.); #195484=EDGE_CURVE('',#158260,#158260,#140178,.T.); #195485=EDGE_CURVE('',#158260,#158261,#78120,.T.); #195486=EDGE_CURVE('',#158261,#158261,#140179,.T.); #195487=EDGE_CURVE('',#158262,#158262,#140180,.T.); #195488=EDGE_CURVE('',#158262,#158263,#78121,.T.); #195489=EDGE_CURVE('',#158263,#158263,#140181,.T.); #195490=EDGE_CURVE('',#158264,#158264,#140182,.T.); #195491=EDGE_CURVE('',#158264,#158265,#78122,.T.); #195492=EDGE_CURVE('',#158265,#158265,#140183,.T.); #195493=EDGE_CURVE('',#158266,#158266,#140184,.T.); #195494=EDGE_CURVE('',#158266,#158267,#78123,.T.); #195495=EDGE_CURVE('',#158267,#158267,#140185,.T.); #195496=EDGE_CURVE('',#158268,#158268,#140186,.T.); #195497=EDGE_CURVE('',#158268,#158269,#78124,.T.); #195498=EDGE_CURVE('',#158269,#158269,#140187,.T.); #195499=EDGE_CURVE('',#158270,#158270,#140188,.T.); #195500=EDGE_CURVE('',#158270,#158271,#78125,.T.); #195501=EDGE_CURVE('',#158271,#158271,#140189,.T.); #195502=EDGE_CURVE('',#158272,#158272,#140190,.T.); #195503=EDGE_CURVE('',#158272,#158273,#78126,.T.); #195504=EDGE_CURVE('',#158273,#158273,#140191,.T.); #195505=EDGE_CURVE('',#158274,#158274,#140192,.T.); #195506=EDGE_CURVE('',#158274,#158275,#78127,.T.); #195507=EDGE_CURVE('',#158275,#158275,#140193,.T.); #195508=EDGE_CURVE('',#158276,#158276,#140194,.T.); #195509=EDGE_CURVE('',#158276,#158277,#78128,.T.); #195510=EDGE_CURVE('',#158277,#158277,#140195,.T.); #195511=EDGE_CURVE('',#158278,#158278,#140196,.T.); #195512=EDGE_CURVE('',#158278,#158279,#78129,.T.); #195513=EDGE_CURVE('',#158279,#158279,#140197,.T.); #195514=EDGE_CURVE('',#158280,#158280,#140198,.T.); #195515=EDGE_CURVE('',#158280,#158281,#78130,.T.); #195516=EDGE_CURVE('',#158281,#158281,#140199,.T.); #195517=EDGE_CURVE('',#158282,#158282,#140200,.T.); #195518=EDGE_CURVE('',#158282,#158283,#78131,.T.); #195519=EDGE_CURVE('',#158283,#158283,#140201,.T.); #195520=EDGE_CURVE('',#158284,#158284,#140202,.T.); #195521=EDGE_CURVE('',#158284,#158285,#78132,.T.); #195522=EDGE_CURVE('',#158285,#158285,#140203,.T.); #195523=EDGE_CURVE('',#158286,#158286,#140204,.T.); #195524=EDGE_CURVE('',#158286,#158287,#78133,.T.); #195525=EDGE_CURVE('',#158287,#158287,#140205,.T.); #195526=EDGE_CURVE('',#158288,#158288,#140206,.T.); #195527=EDGE_CURVE('',#158288,#158289,#78134,.T.); #195528=EDGE_CURVE('',#158289,#158289,#140207,.T.); #195529=EDGE_CURVE('',#158290,#158290,#140208,.T.); #195530=EDGE_CURVE('',#158290,#158291,#78135,.T.); #195531=EDGE_CURVE('',#158291,#158291,#140209,.T.); #195532=EDGE_CURVE('',#158292,#158292,#140210,.T.); #195533=EDGE_CURVE('',#158292,#158293,#78136,.T.); #195534=EDGE_CURVE('',#158293,#158293,#140211,.T.); #195535=EDGE_CURVE('',#158294,#158294,#140212,.T.); #195536=EDGE_CURVE('',#158294,#158295,#78137,.T.); #195537=EDGE_CURVE('',#158295,#158295,#140213,.T.); #195538=EDGE_CURVE('',#158296,#158296,#140214,.T.); #195539=EDGE_CURVE('',#158296,#158297,#78138,.T.); #195540=EDGE_CURVE('',#158297,#158297,#140215,.T.); #195541=EDGE_CURVE('',#158298,#158298,#140216,.T.); #195542=EDGE_CURVE('',#158298,#158299,#78139,.T.); #195543=EDGE_CURVE('',#158299,#158299,#140217,.T.); #195544=EDGE_CURVE('',#158300,#158300,#140218,.T.); #195545=EDGE_CURVE('',#158300,#158301,#78140,.T.); #195546=EDGE_CURVE('',#158301,#158301,#140219,.T.); #195547=EDGE_CURVE('',#158302,#158302,#140220,.T.); #195548=EDGE_CURVE('',#158302,#158303,#78141,.T.); #195549=EDGE_CURVE('',#158303,#158303,#140221,.T.); #195550=EDGE_CURVE('',#158304,#158304,#140222,.T.); #195551=EDGE_CURVE('',#158304,#158305,#78142,.T.); #195552=EDGE_CURVE('',#158305,#158305,#140223,.T.); #195553=EDGE_CURVE('',#158306,#158306,#140224,.T.); #195554=EDGE_CURVE('',#158306,#158307,#78143,.T.); #195555=EDGE_CURVE('',#158307,#158307,#140225,.T.); #195556=EDGE_CURVE('',#158308,#158308,#140226,.T.); #195557=EDGE_CURVE('',#158308,#158309,#78144,.T.); #195558=EDGE_CURVE('',#158309,#158309,#140227,.T.); #195559=EDGE_CURVE('',#158310,#158310,#140228,.T.); #195560=EDGE_CURVE('',#158310,#158311,#78145,.T.); #195561=EDGE_CURVE('',#158311,#158311,#140229,.T.); #195562=EDGE_CURVE('',#158312,#158312,#140230,.T.); #195563=EDGE_CURVE('',#158312,#158313,#78146,.T.); #195564=EDGE_CURVE('',#158313,#158313,#140231,.T.); #195565=EDGE_CURVE('',#158314,#158314,#140232,.T.); #195566=EDGE_CURVE('',#158314,#158315,#78147,.T.); #195567=EDGE_CURVE('',#158315,#158315,#140233,.T.); #195568=EDGE_CURVE('',#158316,#158316,#140234,.T.); #195569=EDGE_CURVE('',#158316,#158317,#78148,.T.); #195570=EDGE_CURVE('',#158317,#158317,#140235,.T.); #195571=EDGE_CURVE('',#158318,#158318,#140236,.T.); #195572=EDGE_CURVE('',#158318,#158319,#78149,.T.); #195573=EDGE_CURVE('',#158319,#158319,#140237,.T.); #195574=EDGE_CURVE('',#158320,#158320,#140238,.T.); #195575=EDGE_CURVE('',#158320,#158321,#78150,.T.); #195576=EDGE_CURVE('',#158321,#158321,#140239,.T.); #195577=EDGE_CURVE('',#158322,#158322,#140240,.T.); #195578=EDGE_CURVE('',#158322,#158323,#78151,.T.); #195579=EDGE_CURVE('',#158323,#158323,#140241,.T.); #195580=EDGE_CURVE('',#158324,#158324,#140242,.T.); #195581=EDGE_CURVE('',#158324,#158325,#78152,.T.); #195582=EDGE_CURVE('',#158325,#158325,#140243,.T.); #195583=EDGE_CURVE('',#158326,#158326,#140244,.T.); #195584=EDGE_CURVE('',#158326,#158327,#78153,.T.); #195585=EDGE_CURVE('',#158327,#158327,#140245,.T.); #195586=EDGE_CURVE('',#158328,#158328,#140246,.T.); #195587=EDGE_CURVE('',#158328,#158329,#78154,.T.); #195588=EDGE_CURVE('',#158329,#158329,#140247,.T.); #195589=EDGE_CURVE('',#158330,#158330,#140248,.T.); #195590=EDGE_CURVE('',#158330,#158331,#78155,.T.); #195591=EDGE_CURVE('',#158331,#158331,#140249,.T.); #195592=EDGE_CURVE('',#158332,#158332,#140250,.T.); #195593=EDGE_CURVE('',#158332,#158333,#78156,.T.); #195594=EDGE_CURVE('',#158333,#158333,#140251,.T.); #195595=EDGE_CURVE('',#158334,#158334,#140252,.T.); #195596=EDGE_CURVE('',#158334,#158335,#78157,.T.); #195597=EDGE_CURVE('',#158335,#158335,#140253,.T.); #195598=EDGE_CURVE('',#158336,#158336,#140254,.T.); #195599=EDGE_CURVE('',#158336,#158337,#78158,.T.); #195600=EDGE_CURVE('',#158337,#158337,#140255,.T.); #195601=EDGE_CURVE('',#158338,#158338,#140256,.T.); #195602=EDGE_CURVE('',#158338,#158339,#78159,.T.); #195603=EDGE_CURVE('',#158339,#158339,#140257,.T.); #195604=EDGE_CURVE('',#158340,#158340,#140258,.T.); #195605=EDGE_CURVE('',#158340,#158341,#78160,.T.); #195606=EDGE_CURVE('',#158341,#158341,#140259,.T.); #195607=EDGE_CURVE('',#158342,#158342,#140260,.T.); #195608=EDGE_CURVE('',#158342,#158343,#78161,.T.); #195609=EDGE_CURVE('',#158343,#158343,#140261,.T.); #195610=EDGE_CURVE('',#158344,#158344,#140262,.T.); #195611=EDGE_CURVE('',#158344,#158345,#78162,.T.); #195612=EDGE_CURVE('',#158345,#158345,#140263,.T.); #195613=EDGE_CURVE('',#158346,#158346,#140264,.T.); #195614=EDGE_CURVE('',#158346,#158347,#78163,.T.); #195615=EDGE_CURVE('',#158347,#158347,#140265,.T.); #195616=EDGE_CURVE('',#158348,#158348,#140266,.T.); #195617=EDGE_CURVE('',#158348,#158349,#78164,.T.); #195618=EDGE_CURVE('',#158349,#158349,#140267,.T.); #195619=EDGE_CURVE('',#158350,#158350,#140268,.T.); #195620=EDGE_CURVE('',#158350,#158351,#78165,.T.); #195621=EDGE_CURVE('',#158351,#158351,#140269,.T.); #195622=EDGE_CURVE('',#158352,#158352,#140270,.T.); #195623=EDGE_CURVE('',#158352,#158353,#78166,.T.); #195624=EDGE_CURVE('',#158353,#158353,#140271,.T.); #195625=EDGE_CURVE('',#158354,#158354,#140272,.T.); #195626=EDGE_CURVE('',#158354,#158355,#78167,.T.); #195627=EDGE_CURVE('',#158355,#158355,#140273,.T.); #195628=EDGE_CURVE('',#158356,#158357,#78168,.T.); #195629=EDGE_CURVE('',#158357,#158358,#78169,.T.); #195630=EDGE_CURVE('',#158359,#158358,#78170,.T.); #195631=EDGE_CURVE('',#158356,#158359,#78171,.T.); #195632=EDGE_CURVE('',#158360,#158356,#78172,.T.); #195633=EDGE_CURVE('',#158361,#158359,#78173,.T.); #195634=EDGE_CURVE('',#158360,#158361,#78174,.T.); #195635=EDGE_CURVE('',#158362,#158360,#78175,.T.); #195636=EDGE_CURVE('',#158363,#158361,#78176,.T.); #195637=EDGE_CURVE('',#158362,#158363,#78177,.T.); #195638=EDGE_CURVE('',#158357,#158362,#78178,.T.); #195639=EDGE_CURVE('',#158358,#158363,#78179,.T.); #195640=EDGE_CURVE('',#158364,#158365,#78180,.T.); #195641=EDGE_CURVE('',#158365,#158366,#78181,.T.); #195642=EDGE_CURVE('',#158367,#158366,#78182,.T.); #195643=EDGE_CURVE('',#158364,#158367,#78183,.T.); #195644=EDGE_CURVE('',#158368,#158364,#78184,.T.); #195645=EDGE_CURVE('',#158369,#158367,#78185,.T.); #195646=EDGE_CURVE('',#158368,#158369,#78186,.T.); #195647=EDGE_CURVE('',#158370,#158368,#78187,.T.); #195648=EDGE_CURVE('',#158371,#158369,#78188,.T.); #195649=EDGE_CURVE('',#158370,#158371,#78189,.T.); #195650=EDGE_CURVE('',#158365,#158370,#78190,.T.); #195651=EDGE_CURVE('',#158366,#158371,#78191,.T.); #195652=EDGE_CURVE('',#158372,#158373,#78192,.T.); #195653=EDGE_CURVE('',#158373,#158374,#78193,.T.); #195654=EDGE_CURVE('',#158375,#158374,#78194,.T.); #195655=EDGE_CURVE('',#158372,#158375,#78195,.T.); #195656=EDGE_CURVE('',#158376,#158372,#78196,.T.); #195657=EDGE_CURVE('',#158377,#158375,#78197,.T.); #195658=EDGE_CURVE('',#158376,#158377,#78198,.T.); #195659=EDGE_CURVE('',#158378,#158376,#78199,.T.); #195660=EDGE_CURVE('',#158379,#158377,#78200,.T.); #195661=EDGE_CURVE('',#158378,#158379,#78201,.T.); #195662=EDGE_CURVE('',#158373,#158378,#78202,.T.); #195663=EDGE_CURVE('',#158374,#158379,#78203,.T.); #195664=EDGE_CURVE('',#158380,#158381,#78204,.T.); #195665=EDGE_CURVE('',#158381,#158382,#78205,.T.); #195666=EDGE_CURVE('',#158383,#158382,#78206,.T.); #195667=EDGE_CURVE('',#158380,#158383,#78207,.T.); #195668=EDGE_CURVE('',#158384,#158380,#78208,.T.); #195669=EDGE_CURVE('',#158385,#158383,#78209,.T.); #195670=EDGE_CURVE('',#158384,#158385,#78210,.T.); #195671=EDGE_CURVE('',#158386,#158384,#78211,.T.); #195672=EDGE_CURVE('',#158387,#158385,#78212,.T.); #195673=EDGE_CURVE('',#158386,#158387,#78213,.T.); #195674=EDGE_CURVE('',#158381,#158386,#78214,.T.); #195675=EDGE_CURVE('',#158382,#158387,#78215,.T.); #195676=EDGE_CURVE('',#158388,#158389,#78216,.T.); #195677=EDGE_CURVE('',#158389,#158390,#78217,.T.); #195678=EDGE_CURVE('',#158391,#158390,#78218,.T.); #195679=EDGE_CURVE('',#158388,#158391,#78219,.T.); #195680=EDGE_CURVE('',#158392,#158388,#78220,.T.); #195681=EDGE_CURVE('',#158393,#158391,#78221,.T.); #195682=EDGE_CURVE('',#158392,#158393,#78222,.T.); #195683=EDGE_CURVE('',#158394,#158392,#78223,.T.); #195684=EDGE_CURVE('',#158395,#158393,#78224,.T.); #195685=EDGE_CURVE('',#158394,#158395,#78225,.T.); #195686=EDGE_CURVE('',#158389,#158394,#78226,.T.); #195687=EDGE_CURVE('',#158390,#158395,#78227,.T.); #195688=EDGE_CURVE('',#158396,#158397,#78228,.T.); #195689=EDGE_CURVE('',#158397,#158398,#78229,.T.); #195690=EDGE_CURVE('',#158399,#158398,#78230,.T.); #195691=EDGE_CURVE('',#158396,#158399,#78231,.T.); #195692=EDGE_CURVE('',#158400,#158396,#78232,.T.); #195693=EDGE_CURVE('',#158401,#158399,#78233,.T.); #195694=EDGE_CURVE('',#158400,#158401,#78234,.T.); #195695=EDGE_CURVE('',#158402,#158400,#78235,.T.); #195696=EDGE_CURVE('',#158403,#158401,#78236,.T.); #195697=EDGE_CURVE('',#158402,#158403,#78237,.T.); #195698=EDGE_CURVE('',#158397,#158402,#78238,.T.); #195699=EDGE_CURVE('',#158398,#158403,#78239,.T.); #195700=EDGE_CURVE('',#158404,#158405,#78240,.T.); #195701=EDGE_CURVE('',#158405,#158406,#78241,.T.); #195702=EDGE_CURVE('',#158407,#158406,#78242,.T.); #195703=EDGE_CURVE('',#158404,#158407,#78243,.T.); #195704=EDGE_CURVE('',#158408,#158404,#78244,.T.); #195705=EDGE_CURVE('',#158409,#158407,#78245,.T.); #195706=EDGE_CURVE('',#158408,#158409,#78246,.T.); #195707=EDGE_CURVE('',#158410,#158408,#78247,.T.); #195708=EDGE_CURVE('',#158411,#158409,#78248,.T.); #195709=EDGE_CURVE('',#158410,#158411,#78249,.T.); #195710=EDGE_CURVE('',#158405,#158410,#78250,.T.); #195711=EDGE_CURVE('',#158406,#158411,#78251,.T.); #195712=EDGE_CURVE('',#158412,#158413,#78252,.T.); #195713=EDGE_CURVE('',#158413,#158414,#78253,.T.); #195714=EDGE_CURVE('',#158415,#158414,#78254,.T.); #195715=EDGE_CURVE('',#158412,#158415,#78255,.T.); #195716=EDGE_CURVE('',#158416,#158412,#78256,.T.); #195717=EDGE_CURVE('',#158417,#158415,#78257,.T.); #195718=EDGE_CURVE('',#158416,#158417,#78258,.T.); #195719=EDGE_CURVE('',#158418,#158416,#78259,.T.); #195720=EDGE_CURVE('',#158419,#158417,#78260,.T.); #195721=EDGE_CURVE('',#158418,#158419,#78261,.T.); #195722=EDGE_CURVE('',#158413,#158418,#78262,.T.); #195723=EDGE_CURVE('',#158414,#158419,#78263,.T.); #195724=EDGE_CURVE('',#158420,#158421,#78264,.T.); #195725=EDGE_CURVE('',#158421,#158422,#78265,.T.); #195726=EDGE_CURVE('',#158423,#158422,#78266,.T.); #195727=EDGE_CURVE('',#158420,#158423,#78267,.T.); #195728=EDGE_CURVE('',#158424,#158420,#78268,.T.); #195729=EDGE_CURVE('',#158425,#158423,#78269,.T.); #195730=EDGE_CURVE('',#158424,#158425,#78270,.T.); #195731=EDGE_CURVE('',#158426,#158424,#78271,.T.); #195732=EDGE_CURVE('',#158427,#158425,#78272,.T.); #195733=EDGE_CURVE('',#158426,#158427,#78273,.T.); #195734=EDGE_CURVE('',#158421,#158426,#78274,.T.); #195735=EDGE_CURVE('',#158422,#158427,#78275,.T.); #195736=EDGE_CURVE('',#158428,#158429,#78276,.T.); #195737=EDGE_CURVE('',#158429,#158430,#78277,.T.); #195738=EDGE_CURVE('',#158431,#158430,#78278,.T.); #195739=EDGE_CURVE('',#158428,#158431,#78279,.T.); #195740=EDGE_CURVE('',#158432,#158428,#78280,.T.); #195741=EDGE_CURVE('',#158433,#158431,#78281,.T.); #195742=EDGE_CURVE('',#158432,#158433,#78282,.T.); #195743=EDGE_CURVE('',#158434,#158432,#78283,.T.); #195744=EDGE_CURVE('',#158435,#158433,#78284,.T.); #195745=EDGE_CURVE('',#158434,#158435,#78285,.T.); #195746=EDGE_CURVE('',#158429,#158434,#78286,.T.); #195747=EDGE_CURVE('',#158430,#158435,#78287,.T.); #195748=EDGE_CURVE('',#158436,#158437,#78288,.T.); #195749=EDGE_CURVE('',#158437,#158438,#78289,.T.); #195750=EDGE_CURVE('',#158439,#158438,#78290,.T.); #195751=EDGE_CURVE('',#158436,#158439,#78291,.T.); #195752=EDGE_CURVE('',#158440,#158436,#78292,.T.); #195753=EDGE_CURVE('',#158441,#158439,#78293,.T.); #195754=EDGE_CURVE('',#158440,#158441,#78294,.T.); #195755=EDGE_CURVE('',#158442,#158440,#78295,.T.); #195756=EDGE_CURVE('',#158443,#158441,#78296,.T.); #195757=EDGE_CURVE('',#158442,#158443,#78297,.T.); #195758=EDGE_CURVE('',#158437,#158442,#78298,.T.); #195759=EDGE_CURVE('',#158438,#158443,#78299,.T.); #195760=EDGE_CURVE('',#158444,#158445,#78300,.T.); #195761=EDGE_CURVE('',#158445,#158446,#78301,.T.); #195762=EDGE_CURVE('',#158447,#158446,#78302,.T.); #195763=EDGE_CURVE('',#158444,#158447,#78303,.T.); #195764=EDGE_CURVE('',#158448,#158444,#78304,.T.); #195765=EDGE_CURVE('',#158449,#158447,#78305,.T.); #195766=EDGE_CURVE('',#158448,#158449,#78306,.T.); #195767=EDGE_CURVE('',#158450,#158448,#78307,.T.); #195768=EDGE_CURVE('',#158451,#158449,#78308,.T.); #195769=EDGE_CURVE('',#158450,#158451,#78309,.T.); #195770=EDGE_CURVE('',#158445,#158450,#78310,.T.); #195771=EDGE_CURVE('',#158446,#158451,#78311,.T.); #195772=EDGE_CURVE('',#158452,#158453,#78312,.T.); #195773=EDGE_CURVE('',#158453,#158454,#78313,.T.); #195774=EDGE_CURVE('',#158455,#158454,#78314,.T.); #195775=EDGE_CURVE('',#158452,#158455,#78315,.T.); #195776=EDGE_CURVE('',#158456,#158452,#78316,.T.); #195777=EDGE_CURVE('',#158457,#158455,#78317,.T.); #195778=EDGE_CURVE('',#158456,#158457,#78318,.T.); #195779=EDGE_CURVE('',#158458,#158456,#78319,.T.); #195780=EDGE_CURVE('',#158459,#158457,#78320,.T.); #195781=EDGE_CURVE('',#158458,#158459,#78321,.T.); #195782=EDGE_CURVE('',#158453,#158458,#78322,.T.); #195783=EDGE_CURVE('',#158454,#158459,#78323,.T.); #195784=EDGE_CURVE('',#158460,#158461,#78324,.T.); #195785=EDGE_CURVE('',#158461,#158462,#78325,.T.); #195786=EDGE_CURVE('',#158463,#158462,#78326,.T.); #195787=EDGE_CURVE('',#158460,#158463,#78327,.T.); #195788=EDGE_CURVE('',#158464,#158460,#78328,.T.); #195789=EDGE_CURVE('',#158465,#158463,#78329,.T.); #195790=EDGE_CURVE('',#158464,#158465,#78330,.T.); #195791=EDGE_CURVE('',#158466,#158464,#78331,.T.); #195792=EDGE_CURVE('',#158467,#158465,#78332,.T.); #195793=EDGE_CURVE('',#158466,#158467,#78333,.T.); #195794=EDGE_CURVE('',#158461,#158466,#78334,.T.); #195795=EDGE_CURVE('',#158462,#158467,#78335,.T.); #195796=EDGE_CURVE('',#158468,#158469,#78336,.T.); #195797=EDGE_CURVE('',#158469,#158470,#78337,.T.); #195798=EDGE_CURVE('',#158471,#158470,#78338,.T.); #195799=EDGE_CURVE('',#158468,#158471,#78339,.T.); #195800=EDGE_CURVE('',#158472,#158468,#78340,.T.); #195801=EDGE_CURVE('',#158473,#158471,#78341,.T.); #195802=EDGE_CURVE('',#158472,#158473,#78342,.T.); #195803=EDGE_CURVE('',#158474,#158472,#78343,.T.); #195804=EDGE_CURVE('',#158475,#158473,#78344,.T.); #195805=EDGE_CURVE('',#158474,#158475,#78345,.T.); #195806=EDGE_CURVE('',#158469,#158474,#78346,.T.); #195807=EDGE_CURVE('',#158470,#158475,#78347,.T.); #195808=EDGE_CURVE('',#158476,#158476,#140274,.T.); #195809=EDGE_CURVE('',#158476,#158477,#78348,.T.); #195810=EDGE_CURVE('',#158477,#158477,#140275,.T.); #195811=EDGE_CURVE('',#158478,#158479,#78349,.T.); #195812=EDGE_CURVE('',#158479,#158480,#78350,.T.); #195813=EDGE_CURVE('',#158481,#158480,#78351,.T.); #195814=EDGE_CURVE('',#158478,#158481,#78352,.T.); #195815=EDGE_CURVE('',#158482,#158478,#78353,.T.); #195816=EDGE_CURVE('',#158483,#158481,#78354,.T.); #195817=EDGE_CURVE('',#158482,#158483,#78355,.T.); #195818=EDGE_CURVE('',#158484,#158482,#78356,.T.); #195819=EDGE_CURVE('',#158485,#158483,#78357,.T.); #195820=EDGE_CURVE('',#158484,#158485,#78358,.T.); #195821=EDGE_CURVE('',#158479,#158484,#78359,.T.); #195822=EDGE_CURVE('',#158480,#158485,#78360,.T.); #195823=EDGE_CURVE('',#158486,#158487,#78361,.T.); #195824=EDGE_CURVE('',#158487,#158488,#78362,.T.); #195825=EDGE_CURVE('',#158489,#158488,#78363,.T.); #195826=EDGE_CURVE('',#158486,#158489,#78364,.T.); #195827=EDGE_CURVE('',#158490,#158486,#78365,.T.); #195828=EDGE_CURVE('',#158491,#158489,#78366,.T.); #195829=EDGE_CURVE('',#158490,#158491,#78367,.T.); #195830=EDGE_CURVE('',#158492,#158490,#78368,.T.); #195831=EDGE_CURVE('',#158493,#158491,#78369,.T.); #195832=EDGE_CURVE('',#158492,#158493,#78370,.T.); #195833=EDGE_CURVE('',#158487,#158492,#78371,.T.); #195834=EDGE_CURVE('',#158488,#158493,#78372,.T.); #195835=EDGE_CURVE('',#158494,#158495,#78373,.T.); #195836=EDGE_CURVE('',#158495,#158496,#78374,.T.); #195837=EDGE_CURVE('',#158497,#158496,#78375,.T.); #195838=EDGE_CURVE('',#158494,#158497,#78376,.T.); #195839=EDGE_CURVE('',#158498,#158494,#78377,.T.); #195840=EDGE_CURVE('',#158499,#158497,#78378,.T.); #195841=EDGE_CURVE('',#158498,#158499,#78379,.T.); #195842=EDGE_CURVE('',#158500,#158498,#78380,.T.); #195843=EDGE_CURVE('',#158501,#158499,#78381,.T.); #195844=EDGE_CURVE('',#158500,#158501,#78382,.T.); #195845=EDGE_CURVE('',#158495,#158500,#78383,.T.); #195846=EDGE_CURVE('',#158496,#158501,#78384,.T.); #195847=EDGE_CURVE('',#158502,#158502,#140276,.T.); #195848=EDGE_CURVE('',#158502,#158503,#78385,.T.); #195849=EDGE_CURVE('',#158503,#158503,#140277,.T.); #195850=EDGE_CURVE('',#158504,#158505,#78386,.T.); #195851=EDGE_CURVE('',#158505,#158506,#78387,.T.); #195852=EDGE_CURVE('',#158507,#158506,#78388,.T.); #195853=EDGE_CURVE('',#158504,#158507,#78389,.T.); #195854=EDGE_CURVE('',#158508,#158504,#78390,.T.); #195855=EDGE_CURVE('',#158509,#158507,#78391,.T.); #195856=EDGE_CURVE('',#158508,#158509,#78392,.T.); #195857=EDGE_CURVE('',#158510,#158508,#78393,.T.); #195858=EDGE_CURVE('',#158511,#158509,#78394,.T.); #195859=EDGE_CURVE('',#158510,#158511,#78395,.T.); #195860=EDGE_CURVE('',#158505,#158510,#78396,.T.); #195861=EDGE_CURVE('',#158506,#158511,#78397,.T.); #195862=EDGE_CURVE('',#158512,#158513,#78398,.T.); #195863=EDGE_CURVE('',#158513,#158514,#78399,.T.); #195864=EDGE_CURVE('',#158515,#158514,#78400,.T.); #195865=EDGE_CURVE('',#158512,#158515,#78401,.T.); #195866=EDGE_CURVE('',#158516,#158512,#78402,.T.); #195867=EDGE_CURVE('',#158517,#158515,#78403,.T.); #195868=EDGE_CURVE('',#158516,#158517,#78404,.T.); #195869=EDGE_CURVE('',#158518,#158516,#78405,.T.); #195870=EDGE_CURVE('',#158519,#158517,#78406,.T.); #195871=EDGE_CURVE('',#158518,#158519,#78407,.T.); #195872=EDGE_CURVE('',#158513,#158518,#78408,.T.); #195873=EDGE_CURVE('',#158514,#158519,#78409,.T.); #195874=EDGE_CURVE('',#158520,#158521,#78410,.T.); #195875=EDGE_CURVE('',#158521,#158522,#78411,.T.); #195876=EDGE_CURVE('',#158523,#158522,#78412,.T.); #195877=EDGE_CURVE('',#158520,#158523,#78413,.T.); #195878=EDGE_CURVE('',#158524,#158520,#78414,.T.); #195879=EDGE_CURVE('',#158525,#158523,#78415,.T.); #195880=EDGE_CURVE('',#158524,#158525,#78416,.T.); #195881=EDGE_CURVE('',#158526,#158524,#78417,.T.); #195882=EDGE_CURVE('',#158527,#158525,#78418,.T.); #195883=EDGE_CURVE('',#158526,#158527,#78419,.T.); #195884=EDGE_CURVE('',#158521,#158526,#78420,.T.); #195885=EDGE_CURVE('',#158522,#158527,#78421,.T.); #195886=EDGE_CURVE('',#158528,#158529,#78422,.T.); #195887=EDGE_CURVE('',#158529,#158530,#78423,.T.); #195888=EDGE_CURVE('',#158531,#158530,#78424,.T.); #195889=EDGE_CURVE('',#158528,#158531,#78425,.T.); #195890=EDGE_CURVE('',#158532,#158528,#78426,.T.); #195891=EDGE_CURVE('',#158533,#158531,#78427,.T.); #195892=EDGE_CURVE('',#158532,#158533,#78428,.T.); #195893=EDGE_CURVE('',#158534,#158532,#78429,.T.); #195894=EDGE_CURVE('',#158535,#158533,#78430,.T.); #195895=EDGE_CURVE('',#158534,#158535,#78431,.T.); #195896=EDGE_CURVE('',#158529,#158534,#78432,.T.); #195897=EDGE_CURVE('',#158530,#158535,#78433,.T.); #195898=EDGE_CURVE('',#158536,#158537,#78434,.T.); #195899=EDGE_CURVE('',#158537,#158538,#78435,.T.); #195900=EDGE_CURVE('',#158539,#158538,#78436,.T.); #195901=EDGE_CURVE('',#158536,#158539,#78437,.T.); #195902=EDGE_CURVE('',#158540,#158536,#78438,.T.); #195903=EDGE_CURVE('',#158541,#158539,#78439,.T.); #195904=EDGE_CURVE('',#158540,#158541,#78440,.T.); #195905=EDGE_CURVE('',#158542,#158540,#78441,.T.); #195906=EDGE_CURVE('',#158543,#158541,#78442,.T.); #195907=EDGE_CURVE('',#158542,#158543,#78443,.T.); #195908=EDGE_CURVE('',#158537,#158542,#78444,.T.); #195909=EDGE_CURVE('',#158538,#158543,#78445,.T.); #195910=EDGE_CURVE('',#158544,#158545,#78446,.T.); #195911=EDGE_CURVE('',#158545,#158546,#78447,.T.); #195912=EDGE_CURVE('',#158547,#158546,#78448,.T.); #195913=EDGE_CURVE('',#158544,#158547,#78449,.T.); #195914=EDGE_CURVE('',#158548,#158544,#78450,.T.); #195915=EDGE_CURVE('',#158549,#158547,#78451,.T.); #195916=EDGE_CURVE('',#158548,#158549,#78452,.T.); #195917=EDGE_CURVE('',#158550,#158548,#78453,.T.); #195918=EDGE_CURVE('',#158551,#158549,#78454,.T.); #195919=EDGE_CURVE('',#158550,#158551,#78455,.T.); #195920=EDGE_CURVE('',#158545,#158550,#78456,.T.); #195921=EDGE_CURVE('',#158546,#158551,#78457,.T.); #195922=EDGE_CURVE('',#158552,#158553,#78458,.T.); #195923=EDGE_CURVE('',#158553,#158554,#78459,.T.); #195924=EDGE_CURVE('',#158555,#158554,#78460,.T.); #195925=EDGE_CURVE('',#158552,#158555,#78461,.T.); #195926=EDGE_CURVE('',#158556,#158552,#78462,.T.); #195927=EDGE_CURVE('',#158557,#158555,#78463,.T.); #195928=EDGE_CURVE('',#158556,#158557,#78464,.T.); #195929=EDGE_CURVE('',#158558,#158556,#78465,.T.); #195930=EDGE_CURVE('',#158559,#158557,#78466,.T.); #195931=EDGE_CURVE('',#158558,#158559,#78467,.T.); #195932=EDGE_CURVE('',#158553,#158558,#78468,.T.); #195933=EDGE_CURVE('',#158554,#158559,#78469,.T.); #195934=EDGE_CURVE('',#158560,#158561,#78470,.T.); #195935=EDGE_CURVE('',#158561,#158562,#78471,.T.); #195936=EDGE_CURVE('',#158563,#158562,#78472,.T.); #195937=EDGE_CURVE('',#158560,#158563,#78473,.T.); #195938=EDGE_CURVE('',#158564,#158560,#78474,.T.); #195939=EDGE_CURVE('',#158565,#158563,#78475,.T.); #195940=EDGE_CURVE('',#158564,#158565,#78476,.T.); #195941=EDGE_CURVE('',#158566,#158564,#78477,.T.); #195942=EDGE_CURVE('',#158567,#158565,#78478,.T.); #195943=EDGE_CURVE('',#158566,#158567,#78479,.T.); #195944=EDGE_CURVE('',#158561,#158566,#78480,.T.); #195945=EDGE_CURVE('',#158562,#158567,#78481,.T.); #195946=EDGE_CURVE('',#158568,#158569,#78482,.T.); #195947=EDGE_CURVE('',#158569,#158570,#78483,.T.); #195948=EDGE_CURVE('',#158571,#158570,#78484,.T.); #195949=EDGE_CURVE('',#158568,#158571,#78485,.T.); #195950=EDGE_CURVE('',#158572,#158568,#78486,.T.); #195951=EDGE_CURVE('',#158573,#158571,#78487,.T.); #195952=EDGE_CURVE('',#158572,#158573,#78488,.T.); #195953=EDGE_CURVE('',#158574,#158572,#78489,.T.); #195954=EDGE_CURVE('',#158575,#158573,#78490,.T.); #195955=EDGE_CURVE('',#158574,#158575,#78491,.T.); #195956=EDGE_CURVE('',#158569,#158574,#78492,.T.); #195957=EDGE_CURVE('',#158570,#158575,#78493,.T.); #195958=EDGE_CURVE('',#158576,#158577,#78494,.T.); #195959=EDGE_CURVE('',#158577,#158578,#78495,.T.); #195960=EDGE_CURVE('',#158579,#158578,#78496,.T.); #195961=EDGE_CURVE('',#158576,#158579,#78497,.T.); #195962=EDGE_CURVE('',#158580,#158576,#78498,.T.); #195963=EDGE_CURVE('',#158581,#158579,#78499,.T.); #195964=EDGE_CURVE('',#158580,#158581,#78500,.T.); #195965=EDGE_CURVE('',#158582,#158580,#78501,.T.); #195966=EDGE_CURVE('',#158583,#158581,#78502,.T.); #195967=EDGE_CURVE('',#158582,#158583,#78503,.T.); #195968=EDGE_CURVE('',#158577,#158582,#78504,.T.); #195969=EDGE_CURVE('',#158578,#158583,#78505,.T.); #195970=EDGE_CURVE('',#158584,#158585,#78506,.T.); #195971=EDGE_CURVE('',#158585,#158586,#78507,.T.); #195972=EDGE_CURVE('',#158587,#158586,#78508,.T.); #195973=EDGE_CURVE('',#158584,#158587,#78509,.T.); #195974=EDGE_CURVE('',#158588,#158584,#78510,.T.); #195975=EDGE_CURVE('',#158589,#158587,#78511,.T.); #195976=EDGE_CURVE('',#158588,#158589,#78512,.T.); #195977=EDGE_CURVE('',#158590,#158588,#78513,.T.); #195978=EDGE_CURVE('',#158591,#158589,#78514,.T.); #195979=EDGE_CURVE('',#158590,#158591,#78515,.T.); #195980=EDGE_CURVE('',#158585,#158590,#78516,.T.); #195981=EDGE_CURVE('',#158586,#158591,#78517,.T.); #195982=EDGE_CURVE('',#158592,#158593,#78518,.T.); #195983=EDGE_CURVE('',#158593,#158594,#78519,.T.); #195984=EDGE_CURVE('',#158595,#158594,#78520,.T.); #195985=EDGE_CURVE('',#158592,#158595,#78521,.T.); #195986=EDGE_CURVE('',#158596,#158592,#78522,.T.); #195987=EDGE_CURVE('',#158597,#158595,#78523,.T.); #195988=EDGE_CURVE('',#158596,#158597,#78524,.T.); #195989=EDGE_CURVE('',#158598,#158596,#78525,.T.); #195990=EDGE_CURVE('',#158599,#158597,#78526,.T.); #195991=EDGE_CURVE('',#158598,#158599,#78527,.T.); #195992=EDGE_CURVE('',#158593,#158598,#78528,.T.); #195993=EDGE_CURVE('',#158594,#158599,#78529,.T.); #195994=EDGE_CURVE('',#158600,#158600,#140278,.T.); #195995=EDGE_CURVE('',#158600,#158601,#78530,.T.); #195996=EDGE_CURVE('',#158601,#158601,#140279,.T.); #195997=EDGE_CURVE('',#158602,#158603,#78531,.T.); #195998=EDGE_CURVE('',#158604,#158602,#140280,.T.); #195999=EDGE_CURVE('',#158605,#158604,#78532,.T.); #196000=EDGE_CURVE('',#158603,#158605,#140281,.T.); #196001=EDGE_CURVE('',#158606,#158607,#78533,.T.); #196002=EDGE_CURVE('',#158608,#158606,#140282,.T.); #196003=EDGE_CURVE('',#158609,#158608,#78534,.T.); #196004=EDGE_CURVE('',#158607,#158609,#140283,.T.); #196005=EDGE_CURVE('',#158610,#158611,#78535,.T.); #196006=EDGE_CURVE('',#158612,#158610,#140284,.T.); #196007=EDGE_CURVE('',#158613,#158612,#78536,.T.); #196008=EDGE_CURVE('',#158611,#158613,#140285,.T.); #196009=EDGE_CURVE('',#158614,#158615,#78537,.T.); #196010=EDGE_CURVE('',#158616,#158614,#140286,.T.); #196011=EDGE_CURVE('',#158617,#158616,#78538,.T.); #196012=EDGE_CURVE('',#158615,#158617,#140287,.T.); #196013=EDGE_CURVE('',#158618,#158619,#78539,.T.); #196014=EDGE_CURVE('',#158620,#158618,#140288,.T.); #196015=EDGE_CURVE('',#158621,#158620,#78540,.T.); #196016=EDGE_CURVE('',#158619,#158621,#140289,.T.); #196017=EDGE_CURVE('',#158622,#158623,#78541,.T.); #196018=EDGE_CURVE('',#158624,#158622,#140290,.T.); #196019=EDGE_CURVE('',#158625,#158624,#78542,.T.); #196020=EDGE_CURVE('',#158623,#158625,#140291,.T.); #196021=EDGE_CURVE('',#158626,#158627,#78543,.T.); #196022=EDGE_CURVE('',#158628,#158626,#140292,.T.); #196023=EDGE_CURVE('',#158629,#158628,#78544,.T.); #196024=EDGE_CURVE('',#158627,#158629,#140293,.T.); #196025=EDGE_CURVE('',#158630,#158631,#78545,.T.); #196026=EDGE_CURVE('',#158632,#158630,#140294,.T.); #196027=EDGE_CURVE('',#158633,#158632,#78546,.T.); #196028=EDGE_CURVE('',#158631,#158633,#140295,.T.); #196029=EDGE_CURVE('',#158613,#158603,#78547,.T.); #196030=EDGE_CURVE('',#158612,#158602,#78548,.T.); #196031=EDGE_CURVE('',#158604,#158634,#78549,.T.); #196032=EDGE_CURVE('',#158634,#158635,#78550,.T.); #196033=EDGE_CURVE('',#158605,#158635,#78551,.T.); #196034=EDGE_CURVE('',#158607,#158610,#78552,.T.); #196035=EDGE_CURVE('',#158606,#158611,#78553,.T.); #196036=EDGE_CURVE('',#158617,#158608,#78554,.T.); #196037=EDGE_CURVE('',#158616,#158609,#78555,.T.); #196038=EDGE_CURVE('',#158636,#158619,#78556,.T.); #196039=EDGE_CURVE('',#158637,#158636,#78557,.T.); #196040=EDGE_CURVE('',#158637,#158618,#78558,.T.); #196041=EDGE_CURVE('',#158635,#158631,#78559,.T.); #196042=EDGE_CURVE('',#158634,#158630,#78560,.T.); #196043=EDGE_CURVE('',#158633,#158627,#78561,.T.); #196044=EDGE_CURVE('',#158632,#158626,#78562,.T.); #196045=EDGE_CURVE('',#158629,#158623,#78563,.T.); #196046=EDGE_CURVE('',#158628,#158622,#78564,.T.); #196047=EDGE_CURVE('',#158624,#158637,#78565,.T.); #196048=EDGE_CURVE('',#158625,#158636,#78566,.T.); #196049=EDGE_CURVE('',#158620,#158614,#78567,.T.); #196050=EDGE_CURVE('',#158621,#158615,#78568,.T.); #196051=EDGE_CURVE('',#158638,#158639,#78569,.T.); #196052=EDGE_CURVE('',#158639,#158640,#78570,.T.); #196053=EDGE_CURVE('',#158641,#158640,#78571,.T.); #196054=EDGE_CURVE('',#158638,#158641,#78572,.T.); #196055=EDGE_CURVE('',#158642,#158638,#78573,.T.); #196056=EDGE_CURVE('',#158643,#158641,#78574,.T.); #196057=EDGE_CURVE('',#158642,#158643,#78575,.T.); #196058=EDGE_CURVE('',#158644,#158642,#78576,.T.); #196059=EDGE_CURVE('',#158645,#158643,#78577,.T.); #196060=EDGE_CURVE('',#158644,#158645,#78578,.T.); #196061=EDGE_CURVE('',#158639,#158644,#78579,.T.); #196062=EDGE_CURVE('',#158640,#158645,#78580,.T.); #196063=EDGE_CURVE('',#158646,#158646,#140296,.T.); #196064=EDGE_CURVE('',#158646,#158647,#78581,.T.); #196065=EDGE_CURVE('',#158647,#158647,#140297,.T.); #196066=EDGE_CURVE('',#158648,#158649,#78582,.T.); #196067=EDGE_CURVE('',#158649,#158650,#78583,.T.); #196068=EDGE_CURVE('',#158651,#158650,#78584,.T.); #196069=EDGE_CURVE('',#158648,#158651,#78585,.T.); #196070=EDGE_CURVE('',#158652,#158648,#78586,.T.); #196071=EDGE_CURVE('',#158653,#158651,#78587,.T.); #196072=EDGE_CURVE('',#158652,#158653,#78588,.T.); #196073=EDGE_CURVE('',#158654,#158652,#78589,.T.); #196074=EDGE_CURVE('',#158655,#158653,#78590,.T.); #196075=EDGE_CURVE('',#158654,#158655,#78591,.T.); #196076=EDGE_CURVE('',#158649,#158654,#78592,.T.); #196077=EDGE_CURVE('',#158650,#158655,#78593,.T.); #196078=EDGE_CURVE('',#158656,#158657,#78594,.T.); #196079=EDGE_CURVE('',#158657,#158658,#78595,.T.); #196080=EDGE_CURVE('',#158659,#158658,#78596,.T.); #196081=EDGE_CURVE('',#158656,#158659,#78597,.T.); #196082=EDGE_CURVE('',#158660,#158656,#78598,.T.); #196083=EDGE_CURVE('',#158661,#158659,#78599,.T.); #196084=EDGE_CURVE('',#158660,#158661,#78600,.T.); #196085=EDGE_CURVE('',#158662,#158660,#78601,.T.); #196086=EDGE_CURVE('',#158663,#158661,#78602,.T.); #196087=EDGE_CURVE('',#158662,#158663,#78603,.T.); #196088=EDGE_CURVE('',#158657,#158662,#78604,.T.); #196089=EDGE_CURVE('',#158658,#158663,#78605,.T.); #196090=EDGE_CURVE('',#158664,#158665,#78606,.T.); #196091=EDGE_CURVE('',#158665,#158666,#78607,.T.); #196092=EDGE_CURVE('',#158667,#158666,#78608,.T.); #196093=EDGE_CURVE('',#158664,#158667,#78609,.T.); #196094=EDGE_CURVE('',#158668,#158664,#78610,.T.); #196095=EDGE_CURVE('',#158669,#158667,#78611,.T.); #196096=EDGE_CURVE('',#158668,#158669,#78612,.T.); #196097=EDGE_CURVE('',#158670,#158668,#78613,.T.); #196098=EDGE_CURVE('',#158671,#158669,#78614,.T.); #196099=EDGE_CURVE('',#158670,#158671,#78615,.T.); #196100=EDGE_CURVE('',#158665,#158670,#78616,.T.); #196101=EDGE_CURVE('',#158666,#158671,#78617,.T.); #196102=EDGE_CURVE('',#158672,#158673,#78618,.T.); #196103=EDGE_CURVE('',#158673,#158674,#78619,.T.); #196104=EDGE_CURVE('',#158675,#158674,#78620,.T.); #196105=EDGE_CURVE('',#158672,#158675,#78621,.T.); #196106=EDGE_CURVE('',#158676,#158672,#78622,.T.); #196107=EDGE_CURVE('',#158677,#158675,#78623,.T.); #196108=EDGE_CURVE('',#158676,#158677,#78624,.T.); #196109=EDGE_CURVE('',#158678,#158676,#78625,.T.); #196110=EDGE_CURVE('',#158679,#158677,#78626,.T.); #196111=EDGE_CURVE('',#158678,#158679,#78627,.T.); #196112=EDGE_CURVE('',#158673,#158678,#78628,.T.); #196113=EDGE_CURVE('',#158674,#158679,#78629,.T.); #196114=EDGE_CURVE('',#158680,#158680,#140298,.T.); #196115=EDGE_CURVE('',#158680,#158681,#78630,.T.); #196116=EDGE_CURVE('',#158681,#158681,#140299,.T.); #196117=EDGE_CURVE('',#158682,#158683,#78631,.T.); #196118=EDGE_CURVE('',#158683,#158684,#78632,.T.); #196119=EDGE_CURVE('',#158685,#158684,#78633,.T.); #196120=EDGE_CURVE('',#158682,#158685,#78634,.T.); #196121=EDGE_CURVE('',#158686,#158682,#78635,.T.); #196122=EDGE_CURVE('',#158687,#158685,#78636,.T.); #196123=EDGE_CURVE('',#158686,#158687,#78637,.T.); #196124=EDGE_CURVE('',#158688,#158686,#78638,.T.); #196125=EDGE_CURVE('',#158689,#158687,#78639,.T.); #196126=EDGE_CURVE('',#158688,#158689,#78640,.T.); #196127=EDGE_CURVE('',#158683,#158688,#78641,.T.); #196128=EDGE_CURVE('',#158684,#158689,#78642,.T.); #196129=EDGE_CURVE('',#158690,#158690,#140300,.T.); #196130=EDGE_CURVE('',#158690,#158691,#78643,.T.); #196131=EDGE_CURVE('',#158691,#158691,#140301,.T.); #196132=EDGE_CURVE('',#158692,#158693,#78644,.T.); #196133=EDGE_CURVE('',#158693,#158694,#78645,.T.); #196134=EDGE_CURVE('',#158695,#158694,#78646,.T.); #196135=EDGE_CURVE('',#158692,#158695,#78647,.T.); #196136=EDGE_CURVE('',#158696,#158692,#78648,.T.); #196137=EDGE_CURVE('',#158697,#158695,#78649,.T.); #196138=EDGE_CURVE('',#158696,#158697,#78650,.T.); #196139=EDGE_CURVE('',#158698,#158696,#78651,.T.); #196140=EDGE_CURVE('',#158699,#158697,#78652,.T.); #196141=EDGE_CURVE('',#158698,#158699,#78653,.T.); #196142=EDGE_CURVE('',#158693,#158698,#78654,.T.); #196143=EDGE_CURVE('',#158694,#158699,#78655,.T.); #196144=EDGE_CURVE('',#158700,#158701,#78656,.T.); #196145=EDGE_CURVE('',#158701,#158702,#78657,.T.); #196146=EDGE_CURVE('',#158703,#158702,#78658,.T.); #196147=EDGE_CURVE('',#158700,#158703,#78659,.T.); #196148=EDGE_CURVE('',#158704,#158700,#78660,.T.); #196149=EDGE_CURVE('',#158705,#158703,#78661,.T.); #196150=EDGE_CURVE('',#158704,#158705,#78662,.T.); #196151=EDGE_CURVE('',#158706,#158704,#78663,.T.); #196152=EDGE_CURVE('',#158707,#158705,#78664,.T.); #196153=EDGE_CURVE('',#158706,#158707,#78665,.T.); #196154=EDGE_CURVE('',#158701,#158706,#78666,.T.); #196155=EDGE_CURVE('',#158702,#158707,#78667,.T.); #196156=EDGE_CURVE('',#158708,#158709,#78668,.T.); #196157=EDGE_CURVE('',#158709,#158710,#78669,.T.); #196158=EDGE_CURVE('',#158711,#158710,#78670,.T.); #196159=EDGE_CURVE('',#158708,#158711,#78671,.T.); #196160=EDGE_CURVE('',#158712,#158708,#78672,.T.); #196161=EDGE_CURVE('',#158713,#158711,#78673,.T.); #196162=EDGE_CURVE('',#158712,#158713,#78674,.T.); #196163=EDGE_CURVE('',#158714,#158712,#78675,.T.); #196164=EDGE_CURVE('',#158715,#158713,#78676,.T.); #196165=EDGE_CURVE('',#158714,#158715,#78677,.T.); #196166=EDGE_CURVE('',#158709,#158714,#78678,.T.); #196167=EDGE_CURVE('',#158710,#158715,#78679,.T.); #196168=EDGE_CURVE('',#158716,#158717,#78680,.T.); #196169=EDGE_CURVE('',#158717,#158718,#78681,.T.); #196170=EDGE_CURVE('',#158719,#158718,#78682,.T.); #196171=EDGE_CURVE('',#158716,#158719,#78683,.T.); #196172=EDGE_CURVE('',#158720,#158716,#78684,.T.); #196173=EDGE_CURVE('',#158721,#158719,#78685,.T.); #196174=EDGE_CURVE('',#158720,#158721,#78686,.T.); #196175=EDGE_CURVE('',#158722,#158720,#78687,.T.); #196176=EDGE_CURVE('',#158723,#158721,#78688,.T.); #196177=EDGE_CURVE('',#158722,#158723,#78689,.T.); #196178=EDGE_CURVE('',#158717,#158722,#78690,.T.); #196179=EDGE_CURVE('',#158718,#158723,#78691,.T.); #196180=EDGE_CURVE('',#158724,#158725,#78692,.T.); #196181=EDGE_CURVE('',#158726,#158724,#140302,.T.); #196182=EDGE_CURVE('',#158727,#158726,#78693,.T.); #196183=EDGE_CURVE('',#158725,#158727,#140303,.T.); #196184=EDGE_CURVE('',#158728,#158729,#78694,.T.); #196185=EDGE_CURVE('',#158730,#158728,#140304,.T.); #196186=EDGE_CURVE('',#158731,#158730,#78695,.T.); #196187=EDGE_CURVE('',#158729,#158731,#140305,.T.); #196188=EDGE_CURVE('',#158732,#158733,#78696,.T.); #196189=EDGE_CURVE('',#158734,#158732,#140306,.T.); #196190=EDGE_CURVE('',#158735,#158734,#78697,.T.); #196191=EDGE_CURVE('',#158733,#158735,#140307,.T.); #196192=EDGE_CURVE('',#158736,#158736,#140308,.T.); #196193=EDGE_CURVE('',#158736,#158737,#78698,.T.); #196194=EDGE_CURVE('',#158737,#158737,#140309,.T.); #196195=EDGE_CURVE('',#158738,#158739,#78699,.T.); #196196=EDGE_CURVE('',#158740,#158738,#140310,.T.); #196197=EDGE_CURVE('',#158741,#158740,#78700,.T.); #196198=EDGE_CURVE('',#158739,#158741,#140311,.T.); #196199=EDGE_CURVE('',#158742,#158742,#140312,.T.); #196200=EDGE_CURVE('',#158742,#158743,#78701,.T.); #196201=EDGE_CURVE('',#158743,#158743,#140313,.T.); #196202=EDGE_CURVE('',#158744,#158729,#78702,.T.); #196203=EDGE_CURVE('',#158745,#158744,#78703,.T.); #196204=EDGE_CURVE('',#158745,#158728,#78704,.T.); #196205=EDGE_CURVE('',#158731,#158725,#78705,.T.); #196206=EDGE_CURVE('',#158730,#158724,#78706,.T.); #196207=EDGE_CURVE('',#158726,#158746,#78707,.T.); #196208=EDGE_CURVE('',#158746,#158747,#78708,.T.); #196209=EDGE_CURVE('',#158727,#158747,#78709,.T.); #196210=EDGE_CURVE('',#158748,#158739,#78710,.T.); #196211=EDGE_CURVE('',#158749,#158748,#78711,.T.); #196212=EDGE_CURVE('',#158749,#158738,#78712,.T.); #196213=EDGE_CURVE('',#158741,#158733,#78713,.T.); #196214=EDGE_CURVE('',#158740,#158732,#78714,.T.); #196215=EDGE_CURVE('',#158734,#158750,#78715,.T.); #196216=EDGE_CURVE('',#158750,#158751,#78716,.T.); #196217=EDGE_CURVE('',#158735,#158751,#78717,.T.); #196218=EDGE_CURVE('',#158750,#158745,#78718,.T.); #196219=EDGE_CURVE('',#158746,#158749,#78719,.T.); #196220=EDGE_CURVE('',#158747,#158748,#78720,.T.); #196221=EDGE_CURVE('',#158751,#158744,#78721,.T.); #196222=EDGE_CURVE('',#158752,#158753,#78722,.T.); #196223=EDGE_CURVE('',#158753,#158754,#78723,.T.); #196224=EDGE_CURVE('',#158755,#158754,#78724,.T.); #196225=EDGE_CURVE('',#158752,#158755,#78725,.T.); #196226=EDGE_CURVE('',#158756,#158752,#78726,.T.); #196227=EDGE_CURVE('',#158757,#158755,#78727,.T.); #196228=EDGE_CURVE('',#158756,#158757,#78728,.T.); #196229=EDGE_CURVE('',#158758,#158756,#78729,.T.); #196230=EDGE_CURVE('',#158759,#158757,#78730,.T.); #196231=EDGE_CURVE('',#158758,#158759,#78731,.T.); #196232=EDGE_CURVE('',#158753,#158758,#78732,.T.); #196233=EDGE_CURVE('',#158754,#158759,#78733,.T.); #196234=EDGE_CURVE('',#158760,#158761,#78734,.T.); #196235=EDGE_CURVE('',#158761,#158762,#78735,.T.); #196236=EDGE_CURVE('',#158763,#158762,#78736,.T.); #196237=EDGE_CURVE('',#158760,#158763,#78737,.T.); #196238=EDGE_CURVE('',#158764,#158760,#78738,.T.); #196239=EDGE_CURVE('',#158765,#158763,#78739,.T.); #196240=EDGE_CURVE('',#158764,#158765,#78740,.T.); #196241=EDGE_CURVE('',#158766,#158764,#78741,.T.); #196242=EDGE_CURVE('',#158767,#158765,#78742,.T.); #196243=EDGE_CURVE('',#158766,#158767,#78743,.T.); #196244=EDGE_CURVE('',#158761,#158766,#78744,.T.); #196245=EDGE_CURVE('',#158762,#158767,#78745,.T.); #196246=EDGE_CURVE('',#158768,#158769,#78746,.T.); #196247=EDGE_CURVE('',#158769,#158770,#78747,.T.); #196248=EDGE_CURVE('',#158771,#158770,#78748,.T.); #196249=EDGE_CURVE('',#158768,#158771,#78749,.T.); #196250=EDGE_CURVE('',#158772,#158768,#78750,.T.); #196251=EDGE_CURVE('',#158773,#158771,#78751,.T.); #196252=EDGE_CURVE('',#158772,#158773,#78752,.T.); #196253=EDGE_CURVE('',#158774,#158772,#78753,.T.); #196254=EDGE_CURVE('',#158775,#158773,#78754,.T.); #196255=EDGE_CURVE('',#158774,#158775,#78755,.T.); #196256=EDGE_CURVE('',#158769,#158774,#78756,.T.); #196257=EDGE_CURVE('',#158770,#158775,#78757,.T.); #196258=EDGE_CURVE('',#158776,#158777,#78758,.T.); #196259=EDGE_CURVE('',#158777,#158778,#78759,.T.); #196260=EDGE_CURVE('',#158779,#158778,#78760,.T.); #196261=EDGE_CURVE('',#158776,#158779,#78761,.T.); #196262=EDGE_CURVE('',#158780,#158776,#78762,.T.); #196263=EDGE_CURVE('',#158781,#158779,#78763,.T.); #196264=EDGE_CURVE('',#158780,#158781,#78764,.T.); #196265=EDGE_CURVE('',#158782,#158780,#78765,.T.); #196266=EDGE_CURVE('',#158783,#158781,#78766,.T.); #196267=EDGE_CURVE('',#158782,#158783,#78767,.T.); #196268=EDGE_CURVE('',#158777,#158782,#78768,.T.); #196269=EDGE_CURVE('',#158778,#158783,#78769,.T.); #196270=EDGE_CURVE('',#158784,#158785,#78770,.T.); #196271=EDGE_CURVE('',#158785,#158786,#78771,.T.); #196272=EDGE_CURVE('',#158787,#158786,#78772,.T.); #196273=EDGE_CURVE('',#158784,#158787,#78773,.T.); #196274=EDGE_CURVE('',#158788,#158784,#78774,.T.); #196275=EDGE_CURVE('',#158789,#158787,#78775,.T.); #196276=EDGE_CURVE('',#158788,#158789,#78776,.T.); #196277=EDGE_CURVE('',#158790,#158788,#78777,.T.); #196278=EDGE_CURVE('',#158791,#158789,#78778,.T.); #196279=EDGE_CURVE('',#158790,#158791,#78779,.T.); #196280=EDGE_CURVE('',#158785,#158790,#78780,.T.); #196281=EDGE_CURVE('',#158786,#158791,#78781,.T.); #196282=EDGE_CURVE('',#158792,#158793,#78782,.T.); #196283=EDGE_CURVE('',#158793,#158794,#78783,.T.); #196284=EDGE_CURVE('',#158795,#158794,#78784,.T.); #196285=EDGE_CURVE('',#158792,#158795,#78785,.T.); #196286=EDGE_CURVE('',#158796,#158792,#78786,.T.); #196287=EDGE_CURVE('',#158797,#158795,#78787,.T.); #196288=EDGE_CURVE('',#158796,#158797,#78788,.T.); #196289=EDGE_CURVE('',#158798,#158796,#78789,.T.); #196290=EDGE_CURVE('',#158799,#158797,#78790,.T.); #196291=EDGE_CURVE('',#158798,#158799,#78791,.T.); #196292=EDGE_CURVE('',#158793,#158798,#78792,.T.); #196293=EDGE_CURVE('',#158794,#158799,#78793,.T.); #196294=EDGE_CURVE('',#158800,#158801,#78794,.T.); #196295=EDGE_CURVE('',#158801,#158802,#78795,.T.); #196296=EDGE_CURVE('',#158803,#158802,#78796,.T.); #196297=EDGE_CURVE('',#158800,#158803,#78797,.T.); #196298=EDGE_CURVE('',#158804,#158800,#78798,.T.); #196299=EDGE_CURVE('',#158805,#158803,#78799,.T.); #196300=EDGE_CURVE('',#158804,#158805,#78800,.T.); #196301=EDGE_CURVE('',#158806,#158804,#78801,.T.); #196302=EDGE_CURVE('',#158807,#158805,#78802,.T.); #196303=EDGE_CURVE('',#158806,#158807,#78803,.T.); #196304=EDGE_CURVE('',#158801,#158806,#78804,.T.); #196305=EDGE_CURVE('',#158802,#158807,#78805,.T.); #196306=EDGE_CURVE('',#158808,#158809,#78806,.T.); #196307=EDGE_CURVE('',#158809,#158810,#78807,.T.); #196308=EDGE_CURVE('',#158811,#158810,#78808,.T.); #196309=EDGE_CURVE('',#158808,#158811,#78809,.T.); #196310=EDGE_CURVE('',#158812,#158808,#78810,.T.); #196311=EDGE_CURVE('',#158813,#158811,#78811,.T.); #196312=EDGE_CURVE('',#158812,#158813,#78812,.T.); #196313=EDGE_CURVE('',#158814,#158812,#78813,.T.); #196314=EDGE_CURVE('',#158815,#158813,#78814,.T.); #196315=EDGE_CURVE('',#158814,#158815,#78815,.T.); #196316=EDGE_CURVE('',#158809,#158814,#78816,.T.); #196317=EDGE_CURVE('',#158810,#158815,#78817,.T.); #196318=EDGE_CURVE('',#158816,#158817,#78818,.T.); #196319=EDGE_CURVE('',#158817,#158818,#78819,.T.); #196320=EDGE_CURVE('',#158819,#158818,#78820,.T.); #196321=EDGE_CURVE('',#158816,#158819,#78821,.T.); #196322=EDGE_CURVE('',#158820,#158816,#78822,.T.); #196323=EDGE_CURVE('',#158821,#158819,#78823,.T.); #196324=EDGE_CURVE('',#158820,#158821,#78824,.T.); #196325=EDGE_CURVE('',#158822,#158820,#78825,.T.); #196326=EDGE_CURVE('',#158823,#158821,#78826,.T.); #196327=EDGE_CURVE('',#158822,#158823,#78827,.T.); #196328=EDGE_CURVE('',#158817,#158822,#78828,.T.); #196329=EDGE_CURVE('',#158818,#158823,#78829,.T.); #196330=EDGE_CURVE('',#158824,#158825,#78830,.T.); #196331=EDGE_CURVE('',#158825,#158826,#78831,.T.); #196332=EDGE_CURVE('',#158827,#158826,#78832,.T.); #196333=EDGE_CURVE('',#158824,#158827,#78833,.T.); #196334=EDGE_CURVE('',#158828,#158824,#78834,.T.); #196335=EDGE_CURVE('',#158829,#158827,#78835,.T.); #196336=EDGE_CURVE('',#158828,#158829,#78836,.T.); #196337=EDGE_CURVE('',#158830,#158828,#78837,.T.); #196338=EDGE_CURVE('',#158831,#158829,#78838,.T.); #196339=EDGE_CURVE('',#158830,#158831,#78839,.T.); #196340=EDGE_CURVE('',#158825,#158830,#78840,.T.); #196341=EDGE_CURVE('',#158826,#158831,#78841,.T.); #196342=EDGE_CURVE('',#158832,#158833,#78842,.T.); #196343=EDGE_CURVE('',#158833,#158834,#78843,.T.); #196344=EDGE_CURVE('',#158835,#158834,#78844,.T.); #196345=EDGE_CURVE('',#158832,#158835,#78845,.T.); #196346=EDGE_CURVE('',#158836,#158832,#78846,.T.); #196347=EDGE_CURVE('',#158837,#158835,#78847,.T.); #196348=EDGE_CURVE('',#158836,#158837,#78848,.T.); #196349=EDGE_CURVE('',#158838,#158836,#78849,.T.); #196350=EDGE_CURVE('',#158839,#158837,#78850,.T.); #196351=EDGE_CURVE('',#158838,#158839,#78851,.T.); #196352=EDGE_CURVE('',#158833,#158838,#78852,.T.); #196353=EDGE_CURVE('',#158834,#158839,#78853,.T.); #196354=EDGE_CURVE('',#158840,#158841,#78854,.T.); #196355=EDGE_CURVE('',#158841,#158842,#78855,.T.); #196356=EDGE_CURVE('',#158843,#158842,#78856,.T.); #196357=EDGE_CURVE('',#158840,#158843,#78857,.T.); #196358=EDGE_CURVE('',#158844,#158840,#78858,.T.); #196359=EDGE_CURVE('',#158845,#158843,#78859,.T.); #196360=EDGE_CURVE('',#158844,#158845,#78860,.T.); #196361=EDGE_CURVE('',#158846,#158844,#78861,.T.); #196362=EDGE_CURVE('',#158847,#158845,#78862,.T.); #196363=EDGE_CURVE('',#158846,#158847,#78863,.T.); #196364=EDGE_CURVE('',#158841,#158846,#78864,.T.); #196365=EDGE_CURVE('',#158842,#158847,#78865,.T.); #196366=EDGE_CURVE('',#158848,#158849,#78866,.T.); #196367=EDGE_CURVE('',#158849,#158850,#78867,.T.); #196368=EDGE_CURVE('',#158851,#158850,#78868,.T.); #196369=EDGE_CURVE('',#158848,#158851,#78869,.T.); #196370=EDGE_CURVE('',#158852,#158848,#78870,.T.); #196371=EDGE_CURVE('',#158853,#158851,#78871,.T.); #196372=EDGE_CURVE('',#158852,#158853,#78872,.T.); #196373=EDGE_CURVE('',#158854,#158852,#78873,.T.); #196374=EDGE_CURVE('',#158855,#158853,#78874,.T.); #196375=EDGE_CURVE('',#158854,#158855,#78875,.T.); #196376=EDGE_CURVE('',#158849,#158854,#78876,.T.); #196377=EDGE_CURVE('',#158850,#158855,#78877,.T.); #196378=EDGE_CURVE('',#158856,#158857,#78878,.T.); #196379=EDGE_CURVE('',#158857,#158858,#78879,.T.); #196380=EDGE_CURVE('',#158859,#158858,#78880,.T.); #196381=EDGE_CURVE('',#158856,#158859,#78881,.T.); #196382=EDGE_CURVE('',#158860,#158856,#78882,.T.); #196383=EDGE_CURVE('',#158861,#158859,#78883,.T.); #196384=EDGE_CURVE('',#158860,#158861,#78884,.T.); #196385=EDGE_CURVE('',#158862,#158860,#78885,.T.); #196386=EDGE_CURVE('',#158863,#158861,#78886,.T.); #196387=EDGE_CURVE('',#158862,#158863,#78887,.T.); #196388=EDGE_CURVE('',#158857,#158862,#78888,.T.); #196389=EDGE_CURVE('',#158858,#158863,#78889,.T.); #196390=EDGE_CURVE('',#158864,#158865,#78890,.T.); #196391=EDGE_CURVE('',#158865,#158866,#78891,.T.); #196392=EDGE_CURVE('',#158867,#158866,#78892,.T.); #196393=EDGE_CURVE('',#158864,#158867,#78893,.T.); #196394=EDGE_CURVE('',#158868,#158864,#78894,.T.); #196395=EDGE_CURVE('',#158869,#158867,#78895,.T.); #196396=EDGE_CURVE('',#158868,#158869,#78896,.T.); #196397=EDGE_CURVE('',#158870,#158868,#78897,.T.); #196398=EDGE_CURVE('',#158871,#158869,#78898,.T.); #196399=EDGE_CURVE('',#158870,#158871,#78899,.T.); #196400=EDGE_CURVE('',#158865,#158870,#78900,.T.); #196401=EDGE_CURVE('',#158866,#158871,#78901,.T.); #196402=EDGE_CURVE('',#158872,#158873,#78902,.T.); #196403=EDGE_CURVE('',#158873,#158874,#78903,.T.); #196404=EDGE_CURVE('',#158875,#158874,#78904,.T.); #196405=EDGE_CURVE('',#158872,#158875,#78905,.T.); #196406=EDGE_CURVE('',#158876,#158872,#78906,.T.); #196407=EDGE_CURVE('',#158877,#158875,#78907,.T.); #196408=EDGE_CURVE('',#158876,#158877,#78908,.T.); #196409=EDGE_CURVE('',#158878,#158876,#78909,.T.); #196410=EDGE_CURVE('',#158879,#158877,#78910,.T.); #196411=EDGE_CURVE('',#158878,#158879,#78911,.T.); #196412=EDGE_CURVE('',#158873,#158878,#78912,.T.); #196413=EDGE_CURVE('',#158874,#158879,#78913,.T.); #196414=EDGE_CURVE('',#158880,#158881,#78914,.T.); #196415=EDGE_CURVE('',#158881,#158882,#78915,.T.); #196416=EDGE_CURVE('',#158883,#158882,#78916,.T.); #196417=EDGE_CURVE('',#158880,#158883,#78917,.T.); #196418=EDGE_CURVE('',#158884,#158880,#78918,.T.); #196419=EDGE_CURVE('',#158885,#158883,#78919,.T.); #196420=EDGE_CURVE('',#158884,#158885,#78920,.T.); #196421=EDGE_CURVE('',#158886,#158884,#78921,.T.); #196422=EDGE_CURVE('',#158887,#158885,#78922,.T.); #196423=EDGE_CURVE('',#158886,#158887,#78923,.T.); #196424=EDGE_CURVE('',#158881,#158886,#78924,.T.); #196425=EDGE_CURVE('',#158882,#158887,#78925,.T.); #196426=EDGE_CURVE('',#158888,#158889,#78926,.T.); #196427=EDGE_CURVE('',#158889,#158890,#78927,.T.); #196428=EDGE_CURVE('',#158891,#158890,#78928,.T.); #196429=EDGE_CURVE('',#158888,#158891,#78929,.T.); #196430=EDGE_CURVE('',#158892,#158888,#78930,.T.); #196431=EDGE_CURVE('',#158893,#158891,#78931,.T.); #196432=EDGE_CURVE('',#158892,#158893,#78932,.T.); #196433=EDGE_CURVE('',#158894,#158892,#78933,.T.); #196434=EDGE_CURVE('',#158895,#158893,#78934,.T.); #196435=EDGE_CURVE('',#158894,#158895,#78935,.T.); #196436=EDGE_CURVE('',#158889,#158894,#78936,.T.); #196437=EDGE_CURVE('',#158890,#158895,#78937,.T.); #196438=EDGE_CURVE('',#158896,#158897,#78938,.T.); #196439=EDGE_CURVE('',#158897,#158898,#78939,.T.); #196440=EDGE_CURVE('',#158899,#158898,#78940,.T.); #196441=EDGE_CURVE('',#158896,#158899,#78941,.T.); #196442=EDGE_CURVE('',#158900,#158896,#78942,.T.); #196443=EDGE_CURVE('',#158901,#158899,#78943,.T.); #196444=EDGE_CURVE('',#158900,#158901,#78944,.T.); #196445=EDGE_CURVE('',#158902,#158900,#78945,.T.); #196446=EDGE_CURVE('',#158903,#158901,#78946,.T.); #196447=EDGE_CURVE('',#158902,#158903,#78947,.T.); #196448=EDGE_CURVE('',#158897,#158902,#78948,.T.); #196449=EDGE_CURVE('',#158898,#158903,#78949,.T.); #196450=EDGE_CURVE('',#158904,#158905,#78950,.T.); #196451=EDGE_CURVE('',#158905,#158906,#78951,.T.); #196452=EDGE_CURVE('',#158907,#158906,#78952,.T.); #196453=EDGE_CURVE('',#158904,#158907,#78953,.T.); #196454=EDGE_CURVE('',#158908,#158904,#78954,.T.); #196455=EDGE_CURVE('',#158909,#158907,#78955,.T.); #196456=EDGE_CURVE('',#158908,#158909,#78956,.T.); #196457=EDGE_CURVE('',#158910,#158908,#78957,.T.); #196458=EDGE_CURVE('',#158911,#158909,#78958,.T.); #196459=EDGE_CURVE('',#158910,#158911,#78959,.T.); #196460=EDGE_CURVE('',#158905,#158910,#78960,.T.); #196461=EDGE_CURVE('',#158906,#158911,#78961,.T.); #196462=EDGE_CURVE('',#158912,#158913,#78962,.T.); #196463=EDGE_CURVE('',#158913,#158914,#78963,.T.); #196464=EDGE_CURVE('',#158915,#158914,#78964,.T.); #196465=EDGE_CURVE('',#158912,#158915,#78965,.T.); #196466=EDGE_CURVE('',#158916,#158912,#78966,.T.); #196467=EDGE_CURVE('',#158917,#158915,#78967,.T.); #196468=EDGE_CURVE('',#158916,#158917,#78968,.T.); #196469=EDGE_CURVE('',#158918,#158916,#78969,.T.); #196470=EDGE_CURVE('',#158919,#158917,#78970,.T.); #196471=EDGE_CURVE('',#158918,#158919,#78971,.T.); #196472=EDGE_CURVE('',#158913,#158918,#78972,.T.); #196473=EDGE_CURVE('',#158914,#158919,#78973,.T.); #196474=EDGE_CURVE('',#158920,#158921,#78974,.T.); #196475=EDGE_CURVE('',#158921,#158922,#78975,.T.); #196476=EDGE_CURVE('',#158923,#158922,#78976,.T.); #196477=EDGE_CURVE('',#158920,#158923,#78977,.T.); #196478=EDGE_CURVE('',#158924,#158920,#78978,.T.); #196479=EDGE_CURVE('',#158925,#158923,#78979,.T.); #196480=EDGE_CURVE('',#158924,#158925,#78980,.T.); #196481=EDGE_CURVE('',#158926,#158924,#78981,.T.); #196482=EDGE_CURVE('',#158927,#158925,#78982,.T.); #196483=EDGE_CURVE('',#158926,#158927,#78983,.T.); #196484=EDGE_CURVE('',#158921,#158926,#78984,.T.); #196485=EDGE_CURVE('',#158922,#158927,#78985,.T.); #196486=EDGE_CURVE('',#158928,#158929,#78986,.T.); #196487=EDGE_CURVE('',#158929,#158930,#78987,.T.); #196488=EDGE_CURVE('',#158931,#158930,#78988,.T.); #196489=EDGE_CURVE('',#158928,#158931,#78989,.T.); #196490=EDGE_CURVE('',#158932,#158928,#78990,.T.); #196491=EDGE_CURVE('',#158933,#158931,#78991,.T.); #196492=EDGE_CURVE('',#158932,#158933,#78992,.T.); #196493=EDGE_CURVE('',#158934,#158932,#78993,.T.); #196494=EDGE_CURVE('',#158935,#158933,#78994,.T.); #196495=EDGE_CURVE('',#158934,#158935,#78995,.T.); #196496=EDGE_CURVE('',#158929,#158934,#78996,.T.); #196497=EDGE_CURVE('',#158930,#158935,#78997,.T.); #196498=EDGE_CURVE('',#158936,#158937,#78998,.T.); #196499=EDGE_CURVE('',#158937,#158938,#78999,.T.); #196500=EDGE_CURVE('',#158939,#158938,#79000,.T.); #196501=EDGE_CURVE('',#158936,#158939,#79001,.T.); #196502=EDGE_CURVE('',#158940,#158936,#79002,.T.); #196503=EDGE_CURVE('',#158941,#158939,#79003,.T.); #196504=EDGE_CURVE('',#158940,#158941,#79004,.T.); #196505=EDGE_CURVE('',#158942,#158940,#79005,.T.); #196506=EDGE_CURVE('',#158943,#158941,#79006,.T.); #196507=EDGE_CURVE('',#158942,#158943,#79007,.T.); #196508=EDGE_CURVE('',#158937,#158942,#79008,.T.); #196509=EDGE_CURVE('',#158938,#158943,#79009,.T.); #196510=EDGE_CURVE('',#158944,#158945,#79010,.T.); #196511=EDGE_CURVE('',#158945,#158946,#79011,.T.); #196512=EDGE_CURVE('',#158947,#158946,#79012,.T.); #196513=EDGE_CURVE('',#158944,#158947,#79013,.T.); #196514=EDGE_CURVE('',#158948,#158944,#79014,.T.); #196515=EDGE_CURVE('',#158949,#158947,#79015,.T.); #196516=EDGE_CURVE('',#158948,#158949,#79016,.T.); #196517=EDGE_CURVE('',#158950,#158948,#79017,.T.); #196518=EDGE_CURVE('',#158951,#158949,#79018,.T.); #196519=EDGE_CURVE('',#158950,#158951,#79019,.T.); #196520=EDGE_CURVE('',#158945,#158950,#79020,.T.); #196521=EDGE_CURVE('',#158946,#158951,#79021,.T.); #196522=EDGE_CURVE('',#158952,#158952,#140314,.T.); #196523=EDGE_CURVE('',#158952,#158953,#79022,.T.); #196524=EDGE_CURVE('',#158953,#158953,#140315,.T.); #196525=EDGE_CURVE('',#158954,#158954,#140316,.T.); #196526=EDGE_CURVE('',#158954,#158955,#79023,.T.); #196527=EDGE_CURVE('',#158955,#158955,#140317,.T.); #196528=EDGE_CURVE('',#158956,#158956,#140318,.T.); #196529=EDGE_CURVE('',#158956,#158957,#79024,.T.); #196530=EDGE_CURVE('',#158957,#158957,#140319,.T.); #196531=EDGE_CURVE('',#158958,#158958,#140320,.T.); #196532=EDGE_CURVE('',#158958,#158959,#79025,.T.); #196533=EDGE_CURVE('',#158959,#158959,#140321,.T.); #196534=EDGE_CURVE('',#158960,#158960,#140322,.T.); #196535=EDGE_CURVE('',#158960,#158961,#79026,.T.); #196536=EDGE_CURVE('',#158961,#158961,#140323,.T.); #196537=EDGE_CURVE('',#158962,#158962,#140324,.T.); #196538=EDGE_CURVE('',#158962,#158963,#79027,.T.); #196539=EDGE_CURVE('',#158963,#158963,#140325,.T.); #196540=EDGE_CURVE('',#158964,#158965,#79028,.T.); #196541=EDGE_CURVE('',#158965,#158966,#79029,.T.); #196542=EDGE_CURVE('',#158967,#158966,#79030,.T.); #196543=EDGE_CURVE('',#158964,#158967,#79031,.T.); #196544=EDGE_CURVE('',#158968,#158964,#79032,.T.); #196545=EDGE_CURVE('',#158969,#158967,#79033,.T.); #196546=EDGE_CURVE('',#158968,#158969,#79034,.T.); #196547=EDGE_CURVE('',#158970,#158968,#79035,.T.); #196548=EDGE_CURVE('',#158971,#158969,#79036,.T.); #196549=EDGE_CURVE('',#158970,#158971,#79037,.T.); #196550=EDGE_CURVE('',#158965,#158970,#79038,.T.); #196551=EDGE_CURVE('',#158966,#158971,#79039,.T.); #196552=EDGE_CURVE('',#158972,#158973,#79040,.T.); #196553=EDGE_CURVE('',#158973,#158974,#79041,.T.); #196554=EDGE_CURVE('',#158975,#158974,#79042,.T.); #196555=EDGE_CURVE('',#158972,#158975,#79043,.T.); #196556=EDGE_CURVE('',#158976,#158972,#79044,.T.); #196557=EDGE_CURVE('',#158977,#158975,#79045,.T.); #196558=EDGE_CURVE('',#158976,#158977,#79046,.T.); #196559=EDGE_CURVE('',#158978,#158976,#79047,.T.); #196560=EDGE_CURVE('',#158979,#158977,#79048,.T.); #196561=EDGE_CURVE('',#158978,#158979,#79049,.T.); #196562=EDGE_CURVE('',#158973,#158978,#79050,.T.); #196563=EDGE_CURVE('',#158974,#158979,#79051,.T.); #196564=EDGE_CURVE('',#158980,#158981,#79052,.T.); #196565=EDGE_CURVE('',#158981,#158982,#79053,.T.); #196566=EDGE_CURVE('',#158983,#158982,#79054,.T.); #196567=EDGE_CURVE('',#158980,#158983,#79055,.T.); #196568=EDGE_CURVE('',#158984,#158980,#79056,.T.); #196569=EDGE_CURVE('',#158985,#158983,#79057,.T.); #196570=EDGE_CURVE('',#158984,#158985,#79058,.T.); #196571=EDGE_CURVE('',#158986,#158984,#79059,.T.); #196572=EDGE_CURVE('',#158987,#158985,#79060,.T.); #196573=EDGE_CURVE('',#158986,#158987,#79061,.T.); #196574=EDGE_CURVE('',#158981,#158986,#79062,.T.); #196575=EDGE_CURVE('',#158982,#158987,#79063,.T.); #196576=EDGE_CURVE('',#158988,#158989,#79064,.T.); #196577=EDGE_CURVE('',#158989,#158990,#79065,.T.); #196578=EDGE_CURVE('',#158991,#158990,#79066,.T.); #196579=EDGE_CURVE('',#158988,#158991,#79067,.T.); #196580=EDGE_CURVE('',#158992,#158988,#79068,.T.); #196581=EDGE_CURVE('',#158993,#158991,#79069,.T.); #196582=EDGE_CURVE('',#158992,#158993,#79070,.T.); #196583=EDGE_CURVE('',#158994,#158992,#79071,.T.); #196584=EDGE_CURVE('',#158995,#158993,#79072,.T.); #196585=EDGE_CURVE('',#158994,#158995,#79073,.T.); #196586=EDGE_CURVE('',#158989,#158994,#79074,.T.); #196587=EDGE_CURVE('',#158990,#158995,#79075,.T.); #196588=EDGE_CURVE('',#158996,#158997,#79076,.T.); #196589=EDGE_CURVE('',#158997,#158998,#79077,.T.); #196590=EDGE_CURVE('',#158999,#158998,#79078,.T.); #196591=EDGE_CURVE('',#158996,#158999,#79079,.T.); #196592=EDGE_CURVE('',#159000,#158996,#79080,.T.); #196593=EDGE_CURVE('',#159001,#158999,#79081,.T.); #196594=EDGE_CURVE('',#159000,#159001,#79082,.T.); #196595=EDGE_CURVE('',#159002,#159000,#79083,.T.); #196596=EDGE_CURVE('',#159003,#159001,#79084,.T.); #196597=EDGE_CURVE('',#159002,#159003,#79085,.T.); #196598=EDGE_CURVE('',#158997,#159002,#79086,.T.); #196599=EDGE_CURVE('',#158998,#159003,#79087,.T.); #196600=EDGE_CURVE('',#159004,#159005,#79088,.T.); #196601=EDGE_CURVE('',#159005,#159006,#79089,.T.); #196602=EDGE_CURVE('',#159007,#159006,#79090,.T.); #196603=EDGE_CURVE('',#159004,#159007,#79091,.T.); #196604=EDGE_CURVE('',#159008,#159004,#79092,.T.); #196605=EDGE_CURVE('',#159009,#159007,#79093,.T.); #196606=EDGE_CURVE('',#159008,#159009,#79094,.T.); #196607=EDGE_CURVE('',#159010,#159008,#79095,.T.); #196608=EDGE_CURVE('',#159011,#159009,#79096,.T.); #196609=EDGE_CURVE('',#159010,#159011,#79097,.T.); #196610=EDGE_CURVE('',#159005,#159010,#79098,.T.); #196611=EDGE_CURVE('',#159006,#159011,#79099,.T.); #196612=EDGE_CURVE('',#159012,#159013,#79100,.T.); #196613=EDGE_CURVE('',#159013,#159014,#79101,.T.); #196614=EDGE_CURVE('',#159015,#159014,#79102,.T.); #196615=EDGE_CURVE('',#159012,#159015,#79103,.T.); #196616=EDGE_CURVE('',#159016,#159012,#79104,.T.); #196617=EDGE_CURVE('',#159017,#159015,#79105,.T.); #196618=EDGE_CURVE('',#159016,#159017,#79106,.T.); #196619=EDGE_CURVE('',#159018,#159016,#79107,.T.); #196620=EDGE_CURVE('',#159019,#159017,#79108,.T.); #196621=EDGE_CURVE('',#159018,#159019,#79109,.T.); #196622=EDGE_CURVE('',#159013,#159018,#79110,.T.); #196623=EDGE_CURVE('',#159014,#159019,#79111,.T.); #196624=EDGE_CURVE('',#159020,#159021,#79112,.T.); #196625=EDGE_CURVE('',#159021,#159022,#79113,.T.); #196626=EDGE_CURVE('',#159023,#159022,#79114,.T.); #196627=EDGE_CURVE('',#159020,#159023,#79115,.T.); #196628=EDGE_CURVE('',#159024,#159020,#79116,.T.); #196629=EDGE_CURVE('',#159025,#159023,#79117,.T.); #196630=EDGE_CURVE('',#159024,#159025,#79118,.T.); #196631=EDGE_CURVE('',#159026,#159024,#79119,.T.); #196632=EDGE_CURVE('',#159027,#159025,#79120,.T.); #196633=EDGE_CURVE('',#159026,#159027,#79121,.T.); #196634=EDGE_CURVE('',#159021,#159026,#79122,.T.); #196635=EDGE_CURVE('',#159022,#159027,#79123,.T.); #196636=EDGE_CURVE('',#159028,#159029,#79124,.T.); #196637=EDGE_CURVE('',#159029,#159030,#79125,.T.); #196638=EDGE_CURVE('',#159031,#159030,#79126,.T.); #196639=EDGE_CURVE('',#159028,#159031,#79127,.T.); #196640=EDGE_CURVE('',#159032,#159028,#79128,.T.); #196641=EDGE_CURVE('',#159033,#159031,#79129,.T.); #196642=EDGE_CURVE('',#159032,#159033,#79130,.T.); #196643=EDGE_CURVE('',#159034,#159032,#79131,.T.); #196644=EDGE_CURVE('',#159035,#159033,#79132,.T.); #196645=EDGE_CURVE('',#159034,#159035,#79133,.T.); #196646=EDGE_CURVE('',#159029,#159034,#79134,.T.); #196647=EDGE_CURVE('',#159030,#159035,#79135,.T.); #196648=EDGE_CURVE('',#159036,#159036,#140326,.T.); #196649=EDGE_CURVE('',#159036,#159037,#79136,.T.); #196650=EDGE_CURVE('',#159037,#159037,#140327,.T.); #196651=EDGE_CURVE('',#159038,#159039,#79137,.T.); #196652=EDGE_CURVE('',#159039,#159040,#79138,.T.); #196653=EDGE_CURVE('',#159041,#159040,#79139,.T.); #196654=EDGE_CURVE('',#159038,#159041,#79140,.T.); #196655=EDGE_CURVE('',#159042,#159038,#140328,.T.); #196656=EDGE_CURVE('',#159043,#159041,#140329,.T.); #196657=EDGE_CURVE('',#159042,#159043,#79141,.T.); #196658=EDGE_CURVE('',#159039,#159042,#140330,.T.); #196659=EDGE_CURVE('',#159040,#159043,#140331,.T.); #196660=EDGE_CURVE('',#159044,#159045,#79142,.T.); #196661=EDGE_CURVE('',#159045,#159046,#79143,.T.); #196662=EDGE_CURVE('',#159047,#159046,#79144,.T.); #196663=EDGE_CURVE('',#159044,#159047,#79145,.T.); #196664=EDGE_CURVE('',#159048,#159044,#79146,.T.); #196665=EDGE_CURVE('',#159049,#159047,#79147,.T.); #196666=EDGE_CURVE('',#159048,#159049,#79148,.T.); #196667=EDGE_CURVE('',#159050,#159048,#79149,.T.); #196668=EDGE_CURVE('',#159051,#159049,#79150,.T.); #196669=EDGE_CURVE('',#159050,#159051,#79151,.T.); #196670=EDGE_CURVE('',#159045,#159050,#79152,.T.); #196671=EDGE_CURVE('',#159046,#159051,#79153,.T.); #196672=EDGE_CURVE('',#159052,#159053,#79154,.T.); #196673=EDGE_CURVE('',#159053,#159054,#79155,.T.); #196674=EDGE_CURVE('',#159055,#159054,#79156,.T.); #196675=EDGE_CURVE('',#159052,#159055,#79157,.T.); #196676=EDGE_CURVE('',#159056,#159052,#79158,.T.); #196677=EDGE_CURVE('',#159057,#159055,#79159,.T.); #196678=EDGE_CURVE('',#159056,#159057,#79160,.T.); #196679=EDGE_CURVE('',#159058,#159056,#79161,.T.); #196680=EDGE_CURVE('',#159059,#159057,#79162,.T.); #196681=EDGE_CURVE('',#159058,#159059,#79163,.T.); #196682=EDGE_CURVE('',#159053,#159058,#79164,.T.); #196683=EDGE_CURVE('',#159054,#159059,#79165,.T.); #196684=EDGE_CURVE('',#159060,#159060,#140332,.T.); #196685=EDGE_CURVE('',#159060,#159061,#79166,.T.); #196686=EDGE_CURVE('',#159061,#159061,#140333,.T.); #196687=EDGE_CURVE('',#159062,#159062,#140334,.T.); #196688=EDGE_CURVE('',#159062,#159063,#79167,.T.); #196689=EDGE_CURVE('',#159063,#159063,#140335,.T.); #196690=EDGE_CURVE('',#159064,#159065,#79168,.T.); #196691=EDGE_CURVE('',#159065,#159066,#79169,.T.); #196692=EDGE_CURVE('',#159067,#159066,#79170,.T.); #196693=EDGE_CURVE('',#159064,#159067,#79171,.T.); #196694=EDGE_CURVE('',#159068,#159064,#79172,.T.); #196695=EDGE_CURVE('',#159069,#159067,#79173,.T.); #196696=EDGE_CURVE('',#159068,#159069,#79174,.T.); #196697=EDGE_CURVE('',#159070,#159068,#79175,.T.); #196698=EDGE_CURVE('',#159071,#159069,#79176,.T.); #196699=EDGE_CURVE('',#159070,#159071,#79177,.T.); #196700=EDGE_CURVE('',#159065,#159070,#79178,.T.); #196701=EDGE_CURVE('',#159066,#159071,#79179,.T.); #196702=EDGE_CURVE('',#159072,#159073,#79180,.T.); #196703=EDGE_CURVE('',#159073,#159074,#79181,.T.); #196704=EDGE_CURVE('',#159075,#159074,#79182,.T.); #196705=EDGE_CURVE('',#159072,#159075,#79183,.T.); #196706=EDGE_CURVE('',#159076,#159072,#79184,.T.); #196707=EDGE_CURVE('',#159077,#159075,#79185,.T.); #196708=EDGE_CURVE('',#159076,#159077,#79186,.T.); #196709=EDGE_CURVE('',#159078,#159076,#79187,.T.); #196710=EDGE_CURVE('',#159079,#159077,#79188,.T.); #196711=EDGE_CURVE('',#159078,#159079,#79189,.T.); #196712=EDGE_CURVE('',#159073,#159078,#79190,.T.); #196713=EDGE_CURVE('',#159074,#159079,#79191,.T.); #196714=EDGE_CURVE('',#159080,#159080,#140336,.T.); #196715=EDGE_CURVE('',#159080,#159081,#79192,.T.); #196716=EDGE_CURVE('',#159081,#159081,#140337,.T.); #196717=EDGE_CURVE('',#159082,#159082,#140338,.T.); #196718=EDGE_CURVE('',#159082,#159083,#79193,.T.); #196719=EDGE_CURVE('',#159083,#159083,#140339,.T.); #196720=EDGE_CURVE('',#159084,#159085,#79194,.T.); #196721=EDGE_CURVE('',#159085,#159086,#79195,.T.); #196722=EDGE_CURVE('',#159087,#159086,#79196,.T.); #196723=EDGE_CURVE('',#159084,#159087,#79197,.T.); #196724=EDGE_CURVE('',#159088,#159084,#79198,.T.); #196725=EDGE_CURVE('',#159089,#159087,#79199,.T.); #196726=EDGE_CURVE('',#159088,#159089,#79200,.T.); #196727=EDGE_CURVE('',#159090,#159088,#79201,.T.); #196728=EDGE_CURVE('',#159091,#159089,#79202,.T.); #196729=EDGE_CURVE('',#159090,#159091,#79203,.T.); #196730=EDGE_CURVE('',#159085,#159090,#79204,.T.); #196731=EDGE_CURVE('',#159086,#159091,#79205,.T.); #196732=EDGE_CURVE('',#159092,#159093,#79206,.T.); #196733=EDGE_CURVE('',#159093,#159094,#79207,.T.); #196734=EDGE_CURVE('',#159095,#159094,#79208,.T.); #196735=EDGE_CURVE('',#159092,#159095,#79209,.T.); #196736=EDGE_CURVE('',#159096,#159092,#79210,.T.); #196737=EDGE_CURVE('',#159097,#159095,#79211,.T.); #196738=EDGE_CURVE('',#159096,#159097,#79212,.T.); #196739=EDGE_CURVE('',#159098,#159096,#79213,.T.); #196740=EDGE_CURVE('',#159099,#159097,#79214,.T.); #196741=EDGE_CURVE('',#159098,#159099,#79215,.T.); #196742=EDGE_CURVE('',#159093,#159098,#79216,.T.); #196743=EDGE_CURVE('',#159094,#159099,#79217,.T.); #196744=EDGE_CURVE('',#159100,#159100,#140340,.T.); #196745=EDGE_CURVE('',#159100,#159101,#79218,.T.); #196746=EDGE_CURVE('',#159101,#159101,#140341,.T.); #196747=EDGE_CURVE('',#159102,#159102,#140342,.T.); #196748=EDGE_CURVE('',#159102,#159103,#79219,.T.); #196749=EDGE_CURVE('',#159103,#159103,#140343,.T.); #196750=EDGE_CURVE('',#159104,#159105,#79220,.T.); #196751=EDGE_CURVE('',#159105,#159106,#79221,.T.); #196752=EDGE_CURVE('',#159107,#159106,#79222,.T.); #196753=EDGE_CURVE('',#159104,#159107,#79223,.T.); #196754=EDGE_CURVE('',#159108,#159104,#79224,.T.); #196755=EDGE_CURVE('',#159109,#159107,#79225,.T.); #196756=EDGE_CURVE('',#159108,#159109,#79226,.T.); #196757=EDGE_CURVE('',#159110,#159108,#79227,.T.); #196758=EDGE_CURVE('',#159111,#159109,#79228,.T.); #196759=EDGE_CURVE('',#159110,#159111,#79229,.T.); #196760=EDGE_CURVE('',#159105,#159110,#79230,.T.); #196761=EDGE_CURVE('',#159106,#159111,#79231,.T.); #196762=EDGE_CURVE('',#159112,#159113,#79232,.T.); #196763=EDGE_CURVE('',#159113,#159114,#79233,.T.); #196764=EDGE_CURVE('',#159115,#159114,#79234,.T.); #196765=EDGE_CURVE('',#159112,#159115,#79235,.T.); #196766=EDGE_CURVE('',#159116,#159112,#79236,.T.); #196767=EDGE_CURVE('',#159117,#159115,#79237,.T.); #196768=EDGE_CURVE('',#159116,#159117,#79238,.T.); #196769=EDGE_CURVE('',#159118,#159116,#79239,.T.); #196770=EDGE_CURVE('',#159119,#159117,#79240,.T.); #196771=EDGE_CURVE('',#159118,#159119,#79241,.T.); #196772=EDGE_CURVE('',#159113,#159118,#79242,.T.); #196773=EDGE_CURVE('',#159114,#159119,#79243,.T.); #196774=EDGE_CURVE('',#159120,#159120,#140344,.T.); #196775=EDGE_CURVE('',#159120,#159121,#79244,.T.); #196776=EDGE_CURVE('',#159121,#159121,#140345,.T.); #196777=EDGE_CURVE('',#159122,#159122,#140346,.T.); #196778=EDGE_CURVE('',#159122,#159123,#79245,.T.); #196779=EDGE_CURVE('',#159123,#159123,#140347,.T.); #196780=EDGE_CURVE('',#159124,#159125,#79246,.T.); #196781=EDGE_CURVE('',#159125,#159126,#79247,.T.); #196782=EDGE_CURVE('',#159127,#159126,#79248,.T.); #196783=EDGE_CURVE('',#159124,#159127,#79249,.T.); #196784=EDGE_CURVE('',#159128,#159124,#79250,.T.); #196785=EDGE_CURVE('',#159129,#159127,#79251,.T.); #196786=EDGE_CURVE('',#159128,#159129,#79252,.T.); #196787=EDGE_CURVE('',#159130,#159128,#79253,.T.); #196788=EDGE_CURVE('',#159131,#159129,#79254,.T.); #196789=EDGE_CURVE('',#159130,#159131,#79255,.T.); #196790=EDGE_CURVE('',#159125,#159130,#79256,.T.); #196791=EDGE_CURVE('',#159126,#159131,#79257,.T.); #196792=EDGE_CURVE('',#159132,#159133,#79258,.T.); #196793=EDGE_CURVE('',#159133,#159134,#79259,.T.); #196794=EDGE_CURVE('',#159135,#159134,#79260,.T.); #196795=EDGE_CURVE('',#159132,#159135,#79261,.T.); #196796=EDGE_CURVE('',#159136,#159132,#79262,.T.); #196797=EDGE_CURVE('',#159137,#159135,#79263,.T.); #196798=EDGE_CURVE('',#159136,#159137,#79264,.T.); #196799=EDGE_CURVE('',#159138,#159136,#79265,.T.); #196800=EDGE_CURVE('',#159139,#159137,#79266,.T.); #196801=EDGE_CURVE('',#159138,#159139,#79267,.T.); #196802=EDGE_CURVE('',#159133,#159138,#79268,.T.); #196803=EDGE_CURVE('',#159134,#159139,#79269,.T.); #196804=EDGE_CURVE('',#159140,#159141,#79270,.T.); #196805=EDGE_CURVE('',#159141,#159142,#79271,.T.); #196806=EDGE_CURVE('',#159143,#159142,#79272,.T.); #196807=EDGE_CURVE('',#159140,#159143,#79273,.T.); #196808=EDGE_CURVE('',#159144,#159140,#79274,.T.); #196809=EDGE_CURVE('',#159145,#159143,#79275,.T.); #196810=EDGE_CURVE('',#159144,#159145,#79276,.T.); #196811=EDGE_CURVE('',#159146,#159144,#79277,.T.); #196812=EDGE_CURVE('',#159147,#159145,#79278,.T.); #196813=EDGE_CURVE('',#159146,#159147,#79279,.T.); #196814=EDGE_CURVE('',#159148,#159146,#79280,.T.); #196815=EDGE_CURVE('',#159149,#159147,#79281,.T.); #196816=EDGE_CURVE('',#159148,#159149,#79282,.T.); #196817=EDGE_CURVE('',#159150,#159148,#79283,.T.); #196818=EDGE_CURVE('',#159151,#159149,#79284,.T.); #196819=EDGE_CURVE('',#159150,#159151,#79285,.T.); #196820=EDGE_CURVE('',#159152,#159150,#79286,.T.); #196821=EDGE_CURVE('',#159153,#159151,#79287,.T.); #196822=EDGE_CURVE('',#159152,#159153,#79288,.T.); #196823=EDGE_CURVE('',#159154,#159152,#140348,.T.); #196824=EDGE_CURVE('',#159155,#159153,#140349,.T.); #196825=EDGE_CURVE('',#159154,#159155,#79289,.T.); #196826=EDGE_CURVE('',#159156,#159154,#79290,.T.); #196827=EDGE_CURVE('',#159157,#159155,#79291,.T.); #196828=EDGE_CURVE('',#159156,#159157,#79292,.T.); #196829=EDGE_CURVE('',#159158,#159156,#79293,.T.); #196830=EDGE_CURVE('',#159159,#159157,#79294,.T.); #196831=EDGE_CURVE('',#159158,#159159,#79295,.T.); #196832=EDGE_CURVE('',#159160,#159158,#79296,.T.); #196833=EDGE_CURVE('',#159161,#159159,#79297,.T.); #196834=EDGE_CURVE('',#159160,#159161,#79298,.T.); #196835=EDGE_CURVE('',#159162,#159160,#79299,.T.); #196836=EDGE_CURVE('',#159163,#159161,#79300,.T.); #196837=EDGE_CURVE('',#159162,#159163,#79301,.T.); #196838=EDGE_CURVE('',#159164,#159162,#79302,.T.); #196839=EDGE_CURVE('',#159165,#159163,#79303,.T.); #196840=EDGE_CURVE('',#159164,#159165,#79304,.T.); #196841=EDGE_CURVE('',#159166,#159164,#140350,.T.); #196842=EDGE_CURVE('',#159167,#159165,#140351,.T.); #196843=EDGE_CURVE('',#159166,#159167,#79305,.T.); #196844=EDGE_CURVE('',#159168,#159166,#79306,.T.); #196845=EDGE_CURVE('',#159169,#159167,#79307,.T.); #196846=EDGE_CURVE('',#159168,#159169,#79308,.T.); #196847=EDGE_CURVE('',#159170,#159168,#79309,.T.); #196848=EDGE_CURVE('',#159171,#159169,#79310,.T.); #196849=EDGE_CURVE('',#159170,#159171,#79311,.T.); #196850=EDGE_CURVE('',#159172,#159170,#140352,.T.); #196851=EDGE_CURVE('',#159173,#159171,#140353,.T.); #196852=EDGE_CURVE('',#159172,#159173,#79312,.T.); #196853=EDGE_CURVE('',#159174,#159172,#79313,.T.); #196854=EDGE_CURVE('',#159175,#159173,#79314,.T.); #196855=EDGE_CURVE('',#159174,#159175,#79315,.T.); #196856=EDGE_CURVE('',#159176,#159174,#79316,.T.); #196857=EDGE_CURVE('',#159177,#159175,#79317,.T.); #196858=EDGE_CURVE('',#159176,#159177,#79318,.T.); #196859=EDGE_CURVE('',#159178,#159176,#79319,.T.); #196860=EDGE_CURVE('',#159179,#159177,#79320,.T.); #196861=EDGE_CURVE('',#159178,#159179,#79321,.T.); #196862=EDGE_CURVE('',#159180,#159178,#79322,.T.); #196863=EDGE_CURVE('',#159181,#159179,#79323,.T.); #196864=EDGE_CURVE('',#159180,#159181,#79324,.T.); #196865=EDGE_CURVE('',#159182,#159180,#79325,.T.); #196866=EDGE_CURVE('',#159183,#159181,#79326,.T.); #196867=EDGE_CURVE('',#159182,#159183,#79327,.T.); #196868=EDGE_CURVE('',#159184,#159182,#79328,.T.); #196869=EDGE_CURVE('',#159185,#159183,#79329,.T.); #196870=EDGE_CURVE('',#159184,#159185,#79330,.T.); #196871=EDGE_CURVE('',#159186,#159184,#79331,.T.); #196872=EDGE_CURVE('',#159187,#159185,#79332,.T.); #196873=EDGE_CURVE('',#159186,#159187,#79333,.T.); #196874=EDGE_CURVE('',#159188,#159186,#140354,.T.); #196875=EDGE_CURVE('',#159189,#159187,#140355,.T.); #196876=EDGE_CURVE('',#159188,#159189,#79334,.T.); #196877=EDGE_CURVE('',#159190,#159188,#79335,.T.); #196878=EDGE_CURVE('',#159191,#159189,#79336,.T.); #196879=EDGE_CURVE('',#159190,#159191,#79337,.T.); #196880=EDGE_CURVE('',#159192,#159190,#140356,.T.); #196881=EDGE_CURVE('',#159193,#159191,#140357,.T.); #196882=EDGE_CURVE('',#159192,#159193,#79338,.T.); #196883=EDGE_CURVE('',#159194,#159192,#79339,.T.); #196884=EDGE_CURVE('',#159195,#159193,#79340,.T.); #196885=EDGE_CURVE('',#159194,#159195,#79341,.T.); #196886=EDGE_CURVE('',#159196,#159194,#79342,.T.); #196887=EDGE_CURVE('',#159197,#159195,#79343,.T.); #196888=EDGE_CURVE('',#159196,#159197,#79344,.T.); #196889=EDGE_CURVE('',#159198,#159196,#79345,.T.); #196890=EDGE_CURVE('',#159199,#159197,#79346,.T.); #196891=EDGE_CURVE('',#159198,#159199,#79347,.T.); #196892=EDGE_CURVE('',#159200,#159198,#79348,.T.); #196893=EDGE_CURVE('',#159201,#159199,#79349,.T.); #196894=EDGE_CURVE('',#159200,#159201,#79350,.T.); #196895=EDGE_CURVE('',#159202,#159200,#79351,.T.); #196896=EDGE_CURVE('',#159203,#159201,#79352,.T.); #196897=EDGE_CURVE('',#159202,#159203,#79353,.T.); #196898=EDGE_CURVE('',#159204,#159202,#79354,.T.); #196899=EDGE_CURVE('',#159205,#159203,#79355,.T.); #196900=EDGE_CURVE('',#159204,#159205,#79356,.T.); #196901=EDGE_CURVE('',#159206,#159204,#79357,.T.); #196902=EDGE_CURVE('',#159207,#159205,#79358,.T.); #196903=EDGE_CURVE('',#159206,#159207,#79359,.T.); #196904=EDGE_CURVE('',#159208,#159206,#79360,.T.); #196905=EDGE_CURVE('',#159209,#159207,#79361,.T.); #196906=EDGE_CURVE('',#159208,#159209,#79362,.T.); #196907=EDGE_CURVE('',#159210,#159208,#140358,.T.); #196908=EDGE_CURVE('',#159211,#159209,#140359,.T.); #196909=EDGE_CURVE('',#159210,#159211,#79363,.T.); #196910=EDGE_CURVE('',#159212,#159210,#79364,.T.); #196911=EDGE_CURVE('',#159213,#159211,#79365,.T.); #196912=EDGE_CURVE('',#159212,#159213,#79366,.T.); #196913=EDGE_CURVE('',#159214,#159212,#140360,.T.); #196914=EDGE_CURVE('',#159215,#159213,#140361,.T.); #196915=EDGE_CURVE('',#159214,#159215,#79367,.T.); #196916=EDGE_CURVE('',#159216,#159214,#79368,.T.); #196917=EDGE_CURVE('',#159217,#159215,#79369,.T.); #196918=EDGE_CURVE('',#159216,#159217,#79370,.T.); #196919=EDGE_CURVE('',#159218,#159216,#79371,.T.); #196920=EDGE_CURVE('',#159219,#159217,#79372,.T.); #196921=EDGE_CURVE('',#159218,#159219,#79373,.T.); #196922=EDGE_CURVE('',#159220,#159218,#79374,.T.); #196923=EDGE_CURVE('',#159221,#159219,#79375,.T.); #196924=EDGE_CURVE('',#159220,#159221,#79376,.T.); #196925=EDGE_CURVE('',#159222,#159220,#140362,.T.); #196926=EDGE_CURVE('',#159223,#159221,#140363,.T.); #196927=EDGE_CURVE('',#159222,#159223,#79377,.T.); #196928=EDGE_CURVE('',#159224,#159222,#79378,.T.); #196929=EDGE_CURVE('',#159225,#159223,#79379,.T.); #196930=EDGE_CURVE('',#159224,#159225,#79380,.T.); #196931=EDGE_CURVE('',#159226,#159224,#140364,.T.); #196932=EDGE_CURVE('',#159227,#159225,#140365,.T.); #196933=EDGE_CURVE('',#159226,#159227,#79381,.T.); #196934=EDGE_CURVE('',#159228,#159226,#79382,.T.); #196935=EDGE_CURVE('',#159229,#159227,#79383,.T.); #196936=EDGE_CURVE('',#159228,#159229,#79384,.T.); #196937=EDGE_CURVE('',#159230,#159228,#79385,.T.); #196938=EDGE_CURVE('',#159231,#159229,#79386,.T.); #196939=EDGE_CURVE('',#159230,#159231,#79387,.T.); #196940=EDGE_CURVE('',#159232,#159230,#79388,.T.); #196941=EDGE_CURVE('',#159233,#159231,#79389,.T.); #196942=EDGE_CURVE('',#159232,#159233,#79390,.T.); #196943=EDGE_CURVE('',#159234,#159232,#79391,.T.); #196944=EDGE_CURVE('',#159235,#159233,#79392,.T.); #196945=EDGE_CURVE('',#159234,#159235,#79393,.T.); #196946=EDGE_CURVE('',#159236,#159234,#79394,.T.); #196947=EDGE_CURVE('',#159237,#159235,#79395,.T.); #196948=EDGE_CURVE('',#159236,#159237,#79396,.T.); #196949=EDGE_CURVE('',#159238,#159236,#140366,.T.); #196950=EDGE_CURVE('',#159239,#159237,#140367,.T.); #196951=EDGE_CURVE('',#159238,#159239,#79397,.T.); #196952=EDGE_CURVE('',#159240,#159238,#79398,.T.); #196953=EDGE_CURVE('',#159241,#159239,#79399,.T.); #196954=EDGE_CURVE('',#159240,#159241,#79400,.T.); #196955=EDGE_CURVE('',#159242,#159240,#79401,.T.); #196956=EDGE_CURVE('',#159243,#159241,#79402,.T.); #196957=EDGE_CURVE('',#159242,#159243,#79403,.T.); #196958=EDGE_CURVE('',#159244,#159242,#79404,.T.); #196959=EDGE_CURVE('',#159245,#159243,#79405,.T.); #196960=EDGE_CURVE('',#159244,#159245,#79406,.T.); #196961=EDGE_CURVE('',#159246,#159244,#79407,.T.); #196962=EDGE_CURVE('',#159247,#159245,#79408,.T.); #196963=EDGE_CURVE('',#159246,#159247,#79409,.T.); #196964=EDGE_CURVE('',#159248,#159246,#79410,.T.); #196965=EDGE_CURVE('',#159249,#159247,#79411,.T.); #196966=EDGE_CURVE('',#159248,#159249,#79412,.T.); #196967=EDGE_CURVE('',#159250,#159248,#79413,.T.); #196968=EDGE_CURVE('',#159251,#159249,#79414,.T.); #196969=EDGE_CURVE('',#159250,#159251,#79415,.T.); #196970=EDGE_CURVE('',#159252,#159250,#140368,.T.); #196971=EDGE_CURVE('',#159253,#159251,#140369,.T.); #196972=EDGE_CURVE('',#159252,#159253,#79416,.T.); #196973=EDGE_CURVE('',#159254,#159252,#79417,.T.); #196974=EDGE_CURVE('',#159255,#159253,#79418,.T.); #196975=EDGE_CURVE('',#159254,#159255,#79419,.T.); #196976=EDGE_CURVE('',#159141,#159254,#79420,.T.); #196977=EDGE_CURVE('',#159142,#159255,#79421,.T.); #196978=EDGE_CURVE('',#159256,#159256,#140370,.T.); #196979=EDGE_CURVE('',#159256,#159257,#79422,.T.); #196980=EDGE_CURVE('',#159257,#159257,#140371,.T.); #196981=EDGE_CURVE('',#159258,#159258,#140372,.T.); #196982=EDGE_CURVE('',#159258,#159259,#79423,.T.); #196983=EDGE_CURVE('',#159259,#159259,#140373,.T.); #196984=EDGE_CURVE('',#159260,#159261,#79424,.T.); #196985=EDGE_CURVE('',#159261,#159262,#79425,.T.); #196986=EDGE_CURVE('',#159263,#159262,#79426,.T.); #196987=EDGE_CURVE('',#159260,#159263,#79427,.T.); #196988=EDGE_CURVE('',#159264,#159260,#140374,.T.); #196989=EDGE_CURVE('',#159265,#159263,#140375,.T.); #196990=EDGE_CURVE('',#159264,#159265,#79428,.T.); #196991=EDGE_CURVE('',#159266,#159264,#140376,.T.); #196992=EDGE_CURVE('',#159267,#159265,#140377,.T.); #196993=EDGE_CURVE('',#159266,#159267,#79429,.T.); #196994=EDGE_CURVE('',#159268,#159266,#79430,.T.); #196995=EDGE_CURVE('',#159269,#159267,#79431,.T.); #196996=EDGE_CURVE('',#159268,#159269,#79432,.T.); #196997=EDGE_CURVE('',#159270,#159268,#140378,.T.); #196998=EDGE_CURVE('',#159271,#159269,#140379,.T.); #196999=EDGE_CURVE('',#159270,#159271,#79433,.T.); #197000=EDGE_CURVE('',#159272,#159270,#140380,.T.); #197001=EDGE_CURVE('',#159273,#159271,#140381,.T.); #197002=EDGE_CURVE('',#159272,#159273,#79434,.T.); #197003=EDGE_CURVE('',#159274,#159272,#79435,.T.); #197004=EDGE_CURVE('',#159275,#159273,#79436,.T.); #197005=EDGE_CURVE('',#159274,#159275,#79437,.T.); #197006=EDGE_CURVE('',#159261,#159274,#140382,.T.); #197007=EDGE_CURVE('',#159262,#159275,#140383,.T.); #197008=EDGE_CURVE('',#159276,#159276,#140384,.T.); #197009=EDGE_CURVE('',#159276,#159277,#79438,.T.); #197010=EDGE_CURVE('',#159277,#159277,#140385,.T.); #197011=EDGE_CURVE('',#159278,#159279,#79439,.T.); #197012=EDGE_CURVE('',#159279,#159280,#79440,.T.); #197013=EDGE_CURVE('',#159281,#159280,#79441,.T.); #197014=EDGE_CURVE('',#159278,#159281,#79442,.T.); #197015=EDGE_CURVE('',#159282,#159278,#140386,.T.); #197016=EDGE_CURVE('',#159283,#159281,#140387,.T.); #197017=EDGE_CURVE('',#159282,#159283,#79443,.T.); #197018=EDGE_CURVE('',#159284,#159282,#79444,.T.); #197019=EDGE_CURVE('',#159285,#159283,#79445,.T.); #197020=EDGE_CURVE('',#159284,#159285,#79446,.T.); #197021=EDGE_CURVE('',#159286,#159284,#79447,.T.); #197022=EDGE_CURVE('',#159287,#159285,#79448,.T.); #197023=EDGE_CURVE('',#159286,#159287,#79449,.T.); #197024=EDGE_CURVE('',#159288,#159286,#79450,.T.); #197025=EDGE_CURVE('',#159289,#159287,#79451,.T.); #197026=EDGE_CURVE('',#159288,#159289,#79452,.T.); #197027=EDGE_CURVE('',#159290,#159288,#79453,.T.); #197028=EDGE_CURVE('',#159291,#159289,#79454,.T.); #197029=EDGE_CURVE('',#159290,#159291,#79455,.T.); #197030=EDGE_CURVE('',#159292,#159290,#79456,.T.); #197031=EDGE_CURVE('',#159293,#159291,#79457,.T.); #197032=EDGE_CURVE('',#159292,#159293,#79458,.T.); #197033=EDGE_CURVE('',#159294,#159292,#79459,.T.); #197034=EDGE_CURVE('',#159295,#159293,#79460,.T.); #197035=EDGE_CURVE('',#159294,#159295,#79461,.T.); #197036=EDGE_CURVE('',#159296,#159294,#79462,.T.); #197037=EDGE_CURVE('',#159297,#159295,#79463,.T.); #197038=EDGE_CURVE('',#159296,#159297,#79464,.T.); #197039=EDGE_CURVE('',#159279,#159296,#140388,.T.); #197040=EDGE_CURVE('',#159280,#159297,#140389,.T.); #197041=EDGE_CURVE('',#159298,#159298,#140390,.T.); #197042=EDGE_CURVE('',#159298,#159299,#79465,.T.); #197043=EDGE_CURVE('',#159299,#159299,#140391,.T.); #197044=EDGE_CURVE('',#159300,#159301,#79466,.T.); #197045=EDGE_CURVE('',#159301,#159302,#79467,.T.); #197046=EDGE_CURVE('',#159303,#159302,#79468,.T.); #197047=EDGE_CURVE('',#159300,#159303,#79469,.T.); #197048=EDGE_CURVE('',#159304,#159300,#79470,.T.); #197049=EDGE_CURVE('',#159305,#159303,#79471,.T.); #197050=EDGE_CURVE('',#159304,#159305,#79472,.T.); #197051=EDGE_CURVE('',#159306,#159304,#79473,.T.); #197052=EDGE_CURVE('',#159307,#159305,#79474,.T.); #197053=EDGE_CURVE('',#159306,#159307,#79475,.T.); #197054=EDGE_CURVE('',#159308,#159306,#79476,.T.); #197055=EDGE_CURVE('',#159309,#159307,#79477,.T.); #197056=EDGE_CURVE('',#159308,#159309,#79478,.T.); #197057=EDGE_CURVE('',#159310,#159308,#79479,.T.); #197058=EDGE_CURVE('',#159311,#159309,#79480,.T.); #197059=EDGE_CURVE('',#159310,#159311,#79481,.T.); #197060=EDGE_CURVE('',#159312,#159310,#140392,.T.); #197061=EDGE_CURVE('',#159313,#159311,#140393,.T.); #197062=EDGE_CURVE('',#159312,#159313,#79482,.T.); #197063=EDGE_CURVE('',#159314,#159312,#79483,.T.); #197064=EDGE_CURVE('',#159315,#159313,#79484,.T.); #197065=EDGE_CURVE('',#159314,#159315,#79485,.T.); #197066=EDGE_CURVE('',#159316,#159314,#140394,.T.); #197067=EDGE_CURVE('',#159317,#159315,#140395,.T.); #197068=EDGE_CURVE('',#159316,#159317,#79486,.T.); #197069=EDGE_CURVE('',#159318,#159316,#140396,.T.); #197070=EDGE_CURVE('',#159319,#159317,#140397,.T.); #197071=EDGE_CURVE('',#159318,#159319,#79487,.T.); #197072=EDGE_CURVE('',#159320,#159318,#140398,.T.); #197073=EDGE_CURVE('',#159321,#159319,#140399,.T.); #197074=EDGE_CURVE('',#159320,#159321,#79488,.T.); #197075=EDGE_CURVE('',#159322,#159320,#79489,.T.); #197076=EDGE_CURVE('',#159323,#159321,#79490,.T.); #197077=EDGE_CURVE('',#159322,#159323,#79491,.T.); #197078=EDGE_CURVE('',#159324,#159322,#79492,.T.); #197079=EDGE_CURVE('',#159325,#159323,#79493,.T.); #197080=EDGE_CURVE('',#159324,#159325,#79494,.T.); #197081=EDGE_CURVE('',#159301,#159324,#79495,.T.); #197082=EDGE_CURVE('',#159302,#159325,#79496,.T.); #197083=EDGE_CURVE('',#159326,#159326,#140400,.T.); #197084=EDGE_CURVE('',#159326,#159327,#79497,.T.); #197085=EDGE_CURVE('',#159327,#159327,#140401,.T.); #197086=EDGE_CURVE('',#159328,#159328,#140402,.T.); #197087=EDGE_CURVE('',#159328,#159329,#79498,.T.); #197088=EDGE_CURVE('',#159329,#159329,#140403,.T.); #197089=EDGE_CURVE('',#159330,#159331,#79499,.T.); #197090=EDGE_CURVE('',#159331,#159332,#79500,.T.); #197091=EDGE_CURVE('',#159333,#159332,#79501,.T.); #197092=EDGE_CURVE('',#159330,#159333,#79502,.T.); #197093=EDGE_CURVE('',#159334,#159330,#140404,.T.); #197094=EDGE_CURVE('',#159335,#159333,#140405,.T.); #197095=EDGE_CURVE('',#159334,#159335,#79503,.T.); #197096=EDGE_CURVE('',#159336,#159334,#140406,.T.); #197097=EDGE_CURVE('',#159337,#159335,#140407,.T.); #197098=EDGE_CURVE('',#159336,#159337,#79504,.T.); #197099=EDGE_CURVE('',#159338,#159336,#79505,.T.); #197100=EDGE_CURVE('',#159339,#159337,#79506,.T.); #197101=EDGE_CURVE('',#159338,#159339,#79507,.T.); #197102=EDGE_CURVE('',#159340,#159338,#79508,.T.); #197103=EDGE_CURVE('',#159341,#159339,#79509,.T.); #197104=EDGE_CURVE('',#159340,#159341,#79510,.T.); #197105=EDGE_CURVE('',#159342,#159340,#79511,.T.); #197106=EDGE_CURVE('',#159343,#159341,#79512,.T.); #197107=EDGE_CURVE('',#159342,#159343,#79513,.T.); #197108=EDGE_CURVE('',#159344,#159342,#79514,.T.); #197109=EDGE_CURVE('',#159345,#159343,#79515,.T.); #197110=EDGE_CURVE('',#159344,#159345,#79516,.T.); #197111=EDGE_CURVE('',#159346,#159344,#79517,.T.); #197112=EDGE_CURVE('',#159347,#159345,#79518,.T.); #197113=EDGE_CURVE('',#159346,#159347,#79519,.T.); #197114=EDGE_CURVE('',#159348,#159346,#140408,.T.); #197115=EDGE_CURVE('',#159349,#159347,#140409,.T.); #197116=EDGE_CURVE('',#159348,#159349,#79520,.T.); #197117=EDGE_CURVE('',#159350,#159348,#79521,.T.); #197118=EDGE_CURVE('',#159351,#159349,#79522,.T.); #197119=EDGE_CURVE('',#159350,#159351,#79523,.T.); #197120=EDGE_CURVE('',#159352,#159350,#79524,.T.); #197121=EDGE_CURVE('',#159353,#159351,#79525,.T.); #197122=EDGE_CURVE('',#159352,#159353,#79526,.T.); #197123=EDGE_CURVE('',#159354,#159352,#140410,.T.); #197124=EDGE_CURVE('',#159355,#159353,#140411,.T.); #197125=EDGE_CURVE('',#159354,#159355,#79527,.T.); #197126=EDGE_CURVE('',#159356,#159354,#79528,.T.); #197127=EDGE_CURVE('',#159357,#159355,#79529,.T.); #197128=EDGE_CURVE('',#159356,#159357,#79530,.T.); #197129=EDGE_CURVE('',#159358,#159356,#140412,.T.); #197130=EDGE_CURVE('',#159359,#159357,#140413,.T.); #197131=EDGE_CURVE('',#159358,#159359,#79531,.T.); #197132=EDGE_CURVE('',#159360,#159358,#79532,.T.); #197133=EDGE_CURVE('',#159361,#159359,#79533,.T.); #197134=EDGE_CURVE('',#159360,#159361,#79534,.T.); #197135=EDGE_CURVE('',#159362,#159360,#79535,.T.); #197136=EDGE_CURVE('',#159363,#159361,#79536,.T.); #197137=EDGE_CURVE('',#159362,#159363,#79537,.T.); #197138=EDGE_CURVE('',#159364,#159362,#79538,.T.); #197139=EDGE_CURVE('',#159365,#159363,#79539,.T.); #197140=EDGE_CURVE('',#159364,#159365,#79540,.T.); #197141=EDGE_CURVE('',#159366,#159364,#140414,.T.); #197142=EDGE_CURVE('',#159367,#159365,#140415,.T.); #197143=EDGE_CURVE('',#159366,#159367,#79541,.T.); #197144=EDGE_CURVE('',#159368,#159366,#79542,.T.); #197145=EDGE_CURVE('',#159369,#159367,#79543,.T.); #197146=EDGE_CURVE('',#159368,#159369,#79544,.T.); #197147=EDGE_CURVE('',#159370,#159368,#140416,.T.); #197148=EDGE_CURVE('',#159371,#159369,#140417,.T.); #197149=EDGE_CURVE('',#159370,#159371,#79545,.T.); #197150=EDGE_CURVE('',#159372,#159370,#79546,.T.); #197151=EDGE_CURVE('',#159373,#159371,#79547,.T.); #197152=EDGE_CURVE('',#159372,#159373,#79548,.T.); #197153=EDGE_CURVE('',#159374,#159372,#140418,.T.); #197154=EDGE_CURVE('',#159375,#159373,#140419,.T.); #197155=EDGE_CURVE('',#159374,#159375,#79549,.T.); #197156=EDGE_CURVE('',#159376,#159374,#140420,.T.); #197157=EDGE_CURVE('',#159377,#159375,#140421,.T.); #197158=EDGE_CURVE('',#159376,#159377,#79550,.T.); #197159=EDGE_CURVE('',#159378,#159376,#79551,.T.); #197160=EDGE_CURVE('',#159379,#159377,#79552,.T.); #197161=EDGE_CURVE('',#159378,#159379,#79553,.T.); #197162=EDGE_CURVE('',#159380,#159378,#79554,.T.); #197163=EDGE_CURVE('',#159381,#159379,#79555,.T.); #197164=EDGE_CURVE('',#159380,#159381,#79556,.T.); #197165=EDGE_CURVE('',#159382,#159380,#79557,.T.); #197166=EDGE_CURVE('',#159383,#159381,#79558,.T.); #197167=EDGE_CURVE('',#159382,#159383,#79559,.T.); #197168=EDGE_CURVE('',#159384,#159382,#140422,.T.); #197169=EDGE_CURVE('',#159385,#159383,#140423,.T.); #197170=EDGE_CURVE('',#159384,#159385,#79560,.T.); #197171=EDGE_CURVE('',#159386,#159384,#79561,.T.); #197172=EDGE_CURVE('',#159387,#159385,#79562,.T.); #197173=EDGE_CURVE('',#159386,#159387,#79563,.T.); #197174=EDGE_CURVE('',#159388,#159386,#140424,.T.); #197175=EDGE_CURVE('',#159389,#159387,#140425,.T.); #197176=EDGE_CURVE('',#159388,#159389,#79564,.T.); #197177=EDGE_CURVE('',#159390,#159388,#79565,.T.); #197178=EDGE_CURVE('',#159391,#159389,#79566,.T.); #197179=EDGE_CURVE('',#159390,#159391,#79567,.T.); #197180=EDGE_CURVE('',#159392,#159390,#79568,.T.); #197181=EDGE_CURVE('',#159393,#159391,#79569,.T.); #197182=EDGE_CURVE('',#159392,#159393,#79570,.T.); #197183=EDGE_CURVE('',#159394,#159392,#79571,.T.); #197184=EDGE_CURVE('',#159395,#159393,#79572,.T.); #197185=EDGE_CURVE('',#159394,#159395,#79573,.T.); #197186=EDGE_CURVE('',#159396,#159394,#140426,.T.); #197187=EDGE_CURVE('',#159397,#159395,#140427,.T.); #197188=EDGE_CURVE('',#159396,#159397,#79574,.T.); #197189=EDGE_CURVE('',#159398,#159396,#79575,.T.); #197190=EDGE_CURVE('',#159399,#159397,#79576,.T.); #197191=EDGE_CURVE('',#159398,#159399,#79577,.T.); #197192=EDGE_CURVE('',#159400,#159398,#79578,.T.); #197193=EDGE_CURVE('',#159401,#159399,#79579,.T.); #197194=EDGE_CURVE('',#159400,#159401,#79580,.T.); #197195=EDGE_CURVE('',#159402,#159400,#140428,.T.); #197196=EDGE_CURVE('',#159403,#159401,#140429,.T.); #197197=EDGE_CURVE('',#159402,#159403,#79581,.T.); #197198=EDGE_CURVE('',#159404,#159402,#79582,.T.); #197199=EDGE_CURVE('',#159405,#159403,#79583,.T.); #197200=EDGE_CURVE('',#159404,#159405,#79584,.T.); #197201=EDGE_CURVE('',#159406,#159404,#140430,.T.); #197202=EDGE_CURVE('',#159407,#159405,#140431,.T.); #197203=EDGE_CURVE('',#159406,#159407,#79585,.T.); #197204=EDGE_CURVE('',#159408,#159406,#79586,.T.); #197205=EDGE_CURVE('',#159409,#159407,#79587,.T.); #197206=EDGE_CURVE('',#159408,#159409,#79588,.T.); #197207=EDGE_CURVE('',#159410,#159408,#140432,.T.); #197208=EDGE_CURVE('',#159411,#159409,#140433,.T.); #197209=EDGE_CURVE('',#159410,#159411,#79589,.T.); #197210=EDGE_CURVE('',#159412,#159410,#79590,.T.); #197211=EDGE_CURVE('',#159413,#159411,#79591,.T.); #197212=EDGE_CURVE('',#159412,#159413,#79592,.T.); #197213=EDGE_CURVE('',#159414,#159412,#140434,.T.); #197214=EDGE_CURVE('',#159415,#159413,#140435,.T.); #197215=EDGE_CURVE('',#159414,#159415,#79593,.T.); #197216=EDGE_CURVE('',#159416,#159414,#79594,.T.); #197217=EDGE_CURVE('',#159417,#159415,#79595,.T.); #197218=EDGE_CURVE('',#159416,#159417,#79596,.T.); #197219=EDGE_CURVE('',#159331,#159416,#140436,.T.); #197220=EDGE_CURVE('',#159332,#159417,#140437,.T.); #197221=EDGE_CURVE('',#159418,#159418,#140438,.T.); #197222=EDGE_CURVE('',#159418,#159419,#79597,.T.); #197223=EDGE_CURVE('',#159419,#159419,#140439,.T.); #197224=EDGE_CURVE('',#159420,#159420,#140440,.T.); #197225=EDGE_CURVE('',#159420,#159421,#79598,.T.); #197226=EDGE_CURVE('',#159421,#159421,#140441,.T.); #197227=EDGE_CURVE('',#159422,#159423,#79599,.T.); #197228=EDGE_CURVE('',#159423,#159424,#79600,.T.); #197229=EDGE_CURVE('',#159425,#159424,#79601,.T.); #197230=EDGE_CURVE('',#159422,#159425,#79602,.T.); #197231=EDGE_CURVE('',#159426,#159422,#140442,.T.); #197232=EDGE_CURVE('',#159427,#159425,#140443,.T.); #197233=EDGE_CURVE('',#159426,#159427,#79603,.T.); #197234=EDGE_CURVE('',#159428,#159426,#140444,.T.); #197235=EDGE_CURVE('',#159429,#159427,#140445,.T.); #197236=EDGE_CURVE('',#159428,#159429,#79604,.T.); #197237=EDGE_CURVE('',#159430,#159428,#79605,.T.); #197238=EDGE_CURVE('',#159431,#159429,#79606,.T.); #197239=EDGE_CURVE('',#159430,#159431,#79607,.T.); #197240=EDGE_CURVE('',#159432,#159430,#79608,.T.); #197241=EDGE_CURVE('',#159433,#159431,#79609,.T.); #197242=EDGE_CURVE('',#159432,#159433,#79610,.T.); #197243=EDGE_CURVE('',#159434,#159432,#140446,.T.); #197244=EDGE_CURVE('',#159435,#159433,#140447,.T.); #197245=EDGE_CURVE('',#159434,#159435,#79611,.T.); #197246=EDGE_CURVE('',#159436,#159434,#140448,.T.); #197247=EDGE_CURVE('',#159437,#159435,#140449,.T.); #197248=EDGE_CURVE('',#159436,#159437,#79612,.T.); #197249=EDGE_CURVE('',#159438,#159436,#79613,.T.); #197250=EDGE_CURVE('',#159439,#159437,#79614,.T.); #197251=EDGE_CURVE('',#159438,#159439,#79615,.T.); #197252=EDGE_CURVE('',#159440,#159438,#140450,.T.); #197253=EDGE_CURVE('',#159441,#159439,#140451,.T.); #197254=EDGE_CURVE('',#159440,#159441,#79616,.T.); #197255=EDGE_CURVE('',#159442,#159440,#79617,.T.); #197256=EDGE_CURVE('',#159443,#159441,#79618,.T.); #197257=EDGE_CURVE('',#159442,#159443,#79619,.T.); #197258=EDGE_CURVE('',#159423,#159442,#140452,.T.); #197259=EDGE_CURVE('',#159424,#159443,#140453,.T.); #197260=EDGE_CURVE('',#159444,#159444,#140454,.T.); #197261=EDGE_CURVE('',#159444,#159445,#79620,.T.); #197262=EDGE_CURVE('',#159445,#159445,#140455,.T.); #197263=EDGE_CURVE('',#159446,#159447,#79621,.T.); #197264=EDGE_CURVE('',#159447,#159448,#79622,.T.); #197265=EDGE_CURVE('',#159449,#159448,#79623,.T.); #197266=EDGE_CURVE('',#159446,#159449,#79624,.T.); #197267=EDGE_CURVE('',#159450,#159446,#79625,.T.); #197268=EDGE_CURVE('',#159451,#159449,#79626,.T.); #197269=EDGE_CURVE('',#159450,#159451,#79627,.T.); #197270=EDGE_CURVE('',#159452,#159450,#79628,.T.); #197271=EDGE_CURVE('',#159453,#159451,#79629,.T.); #197272=EDGE_CURVE('',#159452,#159453,#79630,.T.); #197273=EDGE_CURVE('',#159454,#159452,#79631,.T.); #197274=EDGE_CURVE('',#159455,#159453,#79632,.T.); #197275=EDGE_CURVE('',#159454,#159455,#79633,.T.); #197276=EDGE_CURVE('',#159456,#159454,#79634,.T.); #197277=EDGE_CURVE('',#159457,#159455,#79635,.T.); #197278=EDGE_CURVE('',#159456,#159457,#79636,.T.); #197279=EDGE_CURVE('',#159458,#159456,#140456,.T.); #197280=EDGE_CURVE('',#159459,#159457,#140457,.T.); #197281=EDGE_CURVE('',#159458,#159459,#79637,.T.); #197282=EDGE_CURVE('',#159460,#159458,#79638,.T.); #197283=EDGE_CURVE('',#159461,#159459,#79639,.T.); #197284=EDGE_CURVE('',#159460,#159461,#79640,.T.); #197285=EDGE_CURVE('',#159462,#159460,#140458,.T.); #197286=EDGE_CURVE('',#159463,#159461,#140459,.T.); #197287=EDGE_CURVE('',#159462,#159463,#79641,.T.); #197288=EDGE_CURVE('',#159464,#159462,#140460,.T.); #197289=EDGE_CURVE('',#159465,#159463,#140461,.T.); #197290=EDGE_CURVE('',#159464,#159465,#79642,.T.); #197291=EDGE_CURVE('',#159466,#159464,#140462,.T.); #197292=EDGE_CURVE('',#159467,#159465,#140463,.T.); #197293=EDGE_CURVE('',#159466,#159467,#79643,.T.); #197294=EDGE_CURVE('',#159468,#159466,#79644,.T.); #197295=EDGE_CURVE('',#159469,#159467,#79645,.T.); #197296=EDGE_CURVE('',#159468,#159469,#79646,.T.); #197297=EDGE_CURVE('',#159470,#159468,#140464,.T.); #197298=EDGE_CURVE('',#159471,#159469,#140465,.T.); #197299=EDGE_CURVE('',#159470,#159471,#79647,.T.); #197300=EDGE_CURVE('',#159472,#159470,#79648,.T.); #197301=EDGE_CURVE('',#159473,#159471,#79649,.T.); #197302=EDGE_CURVE('',#159472,#159473,#79650,.T.); #197303=EDGE_CURVE('',#159474,#159472,#79651,.T.); #197304=EDGE_CURVE('',#159475,#159473,#79652,.T.); #197305=EDGE_CURVE('',#159474,#159475,#79653,.T.); #197306=EDGE_CURVE('',#159476,#159474,#79654,.T.); #197307=EDGE_CURVE('',#159477,#159475,#79655,.T.); #197308=EDGE_CURVE('',#159476,#159477,#79656,.T.); #197309=EDGE_CURVE('',#159478,#159476,#79657,.T.); #197310=EDGE_CURVE('',#159479,#159477,#79658,.T.); #197311=EDGE_CURVE('',#159478,#159479,#79659,.T.); #197312=EDGE_CURVE('',#159480,#159478,#79660,.T.); #197313=EDGE_CURVE('',#159481,#159479,#79661,.T.); #197314=EDGE_CURVE('',#159480,#159481,#79662,.T.); #197315=EDGE_CURVE('',#159482,#159480,#79663,.T.); #197316=EDGE_CURVE('',#159483,#159481,#79664,.T.); #197317=EDGE_CURVE('',#159482,#159483,#79665,.T.); #197318=EDGE_CURVE('',#159484,#159482,#79666,.T.); #197319=EDGE_CURVE('',#159485,#159483,#79667,.T.); #197320=EDGE_CURVE('',#159484,#159485,#79668,.T.); #197321=EDGE_CURVE('',#159486,#159484,#79669,.T.); #197322=EDGE_CURVE('',#159487,#159485,#79670,.T.); #197323=EDGE_CURVE('',#159486,#159487,#79671,.T.); #197324=EDGE_CURVE('',#159488,#159486,#140466,.T.); #197325=EDGE_CURVE('',#159489,#159487,#140467,.T.); #197326=EDGE_CURVE('',#159488,#159489,#79672,.T.); #197327=EDGE_CURVE('',#159490,#159488,#79673,.T.); #197328=EDGE_CURVE('',#159491,#159489,#79674,.T.); #197329=EDGE_CURVE('',#159490,#159491,#79675,.T.); #197330=EDGE_CURVE('',#159492,#159490,#79676,.T.); #197331=EDGE_CURVE('',#159493,#159491,#79677,.T.); #197332=EDGE_CURVE('',#159492,#159493,#79678,.T.); #197333=EDGE_CURVE('',#159447,#159492,#79679,.T.); #197334=EDGE_CURVE('',#159448,#159493,#79680,.T.); #197335=EDGE_CURVE('',#159494,#159494,#140468,.T.); #197336=EDGE_CURVE('',#159494,#159495,#79681,.T.); #197337=EDGE_CURVE('',#159495,#159495,#140469,.T.); #197338=EDGE_CURVE('',#159496,#159497,#79682,.T.); #197339=EDGE_CURVE('',#159497,#159498,#79683,.T.); #197340=EDGE_CURVE('',#159499,#159498,#79684,.T.); #197341=EDGE_CURVE('',#159496,#159499,#79685,.T.); #197342=EDGE_CURVE('',#159500,#159496,#140470,.T.); #197343=EDGE_CURVE('',#159501,#159499,#140471,.T.); #197344=EDGE_CURVE('',#159500,#159501,#79686,.T.); #197345=EDGE_CURVE('',#159502,#159500,#140472,.T.); #197346=EDGE_CURVE('',#159503,#159501,#140473,.T.); #197347=EDGE_CURVE('',#159502,#159503,#79687,.T.); #197348=EDGE_CURVE('',#159504,#159502,#79688,.T.); #197349=EDGE_CURVE('',#159505,#159503,#79689,.T.); #197350=EDGE_CURVE('',#159504,#159505,#79690,.T.); #197351=EDGE_CURVE('',#159506,#159504,#140474,.T.); #197352=EDGE_CURVE('',#159507,#159505,#140475,.T.); #197353=EDGE_CURVE('',#159506,#159507,#79691,.T.); #197354=EDGE_CURVE('',#159508,#159506,#79692,.T.); #197355=EDGE_CURVE('',#159509,#159507,#79693,.T.); #197356=EDGE_CURVE('',#159508,#159509,#79694,.T.); #197357=EDGE_CURVE('',#159510,#159508,#79695,.T.); #197358=EDGE_CURVE('',#159511,#159509,#79696,.T.); #197359=EDGE_CURVE('',#159510,#159511,#79697,.T.); #197360=EDGE_CURVE('',#159512,#159510,#79698,.T.); #197361=EDGE_CURVE('',#159513,#159511,#79699,.T.); #197362=EDGE_CURVE('',#159512,#159513,#79700,.T.); #197363=EDGE_CURVE('',#159514,#159512,#79701,.T.); #197364=EDGE_CURVE('',#159515,#159513,#79702,.T.); #197365=EDGE_CURVE('',#159514,#159515,#79703,.T.); #197366=EDGE_CURVE('',#159516,#159514,#79704,.T.); #197367=EDGE_CURVE('',#159517,#159515,#79705,.T.); #197368=EDGE_CURVE('',#159516,#159517,#79706,.T.); #197369=EDGE_CURVE('',#159518,#159516,#79707,.T.); #197370=EDGE_CURVE('',#159519,#159517,#79708,.T.); #197371=EDGE_CURVE('',#159518,#159519,#79709,.T.); #197372=EDGE_CURVE('',#159520,#159518,#79710,.T.); #197373=EDGE_CURVE('',#159521,#159519,#79711,.T.); #197374=EDGE_CURVE('',#159520,#159521,#79712,.T.); #197375=EDGE_CURVE('',#159522,#159520,#79713,.T.); #197376=EDGE_CURVE('',#159523,#159521,#79714,.T.); #197377=EDGE_CURVE('',#159522,#159523,#79715,.T.); #197378=EDGE_CURVE('',#159524,#159522,#140476,.T.); #197379=EDGE_CURVE('',#159525,#159523,#140477,.T.); #197380=EDGE_CURVE('',#159524,#159525,#79716,.T.); #197381=EDGE_CURVE('',#159526,#159524,#79717,.T.); #197382=EDGE_CURVE('',#159527,#159525,#79718,.T.); #197383=EDGE_CURVE('',#159526,#159527,#79719,.T.); #197384=EDGE_CURVE('',#159528,#159526,#79720,.T.); #197385=EDGE_CURVE('',#159529,#159527,#79721,.T.); #197386=EDGE_CURVE('',#159528,#159529,#79722,.T.); #197387=EDGE_CURVE('',#159530,#159528,#79723,.T.); #197388=EDGE_CURVE('',#159531,#159529,#79724,.T.); #197389=EDGE_CURVE('',#159530,#159531,#79725,.T.); #197390=EDGE_CURVE('',#159532,#159530,#79726,.T.); #197391=EDGE_CURVE('',#159533,#159531,#79727,.T.); #197392=EDGE_CURVE('',#159532,#159533,#79728,.T.); #197393=EDGE_CURVE('',#159534,#159532,#79729,.T.); #197394=EDGE_CURVE('',#159535,#159533,#79730,.T.); #197395=EDGE_CURVE('',#159534,#159535,#79731,.T.); #197396=EDGE_CURVE('',#159536,#159534,#79732,.T.); #197397=EDGE_CURVE('',#159537,#159535,#79733,.T.); #197398=EDGE_CURVE('',#159536,#159537,#79734,.T.); #197399=EDGE_CURVE('',#159538,#159536,#79735,.T.); #197400=EDGE_CURVE('',#159539,#159537,#79736,.T.); #197401=EDGE_CURVE('',#159538,#159539,#79737,.T.); #197402=EDGE_CURVE('',#159540,#159538,#79738,.T.); #197403=EDGE_CURVE('',#159541,#159539,#79739,.T.); #197404=EDGE_CURVE('',#159540,#159541,#79740,.T.); #197405=EDGE_CURVE('',#159542,#159540,#140478,.T.); #197406=EDGE_CURVE('',#159543,#159541,#140479,.T.); #197407=EDGE_CURVE('',#159542,#159543,#79741,.T.); #197408=EDGE_CURVE('',#159544,#159542,#79742,.T.); #197409=EDGE_CURVE('',#159545,#159543,#79743,.T.); #197410=EDGE_CURVE('',#159544,#159545,#79744,.T.); #197411=EDGE_CURVE('',#159546,#159544,#140480,.T.); #197412=EDGE_CURVE('',#159547,#159545,#140481,.T.); #197413=EDGE_CURVE('',#159546,#159547,#79745,.T.); #197414=EDGE_CURVE('',#159497,#159546,#140482,.T.); #197415=EDGE_CURVE('',#159498,#159547,#140483,.T.); #197416=EDGE_CURVE('',#159548,#159548,#140484,.T.); #197417=EDGE_CURVE('',#159548,#159549,#79746,.T.); #197418=EDGE_CURVE('',#159549,#159549,#140485,.T.); #197419=EDGE_CURVE('',#159550,#159550,#140486,.T.); #197420=EDGE_CURVE('',#159550,#159551,#79747,.T.); #197421=EDGE_CURVE('',#159551,#159551,#140487,.T.); #197422=EDGE_CURVE('',#159552,#159553,#79748,.T.); #197423=EDGE_CURVE('',#159553,#159554,#79749,.T.); #197424=EDGE_CURVE('',#159555,#159554,#79750,.T.); #197425=EDGE_CURVE('',#159552,#159555,#79751,.T.); #197426=EDGE_CURVE('',#159556,#159552,#79752,.T.); #197427=EDGE_CURVE('',#159557,#159555,#79753,.T.); #197428=EDGE_CURVE('',#159556,#159557,#79754,.T.); #197429=EDGE_CURVE('',#159558,#159556,#140488,.T.); #197430=EDGE_CURVE('',#159559,#159557,#140489,.T.); #197431=EDGE_CURVE('',#159558,#159559,#79755,.T.); #197432=EDGE_CURVE('',#159560,#159558,#79756,.T.); #197433=EDGE_CURVE('',#159561,#159559,#79757,.T.); #197434=EDGE_CURVE('',#159560,#159561,#79758,.T.); #197435=EDGE_CURVE('',#159562,#159560,#79759,.T.); #197436=EDGE_CURVE('',#159563,#159561,#79760,.T.); #197437=EDGE_CURVE('',#159562,#159563,#79761,.T.); #197438=EDGE_CURVE('',#159564,#159562,#140490,.T.); #197439=EDGE_CURVE('',#159565,#159563,#140491,.T.); #197440=EDGE_CURVE('',#159564,#159565,#79762,.T.); #197441=EDGE_CURVE('',#159566,#159564,#140492,.T.); #197442=EDGE_CURVE('',#159567,#159565,#140493,.T.); #197443=EDGE_CURVE('',#159566,#159567,#79763,.T.); #197444=EDGE_CURVE('',#159568,#159566,#79764,.T.); #197445=EDGE_CURVE('',#159569,#159567,#79765,.T.); #197446=EDGE_CURVE('',#159568,#159569,#79766,.T.); #197447=EDGE_CURVE('',#159570,#159568,#140494,.T.); #197448=EDGE_CURVE('',#159571,#159569,#140495,.T.); #197449=EDGE_CURVE('',#159570,#159571,#79767,.T.); #197450=EDGE_CURVE('',#159572,#159570,#79768,.T.); #197451=EDGE_CURVE('',#159573,#159571,#79769,.T.); #197452=EDGE_CURVE('',#159572,#159573,#79770,.T.); #197453=EDGE_CURVE('',#159574,#159572,#79771,.T.); #197454=EDGE_CURVE('',#159575,#159573,#79772,.T.); #197455=EDGE_CURVE('',#159574,#159575,#79773,.T.); #197456=EDGE_CURVE('',#159576,#159574,#79774,.T.); #197457=EDGE_CURVE('',#159577,#159575,#79775,.T.); #197458=EDGE_CURVE('',#159576,#159577,#79776,.T.); #197459=EDGE_CURVE('',#159578,#159576,#140496,.T.); #197460=EDGE_CURVE('',#159579,#159577,#140497,.T.); #197461=EDGE_CURVE('',#159578,#159579,#79777,.T.); #197462=EDGE_CURVE('',#159580,#159578,#79778,.T.); #197463=EDGE_CURVE('',#159581,#159579,#79779,.T.); #197464=EDGE_CURVE('',#159580,#159581,#79780,.T.); #197465=EDGE_CURVE('',#159582,#159580,#79781,.T.); #197466=EDGE_CURVE('',#159583,#159581,#79782,.T.); #197467=EDGE_CURVE('',#159582,#159583,#79783,.T.); #197468=EDGE_CURVE('',#159584,#159582,#79784,.T.); #197469=EDGE_CURVE('',#159585,#159583,#79785,.T.); #197470=EDGE_CURVE('',#159584,#159585,#79786,.T.); #197471=EDGE_CURVE('',#159586,#159584,#79787,.T.); #197472=EDGE_CURVE('',#159587,#159585,#79788,.T.); #197473=EDGE_CURVE('',#159586,#159587,#79789,.T.); #197474=EDGE_CURVE('',#159588,#159586,#79790,.T.); #197475=EDGE_CURVE('',#159589,#159587,#79791,.T.); #197476=EDGE_CURVE('',#159588,#159589,#79792,.T.); #197477=EDGE_CURVE('',#159590,#159588,#140498,.T.); #197478=EDGE_CURVE('',#159591,#159589,#140499,.T.); #197479=EDGE_CURVE('',#159590,#159591,#79793,.T.); #197480=EDGE_CURVE('',#159592,#159590,#79794,.T.); #197481=EDGE_CURVE('',#159593,#159591,#79795,.T.); #197482=EDGE_CURVE('',#159592,#159593,#79796,.T.); #197483=EDGE_CURVE('',#159594,#159592,#79797,.T.); #197484=EDGE_CURVE('',#159595,#159593,#79798,.T.); #197485=EDGE_CURVE('',#159594,#159595,#79799,.T.); #197486=EDGE_CURVE('',#159596,#159594,#140500,.T.); #197487=EDGE_CURVE('',#159597,#159595,#140501,.T.); #197488=EDGE_CURVE('',#159596,#159597,#79800,.T.); #197489=EDGE_CURVE('',#159598,#159596,#79801,.T.); #197490=EDGE_CURVE('',#159599,#159597,#79802,.T.); #197491=EDGE_CURVE('',#159598,#159599,#79803,.T.); #197492=EDGE_CURVE('',#159600,#159598,#140502,.T.); #197493=EDGE_CURVE('',#159601,#159599,#140503,.T.); #197494=EDGE_CURVE('',#159600,#159601,#79804,.T.); #197495=EDGE_CURVE('',#159602,#159600,#79805,.T.); #197496=EDGE_CURVE('',#159603,#159601,#79806,.T.); #197497=EDGE_CURVE('',#159602,#159603,#79807,.T.); #197498=EDGE_CURVE('',#159604,#159602,#79808,.T.); #197499=EDGE_CURVE('',#159605,#159603,#79809,.T.); #197500=EDGE_CURVE('',#159604,#159605,#79810,.T.); #197501=EDGE_CURVE('',#159606,#159604,#79811,.T.); #197502=EDGE_CURVE('',#159607,#159605,#79812,.T.); #197503=EDGE_CURVE('',#159606,#159607,#79813,.T.); #197504=EDGE_CURVE('',#159608,#159606,#140504,.T.); #197505=EDGE_CURVE('',#159609,#159607,#140505,.T.); #197506=EDGE_CURVE('',#159608,#159609,#79814,.T.); #197507=EDGE_CURVE('',#159610,#159608,#79815,.T.); #197508=EDGE_CURVE('',#159611,#159609,#79816,.T.); #197509=EDGE_CURVE('',#159610,#159611,#79817,.T.); #197510=EDGE_CURVE('',#159612,#159610,#140506,.T.); #197511=EDGE_CURVE('',#159613,#159611,#140507,.T.); #197512=EDGE_CURVE('',#159612,#159613,#79818,.T.); #197513=EDGE_CURVE('',#159614,#159612,#79819,.T.); #197514=EDGE_CURVE('',#159615,#159613,#79820,.T.); #197515=EDGE_CURVE('',#159614,#159615,#79821,.T.); #197516=EDGE_CURVE('',#159616,#159614,#140508,.T.); #197517=EDGE_CURVE('',#159617,#159615,#140509,.T.); #197518=EDGE_CURVE('',#159616,#159617,#79822,.T.); #197519=EDGE_CURVE('',#159618,#159616,#79823,.T.); #197520=EDGE_CURVE('',#159619,#159617,#79824,.T.); #197521=EDGE_CURVE('',#159618,#159619,#79825,.T.); #197522=EDGE_CURVE('',#159620,#159618,#79826,.T.); #197523=EDGE_CURVE('',#159621,#159619,#79827,.T.); #197524=EDGE_CURVE('',#159620,#159621,#79828,.T.); #197525=EDGE_CURVE('',#159622,#159620,#140510,.T.); #197526=EDGE_CURVE('',#159623,#159621,#140511,.T.); #197527=EDGE_CURVE('',#159622,#159623,#79829,.T.); #197528=EDGE_CURVE('',#159624,#159622,#140512,.T.); #197529=EDGE_CURVE('',#159625,#159623,#140513,.T.); #197530=EDGE_CURVE('',#159624,#159625,#79830,.T.); #197531=EDGE_CURVE('',#159626,#159624,#79831,.T.); #197532=EDGE_CURVE('',#159627,#159625,#79832,.T.); #197533=EDGE_CURVE('',#159626,#159627,#79833,.T.); #197534=EDGE_CURVE('',#159628,#159626,#140514,.T.); #197535=EDGE_CURVE('',#159629,#159627,#140515,.T.); #197536=EDGE_CURVE('',#159628,#159629,#79834,.T.); #197537=EDGE_CURVE('',#159630,#159628,#79835,.T.); #197538=EDGE_CURVE('',#159631,#159629,#79836,.T.); #197539=EDGE_CURVE('',#159630,#159631,#79837,.T.); #197540=EDGE_CURVE('',#159632,#159630,#79838,.T.); #197541=EDGE_CURVE('',#159633,#159631,#79839,.T.); #197542=EDGE_CURVE('',#159632,#159633,#79840,.T.); #197543=EDGE_CURVE('',#159634,#159632,#79841,.T.); #197544=EDGE_CURVE('',#159635,#159633,#79842,.T.); #197545=EDGE_CURVE('',#159634,#159635,#79843,.T.); #197546=EDGE_CURVE('',#159636,#159634,#79844,.T.); #197547=EDGE_CURVE('',#159637,#159635,#79845,.T.); #197548=EDGE_CURVE('',#159636,#159637,#79846,.T.); #197549=EDGE_CURVE('',#159638,#159636,#140516,.T.); #197550=EDGE_CURVE('',#159639,#159637,#140517,.T.); #197551=EDGE_CURVE('',#159638,#159639,#79847,.T.); #197552=EDGE_CURVE('',#159640,#159638,#79848,.T.); #197553=EDGE_CURVE('',#159641,#159639,#79849,.T.); #197554=EDGE_CURVE('',#159640,#159641,#79850,.T.); #197555=EDGE_CURVE('',#159642,#159640,#140518,.T.); #197556=EDGE_CURVE('',#159643,#159641,#140519,.T.); #197557=EDGE_CURVE('',#159642,#159643,#79851,.T.); #197558=EDGE_CURVE('',#159644,#159642,#79852,.T.); #197559=EDGE_CURVE('',#159645,#159643,#79853,.T.); #197560=EDGE_CURVE('',#159644,#159645,#79854,.T.); #197561=EDGE_CURVE('',#159646,#159644,#79855,.T.); #197562=EDGE_CURVE('',#159647,#159645,#79856,.T.); #197563=EDGE_CURVE('',#159646,#159647,#79857,.T.); #197564=EDGE_CURVE('',#159648,#159646,#79858,.T.); #197565=EDGE_CURVE('',#159649,#159647,#79859,.T.); #197566=EDGE_CURVE('',#159648,#159649,#79860,.T.); #197567=EDGE_CURVE('',#159650,#159648,#140520,.T.); #197568=EDGE_CURVE('',#159651,#159649,#140521,.T.); #197569=EDGE_CURVE('',#159650,#159651,#79861,.T.); #197570=EDGE_CURVE('',#159652,#159650,#79862,.T.); #197571=EDGE_CURVE('',#159653,#159651,#79863,.T.); #197572=EDGE_CURVE('',#159652,#159653,#79864,.T.); #197573=EDGE_CURVE('',#159654,#159652,#79865,.T.); #197574=EDGE_CURVE('',#159655,#159653,#79866,.T.); #197575=EDGE_CURVE('',#159654,#159655,#79867,.T.); #197576=EDGE_CURVE('',#159656,#159654,#140522,.T.); #197577=EDGE_CURVE('',#159657,#159655,#140523,.T.); #197578=EDGE_CURVE('',#159656,#159657,#79868,.T.); #197579=EDGE_CURVE('',#159658,#159656,#79869,.T.); #197580=EDGE_CURVE('',#159659,#159657,#79870,.T.); #197581=EDGE_CURVE('',#159658,#159659,#79871,.T.); #197582=EDGE_CURVE('',#159660,#159658,#140524,.T.); #197583=EDGE_CURVE('',#159661,#159659,#140525,.T.); #197584=EDGE_CURVE('',#159660,#159661,#79872,.T.); #197585=EDGE_CURVE('',#159662,#159660,#79873,.T.); #197586=EDGE_CURVE('',#159663,#159661,#79874,.T.); #197587=EDGE_CURVE('',#159662,#159663,#79875,.T.); #197588=EDGE_CURVE('',#159664,#159662,#140526,.T.); #197589=EDGE_CURVE('',#159665,#159663,#140527,.T.); #197590=EDGE_CURVE('',#159664,#159665,#79876,.T.); #197591=EDGE_CURVE('',#159666,#159664,#79877,.T.); #197592=EDGE_CURVE('',#159667,#159665,#79878,.T.); #197593=EDGE_CURVE('',#159666,#159667,#79879,.T.); #197594=EDGE_CURVE('',#159668,#159666,#140528,.T.); #197595=EDGE_CURVE('',#159669,#159667,#140529,.T.); #197596=EDGE_CURVE('',#159668,#159669,#79880,.T.); #197597=EDGE_CURVE('',#159670,#159668,#79881,.T.); #197598=EDGE_CURVE('',#159671,#159669,#79882,.T.); #197599=EDGE_CURVE('',#159670,#159671,#79883,.T.); #197600=EDGE_CURVE('',#159672,#159670,#79884,.T.); #197601=EDGE_CURVE('',#159673,#159671,#79885,.T.); #197602=EDGE_CURVE('',#159672,#159673,#79886,.T.); #197603=EDGE_CURVE('',#159553,#159672,#140530,.T.); #197604=EDGE_CURVE('',#159554,#159673,#140531,.T.); #197605=EDGE_CURVE('',#159674,#159674,#140532,.T.); #197606=EDGE_CURVE('',#159674,#159675,#79887,.T.); #197607=EDGE_CURVE('',#159675,#159675,#140533,.T.); #197608=EDGE_CURVE('',#159676,#159676,#140534,.T.); #197609=EDGE_CURVE('',#159676,#159677,#79888,.T.); #197610=EDGE_CURVE('',#159677,#159677,#140535,.T.); #197611=EDGE_CURVE('',#159678,#159679,#79889,.T.); #197612=EDGE_CURVE('',#159679,#159680,#79890,.T.); #197613=EDGE_CURVE('',#159681,#159680,#79891,.T.); #197614=EDGE_CURVE('',#159678,#159681,#79892,.T.); #197615=EDGE_CURVE('',#159682,#159678,#140536,.T.); #197616=EDGE_CURVE('',#159683,#159681,#140537,.T.); #197617=EDGE_CURVE('',#159682,#159683,#79893,.T.); #197618=EDGE_CURVE('',#159684,#159682,#140538,.T.); #197619=EDGE_CURVE('',#159685,#159683,#140539,.T.); #197620=EDGE_CURVE('',#159684,#159685,#79894,.T.); #197621=EDGE_CURVE('',#159686,#159684,#79895,.T.); #197622=EDGE_CURVE('',#159687,#159685,#79896,.T.); #197623=EDGE_CURVE('',#159686,#159687,#79897,.T.); #197624=EDGE_CURVE('',#159688,#159686,#140540,.T.); #197625=EDGE_CURVE('',#159689,#159687,#140541,.T.); #197626=EDGE_CURVE('',#159688,#159689,#79898,.T.); #197627=EDGE_CURVE('',#159690,#159688,#140542,.T.); #197628=EDGE_CURVE('',#159691,#159689,#140543,.T.); #197629=EDGE_CURVE('',#159690,#159691,#79899,.T.); #197630=EDGE_CURVE('',#159692,#159690,#79900,.T.); #197631=EDGE_CURVE('',#159693,#159691,#79901,.T.); #197632=EDGE_CURVE('',#159692,#159693,#79902,.T.); #197633=EDGE_CURVE('',#159679,#159692,#140544,.T.); #197634=EDGE_CURVE('',#159680,#159693,#140545,.T.); #197635=EDGE_CURVE('',#159694,#159694,#140546,.T.); #197636=EDGE_CURVE('',#159694,#159695,#79903,.T.); #197637=EDGE_CURVE('',#159695,#159695,#140547,.T.); #197638=EDGE_CURVE('',#159696,#159696,#140548,.T.); #197639=EDGE_CURVE('',#159696,#159697,#79904,.T.); #197640=EDGE_CURVE('',#159697,#159697,#140549,.T.); #197641=EDGE_CURVE('',#159698,#159699,#79905,.T.); #197642=EDGE_CURVE('',#159699,#159700,#79906,.T.); #197643=EDGE_CURVE('',#159701,#159700,#79907,.T.); #197644=EDGE_CURVE('',#159698,#159701,#79908,.T.); #197645=EDGE_CURVE('',#159702,#159698,#140550,.T.); #197646=EDGE_CURVE('',#159703,#159701,#140551,.T.); #197647=EDGE_CURVE('',#159702,#159703,#79909,.T.); #197648=EDGE_CURVE('',#159704,#159702,#140552,.T.); #197649=EDGE_CURVE('',#159705,#159703,#140553,.T.); #197650=EDGE_CURVE('',#159704,#159705,#79910,.T.); #197651=EDGE_CURVE('',#159706,#159704,#79911,.T.); #197652=EDGE_CURVE('',#159707,#159705,#79912,.T.); #197653=EDGE_CURVE('',#159706,#159707,#79913,.T.); #197654=EDGE_CURVE('',#159708,#159706,#140554,.T.); #197655=EDGE_CURVE('',#159709,#159707,#140555,.T.); #197656=EDGE_CURVE('',#159708,#159709,#79914,.T.); #197657=EDGE_CURVE('',#159710,#159708,#140556,.T.); #197658=EDGE_CURVE('',#159711,#159709,#140557,.T.); #197659=EDGE_CURVE('',#159710,#159711,#79915,.T.); #197660=EDGE_CURVE('',#159712,#159710,#79916,.T.); #197661=EDGE_CURVE('',#159713,#159711,#79917,.T.); #197662=EDGE_CURVE('',#159712,#159713,#79918,.T.); #197663=EDGE_CURVE('',#159699,#159712,#140558,.T.); #197664=EDGE_CURVE('',#159700,#159713,#140559,.T.); #197665=EDGE_CURVE('',#159714,#159714,#140560,.T.); #197666=EDGE_CURVE('',#159714,#159715,#79919,.T.); #197667=EDGE_CURVE('',#159715,#159715,#140561,.T.); #197668=EDGE_CURVE('',#159716,#159716,#140562,.T.); #197669=EDGE_CURVE('',#159716,#159717,#79920,.T.); #197670=EDGE_CURVE('',#159717,#159717,#140563,.T.); #197671=EDGE_CURVE('',#159718,#159719,#79921,.T.); #197672=EDGE_CURVE('',#159719,#159720,#79922,.T.); #197673=EDGE_CURVE('',#159721,#159720,#79923,.T.); #197674=EDGE_CURVE('',#159718,#159721,#79924,.T.); #197675=EDGE_CURVE('',#159722,#159718,#140564,.T.); #197676=EDGE_CURVE('',#159723,#159721,#140565,.T.); #197677=EDGE_CURVE('',#159722,#159723,#79925,.T.); #197678=EDGE_CURVE('',#159724,#159722,#140566,.T.); #197679=EDGE_CURVE('',#159725,#159723,#140567,.T.); #197680=EDGE_CURVE('',#159724,#159725,#79926,.T.); #197681=EDGE_CURVE('',#159726,#159724,#79927,.T.); #197682=EDGE_CURVE('',#159727,#159725,#79928,.T.); #197683=EDGE_CURVE('',#159726,#159727,#79929,.T.); #197684=EDGE_CURVE('',#159728,#159726,#140568,.T.); #197685=EDGE_CURVE('',#159729,#159727,#140569,.T.); #197686=EDGE_CURVE('',#159728,#159729,#79930,.T.); #197687=EDGE_CURVE('',#159730,#159728,#140570,.T.); #197688=EDGE_CURVE('',#159731,#159729,#140571,.T.); #197689=EDGE_CURVE('',#159730,#159731,#79931,.T.); #197690=EDGE_CURVE('',#159732,#159730,#79932,.T.); #197691=EDGE_CURVE('',#159733,#159731,#79933,.T.); #197692=EDGE_CURVE('',#159732,#159733,#79934,.T.); #197693=EDGE_CURVE('',#159719,#159732,#140572,.T.); #197694=EDGE_CURVE('',#159720,#159733,#140573,.T.); #197695=EDGE_CURVE('',#159734,#159734,#140574,.T.); #197696=EDGE_CURVE('',#159734,#159735,#79935,.T.); #197697=EDGE_CURVE('',#159735,#159735,#140575,.T.); #197698=EDGE_CURVE('',#159736,#159736,#140576,.T.); #197699=EDGE_CURVE('',#159736,#159737,#79936,.T.); #197700=EDGE_CURVE('',#159737,#159737,#140577,.T.); #197701=EDGE_CURVE('',#159738,#159739,#79937,.T.); #197702=EDGE_CURVE('',#159739,#159740,#79938,.T.); #197703=EDGE_CURVE('',#159741,#159740,#79939,.T.); #197704=EDGE_CURVE('',#159738,#159741,#79940,.T.); #197705=EDGE_CURVE('',#159742,#159738,#140578,.T.); #197706=EDGE_CURVE('',#159743,#159741,#140579,.T.); #197707=EDGE_CURVE('',#159742,#159743,#79941,.T.); #197708=EDGE_CURVE('',#159744,#159742,#79942,.T.); #197709=EDGE_CURVE('',#159745,#159743,#79943,.T.); #197710=EDGE_CURVE('',#159744,#159745,#79944,.T.); #197711=EDGE_CURVE('',#159746,#159744,#79945,.T.); #197712=EDGE_CURVE('',#159747,#159745,#79946,.T.); #197713=EDGE_CURVE('',#159746,#159747,#79947,.T.); #197714=EDGE_CURVE('',#159748,#159746,#79948,.T.); #197715=EDGE_CURVE('',#159749,#159747,#79949,.T.); #197716=EDGE_CURVE('',#159748,#159749,#79950,.T.); #197717=EDGE_CURVE('',#159750,#159748,#140580,.T.); #197718=EDGE_CURVE('',#159751,#159749,#140581,.T.); #197719=EDGE_CURVE('',#159750,#159751,#79951,.T.); #197720=EDGE_CURVE('',#159752,#159750,#140582,.T.); #197721=EDGE_CURVE('',#159753,#159751,#140583,.T.); #197722=EDGE_CURVE('',#159752,#159753,#79952,.T.); #197723=EDGE_CURVE('',#159754,#159752,#79953,.T.); #197724=EDGE_CURVE('',#159755,#159753,#79954,.T.); #197725=EDGE_CURVE('',#159754,#159755,#79955,.T.); #197726=EDGE_CURVE('',#159756,#159754,#140584,.T.); #197727=EDGE_CURVE('',#159757,#159755,#140585,.T.); #197728=EDGE_CURVE('',#159756,#159757,#79956,.T.); #197729=EDGE_CURVE('',#159758,#159756,#79957,.T.); #197730=EDGE_CURVE('',#159759,#159757,#79958,.T.); #197731=EDGE_CURVE('',#159758,#159759,#79959,.T.); #197732=EDGE_CURVE('',#159760,#159758,#140586,.T.); #197733=EDGE_CURVE('',#159761,#159759,#140587,.T.); #197734=EDGE_CURVE('',#159760,#159761,#79960,.T.); #197735=EDGE_CURVE('',#159762,#159760,#79961,.T.); #197736=EDGE_CURVE('',#159763,#159761,#79962,.T.); #197737=EDGE_CURVE('',#159762,#159763,#79963,.T.); #197738=EDGE_CURVE('',#159739,#159762,#140588,.T.); #197739=EDGE_CURVE('',#159740,#159763,#140589,.T.); #197740=EDGE_CURVE('',#159764,#159764,#140590,.T.); #197741=EDGE_CURVE('',#159764,#159765,#79964,.T.); #197742=EDGE_CURVE('',#159765,#159765,#140591,.T.); #197743=EDGE_CURVE('',#159766,#159767,#79965,.T.); #197744=EDGE_CURVE('',#159767,#159768,#79966,.T.); #197745=EDGE_CURVE('',#159769,#159768,#79967,.T.); #197746=EDGE_CURVE('',#159766,#159769,#79968,.T.); #197747=EDGE_CURVE('',#159770,#159766,#79969,.T.); #197748=EDGE_CURVE('',#159771,#159769,#79970,.T.); #197749=EDGE_CURVE('',#159770,#159771,#79971,.T.); #197750=EDGE_CURVE('',#159772,#159770,#79972,.T.); #197751=EDGE_CURVE('',#159773,#159771,#79973,.T.); #197752=EDGE_CURVE('',#159772,#159773,#79974,.T.); #197753=EDGE_CURVE('',#159767,#159772,#79975,.T.); #197754=EDGE_CURVE('',#159768,#159773,#79976,.T.); #197755=EDGE_CURVE('',#159774,#159774,#140592,.T.); #197756=EDGE_CURVE('',#159774,#159775,#79977,.T.); #197757=EDGE_CURVE('',#159775,#159775,#140593,.T.); #197758=EDGE_CURVE('',#159776,#159777,#79978,.T.); #197759=EDGE_CURVE('',#159777,#159778,#79979,.T.); #197760=EDGE_CURVE('',#159779,#159778,#79980,.T.); #197761=EDGE_CURVE('',#159776,#159779,#79981,.T.); #197762=EDGE_CURVE('',#159780,#159776,#79982,.T.); #197763=EDGE_CURVE('',#159781,#159779,#79983,.T.); #197764=EDGE_CURVE('',#159780,#159781,#79984,.T.); #197765=EDGE_CURVE('',#159782,#159780,#79985,.T.); #197766=EDGE_CURVE('',#159783,#159781,#79986,.T.); #197767=EDGE_CURVE('',#159782,#159783,#79987,.T.); #197768=EDGE_CURVE('',#159777,#159782,#79988,.T.); #197769=EDGE_CURVE('',#159778,#159783,#79989,.T.); #197770=EDGE_CURVE('',#159784,#159784,#140594,.T.); #197771=EDGE_CURVE('',#159784,#159785,#79990,.T.); #197772=EDGE_CURVE('',#159785,#159785,#140595,.T.); #197773=EDGE_CURVE('',#159786,#159787,#79991,.T.); #197774=EDGE_CURVE('',#159787,#159788,#79992,.T.); #197775=EDGE_CURVE('',#159789,#159788,#79993,.T.); #197776=EDGE_CURVE('',#159786,#159789,#79994,.T.); #197777=EDGE_CURVE('',#159790,#159786,#79995,.T.); #197778=EDGE_CURVE('',#159791,#159789,#79996,.T.); #197779=EDGE_CURVE('',#159790,#159791,#79997,.T.); #197780=EDGE_CURVE('',#159792,#159790,#79998,.T.); #197781=EDGE_CURVE('',#159793,#159791,#79999,.T.); #197782=EDGE_CURVE('',#159792,#159793,#80000,.T.); #197783=EDGE_CURVE('',#159787,#159792,#80001,.T.); #197784=EDGE_CURVE('',#159788,#159793,#80002,.T.); #197785=EDGE_CURVE('',#159794,#159794,#140596,.T.); #197786=EDGE_CURVE('',#159794,#159795,#80003,.T.); #197787=EDGE_CURVE('',#159795,#159795,#140597,.T.); #197788=EDGE_CURVE('',#159796,#159797,#80004,.T.); #197789=EDGE_CURVE('',#159797,#159798,#80005,.T.); #197790=EDGE_CURVE('',#159799,#159798,#80006,.T.); #197791=EDGE_CURVE('',#159796,#159799,#80007,.T.); #197792=EDGE_CURVE('',#159800,#159796,#140598,.T.); #197793=EDGE_CURVE('',#159801,#159799,#140599,.T.); #197794=EDGE_CURVE('',#159800,#159801,#80008,.T.); #197795=EDGE_CURVE('',#159802,#159800,#80009,.T.); #197796=EDGE_CURVE('',#159803,#159801,#80010,.T.); #197797=EDGE_CURVE('',#159802,#159803,#80011,.T.); #197798=EDGE_CURVE('',#159804,#159802,#80012,.T.); #197799=EDGE_CURVE('',#159805,#159803,#80013,.T.); #197800=EDGE_CURVE('',#159804,#159805,#80014,.T.); #197801=EDGE_CURVE('',#159806,#159804,#80015,.T.); #197802=EDGE_CURVE('',#159807,#159805,#80016,.T.); #197803=EDGE_CURVE('',#159806,#159807,#80017,.T.); #197804=EDGE_CURVE('',#159808,#159806,#80018,.T.); #197805=EDGE_CURVE('',#159809,#159807,#80019,.T.); #197806=EDGE_CURVE('',#159808,#159809,#80020,.T.); #197807=EDGE_CURVE('',#159810,#159808,#80021,.T.); #197808=EDGE_CURVE('',#159811,#159809,#80022,.T.); #197809=EDGE_CURVE('',#159810,#159811,#80023,.T.); #197810=EDGE_CURVE('',#159812,#159810,#80024,.T.); #197811=EDGE_CURVE('',#159813,#159811,#80025,.T.); #197812=EDGE_CURVE('',#159812,#159813,#80026,.T.); #197813=EDGE_CURVE('',#159814,#159812,#80027,.T.); #197814=EDGE_CURVE('',#159815,#159813,#80028,.T.); #197815=EDGE_CURVE('',#159814,#159815,#80029,.T.); #197816=EDGE_CURVE('',#159797,#159814,#140600,.T.); #197817=EDGE_CURVE('',#159798,#159815,#140601,.T.); #197818=EDGE_CURVE('',#159816,#159816,#140602,.T.); #197819=EDGE_CURVE('',#159816,#159817,#80030,.T.); #197820=EDGE_CURVE('',#159817,#159817,#140603,.T.); #197821=EDGE_CURVE('',#159818,#159818,#140604,.T.); #197822=EDGE_CURVE('',#159818,#159819,#80031,.T.); #197823=EDGE_CURVE('',#159819,#159819,#140605,.T.); #197824=EDGE_CURVE('',#159820,#159821,#80032,.T.); #197825=EDGE_CURVE('',#159821,#159822,#80033,.T.); #197826=EDGE_CURVE('',#159823,#159822,#80034,.T.); #197827=EDGE_CURVE('',#159820,#159823,#80035,.T.); #197828=EDGE_CURVE('',#159824,#159820,#140606,.T.); #197829=EDGE_CURVE('',#159825,#159823,#140607,.T.); #197830=EDGE_CURVE('',#159824,#159825,#80036,.T.); #197831=EDGE_CURVE('',#159826,#159824,#80037,.T.); #197832=EDGE_CURVE('',#159827,#159825,#80038,.T.); #197833=EDGE_CURVE('',#159826,#159827,#80039,.T.); #197834=EDGE_CURVE('',#159828,#159826,#140608,.T.); #197835=EDGE_CURVE('',#159829,#159827,#140609,.T.); #197836=EDGE_CURVE('',#159828,#159829,#80040,.T.); #197837=EDGE_CURVE('',#159830,#159828,#140610,.T.); #197838=EDGE_CURVE('',#159831,#159829,#140611,.T.); #197839=EDGE_CURVE('',#159830,#159831,#80041,.T.); #197840=EDGE_CURVE('',#159832,#159830,#80042,.T.); #197841=EDGE_CURVE('',#159833,#159831,#80043,.T.); #197842=EDGE_CURVE('',#159832,#159833,#80044,.T.); #197843=EDGE_CURVE('',#159821,#159832,#140612,.T.); #197844=EDGE_CURVE('',#159822,#159833,#140613,.T.); #197845=EDGE_CURVE('',#159834,#159834,#140614,.T.); #197846=EDGE_CURVE('',#159834,#159835,#80045,.T.); #197847=EDGE_CURVE('',#159835,#159835,#140615,.T.); #197848=EDGE_CURVE('',#159836,#159837,#80046,.T.); #197849=EDGE_CURVE('',#159837,#159838,#80047,.T.); #197850=EDGE_CURVE('',#159839,#159838,#80048,.T.); #197851=EDGE_CURVE('',#159836,#159839,#80049,.T.); #197852=EDGE_CURVE('',#159840,#159836,#140616,.T.); #197853=EDGE_CURVE('',#159841,#159839,#140617,.T.); #197854=EDGE_CURVE('',#159840,#159841,#80050,.T.); #197855=EDGE_CURVE('',#159842,#159840,#80051,.T.); #197856=EDGE_CURVE('',#159843,#159841,#80052,.T.); #197857=EDGE_CURVE('',#159842,#159843,#80053,.T.); #197858=EDGE_CURVE('',#159844,#159842,#80054,.T.); #197859=EDGE_CURVE('',#159845,#159843,#80055,.T.); #197860=EDGE_CURVE('',#159844,#159845,#80056,.T.); #197861=EDGE_CURVE('',#159846,#159844,#80057,.T.); #197862=EDGE_CURVE('',#159847,#159845,#80058,.T.); #197863=EDGE_CURVE('',#159846,#159847,#80059,.T.); #197864=EDGE_CURVE('',#159848,#159846,#80060,.T.); #197865=EDGE_CURVE('',#159849,#159847,#80061,.T.); #197866=EDGE_CURVE('',#159848,#159849,#80062,.T.); #197867=EDGE_CURVE('',#159850,#159848,#80063,.T.); #197868=EDGE_CURVE('',#159851,#159849,#80064,.T.); #197869=EDGE_CURVE('',#159850,#159851,#80065,.T.); #197870=EDGE_CURVE('',#159852,#159850,#80066,.T.); #197871=EDGE_CURVE('',#159853,#159851,#80067,.T.); #197872=EDGE_CURVE('',#159852,#159853,#80068,.T.); #197873=EDGE_CURVE('',#159854,#159852,#80069,.T.); #197874=EDGE_CURVE('',#159855,#159853,#80070,.T.); #197875=EDGE_CURVE('',#159854,#159855,#80071,.T.); #197876=EDGE_CURVE('',#159837,#159854,#140618,.T.); #197877=EDGE_CURVE('',#159838,#159855,#140619,.T.); #197878=EDGE_CURVE('',#159856,#159856,#140620,.T.); #197879=EDGE_CURVE('',#159856,#159857,#80072,.T.); #197880=EDGE_CURVE('',#159857,#159857,#140621,.T.); #197881=EDGE_CURVE('',#159858,#159858,#140622,.T.); #197882=EDGE_CURVE('',#159858,#159859,#80073,.T.); #197883=EDGE_CURVE('',#159859,#159859,#140623,.T.); #197884=EDGE_CURVE('',#159860,#159861,#80074,.T.); #197885=EDGE_CURVE('',#159861,#159862,#80075,.T.); #197886=EDGE_CURVE('',#159863,#159862,#80076,.T.); #197887=EDGE_CURVE('',#159860,#159863,#80077,.T.); #197888=EDGE_CURVE('',#159864,#159860,#140624,.T.); #197889=EDGE_CURVE('',#159865,#159863,#140625,.T.); #197890=EDGE_CURVE('',#159864,#159865,#80078,.T.); #197891=EDGE_CURVE('',#159866,#159864,#80079,.T.); #197892=EDGE_CURVE('',#159867,#159865,#80080,.T.); #197893=EDGE_CURVE('',#159866,#159867,#80081,.T.); #197894=EDGE_CURVE('',#159868,#159866,#140626,.T.); #197895=EDGE_CURVE('',#159869,#159867,#140627,.T.); #197896=EDGE_CURVE('',#159868,#159869,#80082,.T.); #197897=EDGE_CURVE('',#159870,#159868,#140628,.T.); #197898=EDGE_CURVE('',#159871,#159869,#140629,.T.); #197899=EDGE_CURVE('',#159870,#159871,#80083,.T.); #197900=EDGE_CURVE('',#159872,#159870,#80084,.T.); #197901=EDGE_CURVE('',#159873,#159871,#80085,.T.); #197902=EDGE_CURVE('',#159872,#159873,#80086,.T.); #197903=EDGE_CURVE('',#159861,#159872,#140630,.T.); #197904=EDGE_CURVE('',#159862,#159873,#140631,.T.); #197905=EDGE_CURVE('',#159874,#159874,#140632,.T.); #197906=EDGE_CURVE('',#159874,#159875,#80087,.T.); #197907=EDGE_CURVE('',#159875,#159875,#140633,.T.); #197908=EDGE_CURVE('',#159876,#159877,#80088,.T.); #197909=EDGE_CURVE('',#159877,#159878,#80089,.T.); #197910=EDGE_CURVE('',#159879,#159878,#80090,.T.); #197911=EDGE_CURVE('',#159876,#159879,#80091,.T.); #197912=EDGE_CURVE('',#159880,#159876,#140634,.T.); #197913=EDGE_CURVE('',#159881,#159879,#140635,.T.); #197914=EDGE_CURVE('',#159880,#159881,#80092,.T.); #197915=EDGE_CURVE('',#159882,#159880,#80093,.T.); #197916=EDGE_CURVE('',#159883,#159881,#80094,.T.); #197917=EDGE_CURVE('',#159882,#159883,#80095,.T.); #197918=EDGE_CURVE('',#159884,#159882,#80096,.T.); #197919=EDGE_CURVE('',#159885,#159883,#80097,.T.); #197920=EDGE_CURVE('',#159884,#159885,#80098,.T.); #197921=EDGE_CURVE('',#159886,#159884,#80099,.T.); #197922=EDGE_CURVE('',#159887,#159885,#80100,.T.); #197923=EDGE_CURVE('',#159886,#159887,#80101,.T.); #197924=EDGE_CURVE('',#159888,#159886,#80102,.T.); #197925=EDGE_CURVE('',#159889,#159887,#80103,.T.); #197926=EDGE_CURVE('',#159888,#159889,#80104,.T.); #197927=EDGE_CURVE('',#159890,#159888,#80105,.T.); #197928=EDGE_CURVE('',#159891,#159889,#80106,.T.); #197929=EDGE_CURVE('',#159890,#159891,#80107,.T.); #197930=EDGE_CURVE('',#159892,#159890,#80108,.T.); #197931=EDGE_CURVE('',#159893,#159891,#80109,.T.); #197932=EDGE_CURVE('',#159892,#159893,#80110,.T.); #197933=EDGE_CURVE('',#159894,#159892,#80111,.T.); #197934=EDGE_CURVE('',#159895,#159893,#80112,.T.); #197935=EDGE_CURVE('',#159894,#159895,#80113,.T.); #197936=EDGE_CURVE('',#159877,#159894,#140636,.T.); #197937=EDGE_CURVE('',#159878,#159895,#140637,.T.); #197938=EDGE_CURVE('',#159896,#159896,#140638,.T.); #197939=EDGE_CURVE('',#159896,#159897,#80114,.T.); #197940=EDGE_CURVE('',#159897,#159897,#140639,.T.); #197941=EDGE_CURVE('',#159898,#159899,#80115,.T.); #197942=EDGE_CURVE('',#159899,#159900,#80116,.T.); #197943=EDGE_CURVE('',#159901,#159900,#80117,.T.); #197944=EDGE_CURVE('',#159898,#159901,#80118,.T.); #197945=EDGE_CURVE('',#159902,#159898,#140640,.T.); #197946=EDGE_CURVE('',#159903,#159901,#140641,.T.); #197947=EDGE_CURVE('',#159902,#159903,#80119,.T.); #197948=EDGE_CURVE('',#159904,#159902,#80120,.T.); #197949=EDGE_CURVE('',#159905,#159903,#80121,.T.); #197950=EDGE_CURVE('',#159904,#159905,#80122,.T.); #197951=EDGE_CURVE('',#159906,#159904,#80123,.T.); #197952=EDGE_CURVE('',#159907,#159905,#80124,.T.); #197953=EDGE_CURVE('',#159906,#159907,#80125,.T.); #197954=EDGE_CURVE('',#159908,#159906,#80126,.T.); #197955=EDGE_CURVE('',#159909,#159907,#80127,.T.); #197956=EDGE_CURVE('',#159908,#159909,#80128,.T.); #197957=EDGE_CURVE('',#159910,#159908,#80129,.T.); #197958=EDGE_CURVE('',#159911,#159909,#80130,.T.); #197959=EDGE_CURVE('',#159910,#159911,#80131,.T.); #197960=EDGE_CURVE('',#159912,#159910,#80132,.T.); #197961=EDGE_CURVE('',#159913,#159911,#80133,.T.); #197962=EDGE_CURVE('',#159912,#159913,#80134,.T.); #197963=EDGE_CURVE('',#159914,#159912,#80135,.T.); #197964=EDGE_CURVE('',#159915,#159913,#80136,.T.); #197965=EDGE_CURVE('',#159914,#159915,#80137,.T.); #197966=EDGE_CURVE('',#159916,#159914,#80138,.T.); #197967=EDGE_CURVE('',#159917,#159915,#80139,.T.); #197968=EDGE_CURVE('',#159916,#159917,#80140,.T.); #197969=EDGE_CURVE('',#159899,#159916,#140642,.T.); #197970=EDGE_CURVE('',#159900,#159917,#140643,.T.); #197971=EDGE_CURVE('',#159918,#159918,#140644,.T.); #197972=EDGE_CURVE('',#159918,#159919,#80141,.T.); #197973=EDGE_CURVE('',#159919,#159919,#140645,.T.); #197974=EDGE_CURVE('',#159920,#159921,#80142,.T.); #197975=EDGE_CURVE('',#159921,#159922,#80143,.T.); #197976=EDGE_CURVE('',#159923,#159922,#80144,.T.); #197977=EDGE_CURVE('',#159920,#159923,#80145,.T.); #197978=EDGE_CURVE('',#159924,#159920,#140646,.T.); #197979=EDGE_CURVE('',#159925,#159923,#140647,.T.); #197980=EDGE_CURVE('',#159924,#159925,#80146,.T.); #197981=EDGE_CURVE('',#159926,#159924,#80147,.T.); #197982=EDGE_CURVE('',#159927,#159925,#80148,.T.); #197983=EDGE_CURVE('',#159926,#159927,#80149,.T.); #197984=EDGE_CURVE('',#159928,#159926,#140648,.T.); #197985=EDGE_CURVE('',#159929,#159927,#140649,.T.); #197986=EDGE_CURVE('',#159928,#159929,#80150,.T.); #197987=EDGE_CURVE('',#159930,#159928,#80151,.T.); #197988=EDGE_CURVE('',#159931,#159929,#80152,.T.); #197989=EDGE_CURVE('',#159930,#159931,#80153,.T.); #197990=EDGE_CURVE('',#159932,#159930,#140650,.T.); #197991=EDGE_CURVE('',#159933,#159931,#140651,.T.); #197992=EDGE_CURVE('',#159932,#159933,#80154,.T.); #197993=EDGE_CURVE('',#159934,#159932,#80155,.T.); #197994=EDGE_CURVE('',#159935,#159933,#80156,.T.); #197995=EDGE_CURVE('',#159934,#159935,#80157,.T.); #197996=EDGE_CURVE('',#159936,#159934,#80158,.T.); #197997=EDGE_CURVE('',#159937,#159935,#80159,.T.); #197998=EDGE_CURVE('',#159936,#159937,#80160,.T.); #197999=EDGE_CURVE('',#159938,#159936,#80161,.T.); #198000=EDGE_CURVE('',#159939,#159937,#80162,.T.); #198001=EDGE_CURVE('',#159938,#159939,#80163,.T.); #198002=EDGE_CURVE('',#159940,#159938,#80164,.T.); #198003=EDGE_CURVE('',#159941,#159939,#80165,.T.); #198004=EDGE_CURVE('',#159940,#159941,#80166,.T.); #198005=EDGE_CURVE('',#159942,#159940,#80167,.T.); #198006=EDGE_CURVE('',#159943,#159941,#80168,.T.); #198007=EDGE_CURVE('',#159942,#159943,#80169,.T.); #198008=EDGE_CURVE('',#159944,#159942,#80170,.T.); #198009=EDGE_CURVE('',#159945,#159943,#80171,.T.); #198010=EDGE_CURVE('',#159944,#159945,#80172,.T.); #198011=EDGE_CURVE('',#159946,#159944,#80173,.T.); #198012=EDGE_CURVE('',#159947,#159945,#80174,.T.); #198013=EDGE_CURVE('',#159946,#159947,#80175,.T.); #198014=EDGE_CURVE('',#159948,#159946,#80176,.T.); #198015=EDGE_CURVE('',#159949,#159947,#80177,.T.); #198016=EDGE_CURVE('',#159948,#159949,#80178,.T.); #198017=EDGE_CURVE('',#159950,#159948,#80179,.T.); #198018=EDGE_CURVE('',#159951,#159949,#80180,.T.); #198019=EDGE_CURVE('',#159950,#159951,#80181,.T.); #198020=EDGE_CURVE('',#159952,#159950,#140652,.T.); #198021=EDGE_CURVE('',#159953,#159951,#140653,.T.); #198022=EDGE_CURVE('',#159952,#159953,#80182,.T.); #198023=EDGE_CURVE('',#159921,#159952,#140654,.T.); #198024=EDGE_CURVE('',#159922,#159953,#140655,.T.); #198025=EDGE_CURVE('',#159954,#159954,#140656,.T.); #198026=EDGE_CURVE('',#159954,#159955,#80183,.T.); #198027=EDGE_CURVE('',#159955,#159955,#140657,.T.); #198028=EDGE_CURVE('',#159956,#159957,#80184,.T.); #198029=EDGE_CURVE('',#159957,#159958,#80185,.T.); #198030=EDGE_CURVE('',#159959,#159958,#80186,.T.); #198031=EDGE_CURVE('',#159956,#159959,#80187,.T.); #198032=EDGE_CURVE('',#159960,#159956,#80188,.T.); #198033=EDGE_CURVE('',#159961,#159959,#80189,.T.); #198034=EDGE_CURVE('',#159960,#159961,#80190,.T.); #198035=EDGE_CURVE('',#159962,#159960,#80191,.T.); #198036=EDGE_CURVE('',#159963,#159961,#80192,.T.); #198037=EDGE_CURVE('',#159962,#159963,#80193,.T.); #198038=EDGE_CURVE('',#159964,#159962,#80194,.T.); #198039=EDGE_CURVE('',#159965,#159963,#80195,.T.); #198040=EDGE_CURVE('',#159964,#159965,#80196,.T.); #198041=EDGE_CURVE('',#159966,#159964,#80197,.T.); #198042=EDGE_CURVE('',#159967,#159965,#80198,.T.); #198043=EDGE_CURVE('',#159966,#159967,#80199,.T.); #198044=EDGE_CURVE('',#159968,#159966,#80200,.T.); #198045=EDGE_CURVE('',#159969,#159967,#80201,.T.); #198046=EDGE_CURVE('',#159968,#159969,#80202,.T.); #198047=EDGE_CURVE('',#159970,#159968,#80203,.T.); #198048=EDGE_CURVE('',#159971,#159969,#80204,.T.); #198049=EDGE_CURVE('',#159970,#159971,#80205,.T.); #198050=EDGE_CURVE('',#159972,#159970,#80206,.T.); #198051=EDGE_CURVE('',#159973,#159971,#80207,.T.); #198052=EDGE_CURVE('',#159972,#159973,#80208,.T.); #198053=EDGE_CURVE('',#159974,#159972,#140658,.T.); #198054=EDGE_CURVE('',#159975,#159973,#140659,.T.); #198055=EDGE_CURVE('',#159974,#159975,#80209,.T.); #198056=EDGE_CURVE('',#159976,#159974,#140660,.T.); #198057=EDGE_CURVE('',#159977,#159975,#140661,.T.); #198058=EDGE_CURVE('',#159976,#159977,#80210,.T.); #198059=EDGE_CURVE('',#159978,#159976,#80211,.T.); #198060=EDGE_CURVE('',#159979,#159977,#80212,.T.); #198061=EDGE_CURVE('',#159978,#159979,#80213,.T.); #198062=EDGE_CURVE('',#159980,#159978,#140662,.T.); #198063=EDGE_CURVE('',#159981,#159979,#140663,.T.); #198064=EDGE_CURVE('',#159980,#159981,#80214,.T.); #198065=EDGE_CURVE('',#159982,#159980,#80215,.T.); #198066=EDGE_CURVE('',#159983,#159981,#80216,.T.); #198067=EDGE_CURVE('',#159982,#159983,#80217,.T.); #198068=EDGE_CURVE('',#159984,#159982,#140664,.T.); #198069=EDGE_CURVE('',#159985,#159983,#140665,.T.); #198070=EDGE_CURVE('',#159984,#159985,#80218,.T.); #198071=EDGE_CURVE('',#159957,#159984,#80219,.T.); #198072=EDGE_CURVE('',#159958,#159985,#80220,.T.); #198073=EDGE_CURVE('',#159986,#159986,#140666,.T.); #198074=EDGE_CURVE('',#159986,#159987,#80221,.T.); #198075=EDGE_CURVE('',#159987,#159987,#140667,.T.); #198076=EDGE_CURVE('',#159988,#159989,#80222,.T.); #198077=EDGE_CURVE('',#159989,#159990,#80223,.T.); #198078=EDGE_CURVE('',#159991,#159990,#80224,.T.); #198079=EDGE_CURVE('',#159988,#159991,#80225,.T.); #198080=EDGE_CURVE('',#159992,#159988,#140668,.T.); #198081=EDGE_CURVE('',#159993,#159991,#140669,.T.); #198082=EDGE_CURVE('',#159992,#159993,#80226,.T.); #198083=EDGE_CURVE('',#159989,#159992,#140670,.T.); #198084=EDGE_CURVE('',#159990,#159993,#140671,.T.); #198085=EDGE_CURVE('',#159994,#159994,#140672,.T.); #198086=EDGE_CURVE('',#159994,#159995,#80227,.T.); #198087=EDGE_CURVE('',#159995,#159995,#140673,.T.); #198088=EDGE_CURVE('',#159996,#159997,#80228,.T.); #198089=EDGE_CURVE('',#159997,#159998,#80229,.T.); #198090=EDGE_CURVE('',#159999,#159998,#80230,.T.); #198091=EDGE_CURVE('',#159996,#159999,#80231,.T.); #198092=EDGE_CURVE('',#160000,#159996,#80232,.T.); #198093=EDGE_CURVE('',#160001,#159999,#80233,.T.); #198094=EDGE_CURVE('',#160000,#160001,#80234,.T.); #198095=EDGE_CURVE('',#160002,#160000,#80235,.T.); #198096=EDGE_CURVE('',#160003,#160001,#80236,.T.); #198097=EDGE_CURVE('',#160002,#160003,#80237,.T.); #198098=EDGE_CURVE('',#160004,#160002,#80238,.T.); #198099=EDGE_CURVE('',#160005,#160003,#80239,.T.); #198100=EDGE_CURVE('',#160004,#160005,#80240,.T.); #198101=EDGE_CURVE('',#160006,#160004,#80241,.T.); #198102=EDGE_CURVE('',#160007,#160005,#80242,.T.); #198103=EDGE_CURVE('',#160006,#160007,#80243,.T.); #198104=EDGE_CURVE('',#160008,#160006,#80244,.T.); #198105=EDGE_CURVE('',#160009,#160007,#80245,.T.); #198106=EDGE_CURVE('',#160008,#160009,#80246,.T.); #198107=EDGE_CURVE('',#160010,#160008,#80247,.T.); #198108=EDGE_CURVE('',#160011,#160009,#80248,.T.); #198109=EDGE_CURVE('',#160010,#160011,#80249,.T.); #198110=EDGE_CURVE('',#160012,#160010,#140674,.T.); #198111=EDGE_CURVE('',#160013,#160011,#140675,.T.); #198112=EDGE_CURVE('',#160012,#160013,#80250,.T.); #198113=EDGE_CURVE('',#160014,#160012,#80251,.T.); #198114=EDGE_CURVE('',#160015,#160013,#80252,.T.); #198115=EDGE_CURVE('',#160014,#160015,#80253,.T.); #198116=EDGE_CURVE('',#160016,#160014,#80254,.T.); #198117=EDGE_CURVE('',#160017,#160015,#80255,.T.); #198118=EDGE_CURVE('',#160016,#160017,#80256,.T.); #198119=EDGE_CURVE('',#160018,#160016,#140676,.T.); #198120=EDGE_CURVE('',#160019,#160017,#140677,.T.); #198121=EDGE_CURVE('',#160018,#160019,#80257,.T.); #198122=EDGE_CURVE('',#160020,#160018,#140678,.T.); #198123=EDGE_CURVE('',#160021,#160019,#140679,.T.); #198124=EDGE_CURVE('',#160020,#160021,#80258,.T.); #198125=EDGE_CURVE('',#160022,#160020,#80259,.T.); #198126=EDGE_CURVE('',#160023,#160021,#80260,.T.); #198127=EDGE_CURVE('',#160022,#160023,#80261,.T.); #198128=EDGE_CURVE('',#160024,#160022,#140680,.T.); #198129=EDGE_CURVE('',#160025,#160023,#140681,.T.); #198130=EDGE_CURVE('',#160024,#160025,#80262,.T.); #198131=EDGE_CURVE('',#160026,#160024,#80263,.T.); #198132=EDGE_CURVE('',#160027,#160025,#80264,.T.); #198133=EDGE_CURVE('',#160026,#160027,#80265,.T.); #198134=EDGE_CURVE('',#160028,#160026,#80266,.T.); #198135=EDGE_CURVE('',#160029,#160027,#80267,.T.); #198136=EDGE_CURVE('',#160028,#160029,#80268,.T.); #198137=EDGE_CURVE('',#160030,#160028,#140682,.T.); #198138=EDGE_CURVE('',#160031,#160029,#140683,.T.); #198139=EDGE_CURVE('',#160030,#160031,#80269,.T.); #198140=EDGE_CURVE('',#160032,#160030,#80270,.T.); #198141=EDGE_CURVE('',#160033,#160031,#80271,.T.); #198142=EDGE_CURVE('',#160032,#160033,#80272,.T.); #198143=EDGE_CURVE('',#160034,#160032,#140684,.T.); #198144=EDGE_CURVE('',#160035,#160033,#140685,.T.); #198145=EDGE_CURVE('',#160034,#160035,#80273,.T.); #198146=EDGE_CURVE('',#160036,#160034,#80274,.T.); #198147=EDGE_CURVE('',#160037,#160035,#80275,.T.); #198148=EDGE_CURVE('',#160036,#160037,#80276,.T.); #198149=EDGE_CURVE('',#159997,#160036,#80277,.T.); #198150=EDGE_CURVE('',#159998,#160037,#80278,.T.); #198151=EDGE_CURVE('',#160038,#160038,#140686,.T.); #198152=EDGE_CURVE('',#160038,#160039,#80279,.T.); #198153=EDGE_CURVE('',#160039,#160039,#140687,.T.); #198154=EDGE_CURVE('',#160040,#160041,#80280,.T.); #198155=EDGE_CURVE('',#160041,#160042,#80281,.T.); #198156=EDGE_CURVE('',#160043,#160042,#80282,.T.); #198157=EDGE_CURVE('',#160040,#160043,#80283,.T.); #198158=EDGE_CURVE('',#160044,#160040,#80284,.T.); #198159=EDGE_CURVE('',#160045,#160043,#80285,.T.); #198160=EDGE_CURVE('',#160044,#160045,#80286,.T.); #198161=EDGE_CURVE('',#160046,#160044,#80287,.T.); #198162=EDGE_CURVE('',#160047,#160045,#80288,.T.); #198163=EDGE_CURVE('',#160046,#160047,#80289,.T.); #198164=EDGE_CURVE('',#160048,#160046,#80290,.T.); #198165=EDGE_CURVE('',#160049,#160047,#80291,.T.); #198166=EDGE_CURVE('',#160048,#160049,#80292,.T.); #198167=EDGE_CURVE('',#160050,#160048,#140688,.T.); #198168=EDGE_CURVE('',#160051,#160049,#140689,.T.); #198169=EDGE_CURVE('',#160050,#160051,#80293,.T.); #198170=EDGE_CURVE('',#160052,#160050,#80294,.T.); #198171=EDGE_CURVE('',#160053,#160051,#80295,.T.); #198172=EDGE_CURVE('',#160052,#160053,#80296,.T.); #198173=EDGE_CURVE('',#160054,#160052,#140690,.T.); #198174=EDGE_CURVE('',#160055,#160053,#140691,.T.); #198175=EDGE_CURVE('',#160054,#160055,#80297,.T.); #198176=EDGE_CURVE('',#160056,#160054,#80298,.T.); #198177=EDGE_CURVE('',#160057,#160055,#80299,.T.); #198178=EDGE_CURVE('',#160056,#160057,#80300,.T.); #198179=EDGE_CURVE('',#160058,#160056,#140692,.T.); #198180=EDGE_CURVE('',#160059,#160057,#140693,.T.); #198181=EDGE_CURVE('',#160058,#160059,#80301,.T.); #198182=EDGE_CURVE('',#160060,#160058,#140694,.T.); #198183=EDGE_CURVE('',#160061,#160059,#140695,.T.); #198184=EDGE_CURVE('',#160060,#160061,#80302,.T.); #198185=EDGE_CURVE('',#160062,#160060,#80303,.T.); #198186=EDGE_CURVE('',#160063,#160061,#80304,.T.); #198187=EDGE_CURVE('',#160062,#160063,#80305,.T.); #198188=EDGE_CURVE('',#160064,#160062,#80306,.T.); #198189=EDGE_CURVE('',#160065,#160063,#80307,.T.); #198190=EDGE_CURVE('',#160064,#160065,#80308,.T.); #198191=EDGE_CURVE('',#160066,#160064,#80309,.T.); #198192=EDGE_CURVE('',#160067,#160065,#80310,.T.); #198193=EDGE_CURVE('',#160066,#160067,#80311,.T.); #198194=EDGE_CURVE('',#160068,#160066,#80312,.T.); #198195=EDGE_CURVE('',#160069,#160067,#80313,.T.); #198196=EDGE_CURVE('',#160068,#160069,#80314,.T.); #198197=EDGE_CURVE('',#160041,#160068,#80315,.T.); #198198=EDGE_CURVE('',#160042,#160069,#80316,.T.); #198199=EDGE_CURVE('',#160070,#160071,#80317,.T.); #198200=EDGE_CURVE('',#160071,#160072,#80318,.T.); #198201=EDGE_CURVE('',#160073,#160072,#80319,.T.); #198202=EDGE_CURVE('',#160070,#160073,#80320,.T.); #198203=EDGE_CURVE('',#160074,#160070,#80321,.T.); #198204=EDGE_CURVE('',#160075,#160073,#80322,.T.); #198205=EDGE_CURVE('',#160074,#160075,#80323,.T.); #198206=EDGE_CURVE('',#160076,#160074,#80324,.T.); #198207=EDGE_CURVE('',#160077,#160075,#80325,.T.); #198208=EDGE_CURVE('',#160076,#160077,#80326,.T.); #198209=EDGE_CURVE('',#160078,#160076,#80327,.T.); #198210=EDGE_CURVE('',#160079,#160077,#80328,.T.); #198211=EDGE_CURVE('',#160078,#160079,#80329,.T.); #198212=EDGE_CURVE('',#160080,#160078,#80330,.T.); #198213=EDGE_CURVE('',#160081,#160079,#80331,.T.); #198214=EDGE_CURVE('',#160080,#160081,#80332,.T.); #198215=EDGE_CURVE('',#160082,#160080,#140696,.T.); #198216=EDGE_CURVE('',#160083,#160081,#140697,.T.); #198217=EDGE_CURVE('',#160082,#160083,#80333,.T.); #198218=EDGE_CURVE('',#160084,#160082,#80334,.T.); #198219=EDGE_CURVE('',#160085,#160083,#80335,.T.); #198220=EDGE_CURVE('',#160084,#160085,#80336,.T.); #198221=EDGE_CURVE('',#160086,#160084,#80337,.T.); #198222=EDGE_CURVE('',#160087,#160085,#80338,.T.); #198223=EDGE_CURVE('',#160086,#160087,#80339,.T.); #198224=EDGE_CURVE('',#160088,#160086,#80340,.T.); #198225=EDGE_CURVE('',#160089,#160087,#80341,.T.); #198226=EDGE_CURVE('',#160088,#160089,#80342,.T.); #198227=EDGE_CURVE('',#160090,#160088,#80343,.T.); #198228=EDGE_CURVE('',#160091,#160089,#80344,.T.); #198229=EDGE_CURVE('',#160090,#160091,#80345,.T.); #198230=EDGE_CURVE('',#160092,#160090,#80346,.T.); #198231=EDGE_CURVE('',#160093,#160091,#80347,.T.); #198232=EDGE_CURVE('',#160092,#160093,#80348,.T.); #198233=EDGE_CURVE('',#160094,#160092,#80349,.T.); #198234=EDGE_CURVE('',#160095,#160093,#80350,.T.); #198235=EDGE_CURVE('',#160094,#160095,#80351,.T.); #198236=EDGE_CURVE('',#160096,#160094,#80352,.T.); #198237=EDGE_CURVE('',#160097,#160095,#80353,.T.); #198238=EDGE_CURVE('',#160096,#160097,#80354,.T.); #198239=EDGE_CURVE('',#160071,#160096,#80355,.T.); #198240=EDGE_CURVE('',#160072,#160097,#80356,.T.); #198241=EDGE_CURVE('',#160098,#160099,#80357,.T.); #198242=EDGE_CURVE('',#160099,#160100,#80358,.T.); #198243=EDGE_CURVE('',#160101,#160100,#80359,.T.); #198244=EDGE_CURVE('',#160098,#160101,#80360,.T.); #198245=EDGE_CURVE('',#160102,#160098,#80361,.T.); #198246=EDGE_CURVE('',#160103,#160101,#80362,.T.); #198247=EDGE_CURVE('',#160102,#160103,#80363,.T.); #198248=EDGE_CURVE('',#160104,#160102,#80364,.T.); #198249=EDGE_CURVE('',#160105,#160103,#80365,.T.); #198250=EDGE_CURVE('',#160104,#160105,#80366,.T.); #198251=EDGE_CURVE('',#160106,#160104,#80367,.T.); #198252=EDGE_CURVE('',#160107,#160105,#80368,.T.); #198253=EDGE_CURVE('',#160106,#160107,#80369,.T.); #198254=EDGE_CURVE('',#160108,#160106,#80370,.T.); #198255=EDGE_CURVE('',#160109,#160107,#80371,.T.); #198256=EDGE_CURVE('',#160108,#160109,#80372,.T.); #198257=EDGE_CURVE('',#160110,#160108,#80373,.T.); #198258=EDGE_CURVE('',#160111,#160109,#80374,.T.); #198259=EDGE_CURVE('',#160110,#160111,#80375,.T.); #198260=EDGE_CURVE('',#160112,#160110,#80376,.T.); #198261=EDGE_CURVE('',#160113,#160111,#80377,.T.); #198262=EDGE_CURVE('',#160112,#160113,#80378,.T.); #198263=EDGE_CURVE('',#160114,#160112,#80379,.T.); #198264=EDGE_CURVE('',#160115,#160113,#80380,.T.); #198265=EDGE_CURVE('',#160114,#160115,#80381,.T.); #198266=EDGE_CURVE('',#160116,#160114,#80382,.T.); #198267=EDGE_CURVE('',#160117,#160115,#80383,.T.); #198268=EDGE_CURVE('',#160116,#160117,#80384,.T.); #198269=EDGE_CURVE('',#160118,#160116,#80385,.T.); #198270=EDGE_CURVE('',#160119,#160117,#80386,.T.); #198271=EDGE_CURVE('',#160118,#160119,#80387,.T.); #198272=EDGE_CURVE('',#160120,#160118,#80388,.T.); #198273=EDGE_CURVE('',#160121,#160119,#80389,.T.); #198274=EDGE_CURVE('',#160120,#160121,#80390,.T.); #198275=EDGE_CURVE('',#160122,#160120,#140698,.T.); #198276=EDGE_CURVE('',#160123,#160121,#140699,.T.); #198277=EDGE_CURVE('',#160122,#160123,#80391,.T.); #198278=EDGE_CURVE('',#160124,#160122,#80392,.T.); #198279=EDGE_CURVE('',#160125,#160123,#80393,.T.); #198280=EDGE_CURVE('',#160124,#160125,#80394,.T.); #198281=EDGE_CURVE('',#160099,#160124,#80395,.T.); #198282=EDGE_CURVE('',#160100,#160125,#80396,.T.); #198283=EDGE_CURVE('',#160126,#160126,#140700,.T.); #198284=EDGE_CURVE('',#160126,#160127,#80397,.T.); #198285=EDGE_CURVE('',#160127,#160127,#140701,.T.); #198286=EDGE_CURVE('',#160128,#160129,#80398,.T.); #198287=EDGE_CURVE('',#160129,#160130,#80399,.T.); #198288=EDGE_CURVE('',#160131,#160130,#80400,.T.); #198289=EDGE_CURVE('',#160128,#160131,#80401,.T.); #198290=EDGE_CURVE('',#160132,#160128,#80402,.T.); #198291=EDGE_CURVE('',#160133,#160131,#80403,.T.); #198292=EDGE_CURVE('',#160132,#160133,#80404,.T.); #198293=EDGE_CURVE('',#160134,#160132,#80405,.T.); #198294=EDGE_CURVE('',#160135,#160133,#80406,.T.); #198295=EDGE_CURVE('',#160134,#160135,#80407,.T.); #198296=EDGE_CURVE('',#160136,#160134,#80408,.T.); #198297=EDGE_CURVE('',#160137,#160135,#80409,.T.); #198298=EDGE_CURVE('',#160136,#160137,#80410,.T.); #198299=EDGE_CURVE('',#160138,#160136,#80411,.T.); #198300=EDGE_CURVE('',#160139,#160137,#80412,.T.); #198301=EDGE_CURVE('',#160138,#160139,#80413,.T.); #198302=EDGE_CURVE('',#160140,#160138,#140702,.T.); #198303=EDGE_CURVE('',#160141,#160139,#140703,.T.); #198304=EDGE_CURVE('',#160140,#160141,#80414,.T.); #198305=EDGE_CURVE('',#160142,#160140,#80415,.T.); #198306=EDGE_CURVE('',#160143,#160141,#80416,.T.); #198307=EDGE_CURVE('',#160142,#160143,#80417,.T.); #198308=EDGE_CURVE('',#160144,#160142,#80418,.T.); #198309=EDGE_CURVE('',#160145,#160143,#80419,.T.); #198310=EDGE_CURVE('',#160144,#160145,#80420,.T.); #198311=EDGE_CURVE('',#160146,#160144,#80421,.T.); #198312=EDGE_CURVE('',#160147,#160145,#80422,.T.); #198313=EDGE_CURVE('',#160146,#160147,#80423,.T.); #198314=EDGE_CURVE('',#160148,#160146,#80424,.T.); #198315=EDGE_CURVE('',#160149,#160147,#80425,.T.); #198316=EDGE_CURVE('',#160148,#160149,#80426,.T.); #198317=EDGE_CURVE('',#160150,#160148,#140704,.T.); #198318=EDGE_CURVE('',#160151,#160149,#140705,.T.); #198319=EDGE_CURVE('',#160150,#160151,#80427,.T.); #198320=EDGE_CURVE('',#160152,#160150,#80428,.T.); #198321=EDGE_CURVE('',#160153,#160151,#80429,.T.); #198322=EDGE_CURVE('',#160152,#160153,#80430,.T.); #198323=EDGE_CURVE('',#160154,#160152,#140706,.T.); #198324=EDGE_CURVE('',#160155,#160153,#140707,.T.); #198325=EDGE_CURVE('',#160154,#160155,#80431,.T.); #198326=EDGE_CURVE('',#160156,#160154,#80432,.T.); #198327=EDGE_CURVE('',#160157,#160155,#80433,.T.); #198328=EDGE_CURVE('',#160156,#160157,#80434,.T.); #198329=EDGE_CURVE('',#160158,#160156,#80435,.T.); #198330=EDGE_CURVE('',#160159,#160157,#80436,.T.); #198331=EDGE_CURVE('',#160158,#160159,#80437,.T.); #198332=EDGE_CURVE('',#160160,#160158,#80438,.T.); #198333=EDGE_CURVE('',#160161,#160159,#80439,.T.); #198334=EDGE_CURVE('',#160160,#160161,#80440,.T.); #198335=EDGE_CURVE('',#160162,#160160,#80441,.T.); #198336=EDGE_CURVE('',#160163,#160161,#80442,.T.); #198337=EDGE_CURVE('',#160162,#160163,#80443,.T.); #198338=EDGE_CURVE('',#160164,#160162,#80444,.T.); #198339=EDGE_CURVE('',#160165,#160163,#80445,.T.); #198340=EDGE_CURVE('',#160164,#160165,#80446,.T.); #198341=EDGE_CURVE('',#160166,#160164,#80447,.T.); #198342=EDGE_CURVE('',#160167,#160165,#80448,.T.); #198343=EDGE_CURVE('',#160166,#160167,#80449,.T.); #198344=EDGE_CURVE('',#160168,#160166,#80450,.T.); #198345=EDGE_CURVE('',#160169,#160167,#80451,.T.); #198346=EDGE_CURVE('',#160168,#160169,#80452,.T.); #198347=EDGE_CURVE('',#160170,#160168,#80453,.T.); #198348=EDGE_CURVE('',#160171,#160169,#80454,.T.); #198349=EDGE_CURVE('',#160170,#160171,#80455,.T.); #198350=EDGE_CURVE('',#160172,#160170,#80456,.T.); #198351=EDGE_CURVE('',#160173,#160171,#80457,.T.); #198352=EDGE_CURVE('',#160172,#160173,#80458,.T.); #198353=EDGE_CURVE('',#160174,#160172,#140708,.T.); #198354=EDGE_CURVE('',#160175,#160173,#140709,.T.); #198355=EDGE_CURVE('',#160174,#160175,#80459,.T.); #198356=EDGE_CURVE('',#160176,#160174,#80460,.T.); #198357=EDGE_CURVE('',#160177,#160175,#80461,.T.); #198358=EDGE_CURVE('',#160176,#160177,#80462,.T.); #198359=EDGE_CURVE('',#160178,#160176,#140710,.T.); #198360=EDGE_CURVE('',#160179,#160177,#140711,.T.); #198361=EDGE_CURVE('',#160178,#160179,#80463,.T.); #198362=EDGE_CURVE('',#160180,#160178,#80464,.T.); #198363=EDGE_CURVE('',#160181,#160179,#80465,.T.); #198364=EDGE_CURVE('',#160180,#160181,#80466,.T.); #198365=EDGE_CURVE('',#160182,#160180,#140712,.T.); #198366=EDGE_CURVE('',#160183,#160181,#140713,.T.); #198367=EDGE_CURVE('',#160182,#160183,#80467,.T.); #198368=EDGE_CURVE('',#160184,#160182,#80468,.T.); #198369=EDGE_CURVE('',#160185,#160183,#80469,.T.); #198370=EDGE_CURVE('',#160184,#160185,#80470,.T.); #198371=EDGE_CURVE('',#160186,#160184,#80471,.T.); #198372=EDGE_CURVE('',#160187,#160185,#80472,.T.); #198373=EDGE_CURVE('',#160186,#160187,#80473,.T.); #198374=EDGE_CURVE('',#160188,#160186,#80474,.T.); #198375=EDGE_CURVE('',#160189,#160187,#80475,.T.); #198376=EDGE_CURVE('',#160188,#160189,#80476,.T.); #198377=EDGE_CURVE('',#160190,#160188,#80477,.T.); #198378=EDGE_CURVE('',#160191,#160189,#80478,.T.); #198379=EDGE_CURVE('',#160190,#160191,#80479,.T.); #198380=EDGE_CURVE('',#160192,#160190,#80480,.T.); #198381=EDGE_CURVE('',#160193,#160191,#80481,.T.); #198382=EDGE_CURVE('',#160192,#160193,#80482,.T.); #198383=EDGE_CURVE('',#160194,#160192,#80483,.T.); #198384=EDGE_CURVE('',#160195,#160193,#80484,.T.); #198385=EDGE_CURVE('',#160194,#160195,#80485,.T.); #198386=EDGE_CURVE('',#160196,#160194,#80486,.T.); #198387=EDGE_CURVE('',#160197,#160195,#80487,.T.); #198388=EDGE_CURVE('',#160196,#160197,#80488,.T.); #198389=EDGE_CURVE('',#160198,#160196,#80489,.T.); #198390=EDGE_CURVE('',#160199,#160197,#80490,.T.); #198391=EDGE_CURVE('',#160198,#160199,#80491,.T.); #198392=EDGE_CURVE('',#160200,#160198,#80492,.T.); #198393=EDGE_CURVE('',#160201,#160199,#80493,.T.); #198394=EDGE_CURVE('',#160200,#160201,#80494,.T.); #198395=EDGE_CURVE('',#160202,#160200,#80495,.T.); #198396=EDGE_CURVE('',#160203,#160201,#80496,.T.); #198397=EDGE_CURVE('',#160202,#160203,#80497,.T.); #198398=EDGE_CURVE('',#160129,#160202,#80498,.T.); #198399=EDGE_CURVE('',#160130,#160203,#80499,.T.); #198400=EDGE_CURVE('',#160204,#160204,#140714,.T.); #198401=EDGE_CURVE('',#160204,#160205,#80500,.T.); #198402=EDGE_CURVE('',#160205,#160205,#140715,.T.); #198403=EDGE_CURVE('',#160206,#160206,#140716,.T.); #198404=EDGE_CURVE('',#160206,#160207,#80501,.T.); #198405=EDGE_CURVE('',#160207,#160207,#140717,.T.); #198406=EDGE_CURVE('',#160208,#160209,#80502,.T.); #198407=EDGE_CURVE('',#160209,#160210,#80503,.T.); #198408=EDGE_CURVE('',#160211,#160210,#80504,.T.); #198409=EDGE_CURVE('',#160208,#160211,#80505,.T.); #198410=EDGE_CURVE('',#160212,#160208,#140718,.T.); #198411=EDGE_CURVE('',#160213,#160211,#140719,.T.); #198412=EDGE_CURVE('',#160212,#160213,#80506,.T.); #198413=EDGE_CURVE('',#160214,#160212,#80507,.T.); #198414=EDGE_CURVE('',#160215,#160213,#80508,.T.); #198415=EDGE_CURVE('',#160214,#160215,#80509,.T.); #198416=EDGE_CURVE('',#160216,#160214,#140720,.T.); #198417=EDGE_CURVE('',#160217,#160215,#140721,.T.); #198418=EDGE_CURVE('',#160216,#160217,#80510,.T.); #198419=EDGE_CURVE('',#160218,#160216,#140722,.T.); #198420=EDGE_CURVE('',#160219,#160217,#140723,.T.); #198421=EDGE_CURVE('',#160218,#160219,#80511,.T.); #198422=EDGE_CURVE('',#160220,#160218,#80512,.T.); #198423=EDGE_CURVE('',#160221,#160219,#80513,.T.); #198424=EDGE_CURVE('',#160220,#160221,#80514,.T.); #198425=EDGE_CURVE('',#160209,#160220,#140724,.T.); #198426=EDGE_CURVE('',#160210,#160221,#140725,.T.); #198427=EDGE_CURVE('',#160222,#160222,#140726,.T.); #198428=EDGE_CURVE('',#160222,#160223,#80515,.T.); #198429=EDGE_CURVE('',#160223,#160223,#140727,.T.); #198430=EDGE_CURVE('',#160224,#160225,#80516,.T.); #198431=EDGE_CURVE('',#160225,#160226,#80517,.T.); #198432=EDGE_CURVE('',#160227,#160226,#80518,.T.); #198433=EDGE_CURVE('',#160224,#160227,#80519,.T.); #198434=EDGE_CURVE('',#160228,#160224,#80520,.T.); #198435=EDGE_CURVE('',#160229,#160227,#80521,.T.); #198436=EDGE_CURVE('',#160228,#160229,#80522,.T.); #198437=EDGE_CURVE('',#160230,#160228,#80523,.T.); #198438=EDGE_CURVE('',#160231,#160229,#80524,.T.); #198439=EDGE_CURVE('',#160230,#160231,#80525,.T.); #198440=EDGE_CURVE('',#160225,#160230,#80526,.T.); #198441=EDGE_CURVE('',#160226,#160231,#80527,.T.); #198442=EDGE_CURVE('',#160232,#160232,#140728,.T.); #198443=EDGE_CURVE('',#160232,#160233,#80528,.T.); #198444=EDGE_CURVE('',#160233,#160233,#140729,.T.); #198445=EDGE_CURVE('',#160234,#160234,#140730,.T.); #198446=EDGE_CURVE('',#160234,#160235,#80529,.T.); #198447=EDGE_CURVE('',#160235,#160235,#140731,.T.); #198448=EDGE_CURVE('',#160236,#160237,#80530,.T.); #198449=EDGE_CURVE('',#160237,#160238,#80531,.T.); #198450=EDGE_CURVE('',#160239,#160238,#80532,.T.); #198451=EDGE_CURVE('',#160236,#160239,#80533,.T.); #198452=EDGE_CURVE('',#160240,#160236,#140732,.T.); #198453=EDGE_CURVE('',#160241,#160239,#140733,.T.); #198454=EDGE_CURVE('',#160240,#160241,#80534,.T.); #198455=EDGE_CURVE('',#160242,#160240,#80535,.T.); #198456=EDGE_CURVE('',#160243,#160241,#80536,.T.); #198457=EDGE_CURVE('',#160242,#160243,#80537,.T.); #198458=EDGE_CURVE('',#160244,#160242,#140734,.T.); #198459=EDGE_CURVE('',#160245,#160243,#140735,.T.); #198460=EDGE_CURVE('',#160244,#160245,#80538,.T.); #198461=EDGE_CURVE('',#160246,#160244,#80539,.T.); #198462=EDGE_CURVE('',#160247,#160245,#80540,.T.); #198463=EDGE_CURVE('',#160246,#160247,#80541,.T.); #198464=EDGE_CURVE('',#160248,#160246,#140736,.T.); #198465=EDGE_CURVE('',#160249,#160247,#140737,.T.); #198466=EDGE_CURVE('',#160248,#160249,#80542,.T.); #198467=EDGE_CURVE('',#160250,#160248,#80543,.T.); #198468=EDGE_CURVE('',#160251,#160249,#80544,.T.); #198469=EDGE_CURVE('',#160250,#160251,#80545,.T.); #198470=EDGE_CURVE('',#160252,#160250,#140738,.T.); #198471=EDGE_CURVE('',#160253,#160251,#140739,.T.); #198472=EDGE_CURVE('',#160252,#160253,#80546,.T.); #198473=EDGE_CURVE('',#160254,#160252,#80547,.T.); #198474=EDGE_CURVE('',#160255,#160253,#80548,.T.); #198475=EDGE_CURVE('',#160254,#160255,#80549,.T.); #198476=EDGE_CURVE('',#160256,#160254,#140740,.T.); #198477=EDGE_CURVE('',#160257,#160255,#140741,.T.); #198478=EDGE_CURVE('',#160256,#160257,#80550,.T.); #198479=EDGE_CURVE('',#160258,#160256,#80551,.T.); #198480=EDGE_CURVE('',#160259,#160257,#80552,.T.); #198481=EDGE_CURVE('',#160258,#160259,#80553,.T.); #198482=EDGE_CURVE('',#160260,#160258,#140742,.T.); #198483=EDGE_CURVE('',#160261,#160259,#140743,.T.); #198484=EDGE_CURVE('',#160260,#160261,#80554,.T.); #198485=EDGE_CURVE('',#160262,#160260,#80555,.T.); #198486=EDGE_CURVE('',#160263,#160261,#80556,.T.); #198487=EDGE_CURVE('',#160262,#160263,#80557,.T.); #198488=EDGE_CURVE('',#160264,#160262,#140744,.T.); #198489=EDGE_CURVE('',#160265,#160263,#140745,.T.); #198490=EDGE_CURVE('',#160264,#160265,#80558,.T.); #198491=EDGE_CURVE('',#160266,#160264,#140746,.T.); #198492=EDGE_CURVE('',#160267,#160265,#140747,.T.); #198493=EDGE_CURVE('',#160266,#160267,#80559,.T.); #198494=EDGE_CURVE('',#160268,#160266,#80560,.T.); #198495=EDGE_CURVE('',#160269,#160267,#80561,.T.); #198496=EDGE_CURVE('',#160268,#160269,#80562,.T.); #198497=EDGE_CURVE('',#160270,#160268,#80563,.T.); #198498=EDGE_CURVE('',#160271,#160269,#80564,.T.); #198499=EDGE_CURVE('',#160270,#160271,#80565,.T.); #198500=EDGE_CURVE('',#160272,#160270,#80566,.T.); #198501=EDGE_CURVE('',#160273,#160271,#80567,.T.); #198502=EDGE_CURVE('',#160272,#160273,#80568,.T.); #198503=EDGE_CURVE('',#160274,#160272,#80569,.T.); #198504=EDGE_CURVE('',#160275,#160273,#80570,.T.); #198505=EDGE_CURVE('',#160274,#160275,#80571,.T.); #198506=EDGE_CURVE('',#160276,#160274,#80572,.T.); #198507=EDGE_CURVE('',#160277,#160275,#80573,.T.); #198508=EDGE_CURVE('',#160276,#160277,#80574,.T.); #198509=EDGE_CURVE('',#160278,#160276,#80575,.T.); #198510=EDGE_CURVE('',#160279,#160277,#80576,.T.); #198511=EDGE_CURVE('',#160278,#160279,#80577,.T.); #198512=EDGE_CURVE('',#160280,#160278,#140748,.T.); #198513=EDGE_CURVE('',#160281,#160279,#140749,.T.); #198514=EDGE_CURVE('',#160280,#160281,#80578,.T.); #198515=EDGE_CURVE('',#160237,#160280,#140750,.T.); #198516=EDGE_CURVE('',#160238,#160281,#140751,.T.); #198517=EDGE_CURVE('',#160282,#160282,#140752,.T.); #198518=EDGE_CURVE('',#160282,#160283,#80579,.T.); #198519=EDGE_CURVE('',#160283,#160283,#140753,.T.); #198520=EDGE_CURVE('',#160284,#160284,#140754,.T.); #198521=EDGE_CURVE('',#160284,#160285,#80580,.T.); #198522=EDGE_CURVE('',#160285,#160285,#140755,.T.); #198523=EDGE_CURVE('',#160286,#160287,#80581,.T.); #198524=EDGE_CURVE('',#160287,#160288,#80582,.T.); #198525=EDGE_CURVE('',#160289,#160288,#80583,.T.); #198526=EDGE_CURVE('',#160286,#160289,#80584,.T.); #198527=EDGE_CURVE('',#160290,#160286,#140756,.T.); #198528=EDGE_CURVE('',#160291,#160289,#140757,.T.); #198529=EDGE_CURVE('',#160290,#160291,#80585,.T.); #198530=EDGE_CURVE('',#160292,#160290,#80586,.T.); #198531=EDGE_CURVE('',#160293,#160291,#80587,.T.); #198532=EDGE_CURVE('',#160292,#160293,#80588,.T.); #198533=EDGE_CURVE('',#160294,#160292,#140758,.T.); #198534=EDGE_CURVE('',#160295,#160293,#140759,.T.); #198535=EDGE_CURVE('',#160294,#160295,#80589,.T.); #198536=EDGE_CURVE('',#160296,#160294,#140760,.T.); #198537=EDGE_CURVE('',#160297,#160295,#140761,.T.); #198538=EDGE_CURVE('',#160296,#160297,#80590,.T.); #198539=EDGE_CURVE('',#160298,#160296,#80591,.T.); #198540=EDGE_CURVE('',#160299,#160297,#80592,.T.); #198541=EDGE_CURVE('',#160298,#160299,#80593,.T.); #198542=EDGE_CURVE('',#160300,#160298,#80594,.T.); #198543=EDGE_CURVE('',#160301,#160299,#80595,.T.); #198544=EDGE_CURVE('',#160300,#160301,#80596,.T.); #198545=EDGE_CURVE('',#160287,#160300,#140762,.T.); #198546=EDGE_CURVE('',#160288,#160301,#140763,.T.); #198547=EDGE_CURVE('',#160302,#160302,#140764,.T.); #198548=EDGE_CURVE('',#160302,#160303,#80597,.T.); #198549=EDGE_CURVE('',#160303,#160303,#140765,.T.); #198550=EDGE_CURVE('',#160304,#160305,#80598,.T.); #198551=EDGE_CURVE('',#160305,#160306,#80599,.T.); #198552=EDGE_CURVE('',#160307,#160306,#80600,.T.); #198553=EDGE_CURVE('',#160304,#160307,#80601,.T.); #198554=EDGE_CURVE('',#160308,#160304,#80602,.T.); #198555=EDGE_CURVE('',#160309,#160307,#80603,.T.); #198556=EDGE_CURVE('',#160308,#160309,#80604,.T.); #198557=EDGE_CURVE('',#160310,#160308,#80605,.T.); #198558=EDGE_CURVE('',#160311,#160309,#80606,.T.); #198559=EDGE_CURVE('',#160310,#160311,#80607,.T.); #198560=EDGE_CURVE('',#160305,#160310,#80608,.T.); #198561=EDGE_CURVE('',#160306,#160311,#80609,.T.); #198562=EDGE_CURVE('',#160312,#160312,#140766,.T.); #198563=EDGE_CURVE('',#160312,#160313,#80610,.T.); #198564=EDGE_CURVE('',#160313,#160313,#140767,.T.); #198565=EDGE_CURVE('',#160314,#160315,#80611,.T.); #198566=EDGE_CURVE('',#160315,#160316,#80612,.T.); #198567=EDGE_CURVE('',#160317,#160316,#80613,.T.); #198568=EDGE_CURVE('',#160314,#160317,#80614,.T.); #198569=EDGE_CURVE('',#160318,#160314,#140768,.T.); #198570=EDGE_CURVE('',#160319,#160317,#140769,.T.); #198571=EDGE_CURVE('',#160318,#160319,#80615,.T.); #198572=EDGE_CURVE('',#160315,#160318,#140770,.T.); #198573=EDGE_CURVE('',#160316,#160319,#140771,.T.); #198574=EDGE_CURVE('',#160320,#160320,#140772,.T.); #198575=EDGE_CURVE('',#160320,#160321,#80616,.T.); #198576=EDGE_CURVE('',#160321,#160321,#140773,.T.); #198577=EDGE_CURVE('',#160322,#160322,#140774,.T.); #198578=EDGE_CURVE('',#160322,#160323,#80617,.T.); #198579=EDGE_CURVE('',#160323,#160323,#140775,.T.); #198580=EDGE_CURVE('',#160324,#160324,#140776,.T.); #198581=EDGE_CURVE('',#160324,#160325,#80618,.T.); #198582=EDGE_CURVE('',#160325,#160325,#140777,.T.); #198583=EDGE_CURVE('',#160326,#160326,#140778,.T.); #198584=EDGE_CURVE('',#160326,#160327,#80619,.T.); #198585=EDGE_CURVE('',#160327,#160327,#140779,.T.); #198586=EDGE_CURVE('',#160328,#160328,#140780,.T.); #198587=EDGE_CURVE('',#160328,#160329,#80620,.T.); #198588=EDGE_CURVE('',#160329,#160329,#140781,.T.); #198589=EDGE_CURVE('',#160330,#160330,#140782,.T.); #198590=EDGE_CURVE('',#160330,#160331,#80621,.T.); #198591=EDGE_CURVE('',#160331,#160331,#140783,.T.); #198592=EDGE_CURVE('',#160332,#160332,#140784,.T.); #198593=EDGE_CURVE('',#160332,#160333,#80622,.T.); #198594=EDGE_CURVE('',#160333,#160333,#140785,.T.); #198595=EDGE_CURVE('',#160334,#160334,#140786,.T.); #198596=EDGE_CURVE('',#160334,#160335,#80623,.T.); #198597=EDGE_CURVE('',#160335,#160335,#140787,.T.); #198598=EDGE_CURVE('',#160336,#160336,#140788,.T.); #198599=EDGE_CURVE('',#160336,#160337,#80624,.T.); #198600=EDGE_CURVE('',#160337,#160337,#140789,.T.); #198601=EDGE_CURVE('',#160338,#160338,#140790,.T.); #198602=EDGE_CURVE('',#160338,#160339,#80625,.T.); #198603=EDGE_CURVE('',#160339,#160339,#140791,.T.); #198604=EDGE_CURVE('',#160340,#160340,#140792,.T.); #198605=EDGE_CURVE('',#160340,#160341,#80626,.T.); #198606=EDGE_CURVE('',#160341,#160341,#140793,.T.); #198607=EDGE_CURVE('',#160342,#160342,#140794,.T.); #198608=EDGE_CURVE('',#160342,#160343,#80627,.T.); #198609=EDGE_CURVE('',#160343,#160343,#140795,.T.); #198610=EDGE_CURVE('',#160344,#160344,#140796,.T.); #198611=EDGE_CURVE('',#160344,#160345,#80628,.T.); #198612=EDGE_CURVE('',#160345,#160345,#140797,.T.); #198613=EDGE_CURVE('',#160346,#160347,#80629,.T.); #198614=EDGE_CURVE('',#160347,#160348,#80630,.T.); #198615=EDGE_CURVE('',#160349,#160348,#80631,.T.); #198616=EDGE_CURVE('',#160346,#160349,#80632,.T.); #198617=EDGE_CURVE('',#160350,#160346,#80633,.T.); #198618=EDGE_CURVE('',#160351,#160349,#80634,.T.); #198619=EDGE_CURVE('',#160350,#160351,#80635,.T.); #198620=EDGE_CURVE('',#160352,#160350,#80636,.T.); #198621=EDGE_CURVE('',#160353,#160351,#80637,.T.); #198622=EDGE_CURVE('',#160352,#160353,#80638,.T.); #198623=EDGE_CURVE('',#160354,#160352,#80639,.T.); #198624=EDGE_CURVE('',#160355,#160353,#80640,.T.); #198625=EDGE_CURVE('',#160354,#160355,#80641,.T.); #198626=EDGE_CURVE('',#160356,#160354,#80642,.T.); #198627=EDGE_CURVE('',#160357,#160355,#80643,.T.); #198628=EDGE_CURVE('',#160356,#160357,#80644,.T.); #198629=EDGE_CURVE('',#160358,#160356,#80645,.T.); #198630=EDGE_CURVE('',#160359,#160357,#80646,.T.); #198631=EDGE_CURVE('',#160358,#160359,#80647,.T.); #198632=EDGE_CURVE('',#160360,#160358,#80648,.T.); #198633=EDGE_CURVE('',#160361,#160359,#80649,.T.); #198634=EDGE_CURVE('',#160360,#160361,#80650,.T.); #198635=EDGE_CURVE('',#160362,#160360,#80651,.T.); #198636=EDGE_CURVE('',#160363,#160361,#80652,.T.); #198637=EDGE_CURVE('',#160362,#160363,#80653,.T.); #198638=EDGE_CURVE('',#160364,#160362,#80654,.T.); #198639=EDGE_CURVE('',#160365,#160363,#80655,.T.); #198640=EDGE_CURVE('',#160364,#160365,#80656,.T.); #198641=EDGE_CURVE('',#160366,#160364,#80657,.T.); #198642=EDGE_CURVE('',#160367,#160365,#80658,.T.); #198643=EDGE_CURVE('',#160366,#160367,#80659,.T.); #198644=EDGE_CURVE('',#160368,#160366,#80660,.T.); #198645=EDGE_CURVE('',#160369,#160367,#80661,.T.); #198646=EDGE_CURVE('',#160368,#160369,#80662,.T.); #198647=EDGE_CURVE('',#160370,#160368,#80663,.T.); #198648=EDGE_CURVE('',#160371,#160369,#80664,.T.); #198649=EDGE_CURVE('',#160370,#160371,#80665,.T.); #198650=EDGE_CURVE('',#160372,#160370,#80666,.T.); #198651=EDGE_CURVE('',#160373,#160371,#80667,.T.); #198652=EDGE_CURVE('',#160372,#160373,#80668,.T.); #198653=EDGE_CURVE('',#160374,#160372,#80669,.T.); #198654=EDGE_CURVE('',#160375,#160373,#80670,.T.); #198655=EDGE_CURVE('',#160374,#160375,#80671,.T.); #198656=EDGE_CURVE('',#160376,#160374,#80672,.T.); #198657=EDGE_CURVE('',#160377,#160375,#80673,.T.); #198658=EDGE_CURVE('',#160376,#160377,#80674,.T.); #198659=EDGE_CURVE('',#160347,#160376,#80675,.T.); #198660=EDGE_CURVE('',#160348,#160377,#80676,.T.); #198661=EDGE_CURVE('',#160378,#160379,#80677,.T.); #198662=EDGE_CURVE('',#160379,#160380,#80678,.T.); #198663=EDGE_CURVE('',#160381,#160380,#80679,.T.); #198664=EDGE_CURVE('',#160378,#160381,#80680,.T.); #198665=EDGE_CURVE('',#160382,#160378,#80681,.T.); #198666=EDGE_CURVE('',#160383,#160381,#80682,.T.); #198667=EDGE_CURVE('',#160382,#160383,#80683,.T.); #198668=EDGE_CURVE('',#160384,#160382,#140798,.T.); #198669=EDGE_CURVE('',#160385,#160383,#140799,.T.); #198670=EDGE_CURVE('',#160384,#160385,#80684,.T.); #198671=EDGE_CURVE('',#160386,#160384,#80685,.T.); #198672=EDGE_CURVE('',#160387,#160385,#80686,.T.); #198673=EDGE_CURVE('',#160386,#160387,#80687,.T.); #198674=EDGE_CURVE('',#160388,#160386,#80688,.T.); #198675=EDGE_CURVE('',#160389,#160387,#80689,.T.); #198676=EDGE_CURVE('',#160388,#160389,#80690,.T.); #198677=EDGE_CURVE('',#160390,#160388,#80691,.T.); #198678=EDGE_CURVE('',#160391,#160389,#80692,.T.); #198679=EDGE_CURVE('',#160390,#160391,#80693,.T.); #198680=EDGE_CURVE('',#160392,#160390,#80694,.T.); #198681=EDGE_CURVE('',#160393,#160391,#80695,.T.); #198682=EDGE_CURVE('',#160392,#160393,#80696,.T.); #198683=EDGE_CURVE('',#160394,#160392,#80697,.T.); #198684=EDGE_CURVE('',#160395,#160393,#80698,.T.); #198685=EDGE_CURVE('',#160394,#160395,#80699,.T.); #198686=EDGE_CURVE('',#160396,#160394,#80700,.T.); #198687=EDGE_CURVE('',#160397,#160395,#80701,.T.); #198688=EDGE_CURVE('',#160396,#160397,#80702,.T.); #198689=EDGE_CURVE('',#160398,#160396,#80703,.T.); #198690=EDGE_CURVE('',#160399,#160397,#80704,.T.); #198691=EDGE_CURVE('',#160398,#160399,#80705,.T.); #198692=EDGE_CURVE('',#160400,#160398,#80706,.T.); #198693=EDGE_CURVE('',#160401,#160399,#80707,.T.); #198694=EDGE_CURVE('',#160400,#160401,#80708,.T.); #198695=EDGE_CURVE('',#160402,#160400,#80709,.T.); #198696=EDGE_CURVE('',#160403,#160401,#80710,.T.); #198697=EDGE_CURVE('',#160402,#160403,#80711,.T.); #198698=EDGE_CURVE('',#160404,#160402,#80712,.T.); #198699=EDGE_CURVE('',#160405,#160403,#80713,.T.); #198700=EDGE_CURVE('',#160404,#160405,#80714,.T.); #198701=EDGE_CURVE('',#160406,#160404,#80715,.T.); #198702=EDGE_CURVE('',#160407,#160405,#80716,.T.); #198703=EDGE_CURVE('',#160406,#160407,#80717,.T.); #198704=EDGE_CURVE('',#160408,#160406,#80718,.T.); #198705=EDGE_CURVE('',#160409,#160407,#80719,.T.); #198706=EDGE_CURVE('',#160408,#160409,#80720,.T.); #198707=EDGE_CURVE('',#160410,#160408,#80721,.T.); #198708=EDGE_CURVE('',#160411,#160409,#80722,.T.); #198709=EDGE_CURVE('',#160410,#160411,#80723,.T.); #198710=EDGE_CURVE('',#160412,#160410,#80724,.T.); #198711=EDGE_CURVE('',#160413,#160411,#80725,.T.); #198712=EDGE_CURVE('',#160412,#160413,#80726,.T.); #198713=EDGE_CURVE('',#160414,#160412,#80727,.T.); #198714=EDGE_CURVE('',#160415,#160413,#80728,.T.); #198715=EDGE_CURVE('',#160414,#160415,#80729,.T.); #198716=EDGE_CURVE('',#160416,#160414,#80730,.T.); #198717=EDGE_CURVE('',#160417,#160415,#80731,.T.); #198718=EDGE_CURVE('',#160416,#160417,#80732,.T.); #198719=EDGE_CURVE('',#160418,#160416,#80733,.T.); #198720=EDGE_CURVE('',#160419,#160417,#80734,.T.); #198721=EDGE_CURVE('',#160418,#160419,#80735,.T.); #198722=EDGE_CURVE('',#160420,#160418,#80736,.T.); #198723=EDGE_CURVE('',#160421,#160419,#80737,.T.); #198724=EDGE_CURVE('',#160420,#160421,#80738,.T.); #198725=EDGE_CURVE('',#160422,#160420,#80739,.T.); #198726=EDGE_CURVE('',#160423,#160421,#80740,.T.); #198727=EDGE_CURVE('',#160422,#160423,#80741,.T.); #198728=EDGE_CURVE('',#160424,#160422,#80742,.T.); #198729=EDGE_CURVE('',#160425,#160423,#80743,.T.); #198730=EDGE_CURVE('',#160424,#160425,#80744,.T.); #198731=EDGE_CURVE('',#160426,#160424,#140800,.T.); #198732=EDGE_CURVE('',#160427,#160425,#140801,.T.); #198733=EDGE_CURVE('',#160426,#160427,#80745,.T.); #198734=EDGE_CURVE('',#160428,#160426,#80746,.T.); #198735=EDGE_CURVE('',#160429,#160427,#80747,.T.); #198736=EDGE_CURVE('',#160428,#160429,#80748,.T.); #198737=EDGE_CURVE('',#160430,#160428,#80749,.T.); #198738=EDGE_CURVE('',#160431,#160429,#80750,.T.); #198739=EDGE_CURVE('',#160430,#160431,#80751,.T.); #198740=EDGE_CURVE('',#160432,#160430,#80752,.T.); #198741=EDGE_CURVE('',#160433,#160431,#80753,.T.); #198742=EDGE_CURVE('',#160432,#160433,#80754,.T.); #198743=EDGE_CURVE('',#160434,#160432,#80755,.T.); #198744=EDGE_CURVE('',#160435,#160433,#80756,.T.); #198745=EDGE_CURVE('',#160434,#160435,#80757,.T.); #198746=EDGE_CURVE('',#160436,#160434,#80758,.T.); #198747=EDGE_CURVE('',#160437,#160435,#80759,.T.); #198748=EDGE_CURVE('',#160436,#160437,#80760,.T.); #198749=EDGE_CURVE('',#160438,#160436,#80761,.T.); #198750=EDGE_CURVE('',#160439,#160437,#80762,.T.); #198751=EDGE_CURVE('',#160438,#160439,#80763,.T.); #198752=EDGE_CURVE('',#160440,#160438,#80764,.T.); #198753=EDGE_CURVE('',#160441,#160439,#80765,.T.); #198754=EDGE_CURVE('',#160440,#160441,#80766,.T.); #198755=EDGE_CURVE('',#160442,#160440,#80767,.T.); #198756=EDGE_CURVE('',#160443,#160441,#80768,.T.); #198757=EDGE_CURVE('',#160442,#160443,#80769,.T.); #198758=EDGE_CURVE('',#160444,#160442,#80770,.T.); #198759=EDGE_CURVE('',#160445,#160443,#80771,.T.); #198760=EDGE_CURVE('',#160444,#160445,#80772,.T.); #198761=EDGE_CURVE('',#160446,#160444,#80773,.T.); #198762=EDGE_CURVE('',#160447,#160445,#80774,.T.); #198763=EDGE_CURVE('',#160446,#160447,#80775,.T.); #198764=EDGE_CURVE('',#160448,#160446,#80776,.T.); #198765=EDGE_CURVE('',#160449,#160447,#80777,.T.); #198766=EDGE_CURVE('',#160448,#160449,#80778,.T.); #198767=EDGE_CURVE('',#160450,#160448,#80779,.T.); #198768=EDGE_CURVE('',#160451,#160449,#80780,.T.); #198769=EDGE_CURVE('',#160450,#160451,#80781,.T.); #198770=EDGE_CURVE('',#160452,#160450,#80782,.T.); #198771=EDGE_CURVE('',#160453,#160451,#80783,.T.); #198772=EDGE_CURVE('',#160452,#160453,#80784,.T.); #198773=EDGE_CURVE('',#160454,#160452,#80785,.T.); #198774=EDGE_CURVE('',#160455,#160453,#80786,.T.); #198775=EDGE_CURVE('',#160454,#160455,#80787,.T.); #198776=EDGE_CURVE('',#160456,#160454,#80788,.T.); #198777=EDGE_CURVE('',#160457,#160455,#80789,.T.); #198778=EDGE_CURVE('',#160456,#160457,#80790,.T.); #198779=EDGE_CURVE('',#160458,#160456,#80791,.T.); #198780=EDGE_CURVE('',#160459,#160457,#80792,.T.); #198781=EDGE_CURVE('',#160458,#160459,#80793,.T.); #198782=EDGE_CURVE('',#160460,#160458,#80794,.T.); #198783=EDGE_CURVE('',#160461,#160459,#80795,.T.); #198784=EDGE_CURVE('',#160460,#160461,#80796,.T.); #198785=EDGE_CURVE('',#160462,#160460,#80797,.T.); #198786=EDGE_CURVE('',#160463,#160461,#80798,.T.); #198787=EDGE_CURVE('',#160462,#160463,#80799,.T.); #198788=EDGE_CURVE('',#160464,#160462,#80800,.T.); #198789=EDGE_CURVE('',#160465,#160463,#80801,.T.); #198790=EDGE_CURVE('',#160464,#160465,#80802,.T.); #198791=EDGE_CURVE('',#160466,#160464,#80803,.T.); #198792=EDGE_CURVE('',#160467,#160465,#80804,.T.); #198793=EDGE_CURVE('',#160466,#160467,#80805,.T.); #198794=EDGE_CURVE('',#160468,#160466,#80806,.T.); #198795=EDGE_CURVE('',#160469,#160467,#80807,.T.); #198796=EDGE_CURVE('',#160468,#160469,#80808,.T.); #198797=EDGE_CURVE('',#160470,#160468,#80809,.T.); #198798=EDGE_CURVE('',#160471,#160469,#80810,.T.); #198799=EDGE_CURVE('',#160470,#160471,#80811,.T.); #198800=EDGE_CURVE('',#160472,#160470,#80812,.T.); #198801=EDGE_CURVE('',#160473,#160471,#80813,.T.); #198802=EDGE_CURVE('',#160472,#160473,#80814,.T.); #198803=EDGE_CURVE('',#160474,#160472,#80815,.T.); #198804=EDGE_CURVE('',#160475,#160473,#80816,.T.); #198805=EDGE_CURVE('',#160474,#160475,#80817,.T.); #198806=EDGE_CURVE('',#160476,#160474,#80818,.T.); #198807=EDGE_CURVE('',#160477,#160475,#80819,.T.); #198808=EDGE_CURVE('',#160476,#160477,#80820,.T.); #198809=EDGE_CURVE('',#160478,#160476,#80821,.T.); #198810=EDGE_CURVE('',#160479,#160477,#80822,.T.); #198811=EDGE_CURVE('',#160478,#160479,#80823,.T.); #198812=EDGE_CURVE('',#160480,#160478,#80824,.T.); #198813=EDGE_CURVE('',#160481,#160479,#80825,.T.); #198814=EDGE_CURVE('',#160480,#160481,#80826,.T.); #198815=EDGE_CURVE('',#160482,#160480,#80827,.T.); #198816=EDGE_CURVE('',#160483,#160481,#80828,.T.); #198817=EDGE_CURVE('',#160482,#160483,#80829,.T.); #198818=EDGE_CURVE('',#160484,#160482,#80830,.T.); #198819=EDGE_CURVE('',#160485,#160483,#80831,.T.); #198820=EDGE_CURVE('',#160484,#160485,#80832,.T.); #198821=EDGE_CURVE('',#160486,#160484,#80833,.T.); #198822=EDGE_CURVE('',#160487,#160485,#80834,.T.); #198823=EDGE_CURVE('',#160486,#160487,#80835,.T.); #198824=EDGE_CURVE('',#160488,#160486,#80836,.T.); #198825=EDGE_CURVE('',#160489,#160487,#80837,.T.); #198826=EDGE_CURVE('',#160488,#160489,#80838,.T.); #198827=EDGE_CURVE('',#160490,#160488,#80839,.T.); #198828=EDGE_CURVE('',#160491,#160489,#80840,.T.); #198829=EDGE_CURVE('',#160490,#160491,#80841,.T.); #198830=EDGE_CURVE('',#160492,#160490,#80842,.T.); #198831=EDGE_CURVE('',#160493,#160491,#80843,.T.); #198832=EDGE_CURVE('',#160492,#160493,#80844,.T.); #198833=EDGE_CURVE('',#160494,#160492,#80845,.T.); #198834=EDGE_CURVE('',#160495,#160493,#80846,.T.); #198835=EDGE_CURVE('',#160494,#160495,#80847,.T.); #198836=EDGE_CURVE('',#160496,#160494,#80848,.T.); #198837=EDGE_CURVE('',#160497,#160495,#80849,.T.); #198838=EDGE_CURVE('',#160496,#160497,#80850,.T.); #198839=EDGE_CURVE('',#160498,#160496,#80851,.T.); #198840=EDGE_CURVE('',#160499,#160497,#80852,.T.); #198841=EDGE_CURVE('',#160498,#160499,#80853,.T.); #198842=EDGE_CURVE('',#160500,#160498,#80854,.T.); #198843=EDGE_CURVE('',#160501,#160499,#80855,.T.); #198844=EDGE_CURVE('',#160500,#160501,#80856,.T.); #198845=EDGE_CURVE('',#160502,#160500,#80857,.T.); #198846=EDGE_CURVE('',#160503,#160501,#80858,.T.); #198847=EDGE_CURVE('',#160502,#160503,#80859,.T.); #198848=EDGE_CURVE('',#160504,#160502,#80860,.T.); #198849=EDGE_CURVE('',#160505,#160503,#80861,.T.); #198850=EDGE_CURVE('',#160504,#160505,#80862,.T.); #198851=EDGE_CURVE('',#160506,#160504,#80863,.T.); #198852=EDGE_CURVE('',#160507,#160505,#80864,.T.); #198853=EDGE_CURVE('',#160506,#160507,#80865,.T.); #198854=EDGE_CURVE('',#160508,#160506,#80866,.T.); #198855=EDGE_CURVE('',#160509,#160507,#80867,.T.); #198856=EDGE_CURVE('',#160508,#160509,#80868,.T.); #198857=EDGE_CURVE('',#160510,#160508,#80869,.T.); #198858=EDGE_CURVE('',#160511,#160509,#80870,.T.); #198859=EDGE_CURVE('',#160510,#160511,#80871,.T.); #198860=EDGE_CURVE('',#160512,#160510,#80872,.T.); #198861=EDGE_CURVE('',#160513,#160511,#80873,.T.); #198862=EDGE_CURVE('',#160512,#160513,#80874,.T.); #198863=EDGE_CURVE('',#160514,#160512,#80875,.T.); #198864=EDGE_CURVE('',#160515,#160513,#80876,.T.); #198865=EDGE_CURVE('',#160514,#160515,#80877,.T.); #198866=EDGE_CURVE('',#160516,#160514,#80878,.T.); #198867=EDGE_CURVE('',#160517,#160515,#80879,.T.); #198868=EDGE_CURVE('',#160516,#160517,#80880,.T.); #198869=EDGE_CURVE('',#160518,#160516,#80881,.T.); #198870=EDGE_CURVE('',#160519,#160517,#80882,.T.); #198871=EDGE_CURVE('',#160518,#160519,#80883,.T.); #198872=EDGE_CURVE('',#160520,#160518,#80884,.T.); #198873=EDGE_CURVE('',#160521,#160519,#80885,.T.); #198874=EDGE_CURVE('',#160520,#160521,#80886,.T.); #198875=EDGE_CURVE('',#160522,#160520,#80887,.T.); #198876=EDGE_CURVE('',#160523,#160521,#80888,.T.); #198877=EDGE_CURVE('',#160522,#160523,#80889,.T.); #198878=EDGE_CURVE('',#160524,#160522,#140802,.T.); #198879=EDGE_CURVE('',#160525,#160523,#140803,.T.); #198880=EDGE_CURVE('',#160524,#160525,#80890,.T.); #198881=EDGE_CURVE('',#160526,#160524,#80891,.T.); #198882=EDGE_CURVE('',#160527,#160525,#80892,.T.); #198883=EDGE_CURVE('',#160526,#160527,#80893,.T.); #198884=EDGE_CURVE('',#160528,#160526,#140804,.T.); #198885=EDGE_CURVE('',#160529,#160527,#140805,.T.); #198886=EDGE_CURVE('',#160528,#160529,#80894,.T.); #198887=EDGE_CURVE('',#160530,#160528,#80895,.T.); #198888=EDGE_CURVE('',#160531,#160529,#80896,.T.); #198889=EDGE_CURVE('',#160530,#160531,#80897,.T.); #198890=EDGE_CURVE('',#160532,#160530,#80898,.T.); #198891=EDGE_CURVE('',#160533,#160531,#80899,.T.); #198892=EDGE_CURVE('',#160532,#160533,#80900,.T.); #198893=EDGE_CURVE('',#160534,#160532,#80901,.T.); #198894=EDGE_CURVE('',#160535,#160533,#80902,.T.); #198895=EDGE_CURVE('',#160534,#160535,#80903,.T.); #198896=EDGE_CURVE('',#160536,#160534,#80904,.T.); #198897=EDGE_CURVE('',#160537,#160535,#80905,.T.); #198898=EDGE_CURVE('',#160536,#160537,#80906,.T.); #198899=EDGE_CURVE('',#160538,#160536,#80907,.T.); #198900=EDGE_CURVE('',#160539,#160537,#80908,.T.); #198901=EDGE_CURVE('',#160538,#160539,#80909,.T.); #198902=EDGE_CURVE('',#160379,#160538,#140806,.T.); #198903=EDGE_CURVE('',#160380,#160539,#140807,.T.); #198904=EDGE_CURVE('',#160540,#160540,#140808,.T.); #198905=EDGE_CURVE('',#160540,#160541,#80910,.T.); #198906=EDGE_CURVE('',#160541,#160541,#140809,.T.); #198907=EDGE_CURVE('',#160542,#160542,#140810,.T.); #198908=EDGE_CURVE('',#160542,#160543,#80911,.T.); #198909=EDGE_CURVE('',#160543,#160543,#140811,.T.); #198910=EDGE_CURVE('',#160544,#160544,#140812,.T.); #198911=EDGE_CURVE('',#160544,#160545,#80912,.T.); #198912=EDGE_CURVE('',#160545,#160545,#140813,.T.); #198913=EDGE_CURVE('',#160546,#160546,#140814,.T.); #198914=EDGE_CURVE('',#160546,#160547,#80913,.T.); #198915=EDGE_CURVE('',#160547,#160547,#140815,.T.); #198916=EDGE_CURVE('',#160548,#160548,#140816,.T.); #198917=EDGE_CURVE('',#160548,#160549,#80914,.T.); #198918=EDGE_CURVE('',#160549,#160549,#140817,.T.); #198919=EDGE_CURVE('',#160550,#160550,#140818,.T.); #198920=EDGE_CURVE('',#160550,#160551,#80915,.T.); #198921=EDGE_CURVE('',#160551,#160551,#140819,.T.); #198922=EDGE_CURVE('',#160552,#160552,#140820,.T.); #198923=EDGE_CURVE('',#160552,#160553,#80916,.T.); #198924=EDGE_CURVE('',#160553,#160553,#140821,.T.); #198925=EDGE_CURVE('',#160554,#160554,#140822,.T.); #198926=EDGE_CURVE('',#160554,#160555,#80917,.T.); #198927=EDGE_CURVE('',#160555,#160555,#140823,.T.); #198928=EDGE_CURVE('',#160556,#160556,#140824,.T.); #198929=EDGE_CURVE('',#160556,#160557,#80918,.T.); #198930=EDGE_CURVE('',#160557,#160557,#140825,.T.); #198931=EDGE_CURVE('',#160558,#160558,#140826,.T.); #198932=EDGE_CURVE('',#160558,#160559,#80919,.T.); #198933=EDGE_CURVE('',#160559,#160559,#140827,.T.); #198934=EDGE_CURVE('',#160560,#160560,#140828,.T.); #198935=EDGE_CURVE('',#160560,#160561,#80920,.T.); #198936=EDGE_CURVE('',#160561,#160561,#140829,.T.); #198937=EDGE_CURVE('',#160562,#160562,#140830,.T.); #198938=EDGE_CURVE('',#160562,#160563,#80921,.T.); #198939=EDGE_CURVE('',#160563,#160563,#140831,.T.); #198940=EDGE_CURVE('',#160564,#160565,#80922,.T.); #198941=EDGE_CURVE('',#160565,#160566,#80923,.T.); #198942=EDGE_CURVE('',#160567,#160566,#80924,.T.); #198943=EDGE_CURVE('',#160564,#160567,#80925,.T.); #198944=EDGE_CURVE('',#160568,#160564,#80926,.T.); #198945=EDGE_CURVE('',#160569,#160567,#80927,.T.); #198946=EDGE_CURVE('',#160568,#160569,#80928,.T.); #198947=EDGE_CURVE('',#160570,#160568,#80929,.T.); #198948=EDGE_CURVE('',#160571,#160569,#80930,.T.); #198949=EDGE_CURVE('',#160570,#160571,#80931,.T.); #198950=EDGE_CURVE('',#160572,#160570,#80932,.T.); #198951=EDGE_CURVE('',#160573,#160571,#80933,.T.); #198952=EDGE_CURVE('',#160572,#160573,#80934,.T.); #198953=EDGE_CURVE('',#160574,#160572,#80935,.T.); #198954=EDGE_CURVE('',#160575,#160573,#80936,.T.); #198955=EDGE_CURVE('',#160574,#160575,#80937,.T.); #198956=EDGE_CURVE('',#160576,#160574,#80938,.T.); #198957=EDGE_CURVE('',#160577,#160575,#80939,.T.); #198958=EDGE_CURVE('',#160576,#160577,#80940,.T.); #198959=EDGE_CURVE('',#160578,#160576,#80941,.T.); #198960=EDGE_CURVE('',#160579,#160577,#80942,.T.); #198961=EDGE_CURVE('',#160578,#160579,#80943,.T.); #198962=EDGE_CURVE('',#160580,#160578,#80944,.T.); #198963=EDGE_CURVE('',#160581,#160579,#80945,.T.); #198964=EDGE_CURVE('',#160580,#160581,#80946,.T.); #198965=EDGE_CURVE('',#160582,#160580,#80947,.T.); #198966=EDGE_CURVE('',#160583,#160581,#80948,.T.); #198967=EDGE_CURVE('',#160582,#160583,#80949,.T.); #198968=EDGE_CURVE('',#160584,#160582,#80950,.T.); #198969=EDGE_CURVE('',#160585,#160583,#80951,.T.); #198970=EDGE_CURVE('',#160584,#160585,#80952,.T.); #198971=EDGE_CURVE('',#160586,#160584,#80953,.T.); #198972=EDGE_CURVE('',#160587,#160585,#80954,.T.); #198973=EDGE_CURVE('',#160586,#160587,#80955,.T.); #198974=EDGE_CURVE('',#160588,#160586,#80956,.T.); #198975=EDGE_CURVE('',#160589,#160587,#80957,.T.); #198976=EDGE_CURVE('',#160588,#160589,#80958,.T.); #198977=EDGE_CURVE('',#160590,#160588,#80959,.T.); #198978=EDGE_CURVE('',#160591,#160589,#80960,.T.); #198979=EDGE_CURVE('',#160590,#160591,#80961,.T.); #198980=EDGE_CURVE('',#160592,#160590,#80962,.T.); #198981=EDGE_CURVE('',#160593,#160591,#80963,.T.); #198982=EDGE_CURVE('',#160592,#160593,#80964,.T.); #198983=EDGE_CURVE('',#160594,#160592,#80965,.T.); #198984=EDGE_CURVE('',#160595,#160593,#80966,.T.); #198985=EDGE_CURVE('',#160594,#160595,#80967,.T.); #198986=EDGE_CURVE('',#160565,#160594,#80968,.T.); #198987=EDGE_CURVE('',#160566,#160595,#80969,.T.); #198988=EDGE_CURVE('',#160596,#160597,#80970,.T.); #198989=EDGE_CURVE('',#160597,#160598,#80971,.T.); #198990=EDGE_CURVE('',#160599,#160598,#80972,.T.); #198991=EDGE_CURVE('',#160596,#160599,#80973,.T.); #198992=EDGE_CURVE('',#160600,#160596,#80974,.T.); #198993=EDGE_CURVE('',#160601,#160599,#80975,.T.); #198994=EDGE_CURVE('',#160600,#160601,#80976,.T.); #198995=EDGE_CURVE('',#160602,#160600,#80977,.T.); #198996=EDGE_CURVE('',#160603,#160601,#80978,.T.); #198997=EDGE_CURVE('',#160602,#160603,#80979,.T.); #198998=EDGE_CURVE('',#160604,#160602,#80980,.T.); #198999=EDGE_CURVE('',#160605,#160603,#80981,.T.); #199000=EDGE_CURVE('',#160604,#160605,#80982,.T.); #199001=EDGE_CURVE('',#160606,#160604,#80983,.T.); #199002=EDGE_CURVE('',#160607,#160605,#80984,.T.); #199003=EDGE_CURVE('',#160606,#160607,#80985,.T.); #199004=EDGE_CURVE('',#160608,#160606,#80986,.T.); #199005=EDGE_CURVE('',#160609,#160607,#80987,.T.); #199006=EDGE_CURVE('',#160608,#160609,#80988,.T.); #199007=EDGE_CURVE('',#160610,#160608,#80989,.T.); #199008=EDGE_CURVE('',#160611,#160609,#80990,.T.); #199009=EDGE_CURVE('',#160610,#160611,#80991,.T.); #199010=EDGE_CURVE('',#160612,#160610,#80992,.T.); #199011=EDGE_CURVE('',#160613,#160611,#80993,.T.); #199012=EDGE_CURVE('',#160612,#160613,#80994,.T.); #199013=EDGE_CURVE('',#160614,#160612,#80995,.T.); #199014=EDGE_CURVE('',#160615,#160613,#80996,.T.); #199015=EDGE_CURVE('',#160614,#160615,#80997,.T.); #199016=EDGE_CURVE('',#160616,#160614,#80998,.T.); #199017=EDGE_CURVE('',#160617,#160615,#80999,.T.); #199018=EDGE_CURVE('',#160616,#160617,#81000,.T.); #199019=EDGE_CURVE('',#160618,#160616,#81001,.T.); #199020=EDGE_CURVE('',#160619,#160617,#81002,.T.); #199021=EDGE_CURVE('',#160618,#160619,#81003,.T.); #199022=EDGE_CURVE('',#160620,#160618,#81004,.T.); #199023=EDGE_CURVE('',#160621,#160619,#81005,.T.); #199024=EDGE_CURVE('',#160620,#160621,#81006,.T.); #199025=EDGE_CURVE('',#160622,#160620,#81007,.T.); #199026=EDGE_CURVE('',#160623,#160621,#81008,.T.); #199027=EDGE_CURVE('',#160622,#160623,#81009,.T.); #199028=EDGE_CURVE('',#160624,#160622,#81010,.T.); #199029=EDGE_CURVE('',#160625,#160623,#81011,.T.); #199030=EDGE_CURVE('',#160624,#160625,#81012,.T.); #199031=EDGE_CURVE('',#160626,#160624,#81013,.T.); #199032=EDGE_CURVE('',#160627,#160625,#81014,.T.); #199033=EDGE_CURVE('',#160626,#160627,#81015,.T.); #199034=EDGE_CURVE('',#160597,#160626,#81016,.T.); #199035=EDGE_CURVE('',#160598,#160627,#81017,.T.); #199036=EDGE_CURVE('',#160628,#160629,#81018,.T.); #199037=EDGE_CURVE('',#160629,#160630,#81019,.T.); #199038=EDGE_CURVE('',#160631,#160630,#81020,.T.); #199039=EDGE_CURVE('',#160628,#160631,#81021,.T.); #199040=EDGE_CURVE('',#160632,#160628,#81022,.T.); #199041=EDGE_CURVE('',#160633,#160631,#81023,.T.); #199042=EDGE_CURVE('',#160632,#160633,#81024,.T.); #199043=EDGE_CURVE('',#160634,#160632,#81025,.T.); #199044=EDGE_CURVE('',#160635,#160633,#81026,.T.); #199045=EDGE_CURVE('',#160634,#160635,#81027,.T.); #199046=EDGE_CURVE('',#160636,#160634,#81028,.T.); #199047=EDGE_CURVE('',#160637,#160635,#81029,.T.); #199048=EDGE_CURVE('',#160636,#160637,#81030,.T.); #199049=EDGE_CURVE('',#160638,#160636,#81031,.T.); #199050=EDGE_CURVE('',#160639,#160637,#81032,.T.); #199051=EDGE_CURVE('',#160638,#160639,#81033,.T.); #199052=EDGE_CURVE('',#160640,#160638,#81034,.T.); #199053=EDGE_CURVE('',#160641,#160639,#81035,.T.); #199054=EDGE_CURVE('',#160640,#160641,#81036,.T.); #199055=EDGE_CURVE('',#160642,#160640,#81037,.T.); #199056=EDGE_CURVE('',#160643,#160641,#81038,.T.); #199057=EDGE_CURVE('',#160642,#160643,#81039,.T.); #199058=EDGE_CURVE('',#160629,#160642,#81040,.T.); #199059=EDGE_CURVE('',#160630,#160643,#81041,.T.); #199060=EDGE_CURVE('',#160644,#160645,#81042,.T.); #199061=EDGE_CURVE('',#160645,#160646,#81043,.T.); #199062=EDGE_CURVE('',#160647,#160646,#81044,.T.); #199063=EDGE_CURVE('',#160644,#160647,#81045,.T.); #199064=EDGE_CURVE('',#160648,#160644,#81046,.T.); #199065=EDGE_CURVE('',#160649,#160647,#81047,.T.); #199066=EDGE_CURVE('',#160648,#160649,#81048,.T.); #199067=EDGE_CURVE('',#160650,#160648,#81049,.T.); #199068=EDGE_CURVE('',#160651,#160649,#81050,.T.); #199069=EDGE_CURVE('',#160650,#160651,#81051,.T.); #199070=EDGE_CURVE('',#160652,#160650,#81052,.T.); #199071=EDGE_CURVE('',#160653,#160651,#81053,.T.); #199072=EDGE_CURVE('',#160652,#160653,#81054,.T.); #199073=EDGE_CURVE('',#160654,#160652,#81055,.T.); #199074=EDGE_CURVE('',#160655,#160653,#81056,.T.); #199075=EDGE_CURVE('',#160654,#160655,#81057,.T.); #199076=EDGE_CURVE('',#160656,#160654,#81058,.T.); #199077=EDGE_CURVE('',#160657,#160655,#81059,.T.); #199078=EDGE_CURVE('',#160656,#160657,#81060,.T.); #199079=EDGE_CURVE('',#160658,#160656,#81061,.T.); #199080=EDGE_CURVE('',#160659,#160657,#81062,.T.); #199081=EDGE_CURVE('',#160658,#160659,#81063,.T.); #199082=EDGE_CURVE('',#160660,#160658,#81064,.T.); #199083=EDGE_CURVE('',#160661,#160659,#81065,.T.); #199084=EDGE_CURVE('',#160660,#160661,#81066,.T.); #199085=EDGE_CURVE('',#160662,#160660,#81067,.T.); #199086=EDGE_CURVE('',#160663,#160661,#81068,.T.); #199087=EDGE_CURVE('',#160662,#160663,#81069,.T.); #199088=EDGE_CURVE('',#160664,#160662,#81070,.T.); #199089=EDGE_CURVE('',#160665,#160663,#81071,.T.); #199090=EDGE_CURVE('',#160664,#160665,#81072,.T.); #199091=EDGE_CURVE('',#160666,#160664,#81073,.T.); #199092=EDGE_CURVE('',#160667,#160665,#81074,.T.); #199093=EDGE_CURVE('',#160666,#160667,#81075,.T.); #199094=EDGE_CURVE('',#160668,#160666,#81076,.T.); #199095=EDGE_CURVE('',#160669,#160667,#81077,.T.); #199096=EDGE_CURVE('',#160668,#160669,#81078,.T.); #199097=EDGE_CURVE('',#160670,#160668,#81079,.T.); #199098=EDGE_CURVE('',#160671,#160669,#81080,.T.); #199099=EDGE_CURVE('',#160670,#160671,#81081,.T.); #199100=EDGE_CURVE('',#160672,#160670,#81082,.T.); #199101=EDGE_CURVE('',#160673,#160671,#81083,.T.); #199102=EDGE_CURVE('',#160672,#160673,#81084,.T.); #199103=EDGE_CURVE('',#160674,#160672,#81085,.T.); #199104=EDGE_CURVE('',#160675,#160673,#81086,.T.); #199105=EDGE_CURVE('',#160674,#160675,#81087,.T.); #199106=EDGE_CURVE('',#160676,#160674,#81088,.T.); #199107=EDGE_CURVE('',#160677,#160675,#81089,.T.); #199108=EDGE_CURVE('',#160676,#160677,#81090,.T.); #199109=EDGE_CURVE('',#160678,#160676,#81091,.T.); #199110=EDGE_CURVE('',#160679,#160677,#81092,.T.); #199111=EDGE_CURVE('',#160678,#160679,#81093,.T.); #199112=EDGE_CURVE('',#160680,#160678,#81094,.T.); #199113=EDGE_CURVE('',#160681,#160679,#81095,.T.); #199114=EDGE_CURVE('',#160680,#160681,#81096,.T.); #199115=EDGE_CURVE('',#160682,#160680,#81097,.T.); #199116=EDGE_CURVE('',#160683,#160681,#81098,.T.); #199117=EDGE_CURVE('',#160682,#160683,#81099,.T.); #199118=EDGE_CURVE('',#160684,#160682,#81100,.T.); #199119=EDGE_CURVE('',#160685,#160683,#81101,.T.); #199120=EDGE_CURVE('',#160684,#160685,#81102,.T.); #199121=EDGE_CURVE('',#160686,#160684,#81103,.T.); #199122=EDGE_CURVE('',#160687,#160685,#81104,.T.); #199123=EDGE_CURVE('',#160686,#160687,#81105,.T.); #199124=EDGE_CURVE('',#160688,#160686,#81106,.T.); #199125=EDGE_CURVE('',#160689,#160687,#81107,.T.); #199126=EDGE_CURVE('',#160688,#160689,#81108,.T.); #199127=EDGE_CURVE('',#160690,#160688,#81109,.T.); #199128=EDGE_CURVE('',#160691,#160689,#81110,.T.); #199129=EDGE_CURVE('',#160690,#160691,#81111,.T.); #199130=EDGE_CURVE('',#160645,#160690,#81112,.T.); #199131=EDGE_CURVE('',#160646,#160691,#81113,.T.); #199132=EDGE_CURVE('',#160692,#160693,#81114,.T.); #199133=EDGE_CURVE('',#160693,#160694,#81115,.T.); #199134=EDGE_CURVE('',#160695,#160694,#81116,.T.); #199135=EDGE_CURVE('',#160692,#160695,#81117,.T.); #199136=EDGE_CURVE('',#160696,#160692,#81118,.T.); #199137=EDGE_CURVE('',#160697,#160695,#81119,.T.); #199138=EDGE_CURVE('',#160696,#160697,#81120,.T.); #199139=EDGE_CURVE('',#160698,#160696,#81121,.T.); #199140=EDGE_CURVE('',#160699,#160697,#81122,.T.); #199141=EDGE_CURVE('',#160698,#160699,#81123,.T.); #199142=EDGE_CURVE('',#160700,#160698,#81124,.T.); #199143=EDGE_CURVE('',#160701,#160699,#81125,.T.); #199144=EDGE_CURVE('',#160700,#160701,#81126,.T.); #199145=EDGE_CURVE('',#160702,#160700,#81127,.T.); #199146=EDGE_CURVE('',#160703,#160701,#81128,.T.); #199147=EDGE_CURVE('',#160702,#160703,#81129,.T.); #199148=EDGE_CURVE('',#160704,#160702,#81130,.T.); #199149=EDGE_CURVE('',#160705,#160703,#81131,.T.); #199150=EDGE_CURVE('',#160704,#160705,#81132,.T.); #199151=EDGE_CURVE('',#160706,#160704,#81133,.T.); #199152=EDGE_CURVE('',#160707,#160705,#81134,.T.); #199153=EDGE_CURVE('',#160706,#160707,#81135,.T.); #199154=EDGE_CURVE('',#160708,#160706,#81136,.T.); #199155=EDGE_CURVE('',#160709,#160707,#81137,.T.); #199156=EDGE_CURVE('',#160708,#160709,#81138,.T.); #199157=EDGE_CURVE('',#160710,#160708,#81139,.T.); #199158=EDGE_CURVE('',#160711,#160709,#81140,.T.); #199159=EDGE_CURVE('',#160710,#160711,#81141,.T.); #199160=EDGE_CURVE('',#160712,#160710,#81142,.T.); #199161=EDGE_CURVE('',#160713,#160711,#81143,.T.); #199162=EDGE_CURVE('',#160712,#160713,#81144,.T.); #199163=EDGE_CURVE('',#160714,#160712,#81145,.T.); #199164=EDGE_CURVE('',#160715,#160713,#81146,.T.); #199165=EDGE_CURVE('',#160714,#160715,#81147,.T.); #199166=EDGE_CURVE('',#160716,#160714,#81148,.T.); #199167=EDGE_CURVE('',#160717,#160715,#81149,.T.); #199168=EDGE_CURVE('',#160716,#160717,#81150,.T.); #199169=EDGE_CURVE('',#160718,#160716,#81151,.T.); #199170=EDGE_CURVE('',#160719,#160717,#81152,.T.); #199171=EDGE_CURVE('',#160718,#160719,#81153,.T.); #199172=EDGE_CURVE('',#160720,#160718,#81154,.T.); #199173=EDGE_CURVE('',#160721,#160719,#81155,.T.); #199174=EDGE_CURVE('',#160720,#160721,#81156,.T.); #199175=EDGE_CURVE('',#160722,#160720,#81157,.T.); #199176=EDGE_CURVE('',#160723,#160721,#81158,.T.); #199177=EDGE_CURVE('',#160722,#160723,#81159,.T.); #199178=EDGE_CURVE('',#160724,#160722,#81160,.T.); #199179=EDGE_CURVE('',#160725,#160723,#81161,.T.); #199180=EDGE_CURVE('',#160724,#160725,#81162,.T.); #199181=EDGE_CURVE('',#160726,#160724,#81163,.T.); #199182=EDGE_CURVE('',#160727,#160725,#81164,.T.); #199183=EDGE_CURVE('',#160726,#160727,#81165,.T.); #199184=EDGE_CURVE('',#160728,#160726,#81166,.T.); #199185=EDGE_CURVE('',#160729,#160727,#81167,.T.); #199186=EDGE_CURVE('',#160728,#160729,#81168,.T.); #199187=EDGE_CURVE('',#160730,#160728,#81169,.T.); #199188=EDGE_CURVE('',#160731,#160729,#81170,.T.); #199189=EDGE_CURVE('',#160730,#160731,#81171,.T.); #199190=EDGE_CURVE('',#160732,#160730,#81172,.T.); #199191=EDGE_CURVE('',#160733,#160731,#81173,.T.); #199192=EDGE_CURVE('',#160732,#160733,#81174,.T.); #199193=EDGE_CURVE('',#160734,#160732,#81175,.T.); #199194=EDGE_CURVE('',#160735,#160733,#81176,.T.); #199195=EDGE_CURVE('',#160734,#160735,#81177,.T.); #199196=EDGE_CURVE('',#160736,#160734,#81178,.T.); #199197=EDGE_CURVE('',#160737,#160735,#81179,.T.); #199198=EDGE_CURVE('',#160736,#160737,#81180,.T.); #199199=EDGE_CURVE('',#160738,#160736,#81181,.T.); #199200=EDGE_CURVE('',#160739,#160737,#81182,.T.); #199201=EDGE_CURVE('',#160738,#160739,#81183,.T.); #199202=EDGE_CURVE('',#160693,#160738,#81184,.T.); #199203=EDGE_CURVE('',#160694,#160739,#81185,.T.); #199204=EDGE_CURVE('',#160740,#160741,#81186,.T.); #199205=EDGE_CURVE('',#160741,#160742,#81187,.T.); #199206=EDGE_CURVE('',#160743,#160742,#81188,.T.); #199207=EDGE_CURVE('',#160740,#160743,#81189,.T.); #199208=EDGE_CURVE('',#160744,#160740,#81190,.T.); #199209=EDGE_CURVE('',#160745,#160743,#81191,.T.); #199210=EDGE_CURVE('',#160744,#160745,#81192,.T.); #199211=EDGE_CURVE('',#160746,#160744,#81193,.T.); #199212=EDGE_CURVE('',#160747,#160745,#81194,.T.); #199213=EDGE_CURVE('',#160746,#160747,#81195,.T.); #199214=EDGE_CURVE('',#160748,#160746,#81196,.T.); #199215=EDGE_CURVE('',#160749,#160747,#81197,.T.); #199216=EDGE_CURVE('',#160748,#160749,#81198,.T.); #199217=EDGE_CURVE('',#160750,#160748,#81199,.T.); #199218=EDGE_CURVE('',#160751,#160749,#81200,.T.); #199219=EDGE_CURVE('',#160750,#160751,#81201,.T.); #199220=EDGE_CURVE('',#160752,#160750,#81202,.T.); #199221=EDGE_CURVE('',#160753,#160751,#81203,.T.); #199222=EDGE_CURVE('',#160752,#160753,#81204,.T.); #199223=EDGE_CURVE('',#160754,#160752,#81205,.T.); #199224=EDGE_CURVE('',#160755,#160753,#81206,.T.); #199225=EDGE_CURVE('',#160754,#160755,#81207,.T.); #199226=EDGE_CURVE('',#160756,#160754,#81208,.T.); #199227=EDGE_CURVE('',#160757,#160755,#81209,.T.); #199228=EDGE_CURVE('',#160756,#160757,#81210,.T.); #199229=EDGE_CURVE('',#160758,#160756,#81211,.T.); #199230=EDGE_CURVE('',#160759,#160757,#81212,.T.); #199231=EDGE_CURVE('',#160758,#160759,#81213,.T.); #199232=EDGE_CURVE('',#160760,#160758,#81214,.T.); #199233=EDGE_CURVE('',#160761,#160759,#81215,.T.); #199234=EDGE_CURVE('',#160760,#160761,#81216,.T.); #199235=EDGE_CURVE('',#160762,#160760,#81217,.T.); #199236=EDGE_CURVE('',#160763,#160761,#81218,.T.); #199237=EDGE_CURVE('',#160762,#160763,#81219,.T.); #199238=EDGE_CURVE('',#160764,#160762,#81220,.T.); #199239=EDGE_CURVE('',#160765,#160763,#81221,.T.); #199240=EDGE_CURVE('',#160764,#160765,#81222,.T.); #199241=EDGE_CURVE('',#160766,#160764,#81223,.T.); #199242=EDGE_CURVE('',#160767,#160765,#81224,.T.); #199243=EDGE_CURVE('',#160766,#160767,#81225,.T.); #199244=EDGE_CURVE('',#160768,#160766,#81226,.T.); #199245=EDGE_CURVE('',#160769,#160767,#81227,.T.); #199246=EDGE_CURVE('',#160768,#160769,#81228,.T.); #199247=EDGE_CURVE('',#160770,#160768,#81229,.T.); #199248=EDGE_CURVE('',#160771,#160769,#81230,.T.); #199249=EDGE_CURVE('',#160770,#160771,#81231,.T.); #199250=EDGE_CURVE('',#160772,#160770,#81232,.T.); #199251=EDGE_CURVE('',#160773,#160771,#81233,.T.); #199252=EDGE_CURVE('',#160772,#160773,#81234,.T.); #199253=EDGE_CURVE('',#160774,#160772,#81235,.T.); #199254=EDGE_CURVE('',#160775,#160773,#81236,.T.); #199255=EDGE_CURVE('',#160774,#160775,#81237,.T.); #199256=EDGE_CURVE('',#160776,#160774,#81238,.T.); #199257=EDGE_CURVE('',#160777,#160775,#81239,.T.); #199258=EDGE_CURVE('',#160776,#160777,#81240,.T.); #199259=EDGE_CURVE('',#160778,#160776,#81241,.T.); #199260=EDGE_CURVE('',#160779,#160777,#81242,.T.); #199261=EDGE_CURVE('',#160778,#160779,#81243,.T.); #199262=EDGE_CURVE('',#160780,#160778,#81244,.T.); #199263=EDGE_CURVE('',#160781,#160779,#81245,.T.); #199264=EDGE_CURVE('',#160780,#160781,#81246,.T.); #199265=EDGE_CURVE('',#160782,#160780,#81247,.T.); #199266=EDGE_CURVE('',#160783,#160781,#81248,.T.); #199267=EDGE_CURVE('',#160782,#160783,#81249,.T.); #199268=EDGE_CURVE('',#160784,#160782,#81250,.T.); #199269=EDGE_CURVE('',#160785,#160783,#81251,.T.); #199270=EDGE_CURVE('',#160784,#160785,#81252,.T.); #199271=EDGE_CURVE('',#160786,#160784,#81253,.T.); #199272=EDGE_CURVE('',#160787,#160785,#81254,.T.); #199273=EDGE_CURVE('',#160786,#160787,#81255,.T.); #199274=EDGE_CURVE('',#160788,#160786,#81256,.T.); #199275=EDGE_CURVE('',#160789,#160787,#81257,.T.); #199276=EDGE_CURVE('',#160788,#160789,#81258,.T.); #199277=EDGE_CURVE('',#160790,#160788,#81259,.T.); #199278=EDGE_CURVE('',#160791,#160789,#81260,.T.); #199279=EDGE_CURVE('',#160790,#160791,#81261,.T.); #199280=EDGE_CURVE('',#160792,#160790,#81262,.T.); #199281=EDGE_CURVE('',#160793,#160791,#81263,.T.); #199282=EDGE_CURVE('',#160792,#160793,#81264,.T.); #199283=EDGE_CURVE('',#160794,#160792,#81265,.T.); #199284=EDGE_CURVE('',#160795,#160793,#81266,.T.); #199285=EDGE_CURVE('',#160794,#160795,#81267,.T.); #199286=EDGE_CURVE('',#160796,#160794,#81268,.T.); #199287=EDGE_CURVE('',#160797,#160795,#81269,.T.); #199288=EDGE_CURVE('',#160796,#160797,#81270,.T.); #199289=EDGE_CURVE('',#160798,#160796,#81271,.T.); #199290=EDGE_CURVE('',#160799,#160797,#81272,.T.); #199291=EDGE_CURVE('',#160798,#160799,#81273,.T.); #199292=EDGE_CURVE('',#160800,#160798,#81274,.T.); #199293=EDGE_CURVE('',#160801,#160799,#81275,.T.); #199294=EDGE_CURVE('',#160800,#160801,#81276,.T.); #199295=EDGE_CURVE('',#160802,#160800,#81277,.T.); #199296=EDGE_CURVE('',#160803,#160801,#81278,.T.); #199297=EDGE_CURVE('',#160802,#160803,#81279,.T.); #199298=EDGE_CURVE('',#160804,#160802,#81280,.T.); #199299=EDGE_CURVE('',#160805,#160803,#81281,.T.); #199300=EDGE_CURVE('',#160804,#160805,#81282,.T.); #199301=EDGE_CURVE('',#160806,#160804,#81283,.T.); #199302=EDGE_CURVE('',#160807,#160805,#81284,.T.); #199303=EDGE_CURVE('',#160806,#160807,#81285,.T.); #199304=EDGE_CURVE('',#160808,#160806,#81286,.T.); #199305=EDGE_CURVE('',#160809,#160807,#81287,.T.); #199306=EDGE_CURVE('',#160808,#160809,#81288,.T.); #199307=EDGE_CURVE('',#160810,#160808,#81289,.T.); #199308=EDGE_CURVE('',#160811,#160809,#81290,.T.); #199309=EDGE_CURVE('',#160810,#160811,#81291,.T.); #199310=EDGE_CURVE('',#160812,#160810,#81292,.T.); #199311=EDGE_CURVE('',#160813,#160811,#81293,.T.); #199312=EDGE_CURVE('',#160812,#160813,#81294,.T.); #199313=EDGE_CURVE('',#160814,#160812,#81295,.T.); #199314=EDGE_CURVE('',#160815,#160813,#81296,.T.); #199315=EDGE_CURVE('',#160814,#160815,#81297,.T.); #199316=EDGE_CURVE('',#160816,#160814,#81298,.T.); #199317=EDGE_CURVE('',#160817,#160815,#81299,.T.); #199318=EDGE_CURVE('',#160816,#160817,#81300,.T.); #199319=EDGE_CURVE('',#160818,#160816,#81301,.T.); #199320=EDGE_CURVE('',#160819,#160817,#81302,.T.); #199321=EDGE_CURVE('',#160818,#160819,#81303,.T.); #199322=EDGE_CURVE('',#160820,#160818,#81304,.T.); #199323=EDGE_CURVE('',#160821,#160819,#81305,.T.); #199324=EDGE_CURVE('',#160820,#160821,#81306,.T.); #199325=EDGE_CURVE('',#160822,#160820,#81307,.T.); #199326=EDGE_CURVE('',#160823,#160821,#81308,.T.); #199327=EDGE_CURVE('',#160822,#160823,#81309,.T.); #199328=EDGE_CURVE('',#160824,#160822,#81310,.T.); #199329=EDGE_CURVE('',#160825,#160823,#81311,.T.); #199330=EDGE_CURVE('',#160824,#160825,#81312,.T.); #199331=EDGE_CURVE('',#160826,#160824,#81313,.T.); #199332=EDGE_CURVE('',#160827,#160825,#81314,.T.); #199333=EDGE_CURVE('',#160826,#160827,#81315,.T.); #199334=EDGE_CURVE('',#160828,#160826,#81316,.T.); #199335=EDGE_CURVE('',#160829,#160827,#81317,.T.); #199336=EDGE_CURVE('',#160828,#160829,#81318,.T.); #199337=EDGE_CURVE('',#160830,#160828,#81319,.T.); #199338=EDGE_CURVE('',#160831,#160829,#81320,.T.); #199339=EDGE_CURVE('',#160830,#160831,#81321,.T.); #199340=EDGE_CURVE('',#160832,#160830,#81322,.T.); #199341=EDGE_CURVE('',#160833,#160831,#81323,.T.); #199342=EDGE_CURVE('',#160832,#160833,#81324,.T.); #199343=EDGE_CURVE('',#160834,#160832,#81325,.T.); #199344=EDGE_CURVE('',#160835,#160833,#81326,.T.); #199345=EDGE_CURVE('',#160834,#160835,#81327,.T.); #199346=EDGE_CURVE('',#160836,#160834,#81328,.T.); #199347=EDGE_CURVE('',#160837,#160835,#81329,.T.); #199348=EDGE_CURVE('',#160836,#160837,#81330,.T.); #199349=EDGE_CURVE('',#160838,#160836,#81331,.T.); #199350=EDGE_CURVE('',#160839,#160837,#81332,.T.); #199351=EDGE_CURVE('',#160838,#160839,#81333,.T.); #199352=EDGE_CURVE('',#160840,#160838,#81334,.T.); #199353=EDGE_CURVE('',#160841,#160839,#81335,.T.); #199354=EDGE_CURVE('',#160840,#160841,#81336,.T.); #199355=EDGE_CURVE('',#160842,#160840,#81337,.T.); #199356=EDGE_CURVE('',#160843,#160841,#81338,.T.); #199357=EDGE_CURVE('',#160842,#160843,#81339,.T.); #199358=EDGE_CURVE('',#160844,#160842,#81340,.T.); #199359=EDGE_CURVE('',#160845,#160843,#81341,.T.); #199360=EDGE_CURVE('',#160844,#160845,#81342,.T.); #199361=EDGE_CURVE('',#160846,#160844,#81343,.T.); #199362=EDGE_CURVE('',#160847,#160845,#81344,.T.); #199363=EDGE_CURVE('',#160846,#160847,#81345,.T.); #199364=EDGE_CURVE('',#160848,#160846,#81346,.T.); #199365=EDGE_CURVE('',#160849,#160847,#81347,.T.); #199366=EDGE_CURVE('',#160848,#160849,#81348,.T.); #199367=EDGE_CURVE('',#160850,#160848,#81349,.T.); #199368=EDGE_CURVE('',#160851,#160849,#81350,.T.); #199369=EDGE_CURVE('',#160850,#160851,#81351,.T.); #199370=EDGE_CURVE('',#160852,#160850,#81352,.T.); #199371=EDGE_CURVE('',#160853,#160851,#81353,.T.); #199372=EDGE_CURVE('',#160852,#160853,#81354,.T.); #199373=EDGE_CURVE('',#160854,#160852,#81355,.T.); #199374=EDGE_CURVE('',#160855,#160853,#81356,.T.); #199375=EDGE_CURVE('',#160854,#160855,#81357,.T.); #199376=EDGE_CURVE('',#160856,#160854,#81358,.T.); #199377=EDGE_CURVE('',#160857,#160855,#81359,.T.); #199378=EDGE_CURVE('',#160856,#160857,#81360,.T.); #199379=EDGE_CURVE('',#160858,#160856,#81361,.T.); #199380=EDGE_CURVE('',#160859,#160857,#81362,.T.); #199381=EDGE_CURVE('',#160858,#160859,#81363,.T.); #199382=EDGE_CURVE('',#160860,#160858,#81364,.T.); #199383=EDGE_CURVE('',#160861,#160859,#81365,.T.); #199384=EDGE_CURVE('',#160860,#160861,#81366,.T.); #199385=EDGE_CURVE('',#160862,#160860,#81367,.T.); #199386=EDGE_CURVE('',#160863,#160861,#81368,.T.); #199387=EDGE_CURVE('',#160862,#160863,#81369,.T.); #199388=EDGE_CURVE('',#160864,#160862,#81370,.T.); #199389=EDGE_CURVE('',#160865,#160863,#81371,.T.); #199390=EDGE_CURVE('',#160864,#160865,#81372,.T.); #199391=EDGE_CURVE('',#160866,#160864,#81373,.T.); #199392=EDGE_CURVE('',#160867,#160865,#81374,.T.); #199393=EDGE_CURVE('',#160866,#160867,#81375,.T.); #199394=EDGE_CURVE('',#160868,#160866,#81376,.T.); #199395=EDGE_CURVE('',#160869,#160867,#81377,.T.); #199396=EDGE_CURVE('',#160868,#160869,#81378,.T.); #199397=EDGE_CURVE('',#160870,#160868,#81379,.T.); #199398=EDGE_CURVE('',#160871,#160869,#81380,.T.); #199399=EDGE_CURVE('',#160870,#160871,#81381,.T.); #199400=EDGE_CURVE('',#160872,#160870,#81382,.T.); #199401=EDGE_CURVE('',#160873,#160871,#81383,.T.); #199402=EDGE_CURVE('',#160872,#160873,#81384,.T.); #199403=EDGE_CURVE('',#160874,#160872,#81385,.T.); #199404=EDGE_CURVE('',#160875,#160873,#81386,.T.); #199405=EDGE_CURVE('',#160874,#160875,#81387,.T.); #199406=EDGE_CURVE('',#160876,#160874,#81388,.T.); #199407=EDGE_CURVE('',#160877,#160875,#81389,.T.); #199408=EDGE_CURVE('',#160876,#160877,#81390,.T.); #199409=EDGE_CURVE('',#160878,#160876,#81391,.T.); #199410=EDGE_CURVE('',#160879,#160877,#81392,.T.); #199411=EDGE_CURVE('',#160878,#160879,#81393,.T.); #199412=EDGE_CURVE('',#160880,#160878,#81394,.T.); #199413=EDGE_CURVE('',#160881,#160879,#81395,.T.); #199414=EDGE_CURVE('',#160880,#160881,#81396,.T.); #199415=EDGE_CURVE('',#160882,#160880,#81397,.T.); #199416=EDGE_CURVE('',#160883,#160881,#81398,.T.); #199417=EDGE_CURVE('',#160882,#160883,#81399,.T.); #199418=EDGE_CURVE('',#160884,#160882,#81400,.T.); #199419=EDGE_CURVE('',#160885,#160883,#81401,.T.); #199420=EDGE_CURVE('',#160884,#160885,#81402,.T.); #199421=EDGE_CURVE('',#160886,#160884,#81403,.T.); #199422=EDGE_CURVE('',#160887,#160885,#81404,.T.); #199423=EDGE_CURVE('',#160886,#160887,#81405,.T.); #199424=EDGE_CURVE('',#160888,#160886,#81406,.T.); #199425=EDGE_CURVE('',#160889,#160887,#81407,.T.); #199426=EDGE_CURVE('',#160888,#160889,#81408,.T.); #199427=EDGE_CURVE('',#160890,#160888,#81409,.T.); #199428=EDGE_CURVE('',#160891,#160889,#81410,.T.); #199429=EDGE_CURVE('',#160890,#160891,#81411,.T.); #199430=EDGE_CURVE('',#160892,#160890,#81412,.T.); #199431=EDGE_CURVE('',#160893,#160891,#81413,.T.); #199432=EDGE_CURVE('',#160892,#160893,#81414,.T.); #199433=EDGE_CURVE('',#160894,#160892,#81415,.T.); #199434=EDGE_CURVE('',#160895,#160893,#81416,.T.); #199435=EDGE_CURVE('',#160894,#160895,#81417,.T.); #199436=EDGE_CURVE('',#160896,#160894,#81418,.T.); #199437=EDGE_CURVE('',#160897,#160895,#81419,.T.); #199438=EDGE_CURVE('',#160896,#160897,#81420,.T.); #199439=EDGE_CURVE('',#160898,#160896,#81421,.T.); #199440=EDGE_CURVE('',#160899,#160897,#81422,.T.); #199441=EDGE_CURVE('',#160898,#160899,#81423,.T.); #199442=EDGE_CURVE('',#160900,#160898,#81424,.T.); #199443=EDGE_CURVE('',#160901,#160899,#81425,.T.); #199444=EDGE_CURVE('',#160900,#160901,#81426,.T.); #199445=EDGE_CURVE('',#160902,#160900,#81427,.T.); #199446=EDGE_CURVE('',#160903,#160901,#81428,.T.); #199447=EDGE_CURVE('',#160902,#160903,#81429,.T.); #199448=EDGE_CURVE('',#160904,#160902,#81430,.T.); #199449=EDGE_CURVE('',#160905,#160903,#81431,.T.); #199450=EDGE_CURVE('',#160904,#160905,#81432,.T.); #199451=EDGE_CURVE('',#160906,#160904,#81433,.T.); #199452=EDGE_CURVE('',#160907,#160905,#81434,.T.); #199453=EDGE_CURVE('',#160906,#160907,#81435,.T.); #199454=EDGE_CURVE('',#160908,#160906,#81436,.T.); #199455=EDGE_CURVE('',#160909,#160907,#81437,.T.); #199456=EDGE_CURVE('',#160908,#160909,#81438,.T.); #199457=EDGE_CURVE('',#160910,#160908,#81439,.T.); #199458=EDGE_CURVE('',#160911,#160909,#81440,.T.); #199459=EDGE_CURVE('',#160910,#160911,#81441,.T.); #199460=EDGE_CURVE('',#160912,#160910,#81442,.T.); #199461=EDGE_CURVE('',#160913,#160911,#81443,.T.); #199462=EDGE_CURVE('',#160912,#160913,#81444,.T.); #199463=EDGE_CURVE('',#160914,#160912,#81445,.T.); #199464=EDGE_CURVE('',#160915,#160913,#81446,.T.); #199465=EDGE_CURVE('',#160914,#160915,#81447,.T.); #199466=EDGE_CURVE('',#160916,#160914,#81448,.T.); #199467=EDGE_CURVE('',#160917,#160915,#81449,.T.); #199468=EDGE_CURVE('',#160916,#160917,#81450,.T.); #199469=EDGE_CURVE('',#160918,#160916,#81451,.T.); #199470=EDGE_CURVE('',#160919,#160917,#81452,.T.); #199471=EDGE_CURVE('',#160918,#160919,#81453,.T.); #199472=EDGE_CURVE('',#160920,#160918,#81454,.T.); #199473=EDGE_CURVE('',#160921,#160919,#81455,.T.); #199474=EDGE_CURVE('',#160920,#160921,#81456,.T.); #199475=EDGE_CURVE('',#160922,#160920,#81457,.T.); #199476=EDGE_CURVE('',#160923,#160921,#81458,.T.); #199477=EDGE_CURVE('',#160922,#160923,#81459,.T.); #199478=EDGE_CURVE('',#160924,#160922,#81460,.T.); #199479=EDGE_CURVE('',#160925,#160923,#81461,.T.); #199480=EDGE_CURVE('',#160924,#160925,#81462,.T.); #199481=EDGE_CURVE('',#160926,#160924,#81463,.T.); #199482=EDGE_CURVE('',#160927,#160925,#81464,.T.); #199483=EDGE_CURVE('',#160926,#160927,#81465,.T.); #199484=EDGE_CURVE('',#160928,#160926,#81466,.T.); #199485=EDGE_CURVE('',#160929,#160927,#81467,.T.); #199486=EDGE_CURVE('',#160928,#160929,#81468,.T.); #199487=EDGE_CURVE('',#160930,#160928,#81469,.T.); #199488=EDGE_CURVE('',#160931,#160929,#81470,.T.); #199489=EDGE_CURVE('',#160930,#160931,#81471,.T.); #199490=EDGE_CURVE('',#160932,#160930,#81472,.T.); #199491=EDGE_CURVE('',#160933,#160931,#81473,.T.); #199492=EDGE_CURVE('',#160932,#160933,#81474,.T.); #199493=EDGE_CURVE('',#160934,#160932,#81475,.T.); #199494=EDGE_CURVE('',#160935,#160933,#81476,.T.); #199495=EDGE_CURVE('',#160934,#160935,#81477,.T.); #199496=EDGE_CURVE('',#160936,#160934,#81478,.T.); #199497=EDGE_CURVE('',#160937,#160935,#81479,.T.); #199498=EDGE_CURVE('',#160936,#160937,#81480,.T.); #199499=EDGE_CURVE('',#160938,#160936,#81481,.T.); #199500=EDGE_CURVE('',#160939,#160937,#81482,.T.); #199501=EDGE_CURVE('',#160938,#160939,#81483,.T.); #199502=EDGE_CURVE('',#160940,#160938,#81484,.T.); #199503=EDGE_CURVE('',#160941,#160939,#81485,.T.); #199504=EDGE_CURVE('',#160940,#160941,#81486,.T.); #199505=EDGE_CURVE('',#160942,#160940,#81487,.T.); #199506=EDGE_CURVE('',#160943,#160941,#81488,.T.); #199507=EDGE_CURVE('',#160942,#160943,#81489,.T.); #199508=EDGE_CURVE('',#160944,#160942,#81490,.T.); #199509=EDGE_CURVE('',#160945,#160943,#81491,.T.); #199510=EDGE_CURVE('',#160944,#160945,#81492,.T.); #199511=EDGE_CURVE('',#160946,#160944,#81493,.T.); #199512=EDGE_CURVE('',#160947,#160945,#81494,.T.); #199513=EDGE_CURVE('',#160946,#160947,#81495,.T.); #199514=EDGE_CURVE('',#160948,#160946,#81496,.T.); #199515=EDGE_CURVE('',#160949,#160947,#81497,.T.); #199516=EDGE_CURVE('',#160948,#160949,#81498,.T.); #199517=EDGE_CURVE('',#160950,#160948,#81499,.T.); #199518=EDGE_CURVE('',#160951,#160949,#81500,.T.); #199519=EDGE_CURVE('',#160950,#160951,#81501,.T.); #199520=EDGE_CURVE('',#160952,#160950,#81502,.T.); #199521=EDGE_CURVE('',#160953,#160951,#81503,.T.); #199522=EDGE_CURVE('',#160952,#160953,#81504,.T.); #199523=EDGE_CURVE('',#160954,#160952,#81505,.T.); #199524=EDGE_CURVE('',#160955,#160953,#81506,.T.); #199525=EDGE_CURVE('',#160954,#160955,#81507,.T.); #199526=EDGE_CURVE('',#160956,#160954,#81508,.T.); #199527=EDGE_CURVE('',#160957,#160955,#81509,.T.); #199528=EDGE_CURVE('',#160956,#160957,#81510,.T.); #199529=EDGE_CURVE('',#160958,#160956,#81511,.T.); #199530=EDGE_CURVE('',#160959,#160957,#81512,.T.); #199531=EDGE_CURVE('',#160958,#160959,#81513,.T.); #199532=EDGE_CURVE('',#160960,#160958,#81514,.T.); #199533=EDGE_CURVE('',#160961,#160959,#81515,.T.); #199534=EDGE_CURVE('',#160960,#160961,#81516,.T.); #199535=EDGE_CURVE('',#160962,#160960,#81517,.T.); #199536=EDGE_CURVE('',#160963,#160961,#81518,.T.); #199537=EDGE_CURVE('',#160962,#160963,#81519,.T.); #199538=EDGE_CURVE('',#160964,#160962,#81520,.T.); #199539=EDGE_CURVE('',#160965,#160963,#81521,.T.); #199540=EDGE_CURVE('',#160964,#160965,#81522,.T.); #199541=EDGE_CURVE('',#160966,#160964,#81523,.T.); #199542=EDGE_CURVE('',#160967,#160965,#81524,.T.); #199543=EDGE_CURVE('',#160966,#160967,#81525,.T.); #199544=EDGE_CURVE('',#160968,#160966,#81526,.T.); #199545=EDGE_CURVE('',#160969,#160967,#81527,.T.); #199546=EDGE_CURVE('',#160968,#160969,#81528,.T.); #199547=EDGE_CURVE('',#160970,#160968,#81529,.T.); #199548=EDGE_CURVE('',#160971,#160969,#81530,.T.); #199549=EDGE_CURVE('',#160970,#160971,#81531,.T.); #199550=EDGE_CURVE('',#160972,#160970,#81532,.T.); #199551=EDGE_CURVE('',#160973,#160971,#81533,.T.); #199552=EDGE_CURVE('',#160972,#160973,#81534,.T.); #199553=EDGE_CURVE('',#160974,#160972,#81535,.T.); #199554=EDGE_CURVE('',#160975,#160973,#81536,.T.); #199555=EDGE_CURVE('',#160974,#160975,#81537,.T.); #199556=EDGE_CURVE('',#160976,#160974,#81538,.T.); #199557=EDGE_CURVE('',#160977,#160975,#81539,.T.); #199558=EDGE_CURVE('',#160976,#160977,#81540,.T.); #199559=EDGE_CURVE('',#160978,#160976,#81541,.T.); #199560=EDGE_CURVE('',#160979,#160977,#81542,.T.); #199561=EDGE_CURVE('',#160978,#160979,#81543,.T.); #199562=EDGE_CURVE('',#160980,#160978,#81544,.T.); #199563=EDGE_CURVE('',#160981,#160979,#81545,.T.); #199564=EDGE_CURVE('',#160980,#160981,#81546,.T.); #199565=EDGE_CURVE('',#160982,#160980,#81547,.T.); #199566=EDGE_CURVE('',#160983,#160981,#81548,.T.); #199567=EDGE_CURVE('',#160982,#160983,#81549,.T.); #199568=EDGE_CURVE('',#160984,#160982,#81550,.T.); #199569=EDGE_CURVE('',#160985,#160983,#81551,.T.); #199570=EDGE_CURVE('',#160984,#160985,#81552,.T.); #199571=EDGE_CURVE('',#160986,#160984,#81553,.T.); #199572=EDGE_CURVE('',#160987,#160985,#81554,.T.); #199573=EDGE_CURVE('',#160986,#160987,#81555,.T.); #199574=EDGE_CURVE('',#160988,#160986,#81556,.T.); #199575=EDGE_CURVE('',#160989,#160987,#81557,.T.); #199576=EDGE_CURVE('',#160988,#160989,#81558,.T.); #199577=EDGE_CURVE('',#160990,#160988,#81559,.T.); #199578=EDGE_CURVE('',#160991,#160989,#81560,.T.); #199579=EDGE_CURVE('',#160990,#160991,#81561,.T.); #199580=EDGE_CURVE('',#160992,#160990,#81562,.T.); #199581=EDGE_CURVE('',#160993,#160991,#81563,.T.); #199582=EDGE_CURVE('',#160992,#160993,#81564,.T.); #199583=EDGE_CURVE('',#160994,#160992,#81565,.T.); #199584=EDGE_CURVE('',#160995,#160993,#81566,.T.); #199585=EDGE_CURVE('',#160994,#160995,#81567,.T.); #199586=EDGE_CURVE('',#160996,#160994,#81568,.T.); #199587=EDGE_CURVE('',#160997,#160995,#81569,.T.); #199588=EDGE_CURVE('',#160996,#160997,#81570,.T.); #199589=EDGE_CURVE('',#160998,#160996,#81571,.T.); #199590=EDGE_CURVE('',#160999,#160997,#81572,.T.); #199591=EDGE_CURVE('',#160998,#160999,#81573,.T.); #199592=EDGE_CURVE('',#161000,#160998,#81574,.T.); #199593=EDGE_CURVE('',#161001,#160999,#81575,.T.); #199594=EDGE_CURVE('',#161000,#161001,#81576,.T.); #199595=EDGE_CURVE('',#161002,#161000,#81577,.T.); #199596=EDGE_CURVE('',#161003,#161001,#81578,.T.); #199597=EDGE_CURVE('',#161002,#161003,#81579,.T.); #199598=EDGE_CURVE('',#161004,#161002,#81580,.T.); #199599=EDGE_CURVE('',#161005,#161003,#81581,.T.); #199600=EDGE_CURVE('',#161004,#161005,#81582,.T.); #199601=EDGE_CURVE('',#161006,#161004,#81583,.T.); #199602=EDGE_CURVE('',#161007,#161005,#81584,.T.); #199603=EDGE_CURVE('',#161006,#161007,#81585,.T.); #199604=EDGE_CURVE('',#161008,#161006,#81586,.T.); #199605=EDGE_CURVE('',#161009,#161007,#81587,.T.); #199606=EDGE_CURVE('',#161008,#161009,#81588,.T.); #199607=EDGE_CURVE('',#161010,#161008,#81589,.T.); #199608=EDGE_CURVE('',#161011,#161009,#81590,.T.); #199609=EDGE_CURVE('',#161010,#161011,#81591,.T.); #199610=EDGE_CURVE('',#161012,#161010,#81592,.T.); #199611=EDGE_CURVE('',#161013,#161011,#81593,.T.); #199612=EDGE_CURVE('',#161012,#161013,#81594,.T.); #199613=EDGE_CURVE('',#161014,#161012,#81595,.T.); #199614=EDGE_CURVE('',#161015,#161013,#81596,.T.); #199615=EDGE_CURVE('',#161014,#161015,#81597,.T.); #199616=EDGE_CURVE('',#161016,#161014,#81598,.T.); #199617=EDGE_CURVE('',#161017,#161015,#81599,.T.); #199618=EDGE_CURVE('',#161016,#161017,#81600,.T.); #199619=EDGE_CURVE('',#161018,#161016,#81601,.T.); #199620=EDGE_CURVE('',#161019,#161017,#81602,.T.); #199621=EDGE_CURVE('',#161018,#161019,#81603,.T.); #199622=EDGE_CURVE('',#161020,#161018,#81604,.T.); #199623=EDGE_CURVE('',#161021,#161019,#81605,.T.); #199624=EDGE_CURVE('',#161020,#161021,#81606,.T.); #199625=EDGE_CURVE('',#161022,#161020,#81607,.T.); #199626=EDGE_CURVE('',#161023,#161021,#81608,.T.); #199627=EDGE_CURVE('',#161022,#161023,#81609,.T.); #199628=EDGE_CURVE('',#161024,#161022,#81610,.T.); #199629=EDGE_CURVE('',#161025,#161023,#81611,.T.); #199630=EDGE_CURVE('',#161024,#161025,#81612,.T.); #199631=EDGE_CURVE('',#161026,#161024,#81613,.T.); #199632=EDGE_CURVE('',#161027,#161025,#81614,.T.); #199633=EDGE_CURVE('',#161026,#161027,#81615,.T.); #199634=EDGE_CURVE('',#161028,#161026,#81616,.T.); #199635=EDGE_CURVE('',#161029,#161027,#81617,.T.); #199636=EDGE_CURVE('',#161028,#161029,#81618,.T.); #199637=EDGE_CURVE('',#161030,#161028,#81619,.T.); #199638=EDGE_CURVE('',#161031,#161029,#81620,.T.); #199639=EDGE_CURVE('',#161030,#161031,#81621,.T.); #199640=EDGE_CURVE('',#161032,#161030,#81622,.T.); #199641=EDGE_CURVE('',#161033,#161031,#81623,.T.); #199642=EDGE_CURVE('',#161032,#161033,#81624,.T.); #199643=EDGE_CURVE('',#161034,#161032,#81625,.T.); #199644=EDGE_CURVE('',#161035,#161033,#81626,.T.); #199645=EDGE_CURVE('',#161034,#161035,#81627,.T.); #199646=EDGE_CURVE('',#161036,#161034,#81628,.T.); #199647=EDGE_CURVE('',#161037,#161035,#81629,.T.); #199648=EDGE_CURVE('',#161036,#161037,#81630,.T.); #199649=EDGE_CURVE('',#161038,#161036,#81631,.T.); #199650=EDGE_CURVE('',#161039,#161037,#81632,.T.); #199651=EDGE_CURVE('',#161038,#161039,#81633,.T.); #199652=EDGE_CURVE('',#161040,#161038,#81634,.T.); #199653=EDGE_CURVE('',#161041,#161039,#81635,.T.); #199654=EDGE_CURVE('',#161040,#161041,#81636,.T.); #199655=EDGE_CURVE('',#161042,#161040,#81637,.T.); #199656=EDGE_CURVE('',#161043,#161041,#81638,.T.); #199657=EDGE_CURVE('',#161042,#161043,#81639,.T.); #199658=EDGE_CURVE('',#161044,#161042,#81640,.T.); #199659=EDGE_CURVE('',#161045,#161043,#81641,.T.); #199660=EDGE_CURVE('',#161044,#161045,#81642,.T.); #199661=EDGE_CURVE('',#161046,#161044,#81643,.T.); #199662=EDGE_CURVE('',#161047,#161045,#81644,.T.); #199663=EDGE_CURVE('',#161046,#161047,#81645,.T.); #199664=EDGE_CURVE('',#161048,#161046,#81646,.T.); #199665=EDGE_CURVE('',#161049,#161047,#81647,.T.); #199666=EDGE_CURVE('',#161048,#161049,#81648,.T.); #199667=EDGE_CURVE('',#161050,#161048,#81649,.T.); #199668=EDGE_CURVE('',#161051,#161049,#81650,.T.); #199669=EDGE_CURVE('',#161050,#161051,#81651,.T.); #199670=EDGE_CURVE('',#161052,#161050,#81652,.T.); #199671=EDGE_CURVE('',#161053,#161051,#81653,.T.); #199672=EDGE_CURVE('',#161052,#161053,#81654,.T.); #199673=EDGE_CURVE('',#161054,#161052,#81655,.T.); #199674=EDGE_CURVE('',#161055,#161053,#81656,.T.); #199675=EDGE_CURVE('',#161054,#161055,#81657,.T.); #199676=EDGE_CURVE('',#161056,#161054,#81658,.T.); #199677=EDGE_CURVE('',#161057,#161055,#81659,.T.); #199678=EDGE_CURVE('',#161056,#161057,#81660,.T.); #199679=EDGE_CURVE('',#161058,#161056,#81661,.T.); #199680=EDGE_CURVE('',#161059,#161057,#81662,.T.); #199681=EDGE_CURVE('',#161058,#161059,#81663,.T.); #199682=EDGE_CURVE('',#160741,#161058,#81664,.T.); #199683=EDGE_CURVE('',#160742,#161059,#81665,.T.); #199684=EDGE_CURVE('',#161060,#161061,#81666,.T.); #199685=EDGE_CURVE('',#161061,#161062,#81667,.T.); #199686=EDGE_CURVE('',#161063,#161062,#81668,.T.); #199687=EDGE_CURVE('',#161060,#161063,#81669,.T.); #199688=EDGE_CURVE('',#161064,#161060,#81670,.T.); #199689=EDGE_CURVE('',#161065,#161063,#81671,.T.); #199690=EDGE_CURVE('',#161064,#161065,#81672,.T.); #199691=EDGE_CURVE('',#161066,#161064,#81673,.T.); #199692=EDGE_CURVE('',#161067,#161065,#81674,.T.); #199693=EDGE_CURVE('',#161066,#161067,#81675,.T.); #199694=EDGE_CURVE('',#161068,#161066,#81676,.T.); #199695=EDGE_CURVE('',#161069,#161067,#81677,.T.); #199696=EDGE_CURVE('',#161068,#161069,#81678,.T.); #199697=EDGE_CURVE('',#161070,#161068,#81679,.T.); #199698=EDGE_CURVE('',#161071,#161069,#81680,.T.); #199699=EDGE_CURVE('',#161070,#161071,#81681,.T.); #199700=EDGE_CURVE('',#161072,#161070,#81682,.T.); #199701=EDGE_CURVE('',#161073,#161071,#81683,.T.); #199702=EDGE_CURVE('',#161072,#161073,#81684,.T.); #199703=EDGE_CURVE('',#161074,#161072,#81685,.T.); #199704=EDGE_CURVE('',#161075,#161073,#81686,.T.); #199705=EDGE_CURVE('',#161074,#161075,#81687,.T.); #199706=EDGE_CURVE('',#161076,#161074,#81688,.T.); #199707=EDGE_CURVE('',#161077,#161075,#81689,.T.); #199708=EDGE_CURVE('',#161076,#161077,#81690,.T.); #199709=EDGE_CURVE('',#161078,#161076,#81691,.T.); #199710=EDGE_CURVE('',#161079,#161077,#81692,.T.); #199711=EDGE_CURVE('',#161078,#161079,#81693,.T.); #199712=EDGE_CURVE('',#161080,#161078,#81694,.T.); #199713=EDGE_CURVE('',#161081,#161079,#81695,.T.); #199714=EDGE_CURVE('',#161080,#161081,#81696,.T.); #199715=EDGE_CURVE('',#161082,#161080,#81697,.T.); #199716=EDGE_CURVE('',#161083,#161081,#81698,.T.); #199717=EDGE_CURVE('',#161082,#161083,#81699,.T.); #199718=EDGE_CURVE('',#161084,#161082,#81700,.T.); #199719=EDGE_CURVE('',#161085,#161083,#81701,.T.); #199720=EDGE_CURVE('',#161084,#161085,#81702,.T.); #199721=EDGE_CURVE('',#161086,#161084,#81703,.T.); #199722=EDGE_CURVE('',#161087,#161085,#81704,.T.); #199723=EDGE_CURVE('',#161086,#161087,#81705,.T.); #199724=EDGE_CURVE('',#161088,#161086,#81706,.T.); #199725=EDGE_CURVE('',#161089,#161087,#81707,.T.); #199726=EDGE_CURVE('',#161088,#161089,#81708,.T.); #199727=EDGE_CURVE('',#161090,#161088,#81709,.T.); #199728=EDGE_CURVE('',#161091,#161089,#81710,.T.); #199729=EDGE_CURVE('',#161090,#161091,#81711,.T.); #199730=EDGE_CURVE('',#161092,#161090,#81712,.T.); #199731=EDGE_CURVE('',#161093,#161091,#81713,.T.); #199732=EDGE_CURVE('',#161092,#161093,#81714,.T.); #199733=EDGE_CURVE('',#161094,#161092,#81715,.T.); #199734=EDGE_CURVE('',#161095,#161093,#81716,.T.); #199735=EDGE_CURVE('',#161094,#161095,#81717,.T.); #199736=EDGE_CURVE('',#161096,#161094,#81718,.T.); #199737=EDGE_CURVE('',#161097,#161095,#81719,.T.); #199738=EDGE_CURVE('',#161096,#161097,#81720,.T.); #199739=EDGE_CURVE('',#161098,#161096,#81721,.T.); #199740=EDGE_CURVE('',#161099,#161097,#81722,.T.); #199741=EDGE_CURVE('',#161098,#161099,#81723,.T.); #199742=EDGE_CURVE('',#161100,#161098,#81724,.T.); #199743=EDGE_CURVE('',#161101,#161099,#81725,.T.); #199744=EDGE_CURVE('',#161100,#161101,#81726,.T.); #199745=EDGE_CURVE('',#161102,#161100,#81727,.T.); #199746=EDGE_CURVE('',#161103,#161101,#81728,.T.); #199747=EDGE_CURVE('',#161102,#161103,#81729,.T.); #199748=EDGE_CURVE('',#161061,#161102,#81730,.T.); #199749=EDGE_CURVE('',#161062,#161103,#81731,.T.); #199750=EDGE_CURVE('',#161104,#161105,#81732,.T.); #199751=EDGE_CURVE('',#161105,#161106,#81733,.T.); #199752=EDGE_CURVE('',#161107,#161106,#81734,.T.); #199753=EDGE_CURVE('',#161104,#161107,#81735,.T.); #199754=EDGE_CURVE('',#161108,#161104,#81736,.T.); #199755=EDGE_CURVE('',#161109,#161107,#81737,.T.); #199756=EDGE_CURVE('',#161108,#161109,#81738,.T.); #199757=EDGE_CURVE('',#161110,#161108,#81739,.T.); #199758=EDGE_CURVE('',#161111,#161109,#81740,.T.); #199759=EDGE_CURVE('',#161110,#161111,#81741,.T.); #199760=EDGE_CURVE('',#161112,#161110,#81742,.T.); #199761=EDGE_CURVE('',#161113,#161111,#81743,.T.); #199762=EDGE_CURVE('',#161112,#161113,#81744,.T.); #199763=EDGE_CURVE('',#161114,#161112,#81745,.T.); #199764=EDGE_CURVE('',#161115,#161113,#81746,.T.); #199765=EDGE_CURVE('',#161114,#161115,#81747,.T.); #199766=EDGE_CURVE('',#161116,#161114,#81748,.T.); #199767=EDGE_CURVE('',#161117,#161115,#81749,.T.); #199768=EDGE_CURVE('',#161116,#161117,#81750,.T.); #199769=EDGE_CURVE('',#161118,#161116,#81751,.T.); #199770=EDGE_CURVE('',#161119,#161117,#81752,.T.); #199771=EDGE_CURVE('',#161118,#161119,#81753,.T.); #199772=EDGE_CURVE('',#161120,#161118,#81754,.T.); #199773=EDGE_CURVE('',#161121,#161119,#81755,.T.); #199774=EDGE_CURVE('',#161120,#161121,#81756,.T.); #199775=EDGE_CURVE('',#161122,#161120,#81757,.T.); #199776=EDGE_CURVE('',#161123,#161121,#81758,.T.); #199777=EDGE_CURVE('',#161122,#161123,#81759,.T.); #199778=EDGE_CURVE('',#161124,#161122,#81760,.T.); #199779=EDGE_CURVE('',#161125,#161123,#81761,.T.); #199780=EDGE_CURVE('',#161124,#161125,#81762,.T.); #199781=EDGE_CURVE('',#161126,#161124,#81763,.T.); #199782=EDGE_CURVE('',#161127,#161125,#81764,.T.); #199783=EDGE_CURVE('',#161126,#161127,#81765,.T.); #199784=EDGE_CURVE('',#161128,#161126,#81766,.T.); #199785=EDGE_CURVE('',#161129,#161127,#81767,.T.); #199786=EDGE_CURVE('',#161128,#161129,#81768,.T.); #199787=EDGE_CURVE('',#161130,#161128,#81769,.T.); #199788=EDGE_CURVE('',#161131,#161129,#81770,.T.); #199789=EDGE_CURVE('',#161130,#161131,#81771,.T.); #199790=EDGE_CURVE('',#161132,#161130,#81772,.T.); #199791=EDGE_CURVE('',#161133,#161131,#81773,.T.); #199792=EDGE_CURVE('',#161132,#161133,#81774,.T.); #199793=EDGE_CURVE('',#161134,#161132,#81775,.T.); #199794=EDGE_CURVE('',#161135,#161133,#81776,.T.); #199795=EDGE_CURVE('',#161134,#161135,#81777,.T.); #199796=EDGE_CURVE('',#161136,#161134,#81778,.T.); #199797=EDGE_CURVE('',#161137,#161135,#81779,.T.); #199798=EDGE_CURVE('',#161136,#161137,#81780,.T.); #199799=EDGE_CURVE('',#161138,#161136,#81781,.T.); #199800=EDGE_CURVE('',#161139,#161137,#81782,.T.); #199801=EDGE_CURVE('',#161138,#161139,#81783,.T.); #199802=EDGE_CURVE('',#161140,#161138,#81784,.T.); #199803=EDGE_CURVE('',#161141,#161139,#81785,.T.); #199804=EDGE_CURVE('',#161140,#161141,#81786,.T.); #199805=EDGE_CURVE('',#161142,#161140,#81787,.T.); #199806=EDGE_CURVE('',#161143,#161141,#81788,.T.); #199807=EDGE_CURVE('',#161142,#161143,#81789,.T.); #199808=EDGE_CURVE('',#161144,#161142,#81790,.T.); #199809=EDGE_CURVE('',#161145,#161143,#81791,.T.); #199810=EDGE_CURVE('',#161144,#161145,#81792,.T.); #199811=EDGE_CURVE('',#161105,#161144,#81793,.T.); #199812=EDGE_CURVE('',#161106,#161145,#81794,.T.); #199813=EDGE_CURVE('',#161146,#161147,#81795,.T.); #199814=EDGE_CURVE('',#161147,#161148,#81796,.T.); #199815=EDGE_CURVE('',#161149,#161148,#81797,.T.); #199816=EDGE_CURVE('',#161146,#161149,#81798,.T.); #199817=EDGE_CURVE('',#161150,#161146,#81799,.T.); #199818=EDGE_CURVE('',#161151,#161149,#81800,.T.); #199819=EDGE_CURVE('',#161150,#161151,#81801,.T.); #199820=EDGE_CURVE('',#161152,#161150,#81802,.T.); #199821=EDGE_CURVE('',#161153,#161151,#81803,.T.); #199822=EDGE_CURVE('',#161152,#161153,#81804,.T.); #199823=EDGE_CURVE('',#161154,#161152,#81805,.T.); #199824=EDGE_CURVE('',#161155,#161153,#81806,.T.); #199825=EDGE_CURVE('',#161154,#161155,#81807,.T.); #199826=EDGE_CURVE('',#161156,#161154,#81808,.T.); #199827=EDGE_CURVE('',#161157,#161155,#81809,.T.); #199828=EDGE_CURVE('',#161156,#161157,#81810,.T.); #199829=EDGE_CURVE('',#161158,#161156,#81811,.T.); #199830=EDGE_CURVE('',#161159,#161157,#81812,.T.); #199831=EDGE_CURVE('',#161158,#161159,#81813,.T.); #199832=EDGE_CURVE('',#161160,#161158,#81814,.T.); #199833=EDGE_CURVE('',#161161,#161159,#81815,.T.); #199834=EDGE_CURVE('',#161160,#161161,#81816,.T.); #199835=EDGE_CURVE('',#161162,#161160,#81817,.T.); #199836=EDGE_CURVE('',#161163,#161161,#81818,.T.); #199837=EDGE_CURVE('',#161162,#161163,#81819,.T.); #199838=EDGE_CURVE('',#161164,#161162,#81820,.T.); #199839=EDGE_CURVE('',#161165,#161163,#81821,.T.); #199840=EDGE_CURVE('',#161164,#161165,#81822,.T.); #199841=EDGE_CURVE('',#161166,#161164,#81823,.T.); #199842=EDGE_CURVE('',#161167,#161165,#81824,.T.); #199843=EDGE_CURVE('',#161166,#161167,#81825,.T.); #199844=EDGE_CURVE('',#161168,#161166,#81826,.T.); #199845=EDGE_CURVE('',#161169,#161167,#81827,.T.); #199846=EDGE_CURVE('',#161168,#161169,#81828,.T.); #199847=EDGE_CURVE('',#161170,#161168,#81829,.T.); #199848=EDGE_CURVE('',#161171,#161169,#81830,.T.); #199849=EDGE_CURVE('',#161170,#161171,#81831,.T.); #199850=EDGE_CURVE('',#161172,#161170,#81832,.T.); #199851=EDGE_CURVE('',#161173,#161171,#81833,.T.); #199852=EDGE_CURVE('',#161172,#161173,#81834,.T.); #199853=EDGE_CURVE('',#161174,#161172,#81835,.T.); #199854=EDGE_CURVE('',#161175,#161173,#81836,.T.); #199855=EDGE_CURVE('',#161174,#161175,#81837,.T.); #199856=EDGE_CURVE('',#161176,#161174,#81838,.T.); #199857=EDGE_CURVE('',#161177,#161175,#81839,.T.); #199858=EDGE_CURVE('',#161176,#161177,#81840,.T.); #199859=EDGE_CURVE('',#161178,#161176,#81841,.T.); #199860=EDGE_CURVE('',#161179,#161177,#81842,.T.); #199861=EDGE_CURVE('',#161178,#161179,#81843,.T.); #199862=EDGE_CURVE('',#161180,#161178,#81844,.T.); #199863=EDGE_CURVE('',#161181,#161179,#81845,.T.); #199864=EDGE_CURVE('',#161180,#161181,#81846,.T.); #199865=EDGE_CURVE('',#161182,#161180,#81847,.T.); #199866=EDGE_CURVE('',#161183,#161181,#81848,.T.); #199867=EDGE_CURVE('',#161182,#161183,#81849,.T.); #199868=EDGE_CURVE('',#161184,#161182,#81850,.T.); #199869=EDGE_CURVE('',#161185,#161183,#81851,.T.); #199870=EDGE_CURVE('',#161184,#161185,#81852,.T.); #199871=EDGE_CURVE('',#161147,#161184,#81853,.T.); #199872=EDGE_CURVE('',#161148,#161185,#81854,.T.); #199873=EDGE_CURVE('',#161186,#161187,#81855,.T.); #199874=EDGE_CURVE('',#161188,#161186,#81856,.T.); #199875=EDGE_CURVE('',#161189,#161188,#81857,.T.); #199876=EDGE_CURVE('',#161189,#161187,#81858,.T.); #199877=EDGE_CURVE('',#161187,#161190,#140832,.T.); #199878=EDGE_CURVE('',#161191,#161189,#140833,.T.); #199879=EDGE_CURVE('',#161191,#161190,#81859,.T.); #199880=EDGE_CURVE('',#161190,#161192,#81860,.T.); #199881=EDGE_CURVE('',#161193,#161191,#81861,.T.); #199882=EDGE_CURVE('',#161193,#161192,#81862,.T.); #199883=EDGE_CURVE('',#161192,#161186,#140834,.T.); #199884=EDGE_CURVE('',#161188,#161193,#140835,.T.); #199885=EDGE_CURVE('',#161194,#161195,#81863,.T.); #199886=EDGE_CURVE('',#161195,#161196,#81864,.T.); #199887=EDGE_CURVE('',#161197,#161196,#81865,.T.); #199888=EDGE_CURVE('',#161194,#161197,#81866,.T.); #199889=EDGE_CURVE('',#161198,#161194,#81867,.T.); #199890=EDGE_CURVE('',#161199,#161197,#81868,.T.); #199891=EDGE_CURVE('',#161198,#161199,#81869,.T.); #199892=EDGE_CURVE('',#161200,#161198,#81870,.T.); #199893=EDGE_CURVE('',#161201,#161199,#81871,.T.); #199894=EDGE_CURVE('',#161200,#161201,#81872,.T.); #199895=EDGE_CURVE('',#161202,#161200,#81873,.T.); #199896=EDGE_CURVE('',#161203,#161201,#81874,.T.); #199897=EDGE_CURVE('',#161202,#161203,#81875,.T.); #199898=EDGE_CURVE('',#161204,#161202,#81876,.T.); #199899=EDGE_CURVE('',#161205,#161203,#81877,.T.); #199900=EDGE_CURVE('',#161204,#161205,#81878,.T.); #199901=EDGE_CURVE('',#161206,#161204,#81879,.T.); #199902=EDGE_CURVE('',#161207,#161205,#81880,.T.); #199903=EDGE_CURVE('',#161206,#161207,#81881,.T.); #199904=EDGE_CURVE('',#161208,#161206,#81882,.T.); #199905=EDGE_CURVE('',#161209,#161207,#81883,.T.); #199906=EDGE_CURVE('',#161208,#161209,#81884,.T.); #199907=EDGE_CURVE('',#161210,#161208,#81885,.T.); #199908=EDGE_CURVE('',#161211,#161209,#81886,.T.); #199909=EDGE_CURVE('',#161210,#161211,#81887,.T.); #199910=EDGE_CURVE('',#161212,#161210,#81888,.T.); #199911=EDGE_CURVE('',#161213,#161211,#81889,.T.); #199912=EDGE_CURVE('',#161212,#161213,#81890,.T.); #199913=EDGE_CURVE('',#161214,#161212,#81891,.T.); #199914=EDGE_CURVE('',#161215,#161213,#81892,.T.); #199915=EDGE_CURVE('',#161214,#161215,#81893,.T.); #199916=EDGE_CURVE('',#161216,#161214,#81894,.T.); #199917=EDGE_CURVE('',#161217,#161215,#81895,.T.); #199918=EDGE_CURVE('',#161216,#161217,#81896,.T.); #199919=EDGE_CURVE('',#161218,#161216,#81897,.T.); #199920=EDGE_CURVE('',#161219,#161217,#81898,.T.); #199921=EDGE_CURVE('',#161218,#161219,#81899,.T.); #199922=EDGE_CURVE('',#161220,#161218,#81900,.T.); #199923=EDGE_CURVE('',#161221,#161219,#81901,.T.); #199924=EDGE_CURVE('',#161220,#161221,#81902,.T.); #199925=EDGE_CURVE('',#161222,#161220,#81903,.T.); #199926=EDGE_CURVE('',#161223,#161221,#81904,.T.); #199927=EDGE_CURVE('',#161222,#161223,#81905,.T.); #199928=EDGE_CURVE('',#161224,#161222,#81906,.T.); #199929=EDGE_CURVE('',#161225,#161223,#81907,.T.); #199930=EDGE_CURVE('',#161224,#161225,#81908,.T.); #199931=EDGE_CURVE('',#161226,#161224,#81909,.T.); #199932=EDGE_CURVE('',#161227,#161225,#81910,.T.); #199933=EDGE_CURVE('',#161226,#161227,#81911,.T.); #199934=EDGE_CURVE('',#161228,#161226,#81912,.T.); #199935=EDGE_CURVE('',#161229,#161227,#81913,.T.); #199936=EDGE_CURVE('',#161228,#161229,#81914,.T.); #199937=EDGE_CURVE('',#161230,#161228,#81915,.T.); #199938=EDGE_CURVE('',#161231,#161229,#81916,.T.); #199939=EDGE_CURVE('',#161230,#161231,#81917,.T.); #199940=EDGE_CURVE('',#161232,#161230,#81918,.T.); #199941=EDGE_CURVE('',#161233,#161231,#81919,.T.); #199942=EDGE_CURVE('',#161232,#161233,#81920,.T.); #199943=EDGE_CURVE('',#161234,#161232,#81921,.T.); #199944=EDGE_CURVE('',#161235,#161233,#81922,.T.); #199945=EDGE_CURVE('',#161234,#161235,#81923,.T.); #199946=EDGE_CURVE('',#161236,#161234,#81924,.T.); #199947=EDGE_CURVE('',#161237,#161235,#81925,.T.); #199948=EDGE_CURVE('',#161236,#161237,#81926,.T.); #199949=EDGE_CURVE('',#161238,#161236,#81927,.T.); #199950=EDGE_CURVE('',#161239,#161237,#81928,.T.); #199951=EDGE_CURVE('',#161238,#161239,#81929,.T.); #199952=EDGE_CURVE('',#161240,#161238,#81930,.T.); #199953=EDGE_CURVE('',#161241,#161239,#81931,.T.); #199954=EDGE_CURVE('',#161240,#161241,#81932,.T.); #199955=EDGE_CURVE('',#161242,#161240,#81933,.T.); #199956=EDGE_CURVE('',#161243,#161241,#81934,.T.); #199957=EDGE_CURVE('',#161242,#161243,#81935,.T.); #199958=EDGE_CURVE('',#161244,#161242,#81936,.T.); #199959=EDGE_CURVE('',#161245,#161243,#81937,.T.); #199960=EDGE_CURVE('',#161244,#161245,#81938,.T.); #199961=EDGE_CURVE('',#161246,#161244,#81939,.T.); #199962=EDGE_CURVE('',#161247,#161245,#81940,.T.); #199963=EDGE_CURVE('',#161246,#161247,#81941,.T.); #199964=EDGE_CURVE('',#161248,#161246,#81942,.T.); #199965=EDGE_CURVE('',#161249,#161247,#81943,.T.); #199966=EDGE_CURVE('',#161248,#161249,#81944,.T.); #199967=EDGE_CURVE('',#161250,#161248,#81945,.T.); #199968=EDGE_CURVE('',#161251,#161249,#81946,.T.); #199969=EDGE_CURVE('',#161250,#161251,#81947,.T.); #199970=EDGE_CURVE('',#161252,#161250,#81948,.T.); #199971=EDGE_CURVE('',#161253,#161251,#81949,.T.); #199972=EDGE_CURVE('',#161252,#161253,#81950,.T.); #199973=EDGE_CURVE('',#161254,#161252,#81951,.T.); #199974=EDGE_CURVE('',#161255,#161253,#81952,.T.); #199975=EDGE_CURVE('',#161254,#161255,#81953,.T.); #199976=EDGE_CURVE('',#161256,#161254,#81954,.T.); #199977=EDGE_CURVE('',#161257,#161255,#81955,.T.); #199978=EDGE_CURVE('',#161256,#161257,#81956,.T.); #199979=EDGE_CURVE('',#161258,#161256,#81957,.T.); #199980=EDGE_CURVE('',#161259,#161257,#81958,.T.); #199981=EDGE_CURVE('',#161258,#161259,#81959,.T.); #199982=EDGE_CURVE('',#161260,#161258,#81960,.T.); #199983=EDGE_CURVE('',#161261,#161259,#81961,.T.); #199984=EDGE_CURVE('',#161260,#161261,#81962,.T.); #199985=EDGE_CURVE('',#161262,#161260,#81963,.T.); #199986=EDGE_CURVE('',#161263,#161261,#81964,.T.); #199987=EDGE_CURVE('',#161262,#161263,#81965,.T.); #199988=EDGE_CURVE('',#161264,#161262,#81966,.T.); #199989=EDGE_CURVE('',#161265,#161263,#81967,.T.); #199990=EDGE_CURVE('',#161264,#161265,#81968,.T.); #199991=EDGE_CURVE('',#161266,#161264,#81969,.T.); #199992=EDGE_CURVE('',#161267,#161265,#81970,.T.); #199993=EDGE_CURVE('',#161266,#161267,#81971,.T.); #199994=EDGE_CURVE('',#161268,#161266,#81972,.T.); #199995=EDGE_CURVE('',#161269,#161267,#81973,.T.); #199996=EDGE_CURVE('',#161268,#161269,#81974,.T.); #199997=EDGE_CURVE('',#161270,#161268,#81975,.T.); #199998=EDGE_CURVE('',#161271,#161269,#81976,.T.); #199999=EDGE_CURVE('',#161270,#161271,#81977,.T.); #200000=EDGE_CURVE('',#161272,#161270,#81978,.T.); #200001=EDGE_CURVE('',#161273,#161271,#81979,.T.); #200002=EDGE_CURVE('',#161272,#161273,#81980,.T.); #200003=EDGE_CURVE('',#161274,#161272,#81981,.T.); #200004=EDGE_CURVE('',#161275,#161273,#81982,.T.); #200005=EDGE_CURVE('',#161274,#161275,#81983,.T.); #200006=EDGE_CURVE('',#161276,#161274,#81984,.T.); #200007=EDGE_CURVE('',#161277,#161275,#81985,.T.); #200008=EDGE_CURVE('',#161276,#161277,#81986,.T.); #200009=EDGE_CURVE('',#161278,#161276,#81987,.T.); #200010=EDGE_CURVE('',#161279,#161277,#81988,.T.); #200011=EDGE_CURVE('',#161278,#161279,#81989,.T.); #200012=EDGE_CURVE('',#161280,#161278,#81990,.T.); #200013=EDGE_CURVE('',#161281,#161279,#81991,.T.); #200014=EDGE_CURVE('',#161280,#161281,#81992,.T.); #200015=EDGE_CURVE('',#161282,#161280,#81993,.T.); #200016=EDGE_CURVE('',#161283,#161281,#81994,.T.); #200017=EDGE_CURVE('',#161282,#161283,#81995,.T.); #200018=EDGE_CURVE('',#161284,#161282,#81996,.T.); #200019=EDGE_CURVE('',#161285,#161283,#81997,.T.); #200020=EDGE_CURVE('',#161284,#161285,#81998,.T.); #200021=EDGE_CURVE('',#161286,#161284,#81999,.T.); #200022=EDGE_CURVE('',#161287,#161285,#82000,.T.); #200023=EDGE_CURVE('',#161286,#161287,#82001,.T.); #200024=EDGE_CURVE('',#161288,#161286,#82002,.T.); #200025=EDGE_CURVE('',#161289,#161287,#82003,.T.); #200026=EDGE_CURVE('',#161288,#161289,#82004,.T.); #200027=EDGE_CURVE('',#161290,#161288,#82005,.T.); #200028=EDGE_CURVE('',#161291,#161289,#82006,.T.); #200029=EDGE_CURVE('',#161290,#161291,#82007,.T.); #200030=EDGE_CURVE('',#161292,#161290,#82008,.T.); #200031=EDGE_CURVE('',#161293,#161291,#82009,.T.); #200032=EDGE_CURVE('',#161292,#161293,#82010,.T.); #200033=EDGE_CURVE('',#161294,#161292,#82011,.T.); #200034=EDGE_CURVE('',#161295,#161293,#82012,.T.); #200035=EDGE_CURVE('',#161294,#161295,#82013,.T.); #200036=EDGE_CURVE('',#161296,#161294,#82014,.T.); #200037=EDGE_CURVE('',#161297,#161295,#82015,.T.); #200038=EDGE_CURVE('',#161296,#161297,#82016,.T.); #200039=EDGE_CURVE('',#161298,#161296,#82017,.T.); #200040=EDGE_CURVE('',#161299,#161297,#82018,.T.); #200041=EDGE_CURVE('',#161298,#161299,#82019,.T.); #200042=EDGE_CURVE('',#161300,#161298,#82020,.T.); #200043=EDGE_CURVE('',#161301,#161299,#82021,.T.); #200044=EDGE_CURVE('',#161300,#161301,#82022,.T.); #200045=EDGE_CURVE('',#161302,#161300,#82023,.T.); #200046=EDGE_CURVE('',#161303,#161301,#82024,.T.); #200047=EDGE_CURVE('',#161302,#161303,#82025,.T.); #200048=EDGE_CURVE('',#161304,#161302,#82026,.T.); #200049=EDGE_CURVE('',#161305,#161303,#82027,.T.); #200050=EDGE_CURVE('',#161304,#161305,#82028,.T.); #200051=EDGE_CURVE('',#161306,#161304,#82029,.T.); #200052=EDGE_CURVE('',#161307,#161305,#82030,.T.); #200053=EDGE_CURVE('',#161306,#161307,#82031,.T.); #200054=EDGE_CURVE('',#161308,#161306,#82032,.T.); #200055=EDGE_CURVE('',#161309,#161307,#82033,.T.); #200056=EDGE_CURVE('',#161308,#161309,#82034,.T.); #200057=EDGE_CURVE('',#161310,#161308,#82035,.T.); #200058=EDGE_CURVE('',#161311,#161309,#82036,.T.); #200059=EDGE_CURVE('',#161310,#161311,#82037,.T.); #200060=EDGE_CURVE('',#161312,#161310,#82038,.T.); #200061=EDGE_CURVE('',#161313,#161311,#82039,.T.); #200062=EDGE_CURVE('',#161312,#161313,#82040,.T.); #200063=EDGE_CURVE('',#161314,#161312,#82041,.T.); #200064=EDGE_CURVE('',#161315,#161313,#82042,.T.); #200065=EDGE_CURVE('',#161314,#161315,#82043,.T.); #200066=EDGE_CURVE('',#161316,#161314,#82044,.T.); #200067=EDGE_CURVE('',#161317,#161315,#82045,.T.); #200068=EDGE_CURVE('',#161316,#161317,#82046,.T.); #200069=EDGE_CURVE('',#161318,#161316,#82047,.T.); #200070=EDGE_CURVE('',#161319,#161317,#82048,.T.); #200071=EDGE_CURVE('',#161318,#161319,#82049,.T.); #200072=EDGE_CURVE('',#161320,#161318,#82050,.T.); #200073=EDGE_CURVE('',#161321,#161319,#82051,.T.); #200074=EDGE_CURVE('',#161320,#161321,#82052,.T.); #200075=EDGE_CURVE('',#161322,#161320,#82053,.T.); #200076=EDGE_CURVE('',#161323,#161321,#82054,.T.); #200077=EDGE_CURVE('',#161322,#161323,#82055,.T.); #200078=EDGE_CURVE('',#161324,#161322,#82056,.T.); #200079=EDGE_CURVE('',#161325,#161323,#82057,.T.); #200080=EDGE_CURVE('',#161324,#161325,#82058,.T.); #200081=EDGE_CURVE('',#161326,#161324,#82059,.T.); #200082=EDGE_CURVE('',#161327,#161325,#82060,.T.); #200083=EDGE_CURVE('',#161326,#161327,#82061,.T.); #200084=EDGE_CURVE('',#161328,#161326,#82062,.T.); #200085=EDGE_CURVE('',#161329,#161327,#82063,.T.); #200086=EDGE_CURVE('',#161328,#161329,#82064,.T.); #200087=EDGE_CURVE('',#161330,#161328,#82065,.T.); #200088=EDGE_CURVE('',#161331,#161329,#82066,.T.); #200089=EDGE_CURVE('',#161330,#161331,#82067,.T.); #200090=EDGE_CURVE('',#161332,#161330,#82068,.T.); #200091=EDGE_CURVE('',#161333,#161331,#82069,.T.); #200092=EDGE_CURVE('',#161332,#161333,#82070,.T.); #200093=EDGE_CURVE('',#161334,#161332,#82071,.T.); #200094=EDGE_CURVE('',#161335,#161333,#82072,.T.); #200095=EDGE_CURVE('',#161334,#161335,#82073,.T.); #200096=EDGE_CURVE('',#161336,#161334,#82074,.T.); #200097=EDGE_CURVE('',#161337,#161335,#82075,.T.); #200098=EDGE_CURVE('',#161336,#161337,#82076,.T.); #200099=EDGE_CURVE('',#161195,#161336,#82077,.T.); #200100=EDGE_CURVE('',#161196,#161337,#82078,.T.); #200101=EDGE_CURVE('',#161338,#161339,#82079,.T.); #200102=EDGE_CURVE('',#161339,#161340,#82080,.T.); #200103=EDGE_CURVE('',#161341,#161340,#82081,.T.); #200104=EDGE_CURVE('',#161338,#161341,#82082,.T.); #200105=EDGE_CURVE('',#161342,#161338,#82083,.T.); #200106=EDGE_CURVE('',#161343,#161341,#82084,.T.); #200107=EDGE_CURVE('',#161342,#161343,#82085,.T.); #200108=EDGE_CURVE('',#161344,#161342,#82086,.T.); #200109=EDGE_CURVE('',#161345,#161343,#82087,.T.); #200110=EDGE_CURVE('',#161344,#161345,#82088,.T.); #200111=EDGE_CURVE('',#161346,#161344,#82089,.T.); #200112=EDGE_CURVE('',#161347,#161345,#82090,.T.); #200113=EDGE_CURVE('',#161346,#161347,#82091,.T.); #200114=EDGE_CURVE('',#161348,#161346,#82092,.T.); #200115=EDGE_CURVE('',#161349,#161347,#82093,.T.); #200116=EDGE_CURVE('',#161348,#161349,#82094,.T.); #200117=EDGE_CURVE('',#161350,#161348,#82095,.T.); #200118=EDGE_CURVE('',#161351,#161349,#82096,.T.); #200119=EDGE_CURVE('',#161350,#161351,#82097,.T.); #200120=EDGE_CURVE('',#161352,#161350,#82098,.T.); #200121=EDGE_CURVE('',#161353,#161351,#82099,.T.); #200122=EDGE_CURVE('',#161352,#161353,#82100,.T.); #200123=EDGE_CURVE('',#161354,#161352,#82101,.T.); #200124=EDGE_CURVE('',#161355,#161353,#82102,.T.); #200125=EDGE_CURVE('',#161354,#161355,#82103,.T.); #200126=EDGE_CURVE('',#161356,#161354,#82104,.T.); #200127=EDGE_CURVE('',#161357,#161355,#82105,.T.); #200128=EDGE_CURVE('',#161356,#161357,#82106,.T.); #200129=EDGE_CURVE('',#161358,#161356,#82107,.T.); #200130=EDGE_CURVE('',#161359,#161357,#82108,.T.); #200131=EDGE_CURVE('',#161358,#161359,#82109,.T.); #200132=EDGE_CURVE('',#161360,#161358,#82110,.T.); #200133=EDGE_CURVE('',#161361,#161359,#82111,.T.); #200134=EDGE_CURVE('',#161360,#161361,#82112,.T.); #200135=EDGE_CURVE('',#161362,#161360,#82113,.T.); #200136=EDGE_CURVE('',#161363,#161361,#82114,.T.); #200137=EDGE_CURVE('',#161362,#161363,#82115,.T.); #200138=EDGE_CURVE('',#161364,#161362,#82116,.T.); #200139=EDGE_CURVE('',#161365,#161363,#82117,.T.); #200140=EDGE_CURVE('',#161364,#161365,#82118,.T.); #200141=EDGE_CURVE('',#161366,#161364,#82119,.T.); #200142=EDGE_CURVE('',#161367,#161365,#82120,.T.); #200143=EDGE_CURVE('',#161366,#161367,#82121,.T.); #200144=EDGE_CURVE('',#161368,#161366,#82122,.T.); #200145=EDGE_CURVE('',#161369,#161367,#82123,.T.); #200146=EDGE_CURVE('',#161368,#161369,#82124,.T.); #200147=EDGE_CURVE('',#161370,#161368,#82125,.T.); #200148=EDGE_CURVE('',#161371,#161369,#82126,.T.); #200149=EDGE_CURVE('',#161370,#161371,#82127,.T.); #200150=EDGE_CURVE('',#161372,#161370,#82128,.T.); #200151=EDGE_CURVE('',#161373,#161371,#82129,.T.); #200152=EDGE_CURVE('',#161372,#161373,#82130,.T.); #200153=EDGE_CURVE('',#161374,#161372,#82131,.T.); #200154=EDGE_CURVE('',#161375,#161373,#82132,.T.); #200155=EDGE_CURVE('',#161374,#161375,#82133,.T.); #200156=EDGE_CURVE('',#161376,#161374,#82134,.T.); #200157=EDGE_CURVE('',#161377,#161375,#82135,.T.); #200158=EDGE_CURVE('',#161376,#161377,#82136,.T.); #200159=EDGE_CURVE('',#161378,#161376,#82137,.T.); #200160=EDGE_CURVE('',#161379,#161377,#82138,.T.); #200161=EDGE_CURVE('',#161378,#161379,#82139,.T.); #200162=EDGE_CURVE('',#161380,#161378,#82140,.T.); #200163=EDGE_CURVE('',#161381,#161379,#82141,.T.); #200164=EDGE_CURVE('',#161380,#161381,#82142,.T.); #200165=EDGE_CURVE('',#161382,#161380,#82143,.T.); #200166=EDGE_CURVE('',#161383,#161381,#82144,.T.); #200167=EDGE_CURVE('',#161382,#161383,#82145,.T.); #200168=EDGE_CURVE('',#161384,#161382,#82146,.T.); #200169=EDGE_CURVE('',#161385,#161383,#82147,.T.); #200170=EDGE_CURVE('',#161384,#161385,#82148,.T.); #200171=EDGE_CURVE('',#161386,#161384,#82149,.T.); #200172=EDGE_CURVE('',#161387,#161385,#82150,.T.); #200173=EDGE_CURVE('',#161386,#161387,#82151,.T.); #200174=EDGE_CURVE('',#161388,#161386,#82152,.T.); #200175=EDGE_CURVE('',#161389,#161387,#82153,.T.); #200176=EDGE_CURVE('',#161388,#161389,#82154,.T.); #200177=EDGE_CURVE('',#161390,#161388,#82155,.T.); #200178=EDGE_CURVE('',#161391,#161389,#82156,.T.); #200179=EDGE_CURVE('',#161390,#161391,#82157,.T.); #200180=EDGE_CURVE('',#161392,#161390,#82158,.T.); #200181=EDGE_CURVE('',#161393,#161391,#82159,.T.); #200182=EDGE_CURVE('',#161392,#161393,#82160,.T.); #200183=EDGE_CURVE('',#161394,#161392,#82161,.T.); #200184=EDGE_CURVE('',#161395,#161393,#82162,.T.); #200185=EDGE_CURVE('',#161394,#161395,#82163,.T.); #200186=EDGE_CURVE('',#161396,#161394,#82164,.T.); #200187=EDGE_CURVE('',#161397,#161395,#82165,.T.); #200188=EDGE_CURVE('',#161396,#161397,#82166,.T.); #200189=EDGE_CURVE('',#161398,#161396,#82167,.T.); #200190=EDGE_CURVE('',#161399,#161397,#82168,.T.); #200191=EDGE_CURVE('',#161398,#161399,#82169,.T.); #200192=EDGE_CURVE('',#161400,#161398,#82170,.T.); #200193=EDGE_CURVE('',#161401,#161399,#82171,.T.); #200194=EDGE_CURVE('',#161400,#161401,#82172,.T.); #200195=EDGE_CURVE('',#161402,#161400,#82173,.T.); #200196=EDGE_CURVE('',#161403,#161401,#82174,.T.); #200197=EDGE_CURVE('',#161402,#161403,#82175,.T.); #200198=EDGE_CURVE('',#161404,#161402,#82176,.T.); #200199=EDGE_CURVE('',#161405,#161403,#82177,.T.); #200200=EDGE_CURVE('',#161404,#161405,#82178,.T.); #200201=EDGE_CURVE('',#161339,#161404,#82179,.T.); #200202=EDGE_CURVE('',#161340,#161405,#82180,.T.); #200203=EDGE_CURVE('',#161406,#161406,#140836,.T.); #200204=EDGE_CURVE('',#161406,#161407,#82181,.T.); #200205=EDGE_CURVE('',#161407,#161407,#140837,.T.); #200206=EDGE_CURVE('',#161408,#161409,#82182,.T.); #200207=EDGE_CURVE('',#161409,#161410,#82183,.T.); #200208=EDGE_CURVE('',#161411,#161410,#82184,.T.); #200209=EDGE_CURVE('',#161408,#161411,#82185,.T.); #200210=EDGE_CURVE('',#161412,#161408,#82186,.T.); #200211=EDGE_CURVE('',#161413,#161411,#82187,.T.); #200212=EDGE_CURVE('',#161412,#161413,#82188,.T.); #200213=EDGE_CURVE('',#161414,#161412,#82189,.T.); #200214=EDGE_CURVE('',#161415,#161413,#82190,.T.); #200215=EDGE_CURVE('',#161414,#161415,#82191,.T.); #200216=EDGE_CURVE('',#161416,#161414,#82192,.T.); #200217=EDGE_CURVE('',#161417,#161415,#82193,.T.); #200218=EDGE_CURVE('',#161416,#161417,#82194,.T.); #200219=EDGE_CURVE('',#161418,#161416,#82195,.T.); #200220=EDGE_CURVE('',#161419,#161417,#82196,.T.); #200221=EDGE_CURVE('',#161418,#161419,#82197,.T.); #200222=EDGE_CURVE('',#161420,#161418,#82198,.T.); #200223=EDGE_CURVE('',#161421,#161419,#82199,.T.); #200224=EDGE_CURVE('',#161420,#161421,#82200,.T.); #200225=EDGE_CURVE('',#161422,#161420,#82201,.T.); #200226=EDGE_CURVE('',#161423,#161421,#82202,.T.); #200227=EDGE_CURVE('',#161422,#161423,#82203,.T.); #200228=EDGE_CURVE('',#161409,#161422,#82204,.T.); #200229=EDGE_CURVE('',#161410,#161423,#82205,.T.); #200230=EDGE_CURVE('',#161424,#161424,#140838,.T.); #200231=EDGE_CURVE('',#161424,#161425,#82206,.T.); #200232=EDGE_CURVE('',#161425,#161425,#140839,.T.); #200233=EDGE_CURVE('',#161426,#161426,#140840,.T.); #200234=EDGE_CURVE('',#161426,#161427,#82207,.T.); #200235=EDGE_CURVE('',#161427,#161427,#140841,.T.); #200236=EDGE_CURVE('',#161428,#161428,#140842,.T.); #200237=EDGE_CURVE('',#161428,#161429,#82208,.T.); #200238=EDGE_CURVE('',#161429,#161429,#140843,.T.); #200239=EDGE_CURVE('',#161430,#161430,#140844,.T.); #200240=EDGE_CURVE('',#161430,#161431,#82209,.T.); #200241=EDGE_CURVE('',#161431,#161431,#140845,.T.); #200242=EDGE_CURVE('',#161432,#161432,#140846,.T.); #200243=EDGE_CURVE('',#161432,#161433,#82210,.T.); #200244=EDGE_CURVE('',#161433,#161433,#140847,.T.); #200245=EDGE_CURVE('',#161434,#161434,#140848,.T.); #200246=EDGE_CURVE('',#161434,#161435,#82211,.T.); #200247=EDGE_CURVE('',#161435,#161435,#140849,.T.); #200248=EDGE_CURVE('',#161436,#161436,#140850,.T.); #200249=EDGE_CURVE('',#161436,#161437,#82212,.T.); #200250=EDGE_CURVE('',#161437,#161437,#140851,.T.); #200251=EDGE_CURVE('',#161438,#161438,#140852,.T.); #200252=EDGE_CURVE('',#161438,#161439,#82213,.T.); #200253=EDGE_CURVE('',#161439,#161439,#140853,.T.); #200254=EDGE_CURVE('',#161440,#161440,#140854,.T.); #200255=EDGE_CURVE('',#161440,#161441,#82214,.T.); #200256=EDGE_CURVE('',#161441,#161441,#140855,.T.); #200257=EDGE_CURVE('',#161442,#161442,#140856,.T.); #200258=EDGE_CURVE('',#161442,#161443,#82215,.T.); #200259=EDGE_CURVE('',#161443,#161443,#140857,.T.); #200260=EDGE_CURVE('',#161444,#161444,#140858,.T.); #200261=EDGE_CURVE('',#161444,#161445,#82216,.T.); #200262=EDGE_CURVE('',#161445,#161445,#140859,.T.); #200263=EDGE_CURVE('',#161446,#161446,#140860,.T.); #200264=EDGE_CURVE('',#161446,#161447,#82217,.T.); #200265=EDGE_CURVE('',#161447,#161447,#140861,.T.); #200266=EDGE_CURVE('',#161448,#161448,#140862,.T.); #200267=EDGE_CURVE('',#161448,#161449,#82218,.T.); #200268=EDGE_CURVE('',#161449,#161449,#140863,.T.); #200269=EDGE_CURVE('',#161450,#161450,#140864,.T.); #200270=EDGE_CURVE('',#161450,#161451,#82219,.T.); #200271=EDGE_CURVE('',#161451,#161451,#140865,.T.); #200272=EDGE_CURVE('',#161452,#161452,#140866,.T.); #200273=EDGE_CURVE('',#161452,#161453,#82220,.T.); #200274=EDGE_CURVE('',#161453,#161453,#140867,.T.); #200275=EDGE_CURVE('',#161454,#161454,#140868,.T.); #200276=EDGE_CURVE('',#161454,#161455,#82221,.T.); #200277=EDGE_CURVE('',#161455,#161455,#140869,.T.); #200278=EDGE_CURVE('',#161456,#161457,#82222,.T.); #200279=EDGE_CURVE('',#161458,#161456,#82223,.T.); #200280=EDGE_CURVE('',#161459,#161458,#82224,.T.); #200281=EDGE_CURVE('',#161459,#161457,#82225,.T.); #200282=EDGE_CURVE('',#161457,#161460,#140870,.T.); #200283=EDGE_CURVE('',#161461,#161459,#140871,.T.); #200284=EDGE_CURVE('',#161461,#161460,#82226,.T.); #200285=EDGE_CURVE('',#161460,#161462,#82227,.T.); #200286=EDGE_CURVE('',#161463,#161461,#82228,.T.); #200287=EDGE_CURVE('',#161463,#161462,#82229,.T.); #200288=EDGE_CURVE('',#161462,#161456,#140872,.T.); #200289=EDGE_CURVE('',#161458,#161463,#140873,.T.); #200290=EDGE_CURVE('',#161464,#161465,#82230,.T.); #200291=EDGE_CURVE('',#161466,#161464,#82231,.T.); #200292=EDGE_CURVE('',#161467,#161466,#82232,.T.); #200293=EDGE_CURVE('',#161467,#161465,#82233,.T.); #200294=EDGE_CURVE('',#161465,#161468,#140874,.T.); #200295=EDGE_CURVE('',#161469,#161467,#140875,.T.); #200296=EDGE_CURVE('',#161469,#161468,#82234,.T.); #200297=EDGE_CURVE('',#161468,#161470,#82235,.T.); #200298=EDGE_CURVE('',#161471,#161469,#82236,.T.); #200299=EDGE_CURVE('',#161471,#161470,#82237,.T.); #200300=EDGE_CURVE('',#161470,#161464,#140876,.T.); #200301=EDGE_CURVE('',#161466,#161471,#140877,.T.); #200302=EDGE_CURVE('',#161472,#161472,#140878,.T.); #200303=EDGE_CURVE('',#161472,#161473,#82238,.T.); #200304=EDGE_CURVE('',#161473,#161473,#140879,.T.); #200305=EDGE_CURVE('',#161474,#161474,#140880,.T.); #200306=EDGE_CURVE('',#161474,#161475,#82239,.T.); #200307=EDGE_CURVE('',#161475,#161475,#140881,.T.); #200308=EDGE_CURVE('',#161476,#161476,#140882,.T.); #200309=EDGE_CURVE('',#161476,#161477,#82240,.T.); #200310=EDGE_CURVE('',#161477,#161477,#140883,.T.); #200311=EDGE_CURVE('',#161478,#161478,#140884,.T.); #200312=EDGE_CURVE('',#161478,#161479,#82241,.T.); #200313=EDGE_CURVE('',#161479,#161479,#140885,.T.); #200314=EDGE_CURVE('',#161480,#161480,#140886,.T.); #200315=EDGE_CURVE('',#161480,#161481,#82242,.T.); #200316=EDGE_CURVE('',#161481,#161481,#140887,.T.); #200317=EDGE_CURVE('',#161482,#161482,#140888,.T.); #200318=EDGE_CURVE('',#161482,#161483,#82243,.T.); #200319=EDGE_CURVE('',#161483,#161483,#140889,.T.); #200320=EDGE_CURVE('',#161484,#161484,#140890,.T.); #200321=EDGE_CURVE('',#161484,#161485,#82244,.T.); #200322=EDGE_CURVE('',#161485,#161485,#140891,.T.); #200323=EDGE_CURVE('',#161486,#161486,#140892,.T.); #200324=EDGE_CURVE('',#161486,#161487,#82245,.T.); #200325=EDGE_CURVE('',#161487,#161487,#140893,.T.); #200326=EDGE_CURVE('',#161488,#161489,#82246,.T.); #200327=EDGE_CURVE('',#161490,#161488,#82247,.T.); #200328=EDGE_CURVE('',#161491,#161490,#82248,.T.); #200329=EDGE_CURVE('',#161491,#161489,#82249,.T.); #200330=EDGE_CURVE('',#161489,#161492,#140894,.T.); #200331=EDGE_CURVE('',#161493,#161491,#140895,.T.); #200332=EDGE_CURVE('',#161493,#161492,#82250,.T.); #200333=EDGE_CURVE('',#161492,#161494,#82251,.T.); #200334=EDGE_CURVE('',#161495,#161493,#82252,.T.); #200335=EDGE_CURVE('',#161495,#161494,#82253,.T.); #200336=EDGE_CURVE('',#161494,#161488,#140896,.T.); #200337=EDGE_CURVE('',#161490,#161495,#140897,.T.); #200338=EDGE_CURVE('',#161496,#161497,#82254,.T.); #200339=EDGE_CURVE('',#161498,#161496,#82255,.T.); #200340=EDGE_CURVE('',#161499,#161498,#82256,.T.); #200341=EDGE_CURVE('',#161499,#161497,#82257,.T.); #200342=EDGE_CURVE('',#161497,#161500,#140898,.T.); #200343=EDGE_CURVE('',#161501,#161499,#140899,.T.); #200344=EDGE_CURVE('',#161501,#161500,#82258,.T.); #200345=EDGE_CURVE('',#161500,#161502,#82259,.T.); #200346=EDGE_CURVE('',#161503,#161501,#82260,.T.); #200347=EDGE_CURVE('',#161503,#161502,#82261,.T.); #200348=EDGE_CURVE('',#161502,#161496,#140900,.T.); #200349=EDGE_CURVE('',#161498,#161503,#140901,.T.); #200350=EDGE_CURVE('',#161504,#161504,#140902,.T.); #200351=EDGE_CURVE('',#161504,#161505,#82262,.T.); #200352=EDGE_CURVE('',#161505,#161505,#140903,.T.); #200353=EDGE_CURVE('',#161506,#161506,#140904,.T.); #200354=EDGE_CURVE('',#161506,#161507,#82263,.T.); #200355=EDGE_CURVE('',#161507,#161507,#140905,.T.); #200356=EDGE_CURVE('',#161508,#161508,#140906,.T.); #200357=EDGE_CURVE('',#161508,#161509,#82264,.T.); #200358=EDGE_CURVE('',#161509,#161509,#140907,.T.); #200359=EDGE_CURVE('',#161510,#161510,#140908,.T.); #200360=EDGE_CURVE('',#161510,#161511,#82265,.T.); #200361=EDGE_CURVE('',#161511,#161511,#140909,.T.); #200362=EDGE_CURVE('',#161512,#161512,#140910,.T.); #200363=EDGE_CURVE('',#161512,#161513,#82266,.T.); #200364=EDGE_CURVE('',#161513,#161513,#140911,.T.); #200365=EDGE_CURVE('',#161514,#161514,#140912,.T.); #200366=EDGE_CURVE('',#161514,#161515,#82267,.T.); #200367=EDGE_CURVE('',#161515,#161515,#140913,.T.); #200368=EDGE_CURVE('',#161516,#161516,#140914,.T.); #200369=EDGE_CURVE('',#161516,#161517,#82268,.T.); #200370=EDGE_CURVE('',#161517,#161517,#140915,.T.); #200371=EDGE_CURVE('',#161518,#161518,#140916,.T.); #200372=EDGE_CURVE('',#161518,#161519,#82269,.T.); #200373=EDGE_CURVE('',#161519,#161519,#140917,.T.); #200374=EDGE_CURVE('',#161520,#161520,#140918,.T.); #200375=EDGE_CURVE('',#161520,#161521,#82270,.T.); #200376=EDGE_CURVE('',#161521,#161521,#140919,.T.); #200377=EDGE_CURVE('',#161522,#161522,#140920,.T.); #200378=EDGE_CURVE('',#161522,#161523,#82271,.T.); #200379=EDGE_CURVE('',#161523,#161523,#140921,.T.); #200380=EDGE_CURVE('',#161524,#161524,#140922,.T.); #200381=EDGE_CURVE('',#161524,#161525,#82272,.T.); #200382=EDGE_CURVE('',#161525,#161525,#140923,.T.); #200383=EDGE_CURVE('',#161526,#161526,#140924,.T.); #200384=EDGE_CURVE('',#161526,#161527,#82273,.T.); #200385=EDGE_CURVE('',#161527,#161527,#140925,.T.); #200386=EDGE_CURVE('',#161528,#161528,#140926,.T.); #200387=EDGE_CURVE('',#161528,#161529,#82274,.T.); #200388=EDGE_CURVE('',#161529,#161529,#140927,.T.); #200389=EDGE_CURVE('',#161530,#161530,#140928,.T.); #200390=EDGE_CURVE('',#161530,#161531,#82275,.T.); #200391=EDGE_CURVE('',#161531,#161531,#140929,.T.); #200392=EDGE_CURVE('',#161532,#161532,#140930,.T.); #200393=EDGE_CURVE('',#161532,#161533,#82276,.T.); #200394=EDGE_CURVE('',#161533,#161533,#140931,.T.); #200395=EDGE_CURVE('',#161534,#161534,#140932,.T.); #200396=EDGE_CURVE('',#161534,#161535,#82277,.T.); #200397=EDGE_CURVE('',#161535,#161535,#140933,.T.); #200398=EDGE_CURVE('',#161536,#161536,#140934,.T.); #200399=EDGE_CURVE('',#161536,#161537,#82278,.T.); #200400=EDGE_CURVE('',#161537,#161537,#140935,.T.); #200401=EDGE_CURVE('',#161538,#161538,#140936,.T.); #200402=EDGE_CURVE('',#161538,#161539,#82279,.T.); #200403=EDGE_CURVE('',#161539,#161539,#140937,.T.); #200404=EDGE_CURVE('',#161540,#161540,#140938,.T.); #200405=EDGE_CURVE('',#161540,#161541,#82280,.T.); #200406=EDGE_CURVE('',#161541,#161541,#140939,.T.); #200407=EDGE_CURVE('',#161542,#161542,#140940,.T.); #200408=EDGE_CURVE('',#161542,#161543,#82281,.T.); #200409=EDGE_CURVE('',#161543,#161543,#140941,.T.); #200410=EDGE_CURVE('',#161544,#161544,#140942,.T.); #200411=EDGE_CURVE('',#161544,#161545,#82282,.T.); #200412=EDGE_CURVE('',#161545,#161545,#140943,.T.); #200413=EDGE_CURVE('',#161546,#161546,#140944,.T.); #200414=EDGE_CURVE('',#161546,#161547,#82283,.T.); #200415=EDGE_CURVE('',#161547,#161547,#140945,.T.); #200416=EDGE_CURVE('',#161548,#161548,#140946,.T.); #200417=EDGE_CURVE('',#161548,#161549,#82284,.T.); #200418=EDGE_CURVE('',#161549,#161549,#140947,.T.); #200419=EDGE_CURVE('',#161550,#161550,#140948,.T.); #200420=EDGE_CURVE('',#161550,#161551,#82285,.T.); #200421=EDGE_CURVE('',#161551,#161551,#140949,.T.); #200422=EDGE_CURVE('',#161552,#161552,#140950,.T.); #200423=EDGE_CURVE('',#161552,#161553,#82286,.T.); #200424=EDGE_CURVE('',#161553,#161553,#140951,.T.); #200425=EDGE_CURVE('',#161554,#161554,#140952,.T.); #200426=EDGE_CURVE('',#161554,#161555,#82287,.T.); #200427=EDGE_CURVE('',#161555,#161555,#140953,.T.); #200428=EDGE_CURVE('',#161556,#161556,#140954,.T.); #200429=EDGE_CURVE('',#161556,#161557,#82288,.T.); #200430=EDGE_CURVE('',#161557,#161557,#140955,.T.); #200431=EDGE_CURVE('',#161558,#161558,#140956,.T.); #200432=EDGE_CURVE('',#161558,#161559,#82289,.T.); #200433=EDGE_CURVE('',#161559,#161559,#140957,.T.); #200434=EDGE_CURVE('',#161560,#161560,#140958,.T.); #200435=EDGE_CURVE('',#161560,#161561,#82290,.T.); #200436=EDGE_CURVE('',#161561,#161561,#140959,.T.); #200437=EDGE_CURVE('',#161562,#161562,#140960,.T.); #200438=EDGE_CURVE('',#161562,#161563,#82291,.T.); #200439=EDGE_CURVE('',#161563,#161563,#140961,.T.); #200440=EDGE_CURVE('',#161564,#161564,#140962,.T.); #200441=EDGE_CURVE('',#161564,#161565,#82292,.T.); #200442=EDGE_CURVE('',#161565,#161565,#140963,.T.); #200443=EDGE_CURVE('',#161566,#161566,#140964,.T.); #200444=EDGE_CURVE('',#161566,#161567,#82293,.T.); #200445=EDGE_CURVE('',#161567,#161567,#140965,.T.); #200446=EDGE_CURVE('',#161568,#161568,#140966,.T.); #200447=EDGE_CURVE('',#161568,#161569,#82294,.T.); #200448=EDGE_CURVE('',#161569,#161569,#140967,.T.); #200449=EDGE_CURVE('',#161570,#161570,#140968,.T.); #200450=EDGE_CURVE('',#161570,#161571,#82295,.T.); #200451=EDGE_CURVE('',#161571,#161571,#140969,.T.); #200452=EDGE_CURVE('',#161572,#161572,#140970,.T.); #200453=EDGE_CURVE('',#161572,#161573,#82296,.T.); #200454=EDGE_CURVE('',#161573,#161573,#140971,.T.); #200455=EDGE_CURVE('',#161574,#161574,#140972,.T.); #200456=EDGE_CURVE('',#161574,#161575,#82297,.T.); #200457=EDGE_CURVE('',#161575,#161575,#140973,.T.); #200458=EDGE_CURVE('',#161576,#161576,#140974,.T.); #200459=EDGE_CURVE('',#161576,#161577,#82298,.T.); #200460=EDGE_CURVE('',#161577,#161577,#140975,.T.); #200461=EDGE_CURVE('',#161578,#161578,#140976,.T.); #200462=EDGE_CURVE('',#161578,#161579,#82299,.T.); #200463=EDGE_CURVE('',#161579,#161579,#140977,.T.); #200464=EDGE_CURVE('',#161580,#161580,#140978,.T.); #200465=EDGE_CURVE('',#161580,#161581,#82300,.T.); #200466=EDGE_CURVE('',#161581,#161581,#140979,.T.); #200467=EDGE_CURVE('',#161582,#161582,#140980,.T.); #200468=EDGE_CURVE('',#161582,#161583,#82301,.T.); #200469=EDGE_CURVE('',#161583,#161583,#140981,.T.); #200470=EDGE_CURVE('',#161584,#161584,#140982,.T.); #200471=EDGE_CURVE('',#161584,#161585,#82302,.T.); #200472=EDGE_CURVE('',#161585,#161585,#140983,.T.); #200473=EDGE_CURVE('',#161586,#161586,#140984,.T.); #200474=EDGE_CURVE('',#161586,#161587,#82303,.T.); #200475=EDGE_CURVE('',#161587,#161587,#140985,.T.); #200476=EDGE_CURVE('',#161588,#161588,#140986,.T.); #200477=EDGE_CURVE('',#161588,#161589,#82304,.T.); #200478=EDGE_CURVE('',#161589,#161589,#140987,.T.); #200479=EDGE_CURVE('',#161590,#161590,#140988,.T.); #200480=EDGE_CURVE('',#161590,#161591,#82305,.T.); #200481=EDGE_CURVE('',#161591,#161591,#140989,.T.); #200482=EDGE_CURVE('',#161592,#161592,#140990,.T.); #200483=EDGE_CURVE('',#161592,#161593,#82306,.T.); #200484=EDGE_CURVE('',#161593,#161593,#140991,.T.); #200485=EDGE_CURVE('',#161594,#161594,#140992,.T.); #200486=EDGE_CURVE('',#161594,#161595,#82307,.T.); #200487=EDGE_CURVE('',#161595,#161595,#140993,.T.); #200488=EDGE_CURVE('',#161596,#161596,#140994,.T.); #200489=EDGE_CURVE('',#161596,#161597,#82308,.T.); #200490=EDGE_CURVE('',#161597,#161597,#140995,.T.); #200491=EDGE_CURVE('',#161598,#161598,#140996,.T.); #200492=EDGE_CURVE('',#161598,#161599,#82309,.T.); #200493=EDGE_CURVE('',#161599,#161599,#140997,.T.); #200494=EDGE_CURVE('',#161600,#161600,#140998,.T.); #200495=EDGE_CURVE('',#161600,#161601,#82310,.T.); #200496=EDGE_CURVE('',#161601,#161601,#140999,.T.); #200497=EDGE_CURVE('',#161602,#161602,#141000,.T.); #200498=EDGE_CURVE('',#161602,#161603,#82311,.T.); #200499=EDGE_CURVE('',#161603,#161603,#141001,.T.); #200500=EDGE_CURVE('',#161604,#161604,#141002,.T.); #200501=EDGE_CURVE('',#161604,#161605,#82312,.T.); #200502=EDGE_CURVE('',#161605,#161605,#141003,.T.); #200503=EDGE_CURVE('',#161606,#161606,#141004,.T.); #200504=EDGE_CURVE('',#161606,#161607,#82313,.T.); #200505=EDGE_CURVE('',#161607,#161607,#141005,.T.); #200506=EDGE_CURVE('',#161608,#161608,#141006,.T.); #200507=EDGE_CURVE('',#161608,#161609,#82314,.T.); #200508=EDGE_CURVE('',#161609,#161609,#141007,.T.); #200509=EDGE_CURVE('',#161610,#161610,#141008,.T.); #200510=EDGE_CURVE('',#161610,#161611,#82315,.T.); #200511=EDGE_CURVE('',#161611,#161611,#141009,.T.); #200512=EDGE_CURVE('',#161612,#161612,#141010,.T.); #200513=EDGE_CURVE('',#161612,#161613,#82316,.T.); #200514=EDGE_CURVE('',#161613,#161613,#141011,.T.); #200515=EDGE_CURVE('',#161614,#161614,#141012,.T.); #200516=EDGE_CURVE('',#161614,#161615,#82317,.T.); #200517=EDGE_CURVE('',#161615,#161615,#141013,.T.); #200518=EDGE_CURVE('',#161616,#161616,#141014,.T.); #200519=EDGE_CURVE('',#161616,#161617,#82318,.T.); #200520=EDGE_CURVE('',#161617,#161617,#141015,.T.); #200521=EDGE_CURVE('',#161618,#161618,#141016,.T.); #200522=EDGE_CURVE('',#161618,#161619,#82319,.T.); #200523=EDGE_CURVE('',#161619,#161619,#141017,.T.); #200524=EDGE_CURVE('',#161620,#161620,#141018,.T.); #200525=EDGE_CURVE('',#161620,#161621,#82320,.T.); #200526=EDGE_CURVE('',#161621,#161621,#141019,.T.); #200527=EDGE_CURVE('',#161622,#161622,#141020,.T.); #200528=EDGE_CURVE('',#161622,#161623,#82321,.T.); #200529=EDGE_CURVE('',#161623,#161623,#141021,.T.); #200530=EDGE_CURVE('',#161624,#161624,#141022,.T.); #200531=EDGE_CURVE('',#161624,#161625,#82322,.T.); #200532=EDGE_CURVE('',#161625,#161625,#141023,.T.); #200533=EDGE_CURVE('',#161626,#161626,#141024,.T.); #200534=EDGE_CURVE('',#161626,#161627,#82323,.T.); #200535=EDGE_CURVE('',#161627,#161627,#141025,.T.); #200536=EDGE_CURVE('',#161628,#161628,#141026,.T.); #200537=EDGE_CURVE('',#161628,#161629,#82324,.T.); #200538=EDGE_CURVE('',#161629,#161629,#141027,.T.); #200539=EDGE_CURVE('',#161630,#161630,#141028,.T.); #200540=EDGE_CURVE('',#161630,#161631,#82325,.T.); #200541=EDGE_CURVE('',#161631,#161631,#141029,.T.); #200542=EDGE_CURVE('',#161632,#161632,#141030,.T.); #200543=EDGE_CURVE('',#161632,#161633,#82326,.T.); #200544=EDGE_CURVE('',#161633,#161633,#141031,.T.); #200545=EDGE_CURVE('',#161634,#161634,#141032,.T.); #200546=EDGE_CURVE('',#161634,#161635,#82327,.T.); #200547=EDGE_CURVE('',#161635,#161635,#141033,.T.); #200548=EDGE_CURVE('',#161636,#161636,#141034,.T.); #200549=EDGE_CURVE('',#161636,#161637,#82328,.T.); #200550=EDGE_CURVE('',#161637,#161637,#141035,.T.); #200551=EDGE_CURVE('',#161638,#161638,#141036,.T.); #200552=EDGE_CURVE('',#161638,#161639,#82329,.T.); #200553=EDGE_CURVE('',#161639,#161639,#141037,.T.); #200554=EDGE_CURVE('',#161640,#161640,#141038,.T.); #200555=EDGE_CURVE('',#161640,#161641,#82330,.T.); #200556=EDGE_CURVE('',#161641,#161641,#141039,.T.); #200557=EDGE_CURVE('',#161642,#161642,#141040,.T.); #200558=EDGE_CURVE('',#161642,#161643,#82331,.T.); #200559=EDGE_CURVE('',#161643,#161643,#141041,.T.); #200560=EDGE_CURVE('',#161644,#161644,#141042,.T.); #200561=EDGE_CURVE('',#161644,#161645,#82332,.T.); #200562=EDGE_CURVE('',#161645,#161645,#141043,.T.); #200563=EDGE_CURVE('',#161646,#161646,#141044,.T.); #200564=EDGE_CURVE('',#161646,#161647,#82333,.T.); #200565=EDGE_CURVE('',#161647,#161647,#141045,.T.); #200566=EDGE_CURVE('',#161648,#161648,#141046,.T.); #200567=EDGE_CURVE('',#161648,#161649,#82334,.T.); #200568=EDGE_CURVE('',#161649,#161649,#141047,.T.); #200569=EDGE_CURVE('',#161650,#161650,#141048,.T.); #200570=EDGE_CURVE('',#161650,#161651,#82335,.T.); #200571=EDGE_CURVE('',#161651,#161651,#141049,.T.); #200572=EDGE_CURVE('',#161652,#161652,#141050,.T.); #200573=EDGE_CURVE('',#161652,#161653,#82336,.T.); #200574=EDGE_CURVE('',#161653,#161653,#141051,.T.); #200575=EDGE_CURVE('',#161654,#161654,#141052,.T.); #200576=EDGE_CURVE('',#161654,#161655,#82337,.T.); #200577=EDGE_CURVE('',#161655,#161655,#141053,.T.); #200578=EDGE_CURVE('',#161656,#161656,#141054,.T.); #200579=EDGE_CURVE('',#161656,#161657,#82338,.T.); #200580=EDGE_CURVE('',#161657,#161657,#141055,.T.); #200581=EDGE_CURVE('',#161658,#161658,#141056,.T.); #200582=EDGE_CURVE('',#161658,#161659,#82339,.T.); #200583=EDGE_CURVE('',#161659,#161659,#141057,.T.); #200584=EDGE_CURVE('',#161660,#161660,#141058,.T.); #200585=EDGE_CURVE('',#161660,#161661,#82340,.T.); #200586=EDGE_CURVE('',#161661,#161661,#141059,.T.); #200587=EDGE_CURVE('',#161662,#161662,#141060,.T.); #200588=EDGE_CURVE('',#161662,#161663,#82341,.T.); #200589=EDGE_CURVE('',#161663,#161663,#141061,.T.); #200590=EDGE_CURVE('',#161664,#161664,#141062,.T.); #200591=EDGE_CURVE('',#161664,#161665,#82342,.T.); #200592=EDGE_CURVE('',#161665,#161665,#141063,.T.); #200593=EDGE_CURVE('',#161666,#161666,#141064,.T.); #200594=EDGE_CURVE('',#161666,#161667,#82343,.T.); #200595=EDGE_CURVE('',#161667,#161667,#141065,.T.); #200596=EDGE_CURVE('',#161668,#161668,#141066,.T.); #200597=EDGE_CURVE('',#161668,#161669,#82344,.T.); #200598=EDGE_CURVE('',#161669,#161669,#141067,.T.); #200599=EDGE_CURVE('',#161670,#161670,#141068,.T.); #200600=EDGE_CURVE('',#161670,#161671,#82345,.T.); #200601=EDGE_CURVE('',#161671,#161671,#141069,.T.); #200602=EDGE_CURVE('',#161672,#161672,#141070,.T.); #200603=EDGE_CURVE('',#161672,#161673,#82346,.T.); #200604=EDGE_CURVE('',#161673,#161673,#141071,.T.); #200605=EDGE_CURVE('',#161674,#161674,#141072,.T.); #200606=EDGE_CURVE('',#161674,#161675,#82347,.T.); #200607=EDGE_CURVE('',#161675,#161675,#141073,.T.); #200608=EDGE_CURVE('',#161676,#161676,#141074,.T.); #200609=EDGE_CURVE('',#161676,#161677,#82348,.T.); #200610=EDGE_CURVE('',#161677,#161677,#141075,.T.); #200611=EDGE_CURVE('',#161678,#161678,#141076,.T.); #200612=EDGE_CURVE('',#161678,#161679,#82349,.T.); #200613=EDGE_CURVE('',#161679,#161679,#141077,.T.); #200614=EDGE_CURVE('',#161680,#161680,#141078,.T.); #200615=EDGE_CURVE('',#161680,#161681,#82350,.T.); #200616=EDGE_CURVE('',#161681,#161681,#141079,.T.); #200617=EDGE_CURVE('',#161682,#161682,#141080,.T.); #200618=EDGE_CURVE('',#161682,#161683,#82351,.T.); #200619=EDGE_CURVE('',#161683,#161683,#141081,.T.); #200620=EDGE_CURVE('',#161684,#161684,#141082,.T.); #200621=EDGE_CURVE('',#161684,#161685,#82352,.T.); #200622=EDGE_CURVE('',#161685,#161685,#141083,.T.); #200623=EDGE_CURVE('',#161686,#161686,#141084,.T.); #200624=EDGE_CURVE('',#161686,#161687,#82353,.T.); #200625=EDGE_CURVE('',#161687,#161687,#141085,.T.); #200626=EDGE_CURVE('',#161688,#161688,#141086,.T.); #200627=EDGE_CURVE('',#161688,#161689,#82354,.T.); #200628=EDGE_CURVE('',#161689,#161689,#141087,.T.); #200629=EDGE_CURVE('',#161690,#161690,#141088,.T.); #200630=EDGE_CURVE('',#161690,#161691,#82355,.T.); #200631=EDGE_CURVE('',#161691,#161691,#141089,.T.); #200632=EDGE_CURVE('',#161692,#161692,#141090,.T.); #200633=EDGE_CURVE('',#161692,#161693,#82356,.T.); #200634=EDGE_CURVE('',#161693,#161693,#141091,.T.); #200635=EDGE_CURVE('',#161694,#161694,#141092,.T.); #200636=EDGE_CURVE('',#161694,#161695,#82357,.T.); #200637=EDGE_CURVE('',#161695,#161695,#141093,.T.); #200638=EDGE_CURVE('',#161696,#161696,#141094,.T.); #200639=EDGE_CURVE('',#161696,#161697,#82358,.T.); #200640=EDGE_CURVE('',#161697,#161697,#141095,.T.); #200641=EDGE_CURVE('',#161698,#161698,#141096,.T.); #200642=EDGE_CURVE('',#161698,#161699,#82359,.T.); #200643=EDGE_CURVE('',#161699,#161699,#141097,.T.); #200644=EDGE_CURVE('',#161700,#161700,#141098,.T.); #200645=EDGE_CURVE('',#161700,#161701,#82360,.T.); #200646=EDGE_CURVE('',#161701,#161701,#141099,.T.); #200647=EDGE_CURVE('',#161702,#161703,#82361,.T.); #200648=EDGE_CURVE('',#161703,#161704,#82362,.T.); #200649=EDGE_CURVE('',#161705,#161704,#82363,.T.); #200650=EDGE_CURVE('',#161702,#161705,#82364,.T.); #200651=EDGE_CURVE('',#161706,#161702,#82365,.T.); #200652=EDGE_CURVE('',#161707,#161705,#82366,.T.); #200653=EDGE_CURVE('',#161706,#161707,#82367,.T.); #200654=EDGE_CURVE('',#161708,#161706,#82368,.T.); #200655=EDGE_CURVE('',#161709,#161707,#82369,.T.); #200656=EDGE_CURVE('',#161708,#161709,#82370,.T.); #200657=EDGE_CURVE('',#161710,#161708,#82371,.T.); #200658=EDGE_CURVE('',#161711,#161709,#82372,.T.); #200659=EDGE_CURVE('',#161710,#161711,#82373,.T.); #200660=EDGE_CURVE('',#161712,#161710,#82374,.T.); #200661=EDGE_CURVE('',#161713,#161711,#82375,.T.); #200662=EDGE_CURVE('',#161712,#161713,#82376,.T.); #200663=EDGE_CURVE('',#161714,#161712,#82377,.T.); #200664=EDGE_CURVE('',#161715,#161713,#82378,.T.); #200665=EDGE_CURVE('',#161714,#161715,#82379,.T.); #200666=EDGE_CURVE('',#161716,#161714,#82380,.T.); #200667=EDGE_CURVE('',#161717,#161715,#82381,.T.); #200668=EDGE_CURVE('',#161716,#161717,#82382,.T.); #200669=EDGE_CURVE('',#161718,#161716,#82383,.T.); #200670=EDGE_CURVE('',#161719,#161717,#82384,.T.); #200671=EDGE_CURVE('',#161718,#161719,#82385,.T.); #200672=EDGE_CURVE('',#161720,#161718,#82386,.T.); #200673=EDGE_CURVE('',#161721,#161719,#82387,.T.); #200674=EDGE_CURVE('',#161720,#161721,#82388,.T.); #200675=EDGE_CURVE('',#161722,#161720,#82389,.T.); #200676=EDGE_CURVE('',#161723,#161721,#82390,.T.); #200677=EDGE_CURVE('',#161722,#161723,#82391,.T.); #200678=EDGE_CURVE('',#161724,#161722,#82392,.T.); #200679=EDGE_CURVE('',#161725,#161723,#82393,.T.); #200680=EDGE_CURVE('',#161724,#161725,#82394,.T.); #200681=EDGE_CURVE('',#161726,#161724,#82395,.T.); #200682=EDGE_CURVE('',#161727,#161725,#82396,.T.); #200683=EDGE_CURVE('',#161726,#161727,#82397,.T.); #200684=EDGE_CURVE('',#161728,#161726,#82398,.T.); #200685=EDGE_CURVE('',#161729,#161727,#82399,.T.); #200686=EDGE_CURVE('',#161728,#161729,#82400,.T.); #200687=EDGE_CURVE('',#161730,#161728,#82401,.T.); #200688=EDGE_CURVE('',#161731,#161729,#82402,.T.); #200689=EDGE_CURVE('',#161730,#161731,#82403,.T.); #200690=EDGE_CURVE('',#161732,#161730,#82404,.T.); #200691=EDGE_CURVE('',#161733,#161731,#82405,.T.); #200692=EDGE_CURVE('',#161732,#161733,#82406,.T.); #200693=EDGE_CURVE('',#161734,#161732,#82407,.T.); #200694=EDGE_CURVE('',#161735,#161733,#82408,.T.); #200695=EDGE_CURVE('',#161734,#161735,#82409,.T.); #200696=EDGE_CURVE('',#161736,#161734,#82410,.T.); #200697=EDGE_CURVE('',#161737,#161735,#82411,.T.); #200698=EDGE_CURVE('',#161736,#161737,#82412,.T.); #200699=EDGE_CURVE('',#161738,#161736,#82413,.T.); #200700=EDGE_CURVE('',#161739,#161737,#82414,.T.); #200701=EDGE_CURVE('',#161738,#161739,#82415,.T.); #200702=EDGE_CURVE('',#161740,#161738,#82416,.T.); #200703=EDGE_CURVE('',#161741,#161739,#82417,.T.); #200704=EDGE_CURVE('',#161740,#161741,#82418,.T.); #200705=EDGE_CURVE('',#161742,#161740,#82419,.T.); #200706=EDGE_CURVE('',#161743,#161741,#82420,.T.); #200707=EDGE_CURVE('',#161742,#161743,#82421,.T.); #200708=EDGE_CURVE('',#161744,#161742,#82422,.T.); #200709=EDGE_CURVE('',#161745,#161743,#82423,.T.); #200710=EDGE_CURVE('',#161744,#161745,#82424,.T.); #200711=EDGE_CURVE('',#161746,#161744,#82425,.T.); #200712=EDGE_CURVE('',#161747,#161745,#82426,.T.); #200713=EDGE_CURVE('',#161746,#161747,#82427,.T.); #200714=EDGE_CURVE('',#161748,#161746,#82428,.T.); #200715=EDGE_CURVE('',#161749,#161747,#82429,.T.); #200716=EDGE_CURVE('',#161748,#161749,#82430,.T.); #200717=EDGE_CURVE('',#161750,#161748,#82431,.T.); #200718=EDGE_CURVE('',#161751,#161749,#82432,.T.); #200719=EDGE_CURVE('',#161750,#161751,#82433,.T.); #200720=EDGE_CURVE('',#161752,#161750,#82434,.T.); #200721=EDGE_CURVE('',#161753,#161751,#82435,.T.); #200722=EDGE_CURVE('',#161752,#161753,#82436,.T.); #200723=EDGE_CURVE('',#161754,#161752,#82437,.T.); #200724=EDGE_CURVE('',#161755,#161753,#82438,.T.); #200725=EDGE_CURVE('',#161754,#161755,#82439,.T.); #200726=EDGE_CURVE('',#161756,#161754,#82440,.T.); #200727=EDGE_CURVE('',#161757,#161755,#82441,.T.); #200728=EDGE_CURVE('',#161756,#161757,#82442,.T.); #200729=EDGE_CURVE('',#161758,#161756,#82443,.T.); #200730=EDGE_CURVE('',#161759,#161757,#82444,.T.); #200731=EDGE_CURVE('',#161758,#161759,#82445,.T.); #200732=EDGE_CURVE('',#161760,#161758,#82446,.T.); #200733=EDGE_CURVE('',#161761,#161759,#82447,.T.); #200734=EDGE_CURVE('',#161760,#161761,#82448,.T.); #200735=EDGE_CURVE('',#161762,#161760,#82449,.T.); #200736=EDGE_CURVE('',#161763,#161761,#82450,.T.); #200737=EDGE_CURVE('',#161762,#161763,#82451,.T.); #200738=EDGE_CURVE('',#161764,#161762,#82452,.T.); #200739=EDGE_CURVE('',#161765,#161763,#82453,.T.); #200740=EDGE_CURVE('',#161764,#161765,#82454,.T.); #200741=EDGE_CURVE('',#161766,#161764,#82455,.T.); #200742=EDGE_CURVE('',#161767,#161765,#82456,.T.); #200743=EDGE_CURVE('',#161766,#161767,#82457,.T.); #200744=EDGE_CURVE('',#161768,#161766,#82458,.T.); #200745=EDGE_CURVE('',#161769,#161767,#82459,.T.); #200746=EDGE_CURVE('',#161768,#161769,#82460,.T.); #200747=EDGE_CURVE('',#161770,#161768,#82461,.T.); #200748=EDGE_CURVE('',#161771,#161769,#82462,.T.); #200749=EDGE_CURVE('',#161770,#161771,#82463,.T.); #200750=EDGE_CURVE('',#161772,#161770,#82464,.T.); #200751=EDGE_CURVE('',#161773,#161771,#82465,.T.); #200752=EDGE_CURVE('',#161772,#161773,#82466,.T.); #200753=EDGE_CURVE('',#161774,#161772,#82467,.T.); #200754=EDGE_CURVE('',#161775,#161773,#82468,.T.); #200755=EDGE_CURVE('',#161774,#161775,#82469,.T.); #200756=EDGE_CURVE('',#161776,#161774,#82470,.T.); #200757=EDGE_CURVE('',#161777,#161775,#82471,.T.); #200758=EDGE_CURVE('',#161776,#161777,#82472,.T.); #200759=EDGE_CURVE('',#161778,#161776,#82473,.T.); #200760=EDGE_CURVE('',#161779,#161777,#82474,.T.); #200761=EDGE_CURVE('',#161778,#161779,#82475,.T.); #200762=EDGE_CURVE('',#161780,#161778,#82476,.T.); #200763=EDGE_CURVE('',#161781,#161779,#82477,.T.); #200764=EDGE_CURVE('',#161780,#161781,#82478,.T.); #200765=EDGE_CURVE('',#161782,#161780,#82479,.T.); #200766=EDGE_CURVE('',#161783,#161781,#82480,.T.); #200767=EDGE_CURVE('',#161782,#161783,#82481,.T.); #200768=EDGE_CURVE('',#161784,#161782,#82482,.T.); #200769=EDGE_CURVE('',#161785,#161783,#82483,.T.); #200770=EDGE_CURVE('',#161784,#161785,#82484,.T.); #200771=EDGE_CURVE('',#161786,#161784,#82485,.T.); #200772=EDGE_CURVE('',#161787,#161785,#82486,.T.); #200773=EDGE_CURVE('',#161786,#161787,#82487,.T.); #200774=EDGE_CURVE('',#161788,#161786,#82488,.T.); #200775=EDGE_CURVE('',#161789,#161787,#82489,.T.); #200776=EDGE_CURVE('',#161788,#161789,#82490,.T.); #200777=EDGE_CURVE('',#161790,#161788,#82491,.T.); #200778=EDGE_CURVE('',#161791,#161789,#82492,.T.); #200779=EDGE_CURVE('',#161790,#161791,#82493,.T.); #200780=EDGE_CURVE('',#161792,#161790,#82494,.T.); #200781=EDGE_CURVE('',#161793,#161791,#82495,.T.); #200782=EDGE_CURVE('',#161792,#161793,#82496,.T.); #200783=EDGE_CURVE('',#161794,#161792,#82497,.T.); #200784=EDGE_CURVE('',#161795,#161793,#82498,.T.); #200785=EDGE_CURVE('',#161794,#161795,#82499,.T.); #200786=EDGE_CURVE('',#161796,#161794,#82500,.T.); #200787=EDGE_CURVE('',#161797,#161795,#82501,.T.); #200788=EDGE_CURVE('',#161796,#161797,#82502,.T.); #200789=EDGE_CURVE('',#161798,#161796,#82503,.T.); #200790=EDGE_CURVE('',#161799,#161797,#82504,.T.); #200791=EDGE_CURVE('',#161798,#161799,#82505,.T.); #200792=EDGE_CURVE('',#161800,#161798,#82506,.T.); #200793=EDGE_CURVE('',#161801,#161799,#82507,.T.); #200794=EDGE_CURVE('',#161800,#161801,#82508,.T.); #200795=EDGE_CURVE('',#161802,#161800,#82509,.T.); #200796=EDGE_CURVE('',#161803,#161801,#82510,.T.); #200797=EDGE_CURVE('',#161802,#161803,#82511,.T.); #200798=EDGE_CURVE('',#161804,#161802,#82512,.T.); #200799=EDGE_CURVE('',#161805,#161803,#82513,.T.); #200800=EDGE_CURVE('',#161804,#161805,#82514,.T.); #200801=EDGE_CURVE('',#161806,#161804,#82515,.T.); #200802=EDGE_CURVE('',#161807,#161805,#82516,.T.); #200803=EDGE_CURVE('',#161806,#161807,#82517,.T.); #200804=EDGE_CURVE('',#161808,#161806,#82518,.T.); #200805=EDGE_CURVE('',#161809,#161807,#82519,.T.); #200806=EDGE_CURVE('',#161808,#161809,#82520,.T.); #200807=EDGE_CURVE('',#161810,#161808,#82521,.T.); #200808=EDGE_CURVE('',#161811,#161809,#82522,.T.); #200809=EDGE_CURVE('',#161810,#161811,#82523,.T.); #200810=EDGE_CURVE('',#161812,#161810,#82524,.T.); #200811=EDGE_CURVE('',#161813,#161811,#82525,.T.); #200812=EDGE_CURVE('',#161812,#161813,#82526,.T.); #200813=EDGE_CURVE('',#161814,#161812,#82527,.T.); #200814=EDGE_CURVE('',#161815,#161813,#82528,.T.); #200815=EDGE_CURVE('',#161814,#161815,#82529,.T.); #200816=EDGE_CURVE('',#161816,#161814,#82530,.T.); #200817=EDGE_CURVE('',#161817,#161815,#82531,.T.); #200818=EDGE_CURVE('',#161816,#161817,#82532,.T.); #200819=EDGE_CURVE('',#161818,#161816,#82533,.T.); #200820=EDGE_CURVE('',#161819,#161817,#82534,.T.); #200821=EDGE_CURVE('',#161818,#161819,#82535,.T.); #200822=EDGE_CURVE('',#161820,#161818,#82536,.T.); #200823=EDGE_CURVE('',#161821,#161819,#82537,.T.); #200824=EDGE_CURVE('',#161820,#161821,#82538,.T.); #200825=EDGE_CURVE('',#161822,#161820,#82539,.T.); #200826=EDGE_CURVE('',#161823,#161821,#82540,.T.); #200827=EDGE_CURVE('',#161822,#161823,#82541,.T.); #200828=EDGE_CURVE('',#161824,#161822,#82542,.T.); #200829=EDGE_CURVE('',#161825,#161823,#82543,.T.); #200830=EDGE_CURVE('',#161824,#161825,#82544,.T.); #200831=EDGE_CURVE('',#161826,#161824,#82545,.T.); #200832=EDGE_CURVE('',#161827,#161825,#82546,.T.); #200833=EDGE_CURVE('',#161826,#161827,#82547,.T.); #200834=EDGE_CURVE('',#161828,#161826,#82548,.T.); #200835=EDGE_CURVE('',#161829,#161827,#82549,.T.); #200836=EDGE_CURVE('',#161828,#161829,#82550,.T.); #200837=EDGE_CURVE('',#161830,#161828,#82551,.T.); #200838=EDGE_CURVE('',#161831,#161829,#82552,.T.); #200839=EDGE_CURVE('',#161830,#161831,#82553,.T.); #200840=EDGE_CURVE('',#161832,#161830,#82554,.T.); #200841=EDGE_CURVE('',#161833,#161831,#82555,.T.); #200842=EDGE_CURVE('',#161832,#161833,#82556,.T.); #200843=EDGE_CURVE('',#161834,#161832,#82557,.T.); #200844=EDGE_CURVE('',#161835,#161833,#82558,.T.); #200845=EDGE_CURVE('',#161834,#161835,#82559,.T.); #200846=EDGE_CURVE('',#161836,#161834,#82560,.T.); #200847=EDGE_CURVE('',#161837,#161835,#82561,.T.); #200848=EDGE_CURVE('',#161836,#161837,#82562,.T.); #200849=EDGE_CURVE('',#161838,#161836,#82563,.T.); #200850=EDGE_CURVE('',#161839,#161837,#82564,.T.); #200851=EDGE_CURVE('',#161838,#161839,#82565,.T.); #200852=EDGE_CURVE('',#161840,#161838,#82566,.T.); #200853=EDGE_CURVE('',#161841,#161839,#82567,.T.); #200854=EDGE_CURVE('',#161840,#161841,#82568,.T.); #200855=EDGE_CURVE('',#161842,#161840,#82569,.T.); #200856=EDGE_CURVE('',#161843,#161841,#82570,.T.); #200857=EDGE_CURVE('',#161842,#161843,#82571,.T.); #200858=EDGE_CURVE('',#161844,#161842,#82572,.T.); #200859=EDGE_CURVE('',#161845,#161843,#82573,.T.); #200860=EDGE_CURVE('',#161844,#161845,#82574,.T.); #200861=EDGE_CURVE('',#161846,#161844,#82575,.T.); #200862=EDGE_CURVE('',#161847,#161845,#82576,.T.); #200863=EDGE_CURVE('',#161846,#161847,#82577,.T.); #200864=EDGE_CURVE('',#161848,#161846,#82578,.T.); #200865=EDGE_CURVE('',#161849,#161847,#82579,.T.); #200866=EDGE_CURVE('',#161848,#161849,#82580,.T.); #200867=EDGE_CURVE('',#161850,#161848,#82581,.T.); #200868=EDGE_CURVE('',#161851,#161849,#82582,.T.); #200869=EDGE_CURVE('',#161850,#161851,#82583,.T.); #200870=EDGE_CURVE('',#161852,#161850,#82584,.T.); #200871=EDGE_CURVE('',#161853,#161851,#82585,.T.); #200872=EDGE_CURVE('',#161852,#161853,#82586,.T.); #200873=EDGE_CURVE('',#161854,#161852,#82587,.T.); #200874=EDGE_CURVE('',#161855,#161853,#82588,.T.); #200875=EDGE_CURVE('',#161854,#161855,#82589,.T.); #200876=EDGE_CURVE('',#161856,#161854,#82590,.T.); #200877=EDGE_CURVE('',#161857,#161855,#82591,.T.); #200878=EDGE_CURVE('',#161856,#161857,#82592,.T.); #200879=EDGE_CURVE('',#161858,#161856,#82593,.T.); #200880=EDGE_CURVE('',#161859,#161857,#82594,.T.); #200881=EDGE_CURVE('',#161858,#161859,#82595,.T.); #200882=EDGE_CURVE('',#161860,#161858,#82596,.T.); #200883=EDGE_CURVE('',#161861,#161859,#82597,.T.); #200884=EDGE_CURVE('',#161860,#161861,#82598,.T.); #200885=EDGE_CURVE('',#161862,#161860,#82599,.T.); #200886=EDGE_CURVE('',#161863,#161861,#82600,.T.); #200887=EDGE_CURVE('',#161862,#161863,#82601,.T.); #200888=EDGE_CURVE('',#161864,#161862,#82602,.T.); #200889=EDGE_CURVE('',#161865,#161863,#82603,.T.); #200890=EDGE_CURVE('',#161864,#161865,#82604,.T.); #200891=EDGE_CURVE('',#161866,#161864,#82605,.T.); #200892=EDGE_CURVE('',#161867,#161865,#82606,.T.); #200893=EDGE_CURVE('',#161866,#161867,#82607,.T.); #200894=EDGE_CURVE('',#161868,#161866,#82608,.T.); #200895=EDGE_CURVE('',#161869,#161867,#82609,.T.); #200896=EDGE_CURVE('',#161868,#161869,#82610,.T.); #200897=EDGE_CURVE('',#161870,#161868,#82611,.T.); #200898=EDGE_CURVE('',#161871,#161869,#82612,.T.); #200899=EDGE_CURVE('',#161870,#161871,#82613,.T.); #200900=EDGE_CURVE('',#161872,#161870,#82614,.T.); #200901=EDGE_CURVE('',#161873,#161871,#82615,.T.); #200902=EDGE_CURVE('',#161872,#161873,#82616,.T.); #200903=EDGE_CURVE('',#161874,#161872,#82617,.T.); #200904=EDGE_CURVE('',#161875,#161873,#82618,.T.); #200905=EDGE_CURVE('',#161874,#161875,#82619,.T.); #200906=EDGE_CURVE('',#161876,#161874,#82620,.T.); #200907=EDGE_CURVE('',#161877,#161875,#82621,.T.); #200908=EDGE_CURVE('',#161876,#161877,#82622,.T.); #200909=EDGE_CURVE('',#161878,#161876,#82623,.T.); #200910=EDGE_CURVE('',#161879,#161877,#82624,.T.); #200911=EDGE_CURVE('',#161878,#161879,#82625,.T.); #200912=EDGE_CURVE('',#161880,#161878,#82626,.T.); #200913=EDGE_CURVE('',#161881,#161879,#82627,.T.); #200914=EDGE_CURVE('',#161880,#161881,#82628,.T.); #200915=EDGE_CURVE('',#161882,#161880,#82629,.T.); #200916=EDGE_CURVE('',#161883,#161881,#82630,.T.); #200917=EDGE_CURVE('',#161882,#161883,#82631,.T.); #200918=EDGE_CURVE('',#161884,#161882,#82632,.T.); #200919=EDGE_CURVE('',#161885,#161883,#82633,.T.); #200920=EDGE_CURVE('',#161884,#161885,#82634,.T.); #200921=EDGE_CURVE('',#161886,#161884,#82635,.T.); #200922=EDGE_CURVE('',#161887,#161885,#82636,.T.); #200923=EDGE_CURVE('',#161886,#161887,#82637,.T.); #200924=EDGE_CURVE('',#161888,#161886,#82638,.T.); #200925=EDGE_CURVE('',#161889,#161887,#82639,.T.); #200926=EDGE_CURVE('',#161888,#161889,#82640,.T.); #200927=EDGE_CURVE('',#161890,#161888,#82641,.T.); #200928=EDGE_CURVE('',#161891,#161889,#82642,.T.); #200929=EDGE_CURVE('',#161890,#161891,#82643,.T.); #200930=EDGE_CURVE('',#161892,#161890,#82644,.T.); #200931=EDGE_CURVE('',#161893,#161891,#82645,.T.); #200932=EDGE_CURVE('',#161892,#161893,#82646,.T.); #200933=EDGE_CURVE('',#161894,#161892,#82647,.T.); #200934=EDGE_CURVE('',#161895,#161893,#82648,.T.); #200935=EDGE_CURVE('',#161894,#161895,#82649,.T.); #200936=EDGE_CURVE('',#161896,#161894,#82650,.T.); #200937=EDGE_CURVE('',#161897,#161895,#82651,.T.); #200938=EDGE_CURVE('',#161896,#161897,#82652,.T.); #200939=EDGE_CURVE('',#161898,#161896,#82653,.T.); #200940=EDGE_CURVE('',#161899,#161897,#82654,.T.); #200941=EDGE_CURVE('',#161898,#161899,#82655,.T.); #200942=EDGE_CURVE('',#161900,#161898,#82656,.T.); #200943=EDGE_CURVE('',#161901,#161899,#82657,.T.); #200944=EDGE_CURVE('',#161900,#161901,#82658,.T.); #200945=EDGE_CURVE('',#161902,#161900,#82659,.T.); #200946=EDGE_CURVE('',#161903,#161901,#82660,.T.); #200947=EDGE_CURVE('',#161902,#161903,#82661,.T.); #200948=EDGE_CURVE('',#161904,#161902,#82662,.T.); #200949=EDGE_CURVE('',#161905,#161903,#82663,.T.); #200950=EDGE_CURVE('',#161904,#161905,#82664,.T.); #200951=EDGE_CURVE('',#161906,#161904,#82665,.T.); #200952=EDGE_CURVE('',#161907,#161905,#82666,.T.); #200953=EDGE_CURVE('',#161906,#161907,#82667,.T.); #200954=EDGE_CURVE('',#161908,#161906,#82668,.T.); #200955=EDGE_CURVE('',#161909,#161907,#82669,.T.); #200956=EDGE_CURVE('',#161908,#161909,#82670,.T.); #200957=EDGE_CURVE('',#161910,#161908,#82671,.T.); #200958=EDGE_CURVE('',#161911,#161909,#82672,.T.); #200959=EDGE_CURVE('',#161910,#161911,#82673,.T.); #200960=EDGE_CURVE('',#161912,#161910,#82674,.T.); #200961=EDGE_CURVE('',#161913,#161911,#82675,.T.); #200962=EDGE_CURVE('',#161912,#161913,#82676,.T.); #200963=EDGE_CURVE('',#161914,#161912,#82677,.T.); #200964=EDGE_CURVE('',#161915,#161913,#82678,.T.); #200965=EDGE_CURVE('',#161914,#161915,#82679,.T.); #200966=EDGE_CURVE('',#161916,#161914,#82680,.T.); #200967=EDGE_CURVE('',#161917,#161915,#82681,.T.); #200968=EDGE_CURVE('',#161916,#161917,#82682,.T.); #200969=EDGE_CURVE('',#161918,#161916,#82683,.T.); #200970=EDGE_CURVE('',#161919,#161917,#82684,.T.); #200971=EDGE_CURVE('',#161918,#161919,#82685,.T.); #200972=EDGE_CURVE('',#161920,#161918,#82686,.T.); #200973=EDGE_CURVE('',#161921,#161919,#82687,.T.); #200974=EDGE_CURVE('',#161920,#161921,#82688,.T.); #200975=EDGE_CURVE('',#161922,#161920,#82689,.T.); #200976=EDGE_CURVE('',#161923,#161921,#82690,.T.); #200977=EDGE_CURVE('',#161922,#161923,#82691,.T.); #200978=EDGE_CURVE('',#161924,#161922,#82692,.T.); #200979=EDGE_CURVE('',#161925,#161923,#82693,.T.); #200980=EDGE_CURVE('',#161924,#161925,#82694,.T.); #200981=EDGE_CURVE('',#161926,#161924,#82695,.T.); #200982=EDGE_CURVE('',#161927,#161925,#82696,.T.); #200983=EDGE_CURVE('',#161926,#161927,#82697,.T.); #200984=EDGE_CURVE('',#161928,#161926,#82698,.T.); #200985=EDGE_CURVE('',#161929,#161927,#82699,.T.); #200986=EDGE_CURVE('',#161928,#161929,#82700,.T.); #200987=EDGE_CURVE('',#161930,#161928,#82701,.T.); #200988=EDGE_CURVE('',#161931,#161929,#82702,.T.); #200989=EDGE_CURVE('',#161930,#161931,#82703,.T.); #200990=EDGE_CURVE('',#161932,#161930,#82704,.T.); #200991=EDGE_CURVE('',#161933,#161931,#82705,.T.); #200992=EDGE_CURVE('',#161932,#161933,#82706,.T.); #200993=EDGE_CURVE('',#161934,#161932,#82707,.T.); #200994=EDGE_CURVE('',#161935,#161933,#82708,.T.); #200995=EDGE_CURVE('',#161934,#161935,#82709,.T.); #200996=EDGE_CURVE('',#161936,#161934,#82710,.T.); #200997=EDGE_CURVE('',#161937,#161935,#82711,.T.); #200998=EDGE_CURVE('',#161936,#161937,#82712,.T.); #200999=EDGE_CURVE('',#161938,#161936,#82713,.T.); #201000=EDGE_CURVE('',#161939,#161937,#82714,.T.); #201001=EDGE_CURVE('',#161938,#161939,#82715,.T.); #201002=EDGE_CURVE('',#161940,#161938,#82716,.T.); #201003=EDGE_CURVE('',#161941,#161939,#82717,.T.); #201004=EDGE_CURVE('',#161940,#161941,#82718,.T.); #201005=EDGE_CURVE('',#161942,#161940,#82719,.T.); #201006=EDGE_CURVE('',#161943,#161941,#82720,.T.); #201007=EDGE_CURVE('',#161942,#161943,#82721,.T.); #201008=EDGE_CURVE('',#161944,#161942,#82722,.T.); #201009=EDGE_CURVE('',#161945,#161943,#82723,.T.); #201010=EDGE_CURVE('',#161944,#161945,#82724,.T.); #201011=EDGE_CURVE('',#161946,#161944,#82725,.T.); #201012=EDGE_CURVE('',#161947,#161945,#82726,.T.); #201013=EDGE_CURVE('',#161946,#161947,#82727,.T.); #201014=EDGE_CURVE('',#161948,#161946,#82728,.T.); #201015=EDGE_CURVE('',#161949,#161947,#82729,.T.); #201016=EDGE_CURVE('',#161948,#161949,#82730,.T.); #201017=EDGE_CURVE('',#161950,#161948,#82731,.T.); #201018=EDGE_CURVE('',#161951,#161949,#82732,.T.); #201019=EDGE_CURVE('',#161950,#161951,#82733,.T.); #201020=EDGE_CURVE('',#161952,#161950,#82734,.T.); #201021=EDGE_CURVE('',#161953,#161951,#82735,.T.); #201022=EDGE_CURVE('',#161952,#161953,#82736,.T.); #201023=EDGE_CURVE('',#161954,#161952,#82737,.T.); #201024=EDGE_CURVE('',#161955,#161953,#82738,.T.); #201025=EDGE_CURVE('',#161954,#161955,#82739,.T.); #201026=EDGE_CURVE('',#161956,#161954,#82740,.T.); #201027=EDGE_CURVE('',#161957,#161955,#82741,.T.); #201028=EDGE_CURVE('',#161956,#161957,#82742,.T.); #201029=EDGE_CURVE('',#161958,#161956,#82743,.T.); #201030=EDGE_CURVE('',#161959,#161957,#82744,.T.); #201031=EDGE_CURVE('',#161958,#161959,#82745,.T.); #201032=EDGE_CURVE('',#161960,#161958,#82746,.T.); #201033=EDGE_CURVE('',#161961,#161959,#82747,.T.); #201034=EDGE_CURVE('',#161960,#161961,#82748,.T.); #201035=EDGE_CURVE('',#161962,#161960,#82749,.T.); #201036=EDGE_CURVE('',#161963,#161961,#82750,.T.); #201037=EDGE_CURVE('',#161962,#161963,#82751,.T.); #201038=EDGE_CURVE('',#161964,#161962,#82752,.T.); #201039=EDGE_CURVE('',#161965,#161963,#82753,.T.); #201040=EDGE_CURVE('',#161964,#161965,#82754,.T.); #201041=EDGE_CURVE('',#161703,#161964,#82755,.T.); #201042=EDGE_CURVE('',#161704,#161965,#82756,.T.); #201043=EDGE_CURVE('',#161966,#161967,#82757,.T.); #201044=EDGE_CURVE('',#161967,#161968,#82758,.T.); #201045=EDGE_CURVE('',#161969,#161968,#82759,.T.); #201046=EDGE_CURVE('',#161966,#161969,#82760,.T.); #201047=EDGE_CURVE('',#161970,#161966,#82761,.T.); #201048=EDGE_CURVE('',#161971,#161969,#82762,.T.); #201049=EDGE_CURVE('',#161970,#161971,#82763,.T.); #201050=EDGE_CURVE('',#161972,#161970,#82764,.T.); #201051=EDGE_CURVE('',#161973,#161971,#82765,.T.); #201052=EDGE_CURVE('',#161972,#161973,#82766,.T.); #201053=EDGE_CURVE('',#161974,#161972,#82767,.T.); #201054=EDGE_CURVE('',#161975,#161973,#82768,.T.); #201055=EDGE_CURVE('',#161974,#161975,#82769,.T.); #201056=EDGE_CURVE('',#161976,#161974,#82770,.T.); #201057=EDGE_CURVE('',#161977,#161975,#82771,.T.); #201058=EDGE_CURVE('',#161976,#161977,#82772,.T.); #201059=EDGE_CURVE('',#161978,#161976,#82773,.T.); #201060=EDGE_CURVE('',#161979,#161977,#82774,.T.); #201061=EDGE_CURVE('',#161978,#161979,#82775,.T.); #201062=EDGE_CURVE('',#161980,#161978,#82776,.T.); #201063=EDGE_CURVE('',#161981,#161979,#82777,.T.); #201064=EDGE_CURVE('',#161980,#161981,#82778,.T.); #201065=EDGE_CURVE('',#161967,#161980,#82779,.T.); #201066=EDGE_CURVE('',#161968,#161981,#82780,.T.); #201067=EDGE_CURVE('',#161982,#161983,#82781,.T.); #201068=EDGE_CURVE('',#161983,#161984,#82782,.T.); #201069=EDGE_CURVE('',#161985,#161984,#82783,.T.); #201070=EDGE_CURVE('',#161982,#161985,#82784,.T.); #201071=EDGE_CURVE('',#161986,#161982,#82785,.T.); #201072=EDGE_CURVE('',#161987,#161985,#82786,.T.); #201073=EDGE_CURVE('',#161986,#161987,#82787,.T.); #201074=EDGE_CURVE('',#161988,#161986,#82788,.T.); #201075=EDGE_CURVE('',#161989,#161987,#82789,.T.); #201076=EDGE_CURVE('',#161988,#161989,#82790,.T.); #201077=EDGE_CURVE('',#161990,#161988,#82791,.T.); #201078=EDGE_CURVE('',#161991,#161989,#82792,.T.); #201079=EDGE_CURVE('',#161990,#161991,#82793,.T.); #201080=EDGE_CURVE('',#161992,#161990,#82794,.T.); #201081=EDGE_CURVE('',#161993,#161991,#82795,.T.); #201082=EDGE_CURVE('',#161992,#161993,#82796,.T.); #201083=EDGE_CURVE('',#161994,#161992,#82797,.T.); #201084=EDGE_CURVE('',#161995,#161993,#82798,.T.); #201085=EDGE_CURVE('',#161994,#161995,#82799,.T.); #201086=EDGE_CURVE('',#161996,#161994,#82800,.T.); #201087=EDGE_CURVE('',#161997,#161995,#82801,.T.); #201088=EDGE_CURVE('',#161996,#161997,#82802,.T.); #201089=EDGE_CURVE('',#161983,#161996,#82803,.T.); #201090=EDGE_CURVE('',#161984,#161997,#82804,.T.); #201091=EDGE_CURVE('',#161998,#161999,#82805,.T.); #201092=EDGE_CURVE('',#161999,#162000,#82806,.T.); #201093=EDGE_CURVE('',#162001,#162000,#82807,.T.); #201094=EDGE_CURVE('',#161998,#162001,#82808,.T.); #201095=EDGE_CURVE('',#162002,#161998,#82809,.T.); #201096=EDGE_CURVE('',#162003,#162001,#82810,.T.); #201097=EDGE_CURVE('',#162002,#162003,#82811,.T.); #201098=EDGE_CURVE('',#162004,#162002,#82812,.T.); #201099=EDGE_CURVE('',#162005,#162003,#82813,.T.); #201100=EDGE_CURVE('',#162004,#162005,#82814,.T.); #201101=EDGE_CURVE('',#162006,#162004,#82815,.T.); #201102=EDGE_CURVE('',#162007,#162005,#82816,.T.); #201103=EDGE_CURVE('',#162006,#162007,#82817,.T.); #201104=EDGE_CURVE('',#162008,#162006,#82818,.T.); #201105=EDGE_CURVE('',#162009,#162007,#82819,.T.); #201106=EDGE_CURVE('',#162008,#162009,#82820,.T.); #201107=EDGE_CURVE('',#162010,#162008,#82821,.T.); #201108=EDGE_CURVE('',#162011,#162009,#82822,.T.); #201109=EDGE_CURVE('',#162010,#162011,#82823,.T.); #201110=EDGE_CURVE('',#162012,#162010,#82824,.T.); #201111=EDGE_CURVE('',#162013,#162011,#82825,.T.); #201112=EDGE_CURVE('',#162012,#162013,#82826,.T.); #201113=EDGE_CURVE('',#161999,#162012,#82827,.T.); #201114=EDGE_CURVE('',#162000,#162013,#82828,.T.); #201115=EDGE_CURVE('',#162014,#162015,#82829,.T.); #201116=EDGE_CURVE('',#162015,#162016,#82830,.T.); #201117=EDGE_CURVE('',#162017,#162016,#82831,.T.); #201118=EDGE_CURVE('',#162014,#162017,#82832,.T.); #201119=EDGE_CURVE('',#162018,#162014,#82833,.T.); #201120=EDGE_CURVE('',#162019,#162017,#82834,.T.); #201121=EDGE_CURVE('',#162018,#162019,#82835,.T.); #201122=EDGE_CURVE('',#162020,#162018,#82836,.T.); #201123=EDGE_CURVE('',#162021,#162019,#82837,.T.); #201124=EDGE_CURVE('',#162020,#162021,#82838,.T.); #201125=EDGE_CURVE('',#162022,#162020,#82839,.T.); #201126=EDGE_CURVE('',#162023,#162021,#82840,.T.); #201127=EDGE_CURVE('',#162022,#162023,#82841,.T.); #201128=EDGE_CURVE('',#162024,#162022,#82842,.T.); #201129=EDGE_CURVE('',#162025,#162023,#82843,.T.); #201130=EDGE_CURVE('',#162024,#162025,#82844,.T.); #201131=EDGE_CURVE('',#162026,#162024,#82845,.T.); #201132=EDGE_CURVE('',#162027,#162025,#82846,.T.); #201133=EDGE_CURVE('',#162026,#162027,#82847,.T.); #201134=EDGE_CURVE('',#162028,#162026,#82848,.T.); #201135=EDGE_CURVE('',#162029,#162027,#82849,.T.); #201136=EDGE_CURVE('',#162028,#162029,#82850,.T.); #201137=EDGE_CURVE('',#162030,#162028,#82851,.T.); #201138=EDGE_CURVE('',#162031,#162029,#82852,.T.); #201139=EDGE_CURVE('',#162030,#162031,#82853,.T.); #201140=EDGE_CURVE('',#162032,#162030,#82854,.T.); #201141=EDGE_CURVE('',#162033,#162031,#82855,.T.); #201142=EDGE_CURVE('',#162032,#162033,#82856,.T.); #201143=EDGE_CURVE('',#162034,#162032,#82857,.T.); #201144=EDGE_CURVE('',#162035,#162033,#82858,.T.); #201145=EDGE_CURVE('',#162034,#162035,#82859,.T.); #201146=EDGE_CURVE('',#162036,#162034,#82860,.T.); #201147=EDGE_CURVE('',#162037,#162035,#82861,.T.); #201148=EDGE_CURVE('',#162036,#162037,#82862,.T.); #201149=EDGE_CURVE('',#162038,#162036,#82863,.T.); #201150=EDGE_CURVE('',#162039,#162037,#82864,.T.); #201151=EDGE_CURVE('',#162038,#162039,#82865,.T.); #201152=EDGE_CURVE('',#162040,#162038,#82866,.T.); #201153=EDGE_CURVE('',#162041,#162039,#82867,.T.); #201154=EDGE_CURVE('',#162040,#162041,#82868,.T.); #201155=EDGE_CURVE('',#162042,#162040,#82869,.T.); #201156=EDGE_CURVE('',#162043,#162041,#82870,.T.); #201157=EDGE_CURVE('',#162042,#162043,#82871,.T.); #201158=EDGE_CURVE('',#162044,#162042,#82872,.T.); #201159=EDGE_CURVE('',#162045,#162043,#82873,.T.); #201160=EDGE_CURVE('',#162044,#162045,#82874,.T.); #201161=EDGE_CURVE('',#162046,#162044,#82875,.T.); #201162=EDGE_CURVE('',#162047,#162045,#82876,.T.); #201163=EDGE_CURVE('',#162046,#162047,#82877,.T.); #201164=EDGE_CURVE('',#162048,#162046,#82878,.T.); #201165=EDGE_CURVE('',#162049,#162047,#82879,.T.); #201166=EDGE_CURVE('',#162048,#162049,#82880,.T.); #201167=EDGE_CURVE('',#162050,#162048,#82881,.T.); #201168=EDGE_CURVE('',#162051,#162049,#82882,.T.); #201169=EDGE_CURVE('',#162050,#162051,#82883,.T.); #201170=EDGE_CURVE('',#162052,#162050,#82884,.T.); #201171=EDGE_CURVE('',#162053,#162051,#82885,.T.); #201172=EDGE_CURVE('',#162052,#162053,#82886,.T.); #201173=EDGE_CURVE('',#162054,#162052,#82887,.T.); #201174=EDGE_CURVE('',#162055,#162053,#82888,.T.); #201175=EDGE_CURVE('',#162054,#162055,#82889,.T.); #201176=EDGE_CURVE('',#162056,#162054,#82890,.T.); #201177=EDGE_CURVE('',#162057,#162055,#82891,.T.); #201178=EDGE_CURVE('',#162056,#162057,#82892,.T.); #201179=EDGE_CURVE('',#162058,#162056,#82893,.T.); #201180=EDGE_CURVE('',#162059,#162057,#82894,.T.); #201181=EDGE_CURVE('',#162058,#162059,#82895,.T.); #201182=EDGE_CURVE('',#162060,#162058,#82896,.T.); #201183=EDGE_CURVE('',#162061,#162059,#82897,.T.); #201184=EDGE_CURVE('',#162060,#162061,#82898,.T.); #201185=EDGE_CURVE('',#162062,#162060,#82899,.T.); #201186=EDGE_CURVE('',#162063,#162061,#82900,.T.); #201187=EDGE_CURVE('',#162062,#162063,#82901,.T.); #201188=EDGE_CURVE('',#162064,#162062,#82902,.T.); #201189=EDGE_CURVE('',#162065,#162063,#82903,.T.); #201190=EDGE_CURVE('',#162064,#162065,#82904,.T.); #201191=EDGE_CURVE('',#162066,#162064,#82905,.T.); #201192=EDGE_CURVE('',#162067,#162065,#82906,.T.); #201193=EDGE_CURVE('',#162066,#162067,#82907,.T.); #201194=EDGE_CURVE('',#162068,#162066,#82908,.T.); #201195=EDGE_CURVE('',#162069,#162067,#82909,.T.); #201196=EDGE_CURVE('',#162068,#162069,#82910,.T.); #201197=EDGE_CURVE('',#162070,#162068,#82911,.T.); #201198=EDGE_CURVE('',#162071,#162069,#82912,.T.); #201199=EDGE_CURVE('',#162070,#162071,#82913,.T.); #201200=EDGE_CURVE('',#162072,#162070,#82914,.T.); #201201=EDGE_CURVE('',#162073,#162071,#82915,.T.); #201202=EDGE_CURVE('',#162072,#162073,#82916,.T.); #201203=EDGE_CURVE('',#162074,#162072,#82917,.T.); #201204=EDGE_CURVE('',#162075,#162073,#82918,.T.); #201205=EDGE_CURVE('',#162074,#162075,#82919,.T.); #201206=EDGE_CURVE('',#162076,#162074,#82920,.T.); #201207=EDGE_CURVE('',#162077,#162075,#82921,.T.); #201208=EDGE_CURVE('',#162076,#162077,#82922,.T.); #201209=EDGE_CURVE('',#162078,#162076,#82923,.T.); #201210=EDGE_CURVE('',#162079,#162077,#82924,.T.); #201211=EDGE_CURVE('',#162078,#162079,#82925,.T.); #201212=EDGE_CURVE('',#162080,#162078,#82926,.T.); #201213=EDGE_CURVE('',#162081,#162079,#82927,.T.); #201214=EDGE_CURVE('',#162080,#162081,#82928,.T.); #201215=EDGE_CURVE('',#162082,#162080,#82929,.T.); #201216=EDGE_CURVE('',#162083,#162081,#82930,.T.); #201217=EDGE_CURVE('',#162082,#162083,#82931,.T.); #201218=EDGE_CURVE('',#162084,#162082,#82932,.T.); #201219=EDGE_CURVE('',#162085,#162083,#82933,.T.); #201220=EDGE_CURVE('',#162084,#162085,#82934,.T.); #201221=EDGE_CURVE('',#162086,#162084,#82935,.T.); #201222=EDGE_CURVE('',#162087,#162085,#82936,.T.); #201223=EDGE_CURVE('',#162086,#162087,#82937,.T.); #201224=EDGE_CURVE('',#162088,#162086,#82938,.T.); #201225=EDGE_CURVE('',#162089,#162087,#82939,.T.); #201226=EDGE_CURVE('',#162088,#162089,#82940,.T.); #201227=EDGE_CURVE('',#162090,#162088,#82941,.T.); #201228=EDGE_CURVE('',#162091,#162089,#82942,.T.); #201229=EDGE_CURVE('',#162090,#162091,#82943,.T.); #201230=EDGE_CURVE('',#162092,#162090,#82944,.T.); #201231=EDGE_CURVE('',#162093,#162091,#82945,.T.); #201232=EDGE_CURVE('',#162092,#162093,#82946,.T.); #201233=EDGE_CURVE('',#162094,#162092,#82947,.T.); #201234=EDGE_CURVE('',#162095,#162093,#82948,.T.); #201235=EDGE_CURVE('',#162094,#162095,#82949,.T.); #201236=EDGE_CURVE('',#162096,#162094,#82950,.T.); #201237=EDGE_CURVE('',#162097,#162095,#82951,.T.); #201238=EDGE_CURVE('',#162096,#162097,#82952,.T.); #201239=EDGE_CURVE('',#162098,#162096,#82953,.T.); #201240=EDGE_CURVE('',#162099,#162097,#82954,.T.); #201241=EDGE_CURVE('',#162098,#162099,#82955,.T.); #201242=EDGE_CURVE('',#162100,#162098,#82956,.T.); #201243=EDGE_CURVE('',#162101,#162099,#82957,.T.); #201244=EDGE_CURVE('',#162100,#162101,#82958,.T.); #201245=EDGE_CURVE('',#162102,#162100,#82959,.T.); #201246=EDGE_CURVE('',#162103,#162101,#82960,.T.); #201247=EDGE_CURVE('',#162102,#162103,#82961,.T.); #201248=EDGE_CURVE('',#162104,#162102,#82962,.T.); #201249=EDGE_CURVE('',#162105,#162103,#82963,.T.); #201250=EDGE_CURVE('',#162104,#162105,#82964,.T.); #201251=EDGE_CURVE('',#162106,#162104,#82965,.T.); #201252=EDGE_CURVE('',#162107,#162105,#82966,.T.); #201253=EDGE_CURVE('',#162106,#162107,#82967,.T.); #201254=EDGE_CURVE('',#162108,#162106,#82968,.T.); #201255=EDGE_CURVE('',#162109,#162107,#82969,.T.); #201256=EDGE_CURVE('',#162108,#162109,#82970,.T.); #201257=EDGE_CURVE('',#162110,#162108,#82971,.T.); #201258=EDGE_CURVE('',#162111,#162109,#82972,.T.); #201259=EDGE_CURVE('',#162110,#162111,#82973,.T.); #201260=EDGE_CURVE('',#162112,#162110,#82974,.T.); #201261=EDGE_CURVE('',#162113,#162111,#82975,.T.); #201262=EDGE_CURVE('',#162112,#162113,#82976,.T.); #201263=EDGE_CURVE('',#162114,#162112,#82977,.T.); #201264=EDGE_CURVE('',#162115,#162113,#82978,.T.); #201265=EDGE_CURVE('',#162114,#162115,#82979,.T.); #201266=EDGE_CURVE('',#162116,#162114,#82980,.T.); #201267=EDGE_CURVE('',#162117,#162115,#82981,.T.); #201268=EDGE_CURVE('',#162116,#162117,#82982,.T.); #201269=EDGE_CURVE('',#162118,#162116,#82983,.T.); #201270=EDGE_CURVE('',#162119,#162117,#82984,.T.); #201271=EDGE_CURVE('',#162118,#162119,#82985,.T.); #201272=EDGE_CURVE('',#162120,#162118,#82986,.T.); #201273=EDGE_CURVE('',#162121,#162119,#82987,.T.); #201274=EDGE_CURVE('',#162120,#162121,#82988,.T.); #201275=EDGE_CURVE('',#162122,#162120,#82989,.T.); #201276=EDGE_CURVE('',#162123,#162121,#82990,.T.); #201277=EDGE_CURVE('',#162122,#162123,#82991,.T.); #201278=EDGE_CURVE('',#162124,#162122,#82992,.T.); #201279=EDGE_CURVE('',#162125,#162123,#82993,.T.); #201280=EDGE_CURVE('',#162124,#162125,#82994,.T.); #201281=EDGE_CURVE('',#162126,#162124,#82995,.T.); #201282=EDGE_CURVE('',#162127,#162125,#82996,.T.); #201283=EDGE_CURVE('',#162126,#162127,#82997,.T.); #201284=EDGE_CURVE('',#162128,#162126,#82998,.T.); #201285=EDGE_CURVE('',#162129,#162127,#82999,.T.); #201286=EDGE_CURVE('',#162128,#162129,#83000,.T.); #201287=EDGE_CURVE('',#162130,#162128,#83001,.T.); #201288=EDGE_CURVE('',#162131,#162129,#83002,.T.); #201289=EDGE_CURVE('',#162130,#162131,#83003,.T.); #201290=EDGE_CURVE('',#162132,#162130,#83004,.T.); #201291=EDGE_CURVE('',#162133,#162131,#83005,.T.); #201292=EDGE_CURVE('',#162132,#162133,#83006,.T.); #201293=EDGE_CURVE('',#162015,#162132,#83007,.T.); #201294=EDGE_CURVE('',#162016,#162133,#83008,.T.); #201295=EDGE_CURVE('',#162134,#162135,#83009,.T.); #201296=EDGE_CURVE('',#162135,#162136,#83010,.T.); #201297=EDGE_CURVE('',#162137,#162136,#83011,.T.); #201298=EDGE_CURVE('',#162134,#162137,#83012,.T.); #201299=EDGE_CURVE('',#162138,#162134,#83013,.T.); #201300=EDGE_CURVE('',#162139,#162137,#83014,.T.); #201301=EDGE_CURVE('',#162138,#162139,#83015,.T.); #201302=EDGE_CURVE('',#162140,#162138,#83016,.T.); #201303=EDGE_CURVE('',#162141,#162139,#83017,.T.); #201304=EDGE_CURVE('',#162140,#162141,#83018,.T.); #201305=EDGE_CURVE('',#162142,#162140,#83019,.T.); #201306=EDGE_CURVE('',#162143,#162141,#83020,.T.); #201307=EDGE_CURVE('',#162142,#162143,#83021,.T.); #201308=EDGE_CURVE('',#162144,#162142,#83022,.T.); #201309=EDGE_CURVE('',#162145,#162143,#83023,.T.); #201310=EDGE_CURVE('',#162144,#162145,#83024,.T.); #201311=EDGE_CURVE('',#162146,#162144,#83025,.T.); #201312=EDGE_CURVE('',#162147,#162145,#83026,.T.); #201313=EDGE_CURVE('',#162146,#162147,#83027,.T.); #201314=EDGE_CURVE('',#162148,#162146,#83028,.T.); #201315=EDGE_CURVE('',#162149,#162147,#83029,.T.); #201316=EDGE_CURVE('',#162148,#162149,#83030,.T.); #201317=EDGE_CURVE('',#162150,#162148,#83031,.T.); #201318=EDGE_CURVE('',#162151,#162149,#83032,.T.); #201319=EDGE_CURVE('',#162150,#162151,#83033,.T.); #201320=EDGE_CURVE('',#162152,#162150,#83034,.T.); #201321=EDGE_CURVE('',#162153,#162151,#83035,.T.); #201322=EDGE_CURVE('',#162152,#162153,#83036,.T.); #201323=EDGE_CURVE('',#162154,#162152,#83037,.T.); #201324=EDGE_CURVE('',#162155,#162153,#83038,.T.); #201325=EDGE_CURVE('',#162154,#162155,#83039,.T.); #201326=EDGE_CURVE('',#162156,#162154,#83040,.T.); #201327=EDGE_CURVE('',#162157,#162155,#83041,.T.); #201328=EDGE_CURVE('',#162156,#162157,#83042,.T.); #201329=EDGE_CURVE('',#162158,#162156,#83043,.T.); #201330=EDGE_CURVE('',#162159,#162157,#83044,.T.); #201331=EDGE_CURVE('',#162158,#162159,#83045,.T.); #201332=EDGE_CURVE('',#162160,#162158,#83046,.T.); #201333=EDGE_CURVE('',#162161,#162159,#83047,.T.); #201334=EDGE_CURVE('',#162160,#162161,#83048,.T.); #201335=EDGE_CURVE('',#162162,#162160,#83049,.T.); #201336=EDGE_CURVE('',#162163,#162161,#83050,.T.); #201337=EDGE_CURVE('',#162162,#162163,#83051,.T.); #201338=EDGE_CURVE('',#162164,#162162,#83052,.T.); #201339=EDGE_CURVE('',#162165,#162163,#83053,.T.); #201340=EDGE_CURVE('',#162164,#162165,#83054,.T.); #201341=EDGE_CURVE('',#162166,#162164,#83055,.T.); #201342=EDGE_CURVE('',#162167,#162165,#83056,.T.); #201343=EDGE_CURVE('',#162166,#162167,#83057,.T.); #201344=EDGE_CURVE('',#162168,#162166,#83058,.T.); #201345=EDGE_CURVE('',#162169,#162167,#83059,.T.); #201346=EDGE_CURVE('',#162168,#162169,#83060,.T.); #201347=EDGE_CURVE('',#162170,#162168,#83061,.T.); #201348=EDGE_CURVE('',#162171,#162169,#83062,.T.); #201349=EDGE_CURVE('',#162170,#162171,#83063,.T.); #201350=EDGE_CURVE('',#162172,#162170,#83064,.T.); #201351=EDGE_CURVE('',#162173,#162171,#83065,.T.); #201352=EDGE_CURVE('',#162172,#162173,#83066,.T.); #201353=EDGE_CURVE('',#162174,#162172,#83067,.T.); #201354=EDGE_CURVE('',#162175,#162173,#83068,.T.); #201355=EDGE_CURVE('',#162174,#162175,#83069,.T.); #201356=EDGE_CURVE('',#162176,#162174,#83070,.T.); #201357=EDGE_CURVE('',#162177,#162175,#83071,.T.); #201358=EDGE_CURVE('',#162176,#162177,#83072,.T.); #201359=EDGE_CURVE('',#162178,#162176,#83073,.T.); #201360=EDGE_CURVE('',#162179,#162177,#83074,.T.); #201361=EDGE_CURVE('',#162178,#162179,#83075,.T.); #201362=EDGE_CURVE('',#162180,#162178,#83076,.T.); #201363=EDGE_CURVE('',#162181,#162179,#83077,.T.); #201364=EDGE_CURVE('',#162180,#162181,#83078,.T.); #201365=EDGE_CURVE('',#162182,#162180,#83079,.T.); #201366=EDGE_CURVE('',#162183,#162181,#83080,.T.); #201367=EDGE_CURVE('',#162182,#162183,#83081,.T.); #201368=EDGE_CURVE('',#162184,#162182,#83082,.T.); #201369=EDGE_CURVE('',#162185,#162183,#83083,.T.); #201370=EDGE_CURVE('',#162184,#162185,#83084,.T.); #201371=EDGE_CURVE('',#162186,#162184,#83085,.T.); #201372=EDGE_CURVE('',#162187,#162185,#83086,.T.); #201373=EDGE_CURVE('',#162186,#162187,#83087,.T.); #201374=EDGE_CURVE('',#162188,#162186,#83088,.T.); #201375=EDGE_CURVE('',#162189,#162187,#83089,.T.); #201376=EDGE_CURVE('',#162188,#162189,#83090,.T.); #201377=EDGE_CURVE('',#162190,#162188,#83091,.T.); #201378=EDGE_CURVE('',#162191,#162189,#83092,.T.); #201379=EDGE_CURVE('',#162190,#162191,#83093,.T.); #201380=EDGE_CURVE('',#162192,#162190,#83094,.T.); #201381=EDGE_CURVE('',#162193,#162191,#83095,.T.); #201382=EDGE_CURVE('',#162192,#162193,#83096,.T.); #201383=EDGE_CURVE('',#162194,#162192,#83097,.T.); #201384=EDGE_CURVE('',#162195,#162193,#83098,.T.); #201385=EDGE_CURVE('',#162194,#162195,#83099,.T.); #201386=EDGE_CURVE('',#162196,#162194,#83100,.T.); #201387=EDGE_CURVE('',#162197,#162195,#83101,.T.); #201388=EDGE_CURVE('',#162196,#162197,#83102,.T.); #201389=EDGE_CURVE('',#162198,#162196,#83103,.T.); #201390=EDGE_CURVE('',#162199,#162197,#83104,.T.); #201391=EDGE_CURVE('',#162198,#162199,#83105,.T.); #201392=EDGE_CURVE('',#162200,#162198,#83106,.T.); #201393=EDGE_CURVE('',#162201,#162199,#83107,.T.); #201394=EDGE_CURVE('',#162200,#162201,#83108,.T.); #201395=EDGE_CURVE('',#162135,#162200,#83109,.T.); #201396=EDGE_CURVE('',#162136,#162201,#83110,.T.); #201397=EDGE_CURVE('',#162202,#162203,#83111,.T.); #201398=EDGE_CURVE('',#162203,#162204,#83112,.T.); #201399=EDGE_CURVE('',#162205,#162204,#83113,.T.); #201400=EDGE_CURVE('',#162202,#162205,#83114,.T.); #201401=EDGE_CURVE('',#162206,#162202,#83115,.T.); #201402=EDGE_CURVE('',#162207,#162205,#83116,.T.); #201403=EDGE_CURVE('',#162206,#162207,#83117,.T.); #201404=EDGE_CURVE('',#162208,#162206,#83118,.T.); #201405=EDGE_CURVE('',#162209,#162207,#83119,.T.); #201406=EDGE_CURVE('',#162208,#162209,#83120,.T.); #201407=EDGE_CURVE('',#162210,#162208,#83121,.T.); #201408=EDGE_CURVE('',#162211,#162209,#83122,.T.); #201409=EDGE_CURVE('',#162210,#162211,#83123,.T.); #201410=EDGE_CURVE('',#162212,#162210,#83124,.T.); #201411=EDGE_CURVE('',#162213,#162211,#83125,.T.); #201412=EDGE_CURVE('',#162212,#162213,#83126,.T.); #201413=EDGE_CURVE('',#162214,#162212,#83127,.T.); #201414=EDGE_CURVE('',#162215,#162213,#83128,.T.); #201415=EDGE_CURVE('',#162214,#162215,#83129,.T.); #201416=EDGE_CURVE('',#162216,#162214,#83130,.T.); #201417=EDGE_CURVE('',#162217,#162215,#83131,.T.); #201418=EDGE_CURVE('',#162216,#162217,#83132,.T.); #201419=EDGE_CURVE('',#162218,#162216,#83133,.T.); #201420=EDGE_CURVE('',#162219,#162217,#83134,.T.); #201421=EDGE_CURVE('',#162218,#162219,#83135,.T.); #201422=EDGE_CURVE('',#162220,#162218,#83136,.T.); #201423=EDGE_CURVE('',#162221,#162219,#83137,.T.); #201424=EDGE_CURVE('',#162220,#162221,#83138,.T.); #201425=EDGE_CURVE('',#162222,#162220,#83139,.T.); #201426=EDGE_CURVE('',#162223,#162221,#83140,.T.); #201427=EDGE_CURVE('',#162222,#162223,#83141,.T.); #201428=EDGE_CURVE('',#162224,#162222,#83142,.T.); #201429=EDGE_CURVE('',#162225,#162223,#83143,.T.); #201430=EDGE_CURVE('',#162224,#162225,#83144,.T.); #201431=EDGE_CURVE('',#162226,#162224,#83145,.T.); #201432=EDGE_CURVE('',#162227,#162225,#83146,.T.); #201433=EDGE_CURVE('',#162226,#162227,#83147,.T.); #201434=EDGE_CURVE('',#162228,#162226,#83148,.T.); #201435=EDGE_CURVE('',#162229,#162227,#83149,.T.); #201436=EDGE_CURVE('',#162228,#162229,#83150,.T.); #201437=EDGE_CURVE('',#162230,#162228,#83151,.T.); #201438=EDGE_CURVE('',#162231,#162229,#83152,.T.); #201439=EDGE_CURVE('',#162230,#162231,#83153,.T.); #201440=EDGE_CURVE('',#162232,#162230,#83154,.T.); #201441=EDGE_CURVE('',#162233,#162231,#83155,.T.); #201442=EDGE_CURVE('',#162232,#162233,#83156,.T.); #201443=EDGE_CURVE('',#162234,#162232,#83157,.T.); #201444=EDGE_CURVE('',#162235,#162233,#83158,.T.); #201445=EDGE_CURVE('',#162234,#162235,#83159,.T.); #201446=EDGE_CURVE('',#162236,#162234,#83160,.T.); #201447=EDGE_CURVE('',#162237,#162235,#83161,.T.); #201448=EDGE_CURVE('',#162236,#162237,#83162,.T.); #201449=EDGE_CURVE('',#162238,#162236,#83163,.T.); #201450=EDGE_CURVE('',#162239,#162237,#83164,.T.); #201451=EDGE_CURVE('',#162238,#162239,#83165,.T.); #201452=EDGE_CURVE('',#162240,#162238,#83166,.T.); #201453=EDGE_CURVE('',#162241,#162239,#83167,.T.); #201454=EDGE_CURVE('',#162240,#162241,#83168,.T.); #201455=EDGE_CURVE('',#162242,#162240,#83169,.T.); #201456=EDGE_CURVE('',#162243,#162241,#83170,.T.); #201457=EDGE_CURVE('',#162242,#162243,#83171,.T.); #201458=EDGE_CURVE('',#162244,#162242,#83172,.T.); #201459=EDGE_CURVE('',#162245,#162243,#83173,.T.); #201460=EDGE_CURVE('',#162244,#162245,#83174,.T.); #201461=EDGE_CURVE('',#162246,#162244,#83175,.T.); #201462=EDGE_CURVE('',#162247,#162245,#83176,.T.); #201463=EDGE_CURVE('',#162246,#162247,#83177,.T.); #201464=EDGE_CURVE('',#162248,#162246,#83178,.T.); #201465=EDGE_CURVE('',#162249,#162247,#83179,.T.); #201466=EDGE_CURVE('',#162248,#162249,#83180,.T.); #201467=EDGE_CURVE('',#162250,#162248,#83181,.T.); #201468=EDGE_CURVE('',#162251,#162249,#83182,.T.); #201469=EDGE_CURVE('',#162250,#162251,#83183,.T.); #201470=EDGE_CURVE('',#162252,#162250,#83184,.T.); #201471=EDGE_CURVE('',#162253,#162251,#83185,.T.); #201472=EDGE_CURVE('',#162252,#162253,#83186,.T.); #201473=EDGE_CURVE('',#162254,#162252,#83187,.T.); #201474=EDGE_CURVE('',#162255,#162253,#83188,.T.); #201475=EDGE_CURVE('',#162254,#162255,#83189,.T.); #201476=EDGE_CURVE('',#162256,#162254,#83190,.T.); #201477=EDGE_CURVE('',#162257,#162255,#83191,.T.); #201478=EDGE_CURVE('',#162256,#162257,#83192,.T.); #201479=EDGE_CURVE('',#162258,#162256,#83193,.T.); #201480=EDGE_CURVE('',#162259,#162257,#83194,.T.); #201481=EDGE_CURVE('',#162258,#162259,#83195,.T.); #201482=EDGE_CURVE('',#162260,#162258,#83196,.T.); #201483=EDGE_CURVE('',#162261,#162259,#83197,.T.); #201484=EDGE_CURVE('',#162260,#162261,#83198,.T.); #201485=EDGE_CURVE('',#162262,#162260,#83199,.T.); #201486=EDGE_CURVE('',#162263,#162261,#83200,.T.); #201487=EDGE_CURVE('',#162262,#162263,#83201,.T.); #201488=EDGE_CURVE('',#162264,#162262,#83202,.T.); #201489=EDGE_CURVE('',#162265,#162263,#83203,.T.); #201490=EDGE_CURVE('',#162264,#162265,#83204,.T.); #201491=EDGE_CURVE('',#162266,#162264,#83205,.T.); #201492=EDGE_CURVE('',#162267,#162265,#83206,.T.); #201493=EDGE_CURVE('',#162266,#162267,#83207,.T.); #201494=EDGE_CURVE('',#162268,#162266,#83208,.T.); #201495=EDGE_CURVE('',#162269,#162267,#83209,.T.); #201496=EDGE_CURVE('',#162268,#162269,#83210,.T.); #201497=EDGE_CURVE('',#162203,#162268,#83211,.T.); #201498=EDGE_CURVE('',#162204,#162269,#83212,.T.); #201499=EDGE_CURVE('',#162270,#162271,#83213,.T.); #201500=EDGE_CURVE('',#162271,#162272,#83214,.T.); #201501=EDGE_CURVE('',#162273,#162272,#83215,.T.); #201502=EDGE_CURVE('',#162270,#162273,#83216,.T.); #201503=EDGE_CURVE('',#162274,#162270,#83217,.T.); #201504=EDGE_CURVE('',#162275,#162273,#83218,.T.); #201505=EDGE_CURVE('',#162274,#162275,#83219,.T.); #201506=EDGE_CURVE('',#162276,#162274,#83220,.T.); #201507=EDGE_CURVE('',#162277,#162275,#83221,.T.); #201508=EDGE_CURVE('',#162276,#162277,#83222,.T.); #201509=EDGE_CURVE('',#162278,#162276,#83223,.T.); #201510=EDGE_CURVE('',#162279,#162277,#83224,.T.); #201511=EDGE_CURVE('',#162278,#162279,#83225,.T.); #201512=EDGE_CURVE('',#162280,#162278,#83226,.T.); #201513=EDGE_CURVE('',#162281,#162279,#83227,.T.); #201514=EDGE_CURVE('',#162280,#162281,#83228,.T.); #201515=EDGE_CURVE('',#162282,#162280,#83229,.T.); #201516=EDGE_CURVE('',#162283,#162281,#83230,.T.); #201517=EDGE_CURVE('',#162282,#162283,#83231,.T.); #201518=EDGE_CURVE('',#162284,#162282,#83232,.T.); #201519=EDGE_CURVE('',#162285,#162283,#83233,.T.); #201520=EDGE_CURVE('',#162284,#162285,#83234,.T.); #201521=EDGE_CURVE('',#162286,#162284,#83235,.T.); #201522=EDGE_CURVE('',#162287,#162285,#83236,.T.); #201523=EDGE_CURVE('',#162286,#162287,#83237,.T.); #201524=EDGE_CURVE('',#162288,#162286,#83238,.T.); #201525=EDGE_CURVE('',#162289,#162287,#83239,.T.); #201526=EDGE_CURVE('',#162288,#162289,#83240,.T.); #201527=EDGE_CURVE('',#162290,#162288,#83241,.T.); #201528=EDGE_CURVE('',#162291,#162289,#83242,.T.); #201529=EDGE_CURVE('',#162290,#162291,#83243,.T.); #201530=EDGE_CURVE('',#162292,#162290,#83244,.T.); #201531=EDGE_CURVE('',#162293,#162291,#83245,.T.); #201532=EDGE_CURVE('',#162292,#162293,#83246,.T.); #201533=EDGE_CURVE('',#162294,#162292,#83247,.T.); #201534=EDGE_CURVE('',#162295,#162293,#83248,.T.); #201535=EDGE_CURVE('',#162294,#162295,#83249,.T.); #201536=EDGE_CURVE('',#162296,#162294,#83250,.T.); #201537=EDGE_CURVE('',#162297,#162295,#83251,.T.); #201538=EDGE_CURVE('',#162296,#162297,#83252,.T.); #201539=EDGE_CURVE('',#162298,#162296,#83253,.T.); #201540=EDGE_CURVE('',#162299,#162297,#83254,.T.); #201541=EDGE_CURVE('',#162298,#162299,#83255,.T.); #201542=EDGE_CURVE('',#162300,#162298,#83256,.T.); #201543=EDGE_CURVE('',#162301,#162299,#83257,.T.); #201544=EDGE_CURVE('',#162300,#162301,#83258,.T.); #201545=EDGE_CURVE('',#162302,#162300,#83259,.T.); #201546=EDGE_CURVE('',#162303,#162301,#83260,.T.); #201547=EDGE_CURVE('',#162302,#162303,#83261,.T.); #201548=EDGE_CURVE('',#162304,#162302,#83262,.T.); #201549=EDGE_CURVE('',#162305,#162303,#83263,.T.); #201550=EDGE_CURVE('',#162304,#162305,#83264,.T.); #201551=EDGE_CURVE('',#162306,#162304,#83265,.T.); #201552=EDGE_CURVE('',#162307,#162305,#83266,.T.); #201553=EDGE_CURVE('',#162306,#162307,#83267,.T.); #201554=EDGE_CURVE('',#162308,#162306,#83268,.T.); #201555=EDGE_CURVE('',#162309,#162307,#83269,.T.); #201556=EDGE_CURVE('',#162308,#162309,#83270,.T.); #201557=EDGE_CURVE('',#162310,#162308,#83271,.T.); #201558=EDGE_CURVE('',#162311,#162309,#83272,.T.); #201559=EDGE_CURVE('',#162310,#162311,#83273,.T.); #201560=EDGE_CURVE('',#162312,#162310,#83274,.T.); #201561=EDGE_CURVE('',#162313,#162311,#83275,.T.); #201562=EDGE_CURVE('',#162312,#162313,#83276,.T.); #201563=EDGE_CURVE('',#162314,#162312,#83277,.T.); #201564=EDGE_CURVE('',#162315,#162313,#83278,.T.); #201565=EDGE_CURVE('',#162314,#162315,#83279,.T.); #201566=EDGE_CURVE('',#162316,#162314,#83280,.T.); #201567=EDGE_CURVE('',#162317,#162315,#83281,.T.); #201568=EDGE_CURVE('',#162316,#162317,#83282,.T.); #201569=EDGE_CURVE('',#162318,#162316,#83283,.T.); #201570=EDGE_CURVE('',#162319,#162317,#83284,.T.); #201571=EDGE_CURVE('',#162318,#162319,#83285,.T.); #201572=EDGE_CURVE('',#162320,#162318,#83286,.T.); #201573=EDGE_CURVE('',#162321,#162319,#83287,.T.); #201574=EDGE_CURVE('',#162320,#162321,#83288,.T.); #201575=EDGE_CURVE('',#162322,#162320,#83289,.T.); #201576=EDGE_CURVE('',#162323,#162321,#83290,.T.); #201577=EDGE_CURVE('',#162322,#162323,#83291,.T.); #201578=EDGE_CURVE('',#162324,#162322,#83292,.T.); #201579=EDGE_CURVE('',#162325,#162323,#83293,.T.); #201580=EDGE_CURVE('',#162324,#162325,#83294,.T.); #201581=EDGE_CURVE('',#162326,#162324,#83295,.T.); #201582=EDGE_CURVE('',#162327,#162325,#83296,.T.); #201583=EDGE_CURVE('',#162326,#162327,#83297,.T.); #201584=EDGE_CURVE('',#162328,#162326,#83298,.T.); #201585=EDGE_CURVE('',#162329,#162327,#83299,.T.); #201586=EDGE_CURVE('',#162328,#162329,#83300,.T.); #201587=EDGE_CURVE('',#162330,#162328,#83301,.T.); #201588=EDGE_CURVE('',#162331,#162329,#83302,.T.); #201589=EDGE_CURVE('',#162330,#162331,#83303,.T.); #201590=EDGE_CURVE('',#162332,#162330,#83304,.T.); #201591=EDGE_CURVE('',#162333,#162331,#83305,.T.); #201592=EDGE_CURVE('',#162332,#162333,#83306,.T.); #201593=EDGE_CURVE('',#162334,#162332,#83307,.T.); #201594=EDGE_CURVE('',#162335,#162333,#83308,.T.); #201595=EDGE_CURVE('',#162334,#162335,#83309,.T.); #201596=EDGE_CURVE('',#162336,#162334,#83310,.T.); #201597=EDGE_CURVE('',#162337,#162335,#83311,.T.); #201598=EDGE_CURVE('',#162336,#162337,#83312,.T.); #201599=EDGE_CURVE('',#162338,#162336,#83313,.T.); #201600=EDGE_CURVE('',#162339,#162337,#83314,.T.); #201601=EDGE_CURVE('',#162338,#162339,#83315,.T.); #201602=EDGE_CURVE('',#162340,#162338,#83316,.T.); #201603=EDGE_CURVE('',#162341,#162339,#83317,.T.); #201604=EDGE_CURVE('',#162340,#162341,#83318,.T.); #201605=EDGE_CURVE('',#162342,#162340,#83319,.T.); #201606=EDGE_CURVE('',#162343,#162341,#83320,.T.); #201607=EDGE_CURVE('',#162342,#162343,#83321,.T.); #201608=EDGE_CURVE('',#162344,#162342,#83322,.T.); #201609=EDGE_CURVE('',#162345,#162343,#83323,.T.); #201610=EDGE_CURVE('',#162344,#162345,#83324,.T.); #201611=EDGE_CURVE('',#162346,#162344,#83325,.T.); #201612=EDGE_CURVE('',#162347,#162345,#83326,.T.); #201613=EDGE_CURVE('',#162346,#162347,#83327,.T.); #201614=EDGE_CURVE('',#162348,#162346,#83328,.T.); #201615=EDGE_CURVE('',#162349,#162347,#83329,.T.); #201616=EDGE_CURVE('',#162348,#162349,#83330,.T.); #201617=EDGE_CURVE('',#162271,#162348,#83331,.T.); #201618=EDGE_CURVE('',#162272,#162349,#83332,.T.); #201619=EDGE_CURVE('',#162350,#162351,#83333,.T.); #201620=EDGE_CURVE('',#162351,#162352,#83334,.T.); #201621=EDGE_CURVE('',#162353,#162352,#83335,.T.); #201622=EDGE_CURVE('',#162350,#162353,#83336,.T.); #201623=EDGE_CURVE('',#162354,#162350,#83337,.T.); #201624=EDGE_CURVE('',#162355,#162353,#83338,.T.); #201625=EDGE_CURVE('',#162354,#162355,#83339,.T.); #201626=EDGE_CURVE('',#162356,#162354,#83340,.T.); #201627=EDGE_CURVE('',#162357,#162355,#83341,.T.); #201628=EDGE_CURVE('',#162356,#162357,#83342,.T.); #201629=EDGE_CURVE('',#162358,#162356,#83343,.T.); #201630=EDGE_CURVE('',#162359,#162357,#83344,.T.); #201631=EDGE_CURVE('',#162358,#162359,#83345,.T.); #201632=EDGE_CURVE('',#162360,#162358,#83346,.T.); #201633=EDGE_CURVE('',#162361,#162359,#83347,.T.); #201634=EDGE_CURVE('',#162360,#162361,#83348,.T.); #201635=EDGE_CURVE('',#162362,#162360,#83349,.T.); #201636=EDGE_CURVE('',#162363,#162361,#83350,.T.); #201637=EDGE_CURVE('',#162362,#162363,#83351,.T.); #201638=EDGE_CURVE('',#162364,#162362,#83352,.T.); #201639=EDGE_CURVE('',#162365,#162363,#83353,.T.); #201640=EDGE_CURVE('',#162364,#162365,#83354,.T.); #201641=EDGE_CURVE('',#162366,#162364,#83355,.T.); #201642=EDGE_CURVE('',#162367,#162365,#83356,.T.); #201643=EDGE_CURVE('',#162366,#162367,#83357,.T.); #201644=EDGE_CURVE('',#162368,#162366,#83358,.T.); #201645=EDGE_CURVE('',#162369,#162367,#83359,.T.); #201646=EDGE_CURVE('',#162368,#162369,#83360,.T.); #201647=EDGE_CURVE('',#162370,#162368,#83361,.T.); #201648=EDGE_CURVE('',#162371,#162369,#83362,.T.); #201649=EDGE_CURVE('',#162370,#162371,#83363,.T.); #201650=EDGE_CURVE('',#162372,#162370,#83364,.T.); #201651=EDGE_CURVE('',#162373,#162371,#83365,.T.); #201652=EDGE_CURVE('',#162372,#162373,#83366,.T.); #201653=EDGE_CURVE('',#162374,#162372,#83367,.T.); #201654=EDGE_CURVE('',#162375,#162373,#83368,.T.); #201655=EDGE_CURVE('',#162374,#162375,#83369,.T.); #201656=EDGE_CURVE('',#162376,#162374,#83370,.T.); #201657=EDGE_CURVE('',#162377,#162375,#83371,.T.); #201658=EDGE_CURVE('',#162376,#162377,#83372,.T.); #201659=EDGE_CURVE('',#162378,#162376,#83373,.T.); #201660=EDGE_CURVE('',#162379,#162377,#83374,.T.); #201661=EDGE_CURVE('',#162378,#162379,#83375,.T.); #201662=EDGE_CURVE('',#162380,#162378,#83376,.T.); #201663=EDGE_CURVE('',#162381,#162379,#83377,.T.); #201664=EDGE_CURVE('',#162380,#162381,#83378,.T.); #201665=EDGE_CURVE('',#162351,#162380,#83379,.T.); #201666=EDGE_CURVE('',#162352,#162381,#83380,.T.); #201667=EDGE_CURVE('',#162382,#162383,#83381,.T.); #201668=EDGE_CURVE('',#162383,#162384,#83382,.T.); #201669=EDGE_CURVE('',#162385,#162384,#83383,.T.); #201670=EDGE_CURVE('',#162382,#162385,#83384,.T.); #201671=EDGE_CURVE('',#162386,#162382,#83385,.T.); #201672=EDGE_CURVE('',#162387,#162385,#83386,.T.); #201673=EDGE_CURVE('',#162386,#162387,#83387,.T.); #201674=EDGE_CURVE('',#162388,#162386,#83388,.T.); #201675=EDGE_CURVE('',#162389,#162387,#83389,.T.); #201676=EDGE_CURVE('',#162388,#162389,#83390,.T.); #201677=EDGE_CURVE('',#162390,#162388,#83391,.T.); #201678=EDGE_CURVE('',#162391,#162389,#83392,.T.); #201679=EDGE_CURVE('',#162390,#162391,#83393,.T.); #201680=EDGE_CURVE('',#162392,#162390,#83394,.T.); #201681=EDGE_CURVE('',#162393,#162391,#83395,.T.); #201682=EDGE_CURVE('',#162392,#162393,#83396,.T.); #201683=EDGE_CURVE('',#162394,#162392,#83397,.T.); #201684=EDGE_CURVE('',#162395,#162393,#83398,.T.); #201685=EDGE_CURVE('',#162394,#162395,#83399,.T.); #201686=EDGE_CURVE('',#162396,#162394,#83400,.T.); #201687=EDGE_CURVE('',#162397,#162395,#83401,.T.); #201688=EDGE_CURVE('',#162396,#162397,#83402,.T.); #201689=EDGE_CURVE('',#162398,#162396,#83403,.T.); #201690=EDGE_CURVE('',#162399,#162397,#83404,.T.); #201691=EDGE_CURVE('',#162398,#162399,#83405,.T.); #201692=EDGE_CURVE('',#162400,#162398,#83406,.T.); #201693=EDGE_CURVE('',#162401,#162399,#83407,.T.); #201694=EDGE_CURVE('',#162400,#162401,#83408,.T.); #201695=EDGE_CURVE('',#162402,#162400,#83409,.T.); #201696=EDGE_CURVE('',#162403,#162401,#83410,.T.); #201697=EDGE_CURVE('',#162402,#162403,#83411,.T.); #201698=EDGE_CURVE('',#162404,#162402,#83412,.T.); #201699=EDGE_CURVE('',#162405,#162403,#83413,.T.); #201700=EDGE_CURVE('',#162404,#162405,#83414,.T.); #201701=EDGE_CURVE('',#162406,#162404,#83415,.T.); #201702=EDGE_CURVE('',#162407,#162405,#83416,.T.); #201703=EDGE_CURVE('',#162406,#162407,#83417,.T.); #201704=EDGE_CURVE('',#162408,#162406,#83418,.T.); #201705=EDGE_CURVE('',#162409,#162407,#83419,.T.); #201706=EDGE_CURVE('',#162408,#162409,#83420,.T.); #201707=EDGE_CURVE('',#162410,#162408,#83421,.T.); #201708=EDGE_CURVE('',#162411,#162409,#83422,.T.); #201709=EDGE_CURVE('',#162410,#162411,#83423,.T.); #201710=EDGE_CURVE('',#162412,#162410,#83424,.T.); #201711=EDGE_CURVE('',#162413,#162411,#83425,.T.); #201712=EDGE_CURVE('',#162412,#162413,#83426,.T.); #201713=EDGE_CURVE('',#162414,#162412,#83427,.T.); #201714=EDGE_CURVE('',#162415,#162413,#83428,.T.); #201715=EDGE_CURVE('',#162414,#162415,#83429,.T.); #201716=EDGE_CURVE('',#162416,#162414,#83430,.T.); #201717=EDGE_CURVE('',#162417,#162415,#83431,.T.); #201718=EDGE_CURVE('',#162416,#162417,#83432,.T.); #201719=EDGE_CURVE('',#162418,#162416,#83433,.T.); #201720=EDGE_CURVE('',#162419,#162417,#83434,.T.); #201721=EDGE_CURVE('',#162418,#162419,#83435,.T.); #201722=EDGE_CURVE('',#162420,#162418,#83436,.T.); #201723=EDGE_CURVE('',#162421,#162419,#83437,.T.); #201724=EDGE_CURVE('',#162420,#162421,#83438,.T.); #201725=EDGE_CURVE('',#162422,#162420,#83439,.T.); #201726=EDGE_CURVE('',#162423,#162421,#83440,.T.); #201727=EDGE_CURVE('',#162422,#162423,#83441,.T.); #201728=EDGE_CURVE('',#162424,#162422,#83442,.T.); #201729=EDGE_CURVE('',#162425,#162423,#83443,.T.); #201730=EDGE_CURVE('',#162424,#162425,#83444,.T.); #201731=EDGE_CURVE('',#162426,#162424,#83445,.T.); #201732=EDGE_CURVE('',#162427,#162425,#83446,.T.); #201733=EDGE_CURVE('',#162426,#162427,#83447,.T.); #201734=EDGE_CURVE('',#162428,#162426,#83448,.T.); #201735=EDGE_CURVE('',#162429,#162427,#83449,.T.); #201736=EDGE_CURVE('',#162428,#162429,#83450,.T.); #201737=EDGE_CURVE('',#162430,#162428,#83451,.T.); #201738=EDGE_CURVE('',#162431,#162429,#83452,.T.); #201739=EDGE_CURVE('',#162430,#162431,#83453,.T.); #201740=EDGE_CURVE('',#162432,#162430,#83454,.T.); #201741=EDGE_CURVE('',#162433,#162431,#83455,.T.); #201742=EDGE_CURVE('',#162432,#162433,#83456,.T.); #201743=EDGE_CURVE('',#162434,#162432,#83457,.T.); #201744=EDGE_CURVE('',#162435,#162433,#83458,.T.); #201745=EDGE_CURVE('',#162434,#162435,#83459,.T.); #201746=EDGE_CURVE('',#162436,#162434,#83460,.T.); #201747=EDGE_CURVE('',#162437,#162435,#83461,.T.); #201748=EDGE_CURVE('',#162436,#162437,#83462,.T.); #201749=EDGE_CURVE('',#162438,#162436,#83463,.T.); #201750=EDGE_CURVE('',#162439,#162437,#83464,.T.); #201751=EDGE_CURVE('',#162438,#162439,#83465,.T.); #201752=EDGE_CURVE('',#162440,#162438,#83466,.T.); #201753=EDGE_CURVE('',#162441,#162439,#83467,.T.); #201754=EDGE_CURVE('',#162440,#162441,#83468,.T.); #201755=EDGE_CURVE('',#162442,#162440,#83469,.T.); #201756=EDGE_CURVE('',#162443,#162441,#83470,.T.); #201757=EDGE_CURVE('',#162442,#162443,#83471,.T.); #201758=EDGE_CURVE('',#162444,#162442,#83472,.T.); #201759=EDGE_CURVE('',#162445,#162443,#83473,.T.); #201760=EDGE_CURVE('',#162444,#162445,#83474,.T.); #201761=EDGE_CURVE('',#162446,#162444,#83475,.T.); #201762=EDGE_CURVE('',#162447,#162445,#83476,.T.); #201763=EDGE_CURVE('',#162446,#162447,#83477,.T.); #201764=EDGE_CURVE('',#162448,#162446,#83478,.T.); #201765=EDGE_CURVE('',#162449,#162447,#83479,.T.); #201766=EDGE_CURVE('',#162448,#162449,#83480,.T.); #201767=EDGE_CURVE('',#162450,#162448,#83481,.T.); #201768=EDGE_CURVE('',#162451,#162449,#83482,.T.); #201769=EDGE_CURVE('',#162450,#162451,#83483,.T.); #201770=EDGE_CURVE('',#162452,#162450,#83484,.T.); #201771=EDGE_CURVE('',#162453,#162451,#83485,.T.); #201772=EDGE_CURVE('',#162452,#162453,#83486,.T.); #201773=EDGE_CURVE('',#162454,#162452,#83487,.T.); #201774=EDGE_CURVE('',#162455,#162453,#83488,.T.); #201775=EDGE_CURVE('',#162454,#162455,#83489,.T.); #201776=EDGE_CURVE('',#162456,#162454,#83490,.T.); #201777=EDGE_CURVE('',#162457,#162455,#83491,.T.); #201778=EDGE_CURVE('',#162456,#162457,#83492,.T.); #201779=EDGE_CURVE('',#162458,#162456,#83493,.T.); #201780=EDGE_CURVE('',#162459,#162457,#83494,.T.); #201781=EDGE_CURVE('',#162458,#162459,#83495,.T.); #201782=EDGE_CURVE('',#162460,#162458,#83496,.T.); #201783=EDGE_CURVE('',#162461,#162459,#83497,.T.); #201784=EDGE_CURVE('',#162460,#162461,#83498,.T.); #201785=EDGE_CURVE('',#162462,#162460,#83499,.T.); #201786=EDGE_CURVE('',#162463,#162461,#83500,.T.); #201787=EDGE_CURVE('',#162462,#162463,#83501,.T.); #201788=EDGE_CURVE('',#162464,#162462,#83502,.T.); #201789=EDGE_CURVE('',#162465,#162463,#83503,.T.); #201790=EDGE_CURVE('',#162464,#162465,#83504,.T.); #201791=EDGE_CURVE('',#162466,#162464,#83505,.T.); #201792=EDGE_CURVE('',#162467,#162465,#83506,.T.); #201793=EDGE_CURVE('',#162466,#162467,#83507,.T.); #201794=EDGE_CURVE('',#162468,#162466,#83508,.T.); #201795=EDGE_CURVE('',#162469,#162467,#83509,.T.); #201796=EDGE_CURVE('',#162468,#162469,#83510,.T.); #201797=EDGE_CURVE('',#162470,#162468,#83511,.T.); #201798=EDGE_CURVE('',#162471,#162469,#83512,.T.); #201799=EDGE_CURVE('',#162470,#162471,#83513,.T.); #201800=EDGE_CURVE('',#162472,#162470,#83514,.T.); #201801=EDGE_CURVE('',#162473,#162471,#83515,.T.); #201802=EDGE_CURVE('',#162472,#162473,#83516,.T.); #201803=EDGE_CURVE('',#162474,#162472,#83517,.T.); #201804=EDGE_CURVE('',#162475,#162473,#83518,.T.); #201805=EDGE_CURVE('',#162474,#162475,#83519,.T.); #201806=EDGE_CURVE('',#162476,#162474,#83520,.T.); #201807=EDGE_CURVE('',#162477,#162475,#83521,.T.); #201808=EDGE_CURVE('',#162476,#162477,#83522,.T.); #201809=EDGE_CURVE('',#162478,#162476,#83523,.T.); #201810=EDGE_CURVE('',#162479,#162477,#83524,.T.); #201811=EDGE_CURVE('',#162478,#162479,#83525,.T.); #201812=EDGE_CURVE('',#162480,#162478,#83526,.T.); #201813=EDGE_CURVE('',#162481,#162479,#83527,.T.); #201814=EDGE_CURVE('',#162480,#162481,#83528,.T.); #201815=EDGE_CURVE('',#162482,#162480,#83529,.T.); #201816=EDGE_CURVE('',#162483,#162481,#83530,.T.); #201817=EDGE_CURVE('',#162482,#162483,#83531,.T.); #201818=EDGE_CURVE('',#162484,#162482,#83532,.T.); #201819=EDGE_CURVE('',#162485,#162483,#83533,.T.); #201820=EDGE_CURVE('',#162484,#162485,#83534,.T.); #201821=EDGE_CURVE('',#162486,#162484,#83535,.T.); #201822=EDGE_CURVE('',#162487,#162485,#83536,.T.); #201823=EDGE_CURVE('',#162486,#162487,#83537,.T.); #201824=EDGE_CURVE('',#162488,#162486,#83538,.T.); #201825=EDGE_CURVE('',#162489,#162487,#83539,.T.); #201826=EDGE_CURVE('',#162488,#162489,#83540,.T.); #201827=EDGE_CURVE('',#162490,#162488,#83541,.T.); #201828=EDGE_CURVE('',#162491,#162489,#83542,.T.); #201829=EDGE_CURVE('',#162490,#162491,#83543,.T.); #201830=EDGE_CURVE('',#162492,#162490,#83544,.T.); #201831=EDGE_CURVE('',#162493,#162491,#83545,.T.); #201832=EDGE_CURVE('',#162492,#162493,#83546,.T.); #201833=EDGE_CURVE('',#162494,#162492,#83547,.T.); #201834=EDGE_CURVE('',#162495,#162493,#83548,.T.); #201835=EDGE_CURVE('',#162494,#162495,#83549,.T.); #201836=EDGE_CURVE('',#162496,#162494,#83550,.T.); #201837=EDGE_CURVE('',#162497,#162495,#83551,.T.); #201838=EDGE_CURVE('',#162496,#162497,#83552,.T.); #201839=EDGE_CURVE('',#162498,#162496,#83553,.T.); #201840=EDGE_CURVE('',#162499,#162497,#83554,.T.); #201841=EDGE_CURVE('',#162498,#162499,#83555,.T.); #201842=EDGE_CURVE('',#162500,#162498,#83556,.T.); #201843=EDGE_CURVE('',#162501,#162499,#83557,.T.); #201844=EDGE_CURVE('',#162500,#162501,#83558,.T.); #201845=EDGE_CURVE('',#162502,#162500,#83559,.T.); #201846=EDGE_CURVE('',#162503,#162501,#83560,.T.); #201847=EDGE_CURVE('',#162502,#162503,#83561,.T.); #201848=EDGE_CURVE('',#162504,#162502,#83562,.T.); #201849=EDGE_CURVE('',#162505,#162503,#83563,.T.); #201850=EDGE_CURVE('',#162504,#162505,#83564,.T.); #201851=EDGE_CURVE('',#162506,#162504,#83565,.T.); #201852=EDGE_CURVE('',#162507,#162505,#83566,.T.); #201853=EDGE_CURVE('',#162506,#162507,#83567,.T.); #201854=EDGE_CURVE('',#162508,#162506,#83568,.T.); #201855=EDGE_CURVE('',#162509,#162507,#83569,.T.); #201856=EDGE_CURVE('',#162508,#162509,#83570,.T.); #201857=EDGE_CURVE('',#162510,#162508,#83571,.T.); #201858=EDGE_CURVE('',#162511,#162509,#83572,.T.); #201859=EDGE_CURVE('',#162510,#162511,#83573,.T.); #201860=EDGE_CURVE('',#162512,#162510,#83574,.T.); #201861=EDGE_CURVE('',#162513,#162511,#83575,.T.); #201862=EDGE_CURVE('',#162512,#162513,#83576,.T.); #201863=EDGE_CURVE('',#162514,#162512,#83577,.T.); #201864=EDGE_CURVE('',#162515,#162513,#83578,.T.); #201865=EDGE_CURVE('',#162514,#162515,#83579,.T.); #201866=EDGE_CURVE('',#162516,#162514,#83580,.T.); #201867=EDGE_CURVE('',#162517,#162515,#83581,.T.); #201868=EDGE_CURVE('',#162516,#162517,#83582,.T.); #201869=EDGE_CURVE('',#162518,#162516,#83583,.T.); #201870=EDGE_CURVE('',#162519,#162517,#83584,.T.); #201871=EDGE_CURVE('',#162518,#162519,#83585,.T.); #201872=EDGE_CURVE('',#162520,#162518,#83586,.T.); #201873=EDGE_CURVE('',#162521,#162519,#83587,.T.); #201874=EDGE_CURVE('',#162520,#162521,#83588,.T.); #201875=EDGE_CURVE('',#162522,#162520,#83589,.T.); #201876=EDGE_CURVE('',#162523,#162521,#83590,.T.); #201877=EDGE_CURVE('',#162522,#162523,#83591,.T.); #201878=EDGE_CURVE('',#162524,#162522,#83592,.T.); #201879=EDGE_CURVE('',#162525,#162523,#83593,.T.); #201880=EDGE_CURVE('',#162524,#162525,#83594,.T.); #201881=EDGE_CURVE('',#162526,#162524,#83595,.T.); #201882=EDGE_CURVE('',#162527,#162525,#83596,.T.); #201883=EDGE_CURVE('',#162526,#162527,#83597,.T.); #201884=EDGE_CURVE('',#162528,#162526,#83598,.T.); #201885=EDGE_CURVE('',#162529,#162527,#83599,.T.); #201886=EDGE_CURVE('',#162528,#162529,#83600,.T.); #201887=EDGE_CURVE('',#162530,#162528,#83601,.T.); #201888=EDGE_CURVE('',#162531,#162529,#83602,.T.); #201889=EDGE_CURVE('',#162530,#162531,#83603,.T.); #201890=EDGE_CURVE('',#162532,#162530,#83604,.T.); #201891=EDGE_CURVE('',#162533,#162531,#83605,.T.); #201892=EDGE_CURVE('',#162532,#162533,#83606,.T.); #201893=EDGE_CURVE('',#162534,#162532,#83607,.T.); #201894=EDGE_CURVE('',#162535,#162533,#83608,.T.); #201895=EDGE_CURVE('',#162534,#162535,#83609,.T.); #201896=EDGE_CURVE('',#162536,#162534,#83610,.T.); #201897=EDGE_CURVE('',#162537,#162535,#83611,.T.); #201898=EDGE_CURVE('',#162536,#162537,#83612,.T.); #201899=EDGE_CURVE('',#162538,#162536,#83613,.T.); #201900=EDGE_CURVE('',#162539,#162537,#83614,.T.); #201901=EDGE_CURVE('',#162538,#162539,#83615,.T.); #201902=EDGE_CURVE('',#162540,#162538,#83616,.T.); #201903=EDGE_CURVE('',#162541,#162539,#83617,.T.); #201904=EDGE_CURVE('',#162540,#162541,#83618,.T.); #201905=EDGE_CURVE('',#162542,#162540,#83619,.T.); #201906=EDGE_CURVE('',#162543,#162541,#83620,.T.); #201907=EDGE_CURVE('',#162542,#162543,#83621,.T.); #201908=EDGE_CURVE('',#162544,#162542,#83622,.T.); #201909=EDGE_CURVE('',#162545,#162543,#83623,.T.); #201910=EDGE_CURVE('',#162544,#162545,#83624,.T.); #201911=EDGE_CURVE('',#162546,#162544,#83625,.T.); #201912=EDGE_CURVE('',#162547,#162545,#83626,.T.); #201913=EDGE_CURVE('',#162546,#162547,#83627,.T.); #201914=EDGE_CURVE('',#162548,#162546,#83628,.T.); #201915=EDGE_CURVE('',#162549,#162547,#83629,.T.); #201916=EDGE_CURVE('',#162548,#162549,#83630,.T.); #201917=EDGE_CURVE('',#162550,#162548,#83631,.T.); #201918=EDGE_CURVE('',#162551,#162549,#83632,.T.); #201919=EDGE_CURVE('',#162550,#162551,#83633,.T.); #201920=EDGE_CURVE('',#162552,#162550,#83634,.T.); #201921=EDGE_CURVE('',#162553,#162551,#83635,.T.); #201922=EDGE_CURVE('',#162552,#162553,#83636,.T.); #201923=EDGE_CURVE('',#162554,#162552,#83637,.T.); #201924=EDGE_CURVE('',#162555,#162553,#83638,.T.); #201925=EDGE_CURVE('',#162554,#162555,#83639,.T.); #201926=EDGE_CURVE('',#162556,#162554,#83640,.T.); #201927=EDGE_CURVE('',#162557,#162555,#83641,.T.); #201928=EDGE_CURVE('',#162556,#162557,#83642,.T.); #201929=EDGE_CURVE('',#162558,#162556,#83643,.T.); #201930=EDGE_CURVE('',#162559,#162557,#83644,.T.); #201931=EDGE_CURVE('',#162558,#162559,#83645,.T.); #201932=EDGE_CURVE('',#162560,#162558,#83646,.T.); #201933=EDGE_CURVE('',#162561,#162559,#83647,.T.); #201934=EDGE_CURVE('',#162560,#162561,#83648,.T.); #201935=EDGE_CURVE('',#162562,#162560,#83649,.T.); #201936=EDGE_CURVE('',#162563,#162561,#83650,.T.); #201937=EDGE_CURVE('',#162562,#162563,#83651,.T.); #201938=EDGE_CURVE('',#162564,#162562,#83652,.T.); #201939=EDGE_CURVE('',#162565,#162563,#83653,.T.); #201940=EDGE_CURVE('',#162564,#162565,#83654,.T.); #201941=EDGE_CURVE('',#162566,#162564,#83655,.T.); #201942=EDGE_CURVE('',#162567,#162565,#83656,.T.); #201943=EDGE_CURVE('',#162566,#162567,#83657,.T.); #201944=EDGE_CURVE('',#162568,#162566,#83658,.T.); #201945=EDGE_CURVE('',#162569,#162567,#83659,.T.); #201946=EDGE_CURVE('',#162568,#162569,#83660,.T.); #201947=EDGE_CURVE('',#162570,#162568,#83661,.T.); #201948=EDGE_CURVE('',#162571,#162569,#83662,.T.); #201949=EDGE_CURVE('',#162570,#162571,#83663,.T.); #201950=EDGE_CURVE('',#162572,#162570,#83664,.T.); #201951=EDGE_CURVE('',#162573,#162571,#83665,.T.); #201952=EDGE_CURVE('',#162572,#162573,#83666,.T.); #201953=EDGE_CURVE('',#162574,#162572,#83667,.T.); #201954=EDGE_CURVE('',#162575,#162573,#83668,.T.); #201955=EDGE_CURVE('',#162574,#162575,#83669,.T.); #201956=EDGE_CURVE('',#162576,#162574,#83670,.T.); #201957=EDGE_CURVE('',#162577,#162575,#83671,.T.); #201958=EDGE_CURVE('',#162576,#162577,#83672,.T.); #201959=EDGE_CURVE('',#162578,#162576,#83673,.T.); #201960=EDGE_CURVE('',#162579,#162577,#83674,.T.); #201961=EDGE_CURVE('',#162578,#162579,#83675,.T.); #201962=EDGE_CURVE('',#162580,#162578,#83676,.T.); #201963=EDGE_CURVE('',#162581,#162579,#83677,.T.); #201964=EDGE_CURVE('',#162580,#162581,#83678,.T.); #201965=EDGE_CURVE('',#162582,#162580,#83679,.T.); #201966=EDGE_CURVE('',#162583,#162581,#83680,.T.); #201967=EDGE_CURVE('',#162582,#162583,#83681,.T.); #201968=EDGE_CURVE('',#162584,#162582,#83682,.T.); #201969=EDGE_CURVE('',#162585,#162583,#83683,.T.); #201970=EDGE_CURVE('',#162584,#162585,#83684,.T.); #201971=EDGE_CURVE('',#162586,#162584,#83685,.T.); #201972=EDGE_CURVE('',#162587,#162585,#83686,.T.); #201973=EDGE_CURVE('',#162586,#162587,#83687,.T.); #201974=EDGE_CURVE('',#162588,#162586,#83688,.T.); #201975=EDGE_CURVE('',#162589,#162587,#83689,.T.); #201976=EDGE_CURVE('',#162588,#162589,#83690,.T.); #201977=EDGE_CURVE('',#162590,#162588,#83691,.T.); #201978=EDGE_CURVE('',#162591,#162589,#83692,.T.); #201979=EDGE_CURVE('',#162590,#162591,#83693,.T.); #201980=EDGE_CURVE('',#162592,#162590,#83694,.T.); #201981=EDGE_CURVE('',#162593,#162591,#83695,.T.); #201982=EDGE_CURVE('',#162592,#162593,#83696,.T.); #201983=EDGE_CURVE('',#162594,#162592,#83697,.T.); #201984=EDGE_CURVE('',#162595,#162593,#83698,.T.); #201985=EDGE_CURVE('',#162594,#162595,#83699,.T.); #201986=EDGE_CURVE('',#162596,#162594,#83700,.T.); #201987=EDGE_CURVE('',#162597,#162595,#83701,.T.); #201988=EDGE_CURVE('',#162596,#162597,#83702,.T.); #201989=EDGE_CURVE('',#162598,#162596,#83703,.T.); #201990=EDGE_CURVE('',#162599,#162597,#83704,.T.); #201991=EDGE_CURVE('',#162598,#162599,#83705,.T.); #201992=EDGE_CURVE('',#162600,#162598,#83706,.T.); #201993=EDGE_CURVE('',#162601,#162599,#83707,.T.); #201994=EDGE_CURVE('',#162600,#162601,#83708,.T.); #201995=EDGE_CURVE('',#162602,#162600,#83709,.T.); #201996=EDGE_CURVE('',#162603,#162601,#83710,.T.); #201997=EDGE_CURVE('',#162602,#162603,#83711,.T.); #201998=EDGE_CURVE('',#162604,#162602,#83712,.T.); #201999=EDGE_CURVE('',#162605,#162603,#83713,.T.); #202000=EDGE_CURVE('',#162604,#162605,#83714,.T.); #202001=EDGE_CURVE('',#162606,#162604,#83715,.T.); #202002=EDGE_CURVE('',#162607,#162605,#83716,.T.); #202003=EDGE_CURVE('',#162606,#162607,#83717,.T.); #202004=EDGE_CURVE('',#162608,#162606,#83718,.T.); #202005=EDGE_CURVE('',#162609,#162607,#83719,.T.); #202006=EDGE_CURVE('',#162608,#162609,#83720,.T.); #202007=EDGE_CURVE('',#162610,#162608,#83721,.T.); #202008=EDGE_CURVE('',#162611,#162609,#83722,.T.); #202009=EDGE_CURVE('',#162610,#162611,#83723,.T.); #202010=EDGE_CURVE('',#162612,#162610,#83724,.T.); #202011=EDGE_CURVE('',#162613,#162611,#83725,.T.); #202012=EDGE_CURVE('',#162612,#162613,#83726,.T.); #202013=EDGE_CURVE('',#162614,#162612,#83727,.T.); #202014=EDGE_CURVE('',#162615,#162613,#83728,.T.); #202015=EDGE_CURVE('',#162614,#162615,#83729,.T.); #202016=EDGE_CURVE('',#162616,#162614,#83730,.T.); #202017=EDGE_CURVE('',#162617,#162615,#83731,.T.); #202018=EDGE_CURVE('',#162616,#162617,#83732,.T.); #202019=EDGE_CURVE('',#162618,#162616,#83733,.T.); #202020=EDGE_CURVE('',#162619,#162617,#83734,.T.); #202021=EDGE_CURVE('',#162618,#162619,#83735,.T.); #202022=EDGE_CURVE('',#162620,#162618,#83736,.T.); #202023=EDGE_CURVE('',#162621,#162619,#83737,.T.); #202024=EDGE_CURVE('',#162620,#162621,#83738,.T.); #202025=EDGE_CURVE('',#162622,#162620,#83739,.T.); #202026=EDGE_CURVE('',#162623,#162621,#83740,.T.); #202027=EDGE_CURVE('',#162622,#162623,#83741,.T.); #202028=EDGE_CURVE('',#162624,#162622,#83742,.T.); #202029=EDGE_CURVE('',#162625,#162623,#83743,.T.); #202030=EDGE_CURVE('',#162624,#162625,#83744,.T.); #202031=EDGE_CURVE('',#162626,#162624,#83745,.T.); #202032=EDGE_CURVE('',#162627,#162625,#83746,.T.); #202033=EDGE_CURVE('',#162626,#162627,#83747,.T.); #202034=EDGE_CURVE('',#162628,#162626,#83748,.T.); #202035=EDGE_CURVE('',#162629,#162627,#83749,.T.); #202036=EDGE_CURVE('',#162628,#162629,#83750,.T.); #202037=EDGE_CURVE('',#162630,#162628,#83751,.T.); #202038=EDGE_CURVE('',#162631,#162629,#83752,.T.); #202039=EDGE_CURVE('',#162630,#162631,#83753,.T.); #202040=EDGE_CURVE('',#162632,#162630,#83754,.T.); #202041=EDGE_CURVE('',#162633,#162631,#83755,.T.); #202042=EDGE_CURVE('',#162632,#162633,#83756,.T.); #202043=EDGE_CURVE('',#162634,#162632,#83757,.T.); #202044=EDGE_CURVE('',#162635,#162633,#83758,.T.); #202045=EDGE_CURVE('',#162634,#162635,#83759,.T.); #202046=EDGE_CURVE('',#162636,#162634,#83760,.T.); #202047=EDGE_CURVE('',#162637,#162635,#83761,.T.); #202048=EDGE_CURVE('',#162636,#162637,#83762,.T.); #202049=EDGE_CURVE('',#162638,#162636,#83763,.T.); #202050=EDGE_CURVE('',#162639,#162637,#83764,.T.); #202051=EDGE_CURVE('',#162638,#162639,#83765,.T.); #202052=EDGE_CURVE('',#162640,#162638,#83766,.T.); #202053=EDGE_CURVE('',#162641,#162639,#83767,.T.); #202054=EDGE_CURVE('',#162640,#162641,#83768,.T.); #202055=EDGE_CURVE('',#162642,#162640,#83769,.T.); #202056=EDGE_CURVE('',#162643,#162641,#83770,.T.); #202057=EDGE_CURVE('',#162642,#162643,#83771,.T.); #202058=EDGE_CURVE('',#162644,#162642,#83772,.T.); #202059=EDGE_CURVE('',#162645,#162643,#83773,.T.); #202060=EDGE_CURVE('',#162644,#162645,#83774,.T.); #202061=EDGE_CURVE('',#162646,#162644,#83775,.T.); #202062=EDGE_CURVE('',#162647,#162645,#83776,.T.); #202063=EDGE_CURVE('',#162646,#162647,#83777,.T.); #202064=EDGE_CURVE('',#162648,#162646,#83778,.T.); #202065=EDGE_CURVE('',#162649,#162647,#83779,.T.); #202066=EDGE_CURVE('',#162648,#162649,#83780,.T.); #202067=EDGE_CURVE('',#162650,#162648,#83781,.T.); #202068=EDGE_CURVE('',#162651,#162649,#83782,.T.); #202069=EDGE_CURVE('',#162650,#162651,#83783,.T.); #202070=EDGE_CURVE('',#162652,#162650,#83784,.T.); #202071=EDGE_CURVE('',#162653,#162651,#83785,.T.); #202072=EDGE_CURVE('',#162652,#162653,#83786,.T.); #202073=EDGE_CURVE('',#162654,#162652,#83787,.T.); #202074=EDGE_CURVE('',#162655,#162653,#83788,.T.); #202075=EDGE_CURVE('',#162654,#162655,#83789,.T.); #202076=EDGE_CURVE('',#162656,#162654,#83790,.T.); #202077=EDGE_CURVE('',#162657,#162655,#83791,.T.); #202078=EDGE_CURVE('',#162656,#162657,#83792,.T.); #202079=EDGE_CURVE('',#162658,#162656,#83793,.T.); #202080=EDGE_CURVE('',#162659,#162657,#83794,.T.); #202081=EDGE_CURVE('',#162658,#162659,#83795,.T.); #202082=EDGE_CURVE('',#162660,#162658,#83796,.T.); #202083=EDGE_CURVE('',#162661,#162659,#83797,.T.); #202084=EDGE_CURVE('',#162660,#162661,#83798,.T.); #202085=EDGE_CURVE('',#162662,#162660,#83799,.T.); #202086=EDGE_CURVE('',#162663,#162661,#83800,.T.); #202087=EDGE_CURVE('',#162662,#162663,#83801,.T.); #202088=EDGE_CURVE('',#162664,#162662,#83802,.T.); #202089=EDGE_CURVE('',#162665,#162663,#83803,.T.); #202090=EDGE_CURVE('',#162664,#162665,#83804,.T.); #202091=EDGE_CURVE('',#162666,#162664,#83805,.T.); #202092=EDGE_CURVE('',#162667,#162665,#83806,.T.); #202093=EDGE_CURVE('',#162666,#162667,#83807,.T.); #202094=EDGE_CURVE('',#162668,#162666,#83808,.T.); #202095=EDGE_CURVE('',#162669,#162667,#83809,.T.); #202096=EDGE_CURVE('',#162668,#162669,#83810,.T.); #202097=EDGE_CURVE('',#162670,#162668,#83811,.T.); #202098=EDGE_CURVE('',#162671,#162669,#83812,.T.); #202099=EDGE_CURVE('',#162670,#162671,#83813,.T.); #202100=EDGE_CURVE('',#162672,#162670,#83814,.T.); #202101=EDGE_CURVE('',#162673,#162671,#83815,.T.); #202102=EDGE_CURVE('',#162672,#162673,#83816,.T.); #202103=EDGE_CURVE('',#162674,#162672,#83817,.T.); #202104=EDGE_CURVE('',#162675,#162673,#83818,.T.); #202105=EDGE_CURVE('',#162674,#162675,#83819,.T.); #202106=EDGE_CURVE('',#162676,#162674,#83820,.T.); #202107=EDGE_CURVE('',#162677,#162675,#83821,.T.); #202108=EDGE_CURVE('',#162676,#162677,#83822,.T.); #202109=EDGE_CURVE('',#162678,#162676,#83823,.T.); #202110=EDGE_CURVE('',#162679,#162677,#83824,.T.); #202111=EDGE_CURVE('',#162678,#162679,#83825,.T.); #202112=EDGE_CURVE('',#162680,#162678,#83826,.T.); #202113=EDGE_CURVE('',#162681,#162679,#83827,.T.); #202114=EDGE_CURVE('',#162680,#162681,#83828,.T.); #202115=EDGE_CURVE('',#162682,#162680,#83829,.T.); #202116=EDGE_CURVE('',#162683,#162681,#83830,.T.); #202117=EDGE_CURVE('',#162682,#162683,#83831,.T.); #202118=EDGE_CURVE('',#162684,#162682,#83832,.T.); #202119=EDGE_CURVE('',#162685,#162683,#83833,.T.); #202120=EDGE_CURVE('',#162684,#162685,#83834,.T.); #202121=EDGE_CURVE('',#162686,#162684,#83835,.T.); #202122=EDGE_CURVE('',#162687,#162685,#83836,.T.); #202123=EDGE_CURVE('',#162686,#162687,#83837,.T.); #202124=EDGE_CURVE('',#162688,#162686,#83838,.T.); #202125=EDGE_CURVE('',#162689,#162687,#83839,.T.); #202126=EDGE_CURVE('',#162688,#162689,#83840,.T.); #202127=EDGE_CURVE('',#162690,#162688,#83841,.T.); #202128=EDGE_CURVE('',#162691,#162689,#83842,.T.); #202129=EDGE_CURVE('',#162690,#162691,#83843,.T.); #202130=EDGE_CURVE('',#162692,#162690,#83844,.T.); #202131=EDGE_CURVE('',#162693,#162691,#83845,.T.); #202132=EDGE_CURVE('',#162692,#162693,#83846,.T.); #202133=EDGE_CURVE('',#162694,#162692,#83847,.T.); #202134=EDGE_CURVE('',#162695,#162693,#83848,.T.); #202135=EDGE_CURVE('',#162694,#162695,#83849,.T.); #202136=EDGE_CURVE('',#162696,#162694,#83850,.T.); #202137=EDGE_CURVE('',#162697,#162695,#83851,.T.); #202138=EDGE_CURVE('',#162696,#162697,#83852,.T.); #202139=EDGE_CURVE('',#162698,#162696,#83853,.T.); #202140=EDGE_CURVE('',#162699,#162697,#83854,.T.); #202141=EDGE_CURVE('',#162698,#162699,#83855,.T.); #202142=EDGE_CURVE('',#162700,#162698,#83856,.T.); #202143=EDGE_CURVE('',#162701,#162699,#83857,.T.); #202144=EDGE_CURVE('',#162700,#162701,#83858,.T.); #202145=EDGE_CURVE('',#162702,#162700,#83859,.T.); #202146=EDGE_CURVE('',#162703,#162701,#83860,.T.); #202147=EDGE_CURVE('',#162702,#162703,#83861,.T.); #202148=EDGE_CURVE('',#162704,#162702,#83862,.T.); #202149=EDGE_CURVE('',#162705,#162703,#83863,.T.); #202150=EDGE_CURVE('',#162704,#162705,#83864,.T.); #202151=EDGE_CURVE('',#162706,#162704,#83865,.T.); #202152=EDGE_CURVE('',#162707,#162705,#83866,.T.); #202153=EDGE_CURVE('',#162706,#162707,#83867,.T.); #202154=EDGE_CURVE('',#162708,#162706,#83868,.T.); #202155=EDGE_CURVE('',#162709,#162707,#83869,.T.); #202156=EDGE_CURVE('',#162708,#162709,#83870,.T.); #202157=EDGE_CURVE('',#162710,#162708,#83871,.T.); #202158=EDGE_CURVE('',#162711,#162709,#83872,.T.); #202159=EDGE_CURVE('',#162710,#162711,#83873,.T.); #202160=EDGE_CURVE('',#162712,#162710,#83874,.T.); #202161=EDGE_CURVE('',#162713,#162711,#83875,.T.); #202162=EDGE_CURVE('',#162712,#162713,#83876,.T.); #202163=EDGE_CURVE('',#162714,#162712,#83877,.T.); #202164=EDGE_CURVE('',#162715,#162713,#83878,.T.); #202165=EDGE_CURVE('',#162714,#162715,#83879,.T.); #202166=EDGE_CURVE('',#162716,#162714,#83880,.T.); #202167=EDGE_CURVE('',#162717,#162715,#83881,.T.); #202168=EDGE_CURVE('',#162716,#162717,#83882,.T.); #202169=EDGE_CURVE('',#162718,#162716,#83883,.T.); #202170=EDGE_CURVE('',#162719,#162717,#83884,.T.); #202171=EDGE_CURVE('',#162718,#162719,#83885,.T.); #202172=EDGE_CURVE('',#162720,#162718,#83886,.T.); #202173=EDGE_CURVE('',#162721,#162719,#83887,.T.); #202174=EDGE_CURVE('',#162720,#162721,#83888,.T.); #202175=EDGE_CURVE('',#162722,#162720,#83889,.T.); #202176=EDGE_CURVE('',#162723,#162721,#83890,.T.); #202177=EDGE_CURVE('',#162722,#162723,#83891,.T.); #202178=EDGE_CURVE('',#162724,#162722,#83892,.T.); #202179=EDGE_CURVE('',#162725,#162723,#83893,.T.); #202180=EDGE_CURVE('',#162724,#162725,#83894,.T.); #202181=EDGE_CURVE('',#162726,#162724,#83895,.T.); #202182=EDGE_CURVE('',#162727,#162725,#83896,.T.); #202183=EDGE_CURVE('',#162726,#162727,#83897,.T.); #202184=EDGE_CURVE('',#162728,#162726,#83898,.T.); #202185=EDGE_CURVE('',#162729,#162727,#83899,.T.); #202186=EDGE_CURVE('',#162728,#162729,#83900,.T.); #202187=EDGE_CURVE('',#162730,#162728,#83901,.T.); #202188=EDGE_CURVE('',#162731,#162729,#83902,.T.); #202189=EDGE_CURVE('',#162730,#162731,#83903,.T.); #202190=EDGE_CURVE('',#162732,#162730,#83904,.T.); #202191=EDGE_CURVE('',#162733,#162731,#83905,.T.); #202192=EDGE_CURVE('',#162732,#162733,#83906,.T.); #202193=EDGE_CURVE('',#162734,#162732,#83907,.T.); #202194=EDGE_CURVE('',#162735,#162733,#83908,.T.); #202195=EDGE_CURVE('',#162734,#162735,#83909,.T.); #202196=EDGE_CURVE('',#162736,#162734,#83910,.T.); #202197=EDGE_CURVE('',#162737,#162735,#83911,.T.); #202198=EDGE_CURVE('',#162736,#162737,#83912,.T.); #202199=EDGE_CURVE('',#162738,#162736,#83913,.T.); #202200=EDGE_CURVE('',#162739,#162737,#83914,.T.); #202201=EDGE_CURVE('',#162738,#162739,#83915,.T.); #202202=EDGE_CURVE('',#162740,#162738,#83916,.T.); #202203=EDGE_CURVE('',#162741,#162739,#83917,.T.); #202204=EDGE_CURVE('',#162740,#162741,#83918,.T.); #202205=EDGE_CURVE('',#162742,#162740,#83919,.T.); #202206=EDGE_CURVE('',#162743,#162741,#83920,.T.); #202207=EDGE_CURVE('',#162742,#162743,#83921,.T.); #202208=EDGE_CURVE('',#162744,#162742,#83922,.T.); #202209=EDGE_CURVE('',#162745,#162743,#83923,.T.); #202210=EDGE_CURVE('',#162744,#162745,#83924,.T.); #202211=EDGE_CURVE('',#162746,#162744,#83925,.T.); #202212=EDGE_CURVE('',#162747,#162745,#83926,.T.); #202213=EDGE_CURVE('',#162746,#162747,#83927,.T.); #202214=EDGE_CURVE('',#162748,#162746,#83928,.T.); #202215=EDGE_CURVE('',#162749,#162747,#83929,.T.); #202216=EDGE_CURVE('',#162748,#162749,#83930,.T.); #202217=EDGE_CURVE('',#162750,#162748,#83931,.T.); #202218=EDGE_CURVE('',#162751,#162749,#83932,.T.); #202219=EDGE_CURVE('',#162750,#162751,#83933,.T.); #202220=EDGE_CURVE('',#162752,#162750,#83934,.T.); #202221=EDGE_CURVE('',#162753,#162751,#83935,.T.); #202222=EDGE_CURVE('',#162752,#162753,#83936,.T.); #202223=EDGE_CURVE('',#162754,#162752,#83937,.T.); #202224=EDGE_CURVE('',#162755,#162753,#83938,.T.); #202225=EDGE_CURVE('',#162754,#162755,#83939,.T.); #202226=EDGE_CURVE('',#162756,#162754,#83940,.T.); #202227=EDGE_CURVE('',#162757,#162755,#83941,.T.); #202228=EDGE_CURVE('',#162756,#162757,#83942,.T.); #202229=EDGE_CURVE('',#162758,#162756,#83943,.T.); #202230=EDGE_CURVE('',#162759,#162757,#83944,.T.); #202231=EDGE_CURVE('',#162758,#162759,#83945,.T.); #202232=EDGE_CURVE('',#162760,#162758,#83946,.T.); #202233=EDGE_CURVE('',#162761,#162759,#83947,.T.); #202234=EDGE_CURVE('',#162760,#162761,#83948,.T.); #202235=EDGE_CURVE('',#162762,#162760,#83949,.T.); #202236=EDGE_CURVE('',#162763,#162761,#83950,.T.); #202237=EDGE_CURVE('',#162762,#162763,#83951,.T.); #202238=EDGE_CURVE('',#162764,#162762,#83952,.T.); #202239=EDGE_CURVE('',#162765,#162763,#83953,.T.); #202240=EDGE_CURVE('',#162764,#162765,#83954,.T.); #202241=EDGE_CURVE('',#162766,#162764,#83955,.T.); #202242=EDGE_CURVE('',#162767,#162765,#83956,.T.); #202243=EDGE_CURVE('',#162766,#162767,#83957,.T.); #202244=EDGE_CURVE('',#162768,#162766,#83958,.T.); #202245=EDGE_CURVE('',#162769,#162767,#83959,.T.); #202246=EDGE_CURVE('',#162768,#162769,#83960,.T.); #202247=EDGE_CURVE('',#162770,#162768,#83961,.T.); #202248=EDGE_CURVE('',#162771,#162769,#83962,.T.); #202249=EDGE_CURVE('',#162770,#162771,#83963,.T.); #202250=EDGE_CURVE('',#162772,#162770,#83964,.T.); #202251=EDGE_CURVE('',#162773,#162771,#83965,.T.); #202252=EDGE_CURVE('',#162772,#162773,#83966,.T.); #202253=EDGE_CURVE('',#162774,#162772,#83967,.T.); #202254=EDGE_CURVE('',#162775,#162773,#83968,.T.); #202255=EDGE_CURVE('',#162774,#162775,#83969,.T.); #202256=EDGE_CURVE('',#162776,#162774,#83970,.T.); #202257=EDGE_CURVE('',#162777,#162775,#83971,.T.); #202258=EDGE_CURVE('',#162776,#162777,#83972,.T.); #202259=EDGE_CURVE('',#162778,#162776,#83973,.T.); #202260=EDGE_CURVE('',#162779,#162777,#83974,.T.); #202261=EDGE_CURVE('',#162778,#162779,#83975,.T.); #202262=EDGE_CURVE('',#162780,#162778,#83976,.T.); #202263=EDGE_CURVE('',#162781,#162779,#83977,.T.); #202264=EDGE_CURVE('',#162780,#162781,#83978,.T.); #202265=EDGE_CURVE('',#162782,#162780,#83979,.T.); #202266=EDGE_CURVE('',#162783,#162781,#83980,.T.); #202267=EDGE_CURVE('',#162782,#162783,#83981,.T.); #202268=EDGE_CURVE('',#162784,#162782,#83982,.T.); #202269=EDGE_CURVE('',#162785,#162783,#83983,.T.); #202270=EDGE_CURVE('',#162784,#162785,#83984,.T.); #202271=EDGE_CURVE('',#162786,#162784,#83985,.T.); #202272=EDGE_CURVE('',#162787,#162785,#83986,.T.); #202273=EDGE_CURVE('',#162786,#162787,#83987,.T.); #202274=EDGE_CURVE('',#162788,#162786,#83988,.T.); #202275=EDGE_CURVE('',#162789,#162787,#83989,.T.); #202276=EDGE_CURVE('',#162788,#162789,#83990,.T.); #202277=EDGE_CURVE('',#162790,#162788,#83991,.T.); #202278=EDGE_CURVE('',#162791,#162789,#83992,.T.); #202279=EDGE_CURVE('',#162790,#162791,#83993,.T.); #202280=EDGE_CURVE('',#162792,#162790,#83994,.T.); #202281=EDGE_CURVE('',#162793,#162791,#83995,.T.); #202282=EDGE_CURVE('',#162792,#162793,#83996,.T.); #202283=EDGE_CURVE('',#162794,#162792,#83997,.T.); #202284=EDGE_CURVE('',#162795,#162793,#83998,.T.); #202285=EDGE_CURVE('',#162794,#162795,#83999,.T.); #202286=EDGE_CURVE('',#162796,#162794,#84000,.T.); #202287=EDGE_CURVE('',#162797,#162795,#84001,.T.); #202288=EDGE_CURVE('',#162796,#162797,#84002,.T.); #202289=EDGE_CURVE('',#162798,#162796,#84003,.T.); #202290=EDGE_CURVE('',#162799,#162797,#84004,.T.); #202291=EDGE_CURVE('',#162798,#162799,#84005,.T.); #202292=EDGE_CURVE('',#162800,#162798,#84006,.T.); #202293=EDGE_CURVE('',#162801,#162799,#84007,.T.); #202294=EDGE_CURVE('',#162800,#162801,#84008,.T.); #202295=EDGE_CURVE('',#162802,#162800,#84009,.T.); #202296=EDGE_CURVE('',#162803,#162801,#84010,.T.); #202297=EDGE_CURVE('',#162802,#162803,#84011,.T.); #202298=EDGE_CURVE('',#162804,#162802,#84012,.T.); #202299=EDGE_CURVE('',#162805,#162803,#84013,.T.); #202300=EDGE_CURVE('',#162804,#162805,#84014,.T.); #202301=EDGE_CURVE('',#162806,#162804,#84015,.T.); #202302=EDGE_CURVE('',#162807,#162805,#84016,.T.); #202303=EDGE_CURVE('',#162806,#162807,#84017,.T.); #202304=EDGE_CURVE('',#162808,#162806,#84018,.T.); #202305=EDGE_CURVE('',#162809,#162807,#84019,.T.); #202306=EDGE_CURVE('',#162808,#162809,#84020,.T.); #202307=EDGE_CURVE('',#162810,#162808,#84021,.T.); #202308=EDGE_CURVE('',#162811,#162809,#84022,.T.); #202309=EDGE_CURVE('',#162810,#162811,#84023,.T.); #202310=EDGE_CURVE('',#162812,#162810,#84024,.T.); #202311=EDGE_CURVE('',#162813,#162811,#84025,.T.); #202312=EDGE_CURVE('',#162812,#162813,#84026,.T.); #202313=EDGE_CURVE('',#162814,#162812,#84027,.T.); #202314=EDGE_CURVE('',#162815,#162813,#84028,.T.); #202315=EDGE_CURVE('',#162814,#162815,#84029,.T.); #202316=EDGE_CURVE('',#162816,#162814,#84030,.T.); #202317=EDGE_CURVE('',#162817,#162815,#84031,.T.); #202318=EDGE_CURVE('',#162816,#162817,#84032,.T.); #202319=EDGE_CURVE('',#162818,#162816,#84033,.T.); #202320=EDGE_CURVE('',#162819,#162817,#84034,.T.); #202321=EDGE_CURVE('',#162818,#162819,#84035,.T.); #202322=EDGE_CURVE('',#162820,#162818,#84036,.T.); #202323=EDGE_CURVE('',#162821,#162819,#84037,.T.); #202324=EDGE_CURVE('',#162820,#162821,#84038,.T.); #202325=EDGE_CURVE('',#162822,#162820,#84039,.T.); #202326=EDGE_CURVE('',#162823,#162821,#84040,.T.); #202327=EDGE_CURVE('',#162822,#162823,#84041,.T.); #202328=EDGE_CURVE('',#162824,#162822,#84042,.T.); #202329=EDGE_CURVE('',#162825,#162823,#84043,.T.); #202330=EDGE_CURVE('',#162824,#162825,#84044,.T.); #202331=EDGE_CURVE('',#162826,#162824,#84045,.T.); #202332=EDGE_CURVE('',#162827,#162825,#84046,.T.); #202333=EDGE_CURVE('',#162826,#162827,#84047,.T.); #202334=EDGE_CURVE('',#162828,#162826,#84048,.T.); #202335=EDGE_CURVE('',#162829,#162827,#84049,.T.); #202336=EDGE_CURVE('',#162828,#162829,#84050,.T.); #202337=EDGE_CURVE('',#162830,#162828,#84051,.T.); #202338=EDGE_CURVE('',#162831,#162829,#84052,.T.); #202339=EDGE_CURVE('',#162830,#162831,#84053,.T.); #202340=EDGE_CURVE('',#162832,#162830,#84054,.T.); #202341=EDGE_CURVE('',#162833,#162831,#84055,.T.); #202342=EDGE_CURVE('',#162832,#162833,#84056,.T.); #202343=EDGE_CURVE('',#162834,#162832,#84057,.T.); #202344=EDGE_CURVE('',#162835,#162833,#84058,.T.); #202345=EDGE_CURVE('',#162834,#162835,#84059,.T.); #202346=EDGE_CURVE('',#162836,#162834,#84060,.T.); #202347=EDGE_CURVE('',#162837,#162835,#84061,.T.); #202348=EDGE_CURVE('',#162836,#162837,#84062,.T.); #202349=EDGE_CURVE('',#162838,#162836,#84063,.T.); #202350=EDGE_CURVE('',#162839,#162837,#84064,.T.); #202351=EDGE_CURVE('',#162838,#162839,#84065,.T.); #202352=EDGE_CURVE('',#162840,#162838,#84066,.T.); #202353=EDGE_CURVE('',#162841,#162839,#84067,.T.); #202354=EDGE_CURVE('',#162840,#162841,#84068,.T.); #202355=EDGE_CURVE('',#162842,#162840,#84069,.T.); #202356=EDGE_CURVE('',#162843,#162841,#84070,.T.); #202357=EDGE_CURVE('',#162842,#162843,#84071,.T.); #202358=EDGE_CURVE('',#162844,#162842,#84072,.T.); #202359=EDGE_CURVE('',#162845,#162843,#84073,.T.); #202360=EDGE_CURVE('',#162844,#162845,#84074,.T.); #202361=EDGE_CURVE('',#162846,#162844,#84075,.T.); #202362=EDGE_CURVE('',#162847,#162845,#84076,.T.); #202363=EDGE_CURVE('',#162846,#162847,#84077,.T.); #202364=EDGE_CURVE('',#162848,#162846,#84078,.T.); #202365=EDGE_CURVE('',#162849,#162847,#84079,.T.); #202366=EDGE_CURVE('',#162848,#162849,#84080,.T.); #202367=EDGE_CURVE('',#162850,#162848,#84081,.T.); #202368=EDGE_CURVE('',#162851,#162849,#84082,.T.); #202369=EDGE_CURVE('',#162850,#162851,#84083,.T.); #202370=EDGE_CURVE('',#162852,#162850,#84084,.T.); #202371=EDGE_CURVE('',#162853,#162851,#84085,.T.); #202372=EDGE_CURVE('',#162852,#162853,#84086,.T.); #202373=EDGE_CURVE('',#162854,#162852,#84087,.T.); #202374=EDGE_CURVE('',#162855,#162853,#84088,.T.); #202375=EDGE_CURVE('',#162854,#162855,#84089,.T.); #202376=EDGE_CURVE('',#162856,#162854,#84090,.T.); #202377=EDGE_CURVE('',#162857,#162855,#84091,.T.); #202378=EDGE_CURVE('',#162856,#162857,#84092,.T.); #202379=EDGE_CURVE('',#162858,#162856,#84093,.T.); #202380=EDGE_CURVE('',#162859,#162857,#84094,.T.); #202381=EDGE_CURVE('',#162858,#162859,#84095,.T.); #202382=EDGE_CURVE('',#162860,#162858,#84096,.T.); #202383=EDGE_CURVE('',#162861,#162859,#84097,.T.); #202384=EDGE_CURVE('',#162860,#162861,#84098,.T.); #202385=EDGE_CURVE('',#162862,#162860,#84099,.T.); #202386=EDGE_CURVE('',#162863,#162861,#84100,.T.); #202387=EDGE_CURVE('',#162862,#162863,#84101,.T.); #202388=EDGE_CURVE('',#162864,#162862,#84102,.T.); #202389=EDGE_CURVE('',#162865,#162863,#84103,.T.); #202390=EDGE_CURVE('',#162864,#162865,#84104,.T.); #202391=EDGE_CURVE('',#162866,#162864,#84105,.T.); #202392=EDGE_CURVE('',#162867,#162865,#84106,.T.); #202393=EDGE_CURVE('',#162866,#162867,#84107,.T.); #202394=EDGE_CURVE('',#162868,#162866,#84108,.T.); #202395=EDGE_CURVE('',#162869,#162867,#84109,.T.); #202396=EDGE_CURVE('',#162868,#162869,#84110,.T.); #202397=EDGE_CURVE('',#162870,#162868,#84111,.T.); #202398=EDGE_CURVE('',#162871,#162869,#84112,.T.); #202399=EDGE_CURVE('',#162870,#162871,#84113,.T.); #202400=EDGE_CURVE('',#162872,#162870,#84114,.T.); #202401=EDGE_CURVE('',#162873,#162871,#84115,.T.); #202402=EDGE_CURVE('',#162872,#162873,#84116,.T.); #202403=EDGE_CURVE('',#162874,#162872,#84117,.T.); #202404=EDGE_CURVE('',#162875,#162873,#84118,.T.); #202405=EDGE_CURVE('',#162874,#162875,#84119,.T.); #202406=EDGE_CURVE('',#162876,#162874,#84120,.T.); #202407=EDGE_CURVE('',#162877,#162875,#84121,.T.); #202408=EDGE_CURVE('',#162876,#162877,#84122,.T.); #202409=EDGE_CURVE('',#162878,#162876,#84123,.T.); #202410=EDGE_CURVE('',#162879,#162877,#84124,.T.); #202411=EDGE_CURVE('',#162878,#162879,#84125,.T.); #202412=EDGE_CURVE('',#162880,#162878,#84126,.T.); #202413=EDGE_CURVE('',#162881,#162879,#84127,.T.); #202414=EDGE_CURVE('',#162880,#162881,#84128,.T.); #202415=EDGE_CURVE('',#162882,#162880,#84129,.T.); #202416=EDGE_CURVE('',#162883,#162881,#84130,.T.); #202417=EDGE_CURVE('',#162882,#162883,#84131,.T.); #202418=EDGE_CURVE('',#162884,#162882,#84132,.T.); #202419=EDGE_CURVE('',#162885,#162883,#84133,.T.); #202420=EDGE_CURVE('',#162884,#162885,#84134,.T.); #202421=EDGE_CURVE('',#162886,#162884,#84135,.T.); #202422=EDGE_CURVE('',#162887,#162885,#84136,.T.); #202423=EDGE_CURVE('',#162886,#162887,#84137,.T.); #202424=EDGE_CURVE('',#162888,#162886,#84138,.T.); #202425=EDGE_CURVE('',#162889,#162887,#84139,.T.); #202426=EDGE_CURVE('',#162888,#162889,#84140,.T.); #202427=EDGE_CURVE('',#162890,#162888,#84141,.T.); #202428=EDGE_CURVE('',#162891,#162889,#84142,.T.); #202429=EDGE_CURVE('',#162890,#162891,#84143,.T.); #202430=EDGE_CURVE('',#162892,#162890,#84144,.T.); #202431=EDGE_CURVE('',#162893,#162891,#84145,.T.); #202432=EDGE_CURVE('',#162892,#162893,#84146,.T.); #202433=EDGE_CURVE('',#162894,#162892,#84147,.T.); #202434=EDGE_CURVE('',#162895,#162893,#84148,.T.); #202435=EDGE_CURVE('',#162894,#162895,#84149,.T.); #202436=EDGE_CURVE('',#162896,#162894,#84150,.T.); #202437=EDGE_CURVE('',#162897,#162895,#84151,.T.); #202438=EDGE_CURVE('',#162896,#162897,#84152,.T.); #202439=EDGE_CURVE('',#162898,#162896,#84153,.T.); #202440=EDGE_CURVE('',#162899,#162897,#84154,.T.); #202441=EDGE_CURVE('',#162898,#162899,#84155,.T.); #202442=EDGE_CURVE('',#162900,#162898,#84156,.T.); #202443=EDGE_CURVE('',#162901,#162899,#84157,.T.); #202444=EDGE_CURVE('',#162900,#162901,#84158,.T.); #202445=EDGE_CURVE('',#162902,#162900,#84159,.T.); #202446=EDGE_CURVE('',#162903,#162901,#84160,.T.); #202447=EDGE_CURVE('',#162902,#162903,#84161,.T.); #202448=EDGE_CURVE('',#162904,#162902,#84162,.T.); #202449=EDGE_CURVE('',#162905,#162903,#84163,.T.); #202450=EDGE_CURVE('',#162904,#162905,#84164,.T.); #202451=EDGE_CURVE('',#162906,#162904,#84165,.T.); #202452=EDGE_CURVE('',#162907,#162905,#84166,.T.); #202453=EDGE_CURVE('',#162906,#162907,#84167,.T.); #202454=EDGE_CURVE('',#162908,#162906,#84168,.T.); #202455=EDGE_CURVE('',#162909,#162907,#84169,.T.); #202456=EDGE_CURVE('',#162908,#162909,#84170,.T.); #202457=EDGE_CURVE('',#162910,#162908,#84171,.T.); #202458=EDGE_CURVE('',#162911,#162909,#84172,.T.); #202459=EDGE_CURVE('',#162910,#162911,#84173,.T.); #202460=EDGE_CURVE('',#162912,#162910,#84174,.T.); #202461=EDGE_CURVE('',#162913,#162911,#84175,.T.); #202462=EDGE_CURVE('',#162912,#162913,#84176,.T.); #202463=EDGE_CURVE('',#162914,#162912,#84177,.T.); #202464=EDGE_CURVE('',#162915,#162913,#84178,.T.); #202465=EDGE_CURVE('',#162914,#162915,#84179,.T.); #202466=EDGE_CURVE('',#162916,#162914,#84180,.T.); #202467=EDGE_CURVE('',#162917,#162915,#84181,.T.); #202468=EDGE_CURVE('',#162916,#162917,#84182,.T.); #202469=EDGE_CURVE('',#162918,#162916,#84183,.T.); #202470=EDGE_CURVE('',#162919,#162917,#84184,.T.); #202471=EDGE_CURVE('',#162918,#162919,#84185,.T.); #202472=EDGE_CURVE('',#162920,#162918,#84186,.T.); #202473=EDGE_CURVE('',#162921,#162919,#84187,.T.); #202474=EDGE_CURVE('',#162920,#162921,#84188,.T.); #202475=EDGE_CURVE('',#162922,#162920,#84189,.T.); #202476=EDGE_CURVE('',#162923,#162921,#84190,.T.); #202477=EDGE_CURVE('',#162922,#162923,#84191,.T.); #202478=EDGE_CURVE('',#162924,#162922,#84192,.T.); #202479=EDGE_CURVE('',#162925,#162923,#84193,.T.); #202480=EDGE_CURVE('',#162924,#162925,#84194,.T.); #202481=EDGE_CURVE('',#162926,#162924,#84195,.T.); #202482=EDGE_CURVE('',#162927,#162925,#84196,.T.); #202483=EDGE_CURVE('',#162926,#162927,#84197,.T.); #202484=EDGE_CURVE('',#162928,#162926,#84198,.T.); #202485=EDGE_CURVE('',#162929,#162927,#84199,.T.); #202486=EDGE_CURVE('',#162928,#162929,#84200,.T.); #202487=EDGE_CURVE('',#162930,#162928,#84201,.T.); #202488=EDGE_CURVE('',#162931,#162929,#84202,.T.); #202489=EDGE_CURVE('',#162930,#162931,#84203,.T.); #202490=EDGE_CURVE('',#162932,#162930,#84204,.T.); #202491=EDGE_CURVE('',#162933,#162931,#84205,.T.); #202492=EDGE_CURVE('',#162932,#162933,#84206,.T.); #202493=EDGE_CURVE('',#162934,#162932,#84207,.T.); #202494=EDGE_CURVE('',#162935,#162933,#84208,.T.); #202495=EDGE_CURVE('',#162934,#162935,#84209,.T.); #202496=EDGE_CURVE('',#162936,#162934,#84210,.T.); #202497=EDGE_CURVE('',#162937,#162935,#84211,.T.); #202498=EDGE_CURVE('',#162936,#162937,#84212,.T.); #202499=EDGE_CURVE('',#162938,#162936,#84213,.T.); #202500=EDGE_CURVE('',#162939,#162937,#84214,.T.); #202501=EDGE_CURVE('',#162938,#162939,#84215,.T.); #202502=EDGE_CURVE('',#162940,#162938,#84216,.T.); #202503=EDGE_CURVE('',#162941,#162939,#84217,.T.); #202504=EDGE_CURVE('',#162940,#162941,#84218,.T.); #202505=EDGE_CURVE('',#162942,#162940,#84219,.T.); #202506=EDGE_CURVE('',#162943,#162941,#84220,.T.); #202507=EDGE_CURVE('',#162942,#162943,#84221,.T.); #202508=EDGE_CURVE('',#162944,#162942,#84222,.T.); #202509=EDGE_CURVE('',#162945,#162943,#84223,.T.); #202510=EDGE_CURVE('',#162944,#162945,#84224,.T.); #202511=EDGE_CURVE('',#162946,#162944,#84225,.T.); #202512=EDGE_CURVE('',#162947,#162945,#84226,.T.); #202513=EDGE_CURVE('',#162946,#162947,#84227,.T.); #202514=EDGE_CURVE('',#162948,#162946,#84228,.T.); #202515=EDGE_CURVE('',#162949,#162947,#84229,.T.); #202516=EDGE_CURVE('',#162948,#162949,#84230,.T.); #202517=EDGE_CURVE('',#162950,#162948,#84231,.T.); #202518=EDGE_CURVE('',#162951,#162949,#84232,.T.); #202519=EDGE_CURVE('',#162950,#162951,#84233,.T.); #202520=EDGE_CURVE('',#162952,#162950,#84234,.T.); #202521=EDGE_CURVE('',#162953,#162951,#84235,.T.); #202522=EDGE_CURVE('',#162952,#162953,#84236,.T.); #202523=EDGE_CURVE('',#162954,#162952,#84237,.T.); #202524=EDGE_CURVE('',#162955,#162953,#84238,.T.); #202525=EDGE_CURVE('',#162954,#162955,#84239,.T.); #202526=EDGE_CURVE('',#162956,#162954,#84240,.T.); #202527=EDGE_CURVE('',#162957,#162955,#84241,.T.); #202528=EDGE_CURVE('',#162956,#162957,#84242,.T.); #202529=EDGE_CURVE('',#162958,#162956,#84243,.T.); #202530=EDGE_CURVE('',#162959,#162957,#84244,.T.); #202531=EDGE_CURVE('',#162958,#162959,#84245,.T.); #202532=EDGE_CURVE('',#162960,#162958,#84246,.T.); #202533=EDGE_CURVE('',#162961,#162959,#84247,.T.); #202534=EDGE_CURVE('',#162960,#162961,#84248,.T.); #202535=EDGE_CURVE('',#162962,#162960,#84249,.T.); #202536=EDGE_CURVE('',#162963,#162961,#84250,.T.); #202537=EDGE_CURVE('',#162962,#162963,#84251,.T.); #202538=EDGE_CURVE('',#162964,#162962,#84252,.T.); #202539=EDGE_CURVE('',#162965,#162963,#84253,.T.); #202540=EDGE_CURVE('',#162964,#162965,#84254,.T.); #202541=EDGE_CURVE('',#162966,#162964,#84255,.T.); #202542=EDGE_CURVE('',#162967,#162965,#84256,.T.); #202543=EDGE_CURVE('',#162966,#162967,#84257,.T.); #202544=EDGE_CURVE('',#162968,#162966,#84258,.T.); #202545=EDGE_CURVE('',#162969,#162967,#84259,.T.); #202546=EDGE_CURVE('',#162968,#162969,#84260,.T.); #202547=EDGE_CURVE('',#162970,#162968,#84261,.T.); #202548=EDGE_CURVE('',#162971,#162969,#84262,.T.); #202549=EDGE_CURVE('',#162970,#162971,#84263,.T.); #202550=EDGE_CURVE('',#162972,#162970,#84264,.T.); #202551=EDGE_CURVE('',#162973,#162971,#84265,.T.); #202552=EDGE_CURVE('',#162972,#162973,#84266,.T.); #202553=EDGE_CURVE('',#162974,#162972,#84267,.T.); #202554=EDGE_CURVE('',#162975,#162973,#84268,.T.); #202555=EDGE_CURVE('',#162974,#162975,#84269,.T.); #202556=EDGE_CURVE('',#162976,#162974,#84270,.T.); #202557=EDGE_CURVE('',#162977,#162975,#84271,.T.); #202558=EDGE_CURVE('',#162976,#162977,#84272,.T.); #202559=EDGE_CURVE('',#162978,#162976,#84273,.T.); #202560=EDGE_CURVE('',#162979,#162977,#84274,.T.); #202561=EDGE_CURVE('',#162978,#162979,#84275,.T.); #202562=EDGE_CURVE('',#162980,#162978,#84276,.T.); #202563=EDGE_CURVE('',#162981,#162979,#84277,.T.); #202564=EDGE_CURVE('',#162980,#162981,#84278,.T.); #202565=EDGE_CURVE('',#162982,#162980,#84279,.T.); #202566=EDGE_CURVE('',#162983,#162981,#84280,.T.); #202567=EDGE_CURVE('',#162982,#162983,#84281,.T.); #202568=EDGE_CURVE('',#162984,#162982,#84282,.T.); #202569=EDGE_CURVE('',#162985,#162983,#84283,.T.); #202570=EDGE_CURVE('',#162984,#162985,#84284,.T.); #202571=EDGE_CURVE('',#162986,#162984,#84285,.T.); #202572=EDGE_CURVE('',#162987,#162985,#84286,.T.); #202573=EDGE_CURVE('',#162986,#162987,#84287,.T.); #202574=EDGE_CURVE('',#162988,#162986,#84288,.T.); #202575=EDGE_CURVE('',#162989,#162987,#84289,.T.); #202576=EDGE_CURVE('',#162988,#162989,#84290,.T.); #202577=EDGE_CURVE('',#162990,#162988,#84291,.T.); #202578=EDGE_CURVE('',#162991,#162989,#84292,.T.); #202579=EDGE_CURVE('',#162990,#162991,#84293,.T.); #202580=EDGE_CURVE('',#162992,#162990,#84294,.T.); #202581=EDGE_CURVE('',#162993,#162991,#84295,.T.); #202582=EDGE_CURVE('',#162992,#162993,#84296,.T.); #202583=EDGE_CURVE('',#162994,#162992,#84297,.T.); #202584=EDGE_CURVE('',#162995,#162993,#84298,.T.); #202585=EDGE_CURVE('',#162994,#162995,#84299,.T.); #202586=EDGE_CURVE('',#162996,#162994,#84300,.T.); #202587=EDGE_CURVE('',#162997,#162995,#84301,.T.); #202588=EDGE_CURVE('',#162996,#162997,#84302,.T.); #202589=EDGE_CURVE('',#162998,#162996,#84303,.T.); #202590=EDGE_CURVE('',#162999,#162997,#84304,.T.); #202591=EDGE_CURVE('',#162998,#162999,#84305,.T.); #202592=EDGE_CURVE('',#163000,#162998,#84306,.T.); #202593=EDGE_CURVE('',#163001,#162999,#84307,.T.); #202594=EDGE_CURVE('',#163000,#163001,#84308,.T.); #202595=EDGE_CURVE('',#163002,#163000,#84309,.T.); #202596=EDGE_CURVE('',#163003,#163001,#84310,.T.); #202597=EDGE_CURVE('',#163002,#163003,#84311,.T.); #202598=EDGE_CURVE('',#163004,#163002,#84312,.T.); #202599=EDGE_CURVE('',#163005,#163003,#84313,.T.); #202600=EDGE_CURVE('',#163004,#163005,#84314,.T.); #202601=EDGE_CURVE('',#163006,#163004,#84315,.T.); #202602=EDGE_CURVE('',#163007,#163005,#84316,.T.); #202603=EDGE_CURVE('',#163006,#163007,#84317,.T.); #202604=EDGE_CURVE('',#163008,#163006,#84318,.T.); #202605=EDGE_CURVE('',#163009,#163007,#84319,.T.); #202606=EDGE_CURVE('',#163008,#163009,#84320,.T.); #202607=EDGE_CURVE('',#163010,#163008,#84321,.T.); #202608=EDGE_CURVE('',#163011,#163009,#84322,.T.); #202609=EDGE_CURVE('',#163010,#163011,#84323,.T.); #202610=EDGE_CURVE('',#163012,#163010,#84324,.T.); #202611=EDGE_CURVE('',#163013,#163011,#84325,.T.); #202612=EDGE_CURVE('',#163012,#163013,#84326,.T.); #202613=EDGE_CURVE('',#163014,#163012,#84327,.T.); #202614=EDGE_CURVE('',#163015,#163013,#84328,.T.); #202615=EDGE_CURVE('',#163014,#163015,#84329,.T.); #202616=EDGE_CURVE('',#163016,#163014,#84330,.T.); #202617=EDGE_CURVE('',#163017,#163015,#84331,.T.); #202618=EDGE_CURVE('',#163016,#163017,#84332,.T.); #202619=EDGE_CURVE('',#163018,#163016,#84333,.T.); #202620=EDGE_CURVE('',#163019,#163017,#84334,.T.); #202621=EDGE_CURVE('',#163018,#163019,#84335,.T.); #202622=EDGE_CURVE('',#163020,#163018,#84336,.T.); #202623=EDGE_CURVE('',#163021,#163019,#84337,.T.); #202624=EDGE_CURVE('',#163020,#163021,#84338,.T.); #202625=EDGE_CURVE('',#163022,#163020,#84339,.T.); #202626=EDGE_CURVE('',#163023,#163021,#84340,.T.); #202627=EDGE_CURVE('',#163022,#163023,#84341,.T.); #202628=EDGE_CURVE('',#163024,#163022,#84342,.T.); #202629=EDGE_CURVE('',#163025,#163023,#84343,.T.); #202630=EDGE_CURVE('',#163024,#163025,#84344,.T.); #202631=EDGE_CURVE('',#163026,#163024,#84345,.T.); #202632=EDGE_CURVE('',#163027,#163025,#84346,.T.); #202633=EDGE_CURVE('',#163026,#163027,#84347,.T.); #202634=EDGE_CURVE('',#163028,#163026,#84348,.T.); #202635=EDGE_CURVE('',#163029,#163027,#84349,.T.); #202636=EDGE_CURVE('',#163028,#163029,#84350,.T.); #202637=EDGE_CURVE('',#163030,#163028,#84351,.T.); #202638=EDGE_CURVE('',#163031,#163029,#84352,.T.); #202639=EDGE_CURVE('',#163030,#163031,#84353,.T.); #202640=EDGE_CURVE('',#163032,#163030,#84354,.T.); #202641=EDGE_CURVE('',#163033,#163031,#84355,.T.); #202642=EDGE_CURVE('',#163032,#163033,#84356,.T.); #202643=EDGE_CURVE('',#163034,#163032,#84357,.T.); #202644=EDGE_CURVE('',#163035,#163033,#84358,.T.); #202645=EDGE_CURVE('',#163034,#163035,#84359,.T.); #202646=EDGE_CURVE('',#163036,#163034,#84360,.T.); #202647=EDGE_CURVE('',#163037,#163035,#84361,.T.); #202648=EDGE_CURVE('',#163036,#163037,#84362,.T.); #202649=EDGE_CURVE('',#163038,#163036,#84363,.T.); #202650=EDGE_CURVE('',#163039,#163037,#84364,.T.); #202651=EDGE_CURVE('',#163038,#163039,#84365,.T.); #202652=EDGE_CURVE('',#163040,#163038,#84366,.T.); #202653=EDGE_CURVE('',#163041,#163039,#84367,.T.); #202654=EDGE_CURVE('',#163040,#163041,#84368,.T.); #202655=EDGE_CURVE('',#163042,#163040,#84369,.T.); #202656=EDGE_CURVE('',#163043,#163041,#84370,.T.); #202657=EDGE_CURVE('',#163042,#163043,#84371,.T.); #202658=EDGE_CURVE('',#163044,#163042,#84372,.T.); #202659=EDGE_CURVE('',#163045,#163043,#84373,.T.); #202660=EDGE_CURVE('',#163044,#163045,#84374,.T.); #202661=EDGE_CURVE('',#163046,#163044,#84375,.T.); #202662=EDGE_CURVE('',#163047,#163045,#84376,.T.); #202663=EDGE_CURVE('',#163046,#163047,#84377,.T.); #202664=EDGE_CURVE('',#163048,#163046,#84378,.T.); #202665=EDGE_CURVE('',#163049,#163047,#84379,.T.); #202666=EDGE_CURVE('',#163048,#163049,#84380,.T.); #202667=EDGE_CURVE('',#163050,#163048,#84381,.T.); #202668=EDGE_CURVE('',#163051,#163049,#84382,.T.); #202669=EDGE_CURVE('',#163050,#163051,#84383,.T.); #202670=EDGE_CURVE('',#163052,#163050,#84384,.T.); #202671=EDGE_CURVE('',#163053,#163051,#84385,.T.); #202672=EDGE_CURVE('',#163052,#163053,#84386,.T.); #202673=EDGE_CURVE('',#163054,#163052,#84387,.T.); #202674=EDGE_CURVE('',#163055,#163053,#84388,.T.); #202675=EDGE_CURVE('',#163054,#163055,#84389,.T.); #202676=EDGE_CURVE('',#163056,#163054,#84390,.T.); #202677=EDGE_CURVE('',#163057,#163055,#84391,.T.); #202678=EDGE_CURVE('',#163056,#163057,#84392,.T.); #202679=EDGE_CURVE('',#163058,#163056,#84393,.T.); #202680=EDGE_CURVE('',#163059,#163057,#84394,.T.); #202681=EDGE_CURVE('',#163058,#163059,#84395,.T.); #202682=EDGE_CURVE('',#163060,#163058,#84396,.T.); #202683=EDGE_CURVE('',#163061,#163059,#84397,.T.); #202684=EDGE_CURVE('',#163060,#163061,#84398,.T.); #202685=EDGE_CURVE('',#163062,#163060,#84399,.T.); #202686=EDGE_CURVE('',#163063,#163061,#84400,.T.); #202687=EDGE_CURVE('',#163062,#163063,#84401,.T.); #202688=EDGE_CURVE('',#163064,#163062,#84402,.T.); #202689=EDGE_CURVE('',#163065,#163063,#84403,.T.); #202690=EDGE_CURVE('',#163064,#163065,#84404,.T.); #202691=EDGE_CURVE('',#163066,#163064,#84405,.T.); #202692=EDGE_CURVE('',#163067,#163065,#84406,.T.); #202693=EDGE_CURVE('',#163066,#163067,#84407,.T.); #202694=EDGE_CURVE('',#163068,#163066,#84408,.T.); #202695=EDGE_CURVE('',#163069,#163067,#84409,.T.); #202696=EDGE_CURVE('',#163068,#163069,#84410,.T.); #202697=EDGE_CURVE('',#163070,#163068,#84411,.T.); #202698=EDGE_CURVE('',#163071,#163069,#84412,.T.); #202699=EDGE_CURVE('',#163070,#163071,#84413,.T.); #202700=EDGE_CURVE('',#163072,#163070,#84414,.T.); #202701=EDGE_CURVE('',#163073,#163071,#84415,.T.); #202702=EDGE_CURVE('',#163072,#163073,#84416,.T.); #202703=EDGE_CURVE('',#163074,#163072,#84417,.T.); #202704=EDGE_CURVE('',#163075,#163073,#84418,.T.); #202705=EDGE_CURVE('',#163074,#163075,#84419,.T.); #202706=EDGE_CURVE('',#163076,#163074,#84420,.T.); #202707=EDGE_CURVE('',#163077,#163075,#84421,.T.); #202708=EDGE_CURVE('',#163076,#163077,#84422,.T.); #202709=EDGE_CURVE('',#163078,#163076,#84423,.T.); #202710=EDGE_CURVE('',#163079,#163077,#84424,.T.); #202711=EDGE_CURVE('',#163078,#163079,#84425,.T.); #202712=EDGE_CURVE('',#163080,#163078,#84426,.T.); #202713=EDGE_CURVE('',#163081,#163079,#84427,.T.); #202714=EDGE_CURVE('',#163080,#163081,#84428,.T.); #202715=EDGE_CURVE('',#163082,#163080,#84429,.T.); #202716=EDGE_CURVE('',#163083,#163081,#84430,.T.); #202717=EDGE_CURVE('',#163082,#163083,#84431,.T.); #202718=EDGE_CURVE('',#163084,#163082,#84432,.T.); #202719=EDGE_CURVE('',#163085,#163083,#84433,.T.); #202720=EDGE_CURVE('',#163084,#163085,#84434,.T.); #202721=EDGE_CURVE('',#163086,#163084,#84435,.T.); #202722=EDGE_CURVE('',#163087,#163085,#84436,.T.); #202723=EDGE_CURVE('',#163086,#163087,#84437,.T.); #202724=EDGE_CURVE('',#163088,#163086,#84438,.T.); #202725=EDGE_CURVE('',#163089,#163087,#84439,.T.); #202726=EDGE_CURVE('',#163088,#163089,#84440,.T.); #202727=EDGE_CURVE('',#163090,#163088,#84441,.T.); #202728=EDGE_CURVE('',#163091,#163089,#84442,.T.); #202729=EDGE_CURVE('',#163090,#163091,#84443,.T.); #202730=EDGE_CURVE('',#163092,#163090,#84444,.T.); #202731=EDGE_CURVE('',#163093,#163091,#84445,.T.); #202732=EDGE_CURVE('',#163092,#163093,#84446,.T.); #202733=EDGE_CURVE('',#163094,#163092,#84447,.T.); #202734=EDGE_CURVE('',#163095,#163093,#84448,.T.); #202735=EDGE_CURVE('',#163094,#163095,#84449,.T.); #202736=EDGE_CURVE('',#163096,#163094,#84450,.T.); #202737=EDGE_CURVE('',#163097,#163095,#84451,.T.); #202738=EDGE_CURVE('',#163096,#163097,#84452,.T.); #202739=EDGE_CURVE('',#163098,#163096,#84453,.T.); #202740=EDGE_CURVE('',#163099,#163097,#84454,.T.); #202741=EDGE_CURVE('',#163098,#163099,#84455,.T.); #202742=EDGE_CURVE('',#163100,#163098,#84456,.T.); #202743=EDGE_CURVE('',#163101,#163099,#84457,.T.); #202744=EDGE_CURVE('',#163100,#163101,#84458,.T.); #202745=EDGE_CURVE('',#163102,#163100,#84459,.T.); #202746=EDGE_CURVE('',#163103,#163101,#84460,.T.); #202747=EDGE_CURVE('',#163102,#163103,#84461,.T.); #202748=EDGE_CURVE('',#163104,#163102,#84462,.T.); #202749=EDGE_CURVE('',#163105,#163103,#84463,.T.); #202750=EDGE_CURVE('',#163104,#163105,#84464,.T.); #202751=EDGE_CURVE('',#163106,#163104,#84465,.T.); #202752=EDGE_CURVE('',#163107,#163105,#84466,.T.); #202753=EDGE_CURVE('',#163106,#163107,#84467,.T.); #202754=EDGE_CURVE('',#163108,#163106,#84468,.T.); #202755=EDGE_CURVE('',#163109,#163107,#84469,.T.); #202756=EDGE_CURVE('',#163108,#163109,#84470,.T.); #202757=EDGE_CURVE('',#163110,#163108,#84471,.T.); #202758=EDGE_CURVE('',#163111,#163109,#84472,.T.); #202759=EDGE_CURVE('',#163110,#163111,#84473,.T.); #202760=EDGE_CURVE('',#163112,#163110,#84474,.T.); #202761=EDGE_CURVE('',#163113,#163111,#84475,.T.); #202762=EDGE_CURVE('',#163112,#163113,#84476,.T.); #202763=EDGE_CURVE('',#163114,#163112,#84477,.T.); #202764=EDGE_CURVE('',#163115,#163113,#84478,.T.); #202765=EDGE_CURVE('',#163114,#163115,#84479,.T.); #202766=EDGE_CURVE('',#163116,#163114,#84480,.T.); #202767=EDGE_CURVE('',#163117,#163115,#84481,.T.); #202768=EDGE_CURVE('',#163116,#163117,#84482,.T.); #202769=EDGE_CURVE('',#163118,#163116,#84483,.T.); #202770=EDGE_CURVE('',#163119,#163117,#84484,.T.); #202771=EDGE_CURVE('',#163118,#163119,#84485,.T.); #202772=EDGE_CURVE('',#163120,#163118,#84486,.T.); #202773=EDGE_CURVE('',#163121,#163119,#84487,.T.); #202774=EDGE_CURVE('',#163120,#163121,#84488,.T.); #202775=EDGE_CURVE('',#163122,#163120,#84489,.T.); #202776=EDGE_CURVE('',#163123,#163121,#84490,.T.); #202777=EDGE_CURVE('',#163122,#163123,#84491,.T.); #202778=EDGE_CURVE('',#163124,#163122,#84492,.T.); #202779=EDGE_CURVE('',#163125,#163123,#84493,.T.); #202780=EDGE_CURVE('',#163124,#163125,#84494,.T.); #202781=EDGE_CURVE('',#163126,#163124,#84495,.T.); #202782=EDGE_CURVE('',#163127,#163125,#84496,.T.); #202783=EDGE_CURVE('',#163126,#163127,#84497,.T.); #202784=EDGE_CURVE('',#163128,#163126,#84498,.T.); #202785=EDGE_CURVE('',#163129,#163127,#84499,.T.); #202786=EDGE_CURVE('',#163128,#163129,#84500,.T.); #202787=EDGE_CURVE('',#163130,#163128,#84501,.T.); #202788=EDGE_CURVE('',#163131,#163129,#84502,.T.); #202789=EDGE_CURVE('',#163130,#163131,#84503,.T.); #202790=EDGE_CURVE('',#163132,#163130,#84504,.T.); #202791=EDGE_CURVE('',#163133,#163131,#84505,.T.); #202792=EDGE_CURVE('',#163132,#163133,#84506,.T.); #202793=EDGE_CURVE('',#163134,#163132,#84507,.T.); #202794=EDGE_CURVE('',#163135,#163133,#84508,.T.); #202795=EDGE_CURVE('',#163134,#163135,#84509,.T.); #202796=EDGE_CURVE('',#163136,#163134,#84510,.T.); #202797=EDGE_CURVE('',#163137,#163135,#84511,.T.); #202798=EDGE_CURVE('',#163136,#163137,#84512,.T.); #202799=EDGE_CURVE('',#163138,#163136,#84513,.T.); #202800=EDGE_CURVE('',#163139,#163137,#84514,.T.); #202801=EDGE_CURVE('',#163138,#163139,#84515,.T.); #202802=EDGE_CURVE('',#163140,#163138,#84516,.T.); #202803=EDGE_CURVE('',#163141,#163139,#84517,.T.); #202804=EDGE_CURVE('',#163140,#163141,#84518,.T.); #202805=EDGE_CURVE('',#163142,#163140,#84519,.T.); #202806=EDGE_CURVE('',#163143,#163141,#84520,.T.); #202807=EDGE_CURVE('',#163142,#163143,#84521,.T.); #202808=EDGE_CURVE('',#163144,#163142,#84522,.T.); #202809=EDGE_CURVE('',#163145,#163143,#84523,.T.); #202810=EDGE_CURVE('',#163144,#163145,#84524,.T.); #202811=EDGE_CURVE('',#163146,#163144,#84525,.T.); #202812=EDGE_CURVE('',#163147,#163145,#84526,.T.); #202813=EDGE_CURVE('',#163146,#163147,#84527,.T.); #202814=EDGE_CURVE('',#163148,#163146,#84528,.T.); #202815=EDGE_CURVE('',#163149,#163147,#84529,.T.); #202816=EDGE_CURVE('',#163148,#163149,#84530,.T.); #202817=EDGE_CURVE('',#163150,#163148,#84531,.T.); #202818=EDGE_CURVE('',#163151,#163149,#84532,.T.); #202819=EDGE_CURVE('',#163150,#163151,#84533,.T.); #202820=EDGE_CURVE('',#163152,#163150,#84534,.T.); #202821=EDGE_CURVE('',#163153,#163151,#84535,.T.); #202822=EDGE_CURVE('',#163152,#163153,#84536,.T.); #202823=EDGE_CURVE('',#163154,#163152,#84537,.T.); #202824=EDGE_CURVE('',#163155,#163153,#84538,.T.); #202825=EDGE_CURVE('',#163154,#163155,#84539,.T.); #202826=EDGE_CURVE('',#163156,#163154,#84540,.T.); #202827=EDGE_CURVE('',#163157,#163155,#84541,.T.); #202828=EDGE_CURVE('',#163156,#163157,#84542,.T.); #202829=EDGE_CURVE('',#163158,#163156,#84543,.T.); #202830=EDGE_CURVE('',#163159,#163157,#84544,.T.); #202831=EDGE_CURVE('',#163158,#163159,#84545,.T.); #202832=EDGE_CURVE('',#163160,#163158,#84546,.T.); #202833=EDGE_CURVE('',#163161,#163159,#84547,.T.); #202834=EDGE_CURVE('',#163160,#163161,#84548,.T.); #202835=EDGE_CURVE('',#163162,#163160,#84549,.T.); #202836=EDGE_CURVE('',#163163,#163161,#84550,.T.); #202837=EDGE_CURVE('',#163162,#163163,#84551,.T.); #202838=EDGE_CURVE('',#163164,#163162,#84552,.T.); #202839=EDGE_CURVE('',#163165,#163163,#84553,.T.); #202840=EDGE_CURVE('',#163164,#163165,#84554,.T.); #202841=EDGE_CURVE('',#163166,#163164,#84555,.T.); #202842=EDGE_CURVE('',#163167,#163165,#84556,.T.); #202843=EDGE_CURVE('',#163166,#163167,#84557,.T.); #202844=EDGE_CURVE('',#163168,#163166,#84558,.T.); #202845=EDGE_CURVE('',#163169,#163167,#84559,.T.); #202846=EDGE_CURVE('',#163168,#163169,#84560,.T.); #202847=EDGE_CURVE('',#163170,#163168,#84561,.T.); #202848=EDGE_CURVE('',#163171,#163169,#84562,.T.); #202849=EDGE_CURVE('',#163170,#163171,#84563,.T.); #202850=EDGE_CURVE('',#163172,#163170,#84564,.T.); #202851=EDGE_CURVE('',#163173,#163171,#84565,.T.); #202852=EDGE_CURVE('',#163172,#163173,#84566,.T.); #202853=EDGE_CURVE('',#163174,#163172,#84567,.T.); #202854=EDGE_CURVE('',#163175,#163173,#84568,.T.); #202855=EDGE_CURVE('',#163174,#163175,#84569,.T.); #202856=EDGE_CURVE('',#163176,#163174,#84570,.T.); #202857=EDGE_CURVE('',#163177,#163175,#84571,.T.); #202858=EDGE_CURVE('',#163176,#163177,#84572,.T.); #202859=EDGE_CURVE('',#163178,#163176,#84573,.T.); #202860=EDGE_CURVE('',#163179,#163177,#84574,.T.); #202861=EDGE_CURVE('',#163178,#163179,#84575,.T.); #202862=EDGE_CURVE('',#163180,#163178,#84576,.T.); #202863=EDGE_CURVE('',#163181,#163179,#84577,.T.); #202864=EDGE_CURVE('',#163180,#163181,#84578,.T.); #202865=EDGE_CURVE('',#163182,#163180,#84579,.T.); #202866=EDGE_CURVE('',#163183,#163181,#84580,.T.); #202867=EDGE_CURVE('',#163182,#163183,#84581,.T.); #202868=EDGE_CURVE('',#163184,#163182,#84582,.T.); #202869=EDGE_CURVE('',#163185,#163183,#84583,.T.); #202870=EDGE_CURVE('',#163184,#163185,#84584,.T.); #202871=EDGE_CURVE('',#163186,#163184,#84585,.T.); #202872=EDGE_CURVE('',#163187,#163185,#84586,.T.); #202873=EDGE_CURVE('',#163186,#163187,#84587,.T.); #202874=EDGE_CURVE('',#163188,#163186,#84588,.T.); #202875=EDGE_CURVE('',#163189,#163187,#84589,.T.); #202876=EDGE_CURVE('',#163188,#163189,#84590,.T.); #202877=EDGE_CURVE('',#163190,#163188,#84591,.T.); #202878=EDGE_CURVE('',#163191,#163189,#84592,.T.); #202879=EDGE_CURVE('',#163190,#163191,#84593,.T.); #202880=EDGE_CURVE('',#163192,#163190,#84594,.T.); #202881=EDGE_CURVE('',#163193,#163191,#84595,.T.); #202882=EDGE_CURVE('',#163192,#163193,#84596,.T.); #202883=EDGE_CURVE('',#163194,#163192,#84597,.T.); #202884=EDGE_CURVE('',#163195,#163193,#84598,.T.); #202885=EDGE_CURVE('',#163194,#163195,#84599,.T.); #202886=EDGE_CURVE('',#163196,#163194,#84600,.T.); #202887=EDGE_CURVE('',#163197,#163195,#84601,.T.); #202888=EDGE_CURVE('',#163196,#163197,#84602,.T.); #202889=EDGE_CURVE('',#163198,#163196,#84603,.T.); #202890=EDGE_CURVE('',#163199,#163197,#84604,.T.); #202891=EDGE_CURVE('',#163198,#163199,#84605,.T.); #202892=EDGE_CURVE('',#163200,#163198,#84606,.T.); #202893=EDGE_CURVE('',#163201,#163199,#84607,.T.); #202894=EDGE_CURVE('',#163200,#163201,#84608,.T.); #202895=EDGE_CURVE('',#163202,#163200,#84609,.T.); #202896=EDGE_CURVE('',#163203,#163201,#84610,.T.); #202897=EDGE_CURVE('',#163202,#163203,#84611,.T.); #202898=EDGE_CURVE('',#163204,#163202,#84612,.T.); #202899=EDGE_CURVE('',#163205,#163203,#84613,.T.); #202900=EDGE_CURVE('',#163204,#163205,#84614,.T.); #202901=EDGE_CURVE('',#163206,#163204,#84615,.T.); #202902=EDGE_CURVE('',#163207,#163205,#84616,.T.); #202903=EDGE_CURVE('',#163206,#163207,#84617,.T.); #202904=EDGE_CURVE('',#163208,#163206,#84618,.T.); #202905=EDGE_CURVE('',#163209,#163207,#84619,.T.); #202906=EDGE_CURVE('',#163208,#163209,#84620,.T.); #202907=EDGE_CURVE('',#163210,#163208,#84621,.T.); #202908=EDGE_CURVE('',#163211,#163209,#84622,.T.); #202909=EDGE_CURVE('',#163210,#163211,#84623,.T.); #202910=EDGE_CURVE('',#163212,#163210,#84624,.T.); #202911=EDGE_CURVE('',#163213,#163211,#84625,.T.); #202912=EDGE_CURVE('',#163212,#163213,#84626,.T.); #202913=EDGE_CURVE('',#163214,#163212,#84627,.T.); #202914=EDGE_CURVE('',#163215,#163213,#84628,.T.); #202915=EDGE_CURVE('',#163214,#163215,#84629,.T.); #202916=EDGE_CURVE('',#163216,#163214,#84630,.T.); #202917=EDGE_CURVE('',#163217,#163215,#84631,.T.); #202918=EDGE_CURVE('',#163216,#163217,#84632,.T.); #202919=EDGE_CURVE('',#163218,#163216,#84633,.T.); #202920=EDGE_CURVE('',#163219,#163217,#84634,.T.); #202921=EDGE_CURVE('',#163218,#163219,#84635,.T.); #202922=EDGE_CURVE('',#163220,#163218,#84636,.T.); #202923=EDGE_CURVE('',#163221,#163219,#84637,.T.); #202924=EDGE_CURVE('',#163220,#163221,#84638,.T.); #202925=EDGE_CURVE('',#163222,#163220,#84639,.T.); #202926=EDGE_CURVE('',#163223,#163221,#84640,.T.); #202927=EDGE_CURVE('',#163222,#163223,#84641,.T.); #202928=EDGE_CURVE('',#163224,#163222,#84642,.T.); #202929=EDGE_CURVE('',#163225,#163223,#84643,.T.); #202930=EDGE_CURVE('',#163224,#163225,#84644,.T.); #202931=EDGE_CURVE('',#163226,#163224,#84645,.T.); #202932=EDGE_CURVE('',#163227,#163225,#84646,.T.); #202933=EDGE_CURVE('',#163226,#163227,#84647,.T.); #202934=EDGE_CURVE('',#163228,#163226,#84648,.T.); #202935=EDGE_CURVE('',#163229,#163227,#84649,.T.); #202936=EDGE_CURVE('',#163228,#163229,#84650,.T.); #202937=EDGE_CURVE('',#163230,#163228,#84651,.T.); #202938=EDGE_CURVE('',#163231,#163229,#84652,.T.); #202939=EDGE_CURVE('',#163230,#163231,#84653,.T.); #202940=EDGE_CURVE('',#163232,#163230,#84654,.T.); #202941=EDGE_CURVE('',#163233,#163231,#84655,.T.); #202942=EDGE_CURVE('',#163232,#163233,#84656,.T.); #202943=EDGE_CURVE('',#163234,#163232,#84657,.T.); #202944=EDGE_CURVE('',#163235,#163233,#84658,.T.); #202945=EDGE_CURVE('',#163234,#163235,#84659,.T.); #202946=EDGE_CURVE('',#163236,#163234,#84660,.T.); #202947=EDGE_CURVE('',#163237,#163235,#84661,.T.); #202948=EDGE_CURVE('',#163236,#163237,#84662,.T.); #202949=EDGE_CURVE('',#163238,#163236,#84663,.T.); #202950=EDGE_CURVE('',#163239,#163237,#84664,.T.); #202951=EDGE_CURVE('',#163238,#163239,#84665,.T.); #202952=EDGE_CURVE('',#163240,#163238,#84666,.T.); #202953=EDGE_CURVE('',#163241,#163239,#84667,.T.); #202954=EDGE_CURVE('',#163240,#163241,#84668,.T.); #202955=EDGE_CURVE('',#163242,#163240,#84669,.T.); #202956=EDGE_CURVE('',#163243,#163241,#84670,.T.); #202957=EDGE_CURVE('',#163242,#163243,#84671,.T.); #202958=EDGE_CURVE('',#163244,#163242,#84672,.T.); #202959=EDGE_CURVE('',#163245,#163243,#84673,.T.); #202960=EDGE_CURVE('',#163244,#163245,#84674,.T.); #202961=EDGE_CURVE('',#163246,#163244,#84675,.T.); #202962=EDGE_CURVE('',#163247,#163245,#84676,.T.); #202963=EDGE_CURVE('',#163246,#163247,#84677,.T.); #202964=EDGE_CURVE('',#163248,#163246,#84678,.T.); #202965=EDGE_CURVE('',#163249,#163247,#84679,.T.); #202966=EDGE_CURVE('',#163248,#163249,#84680,.T.); #202967=EDGE_CURVE('',#163250,#163248,#84681,.T.); #202968=EDGE_CURVE('',#163251,#163249,#84682,.T.); #202969=EDGE_CURVE('',#163250,#163251,#84683,.T.); #202970=EDGE_CURVE('',#163252,#163250,#84684,.T.); #202971=EDGE_CURVE('',#163253,#163251,#84685,.T.); #202972=EDGE_CURVE('',#163252,#163253,#84686,.T.); #202973=EDGE_CURVE('',#163254,#163252,#84687,.T.); #202974=EDGE_CURVE('',#163255,#163253,#84688,.T.); #202975=EDGE_CURVE('',#163254,#163255,#84689,.T.); #202976=EDGE_CURVE('',#163256,#163254,#84690,.T.); #202977=EDGE_CURVE('',#163257,#163255,#84691,.T.); #202978=EDGE_CURVE('',#163256,#163257,#84692,.T.); #202979=EDGE_CURVE('',#163258,#163256,#84693,.T.); #202980=EDGE_CURVE('',#163259,#163257,#84694,.T.); #202981=EDGE_CURVE('',#163258,#163259,#84695,.T.); #202982=EDGE_CURVE('',#163260,#163258,#84696,.T.); #202983=EDGE_CURVE('',#163261,#163259,#84697,.T.); #202984=EDGE_CURVE('',#163260,#163261,#84698,.T.); #202985=EDGE_CURVE('',#163262,#163260,#84699,.T.); #202986=EDGE_CURVE('',#163263,#163261,#84700,.T.); #202987=EDGE_CURVE('',#163262,#163263,#84701,.T.); #202988=EDGE_CURVE('',#163264,#163262,#84702,.T.); #202989=EDGE_CURVE('',#163265,#163263,#84703,.T.); #202990=EDGE_CURVE('',#163264,#163265,#84704,.T.); #202991=EDGE_CURVE('',#163266,#163264,#84705,.T.); #202992=EDGE_CURVE('',#163267,#163265,#84706,.T.); #202993=EDGE_CURVE('',#163266,#163267,#84707,.T.); #202994=EDGE_CURVE('',#163268,#163266,#84708,.T.); #202995=EDGE_CURVE('',#163269,#163267,#84709,.T.); #202996=EDGE_CURVE('',#163268,#163269,#84710,.T.); #202997=EDGE_CURVE('',#163270,#163268,#84711,.T.); #202998=EDGE_CURVE('',#163271,#163269,#84712,.T.); #202999=EDGE_CURVE('',#163270,#163271,#84713,.T.); #203000=EDGE_CURVE('',#163272,#163270,#84714,.T.); #203001=EDGE_CURVE('',#163273,#163271,#84715,.T.); #203002=EDGE_CURVE('',#163272,#163273,#84716,.T.); #203003=EDGE_CURVE('',#163274,#163272,#84717,.T.); #203004=EDGE_CURVE('',#163275,#163273,#84718,.T.); #203005=EDGE_CURVE('',#163274,#163275,#84719,.T.); #203006=EDGE_CURVE('',#163276,#163274,#84720,.T.); #203007=EDGE_CURVE('',#163277,#163275,#84721,.T.); #203008=EDGE_CURVE('',#163276,#163277,#84722,.T.); #203009=EDGE_CURVE('',#163278,#163276,#84723,.T.); #203010=EDGE_CURVE('',#163279,#163277,#84724,.T.); #203011=EDGE_CURVE('',#163278,#163279,#84725,.T.); #203012=EDGE_CURVE('',#163280,#163278,#84726,.T.); #203013=EDGE_CURVE('',#163281,#163279,#84727,.T.); #203014=EDGE_CURVE('',#163280,#163281,#84728,.T.); #203015=EDGE_CURVE('',#163282,#163280,#84729,.T.); #203016=EDGE_CURVE('',#163283,#163281,#84730,.T.); #203017=EDGE_CURVE('',#163282,#163283,#84731,.T.); #203018=EDGE_CURVE('',#163284,#163282,#84732,.T.); #203019=EDGE_CURVE('',#163285,#163283,#84733,.T.); #203020=EDGE_CURVE('',#163284,#163285,#84734,.T.); #203021=EDGE_CURVE('',#163286,#163284,#84735,.T.); #203022=EDGE_CURVE('',#163287,#163285,#84736,.T.); #203023=EDGE_CURVE('',#163286,#163287,#84737,.T.); #203024=EDGE_CURVE('',#163288,#163286,#84738,.T.); #203025=EDGE_CURVE('',#163289,#163287,#84739,.T.); #203026=EDGE_CURVE('',#163288,#163289,#84740,.T.); #203027=EDGE_CURVE('',#163290,#163288,#84741,.T.); #203028=EDGE_CURVE('',#163291,#163289,#84742,.T.); #203029=EDGE_CURVE('',#163290,#163291,#84743,.T.); #203030=EDGE_CURVE('',#163292,#163290,#84744,.T.); #203031=EDGE_CURVE('',#163293,#163291,#84745,.T.); #203032=EDGE_CURVE('',#163292,#163293,#84746,.T.); #203033=EDGE_CURVE('',#163294,#163292,#84747,.T.); #203034=EDGE_CURVE('',#163295,#163293,#84748,.T.); #203035=EDGE_CURVE('',#163294,#163295,#84749,.T.); #203036=EDGE_CURVE('',#163296,#163294,#84750,.T.); #203037=EDGE_CURVE('',#163297,#163295,#84751,.T.); #203038=EDGE_CURVE('',#163296,#163297,#84752,.T.); #203039=EDGE_CURVE('',#163298,#163296,#84753,.T.); #203040=EDGE_CURVE('',#163299,#163297,#84754,.T.); #203041=EDGE_CURVE('',#163298,#163299,#84755,.T.); #203042=EDGE_CURVE('',#163300,#163298,#84756,.T.); #203043=EDGE_CURVE('',#163301,#163299,#84757,.T.); #203044=EDGE_CURVE('',#163300,#163301,#84758,.T.); #203045=EDGE_CURVE('',#163302,#163300,#84759,.T.); #203046=EDGE_CURVE('',#163303,#163301,#84760,.T.); #203047=EDGE_CURVE('',#163302,#163303,#84761,.T.); #203048=EDGE_CURVE('',#163304,#163302,#84762,.T.); #203049=EDGE_CURVE('',#163305,#163303,#84763,.T.); #203050=EDGE_CURVE('',#163304,#163305,#84764,.T.); #203051=EDGE_CURVE('',#163306,#163304,#84765,.T.); #203052=EDGE_CURVE('',#163307,#163305,#84766,.T.); #203053=EDGE_CURVE('',#163306,#163307,#84767,.T.); #203054=EDGE_CURVE('',#163308,#163306,#84768,.T.); #203055=EDGE_CURVE('',#163309,#163307,#84769,.T.); #203056=EDGE_CURVE('',#163308,#163309,#84770,.T.); #203057=EDGE_CURVE('',#163310,#163308,#84771,.T.); #203058=EDGE_CURVE('',#163311,#163309,#84772,.T.); #203059=EDGE_CURVE('',#163310,#163311,#84773,.T.); #203060=EDGE_CURVE('',#163312,#163310,#84774,.T.); #203061=EDGE_CURVE('',#163313,#163311,#84775,.T.); #203062=EDGE_CURVE('',#163312,#163313,#84776,.T.); #203063=EDGE_CURVE('',#163314,#163312,#84777,.T.); #203064=EDGE_CURVE('',#163315,#163313,#84778,.T.); #203065=EDGE_CURVE('',#163314,#163315,#84779,.T.); #203066=EDGE_CURVE('',#163316,#163314,#84780,.T.); #203067=EDGE_CURVE('',#163317,#163315,#84781,.T.); #203068=EDGE_CURVE('',#163316,#163317,#84782,.T.); #203069=EDGE_CURVE('',#163318,#163316,#84783,.T.); #203070=EDGE_CURVE('',#163319,#163317,#84784,.T.); #203071=EDGE_CURVE('',#163318,#163319,#84785,.T.); #203072=EDGE_CURVE('',#163320,#163318,#84786,.T.); #203073=EDGE_CURVE('',#163321,#163319,#84787,.T.); #203074=EDGE_CURVE('',#163320,#163321,#84788,.T.); #203075=EDGE_CURVE('',#163322,#163320,#84789,.T.); #203076=EDGE_CURVE('',#163323,#163321,#84790,.T.); #203077=EDGE_CURVE('',#163322,#163323,#84791,.T.); #203078=EDGE_CURVE('',#163324,#163322,#84792,.T.); #203079=EDGE_CURVE('',#163325,#163323,#84793,.T.); #203080=EDGE_CURVE('',#163324,#163325,#84794,.T.); #203081=EDGE_CURVE('',#163326,#163324,#84795,.T.); #203082=EDGE_CURVE('',#163327,#163325,#84796,.T.); #203083=EDGE_CURVE('',#163326,#163327,#84797,.T.); #203084=EDGE_CURVE('',#163328,#163326,#84798,.T.); #203085=EDGE_CURVE('',#163329,#163327,#84799,.T.); #203086=EDGE_CURVE('',#163328,#163329,#84800,.T.); #203087=EDGE_CURVE('',#163330,#163328,#84801,.T.); #203088=EDGE_CURVE('',#163331,#163329,#84802,.T.); #203089=EDGE_CURVE('',#163330,#163331,#84803,.T.); #203090=EDGE_CURVE('',#163332,#163330,#84804,.T.); #203091=EDGE_CURVE('',#163333,#163331,#84805,.T.); #203092=EDGE_CURVE('',#163332,#163333,#84806,.T.); #203093=EDGE_CURVE('',#163334,#163332,#84807,.T.); #203094=EDGE_CURVE('',#163335,#163333,#84808,.T.); #203095=EDGE_CURVE('',#163334,#163335,#84809,.T.); #203096=EDGE_CURVE('',#163336,#163334,#84810,.T.); #203097=EDGE_CURVE('',#163337,#163335,#84811,.T.); #203098=EDGE_CURVE('',#163336,#163337,#84812,.T.); #203099=EDGE_CURVE('',#163338,#163336,#84813,.T.); #203100=EDGE_CURVE('',#163339,#163337,#84814,.T.); #203101=EDGE_CURVE('',#163338,#163339,#84815,.T.); #203102=EDGE_CURVE('',#163340,#163338,#84816,.T.); #203103=EDGE_CURVE('',#163341,#163339,#84817,.T.); #203104=EDGE_CURVE('',#163340,#163341,#84818,.T.); #203105=EDGE_CURVE('',#163342,#163340,#84819,.T.); #203106=EDGE_CURVE('',#163343,#163341,#84820,.T.); #203107=EDGE_CURVE('',#163342,#163343,#84821,.T.); #203108=EDGE_CURVE('',#163344,#163342,#84822,.T.); #203109=EDGE_CURVE('',#163345,#163343,#84823,.T.); #203110=EDGE_CURVE('',#163344,#163345,#84824,.T.); #203111=EDGE_CURVE('',#163346,#163344,#84825,.T.); #203112=EDGE_CURVE('',#163347,#163345,#84826,.T.); #203113=EDGE_CURVE('',#163346,#163347,#84827,.T.); #203114=EDGE_CURVE('',#163348,#163346,#84828,.T.); #203115=EDGE_CURVE('',#163349,#163347,#84829,.T.); #203116=EDGE_CURVE('',#163348,#163349,#84830,.T.); #203117=EDGE_CURVE('',#163350,#163348,#84831,.T.); #203118=EDGE_CURVE('',#163351,#163349,#84832,.T.); #203119=EDGE_CURVE('',#163350,#163351,#84833,.T.); #203120=EDGE_CURVE('',#163352,#163350,#84834,.T.); #203121=EDGE_CURVE('',#163353,#163351,#84835,.T.); #203122=EDGE_CURVE('',#163352,#163353,#84836,.T.); #203123=EDGE_CURVE('',#163354,#163352,#84837,.T.); #203124=EDGE_CURVE('',#163355,#163353,#84838,.T.); #203125=EDGE_CURVE('',#163354,#163355,#84839,.T.); #203126=EDGE_CURVE('',#163356,#163354,#84840,.T.); #203127=EDGE_CURVE('',#163357,#163355,#84841,.T.); #203128=EDGE_CURVE('',#163356,#163357,#84842,.T.); #203129=EDGE_CURVE('',#163358,#163356,#84843,.T.); #203130=EDGE_CURVE('',#163359,#163357,#84844,.T.); #203131=EDGE_CURVE('',#163358,#163359,#84845,.T.); #203132=EDGE_CURVE('',#163360,#163358,#84846,.T.); #203133=EDGE_CURVE('',#163361,#163359,#84847,.T.); #203134=EDGE_CURVE('',#163360,#163361,#84848,.T.); #203135=EDGE_CURVE('',#163362,#163360,#84849,.T.); #203136=EDGE_CURVE('',#163363,#163361,#84850,.T.); #203137=EDGE_CURVE('',#163362,#163363,#84851,.T.); #203138=EDGE_CURVE('',#163364,#163362,#84852,.T.); #203139=EDGE_CURVE('',#163365,#163363,#84853,.T.); #203140=EDGE_CURVE('',#163364,#163365,#84854,.T.); #203141=EDGE_CURVE('',#163366,#163364,#84855,.T.); #203142=EDGE_CURVE('',#163367,#163365,#84856,.T.); #203143=EDGE_CURVE('',#163366,#163367,#84857,.T.); #203144=EDGE_CURVE('',#163368,#163366,#84858,.T.); #203145=EDGE_CURVE('',#163369,#163367,#84859,.T.); #203146=EDGE_CURVE('',#163368,#163369,#84860,.T.); #203147=EDGE_CURVE('',#163370,#163368,#84861,.T.); #203148=EDGE_CURVE('',#163371,#163369,#84862,.T.); #203149=EDGE_CURVE('',#163370,#163371,#84863,.T.); #203150=EDGE_CURVE('',#163372,#163370,#84864,.T.); #203151=EDGE_CURVE('',#163373,#163371,#84865,.T.); #203152=EDGE_CURVE('',#163372,#163373,#84866,.T.); #203153=EDGE_CURVE('',#163374,#163372,#84867,.T.); #203154=EDGE_CURVE('',#163375,#163373,#84868,.T.); #203155=EDGE_CURVE('',#163374,#163375,#84869,.T.); #203156=EDGE_CURVE('',#163376,#163374,#84870,.T.); #203157=EDGE_CURVE('',#163377,#163375,#84871,.T.); #203158=EDGE_CURVE('',#163376,#163377,#84872,.T.); #203159=EDGE_CURVE('',#163378,#163376,#84873,.T.); #203160=EDGE_CURVE('',#163379,#163377,#84874,.T.); #203161=EDGE_CURVE('',#163378,#163379,#84875,.T.); #203162=EDGE_CURVE('',#163380,#163378,#84876,.T.); #203163=EDGE_CURVE('',#163381,#163379,#84877,.T.); #203164=EDGE_CURVE('',#163380,#163381,#84878,.T.); #203165=EDGE_CURVE('',#163382,#163380,#84879,.T.); #203166=EDGE_CURVE('',#163383,#163381,#84880,.T.); #203167=EDGE_CURVE('',#163382,#163383,#84881,.T.); #203168=EDGE_CURVE('',#163384,#163382,#84882,.T.); #203169=EDGE_CURVE('',#163385,#163383,#84883,.T.); #203170=EDGE_CURVE('',#163384,#163385,#84884,.T.); #203171=EDGE_CURVE('',#163386,#163384,#84885,.T.); #203172=EDGE_CURVE('',#163387,#163385,#84886,.T.); #203173=EDGE_CURVE('',#163386,#163387,#84887,.T.); #203174=EDGE_CURVE('',#163388,#163386,#84888,.T.); #203175=EDGE_CURVE('',#163389,#163387,#84889,.T.); #203176=EDGE_CURVE('',#163388,#163389,#84890,.T.); #203177=EDGE_CURVE('',#163390,#163388,#84891,.T.); #203178=EDGE_CURVE('',#163391,#163389,#84892,.T.); #203179=EDGE_CURVE('',#163390,#163391,#84893,.T.); #203180=EDGE_CURVE('',#163392,#163390,#84894,.T.); #203181=EDGE_CURVE('',#163393,#163391,#84895,.T.); #203182=EDGE_CURVE('',#163392,#163393,#84896,.T.); #203183=EDGE_CURVE('',#163394,#163392,#84897,.T.); #203184=EDGE_CURVE('',#163395,#163393,#84898,.T.); #203185=EDGE_CURVE('',#163394,#163395,#84899,.T.); #203186=EDGE_CURVE('',#163396,#163394,#84900,.T.); #203187=EDGE_CURVE('',#163397,#163395,#84901,.T.); #203188=EDGE_CURVE('',#163396,#163397,#84902,.T.); #203189=EDGE_CURVE('',#163398,#163396,#84903,.T.); #203190=EDGE_CURVE('',#163399,#163397,#84904,.T.); #203191=EDGE_CURVE('',#163398,#163399,#84905,.T.); #203192=EDGE_CURVE('',#163400,#163398,#84906,.T.); #203193=EDGE_CURVE('',#163401,#163399,#84907,.T.); #203194=EDGE_CURVE('',#163400,#163401,#84908,.T.); #203195=EDGE_CURVE('',#163402,#163400,#84909,.T.); #203196=EDGE_CURVE('',#163403,#163401,#84910,.T.); #203197=EDGE_CURVE('',#163402,#163403,#84911,.T.); #203198=EDGE_CURVE('',#163404,#163402,#84912,.T.); #203199=EDGE_CURVE('',#163405,#163403,#84913,.T.); #203200=EDGE_CURVE('',#163404,#163405,#84914,.T.); #203201=EDGE_CURVE('',#163406,#163404,#84915,.T.); #203202=EDGE_CURVE('',#163407,#163405,#84916,.T.); #203203=EDGE_CURVE('',#163406,#163407,#84917,.T.); #203204=EDGE_CURVE('',#163408,#163406,#84918,.T.); #203205=EDGE_CURVE('',#163409,#163407,#84919,.T.); #203206=EDGE_CURVE('',#163408,#163409,#84920,.T.); #203207=EDGE_CURVE('',#163410,#163408,#84921,.T.); #203208=EDGE_CURVE('',#163411,#163409,#84922,.T.); #203209=EDGE_CURVE('',#163410,#163411,#84923,.T.); #203210=EDGE_CURVE('',#163412,#163410,#84924,.T.); #203211=EDGE_CURVE('',#163413,#163411,#84925,.T.); #203212=EDGE_CURVE('',#163412,#163413,#84926,.T.); #203213=EDGE_CURVE('',#163414,#163412,#84927,.T.); #203214=EDGE_CURVE('',#163415,#163413,#84928,.T.); #203215=EDGE_CURVE('',#163414,#163415,#84929,.T.); #203216=EDGE_CURVE('',#162383,#163414,#84930,.T.); #203217=EDGE_CURVE('',#162384,#163415,#84931,.T.); #203218=EDGE_CURVE('',#163416,#163417,#84932,.T.); #203219=EDGE_CURVE('',#163417,#163418,#84933,.T.); #203220=EDGE_CURVE('',#163419,#163418,#84934,.T.); #203221=EDGE_CURVE('',#163416,#163419,#84935,.T.); #203222=EDGE_CURVE('',#163420,#163416,#84936,.T.); #203223=EDGE_CURVE('',#163421,#163419,#84937,.T.); #203224=EDGE_CURVE('',#163420,#163421,#84938,.T.); #203225=EDGE_CURVE('',#163422,#163420,#84939,.T.); #203226=EDGE_CURVE('',#163423,#163421,#84940,.T.); #203227=EDGE_CURVE('',#163422,#163423,#84941,.T.); #203228=EDGE_CURVE('',#163424,#163422,#84942,.T.); #203229=EDGE_CURVE('',#163425,#163423,#84943,.T.); #203230=EDGE_CURVE('',#163424,#163425,#84944,.T.); #203231=EDGE_CURVE('',#163426,#163424,#84945,.T.); #203232=EDGE_CURVE('',#163427,#163425,#84946,.T.); #203233=EDGE_CURVE('',#163426,#163427,#84947,.T.); #203234=EDGE_CURVE('',#163428,#163426,#84948,.T.); #203235=EDGE_CURVE('',#163429,#163427,#84949,.T.); #203236=EDGE_CURVE('',#163428,#163429,#84950,.T.); #203237=EDGE_CURVE('',#163430,#163428,#84951,.T.); #203238=EDGE_CURVE('',#163431,#163429,#84952,.T.); #203239=EDGE_CURVE('',#163430,#163431,#84953,.T.); #203240=EDGE_CURVE('',#163432,#163430,#84954,.T.); #203241=EDGE_CURVE('',#163433,#163431,#84955,.T.); #203242=EDGE_CURVE('',#163432,#163433,#84956,.T.); #203243=EDGE_CURVE('',#163434,#163432,#84957,.T.); #203244=EDGE_CURVE('',#163435,#163433,#84958,.T.); #203245=EDGE_CURVE('',#163434,#163435,#84959,.T.); #203246=EDGE_CURVE('',#163436,#163434,#84960,.T.); #203247=EDGE_CURVE('',#163437,#163435,#84961,.T.); #203248=EDGE_CURVE('',#163436,#163437,#84962,.T.); #203249=EDGE_CURVE('',#163438,#163436,#84963,.T.); #203250=EDGE_CURVE('',#163439,#163437,#84964,.T.); #203251=EDGE_CURVE('',#163438,#163439,#84965,.T.); #203252=EDGE_CURVE('',#163440,#163438,#84966,.T.); #203253=EDGE_CURVE('',#163441,#163439,#84967,.T.); #203254=EDGE_CURVE('',#163440,#163441,#84968,.T.); #203255=EDGE_CURVE('',#163442,#163440,#84969,.T.); #203256=EDGE_CURVE('',#163443,#163441,#84970,.T.); #203257=EDGE_CURVE('',#163442,#163443,#84971,.T.); #203258=EDGE_CURVE('',#163444,#163442,#84972,.T.); #203259=EDGE_CURVE('',#163445,#163443,#84973,.T.); #203260=EDGE_CURVE('',#163444,#163445,#84974,.T.); #203261=EDGE_CURVE('',#163446,#163444,#84975,.T.); #203262=EDGE_CURVE('',#163447,#163445,#84976,.T.); #203263=EDGE_CURVE('',#163446,#163447,#84977,.T.); #203264=EDGE_CURVE('',#163417,#163446,#84978,.T.); #203265=EDGE_CURVE('',#163418,#163447,#84979,.T.); #203266=EDGE_CURVE('',#163448,#163449,#84980,.T.); #203267=EDGE_CURVE('',#163449,#163450,#84981,.T.); #203268=EDGE_CURVE('',#163451,#163450,#84982,.T.); #203269=EDGE_CURVE('',#163448,#163451,#84983,.T.); #203270=EDGE_CURVE('',#163452,#163448,#84984,.T.); #203271=EDGE_CURVE('',#163453,#163451,#84985,.T.); #203272=EDGE_CURVE('',#163452,#163453,#84986,.T.); #203273=EDGE_CURVE('',#163454,#163452,#84987,.T.); #203274=EDGE_CURVE('',#163455,#163453,#84988,.T.); #203275=EDGE_CURVE('',#163454,#163455,#84989,.T.); #203276=EDGE_CURVE('',#163456,#163454,#84990,.T.); #203277=EDGE_CURVE('',#163457,#163455,#84991,.T.); #203278=EDGE_CURVE('',#163456,#163457,#84992,.T.); #203279=EDGE_CURVE('',#163458,#163456,#84993,.T.); #203280=EDGE_CURVE('',#163459,#163457,#84994,.T.); #203281=EDGE_CURVE('',#163458,#163459,#84995,.T.); #203282=EDGE_CURVE('',#163460,#163458,#84996,.T.); #203283=EDGE_CURVE('',#163461,#163459,#84997,.T.); #203284=EDGE_CURVE('',#163460,#163461,#84998,.T.); #203285=EDGE_CURVE('',#163462,#163460,#84999,.T.); #203286=EDGE_CURVE('',#163463,#163461,#85000,.T.); #203287=EDGE_CURVE('',#163462,#163463,#85001,.T.); #203288=EDGE_CURVE('',#163464,#163462,#85002,.T.); #203289=EDGE_CURVE('',#163465,#163463,#85003,.T.); #203290=EDGE_CURVE('',#163464,#163465,#85004,.T.); #203291=EDGE_CURVE('',#163466,#163464,#85005,.T.); #203292=EDGE_CURVE('',#163467,#163465,#85006,.T.); #203293=EDGE_CURVE('',#163466,#163467,#85007,.T.); #203294=EDGE_CURVE('',#163468,#163466,#85008,.T.); #203295=EDGE_CURVE('',#163469,#163467,#85009,.T.); #203296=EDGE_CURVE('',#163468,#163469,#85010,.T.); #203297=EDGE_CURVE('',#163470,#163468,#85011,.T.); #203298=EDGE_CURVE('',#163471,#163469,#85012,.T.); #203299=EDGE_CURVE('',#163470,#163471,#85013,.T.); #203300=EDGE_CURVE('',#163472,#163470,#85014,.T.); #203301=EDGE_CURVE('',#163473,#163471,#85015,.T.); #203302=EDGE_CURVE('',#163472,#163473,#85016,.T.); #203303=EDGE_CURVE('',#163474,#163472,#85017,.T.); #203304=EDGE_CURVE('',#163475,#163473,#85018,.T.); #203305=EDGE_CURVE('',#163474,#163475,#85019,.T.); #203306=EDGE_CURVE('',#163476,#163474,#85020,.T.); #203307=EDGE_CURVE('',#163477,#163475,#85021,.T.); #203308=EDGE_CURVE('',#163476,#163477,#85022,.T.); #203309=EDGE_CURVE('',#163478,#163476,#85023,.T.); #203310=EDGE_CURVE('',#163479,#163477,#85024,.T.); #203311=EDGE_CURVE('',#163478,#163479,#85025,.T.); #203312=EDGE_CURVE('',#163480,#163478,#85026,.T.); #203313=EDGE_CURVE('',#163481,#163479,#85027,.T.); #203314=EDGE_CURVE('',#163480,#163481,#85028,.T.); #203315=EDGE_CURVE('',#163482,#163480,#85029,.T.); #203316=EDGE_CURVE('',#163483,#163481,#85030,.T.); #203317=EDGE_CURVE('',#163482,#163483,#85031,.T.); #203318=EDGE_CURVE('',#163484,#163482,#85032,.T.); #203319=EDGE_CURVE('',#163485,#163483,#85033,.T.); #203320=EDGE_CURVE('',#163484,#163485,#85034,.T.); #203321=EDGE_CURVE('',#163486,#163484,#85035,.T.); #203322=EDGE_CURVE('',#163487,#163485,#85036,.T.); #203323=EDGE_CURVE('',#163486,#163487,#85037,.T.); #203324=EDGE_CURVE('',#163488,#163486,#85038,.T.); #203325=EDGE_CURVE('',#163489,#163487,#85039,.T.); #203326=EDGE_CURVE('',#163488,#163489,#85040,.T.); #203327=EDGE_CURVE('',#163490,#163488,#85041,.T.); #203328=EDGE_CURVE('',#163491,#163489,#85042,.T.); #203329=EDGE_CURVE('',#163490,#163491,#85043,.T.); #203330=EDGE_CURVE('',#163492,#163490,#85044,.T.); #203331=EDGE_CURVE('',#163493,#163491,#85045,.T.); #203332=EDGE_CURVE('',#163492,#163493,#85046,.T.); #203333=EDGE_CURVE('',#163494,#163492,#85047,.T.); #203334=EDGE_CURVE('',#163495,#163493,#85048,.T.); #203335=EDGE_CURVE('',#163494,#163495,#85049,.T.); #203336=EDGE_CURVE('',#163496,#163494,#85050,.T.); #203337=EDGE_CURVE('',#163497,#163495,#85051,.T.); #203338=EDGE_CURVE('',#163496,#163497,#85052,.T.); #203339=EDGE_CURVE('',#163498,#163496,#85053,.T.); #203340=EDGE_CURVE('',#163499,#163497,#85054,.T.); #203341=EDGE_CURVE('',#163498,#163499,#85055,.T.); #203342=EDGE_CURVE('',#163500,#163498,#85056,.T.); #203343=EDGE_CURVE('',#163501,#163499,#85057,.T.); #203344=EDGE_CURVE('',#163500,#163501,#85058,.T.); #203345=EDGE_CURVE('',#163502,#163500,#85059,.T.); #203346=EDGE_CURVE('',#163503,#163501,#85060,.T.); #203347=EDGE_CURVE('',#163502,#163503,#85061,.T.); #203348=EDGE_CURVE('',#163504,#163502,#85062,.T.); #203349=EDGE_CURVE('',#163505,#163503,#85063,.T.); #203350=EDGE_CURVE('',#163504,#163505,#85064,.T.); #203351=EDGE_CURVE('',#163506,#163504,#85065,.T.); #203352=EDGE_CURVE('',#163507,#163505,#85066,.T.); #203353=EDGE_CURVE('',#163506,#163507,#85067,.T.); #203354=EDGE_CURVE('',#163508,#163506,#85068,.T.); #203355=EDGE_CURVE('',#163509,#163507,#85069,.T.); #203356=EDGE_CURVE('',#163508,#163509,#85070,.T.); #203357=EDGE_CURVE('',#163510,#163508,#85071,.T.); #203358=EDGE_CURVE('',#163511,#163509,#85072,.T.); #203359=EDGE_CURVE('',#163510,#163511,#85073,.T.); #203360=EDGE_CURVE('',#163512,#163510,#85074,.T.); #203361=EDGE_CURVE('',#163513,#163511,#85075,.T.); #203362=EDGE_CURVE('',#163512,#163513,#85076,.T.); #203363=EDGE_CURVE('',#163514,#163512,#85077,.T.); #203364=EDGE_CURVE('',#163515,#163513,#85078,.T.); #203365=EDGE_CURVE('',#163514,#163515,#85079,.T.); #203366=EDGE_CURVE('',#163516,#163514,#85080,.T.); #203367=EDGE_CURVE('',#163517,#163515,#85081,.T.); #203368=EDGE_CURVE('',#163516,#163517,#85082,.T.); #203369=EDGE_CURVE('',#163518,#163516,#85083,.T.); #203370=EDGE_CURVE('',#163519,#163517,#85084,.T.); #203371=EDGE_CURVE('',#163518,#163519,#85085,.T.); #203372=EDGE_CURVE('',#163520,#163518,#85086,.T.); #203373=EDGE_CURVE('',#163521,#163519,#85087,.T.); #203374=EDGE_CURVE('',#163520,#163521,#85088,.T.); #203375=EDGE_CURVE('',#163522,#163520,#85089,.T.); #203376=EDGE_CURVE('',#163523,#163521,#85090,.T.); #203377=EDGE_CURVE('',#163522,#163523,#85091,.T.); #203378=EDGE_CURVE('',#163524,#163522,#85092,.T.); #203379=EDGE_CURVE('',#163525,#163523,#85093,.T.); #203380=EDGE_CURVE('',#163524,#163525,#85094,.T.); #203381=EDGE_CURVE('',#163526,#163524,#85095,.T.); #203382=EDGE_CURVE('',#163527,#163525,#85096,.T.); #203383=EDGE_CURVE('',#163526,#163527,#85097,.T.); #203384=EDGE_CURVE('',#163528,#163526,#85098,.T.); #203385=EDGE_CURVE('',#163529,#163527,#85099,.T.); #203386=EDGE_CURVE('',#163528,#163529,#85100,.T.); #203387=EDGE_CURVE('',#163530,#163528,#85101,.T.); #203388=EDGE_CURVE('',#163531,#163529,#85102,.T.); #203389=EDGE_CURVE('',#163530,#163531,#85103,.T.); #203390=EDGE_CURVE('',#163532,#163530,#85104,.T.); #203391=EDGE_CURVE('',#163533,#163531,#85105,.T.); #203392=EDGE_CURVE('',#163532,#163533,#85106,.T.); #203393=EDGE_CURVE('',#163534,#163532,#85107,.T.); #203394=EDGE_CURVE('',#163535,#163533,#85108,.T.); #203395=EDGE_CURVE('',#163534,#163535,#85109,.T.); #203396=EDGE_CURVE('',#163536,#163534,#85110,.T.); #203397=EDGE_CURVE('',#163537,#163535,#85111,.T.); #203398=EDGE_CURVE('',#163536,#163537,#85112,.T.); #203399=EDGE_CURVE('',#163538,#163536,#85113,.T.); #203400=EDGE_CURVE('',#163539,#163537,#85114,.T.); #203401=EDGE_CURVE('',#163538,#163539,#85115,.T.); #203402=EDGE_CURVE('',#163540,#163538,#85116,.T.); #203403=EDGE_CURVE('',#163541,#163539,#85117,.T.); #203404=EDGE_CURVE('',#163540,#163541,#85118,.T.); #203405=EDGE_CURVE('',#163542,#163540,#85119,.T.); #203406=EDGE_CURVE('',#163543,#163541,#85120,.T.); #203407=EDGE_CURVE('',#163542,#163543,#85121,.T.); #203408=EDGE_CURVE('',#163544,#163542,#85122,.T.); #203409=EDGE_CURVE('',#163545,#163543,#85123,.T.); #203410=EDGE_CURVE('',#163544,#163545,#85124,.T.); #203411=EDGE_CURVE('',#163546,#163544,#85125,.T.); #203412=EDGE_CURVE('',#163547,#163545,#85126,.T.); #203413=EDGE_CURVE('',#163546,#163547,#85127,.T.); #203414=EDGE_CURVE('',#163548,#163546,#85128,.T.); #203415=EDGE_CURVE('',#163549,#163547,#85129,.T.); #203416=EDGE_CURVE('',#163548,#163549,#85130,.T.); #203417=EDGE_CURVE('',#163550,#163548,#85131,.T.); #203418=EDGE_CURVE('',#163551,#163549,#85132,.T.); #203419=EDGE_CURVE('',#163550,#163551,#85133,.T.); #203420=EDGE_CURVE('',#163552,#163550,#85134,.T.); #203421=EDGE_CURVE('',#163553,#163551,#85135,.T.); #203422=EDGE_CURVE('',#163552,#163553,#85136,.T.); #203423=EDGE_CURVE('',#163554,#163552,#85137,.T.); #203424=EDGE_CURVE('',#163555,#163553,#85138,.T.); #203425=EDGE_CURVE('',#163554,#163555,#85139,.T.); #203426=EDGE_CURVE('',#163556,#163554,#85140,.T.); #203427=EDGE_CURVE('',#163557,#163555,#85141,.T.); #203428=EDGE_CURVE('',#163556,#163557,#85142,.T.); #203429=EDGE_CURVE('',#163558,#163556,#85143,.T.); #203430=EDGE_CURVE('',#163559,#163557,#85144,.T.); #203431=EDGE_CURVE('',#163558,#163559,#85145,.T.); #203432=EDGE_CURVE('',#163560,#163558,#85146,.T.); #203433=EDGE_CURVE('',#163561,#163559,#85147,.T.); #203434=EDGE_CURVE('',#163560,#163561,#85148,.T.); #203435=EDGE_CURVE('',#163562,#163560,#85149,.T.); #203436=EDGE_CURVE('',#163563,#163561,#85150,.T.); #203437=EDGE_CURVE('',#163562,#163563,#85151,.T.); #203438=EDGE_CURVE('',#163564,#163562,#85152,.T.); #203439=EDGE_CURVE('',#163565,#163563,#85153,.T.); #203440=EDGE_CURVE('',#163564,#163565,#85154,.T.); #203441=EDGE_CURVE('',#163566,#163564,#85155,.T.); #203442=EDGE_CURVE('',#163567,#163565,#85156,.T.); #203443=EDGE_CURVE('',#163566,#163567,#85157,.T.); #203444=EDGE_CURVE('',#163568,#163566,#85158,.T.); #203445=EDGE_CURVE('',#163569,#163567,#85159,.T.); #203446=EDGE_CURVE('',#163568,#163569,#85160,.T.); #203447=EDGE_CURVE('',#163570,#163568,#85161,.T.); #203448=EDGE_CURVE('',#163571,#163569,#85162,.T.); #203449=EDGE_CURVE('',#163570,#163571,#85163,.T.); #203450=EDGE_CURVE('',#163572,#163570,#85164,.T.); #203451=EDGE_CURVE('',#163573,#163571,#85165,.T.); #203452=EDGE_CURVE('',#163572,#163573,#85166,.T.); #203453=EDGE_CURVE('',#163574,#163572,#85167,.T.); #203454=EDGE_CURVE('',#163575,#163573,#85168,.T.); #203455=EDGE_CURVE('',#163574,#163575,#85169,.T.); #203456=EDGE_CURVE('',#163576,#163574,#85170,.T.); #203457=EDGE_CURVE('',#163577,#163575,#85171,.T.); #203458=EDGE_CURVE('',#163576,#163577,#85172,.T.); #203459=EDGE_CURVE('',#163578,#163576,#85173,.T.); #203460=EDGE_CURVE('',#163579,#163577,#85174,.T.); #203461=EDGE_CURVE('',#163578,#163579,#85175,.T.); #203462=EDGE_CURVE('',#163580,#163578,#85176,.T.); #203463=EDGE_CURVE('',#163581,#163579,#85177,.T.); #203464=EDGE_CURVE('',#163580,#163581,#85178,.T.); #203465=EDGE_CURVE('',#163582,#163580,#85179,.T.); #203466=EDGE_CURVE('',#163583,#163581,#85180,.T.); #203467=EDGE_CURVE('',#163582,#163583,#85181,.T.); #203468=EDGE_CURVE('',#163584,#163582,#85182,.T.); #203469=EDGE_CURVE('',#163585,#163583,#85183,.T.); #203470=EDGE_CURVE('',#163584,#163585,#85184,.T.); #203471=EDGE_CURVE('',#163586,#163584,#85185,.T.); #203472=EDGE_CURVE('',#163587,#163585,#85186,.T.); #203473=EDGE_CURVE('',#163586,#163587,#85187,.T.); #203474=EDGE_CURVE('',#163588,#163586,#85188,.T.); #203475=EDGE_CURVE('',#163589,#163587,#85189,.T.); #203476=EDGE_CURVE('',#163588,#163589,#85190,.T.); #203477=EDGE_CURVE('',#163590,#163588,#85191,.T.); #203478=EDGE_CURVE('',#163591,#163589,#85192,.T.); #203479=EDGE_CURVE('',#163590,#163591,#85193,.T.); #203480=EDGE_CURVE('',#163592,#163590,#85194,.T.); #203481=EDGE_CURVE('',#163593,#163591,#85195,.T.); #203482=EDGE_CURVE('',#163592,#163593,#85196,.T.); #203483=EDGE_CURVE('',#163594,#163592,#85197,.T.); #203484=EDGE_CURVE('',#163595,#163593,#85198,.T.); #203485=EDGE_CURVE('',#163594,#163595,#85199,.T.); #203486=EDGE_CURVE('',#163596,#163594,#85200,.T.); #203487=EDGE_CURVE('',#163597,#163595,#85201,.T.); #203488=EDGE_CURVE('',#163596,#163597,#85202,.T.); #203489=EDGE_CURVE('',#163598,#163596,#85203,.T.); #203490=EDGE_CURVE('',#163599,#163597,#85204,.T.); #203491=EDGE_CURVE('',#163598,#163599,#85205,.T.); #203492=EDGE_CURVE('',#163600,#163598,#85206,.T.); #203493=EDGE_CURVE('',#163601,#163599,#85207,.T.); #203494=EDGE_CURVE('',#163600,#163601,#85208,.T.); #203495=EDGE_CURVE('',#163602,#163600,#85209,.T.); #203496=EDGE_CURVE('',#163603,#163601,#85210,.T.); #203497=EDGE_CURVE('',#163602,#163603,#85211,.T.); #203498=EDGE_CURVE('',#163604,#163602,#85212,.T.); #203499=EDGE_CURVE('',#163605,#163603,#85213,.T.); #203500=EDGE_CURVE('',#163604,#163605,#85214,.T.); #203501=EDGE_CURVE('',#163606,#163604,#85215,.T.); #203502=EDGE_CURVE('',#163607,#163605,#85216,.T.); #203503=EDGE_CURVE('',#163606,#163607,#85217,.T.); #203504=EDGE_CURVE('',#163608,#163606,#85218,.T.); #203505=EDGE_CURVE('',#163609,#163607,#85219,.T.); #203506=EDGE_CURVE('',#163608,#163609,#85220,.T.); #203507=EDGE_CURVE('',#163610,#163608,#85221,.T.); #203508=EDGE_CURVE('',#163611,#163609,#85222,.T.); #203509=EDGE_CURVE('',#163610,#163611,#85223,.T.); #203510=EDGE_CURVE('',#163612,#163610,#85224,.T.); #203511=EDGE_CURVE('',#163613,#163611,#85225,.T.); #203512=EDGE_CURVE('',#163612,#163613,#85226,.T.); #203513=EDGE_CURVE('',#163614,#163612,#85227,.T.); #203514=EDGE_CURVE('',#163615,#163613,#85228,.T.); #203515=EDGE_CURVE('',#163614,#163615,#85229,.T.); #203516=EDGE_CURVE('',#163616,#163614,#85230,.T.); #203517=EDGE_CURVE('',#163617,#163615,#85231,.T.); #203518=EDGE_CURVE('',#163616,#163617,#85232,.T.); #203519=EDGE_CURVE('',#163618,#163616,#85233,.T.); #203520=EDGE_CURVE('',#163619,#163617,#85234,.T.); #203521=EDGE_CURVE('',#163618,#163619,#85235,.T.); #203522=EDGE_CURVE('',#163620,#163618,#85236,.T.); #203523=EDGE_CURVE('',#163621,#163619,#85237,.T.); #203524=EDGE_CURVE('',#163620,#163621,#85238,.T.); #203525=EDGE_CURVE('',#163622,#163620,#85239,.T.); #203526=EDGE_CURVE('',#163623,#163621,#85240,.T.); #203527=EDGE_CURVE('',#163622,#163623,#85241,.T.); #203528=EDGE_CURVE('',#163624,#163622,#85242,.T.); #203529=EDGE_CURVE('',#163625,#163623,#85243,.T.); #203530=EDGE_CURVE('',#163624,#163625,#85244,.T.); #203531=EDGE_CURVE('',#163626,#163624,#85245,.T.); #203532=EDGE_CURVE('',#163627,#163625,#85246,.T.); #203533=EDGE_CURVE('',#163626,#163627,#85247,.T.); #203534=EDGE_CURVE('',#163628,#163626,#85248,.T.); #203535=EDGE_CURVE('',#163629,#163627,#85249,.T.); #203536=EDGE_CURVE('',#163628,#163629,#85250,.T.); #203537=EDGE_CURVE('',#163630,#163628,#85251,.T.); #203538=EDGE_CURVE('',#163631,#163629,#85252,.T.); #203539=EDGE_CURVE('',#163630,#163631,#85253,.T.); #203540=EDGE_CURVE('',#163632,#163630,#85254,.T.); #203541=EDGE_CURVE('',#163633,#163631,#85255,.T.); #203542=EDGE_CURVE('',#163632,#163633,#85256,.T.); #203543=EDGE_CURVE('',#163634,#163632,#85257,.T.); #203544=EDGE_CURVE('',#163635,#163633,#85258,.T.); #203545=EDGE_CURVE('',#163634,#163635,#85259,.T.); #203546=EDGE_CURVE('',#163636,#163634,#85260,.T.); #203547=EDGE_CURVE('',#163637,#163635,#85261,.T.); #203548=EDGE_CURVE('',#163636,#163637,#85262,.T.); #203549=EDGE_CURVE('',#163638,#163636,#85263,.T.); #203550=EDGE_CURVE('',#163639,#163637,#85264,.T.); #203551=EDGE_CURVE('',#163638,#163639,#85265,.T.); #203552=EDGE_CURVE('',#163640,#163638,#85266,.T.); #203553=EDGE_CURVE('',#163641,#163639,#85267,.T.); #203554=EDGE_CURVE('',#163640,#163641,#85268,.T.); #203555=EDGE_CURVE('',#163642,#163640,#85269,.T.); #203556=EDGE_CURVE('',#163643,#163641,#85270,.T.); #203557=EDGE_CURVE('',#163642,#163643,#85271,.T.); #203558=EDGE_CURVE('',#163644,#163642,#85272,.T.); #203559=EDGE_CURVE('',#163645,#163643,#85273,.T.); #203560=EDGE_CURVE('',#163644,#163645,#85274,.T.); #203561=EDGE_CURVE('',#163646,#163644,#85275,.T.); #203562=EDGE_CURVE('',#163647,#163645,#85276,.T.); #203563=EDGE_CURVE('',#163646,#163647,#85277,.T.); #203564=EDGE_CURVE('',#163648,#163646,#85278,.T.); #203565=EDGE_CURVE('',#163649,#163647,#85279,.T.); #203566=EDGE_CURVE('',#163648,#163649,#85280,.T.); #203567=EDGE_CURVE('',#163650,#163648,#85281,.T.); #203568=EDGE_CURVE('',#163651,#163649,#85282,.T.); #203569=EDGE_CURVE('',#163650,#163651,#85283,.T.); #203570=EDGE_CURVE('',#163652,#163650,#85284,.T.); #203571=EDGE_CURVE('',#163653,#163651,#85285,.T.); #203572=EDGE_CURVE('',#163652,#163653,#85286,.T.); #203573=EDGE_CURVE('',#163654,#163652,#85287,.T.); #203574=EDGE_CURVE('',#163655,#163653,#85288,.T.); #203575=EDGE_CURVE('',#163654,#163655,#85289,.T.); #203576=EDGE_CURVE('',#163656,#163654,#85290,.T.); #203577=EDGE_CURVE('',#163657,#163655,#85291,.T.); #203578=EDGE_CURVE('',#163656,#163657,#85292,.T.); #203579=EDGE_CURVE('',#163658,#163656,#85293,.T.); #203580=EDGE_CURVE('',#163659,#163657,#85294,.T.); #203581=EDGE_CURVE('',#163658,#163659,#85295,.T.); #203582=EDGE_CURVE('',#163660,#163658,#85296,.T.); #203583=EDGE_CURVE('',#163661,#163659,#85297,.T.); #203584=EDGE_CURVE('',#163660,#163661,#85298,.T.); #203585=EDGE_CURVE('',#163662,#163660,#85299,.T.); #203586=EDGE_CURVE('',#163663,#163661,#85300,.T.); #203587=EDGE_CURVE('',#163662,#163663,#85301,.T.); #203588=EDGE_CURVE('',#163664,#163662,#85302,.T.); #203589=EDGE_CURVE('',#163665,#163663,#85303,.T.); #203590=EDGE_CURVE('',#163664,#163665,#85304,.T.); #203591=EDGE_CURVE('',#163666,#163664,#85305,.T.); #203592=EDGE_CURVE('',#163667,#163665,#85306,.T.); #203593=EDGE_CURVE('',#163666,#163667,#85307,.T.); #203594=EDGE_CURVE('',#163668,#163666,#85308,.T.); #203595=EDGE_CURVE('',#163669,#163667,#85309,.T.); #203596=EDGE_CURVE('',#163668,#163669,#85310,.T.); #203597=EDGE_CURVE('',#163670,#163668,#85311,.T.); #203598=EDGE_CURVE('',#163671,#163669,#85312,.T.); #203599=EDGE_CURVE('',#163670,#163671,#85313,.T.); #203600=EDGE_CURVE('',#163672,#163670,#85314,.T.); #203601=EDGE_CURVE('',#163673,#163671,#85315,.T.); #203602=EDGE_CURVE('',#163672,#163673,#85316,.T.); #203603=EDGE_CURVE('',#163674,#163672,#85317,.T.); #203604=EDGE_CURVE('',#163675,#163673,#85318,.T.); #203605=EDGE_CURVE('',#163674,#163675,#85319,.T.); #203606=EDGE_CURVE('',#163676,#163674,#85320,.T.); #203607=EDGE_CURVE('',#163677,#163675,#85321,.T.); #203608=EDGE_CURVE('',#163676,#163677,#85322,.T.); #203609=EDGE_CURVE('',#163678,#163676,#85323,.T.); #203610=EDGE_CURVE('',#163679,#163677,#85324,.T.); #203611=EDGE_CURVE('',#163678,#163679,#85325,.T.); #203612=EDGE_CURVE('',#163680,#163678,#85326,.T.); #203613=EDGE_CURVE('',#163681,#163679,#85327,.T.); #203614=EDGE_CURVE('',#163680,#163681,#85328,.T.); #203615=EDGE_CURVE('',#163682,#163680,#85329,.T.); #203616=EDGE_CURVE('',#163683,#163681,#85330,.T.); #203617=EDGE_CURVE('',#163682,#163683,#85331,.T.); #203618=EDGE_CURVE('',#163684,#163682,#85332,.T.); #203619=EDGE_CURVE('',#163685,#163683,#85333,.T.); #203620=EDGE_CURVE('',#163684,#163685,#85334,.T.); #203621=EDGE_CURVE('',#163686,#163684,#85335,.T.); #203622=EDGE_CURVE('',#163687,#163685,#85336,.T.); #203623=EDGE_CURVE('',#163686,#163687,#85337,.T.); #203624=EDGE_CURVE('',#163688,#163686,#85338,.T.); #203625=EDGE_CURVE('',#163689,#163687,#85339,.T.); #203626=EDGE_CURVE('',#163688,#163689,#85340,.T.); #203627=EDGE_CURVE('',#163690,#163688,#85341,.T.); #203628=EDGE_CURVE('',#163691,#163689,#85342,.T.); #203629=EDGE_CURVE('',#163690,#163691,#85343,.T.); #203630=EDGE_CURVE('',#163692,#163690,#85344,.T.); #203631=EDGE_CURVE('',#163693,#163691,#85345,.T.); #203632=EDGE_CURVE('',#163692,#163693,#85346,.T.); #203633=EDGE_CURVE('',#163694,#163692,#85347,.T.); #203634=EDGE_CURVE('',#163695,#163693,#85348,.T.); #203635=EDGE_CURVE('',#163694,#163695,#85349,.T.); #203636=EDGE_CURVE('',#163696,#163694,#85350,.T.); #203637=EDGE_CURVE('',#163697,#163695,#85351,.T.); #203638=EDGE_CURVE('',#163696,#163697,#85352,.T.); #203639=EDGE_CURVE('',#163698,#163696,#85353,.T.); #203640=EDGE_CURVE('',#163699,#163697,#85354,.T.); #203641=EDGE_CURVE('',#163698,#163699,#85355,.T.); #203642=EDGE_CURVE('',#163700,#163698,#85356,.T.); #203643=EDGE_CURVE('',#163701,#163699,#85357,.T.); #203644=EDGE_CURVE('',#163700,#163701,#85358,.T.); #203645=EDGE_CURVE('',#163702,#163700,#85359,.T.); #203646=EDGE_CURVE('',#163703,#163701,#85360,.T.); #203647=EDGE_CURVE('',#163702,#163703,#85361,.T.); #203648=EDGE_CURVE('',#163704,#163702,#85362,.T.); #203649=EDGE_CURVE('',#163705,#163703,#85363,.T.); #203650=EDGE_CURVE('',#163704,#163705,#85364,.T.); #203651=EDGE_CURVE('',#163706,#163704,#85365,.T.); #203652=EDGE_CURVE('',#163707,#163705,#85366,.T.); #203653=EDGE_CURVE('',#163706,#163707,#85367,.T.); #203654=EDGE_CURVE('',#163708,#163706,#85368,.T.); #203655=EDGE_CURVE('',#163709,#163707,#85369,.T.); #203656=EDGE_CURVE('',#163708,#163709,#85370,.T.); #203657=EDGE_CURVE('',#163710,#163708,#85371,.T.); #203658=EDGE_CURVE('',#163711,#163709,#85372,.T.); #203659=EDGE_CURVE('',#163710,#163711,#85373,.T.); #203660=EDGE_CURVE('',#163712,#163710,#85374,.T.); #203661=EDGE_CURVE('',#163713,#163711,#85375,.T.); #203662=EDGE_CURVE('',#163712,#163713,#85376,.T.); #203663=EDGE_CURVE('',#163714,#163712,#85377,.T.); #203664=EDGE_CURVE('',#163715,#163713,#85378,.T.); #203665=EDGE_CURVE('',#163714,#163715,#85379,.T.); #203666=EDGE_CURVE('',#163716,#163714,#85380,.T.); #203667=EDGE_CURVE('',#163717,#163715,#85381,.T.); #203668=EDGE_CURVE('',#163716,#163717,#85382,.T.); #203669=EDGE_CURVE('',#163718,#163716,#85383,.T.); #203670=EDGE_CURVE('',#163719,#163717,#85384,.T.); #203671=EDGE_CURVE('',#163718,#163719,#85385,.T.); #203672=EDGE_CURVE('',#163720,#163718,#85386,.T.); #203673=EDGE_CURVE('',#163721,#163719,#85387,.T.); #203674=EDGE_CURVE('',#163720,#163721,#85388,.T.); #203675=EDGE_CURVE('',#163722,#163720,#85389,.T.); #203676=EDGE_CURVE('',#163723,#163721,#85390,.T.); #203677=EDGE_CURVE('',#163722,#163723,#85391,.T.); #203678=EDGE_CURVE('',#163724,#163722,#85392,.T.); #203679=EDGE_CURVE('',#163725,#163723,#85393,.T.); #203680=EDGE_CURVE('',#163724,#163725,#85394,.T.); #203681=EDGE_CURVE('',#163726,#163724,#85395,.T.); #203682=EDGE_CURVE('',#163727,#163725,#85396,.T.); #203683=EDGE_CURVE('',#163726,#163727,#85397,.T.); #203684=EDGE_CURVE('',#163728,#163726,#85398,.T.); #203685=EDGE_CURVE('',#163729,#163727,#85399,.T.); #203686=EDGE_CURVE('',#163728,#163729,#85400,.T.); #203687=EDGE_CURVE('',#163730,#163728,#85401,.T.); #203688=EDGE_CURVE('',#163731,#163729,#85402,.T.); #203689=EDGE_CURVE('',#163730,#163731,#85403,.T.); #203690=EDGE_CURVE('',#163732,#163730,#85404,.T.); #203691=EDGE_CURVE('',#163733,#163731,#85405,.T.); #203692=EDGE_CURVE('',#163732,#163733,#85406,.T.); #203693=EDGE_CURVE('',#163734,#163732,#85407,.T.); #203694=EDGE_CURVE('',#163735,#163733,#85408,.T.); #203695=EDGE_CURVE('',#163734,#163735,#85409,.T.); #203696=EDGE_CURVE('',#163736,#163734,#85410,.T.); #203697=EDGE_CURVE('',#163737,#163735,#85411,.T.); #203698=EDGE_CURVE('',#163736,#163737,#85412,.T.); #203699=EDGE_CURVE('',#163738,#163736,#85413,.T.); #203700=EDGE_CURVE('',#163739,#163737,#85414,.T.); #203701=EDGE_CURVE('',#163738,#163739,#85415,.T.); #203702=EDGE_CURVE('',#163740,#163738,#85416,.T.); #203703=EDGE_CURVE('',#163741,#163739,#85417,.T.); #203704=EDGE_CURVE('',#163740,#163741,#85418,.T.); #203705=EDGE_CURVE('',#163742,#163740,#85419,.T.); #203706=EDGE_CURVE('',#163743,#163741,#85420,.T.); #203707=EDGE_CURVE('',#163742,#163743,#85421,.T.); #203708=EDGE_CURVE('',#163744,#163742,#85422,.T.); #203709=EDGE_CURVE('',#163745,#163743,#85423,.T.); #203710=EDGE_CURVE('',#163744,#163745,#85424,.T.); #203711=EDGE_CURVE('',#163746,#163744,#85425,.T.); #203712=EDGE_CURVE('',#163747,#163745,#85426,.T.); #203713=EDGE_CURVE('',#163746,#163747,#85427,.T.); #203714=EDGE_CURVE('',#163748,#163746,#85428,.T.); #203715=EDGE_CURVE('',#163749,#163747,#85429,.T.); #203716=EDGE_CURVE('',#163748,#163749,#85430,.T.); #203717=EDGE_CURVE('',#163750,#163748,#85431,.T.); #203718=EDGE_CURVE('',#163751,#163749,#85432,.T.); #203719=EDGE_CURVE('',#163750,#163751,#85433,.T.); #203720=EDGE_CURVE('',#163752,#163750,#85434,.T.); #203721=EDGE_CURVE('',#163753,#163751,#85435,.T.); #203722=EDGE_CURVE('',#163752,#163753,#85436,.T.); #203723=EDGE_CURVE('',#163754,#163752,#85437,.T.); #203724=EDGE_CURVE('',#163755,#163753,#85438,.T.); #203725=EDGE_CURVE('',#163754,#163755,#85439,.T.); #203726=EDGE_CURVE('',#163756,#163754,#85440,.T.); #203727=EDGE_CURVE('',#163757,#163755,#85441,.T.); #203728=EDGE_CURVE('',#163756,#163757,#85442,.T.); #203729=EDGE_CURVE('',#163758,#163756,#85443,.T.); #203730=EDGE_CURVE('',#163759,#163757,#85444,.T.); #203731=EDGE_CURVE('',#163758,#163759,#85445,.T.); #203732=EDGE_CURVE('',#163760,#163758,#85446,.T.); #203733=EDGE_CURVE('',#163761,#163759,#85447,.T.); #203734=EDGE_CURVE('',#163760,#163761,#85448,.T.); #203735=EDGE_CURVE('',#163762,#163760,#85449,.T.); #203736=EDGE_CURVE('',#163763,#163761,#85450,.T.); #203737=EDGE_CURVE('',#163762,#163763,#85451,.T.); #203738=EDGE_CURVE('',#163764,#163762,#85452,.T.); #203739=EDGE_CURVE('',#163765,#163763,#85453,.T.); #203740=EDGE_CURVE('',#163764,#163765,#85454,.T.); #203741=EDGE_CURVE('',#163766,#163764,#85455,.T.); #203742=EDGE_CURVE('',#163767,#163765,#85456,.T.); #203743=EDGE_CURVE('',#163766,#163767,#85457,.T.); #203744=EDGE_CURVE('',#163768,#163766,#85458,.T.); #203745=EDGE_CURVE('',#163769,#163767,#85459,.T.); #203746=EDGE_CURVE('',#163768,#163769,#85460,.T.); #203747=EDGE_CURVE('',#163770,#163768,#85461,.T.); #203748=EDGE_CURVE('',#163771,#163769,#85462,.T.); #203749=EDGE_CURVE('',#163770,#163771,#85463,.T.); #203750=EDGE_CURVE('',#163772,#163770,#85464,.T.); #203751=EDGE_CURVE('',#163773,#163771,#85465,.T.); #203752=EDGE_CURVE('',#163772,#163773,#85466,.T.); #203753=EDGE_CURVE('',#163774,#163772,#85467,.T.); #203754=EDGE_CURVE('',#163775,#163773,#85468,.T.); #203755=EDGE_CURVE('',#163774,#163775,#85469,.T.); #203756=EDGE_CURVE('',#163776,#163774,#85470,.T.); #203757=EDGE_CURVE('',#163777,#163775,#85471,.T.); #203758=EDGE_CURVE('',#163776,#163777,#85472,.T.); #203759=EDGE_CURVE('',#163778,#163776,#85473,.T.); #203760=EDGE_CURVE('',#163779,#163777,#85474,.T.); #203761=EDGE_CURVE('',#163778,#163779,#85475,.T.); #203762=EDGE_CURVE('',#163780,#163778,#85476,.T.); #203763=EDGE_CURVE('',#163781,#163779,#85477,.T.); #203764=EDGE_CURVE('',#163780,#163781,#85478,.T.); #203765=EDGE_CURVE('',#163782,#163780,#85479,.T.); #203766=EDGE_CURVE('',#163783,#163781,#85480,.T.); #203767=EDGE_CURVE('',#163782,#163783,#85481,.T.); #203768=EDGE_CURVE('',#163784,#163782,#85482,.T.); #203769=EDGE_CURVE('',#163785,#163783,#85483,.T.); #203770=EDGE_CURVE('',#163784,#163785,#85484,.T.); #203771=EDGE_CURVE('',#163786,#163784,#85485,.T.); #203772=EDGE_CURVE('',#163787,#163785,#85486,.T.); #203773=EDGE_CURVE('',#163786,#163787,#85487,.T.); #203774=EDGE_CURVE('',#163788,#163786,#85488,.T.); #203775=EDGE_CURVE('',#163789,#163787,#85489,.T.); #203776=EDGE_CURVE('',#163788,#163789,#85490,.T.); #203777=EDGE_CURVE('',#163790,#163788,#85491,.T.); #203778=EDGE_CURVE('',#163791,#163789,#85492,.T.); #203779=EDGE_CURVE('',#163790,#163791,#85493,.T.); #203780=EDGE_CURVE('',#163792,#163790,#85494,.T.); #203781=EDGE_CURVE('',#163793,#163791,#85495,.T.); #203782=EDGE_CURVE('',#163792,#163793,#85496,.T.); #203783=EDGE_CURVE('',#163794,#163792,#85497,.T.); #203784=EDGE_CURVE('',#163795,#163793,#85498,.T.); #203785=EDGE_CURVE('',#163794,#163795,#85499,.T.); #203786=EDGE_CURVE('',#163796,#163794,#85500,.T.); #203787=EDGE_CURVE('',#163797,#163795,#85501,.T.); #203788=EDGE_CURVE('',#163796,#163797,#85502,.T.); #203789=EDGE_CURVE('',#163798,#163796,#85503,.T.); #203790=EDGE_CURVE('',#163799,#163797,#85504,.T.); #203791=EDGE_CURVE('',#163798,#163799,#85505,.T.); #203792=EDGE_CURVE('',#163800,#163798,#85506,.T.); #203793=EDGE_CURVE('',#163801,#163799,#85507,.T.); #203794=EDGE_CURVE('',#163800,#163801,#85508,.T.); #203795=EDGE_CURVE('',#163802,#163800,#85509,.T.); #203796=EDGE_CURVE('',#163803,#163801,#85510,.T.); #203797=EDGE_CURVE('',#163802,#163803,#85511,.T.); #203798=EDGE_CURVE('',#163804,#163802,#85512,.T.); #203799=EDGE_CURVE('',#163805,#163803,#85513,.T.); #203800=EDGE_CURVE('',#163804,#163805,#85514,.T.); #203801=EDGE_CURVE('',#163806,#163804,#85515,.T.); #203802=EDGE_CURVE('',#163807,#163805,#85516,.T.); #203803=EDGE_CURVE('',#163806,#163807,#85517,.T.); #203804=EDGE_CURVE('',#163808,#163806,#85518,.T.); #203805=EDGE_CURVE('',#163809,#163807,#85519,.T.); #203806=EDGE_CURVE('',#163808,#163809,#85520,.T.); #203807=EDGE_CURVE('',#163810,#163808,#85521,.T.); #203808=EDGE_CURVE('',#163811,#163809,#85522,.T.); #203809=EDGE_CURVE('',#163810,#163811,#85523,.T.); #203810=EDGE_CURVE('',#163812,#163810,#85524,.T.); #203811=EDGE_CURVE('',#163813,#163811,#85525,.T.); #203812=EDGE_CURVE('',#163812,#163813,#85526,.T.); #203813=EDGE_CURVE('',#163814,#163812,#85527,.T.); #203814=EDGE_CURVE('',#163815,#163813,#85528,.T.); #203815=EDGE_CURVE('',#163814,#163815,#85529,.T.); #203816=EDGE_CURVE('',#163816,#163814,#85530,.T.); #203817=EDGE_CURVE('',#163817,#163815,#85531,.T.); #203818=EDGE_CURVE('',#163816,#163817,#85532,.T.); #203819=EDGE_CURVE('',#163818,#163816,#85533,.T.); #203820=EDGE_CURVE('',#163819,#163817,#85534,.T.); #203821=EDGE_CURVE('',#163818,#163819,#85535,.T.); #203822=EDGE_CURVE('',#163820,#163818,#85536,.T.); #203823=EDGE_CURVE('',#163821,#163819,#85537,.T.); #203824=EDGE_CURVE('',#163820,#163821,#85538,.T.); #203825=EDGE_CURVE('',#163822,#163820,#85539,.T.); #203826=EDGE_CURVE('',#163823,#163821,#85540,.T.); #203827=EDGE_CURVE('',#163822,#163823,#85541,.T.); #203828=EDGE_CURVE('',#163824,#163822,#85542,.T.); #203829=EDGE_CURVE('',#163825,#163823,#85543,.T.); #203830=EDGE_CURVE('',#163824,#163825,#85544,.T.); #203831=EDGE_CURVE('',#163826,#163824,#85545,.T.); #203832=EDGE_CURVE('',#163827,#163825,#85546,.T.); #203833=EDGE_CURVE('',#163826,#163827,#85547,.T.); #203834=EDGE_CURVE('',#163828,#163826,#85548,.T.); #203835=EDGE_CURVE('',#163829,#163827,#85549,.T.); #203836=EDGE_CURVE('',#163828,#163829,#85550,.T.); #203837=EDGE_CURVE('',#163830,#163828,#85551,.T.); #203838=EDGE_CURVE('',#163831,#163829,#85552,.T.); #203839=EDGE_CURVE('',#163830,#163831,#85553,.T.); #203840=EDGE_CURVE('',#163832,#163830,#85554,.T.); #203841=EDGE_CURVE('',#163833,#163831,#85555,.T.); #203842=EDGE_CURVE('',#163832,#163833,#85556,.T.); #203843=EDGE_CURVE('',#163834,#163832,#85557,.T.); #203844=EDGE_CURVE('',#163835,#163833,#85558,.T.); #203845=EDGE_CURVE('',#163834,#163835,#85559,.T.); #203846=EDGE_CURVE('',#163836,#163834,#85560,.T.); #203847=EDGE_CURVE('',#163837,#163835,#85561,.T.); #203848=EDGE_CURVE('',#163836,#163837,#85562,.T.); #203849=EDGE_CURVE('',#163838,#163836,#85563,.T.); #203850=EDGE_CURVE('',#163839,#163837,#85564,.T.); #203851=EDGE_CURVE('',#163838,#163839,#85565,.T.); #203852=EDGE_CURVE('',#163840,#163838,#85566,.T.); #203853=EDGE_CURVE('',#163841,#163839,#85567,.T.); #203854=EDGE_CURVE('',#163840,#163841,#85568,.T.); #203855=EDGE_CURVE('',#163842,#163840,#85569,.T.); #203856=EDGE_CURVE('',#163843,#163841,#85570,.T.); #203857=EDGE_CURVE('',#163842,#163843,#85571,.T.); #203858=EDGE_CURVE('',#163844,#163842,#85572,.T.); #203859=EDGE_CURVE('',#163845,#163843,#85573,.T.); #203860=EDGE_CURVE('',#163844,#163845,#85574,.T.); #203861=EDGE_CURVE('',#163846,#163844,#85575,.T.); #203862=EDGE_CURVE('',#163847,#163845,#85576,.T.); #203863=EDGE_CURVE('',#163846,#163847,#85577,.T.); #203864=EDGE_CURVE('',#163848,#163846,#85578,.T.); #203865=EDGE_CURVE('',#163849,#163847,#85579,.T.); #203866=EDGE_CURVE('',#163848,#163849,#85580,.T.); #203867=EDGE_CURVE('',#163850,#163848,#85581,.T.); #203868=EDGE_CURVE('',#163851,#163849,#85582,.T.); #203869=EDGE_CURVE('',#163850,#163851,#85583,.T.); #203870=EDGE_CURVE('',#163852,#163850,#85584,.T.); #203871=EDGE_CURVE('',#163853,#163851,#85585,.T.); #203872=EDGE_CURVE('',#163852,#163853,#85586,.T.); #203873=EDGE_CURVE('',#163854,#163852,#85587,.T.); #203874=EDGE_CURVE('',#163855,#163853,#85588,.T.); #203875=EDGE_CURVE('',#163854,#163855,#85589,.T.); #203876=EDGE_CURVE('',#163856,#163854,#85590,.T.); #203877=EDGE_CURVE('',#163857,#163855,#85591,.T.); #203878=EDGE_CURVE('',#163856,#163857,#85592,.T.); #203879=EDGE_CURVE('',#163449,#163856,#85593,.T.); #203880=EDGE_CURVE('',#163450,#163857,#85594,.T.); #203881=EDGE_CURVE('',#163858,#163859,#85595,.T.); #203882=EDGE_CURVE('',#163859,#163860,#85596,.T.); #203883=EDGE_CURVE('',#163861,#163860,#85597,.T.); #203884=EDGE_CURVE('',#163858,#163861,#85598,.T.); #203885=EDGE_CURVE('',#163862,#163858,#85599,.T.); #203886=EDGE_CURVE('',#163863,#163861,#85600,.T.); #203887=EDGE_CURVE('',#163862,#163863,#85601,.T.); #203888=EDGE_CURVE('',#163864,#163862,#85602,.T.); #203889=EDGE_CURVE('',#163865,#163863,#85603,.T.); #203890=EDGE_CURVE('',#163864,#163865,#85604,.T.); #203891=EDGE_CURVE('',#163866,#163864,#85605,.T.); #203892=EDGE_CURVE('',#163867,#163865,#85606,.T.); #203893=EDGE_CURVE('',#163866,#163867,#85607,.T.); #203894=EDGE_CURVE('',#163868,#163866,#85608,.T.); #203895=EDGE_CURVE('',#163869,#163867,#85609,.T.); #203896=EDGE_CURVE('',#163868,#163869,#85610,.T.); #203897=EDGE_CURVE('',#163870,#163868,#85611,.T.); #203898=EDGE_CURVE('',#163871,#163869,#85612,.T.); #203899=EDGE_CURVE('',#163870,#163871,#85613,.T.); #203900=EDGE_CURVE('',#163872,#163870,#85614,.T.); #203901=EDGE_CURVE('',#163873,#163871,#85615,.T.); #203902=EDGE_CURVE('',#163872,#163873,#85616,.T.); #203903=EDGE_CURVE('',#163874,#163872,#85617,.T.); #203904=EDGE_CURVE('',#163875,#163873,#85618,.T.); #203905=EDGE_CURVE('',#163874,#163875,#85619,.T.); #203906=EDGE_CURVE('',#163876,#163874,#85620,.T.); #203907=EDGE_CURVE('',#163877,#163875,#85621,.T.); #203908=EDGE_CURVE('',#163876,#163877,#85622,.T.); #203909=EDGE_CURVE('',#163878,#163876,#85623,.T.); #203910=EDGE_CURVE('',#163879,#163877,#85624,.T.); #203911=EDGE_CURVE('',#163878,#163879,#85625,.T.); #203912=EDGE_CURVE('',#163880,#163878,#85626,.T.); #203913=EDGE_CURVE('',#163881,#163879,#85627,.T.); #203914=EDGE_CURVE('',#163880,#163881,#85628,.T.); #203915=EDGE_CURVE('',#163882,#163880,#85629,.T.); #203916=EDGE_CURVE('',#163883,#163881,#85630,.T.); #203917=EDGE_CURVE('',#163882,#163883,#85631,.T.); #203918=EDGE_CURVE('',#163884,#163882,#85632,.T.); #203919=EDGE_CURVE('',#163885,#163883,#85633,.T.); #203920=EDGE_CURVE('',#163884,#163885,#85634,.T.); #203921=EDGE_CURVE('',#163886,#163884,#85635,.T.); #203922=EDGE_CURVE('',#163887,#163885,#85636,.T.); #203923=EDGE_CURVE('',#163886,#163887,#85637,.T.); #203924=EDGE_CURVE('',#163888,#163886,#85638,.T.); #203925=EDGE_CURVE('',#163889,#163887,#85639,.T.); #203926=EDGE_CURVE('',#163888,#163889,#85640,.T.); #203927=EDGE_CURVE('',#163890,#163888,#85641,.T.); #203928=EDGE_CURVE('',#163891,#163889,#85642,.T.); #203929=EDGE_CURVE('',#163890,#163891,#85643,.T.); #203930=EDGE_CURVE('',#163892,#163890,#85644,.T.); #203931=EDGE_CURVE('',#163893,#163891,#85645,.T.); #203932=EDGE_CURVE('',#163892,#163893,#85646,.T.); #203933=EDGE_CURVE('',#163894,#163892,#85647,.T.); #203934=EDGE_CURVE('',#163895,#163893,#85648,.T.); #203935=EDGE_CURVE('',#163894,#163895,#85649,.T.); #203936=EDGE_CURVE('',#163896,#163894,#85650,.T.); #203937=EDGE_CURVE('',#163897,#163895,#85651,.T.); #203938=EDGE_CURVE('',#163896,#163897,#85652,.T.); #203939=EDGE_CURVE('',#163898,#163896,#85653,.T.); #203940=EDGE_CURVE('',#163899,#163897,#85654,.T.); #203941=EDGE_CURVE('',#163898,#163899,#85655,.T.); #203942=EDGE_CURVE('',#163900,#163898,#85656,.T.); #203943=EDGE_CURVE('',#163901,#163899,#85657,.T.); #203944=EDGE_CURVE('',#163900,#163901,#85658,.T.); #203945=EDGE_CURVE('',#163902,#163900,#85659,.T.); #203946=EDGE_CURVE('',#163903,#163901,#85660,.T.); #203947=EDGE_CURVE('',#163902,#163903,#85661,.T.); #203948=EDGE_CURVE('',#163904,#163902,#85662,.T.); #203949=EDGE_CURVE('',#163905,#163903,#85663,.T.); #203950=EDGE_CURVE('',#163904,#163905,#85664,.T.); #203951=EDGE_CURVE('',#163906,#163904,#85665,.T.); #203952=EDGE_CURVE('',#163907,#163905,#85666,.T.); #203953=EDGE_CURVE('',#163906,#163907,#85667,.T.); #203954=EDGE_CURVE('',#163908,#163906,#85668,.T.); #203955=EDGE_CURVE('',#163909,#163907,#85669,.T.); #203956=EDGE_CURVE('',#163908,#163909,#85670,.T.); #203957=EDGE_CURVE('',#163910,#163908,#85671,.T.); #203958=EDGE_CURVE('',#163911,#163909,#85672,.T.); #203959=EDGE_CURVE('',#163910,#163911,#85673,.T.); #203960=EDGE_CURVE('',#163912,#163910,#85674,.T.); #203961=EDGE_CURVE('',#163913,#163911,#85675,.T.); #203962=EDGE_CURVE('',#163912,#163913,#85676,.T.); #203963=EDGE_CURVE('',#163914,#163912,#85677,.T.); #203964=EDGE_CURVE('',#163915,#163913,#85678,.T.); #203965=EDGE_CURVE('',#163914,#163915,#85679,.T.); #203966=EDGE_CURVE('',#163916,#163914,#85680,.T.); #203967=EDGE_CURVE('',#163917,#163915,#85681,.T.); #203968=EDGE_CURVE('',#163916,#163917,#85682,.T.); #203969=EDGE_CURVE('',#163918,#163916,#85683,.T.); #203970=EDGE_CURVE('',#163919,#163917,#85684,.T.); #203971=EDGE_CURVE('',#163918,#163919,#85685,.T.); #203972=EDGE_CURVE('',#163920,#163918,#85686,.T.); #203973=EDGE_CURVE('',#163921,#163919,#85687,.T.); #203974=EDGE_CURVE('',#163920,#163921,#85688,.T.); #203975=EDGE_CURVE('',#163922,#163920,#85689,.T.); #203976=EDGE_CURVE('',#163923,#163921,#85690,.T.); #203977=EDGE_CURVE('',#163922,#163923,#85691,.T.); #203978=EDGE_CURVE('',#163924,#163922,#85692,.T.); #203979=EDGE_CURVE('',#163925,#163923,#85693,.T.); #203980=EDGE_CURVE('',#163924,#163925,#85694,.T.); #203981=EDGE_CURVE('',#163926,#163924,#85695,.T.); #203982=EDGE_CURVE('',#163927,#163925,#85696,.T.); #203983=EDGE_CURVE('',#163926,#163927,#85697,.T.); #203984=EDGE_CURVE('',#163928,#163926,#85698,.T.); #203985=EDGE_CURVE('',#163929,#163927,#85699,.T.); #203986=EDGE_CURVE('',#163928,#163929,#85700,.T.); #203987=EDGE_CURVE('',#163930,#163928,#85701,.T.); #203988=EDGE_CURVE('',#163931,#163929,#85702,.T.); #203989=EDGE_CURVE('',#163930,#163931,#85703,.T.); #203990=EDGE_CURVE('',#163932,#163930,#85704,.T.); #203991=EDGE_CURVE('',#163933,#163931,#85705,.T.); #203992=EDGE_CURVE('',#163932,#163933,#85706,.T.); #203993=EDGE_CURVE('',#163934,#163932,#85707,.T.); #203994=EDGE_CURVE('',#163935,#163933,#85708,.T.); #203995=EDGE_CURVE('',#163934,#163935,#85709,.T.); #203996=EDGE_CURVE('',#163936,#163934,#85710,.T.); #203997=EDGE_CURVE('',#163937,#163935,#85711,.T.); #203998=EDGE_CURVE('',#163936,#163937,#85712,.T.); #203999=EDGE_CURVE('',#163938,#163936,#85713,.T.); #204000=EDGE_CURVE('',#163939,#163937,#85714,.T.); #204001=EDGE_CURVE('',#163938,#163939,#85715,.T.); #204002=EDGE_CURVE('',#163940,#163938,#85716,.T.); #204003=EDGE_CURVE('',#163941,#163939,#85717,.T.); #204004=EDGE_CURVE('',#163940,#163941,#85718,.T.); #204005=EDGE_CURVE('',#163942,#163940,#85719,.T.); #204006=EDGE_CURVE('',#163943,#163941,#85720,.T.); #204007=EDGE_CURVE('',#163942,#163943,#85721,.T.); #204008=EDGE_CURVE('',#163944,#163942,#85722,.T.); #204009=EDGE_CURVE('',#163945,#163943,#85723,.T.); #204010=EDGE_CURVE('',#163944,#163945,#85724,.T.); #204011=EDGE_CURVE('',#163946,#163944,#85725,.T.); #204012=EDGE_CURVE('',#163947,#163945,#85726,.T.); #204013=EDGE_CURVE('',#163946,#163947,#85727,.T.); #204014=EDGE_CURVE('',#163948,#163946,#85728,.T.); #204015=EDGE_CURVE('',#163949,#163947,#85729,.T.); #204016=EDGE_CURVE('',#163948,#163949,#85730,.T.); #204017=EDGE_CURVE('',#163950,#163948,#85731,.T.); #204018=EDGE_CURVE('',#163951,#163949,#85732,.T.); #204019=EDGE_CURVE('',#163950,#163951,#85733,.T.); #204020=EDGE_CURVE('',#163952,#163950,#85734,.T.); #204021=EDGE_CURVE('',#163953,#163951,#85735,.T.); #204022=EDGE_CURVE('',#163952,#163953,#85736,.T.); #204023=EDGE_CURVE('',#163954,#163952,#85737,.T.); #204024=EDGE_CURVE('',#163955,#163953,#85738,.T.); #204025=EDGE_CURVE('',#163954,#163955,#85739,.T.); #204026=EDGE_CURVE('',#163956,#163954,#85740,.T.); #204027=EDGE_CURVE('',#163957,#163955,#85741,.T.); #204028=EDGE_CURVE('',#163956,#163957,#85742,.T.); #204029=EDGE_CURVE('',#163958,#163956,#85743,.T.); #204030=EDGE_CURVE('',#163959,#163957,#85744,.T.); #204031=EDGE_CURVE('',#163958,#163959,#85745,.T.); #204032=EDGE_CURVE('',#163960,#163958,#85746,.T.); #204033=EDGE_CURVE('',#163961,#163959,#85747,.T.); #204034=EDGE_CURVE('',#163960,#163961,#85748,.T.); #204035=EDGE_CURVE('',#163962,#163960,#85749,.T.); #204036=EDGE_CURVE('',#163963,#163961,#85750,.T.); #204037=EDGE_CURVE('',#163962,#163963,#85751,.T.); #204038=EDGE_CURVE('',#163964,#163962,#85752,.T.); #204039=EDGE_CURVE('',#163965,#163963,#85753,.T.); #204040=EDGE_CURVE('',#163964,#163965,#85754,.T.); #204041=EDGE_CURVE('',#163966,#163964,#85755,.T.); #204042=EDGE_CURVE('',#163967,#163965,#85756,.T.); #204043=EDGE_CURVE('',#163966,#163967,#85757,.T.); #204044=EDGE_CURVE('',#163968,#163966,#85758,.T.); #204045=EDGE_CURVE('',#163969,#163967,#85759,.T.); #204046=EDGE_CURVE('',#163968,#163969,#85760,.T.); #204047=EDGE_CURVE('',#163970,#163968,#85761,.T.); #204048=EDGE_CURVE('',#163971,#163969,#85762,.T.); #204049=EDGE_CURVE('',#163970,#163971,#85763,.T.); #204050=EDGE_CURVE('',#163972,#163970,#85764,.T.); #204051=EDGE_CURVE('',#163973,#163971,#85765,.T.); #204052=EDGE_CURVE('',#163972,#163973,#85766,.T.); #204053=EDGE_CURVE('',#163974,#163972,#85767,.T.); #204054=EDGE_CURVE('',#163975,#163973,#85768,.T.); #204055=EDGE_CURVE('',#163974,#163975,#85769,.T.); #204056=EDGE_CURVE('',#163976,#163974,#85770,.T.); #204057=EDGE_CURVE('',#163977,#163975,#85771,.T.); #204058=EDGE_CURVE('',#163976,#163977,#85772,.T.); #204059=EDGE_CURVE('',#163978,#163976,#85773,.T.); #204060=EDGE_CURVE('',#163979,#163977,#85774,.T.); #204061=EDGE_CURVE('',#163978,#163979,#85775,.T.); #204062=EDGE_CURVE('',#163980,#163978,#85776,.T.); #204063=EDGE_CURVE('',#163981,#163979,#85777,.T.); #204064=EDGE_CURVE('',#163980,#163981,#85778,.T.); #204065=EDGE_CURVE('',#163982,#163980,#85779,.T.); #204066=EDGE_CURVE('',#163983,#163981,#85780,.T.); #204067=EDGE_CURVE('',#163982,#163983,#85781,.T.); #204068=EDGE_CURVE('',#163984,#163982,#85782,.T.); #204069=EDGE_CURVE('',#163985,#163983,#85783,.T.); #204070=EDGE_CURVE('',#163984,#163985,#85784,.T.); #204071=EDGE_CURVE('',#163986,#163984,#85785,.T.); #204072=EDGE_CURVE('',#163987,#163985,#85786,.T.); #204073=EDGE_CURVE('',#163986,#163987,#85787,.T.); #204074=EDGE_CURVE('',#163988,#163986,#85788,.T.); #204075=EDGE_CURVE('',#163989,#163987,#85789,.T.); #204076=EDGE_CURVE('',#163988,#163989,#85790,.T.); #204077=EDGE_CURVE('',#163990,#163988,#85791,.T.); #204078=EDGE_CURVE('',#163991,#163989,#85792,.T.); #204079=EDGE_CURVE('',#163990,#163991,#85793,.T.); #204080=EDGE_CURVE('',#163992,#163990,#85794,.T.); #204081=EDGE_CURVE('',#163993,#163991,#85795,.T.); #204082=EDGE_CURVE('',#163992,#163993,#85796,.T.); #204083=EDGE_CURVE('',#163994,#163992,#85797,.T.); #204084=EDGE_CURVE('',#163995,#163993,#85798,.T.); #204085=EDGE_CURVE('',#163994,#163995,#85799,.T.); #204086=EDGE_CURVE('',#163996,#163994,#85800,.T.); #204087=EDGE_CURVE('',#163997,#163995,#85801,.T.); #204088=EDGE_CURVE('',#163996,#163997,#85802,.T.); #204089=EDGE_CURVE('',#163998,#163996,#85803,.T.); #204090=EDGE_CURVE('',#163999,#163997,#85804,.T.); #204091=EDGE_CURVE('',#163998,#163999,#85805,.T.); #204092=EDGE_CURVE('',#164000,#163998,#85806,.T.); #204093=EDGE_CURVE('',#164001,#163999,#85807,.T.); #204094=EDGE_CURVE('',#164000,#164001,#85808,.T.); #204095=EDGE_CURVE('',#164002,#164000,#85809,.T.); #204096=EDGE_CURVE('',#164003,#164001,#85810,.T.); #204097=EDGE_CURVE('',#164002,#164003,#85811,.T.); #204098=EDGE_CURVE('',#164004,#164002,#85812,.T.); #204099=EDGE_CURVE('',#164005,#164003,#85813,.T.); #204100=EDGE_CURVE('',#164004,#164005,#85814,.T.); #204101=EDGE_CURVE('',#164006,#164004,#85815,.T.); #204102=EDGE_CURVE('',#164007,#164005,#85816,.T.); #204103=EDGE_CURVE('',#164006,#164007,#85817,.T.); #204104=EDGE_CURVE('',#164008,#164006,#85818,.T.); #204105=EDGE_CURVE('',#164009,#164007,#85819,.T.); #204106=EDGE_CURVE('',#164008,#164009,#85820,.T.); #204107=EDGE_CURVE('',#164010,#164008,#85821,.T.); #204108=EDGE_CURVE('',#164011,#164009,#85822,.T.); #204109=EDGE_CURVE('',#164010,#164011,#85823,.T.); #204110=EDGE_CURVE('',#164012,#164010,#85824,.T.); #204111=EDGE_CURVE('',#164013,#164011,#85825,.T.); #204112=EDGE_CURVE('',#164012,#164013,#85826,.T.); #204113=EDGE_CURVE('',#164014,#164012,#85827,.T.); #204114=EDGE_CURVE('',#164015,#164013,#85828,.T.); #204115=EDGE_CURVE('',#164014,#164015,#85829,.T.); #204116=EDGE_CURVE('',#164016,#164014,#85830,.T.); #204117=EDGE_CURVE('',#164017,#164015,#85831,.T.); #204118=EDGE_CURVE('',#164016,#164017,#85832,.T.); #204119=EDGE_CURVE('',#164018,#164016,#85833,.T.); #204120=EDGE_CURVE('',#164019,#164017,#85834,.T.); #204121=EDGE_CURVE('',#164018,#164019,#85835,.T.); #204122=EDGE_CURVE('',#164020,#164018,#85836,.T.); #204123=EDGE_CURVE('',#164021,#164019,#85837,.T.); #204124=EDGE_CURVE('',#164020,#164021,#85838,.T.); #204125=EDGE_CURVE('',#164022,#164020,#85839,.T.); #204126=EDGE_CURVE('',#164023,#164021,#85840,.T.); #204127=EDGE_CURVE('',#164022,#164023,#85841,.T.); #204128=EDGE_CURVE('',#164024,#164022,#85842,.T.); #204129=EDGE_CURVE('',#164025,#164023,#85843,.T.); #204130=EDGE_CURVE('',#164024,#164025,#85844,.T.); #204131=EDGE_CURVE('',#164026,#164024,#85845,.T.); #204132=EDGE_CURVE('',#164027,#164025,#85846,.T.); #204133=EDGE_CURVE('',#164026,#164027,#85847,.T.); #204134=EDGE_CURVE('',#164028,#164026,#85848,.T.); #204135=EDGE_CURVE('',#164029,#164027,#85849,.T.); #204136=EDGE_CURVE('',#164028,#164029,#85850,.T.); #204137=EDGE_CURVE('',#164030,#164028,#85851,.T.); #204138=EDGE_CURVE('',#164031,#164029,#85852,.T.); #204139=EDGE_CURVE('',#164030,#164031,#85853,.T.); #204140=EDGE_CURVE('',#164032,#164030,#85854,.T.); #204141=EDGE_CURVE('',#164033,#164031,#85855,.T.); #204142=EDGE_CURVE('',#164032,#164033,#85856,.T.); #204143=EDGE_CURVE('',#164034,#164032,#85857,.T.); #204144=EDGE_CURVE('',#164035,#164033,#85858,.T.); #204145=EDGE_CURVE('',#164034,#164035,#85859,.T.); #204146=EDGE_CURVE('',#164036,#164034,#85860,.T.); #204147=EDGE_CURVE('',#164037,#164035,#85861,.T.); #204148=EDGE_CURVE('',#164036,#164037,#85862,.T.); #204149=EDGE_CURVE('',#164038,#164036,#85863,.T.); #204150=EDGE_CURVE('',#164039,#164037,#85864,.T.); #204151=EDGE_CURVE('',#164038,#164039,#85865,.T.); #204152=EDGE_CURVE('',#164040,#164038,#85866,.T.); #204153=EDGE_CURVE('',#164041,#164039,#85867,.T.); #204154=EDGE_CURVE('',#164040,#164041,#85868,.T.); #204155=EDGE_CURVE('',#164042,#164040,#85869,.T.); #204156=EDGE_CURVE('',#164043,#164041,#85870,.T.); #204157=EDGE_CURVE('',#164042,#164043,#85871,.T.); #204158=EDGE_CURVE('',#164044,#164042,#85872,.T.); #204159=EDGE_CURVE('',#164045,#164043,#85873,.T.); #204160=EDGE_CURVE('',#164044,#164045,#85874,.T.); #204161=EDGE_CURVE('',#164046,#164044,#85875,.T.); #204162=EDGE_CURVE('',#164047,#164045,#85876,.T.); #204163=EDGE_CURVE('',#164046,#164047,#85877,.T.); #204164=EDGE_CURVE('',#164048,#164046,#85878,.T.); #204165=EDGE_CURVE('',#164049,#164047,#85879,.T.); #204166=EDGE_CURVE('',#164048,#164049,#85880,.T.); #204167=EDGE_CURVE('',#164050,#164048,#85881,.T.); #204168=EDGE_CURVE('',#164051,#164049,#85882,.T.); #204169=EDGE_CURVE('',#164050,#164051,#85883,.T.); #204170=EDGE_CURVE('',#164052,#164050,#85884,.T.); #204171=EDGE_CURVE('',#164053,#164051,#85885,.T.); #204172=EDGE_CURVE('',#164052,#164053,#85886,.T.); #204173=EDGE_CURVE('',#164054,#164052,#85887,.T.); #204174=EDGE_CURVE('',#164055,#164053,#85888,.T.); #204175=EDGE_CURVE('',#164054,#164055,#85889,.T.); #204176=EDGE_CURVE('',#164056,#164054,#85890,.T.); #204177=EDGE_CURVE('',#164057,#164055,#85891,.T.); #204178=EDGE_CURVE('',#164056,#164057,#85892,.T.); #204179=EDGE_CURVE('',#163859,#164056,#85893,.T.); #204180=EDGE_CURVE('',#163860,#164057,#85894,.T.); #204181=EDGE_CURVE('',#164058,#164059,#85895,.T.); #204182=EDGE_CURVE('',#164059,#164060,#85896,.T.); #204183=EDGE_CURVE('',#164061,#164060,#85897,.T.); #204184=EDGE_CURVE('',#164058,#164061,#85898,.T.); #204185=EDGE_CURVE('',#164062,#164058,#85899,.T.); #204186=EDGE_CURVE('',#164063,#164061,#85900,.T.); #204187=EDGE_CURVE('',#164062,#164063,#85901,.T.); #204188=EDGE_CURVE('',#164064,#164062,#85902,.T.); #204189=EDGE_CURVE('',#164065,#164063,#85903,.T.); #204190=EDGE_CURVE('',#164064,#164065,#85904,.T.); #204191=EDGE_CURVE('',#164066,#164064,#85905,.T.); #204192=EDGE_CURVE('',#164067,#164065,#85906,.T.); #204193=EDGE_CURVE('',#164066,#164067,#85907,.T.); #204194=EDGE_CURVE('',#164068,#164066,#85908,.T.); #204195=EDGE_CURVE('',#164069,#164067,#85909,.T.); #204196=EDGE_CURVE('',#164068,#164069,#85910,.T.); #204197=EDGE_CURVE('',#164070,#164068,#85911,.T.); #204198=EDGE_CURVE('',#164071,#164069,#85912,.T.); #204199=EDGE_CURVE('',#164070,#164071,#85913,.T.); #204200=EDGE_CURVE('',#164072,#164070,#85914,.T.); #204201=EDGE_CURVE('',#164073,#164071,#85915,.T.); #204202=EDGE_CURVE('',#164072,#164073,#85916,.T.); #204203=EDGE_CURVE('',#164074,#164072,#85917,.T.); #204204=EDGE_CURVE('',#164075,#164073,#85918,.T.); #204205=EDGE_CURVE('',#164074,#164075,#85919,.T.); #204206=EDGE_CURVE('',#164076,#164074,#85920,.T.); #204207=EDGE_CURVE('',#164077,#164075,#85921,.T.); #204208=EDGE_CURVE('',#164076,#164077,#85922,.T.); #204209=EDGE_CURVE('',#164078,#164076,#85923,.T.); #204210=EDGE_CURVE('',#164079,#164077,#85924,.T.); #204211=EDGE_CURVE('',#164078,#164079,#85925,.T.); #204212=EDGE_CURVE('',#164080,#164078,#85926,.T.); #204213=EDGE_CURVE('',#164081,#164079,#85927,.T.); #204214=EDGE_CURVE('',#164080,#164081,#85928,.T.); #204215=EDGE_CURVE('',#164082,#164080,#85929,.T.); #204216=EDGE_CURVE('',#164083,#164081,#85930,.T.); #204217=EDGE_CURVE('',#164082,#164083,#85931,.T.); #204218=EDGE_CURVE('',#164084,#164082,#85932,.T.); #204219=EDGE_CURVE('',#164085,#164083,#85933,.T.); #204220=EDGE_CURVE('',#164084,#164085,#85934,.T.); #204221=EDGE_CURVE('',#164086,#164084,#85935,.T.); #204222=EDGE_CURVE('',#164087,#164085,#85936,.T.); #204223=EDGE_CURVE('',#164086,#164087,#85937,.T.); #204224=EDGE_CURVE('',#164088,#164086,#85938,.T.); #204225=EDGE_CURVE('',#164089,#164087,#85939,.T.); #204226=EDGE_CURVE('',#164088,#164089,#85940,.T.); #204227=EDGE_CURVE('',#164090,#164088,#85941,.T.); #204228=EDGE_CURVE('',#164091,#164089,#85942,.T.); #204229=EDGE_CURVE('',#164090,#164091,#85943,.T.); #204230=EDGE_CURVE('',#164092,#164090,#85944,.T.); #204231=EDGE_CURVE('',#164093,#164091,#85945,.T.); #204232=EDGE_CURVE('',#164092,#164093,#85946,.T.); #204233=EDGE_CURVE('',#164094,#164092,#85947,.T.); #204234=EDGE_CURVE('',#164095,#164093,#85948,.T.); #204235=EDGE_CURVE('',#164094,#164095,#85949,.T.); #204236=EDGE_CURVE('',#164096,#164094,#85950,.T.); #204237=EDGE_CURVE('',#164097,#164095,#85951,.T.); #204238=EDGE_CURVE('',#164096,#164097,#85952,.T.); #204239=EDGE_CURVE('',#164098,#164096,#85953,.T.); #204240=EDGE_CURVE('',#164099,#164097,#85954,.T.); #204241=EDGE_CURVE('',#164098,#164099,#85955,.T.); #204242=EDGE_CURVE('',#164100,#164098,#85956,.T.); #204243=EDGE_CURVE('',#164101,#164099,#85957,.T.); #204244=EDGE_CURVE('',#164100,#164101,#85958,.T.); #204245=EDGE_CURVE('',#164102,#164100,#85959,.T.); #204246=EDGE_CURVE('',#164103,#164101,#85960,.T.); #204247=EDGE_CURVE('',#164102,#164103,#85961,.T.); #204248=EDGE_CURVE('',#164104,#164102,#85962,.T.); #204249=EDGE_CURVE('',#164105,#164103,#85963,.T.); #204250=EDGE_CURVE('',#164104,#164105,#85964,.T.); #204251=EDGE_CURVE('',#164059,#164104,#85965,.T.); #204252=EDGE_CURVE('',#164060,#164105,#85966,.T.); #204253=EDGE_CURVE('',#164106,#164107,#85967,.T.); #204254=EDGE_CURVE('',#164107,#164108,#85968,.T.); #204255=EDGE_CURVE('',#164109,#164108,#85969,.T.); #204256=EDGE_CURVE('',#164106,#164109,#85970,.T.); #204257=EDGE_CURVE('',#164110,#164106,#85971,.T.); #204258=EDGE_CURVE('',#164111,#164109,#85972,.T.); #204259=EDGE_CURVE('',#164110,#164111,#85973,.T.); #204260=EDGE_CURVE('',#164112,#164110,#85974,.T.); #204261=EDGE_CURVE('',#164113,#164111,#85975,.T.); #204262=EDGE_CURVE('',#164112,#164113,#85976,.T.); #204263=EDGE_CURVE('',#164114,#164112,#85977,.T.); #204264=EDGE_CURVE('',#164115,#164113,#85978,.T.); #204265=EDGE_CURVE('',#164114,#164115,#85979,.T.); #204266=EDGE_CURVE('',#164116,#164114,#85980,.T.); #204267=EDGE_CURVE('',#164117,#164115,#85981,.T.); #204268=EDGE_CURVE('',#164116,#164117,#85982,.T.); #204269=EDGE_CURVE('',#164118,#164116,#85983,.T.); #204270=EDGE_CURVE('',#164119,#164117,#85984,.T.); #204271=EDGE_CURVE('',#164118,#164119,#85985,.T.); #204272=EDGE_CURVE('',#164120,#164118,#85986,.T.); #204273=EDGE_CURVE('',#164121,#164119,#85987,.T.); #204274=EDGE_CURVE('',#164120,#164121,#85988,.T.); #204275=EDGE_CURVE('',#164122,#164120,#85989,.T.); #204276=EDGE_CURVE('',#164123,#164121,#85990,.T.); #204277=EDGE_CURVE('',#164122,#164123,#85991,.T.); #204278=EDGE_CURVE('',#164124,#164122,#85992,.T.); #204279=EDGE_CURVE('',#164125,#164123,#85993,.T.); #204280=EDGE_CURVE('',#164124,#164125,#85994,.T.); #204281=EDGE_CURVE('',#164126,#164124,#85995,.T.); #204282=EDGE_CURVE('',#164127,#164125,#85996,.T.); #204283=EDGE_CURVE('',#164126,#164127,#85997,.T.); #204284=EDGE_CURVE('',#164128,#164126,#85998,.T.); #204285=EDGE_CURVE('',#164129,#164127,#85999,.T.); #204286=EDGE_CURVE('',#164128,#164129,#86000,.T.); #204287=EDGE_CURVE('',#164130,#164128,#86001,.T.); #204288=EDGE_CURVE('',#164131,#164129,#86002,.T.); #204289=EDGE_CURVE('',#164130,#164131,#86003,.T.); #204290=EDGE_CURVE('',#164132,#164130,#86004,.T.); #204291=EDGE_CURVE('',#164133,#164131,#86005,.T.); #204292=EDGE_CURVE('',#164132,#164133,#86006,.T.); #204293=EDGE_CURVE('',#164134,#164132,#86007,.T.); #204294=EDGE_CURVE('',#164135,#164133,#86008,.T.); #204295=EDGE_CURVE('',#164134,#164135,#86009,.T.); #204296=EDGE_CURVE('',#164136,#164134,#86010,.T.); #204297=EDGE_CURVE('',#164137,#164135,#86011,.T.); #204298=EDGE_CURVE('',#164136,#164137,#86012,.T.); #204299=EDGE_CURVE('',#164138,#164136,#86013,.T.); #204300=EDGE_CURVE('',#164139,#164137,#86014,.T.); #204301=EDGE_CURVE('',#164138,#164139,#86015,.T.); #204302=EDGE_CURVE('',#164140,#164138,#86016,.T.); #204303=EDGE_CURVE('',#164141,#164139,#86017,.T.); #204304=EDGE_CURVE('',#164140,#164141,#86018,.T.); #204305=EDGE_CURVE('',#164142,#164140,#86019,.T.); #204306=EDGE_CURVE('',#164143,#164141,#86020,.T.); #204307=EDGE_CURVE('',#164142,#164143,#86021,.T.); #204308=EDGE_CURVE('',#164144,#164142,#86022,.T.); #204309=EDGE_CURVE('',#164145,#164143,#86023,.T.); #204310=EDGE_CURVE('',#164144,#164145,#86024,.T.); #204311=EDGE_CURVE('',#164146,#164144,#86025,.T.); #204312=EDGE_CURVE('',#164147,#164145,#86026,.T.); #204313=EDGE_CURVE('',#164146,#164147,#86027,.T.); #204314=EDGE_CURVE('',#164148,#164146,#86028,.T.); #204315=EDGE_CURVE('',#164149,#164147,#86029,.T.); #204316=EDGE_CURVE('',#164148,#164149,#86030,.T.); #204317=EDGE_CURVE('',#164150,#164148,#86031,.T.); #204318=EDGE_CURVE('',#164151,#164149,#86032,.T.); #204319=EDGE_CURVE('',#164150,#164151,#86033,.T.); #204320=EDGE_CURVE('',#164152,#164150,#86034,.T.); #204321=EDGE_CURVE('',#164153,#164151,#86035,.T.); #204322=EDGE_CURVE('',#164152,#164153,#86036,.T.); #204323=EDGE_CURVE('',#164154,#164152,#86037,.T.); #204324=EDGE_CURVE('',#164155,#164153,#86038,.T.); #204325=EDGE_CURVE('',#164154,#164155,#86039,.T.); #204326=EDGE_CURVE('',#164156,#164154,#86040,.T.); #204327=EDGE_CURVE('',#164157,#164155,#86041,.T.); #204328=EDGE_CURVE('',#164156,#164157,#86042,.T.); #204329=EDGE_CURVE('',#164158,#164156,#86043,.T.); #204330=EDGE_CURVE('',#164159,#164157,#86044,.T.); #204331=EDGE_CURVE('',#164158,#164159,#86045,.T.); #204332=EDGE_CURVE('',#164160,#164158,#86046,.T.); #204333=EDGE_CURVE('',#164161,#164159,#86047,.T.); #204334=EDGE_CURVE('',#164160,#164161,#86048,.T.); #204335=EDGE_CURVE('',#164162,#164160,#86049,.T.); #204336=EDGE_CURVE('',#164163,#164161,#86050,.T.); #204337=EDGE_CURVE('',#164162,#164163,#86051,.T.); #204338=EDGE_CURVE('',#164164,#164162,#86052,.T.); #204339=EDGE_CURVE('',#164165,#164163,#86053,.T.); #204340=EDGE_CURVE('',#164164,#164165,#86054,.T.); #204341=EDGE_CURVE('',#164107,#164164,#86055,.T.); #204342=EDGE_CURVE('',#164108,#164165,#86056,.T.); #204343=EDGE_CURVE('',#164166,#164167,#86057,.T.); #204344=EDGE_CURVE('',#164167,#164168,#86058,.T.); #204345=EDGE_CURVE('',#164169,#164168,#86059,.T.); #204346=EDGE_CURVE('',#164166,#164169,#86060,.T.); #204347=EDGE_CURVE('',#164170,#164166,#86061,.T.); #204348=EDGE_CURVE('',#164171,#164169,#86062,.T.); #204349=EDGE_CURVE('',#164170,#164171,#86063,.T.); #204350=EDGE_CURVE('',#164172,#164170,#86064,.T.); #204351=EDGE_CURVE('',#164173,#164171,#86065,.T.); #204352=EDGE_CURVE('',#164172,#164173,#86066,.T.); #204353=EDGE_CURVE('',#164174,#164172,#86067,.T.); #204354=EDGE_CURVE('',#164175,#164173,#86068,.T.); #204355=EDGE_CURVE('',#164174,#164175,#86069,.T.); #204356=EDGE_CURVE('',#164176,#164174,#86070,.T.); #204357=EDGE_CURVE('',#164177,#164175,#86071,.T.); #204358=EDGE_CURVE('',#164176,#164177,#86072,.T.); #204359=EDGE_CURVE('',#164178,#164176,#86073,.T.); #204360=EDGE_CURVE('',#164179,#164177,#86074,.T.); #204361=EDGE_CURVE('',#164178,#164179,#86075,.T.); #204362=EDGE_CURVE('',#164180,#164178,#86076,.T.); #204363=EDGE_CURVE('',#164181,#164179,#86077,.T.); #204364=EDGE_CURVE('',#164180,#164181,#86078,.T.); #204365=EDGE_CURVE('',#164182,#164180,#86079,.T.); #204366=EDGE_CURVE('',#164183,#164181,#86080,.T.); #204367=EDGE_CURVE('',#164182,#164183,#86081,.T.); #204368=EDGE_CURVE('',#164184,#164182,#86082,.T.); #204369=EDGE_CURVE('',#164185,#164183,#86083,.T.); #204370=EDGE_CURVE('',#164184,#164185,#86084,.T.); #204371=EDGE_CURVE('',#164186,#164184,#86085,.T.); #204372=EDGE_CURVE('',#164187,#164185,#86086,.T.); #204373=EDGE_CURVE('',#164186,#164187,#86087,.T.); #204374=EDGE_CURVE('',#164188,#164186,#86088,.T.); #204375=EDGE_CURVE('',#164189,#164187,#86089,.T.); #204376=EDGE_CURVE('',#164188,#164189,#86090,.T.); #204377=EDGE_CURVE('',#164190,#164188,#86091,.T.); #204378=EDGE_CURVE('',#164191,#164189,#86092,.T.); #204379=EDGE_CURVE('',#164190,#164191,#86093,.T.); #204380=EDGE_CURVE('',#164192,#164190,#86094,.T.); #204381=EDGE_CURVE('',#164193,#164191,#86095,.T.); #204382=EDGE_CURVE('',#164192,#164193,#86096,.T.); #204383=EDGE_CURVE('',#164194,#164192,#86097,.T.); #204384=EDGE_CURVE('',#164195,#164193,#86098,.T.); #204385=EDGE_CURVE('',#164194,#164195,#86099,.T.); #204386=EDGE_CURVE('',#164196,#164194,#86100,.T.); #204387=EDGE_CURVE('',#164197,#164195,#86101,.T.); #204388=EDGE_CURVE('',#164196,#164197,#86102,.T.); #204389=EDGE_CURVE('',#164198,#164196,#86103,.T.); #204390=EDGE_CURVE('',#164199,#164197,#86104,.T.); #204391=EDGE_CURVE('',#164198,#164199,#86105,.T.); #204392=EDGE_CURVE('',#164200,#164198,#86106,.T.); #204393=EDGE_CURVE('',#164201,#164199,#86107,.T.); #204394=EDGE_CURVE('',#164200,#164201,#86108,.T.); #204395=EDGE_CURVE('',#164202,#164200,#86109,.T.); #204396=EDGE_CURVE('',#164203,#164201,#86110,.T.); #204397=EDGE_CURVE('',#164202,#164203,#86111,.T.); #204398=EDGE_CURVE('',#164204,#164202,#86112,.T.); #204399=EDGE_CURVE('',#164205,#164203,#86113,.T.); #204400=EDGE_CURVE('',#164204,#164205,#86114,.T.); #204401=EDGE_CURVE('',#164206,#164204,#86115,.T.); #204402=EDGE_CURVE('',#164207,#164205,#86116,.T.); #204403=EDGE_CURVE('',#164206,#164207,#86117,.T.); #204404=EDGE_CURVE('',#164208,#164206,#86118,.T.); #204405=EDGE_CURVE('',#164209,#164207,#86119,.T.); #204406=EDGE_CURVE('',#164208,#164209,#86120,.T.); #204407=EDGE_CURVE('',#164210,#164208,#86121,.T.); #204408=EDGE_CURVE('',#164211,#164209,#86122,.T.); #204409=EDGE_CURVE('',#164210,#164211,#86123,.T.); #204410=EDGE_CURVE('',#164212,#164210,#86124,.T.); #204411=EDGE_CURVE('',#164213,#164211,#86125,.T.); #204412=EDGE_CURVE('',#164212,#164213,#86126,.T.); #204413=EDGE_CURVE('',#164214,#164212,#86127,.T.); #204414=EDGE_CURVE('',#164215,#164213,#86128,.T.); #204415=EDGE_CURVE('',#164214,#164215,#86129,.T.); #204416=EDGE_CURVE('',#164216,#164214,#86130,.T.); #204417=EDGE_CURVE('',#164217,#164215,#86131,.T.); #204418=EDGE_CURVE('',#164216,#164217,#86132,.T.); #204419=EDGE_CURVE('',#164218,#164216,#86133,.T.); #204420=EDGE_CURVE('',#164219,#164217,#86134,.T.); #204421=EDGE_CURVE('',#164218,#164219,#86135,.T.); #204422=EDGE_CURVE('',#164220,#164218,#86136,.T.); #204423=EDGE_CURVE('',#164221,#164219,#86137,.T.); #204424=EDGE_CURVE('',#164220,#164221,#86138,.T.); #204425=EDGE_CURVE('',#164222,#164220,#86139,.T.); #204426=EDGE_CURVE('',#164223,#164221,#86140,.T.); #204427=EDGE_CURVE('',#164222,#164223,#86141,.T.); #204428=EDGE_CURVE('',#164224,#164222,#86142,.T.); #204429=EDGE_CURVE('',#164225,#164223,#86143,.T.); #204430=EDGE_CURVE('',#164224,#164225,#86144,.T.); #204431=EDGE_CURVE('',#164167,#164224,#86145,.T.); #204432=EDGE_CURVE('',#164168,#164225,#86146,.T.); #204433=EDGE_CURVE('',#164226,#164227,#86147,.T.); #204434=EDGE_CURVE('',#164227,#164228,#86148,.T.); #204435=EDGE_CURVE('',#164229,#164228,#86149,.T.); #204436=EDGE_CURVE('',#164226,#164229,#86150,.T.); #204437=EDGE_CURVE('',#164230,#164226,#86151,.T.); #204438=EDGE_CURVE('',#164231,#164229,#86152,.T.); #204439=EDGE_CURVE('',#164230,#164231,#86153,.T.); #204440=EDGE_CURVE('',#164232,#164230,#86154,.T.); #204441=EDGE_CURVE('',#164233,#164231,#86155,.T.); #204442=EDGE_CURVE('',#164232,#164233,#86156,.T.); #204443=EDGE_CURVE('',#164234,#164232,#86157,.T.); #204444=EDGE_CURVE('',#164235,#164233,#86158,.T.); #204445=EDGE_CURVE('',#164234,#164235,#86159,.T.); #204446=EDGE_CURVE('',#164236,#164234,#86160,.T.); #204447=EDGE_CURVE('',#164237,#164235,#86161,.T.); #204448=EDGE_CURVE('',#164236,#164237,#86162,.T.); #204449=EDGE_CURVE('',#164238,#164236,#86163,.T.); #204450=EDGE_CURVE('',#164239,#164237,#86164,.T.); #204451=EDGE_CURVE('',#164238,#164239,#86165,.T.); #204452=EDGE_CURVE('',#164240,#164238,#86166,.T.); #204453=EDGE_CURVE('',#164241,#164239,#86167,.T.); #204454=EDGE_CURVE('',#164240,#164241,#86168,.T.); #204455=EDGE_CURVE('',#164242,#164240,#86169,.T.); #204456=EDGE_CURVE('',#164243,#164241,#86170,.T.); #204457=EDGE_CURVE('',#164242,#164243,#86171,.T.); #204458=EDGE_CURVE('',#164244,#164242,#86172,.T.); #204459=EDGE_CURVE('',#164245,#164243,#86173,.T.); #204460=EDGE_CURVE('',#164244,#164245,#86174,.T.); #204461=EDGE_CURVE('',#164246,#164244,#86175,.T.); #204462=EDGE_CURVE('',#164247,#164245,#86176,.T.); #204463=EDGE_CURVE('',#164246,#164247,#86177,.T.); #204464=EDGE_CURVE('',#164248,#164246,#86178,.T.); #204465=EDGE_CURVE('',#164249,#164247,#86179,.T.); #204466=EDGE_CURVE('',#164248,#164249,#86180,.T.); #204467=EDGE_CURVE('',#164250,#164248,#86181,.T.); #204468=EDGE_CURVE('',#164251,#164249,#86182,.T.); #204469=EDGE_CURVE('',#164250,#164251,#86183,.T.); #204470=EDGE_CURVE('',#164252,#164250,#86184,.T.); #204471=EDGE_CURVE('',#164253,#164251,#86185,.T.); #204472=EDGE_CURVE('',#164252,#164253,#86186,.T.); #204473=EDGE_CURVE('',#164254,#164252,#86187,.T.); #204474=EDGE_CURVE('',#164255,#164253,#86188,.T.); #204475=EDGE_CURVE('',#164254,#164255,#86189,.T.); #204476=EDGE_CURVE('',#164256,#164254,#86190,.T.); #204477=EDGE_CURVE('',#164257,#164255,#86191,.T.); #204478=EDGE_CURVE('',#164256,#164257,#86192,.T.); #204479=EDGE_CURVE('',#164258,#164256,#86193,.T.); #204480=EDGE_CURVE('',#164259,#164257,#86194,.T.); #204481=EDGE_CURVE('',#164258,#164259,#86195,.T.); #204482=EDGE_CURVE('',#164260,#164258,#86196,.T.); #204483=EDGE_CURVE('',#164261,#164259,#86197,.T.); #204484=EDGE_CURVE('',#164260,#164261,#86198,.T.); #204485=EDGE_CURVE('',#164262,#164260,#86199,.T.); #204486=EDGE_CURVE('',#164263,#164261,#86200,.T.); #204487=EDGE_CURVE('',#164262,#164263,#86201,.T.); #204488=EDGE_CURVE('',#164264,#164262,#86202,.T.); #204489=EDGE_CURVE('',#164265,#164263,#86203,.T.); #204490=EDGE_CURVE('',#164264,#164265,#86204,.T.); #204491=EDGE_CURVE('',#164266,#164264,#86205,.T.); #204492=EDGE_CURVE('',#164267,#164265,#86206,.T.); #204493=EDGE_CURVE('',#164266,#164267,#86207,.T.); #204494=EDGE_CURVE('',#164268,#164266,#86208,.T.); #204495=EDGE_CURVE('',#164269,#164267,#86209,.T.); #204496=EDGE_CURVE('',#164268,#164269,#86210,.T.); #204497=EDGE_CURVE('',#164270,#164268,#86211,.T.); #204498=EDGE_CURVE('',#164271,#164269,#86212,.T.); #204499=EDGE_CURVE('',#164270,#164271,#86213,.T.); #204500=EDGE_CURVE('',#164272,#164270,#86214,.T.); #204501=EDGE_CURVE('',#164273,#164271,#86215,.T.); #204502=EDGE_CURVE('',#164272,#164273,#86216,.T.); #204503=EDGE_CURVE('',#164274,#164272,#86217,.T.); #204504=EDGE_CURVE('',#164275,#164273,#86218,.T.); #204505=EDGE_CURVE('',#164274,#164275,#86219,.T.); #204506=EDGE_CURVE('',#164276,#164274,#86220,.T.); #204507=EDGE_CURVE('',#164277,#164275,#86221,.T.); #204508=EDGE_CURVE('',#164276,#164277,#86222,.T.); #204509=EDGE_CURVE('',#164278,#164276,#86223,.T.); #204510=EDGE_CURVE('',#164279,#164277,#86224,.T.); #204511=EDGE_CURVE('',#164278,#164279,#86225,.T.); #204512=EDGE_CURVE('',#164280,#164278,#86226,.T.); #204513=EDGE_CURVE('',#164281,#164279,#86227,.T.); #204514=EDGE_CURVE('',#164280,#164281,#86228,.T.); #204515=EDGE_CURVE('',#164282,#164280,#86229,.T.); #204516=EDGE_CURVE('',#164283,#164281,#86230,.T.); #204517=EDGE_CURVE('',#164282,#164283,#86231,.T.); #204518=EDGE_CURVE('',#164284,#164282,#86232,.T.); #204519=EDGE_CURVE('',#164285,#164283,#86233,.T.); #204520=EDGE_CURVE('',#164284,#164285,#86234,.T.); #204521=EDGE_CURVE('',#164227,#164284,#86235,.T.); #204522=EDGE_CURVE('',#164228,#164285,#86236,.T.); #204523=EDGE_CURVE('',#164286,#164287,#86237,.T.); #204524=EDGE_CURVE('',#164287,#164288,#86238,.T.); #204525=EDGE_CURVE('',#164289,#164288,#86239,.T.); #204526=EDGE_CURVE('',#164286,#164289,#86240,.T.); #204527=EDGE_CURVE('',#164290,#164286,#86241,.T.); #204528=EDGE_CURVE('',#164291,#164289,#86242,.T.); #204529=EDGE_CURVE('',#164290,#164291,#86243,.T.); #204530=EDGE_CURVE('',#164292,#164290,#86244,.T.); #204531=EDGE_CURVE('',#164293,#164291,#86245,.T.); #204532=EDGE_CURVE('',#164292,#164293,#86246,.T.); #204533=EDGE_CURVE('',#164294,#164292,#86247,.T.); #204534=EDGE_CURVE('',#164295,#164293,#86248,.T.); #204535=EDGE_CURVE('',#164294,#164295,#86249,.T.); #204536=EDGE_CURVE('',#164296,#164294,#86250,.T.); #204537=EDGE_CURVE('',#164297,#164295,#86251,.T.); #204538=EDGE_CURVE('',#164296,#164297,#86252,.T.); #204539=EDGE_CURVE('',#164298,#164296,#86253,.T.); #204540=EDGE_CURVE('',#164299,#164297,#86254,.T.); #204541=EDGE_CURVE('',#164298,#164299,#86255,.T.); #204542=EDGE_CURVE('',#164300,#164298,#86256,.T.); #204543=EDGE_CURVE('',#164301,#164299,#86257,.T.); #204544=EDGE_CURVE('',#164300,#164301,#86258,.T.); #204545=EDGE_CURVE('',#164302,#164300,#86259,.T.); #204546=EDGE_CURVE('',#164303,#164301,#86260,.T.); #204547=EDGE_CURVE('',#164302,#164303,#86261,.T.); #204548=EDGE_CURVE('',#164304,#164302,#86262,.T.); #204549=EDGE_CURVE('',#164305,#164303,#86263,.T.); #204550=EDGE_CURVE('',#164304,#164305,#86264,.T.); #204551=EDGE_CURVE('',#164306,#164304,#86265,.T.); #204552=EDGE_CURVE('',#164307,#164305,#86266,.T.); #204553=EDGE_CURVE('',#164306,#164307,#86267,.T.); #204554=EDGE_CURVE('',#164308,#164306,#86268,.T.); #204555=EDGE_CURVE('',#164309,#164307,#86269,.T.); #204556=EDGE_CURVE('',#164308,#164309,#86270,.T.); #204557=EDGE_CURVE('',#164310,#164308,#86271,.T.); #204558=EDGE_CURVE('',#164311,#164309,#86272,.T.); #204559=EDGE_CURVE('',#164310,#164311,#86273,.T.); #204560=EDGE_CURVE('',#164312,#164310,#86274,.T.); #204561=EDGE_CURVE('',#164313,#164311,#86275,.T.); #204562=EDGE_CURVE('',#164312,#164313,#86276,.T.); #204563=EDGE_CURVE('',#164314,#164312,#86277,.T.); #204564=EDGE_CURVE('',#164315,#164313,#86278,.T.); #204565=EDGE_CURVE('',#164314,#164315,#86279,.T.); #204566=EDGE_CURVE('',#164316,#164314,#86280,.T.); #204567=EDGE_CURVE('',#164317,#164315,#86281,.T.); #204568=EDGE_CURVE('',#164316,#164317,#86282,.T.); #204569=EDGE_CURVE('',#164318,#164316,#86283,.T.); #204570=EDGE_CURVE('',#164319,#164317,#86284,.T.); #204571=EDGE_CURVE('',#164318,#164319,#86285,.T.); #204572=EDGE_CURVE('',#164320,#164318,#86286,.T.); #204573=EDGE_CURVE('',#164321,#164319,#86287,.T.); #204574=EDGE_CURVE('',#164320,#164321,#86288,.T.); #204575=EDGE_CURVE('',#164322,#164320,#86289,.T.); #204576=EDGE_CURVE('',#164323,#164321,#86290,.T.); #204577=EDGE_CURVE('',#164322,#164323,#86291,.T.); #204578=EDGE_CURVE('',#164324,#164322,#86292,.T.); #204579=EDGE_CURVE('',#164325,#164323,#86293,.T.); #204580=EDGE_CURVE('',#164324,#164325,#86294,.T.); #204581=EDGE_CURVE('',#164326,#164324,#86295,.T.); #204582=EDGE_CURVE('',#164327,#164325,#86296,.T.); #204583=EDGE_CURVE('',#164326,#164327,#86297,.T.); #204584=EDGE_CURVE('',#164328,#164326,#86298,.T.); #204585=EDGE_CURVE('',#164329,#164327,#86299,.T.); #204586=EDGE_CURVE('',#164328,#164329,#86300,.T.); #204587=EDGE_CURVE('',#164330,#164328,#86301,.T.); #204588=EDGE_CURVE('',#164331,#164329,#86302,.T.); #204589=EDGE_CURVE('',#164330,#164331,#86303,.T.); #204590=EDGE_CURVE('',#164332,#164330,#86304,.T.); #204591=EDGE_CURVE('',#164333,#164331,#86305,.T.); #204592=EDGE_CURVE('',#164332,#164333,#86306,.T.); #204593=EDGE_CURVE('',#164334,#164332,#86307,.T.); #204594=EDGE_CURVE('',#164335,#164333,#86308,.T.); #204595=EDGE_CURVE('',#164334,#164335,#86309,.T.); #204596=EDGE_CURVE('',#164336,#164334,#86310,.T.); #204597=EDGE_CURVE('',#164337,#164335,#86311,.T.); #204598=EDGE_CURVE('',#164336,#164337,#86312,.T.); #204599=EDGE_CURVE('',#164338,#164336,#86313,.T.); #204600=EDGE_CURVE('',#164339,#164337,#86314,.T.); #204601=EDGE_CURVE('',#164338,#164339,#86315,.T.); #204602=EDGE_CURVE('',#164340,#164338,#86316,.T.); #204603=EDGE_CURVE('',#164341,#164339,#86317,.T.); #204604=EDGE_CURVE('',#164340,#164341,#86318,.T.); #204605=EDGE_CURVE('',#164342,#164340,#86319,.T.); #204606=EDGE_CURVE('',#164343,#164341,#86320,.T.); #204607=EDGE_CURVE('',#164342,#164343,#86321,.T.); #204608=EDGE_CURVE('',#164344,#164342,#86322,.T.); #204609=EDGE_CURVE('',#164345,#164343,#86323,.T.); #204610=EDGE_CURVE('',#164344,#164345,#86324,.T.); #204611=EDGE_CURVE('',#164287,#164344,#86325,.T.); #204612=EDGE_CURVE('',#164288,#164345,#86326,.T.); #204613=EDGE_CURVE('',#164346,#164347,#86327,.T.); #204614=EDGE_CURVE('',#164347,#164348,#86328,.T.); #204615=EDGE_CURVE('',#164349,#164348,#86329,.T.); #204616=EDGE_CURVE('',#164346,#164349,#86330,.T.); #204617=EDGE_CURVE('',#164350,#164346,#86331,.T.); #204618=EDGE_CURVE('',#164351,#164349,#86332,.T.); #204619=EDGE_CURVE('',#164350,#164351,#86333,.T.); #204620=EDGE_CURVE('',#164352,#164350,#86334,.T.); #204621=EDGE_CURVE('',#164353,#164351,#86335,.T.); #204622=EDGE_CURVE('',#164352,#164353,#86336,.T.); #204623=EDGE_CURVE('',#164354,#164352,#86337,.T.); #204624=EDGE_CURVE('',#164355,#164353,#86338,.T.); #204625=EDGE_CURVE('',#164354,#164355,#86339,.T.); #204626=EDGE_CURVE('',#164356,#164354,#86340,.T.); #204627=EDGE_CURVE('',#164357,#164355,#86341,.T.); #204628=EDGE_CURVE('',#164356,#164357,#86342,.T.); #204629=EDGE_CURVE('',#164358,#164356,#86343,.T.); #204630=EDGE_CURVE('',#164359,#164357,#86344,.T.); #204631=EDGE_CURVE('',#164358,#164359,#86345,.T.); #204632=EDGE_CURVE('',#164360,#164358,#86346,.T.); #204633=EDGE_CURVE('',#164361,#164359,#86347,.T.); #204634=EDGE_CURVE('',#164360,#164361,#86348,.T.); #204635=EDGE_CURVE('',#164362,#164360,#86349,.T.); #204636=EDGE_CURVE('',#164363,#164361,#86350,.T.); #204637=EDGE_CURVE('',#164362,#164363,#86351,.T.); #204638=EDGE_CURVE('',#164364,#164362,#86352,.T.); #204639=EDGE_CURVE('',#164365,#164363,#86353,.T.); #204640=EDGE_CURVE('',#164364,#164365,#86354,.T.); #204641=EDGE_CURVE('',#164366,#164364,#86355,.T.); #204642=EDGE_CURVE('',#164367,#164365,#86356,.T.); #204643=EDGE_CURVE('',#164366,#164367,#86357,.T.); #204644=EDGE_CURVE('',#164368,#164366,#86358,.T.); #204645=EDGE_CURVE('',#164369,#164367,#86359,.T.); #204646=EDGE_CURVE('',#164368,#164369,#86360,.T.); #204647=EDGE_CURVE('',#164370,#164368,#86361,.T.); #204648=EDGE_CURVE('',#164371,#164369,#86362,.T.); #204649=EDGE_CURVE('',#164370,#164371,#86363,.T.); #204650=EDGE_CURVE('',#164372,#164370,#86364,.T.); #204651=EDGE_CURVE('',#164373,#164371,#86365,.T.); #204652=EDGE_CURVE('',#164372,#164373,#86366,.T.); #204653=EDGE_CURVE('',#164374,#164372,#86367,.T.); #204654=EDGE_CURVE('',#164375,#164373,#86368,.T.); #204655=EDGE_CURVE('',#164374,#164375,#86369,.T.); #204656=EDGE_CURVE('',#164376,#164374,#86370,.T.); #204657=EDGE_CURVE('',#164377,#164375,#86371,.T.); #204658=EDGE_CURVE('',#164376,#164377,#86372,.T.); #204659=EDGE_CURVE('',#164378,#164376,#86373,.T.); #204660=EDGE_CURVE('',#164379,#164377,#86374,.T.); #204661=EDGE_CURVE('',#164378,#164379,#86375,.T.); #204662=EDGE_CURVE('',#164380,#164378,#86376,.T.); #204663=EDGE_CURVE('',#164381,#164379,#86377,.T.); #204664=EDGE_CURVE('',#164380,#164381,#86378,.T.); #204665=EDGE_CURVE('',#164382,#164380,#86379,.T.); #204666=EDGE_CURVE('',#164383,#164381,#86380,.T.); #204667=EDGE_CURVE('',#164382,#164383,#86381,.T.); #204668=EDGE_CURVE('',#164384,#164382,#86382,.T.); #204669=EDGE_CURVE('',#164385,#164383,#86383,.T.); #204670=EDGE_CURVE('',#164384,#164385,#86384,.T.); #204671=EDGE_CURVE('',#164386,#164384,#86385,.T.); #204672=EDGE_CURVE('',#164387,#164385,#86386,.T.); #204673=EDGE_CURVE('',#164386,#164387,#86387,.T.); #204674=EDGE_CURVE('',#164388,#164386,#86388,.T.); #204675=EDGE_CURVE('',#164389,#164387,#86389,.T.); #204676=EDGE_CURVE('',#164388,#164389,#86390,.T.); #204677=EDGE_CURVE('',#164390,#164388,#86391,.T.); #204678=EDGE_CURVE('',#164391,#164389,#86392,.T.); #204679=EDGE_CURVE('',#164390,#164391,#86393,.T.); #204680=EDGE_CURVE('',#164392,#164390,#86394,.T.); #204681=EDGE_CURVE('',#164393,#164391,#86395,.T.); #204682=EDGE_CURVE('',#164392,#164393,#86396,.T.); #204683=EDGE_CURVE('',#164394,#164392,#86397,.T.); #204684=EDGE_CURVE('',#164395,#164393,#86398,.T.); #204685=EDGE_CURVE('',#164394,#164395,#86399,.T.); #204686=EDGE_CURVE('',#164396,#164394,#86400,.T.); #204687=EDGE_CURVE('',#164397,#164395,#86401,.T.); #204688=EDGE_CURVE('',#164396,#164397,#86402,.T.); #204689=EDGE_CURVE('',#164398,#164396,#86403,.T.); #204690=EDGE_CURVE('',#164399,#164397,#86404,.T.); #204691=EDGE_CURVE('',#164398,#164399,#86405,.T.); #204692=EDGE_CURVE('',#164400,#164398,#86406,.T.); #204693=EDGE_CURVE('',#164401,#164399,#86407,.T.); #204694=EDGE_CURVE('',#164400,#164401,#86408,.T.); #204695=EDGE_CURVE('',#164402,#164400,#86409,.T.); #204696=EDGE_CURVE('',#164403,#164401,#86410,.T.); #204697=EDGE_CURVE('',#164402,#164403,#86411,.T.); #204698=EDGE_CURVE('',#164404,#164402,#86412,.T.); #204699=EDGE_CURVE('',#164405,#164403,#86413,.T.); #204700=EDGE_CURVE('',#164404,#164405,#86414,.T.); #204701=EDGE_CURVE('',#164406,#164404,#86415,.T.); #204702=EDGE_CURVE('',#164407,#164405,#86416,.T.); #204703=EDGE_CURVE('',#164406,#164407,#86417,.T.); #204704=EDGE_CURVE('',#164408,#164406,#86418,.T.); #204705=EDGE_CURVE('',#164409,#164407,#86419,.T.); #204706=EDGE_CURVE('',#164408,#164409,#86420,.T.); #204707=EDGE_CURVE('',#164410,#164408,#86421,.T.); #204708=EDGE_CURVE('',#164411,#164409,#86422,.T.); #204709=EDGE_CURVE('',#164410,#164411,#86423,.T.); #204710=EDGE_CURVE('',#164412,#164410,#86424,.T.); #204711=EDGE_CURVE('',#164413,#164411,#86425,.T.); #204712=EDGE_CURVE('',#164412,#164413,#86426,.T.); #204713=EDGE_CURVE('',#164414,#164412,#86427,.T.); #204714=EDGE_CURVE('',#164415,#164413,#86428,.T.); #204715=EDGE_CURVE('',#164414,#164415,#86429,.T.); #204716=EDGE_CURVE('',#164416,#164414,#86430,.T.); #204717=EDGE_CURVE('',#164417,#164415,#86431,.T.); #204718=EDGE_CURVE('',#164416,#164417,#86432,.T.); #204719=EDGE_CURVE('',#164418,#164416,#86433,.T.); #204720=EDGE_CURVE('',#164419,#164417,#86434,.T.); #204721=EDGE_CURVE('',#164418,#164419,#86435,.T.); #204722=EDGE_CURVE('',#164420,#164418,#86436,.T.); #204723=EDGE_CURVE('',#164421,#164419,#86437,.T.); #204724=EDGE_CURVE('',#164420,#164421,#86438,.T.); #204725=EDGE_CURVE('',#164422,#164420,#86439,.T.); #204726=EDGE_CURVE('',#164423,#164421,#86440,.T.); #204727=EDGE_CURVE('',#164422,#164423,#86441,.T.); #204728=EDGE_CURVE('',#164424,#164422,#86442,.T.); #204729=EDGE_CURVE('',#164425,#164423,#86443,.T.); #204730=EDGE_CURVE('',#164424,#164425,#86444,.T.); #204731=EDGE_CURVE('',#164426,#164424,#86445,.T.); #204732=EDGE_CURVE('',#164427,#164425,#86446,.T.); #204733=EDGE_CURVE('',#164426,#164427,#86447,.T.); #204734=EDGE_CURVE('',#164428,#164426,#86448,.T.); #204735=EDGE_CURVE('',#164429,#164427,#86449,.T.); #204736=EDGE_CURVE('',#164428,#164429,#86450,.T.); #204737=EDGE_CURVE('',#164430,#164428,#86451,.T.); #204738=EDGE_CURVE('',#164431,#164429,#86452,.T.); #204739=EDGE_CURVE('',#164430,#164431,#86453,.T.); #204740=EDGE_CURVE('',#164432,#164430,#86454,.T.); #204741=EDGE_CURVE('',#164433,#164431,#86455,.T.); #204742=EDGE_CURVE('',#164432,#164433,#86456,.T.); #204743=EDGE_CURVE('',#164434,#164432,#86457,.T.); #204744=EDGE_CURVE('',#164435,#164433,#86458,.T.); #204745=EDGE_CURVE('',#164434,#164435,#86459,.T.); #204746=EDGE_CURVE('',#164436,#164434,#86460,.T.); #204747=EDGE_CURVE('',#164437,#164435,#86461,.T.); #204748=EDGE_CURVE('',#164436,#164437,#86462,.T.); #204749=EDGE_CURVE('',#164438,#164436,#86463,.T.); #204750=EDGE_CURVE('',#164439,#164437,#86464,.T.); #204751=EDGE_CURVE('',#164438,#164439,#86465,.T.); #204752=EDGE_CURVE('',#164440,#164438,#86466,.T.); #204753=EDGE_CURVE('',#164441,#164439,#86467,.T.); #204754=EDGE_CURVE('',#164440,#164441,#86468,.T.); #204755=EDGE_CURVE('',#164442,#164440,#86469,.T.); #204756=EDGE_CURVE('',#164443,#164441,#86470,.T.); #204757=EDGE_CURVE('',#164442,#164443,#86471,.T.); #204758=EDGE_CURVE('',#164444,#164442,#86472,.T.); #204759=EDGE_CURVE('',#164445,#164443,#86473,.T.); #204760=EDGE_CURVE('',#164444,#164445,#86474,.T.); #204761=EDGE_CURVE('',#164446,#164444,#86475,.T.); #204762=EDGE_CURVE('',#164447,#164445,#86476,.T.); #204763=EDGE_CURVE('',#164446,#164447,#86477,.T.); #204764=EDGE_CURVE('',#164448,#164446,#86478,.T.); #204765=EDGE_CURVE('',#164449,#164447,#86479,.T.); #204766=EDGE_CURVE('',#164448,#164449,#86480,.T.); #204767=EDGE_CURVE('',#164450,#164448,#86481,.T.); #204768=EDGE_CURVE('',#164451,#164449,#86482,.T.); #204769=EDGE_CURVE('',#164450,#164451,#86483,.T.); #204770=EDGE_CURVE('',#164452,#164450,#86484,.T.); #204771=EDGE_CURVE('',#164453,#164451,#86485,.T.); #204772=EDGE_CURVE('',#164452,#164453,#86486,.T.); #204773=EDGE_CURVE('',#164454,#164452,#86487,.T.); #204774=EDGE_CURVE('',#164455,#164453,#86488,.T.); #204775=EDGE_CURVE('',#164454,#164455,#86489,.T.); #204776=EDGE_CURVE('',#164456,#164454,#86490,.T.); #204777=EDGE_CURVE('',#164457,#164455,#86491,.T.); #204778=EDGE_CURVE('',#164456,#164457,#86492,.T.); #204779=EDGE_CURVE('',#164458,#164456,#86493,.T.); #204780=EDGE_CURVE('',#164459,#164457,#86494,.T.); #204781=EDGE_CURVE('',#164458,#164459,#86495,.T.); #204782=EDGE_CURVE('',#164460,#164458,#86496,.T.); #204783=EDGE_CURVE('',#164461,#164459,#86497,.T.); #204784=EDGE_CURVE('',#164460,#164461,#86498,.T.); #204785=EDGE_CURVE('',#164462,#164460,#86499,.T.); #204786=EDGE_CURVE('',#164463,#164461,#86500,.T.); #204787=EDGE_CURVE('',#164462,#164463,#86501,.T.); #204788=EDGE_CURVE('',#164464,#164462,#86502,.T.); #204789=EDGE_CURVE('',#164465,#164463,#86503,.T.); #204790=EDGE_CURVE('',#164464,#164465,#86504,.T.); #204791=EDGE_CURVE('',#164466,#164464,#86505,.T.); #204792=EDGE_CURVE('',#164467,#164465,#86506,.T.); #204793=EDGE_CURVE('',#164466,#164467,#86507,.T.); #204794=EDGE_CURVE('',#164468,#164466,#86508,.T.); #204795=EDGE_CURVE('',#164469,#164467,#86509,.T.); #204796=EDGE_CURVE('',#164468,#164469,#86510,.T.); #204797=EDGE_CURVE('',#164470,#164468,#86511,.T.); #204798=EDGE_CURVE('',#164471,#164469,#86512,.T.); #204799=EDGE_CURVE('',#164470,#164471,#86513,.T.); #204800=EDGE_CURVE('',#164472,#164470,#86514,.T.); #204801=EDGE_CURVE('',#164473,#164471,#86515,.T.); #204802=EDGE_CURVE('',#164472,#164473,#86516,.T.); #204803=EDGE_CURVE('',#164474,#164472,#86517,.T.); #204804=EDGE_CURVE('',#164475,#164473,#86518,.T.); #204805=EDGE_CURVE('',#164474,#164475,#86519,.T.); #204806=EDGE_CURVE('',#164476,#164474,#86520,.T.); #204807=EDGE_CURVE('',#164477,#164475,#86521,.T.); #204808=EDGE_CURVE('',#164476,#164477,#86522,.T.); #204809=EDGE_CURVE('',#164478,#164476,#86523,.T.); #204810=EDGE_CURVE('',#164479,#164477,#86524,.T.); #204811=EDGE_CURVE('',#164478,#164479,#86525,.T.); #204812=EDGE_CURVE('',#164480,#164478,#86526,.T.); #204813=EDGE_CURVE('',#164481,#164479,#86527,.T.); #204814=EDGE_CURVE('',#164480,#164481,#86528,.T.); #204815=EDGE_CURVE('',#164482,#164480,#86529,.T.); #204816=EDGE_CURVE('',#164483,#164481,#86530,.T.); #204817=EDGE_CURVE('',#164482,#164483,#86531,.T.); #204818=EDGE_CURVE('',#164484,#164482,#86532,.T.); #204819=EDGE_CURVE('',#164485,#164483,#86533,.T.); #204820=EDGE_CURVE('',#164484,#164485,#86534,.T.); #204821=EDGE_CURVE('',#164486,#164484,#86535,.T.); #204822=EDGE_CURVE('',#164487,#164485,#86536,.T.); #204823=EDGE_CURVE('',#164486,#164487,#86537,.T.); #204824=EDGE_CURVE('',#164488,#164486,#86538,.T.); #204825=EDGE_CURVE('',#164489,#164487,#86539,.T.); #204826=EDGE_CURVE('',#164488,#164489,#86540,.T.); #204827=EDGE_CURVE('',#164490,#164488,#86541,.T.); #204828=EDGE_CURVE('',#164491,#164489,#86542,.T.); #204829=EDGE_CURVE('',#164490,#164491,#86543,.T.); #204830=EDGE_CURVE('',#164492,#164490,#86544,.T.); #204831=EDGE_CURVE('',#164493,#164491,#86545,.T.); #204832=EDGE_CURVE('',#164492,#164493,#86546,.T.); #204833=EDGE_CURVE('',#164494,#164492,#86547,.T.); #204834=EDGE_CURVE('',#164495,#164493,#86548,.T.); #204835=EDGE_CURVE('',#164494,#164495,#86549,.T.); #204836=EDGE_CURVE('',#164496,#164494,#86550,.T.); #204837=EDGE_CURVE('',#164497,#164495,#86551,.T.); #204838=EDGE_CURVE('',#164496,#164497,#86552,.T.); #204839=EDGE_CURVE('',#164498,#164496,#86553,.T.); #204840=EDGE_CURVE('',#164499,#164497,#86554,.T.); #204841=EDGE_CURVE('',#164498,#164499,#86555,.T.); #204842=EDGE_CURVE('',#164500,#164498,#86556,.T.); #204843=EDGE_CURVE('',#164501,#164499,#86557,.T.); #204844=EDGE_CURVE('',#164500,#164501,#86558,.T.); #204845=EDGE_CURVE('',#164502,#164500,#86559,.T.); #204846=EDGE_CURVE('',#164503,#164501,#86560,.T.); #204847=EDGE_CURVE('',#164502,#164503,#86561,.T.); #204848=EDGE_CURVE('',#164504,#164502,#86562,.T.); #204849=EDGE_CURVE('',#164505,#164503,#86563,.T.); #204850=EDGE_CURVE('',#164504,#164505,#86564,.T.); #204851=EDGE_CURVE('',#164506,#164504,#86565,.T.); #204852=EDGE_CURVE('',#164507,#164505,#86566,.T.); #204853=EDGE_CURVE('',#164506,#164507,#86567,.T.); #204854=EDGE_CURVE('',#164508,#164506,#86568,.T.); #204855=EDGE_CURVE('',#164509,#164507,#86569,.T.); #204856=EDGE_CURVE('',#164508,#164509,#86570,.T.); #204857=EDGE_CURVE('',#164510,#164508,#86571,.T.); #204858=EDGE_CURVE('',#164511,#164509,#86572,.T.); #204859=EDGE_CURVE('',#164510,#164511,#86573,.T.); #204860=EDGE_CURVE('',#164512,#164510,#86574,.T.); #204861=EDGE_CURVE('',#164513,#164511,#86575,.T.); #204862=EDGE_CURVE('',#164512,#164513,#86576,.T.); #204863=EDGE_CURVE('',#164514,#164512,#86577,.T.); #204864=EDGE_CURVE('',#164515,#164513,#86578,.T.); #204865=EDGE_CURVE('',#164514,#164515,#86579,.T.); #204866=EDGE_CURVE('',#164516,#164514,#86580,.T.); #204867=EDGE_CURVE('',#164517,#164515,#86581,.T.); #204868=EDGE_CURVE('',#164516,#164517,#86582,.T.); #204869=EDGE_CURVE('',#164518,#164516,#86583,.T.); #204870=EDGE_CURVE('',#164519,#164517,#86584,.T.); #204871=EDGE_CURVE('',#164518,#164519,#86585,.T.); #204872=EDGE_CURVE('',#164520,#164518,#86586,.T.); #204873=EDGE_CURVE('',#164521,#164519,#86587,.T.); #204874=EDGE_CURVE('',#164520,#164521,#86588,.T.); #204875=EDGE_CURVE('',#164522,#164520,#86589,.T.); #204876=EDGE_CURVE('',#164523,#164521,#86590,.T.); #204877=EDGE_CURVE('',#164522,#164523,#86591,.T.); #204878=EDGE_CURVE('',#164524,#164522,#86592,.T.); #204879=EDGE_CURVE('',#164525,#164523,#86593,.T.); #204880=EDGE_CURVE('',#164524,#164525,#86594,.T.); #204881=EDGE_CURVE('',#164526,#164524,#86595,.T.); #204882=EDGE_CURVE('',#164527,#164525,#86596,.T.); #204883=EDGE_CURVE('',#164526,#164527,#86597,.T.); #204884=EDGE_CURVE('',#164528,#164526,#86598,.T.); #204885=EDGE_CURVE('',#164529,#164527,#86599,.T.); #204886=EDGE_CURVE('',#164528,#164529,#86600,.T.); #204887=EDGE_CURVE('',#164530,#164528,#86601,.T.); #204888=EDGE_CURVE('',#164531,#164529,#86602,.T.); #204889=EDGE_CURVE('',#164530,#164531,#86603,.T.); #204890=EDGE_CURVE('',#164532,#164530,#86604,.T.); #204891=EDGE_CURVE('',#164533,#164531,#86605,.T.); #204892=EDGE_CURVE('',#164532,#164533,#86606,.T.); #204893=EDGE_CURVE('',#164534,#164532,#86607,.T.); #204894=EDGE_CURVE('',#164535,#164533,#86608,.T.); #204895=EDGE_CURVE('',#164534,#164535,#86609,.T.); #204896=EDGE_CURVE('',#164536,#164534,#86610,.T.); #204897=EDGE_CURVE('',#164537,#164535,#86611,.T.); #204898=EDGE_CURVE('',#164536,#164537,#86612,.T.); #204899=EDGE_CURVE('',#164538,#164536,#86613,.T.); #204900=EDGE_CURVE('',#164539,#164537,#86614,.T.); #204901=EDGE_CURVE('',#164538,#164539,#86615,.T.); #204902=EDGE_CURVE('',#164540,#164538,#86616,.T.); #204903=EDGE_CURVE('',#164541,#164539,#86617,.T.); #204904=EDGE_CURVE('',#164540,#164541,#86618,.T.); #204905=EDGE_CURVE('',#164542,#164540,#86619,.T.); #204906=EDGE_CURVE('',#164543,#164541,#86620,.T.); #204907=EDGE_CURVE('',#164542,#164543,#86621,.T.); #204908=EDGE_CURVE('',#164544,#164542,#86622,.T.); #204909=EDGE_CURVE('',#164545,#164543,#86623,.T.); #204910=EDGE_CURVE('',#164544,#164545,#86624,.T.); #204911=EDGE_CURVE('',#164546,#164544,#86625,.T.); #204912=EDGE_CURVE('',#164547,#164545,#86626,.T.); #204913=EDGE_CURVE('',#164546,#164547,#86627,.T.); #204914=EDGE_CURVE('',#164548,#164546,#86628,.T.); #204915=EDGE_CURVE('',#164549,#164547,#86629,.T.); #204916=EDGE_CURVE('',#164548,#164549,#86630,.T.); #204917=EDGE_CURVE('',#164550,#164548,#86631,.T.); #204918=EDGE_CURVE('',#164551,#164549,#86632,.T.); #204919=EDGE_CURVE('',#164550,#164551,#86633,.T.); #204920=EDGE_CURVE('',#164552,#164550,#86634,.T.); #204921=EDGE_CURVE('',#164553,#164551,#86635,.T.); #204922=EDGE_CURVE('',#164552,#164553,#86636,.T.); #204923=EDGE_CURVE('',#164554,#164552,#86637,.T.); #204924=EDGE_CURVE('',#164555,#164553,#86638,.T.); #204925=EDGE_CURVE('',#164554,#164555,#86639,.T.); #204926=EDGE_CURVE('',#164556,#164554,#86640,.T.); #204927=EDGE_CURVE('',#164557,#164555,#86641,.T.); #204928=EDGE_CURVE('',#164556,#164557,#86642,.T.); #204929=EDGE_CURVE('',#164558,#164556,#86643,.T.); #204930=EDGE_CURVE('',#164559,#164557,#86644,.T.); #204931=EDGE_CURVE('',#164558,#164559,#86645,.T.); #204932=EDGE_CURVE('',#164560,#164558,#86646,.T.); #204933=EDGE_CURVE('',#164561,#164559,#86647,.T.); #204934=EDGE_CURVE('',#164560,#164561,#86648,.T.); #204935=EDGE_CURVE('',#164562,#164560,#86649,.T.); #204936=EDGE_CURVE('',#164563,#164561,#86650,.T.); #204937=EDGE_CURVE('',#164562,#164563,#86651,.T.); #204938=EDGE_CURVE('',#164564,#164562,#86652,.T.); #204939=EDGE_CURVE('',#164565,#164563,#86653,.T.); #204940=EDGE_CURVE('',#164564,#164565,#86654,.T.); #204941=EDGE_CURVE('',#164566,#164564,#86655,.T.); #204942=EDGE_CURVE('',#164567,#164565,#86656,.T.); #204943=EDGE_CURVE('',#164566,#164567,#86657,.T.); #204944=EDGE_CURVE('',#164568,#164566,#86658,.T.); #204945=EDGE_CURVE('',#164569,#164567,#86659,.T.); #204946=EDGE_CURVE('',#164568,#164569,#86660,.T.); #204947=EDGE_CURVE('',#164570,#164568,#86661,.T.); #204948=EDGE_CURVE('',#164571,#164569,#86662,.T.); #204949=EDGE_CURVE('',#164570,#164571,#86663,.T.); #204950=EDGE_CURVE('',#164572,#164570,#86664,.T.); #204951=EDGE_CURVE('',#164573,#164571,#86665,.T.); #204952=EDGE_CURVE('',#164572,#164573,#86666,.T.); #204953=EDGE_CURVE('',#164574,#164572,#86667,.T.); #204954=EDGE_CURVE('',#164575,#164573,#86668,.T.); #204955=EDGE_CURVE('',#164574,#164575,#86669,.T.); #204956=EDGE_CURVE('',#164576,#164574,#86670,.T.); #204957=EDGE_CURVE('',#164577,#164575,#86671,.T.); #204958=EDGE_CURVE('',#164576,#164577,#86672,.T.); #204959=EDGE_CURVE('',#164578,#164576,#86673,.T.); #204960=EDGE_CURVE('',#164579,#164577,#86674,.T.); #204961=EDGE_CURVE('',#164578,#164579,#86675,.T.); #204962=EDGE_CURVE('',#164580,#164578,#86676,.T.); #204963=EDGE_CURVE('',#164581,#164579,#86677,.T.); #204964=EDGE_CURVE('',#164580,#164581,#86678,.T.); #204965=EDGE_CURVE('',#164582,#164580,#86679,.T.); #204966=EDGE_CURVE('',#164583,#164581,#86680,.T.); #204967=EDGE_CURVE('',#164582,#164583,#86681,.T.); #204968=EDGE_CURVE('',#164584,#164582,#86682,.T.); #204969=EDGE_CURVE('',#164585,#164583,#86683,.T.); #204970=EDGE_CURVE('',#164584,#164585,#86684,.T.); #204971=EDGE_CURVE('',#164347,#164584,#86685,.T.); #204972=EDGE_CURVE('',#164348,#164585,#86686,.T.); #204973=EDGE_CURVE('',#164586,#164587,#86687,.T.); #204974=EDGE_CURVE('',#164587,#164588,#86688,.T.); #204975=EDGE_CURVE('',#164589,#164588,#86689,.T.); #204976=EDGE_CURVE('',#164586,#164589,#86690,.T.); #204977=EDGE_CURVE('',#164590,#164586,#86691,.T.); #204978=EDGE_CURVE('',#164591,#164589,#86692,.T.); #204979=EDGE_CURVE('',#164590,#164591,#86693,.T.); #204980=EDGE_CURVE('',#164592,#164590,#86694,.T.); #204981=EDGE_CURVE('',#164593,#164591,#86695,.T.); #204982=EDGE_CURVE('',#164592,#164593,#86696,.T.); #204983=EDGE_CURVE('',#164594,#164592,#86697,.T.); #204984=EDGE_CURVE('',#164595,#164593,#86698,.T.); #204985=EDGE_CURVE('',#164594,#164595,#86699,.T.); #204986=EDGE_CURVE('',#164596,#164594,#86700,.T.); #204987=EDGE_CURVE('',#164597,#164595,#86701,.T.); #204988=EDGE_CURVE('',#164596,#164597,#86702,.T.); #204989=EDGE_CURVE('',#164598,#164596,#86703,.T.); #204990=EDGE_CURVE('',#164599,#164597,#86704,.T.); #204991=EDGE_CURVE('',#164598,#164599,#86705,.T.); #204992=EDGE_CURVE('',#164600,#164598,#86706,.T.); #204993=EDGE_CURVE('',#164601,#164599,#86707,.T.); #204994=EDGE_CURVE('',#164600,#164601,#86708,.T.); #204995=EDGE_CURVE('',#164602,#164600,#86709,.T.); #204996=EDGE_CURVE('',#164603,#164601,#86710,.T.); #204997=EDGE_CURVE('',#164602,#164603,#86711,.T.); #204998=EDGE_CURVE('',#164604,#164602,#86712,.T.); #204999=EDGE_CURVE('',#164605,#164603,#86713,.T.); #205000=EDGE_CURVE('',#164604,#164605,#86714,.T.); #205001=EDGE_CURVE('',#164606,#164604,#86715,.T.); #205002=EDGE_CURVE('',#164607,#164605,#86716,.T.); #205003=EDGE_CURVE('',#164606,#164607,#86717,.T.); #205004=EDGE_CURVE('',#164608,#164606,#86718,.T.); #205005=EDGE_CURVE('',#164609,#164607,#86719,.T.); #205006=EDGE_CURVE('',#164608,#164609,#86720,.T.); #205007=EDGE_CURVE('',#164610,#164608,#86721,.T.); #205008=EDGE_CURVE('',#164611,#164609,#86722,.T.); #205009=EDGE_CURVE('',#164610,#164611,#86723,.T.); #205010=EDGE_CURVE('',#164612,#164610,#86724,.T.); #205011=EDGE_CURVE('',#164613,#164611,#86725,.T.); #205012=EDGE_CURVE('',#164612,#164613,#86726,.T.); #205013=EDGE_CURVE('',#164614,#164612,#86727,.T.); #205014=EDGE_CURVE('',#164615,#164613,#86728,.T.); #205015=EDGE_CURVE('',#164614,#164615,#86729,.T.); #205016=EDGE_CURVE('',#164616,#164614,#86730,.T.); #205017=EDGE_CURVE('',#164617,#164615,#86731,.T.); #205018=EDGE_CURVE('',#164616,#164617,#86732,.T.); #205019=EDGE_CURVE('',#164618,#164616,#86733,.T.); #205020=EDGE_CURVE('',#164619,#164617,#86734,.T.); #205021=EDGE_CURVE('',#164618,#164619,#86735,.T.); #205022=EDGE_CURVE('',#164620,#164618,#86736,.T.); #205023=EDGE_CURVE('',#164621,#164619,#86737,.T.); #205024=EDGE_CURVE('',#164620,#164621,#86738,.T.); #205025=EDGE_CURVE('',#164622,#164620,#86739,.T.); #205026=EDGE_CURVE('',#164623,#164621,#86740,.T.); #205027=EDGE_CURVE('',#164622,#164623,#86741,.T.); #205028=EDGE_CURVE('',#164624,#164622,#86742,.T.); #205029=EDGE_CURVE('',#164625,#164623,#86743,.T.); #205030=EDGE_CURVE('',#164624,#164625,#86744,.T.); #205031=EDGE_CURVE('',#164626,#164624,#86745,.T.); #205032=EDGE_CURVE('',#164627,#164625,#86746,.T.); #205033=EDGE_CURVE('',#164626,#164627,#86747,.T.); #205034=EDGE_CURVE('',#164628,#164626,#86748,.T.); #205035=EDGE_CURVE('',#164629,#164627,#86749,.T.); #205036=EDGE_CURVE('',#164628,#164629,#86750,.T.); #205037=EDGE_CURVE('',#164630,#164628,#86751,.T.); #205038=EDGE_CURVE('',#164631,#164629,#86752,.T.); #205039=EDGE_CURVE('',#164630,#164631,#86753,.T.); #205040=EDGE_CURVE('',#164632,#164630,#86754,.T.); #205041=EDGE_CURVE('',#164633,#164631,#86755,.T.); #205042=EDGE_CURVE('',#164632,#164633,#86756,.T.); #205043=EDGE_CURVE('',#164634,#164632,#86757,.T.); #205044=EDGE_CURVE('',#164635,#164633,#86758,.T.); #205045=EDGE_CURVE('',#164634,#164635,#86759,.T.); #205046=EDGE_CURVE('',#164636,#164634,#86760,.T.); #205047=EDGE_CURVE('',#164637,#164635,#86761,.T.); #205048=EDGE_CURVE('',#164636,#164637,#86762,.T.); #205049=EDGE_CURVE('',#164638,#164636,#86763,.T.); #205050=EDGE_CURVE('',#164639,#164637,#86764,.T.); #205051=EDGE_CURVE('',#164638,#164639,#86765,.T.); #205052=EDGE_CURVE('',#164640,#164638,#86766,.T.); #205053=EDGE_CURVE('',#164641,#164639,#86767,.T.); #205054=EDGE_CURVE('',#164640,#164641,#86768,.T.); #205055=EDGE_CURVE('',#164642,#164640,#86769,.T.); #205056=EDGE_CURVE('',#164643,#164641,#86770,.T.); #205057=EDGE_CURVE('',#164642,#164643,#86771,.T.); #205058=EDGE_CURVE('',#164644,#164642,#86772,.T.); #205059=EDGE_CURVE('',#164645,#164643,#86773,.T.); #205060=EDGE_CURVE('',#164644,#164645,#86774,.T.); #205061=EDGE_CURVE('',#164646,#164644,#86775,.T.); #205062=EDGE_CURVE('',#164647,#164645,#86776,.T.); #205063=EDGE_CURVE('',#164646,#164647,#86777,.T.); #205064=EDGE_CURVE('',#164648,#164646,#86778,.T.); #205065=EDGE_CURVE('',#164649,#164647,#86779,.T.); #205066=EDGE_CURVE('',#164648,#164649,#86780,.T.); #205067=EDGE_CURVE('',#164587,#164648,#86781,.T.); #205068=EDGE_CURVE('',#164588,#164649,#86782,.T.); #205069=EDGE_CURVE('',#164650,#164651,#86783,.T.); #205070=EDGE_CURVE('',#164651,#164652,#86784,.T.); #205071=EDGE_CURVE('',#164653,#164652,#86785,.T.); #205072=EDGE_CURVE('',#164650,#164653,#86786,.T.); #205073=EDGE_CURVE('',#164654,#164650,#86787,.T.); #205074=EDGE_CURVE('',#164655,#164653,#86788,.T.); #205075=EDGE_CURVE('',#164654,#164655,#86789,.T.); #205076=EDGE_CURVE('',#164656,#164654,#86790,.T.); #205077=EDGE_CURVE('',#164657,#164655,#86791,.T.); #205078=EDGE_CURVE('',#164656,#164657,#86792,.T.); #205079=EDGE_CURVE('',#164658,#164656,#86793,.T.); #205080=EDGE_CURVE('',#164659,#164657,#86794,.T.); #205081=EDGE_CURVE('',#164658,#164659,#86795,.T.); #205082=EDGE_CURVE('',#164660,#164658,#86796,.T.); #205083=EDGE_CURVE('',#164661,#164659,#86797,.T.); #205084=EDGE_CURVE('',#164660,#164661,#86798,.T.); #205085=EDGE_CURVE('',#164662,#164660,#86799,.T.); #205086=EDGE_CURVE('',#164663,#164661,#86800,.T.); #205087=EDGE_CURVE('',#164662,#164663,#86801,.T.); #205088=EDGE_CURVE('',#164664,#164662,#86802,.T.); #205089=EDGE_CURVE('',#164665,#164663,#86803,.T.); #205090=EDGE_CURVE('',#164664,#164665,#86804,.T.); #205091=EDGE_CURVE('',#164666,#164664,#86805,.T.); #205092=EDGE_CURVE('',#164667,#164665,#86806,.T.); #205093=EDGE_CURVE('',#164666,#164667,#86807,.T.); #205094=EDGE_CURVE('',#164668,#164666,#86808,.T.); #205095=EDGE_CURVE('',#164669,#164667,#86809,.T.); #205096=EDGE_CURVE('',#164668,#164669,#86810,.T.); #205097=EDGE_CURVE('',#164670,#164668,#86811,.T.); #205098=EDGE_CURVE('',#164671,#164669,#86812,.T.); #205099=EDGE_CURVE('',#164670,#164671,#86813,.T.); #205100=EDGE_CURVE('',#164672,#164670,#86814,.T.); #205101=EDGE_CURVE('',#164673,#164671,#86815,.T.); #205102=EDGE_CURVE('',#164672,#164673,#86816,.T.); #205103=EDGE_CURVE('',#164674,#164672,#86817,.T.); #205104=EDGE_CURVE('',#164675,#164673,#86818,.T.); #205105=EDGE_CURVE('',#164674,#164675,#86819,.T.); #205106=EDGE_CURVE('',#164676,#164674,#86820,.T.); #205107=EDGE_CURVE('',#164677,#164675,#86821,.T.); #205108=EDGE_CURVE('',#164676,#164677,#86822,.T.); #205109=EDGE_CURVE('',#164678,#164676,#86823,.T.); #205110=EDGE_CURVE('',#164679,#164677,#86824,.T.); #205111=EDGE_CURVE('',#164678,#164679,#86825,.T.); #205112=EDGE_CURVE('',#164680,#164678,#86826,.T.); #205113=EDGE_CURVE('',#164681,#164679,#86827,.T.); #205114=EDGE_CURVE('',#164680,#164681,#86828,.T.); #205115=EDGE_CURVE('',#164682,#164680,#86829,.T.); #205116=EDGE_CURVE('',#164683,#164681,#86830,.T.); #205117=EDGE_CURVE('',#164682,#164683,#86831,.T.); #205118=EDGE_CURVE('',#164684,#164682,#86832,.T.); #205119=EDGE_CURVE('',#164685,#164683,#86833,.T.); #205120=EDGE_CURVE('',#164684,#164685,#86834,.T.); #205121=EDGE_CURVE('',#164686,#164684,#86835,.T.); #205122=EDGE_CURVE('',#164687,#164685,#86836,.T.); #205123=EDGE_CURVE('',#164686,#164687,#86837,.T.); #205124=EDGE_CURVE('',#164688,#164686,#86838,.T.); #205125=EDGE_CURVE('',#164689,#164687,#86839,.T.); #205126=EDGE_CURVE('',#164688,#164689,#86840,.T.); #205127=EDGE_CURVE('',#164690,#164688,#86841,.T.); #205128=EDGE_CURVE('',#164691,#164689,#86842,.T.); #205129=EDGE_CURVE('',#164690,#164691,#86843,.T.); #205130=EDGE_CURVE('',#164651,#164690,#86844,.T.); #205131=EDGE_CURVE('',#164652,#164691,#86845,.T.); #205132=EDGE_CURVE('',#164692,#164693,#86846,.T.); #205133=EDGE_CURVE('',#164694,#164692,#86847,.T.); #205134=EDGE_CURVE('',#164695,#164694,#86848,.T.); #205135=EDGE_CURVE('',#164695,#164693,#86849,.T.); #205136=EDGE_CURVE('',#164693,#164696,#141100,.T.); #205137=EDGE_CURVE('',#164697,#164695,#141101,.T.); #205138=EDGE_CURVE('',#164697,#164696,#86850,.T.); #205139=EDGE_CURVE('',#164696,#164698,#86851,.T.); #205140=EDGE_CURVE('',#164699,#164697,#86852,.T.); #205141=EDGE_CURVE('',#164699,#164698,#86853,.T.); #205142=EDGE_CURVE('',#164698,#164692,#141102,.T.); #205143=EDGE_CURVE('',#164694,#164699,#141103,.T.); #205144=EDGE_CURVE('',#164700,#164701,#86854,.T.); #205145=EDGE_CURVE('',#164701,#164702,#86855,.T.); #205146=EDGE_CURVE('',#164703,#164702,#86856,.T.); #205147=EDGE_CURVE('',#164700,#164703,#86857,.T.); #205148=EDGE_CURVE('',#164704,#164700,#86858,.T.); #205149=EDGE_CURVE('',#164705,#164703,#86859,.T.); #205150=EDGE_CURVE('',#164704,#164705,#86860,.T.); #205151=EDGE_CURVE('',#164706,#164704,#86861,.T.); #205152=EDGE_CURVE('',#164707,#164705,#86862,.T.); #205153=EDGE_CURVE('',#164706,#164707,#86863,.T.); #205154=EDGE_CURVE('',#164708,#164706,#86864,.T.); #205155=EDGE_CURVE('',#164709,#164707,#86865,.T.); #205156=EDGE_CURVE('',#164708,#164709,#86866,.T.); #205157=EDGE_CURVE('',#164710,#164708,#86867,.T.); #205158=EDGE_CURVE('',#164711,#164709,#86868,.T.); #205159=EDGE_CURVE('',#164710,#164711,#86869,.T.); #205160=EDGE_CURVE('',#164712,#164710,#86870,.T.); #205161=EDGE_CURVE('',#164713,#164711,#86871,.T.); #205162=EDGE_CURVE('',#164712,#164713,#86872,.T.); #205163=EDGE_CURVE('',#164714,#164712,#86873,.T.); #205164=EDGE_CURVE('',#164715,#164713,#86874,.T.); #205165=EDGE_CURVE('',#164714,#164715,#86875,.T.); #205166=EDGE_CURVE('',#164716,#164714,#86876,.T.); #205167=EDGE_CURVE('',#164717,#164715,#86877,.T.); #205168=EDGE_CURVE('',#164716,#164717,#86878,.T.); #205169=EDGE_CURVE('',#164718,#164716,#86879,.T.); #205170=EDGE_CURVE('',#164719,#164717,#86880,.T.); #205171=EDGE_CURVE('',#164718,#164719,#86881,.T.); #205172=EDGE_CURVE('',#164720,#164718,#86882,.T.); #205173=EDGE_CURVE('',#164721,#164719,#86883,.T.); #205174=EDGE_CURVE('',#164720,#164721,#86884,.T.); #205175=EDGE_CURVE('',#164722,#164720,#86885,.T.); #205176=EDGE_CURVE('',#164723,#164721,#86886,.T.); #205177=EDGE_CURVE('',#164722,#164723,#86887,.T.); #205178=EDGE_CURVE('',#164724,#164722,#86888,.T.); #205179=EDGE_CURVE('',#164725,#164723,#86889,.T.); #205180=EDGE_CURVE('',#164724,#164725,#86890,.T.); #205181=EDGE_CURVE('',#164726,#164724,#86891,.T.); #205182=EDGE_CURVE('',#164727,#164725,#86892,.T.); #205183=EDGE_CURVE('',#164726,#164727,#86893,.T.); #205184=EDGE_CURVE('',#164728,#164726,#86894,.T.); #205185=EDGE_CURVE('',#164729,#164727,#86895,.T.); #205186=EDGE_CURVE('',#164728,#164729,#86896,.T.); #205187=EDGE_CURVE('',#164730,#164728,#86897,.T.); #205188=EDGE_CURVE('',#164731,#164729,#86898,.T.); #205189=EDGE_CURVE('',#164730,#164731,#86899,.T.); #205190=EDGE_CURVE('',#164732,#164730,#86900,.T.); #205191=EDGE_CURVE('',#164733,#164731,#86901,.T.); #205192=EDGE_CURVE('',#164732,#164733,#86902,.T.); #205193=EDGE_CURVE('',#164734,#164732,#86903,.T.); #205194=EDGE_CURVE('',#164735,#164733,#86904,.T.); #205195=EDGE_CURVE('',#164734,#164735,#86905,.T.); #205196=EDGE_CURVE('',#164736,#164734,#86906,.T.); #205197=EDGE_CURVE('',#164737,#164735,#86907,.T.); #205198=EDGE_CURVE('',#164736,#164737,#86908,.T.); #205199=EDGE_CURVE('',#164738,#164736,#86909,.T.); #205200=EDGE_CURVE('',#164739,#164737,#86910,.T.); #205201=EDGE_CURVE('',#164738,#164739,#86911,.T.); #205202=EDGE_CURVE('',#164740,#164738,#86912,.T.); #205203=EDGE_CURVE('',#164741,#164739,#86913,.T.); #205204=EDGE_CURVE('',#164740,#164741,#86914,.T.); #205205=EDGE_CURVE('',#164742,#164740,#86915,.T.); #205206=EDGE_CURVE('',#164743,#164741,#86916,.T.); #205207=EDGE_CURVE('',#164742,#164743,#86917,.T.); #205208=EDGE_CURVE('',#164744,#164742,#86918,.T.); #205209=EDGE_CURVE('',#164745,#164743,#86919,.T.); #205210=EDGE_CURVE('',#164744,#164745,#86920,.T.); #205211=EDGE_CURVE('',#164746,#164744,#86921,.T.); #205212=EDGE_CURVE('',#164747,#164745,#86922,.T.); #205213=EDGE_CURVE('',#164746,#164747,#86923,.T.); #205214=EDGE_CURVE('',#164748,#164746,#86924,.T.); #205215=EDGE_CURVE('',#164749,#164747,#86925,.T.); #205216=EDGE_CURVE('',#164748,#164749,#86926,.T.); #205217=EDGE_CURVE('',#164750,#164748,#86927,.T.); #205218=EDGE_CURVE('',#164751,#164749,#86928,.T.); #205219=EDGE_CURVE('',#164750,#164751,#86929,.T.); #205220=EDGE_CURVE('',#164752,#164750,#86930,.T.); #205221=EDGE_CURVE('',#164753,#164751,#86931,.T.); #205222=EDGE_CURVE('',#164752,#164753,#86932,.T.); #205223=EDGE_CURVE('',#164754,#164752,#86933,.T.); #205224=EDGE_CURVE('',#164755,#164753,#86934,.T.); #205225=EDGE_CURVE('',#164754,#164755,#86935,.T.); #205226=EDGE_CURVE('',#164756,#164754,#86936,.T.); #205227=EDGE_CURVE('',#164757,#164755,#86937,.T.); #205228=EDGE_CURVE('',#164756,#164757,#86938,.T.); #205229=EDGE_CURVE('',#164758,#164756,#86939,.T.); #205230=EDGE_CURVE('',#164759,#164757,#86940,.T.); #205231=EDGE_CURVE('',#164758,#164759,#86941,.T.); #205232=EDGE_CURVE('',#164760,#164758,#86942,.T.); #205233=EDGE_CURVE('',#164761,#164759,#86943,.T.); #205234=EDGE_CURVE('',#164760,#164761,#86944,.T.); #205235=EDGE_CURVE('',#164762,#164760,#86945,.T.); #205236=EDGE_CURVE('',#164763,#164761,#86946,.T.); #205237=EDGE_CURVE('',#164762,#164763,#86947,.T.); #205238=EDGE_CURVE('',#164764,#164762,#86948,.T.); #205239=EDGE_CURVE('',#164765,#164763,#86949,.T.); #205240=EDGE_CURVE('',#164764,#164765,#86950,.T.); #205241=EDGE_CURVE('',#164766,#164764,#86951,.T.); #205242=EDGE_CURVE('',#164767,#164765,#86952,.T.); #205243=EDGE_CURVE('',#164766,#164767,#86953,.T.); #205244=EDGE_CURVE('',#164768,#164766,#86954,.T.); #205245=EDGE_CURVE('',#164769,#164767,#86955,.T.); #205246=EDGE_CURVE('',#164768,#164769,#86956,.T.); #205247=EDGE_CURVE('',#164770,#164768,#86957,.T.); #205248=EDGE_CURVE('',#164771,#164769,#86958,.T.); #205249=EDGE_CURVE('',#164770,#164771,#86959,.T.); #205250=EDGE_CURVE('',#164772,#164770,#86960,.T.); #205251=EDGE_CURVE('',#164773,#164771,#86961,.T.); #205252=EDGE_CURVE('',#164772,#164773,#86962,.T.); #205253=EDGE_CURVE('',#164774,#164772,#86963,.T.); #205254=EDGE_CURVE('',#164775,#164773,#86964,.T.); #205255=EDGE_CURVE('',#164774,#164775,#86965,.T.); #205256=EDGE_CURVE('',#164776,#164774,#86966,.T.); #205257=EDGE_CURVE('',#164777,#164775,#86967,.T.); #205258=EDGE_CURVE('',#164776,#164777,#86968,.T.); #205259=EDGE_CURVE('',#164778,#164776,#86969,.T.); #205260=EDGE_CURVE('',#164779,#164777,#86970,.T.); #205261=EDGE_CURVE('',#164778,#164779,#86971,.T.); #205262=EDGE_CURVE('',#164780,#164778,#86972,.T.); #205263=EDGE_CURVE('',#164781,#164779,#86973,.T.); #205264=EDGE_CURVE('',#164780,#164781,#86974,.T.); #205265=EDGE_CURVE('',#164782,#164780,#86975,.T.); #205266=EDGE_CURVE('',#164783,#164781,#86976,.T.); #205267=EDGE_CURVE('',#164782,#164783,#86977,.T.); #205268=EDGE_CURVE('',#164784,#164782,#86978,.T.); #205269=EDGE_CURVE('',#164785,#164783,#86979,.T.); #205270=EDGE_CURVE('',#164784,#164785,#86980,.T.); #205271=EDGE_CURVE('',#164786,#164784,#86981,.T.); #205272=EDGE_CURVE('',#164787,#164785,#86982,.T.); #205273=EDGE_CURVE('',#164786,#164787,#86983,.T.); #205274=EDGE_CURVE('',#164788,#164786,#86984,.T.); #205275=EDGE_CURVE('',#164789,#164787,#86985,.T.); #205276=EDGE_CURVE('',#164788,#164789,#86986,.T.); #205277=EDGE_CURVE('',#164790,#164788,#86987,.T.); #205278=EDGE_CURVE('',#164791,#164789,#86988,.T.); #205279=EDGE_CURVE('',#164790,#164791,#86989,.T.); #205280=EDGE_CURVE('',#164792,#164790,#86990,.T.); #205281=EDGE_CURVE('',#164793,#164791,#86991,.T.); #205282=EDGE_CURVE('',#164792,#164793,#86992,.T.); #205283=EDGE_CURVE('',#164794,#164792,#86993,.T.); #205284=EDGE_CURVE('',#164795,#164793,#86994,.T.); #205285=EDGE_CURVE('',#164794,#164795,#86995,.T.); #205286=EDGE_CURVE('',#164796,#164794,#86996,.T.); #205287=EDGE_CURVE('',#164797,#164795,#86997,.T.); #205288=EDGE_CURVE('',#164796,#164797,#86998,.T.); #205289=EDGE_CURVE('',#164798,#164796,#86999,.T.); #205290=EDGE_CURVE('',#164799,#164797,#87000,.T.); #205291=EDGE_CURVE('',#164798,#164799,#87001,.T.); #205292=EDGE_CURVE('',#164800,#164798,#87002,.T.); #205293=EDGE_CURVE('',#164801,#164799,#87003,.T.); #205294=EDGE_CURVE('',#164800,#164801,#87004,.T.); #205295=EDGE_CURVE('',#164802,#164800,#87005,.T.); #205296=EDGE_CURVE('',#164803,#164801,#87006,.T.); #205297=EDGE_CURVE('',#164802,#164803,#87007,.T.); #205298=EDGE_CURVE('',#164804,#164802,#87008,.T.); #205299=EDGE_CURVE('',#164805,#164803,#87009,.T.); #205300=EDGE_CURVE('',#164804,#164805,#87010,.T.); #205301=EDGE_CURVE('',#164806,#164804,#87011,.T.); #205302=EDGE_CURVE('',#164807,#164805,#87012,.T.); #205303=EDGE_CURVE('',#164806,#164807,#87013,.T.); #205304=EDGE_CURVE('',#164808,#164806,#87014,.T.); #205305=EDGE_CURVE('',#164809,#164807,#87015,.T.); #205306=EDGE_CURVE('',#164808,#164809,#87016,.T.); #205307=EDGE_CURVE('',#164810,#164808,#87017,.T.); #205308=EDGE_CURVE('',#164811,#164809,#87018,.T.); #205309=EDGE_CURVE('',#164810,#164811,#87019,.T.); #205310=EDGE_CURVE('',#164812,#164810,#87020,.T.); #205311=EDGE_CURVE('',#164813,#164811,#87021,.T.); #205312=EDGE_CURVE('',#164812,#164813,#87022,.T.); #205313=EDGE_CURVE('',#164814,#164812,#87023,.T.); #205314=EDGE_CURVE('',#164815,#164813,#87024,.T.); #205315=EDGE_CURVE('',#164814,#164815,#87025,.T.); #205316=EDGE_CURVE('',#164816,#164814,#87026,.T.); #205317=EDGE_CURVE('',#164817,#164815,#87027,.T.); #205318=EDGE_CURVE('',#164816,#164817,#87028,.T.); #205319=EDGE_CURVE('',#164818,#164816,#87029,.T.); #205320=EDGE_CURVE('',#164819,#164817,#87030,.T.); #205321=EDGE_CURVE('',#164818,#164819,#87031,.T.); #205322=EDGE_CURVE('',#164820,#164818,#87032,.T.); #205323=EDGE_CURVE('',#164821,#164819,#87033,.T.); #205324=EDGE_CURVE('',#164820,#164821,#87034,.T.); #205325=EDGE_CURVE('',#164822,#164820,#87035,.T.); #205326=EDGE_CURVE('',#164823,#164821,#87036,.T.); #205327=EDGE_CURVE('',#164822,#164823,#87037,.T.); #205328=EDGE_CURVE('',#164824,#164822,#87038,.T.); #205329=EDGE_CURVE('',#164825,#164823,#87039,.T.); #205330=EDGE_CURVE('',#164824,#164825,#87040,.T.); #205331=EDGE_CURVE('',#164826,#164824,#87041,.T.); #205332=EDGE_CURVE('',#164827,#164825,#87042,.T.); #205333=EDGE_CURVE('',#164826,#164827,#87043,.T.); #205334=EDGE_CURVE('',#164828,#164826,#87044,.T.); #205335=EDGE_CURVE('',#164829,#164827,#87045,.T.); #205336=EDGE_CURVE('',#164828,#164829,#87046,.T.); #205337=EDGE_CURVE('',#164830,#164828,#87047,.T.); #205338=EDGE_CURVE('',#164831,#164829,#87048,.T.); #205339=EDGE_CURVE('',#164830,#164831,#87049,.T.); #205340=EDGE_CURVE('',#164832,#164830,#87050,.T.); #205341=EDGE_CURVE('',#164833,#164831,#87051,.T.); #205342=EDGE_CURVE('',#164832,#164833,#87052,.T.); #205343=EDGE_CURVE('',#164834,#164832,#87053,.T.); #205344=EDGE_CURVE('',#164835,#164833,#87054,.T.); #205345=EDGE_CURVE('',#164834,#164835,#87055,.T.); #205346=EDGE_CURVE('',#164836,#164834,#87056,.T.); #205347=EDGE_CURVE('',#164837,#164835,#87057,.T.); #205348=EDGE_CURVE('',#164836,#164837,#87058,.T.); #205349=EDGE_CURVE('',#164838,#164836,#87059,.T.); #205350=EDGE_CURVE('',#164839,#164837,#87060,.T.); #205351=EDGE_CURVE('',#164838,#164839,#87061,.T.); #205352=EDGE_CURVE('',#164840,#164838,#87062,.T.); #205353=EDGE_CURVE('',#164841,#164839,#87063,.T.); #205354=EDGE_CURVE('',#164840,#164841,#87064,.T.); #205355=EDGE_CURVE('',#164842,#164840,#87065,.T.); #205356=EDGE_CURVE('',#164843,#164841,#87066,.T.); #205357=EDGE_CURVE('',#164842,#164843,#87067,.T.); #205358=EDGE_CURVE('',#164701,#164842,#87068,.T.); #205359=EDGE_CURVE('',#164702,#164843,#87069,.T.); #205360=EDGE_CURVE('',#164844,#164845,#87070,.T.); #205361=EDGE_CURVE('',#164845,#164846,#87071,.T.); #205362=EDGE_CURVE('',#164847,#164846,#87072,.T.); #205363=EDGE_CURVE('',#164844,#164847,#87073,.T.); #205364=EDGE_CURVE('',#164848,#164844,#87074,.T.); #205365=EDGE_CURVE('',#164849,#164847,#87075,.T.); #205366=EDGE_CURVE('',#164848,#164849,#87076,.T.); #205367=EDGE_CURVE('',#164850,#164848,#87077,.T.); #205368=EDGE_CURVE('',#164851,#164849,#87078,.T.); #205369=EDGE_CURVE('',#164850,#164851,#87079,.T.); #205370=EDGE_CURVE('',#164852,#164850,#87080,.T.); #205371=EDGE_CURVE('',#164853,#164851,#87081,.T.); #205372=EDGE_CURVE('',#164852,#164853,#87082,.T.); #205373=EDGE_CURVE('',#164854,#164852,#87083,.T.); #205374=EDGE_CURVE('',#164855,#164853,#87084,.T.); #205375=EDGE_CURVE('',#164854,#164855,#87085,.T.); #205376=EDGE_CURVE('',#164856,#164854,#87086,.T.); #205377=EDGE_CURVE('',#164857,#164855,#87087,.T.); #205378=EDGE_CURVE('',#164856,#164857,#87088,.T.); #205379=EDGE_CURVE('',#164858,#164856,#87089,.T.); #205380=EDGE_CURVE('',#164859,#164857,#87090,.T.); #205381=EDGE_CURVE('',#164858,#164859,#87091,.T.); #205382=EDGE_CURVE('',#164860,#164858,#87092,.T.); #205383=EDGE_CURVE('',#164861,#164859,#87093,.T.); #205384=EDGE_CURVE('',#164860,#164861,#87094,.T.); #205385=EDGE_CURVE('',#164862,#164860,#87095,.T.); #205386=EDGE_CURVE('',#164863,#164861,#87096,.T.); #205387=EDGE_CURVE('',#164862,#164863,#87097,.T.); #205388=EDGE_CURVE('',#164864,#164862,#87098,.T.); #205389=EDGE_CURVE('',#164865,#164863,#87099,.T.); #205390=EDGE_CURVE('',#164864,#164865,#87100,.T.); #205391=EDGE_CURVE('',#164866,#164864,#87101,.T.); #205392=EDGE_CURVE('',#164867,#164865,#87102,.T.); #205393=EDGE_CURVE('',#164866,#164867,#87103,.T.); #205394=EDGE_CURVE('',#164868,#164866,#87104,.T.); #205395=EDGE_CURVE('',#164869,#164867,#87105,.T.); #205396=EDGE_CURVE('',#164868,#164869,#87106,.T.); #205397=EDGE_CURVE('',#164870,#164868,#87107,.T.); #205398=EDGE_CURVE('',#164871,#164869,#87108,.T.); #205399=EDGE_CURVE('',#164870,#164871,#87109,.T.); #205400=EDGE_CURVE('',#164872,#164870,#87110,.T.); #205401=EDGE_CURVE('',#164873,#164871,#87111,.T.); #205402=EDGE_CURVE('',#164872,#164873,#87112,.T.); #205403=EDGE_CURVE('',#164874,#164872,#87113,.T.); #205404=EDGE_CURVE('',#164875,#164873,#87114,.T.); #205405=EDGE_CURVE('',#164874,#164875,#87115,.T.); #205406=EDGE_CURVE('',#164876,#164874,#87116,.T.); #205407=EDGE_CURVE('',#164877,#164875,#87117,.T.); #205408=EDGE_CURVE('',#164876,#164877,#87118,.T.); #205409=EDGE_CURVE('',#164878,#164876,#87119,.T.); #205410=EDGE_CURVE('',#164879,#164877,#87120,.T.); #205411=EDGE_CURVE('',#164878,#164879,#87121,.T.); #205412=EDGE_CURVE('',#164880,#164878,#87122,.T.); #205413=EDGE_CURVE('',#164881,#164879,#87123,.T.); #205414=EDGE_CURVE('',#164880,#164881,#87124,.T.); #205415=EDGE_CURVE('',#164882,#164880,#87125,.T.); #205416=EDGE_CURVE('',#164883,#164881,#87126,.T.); #205417=EDGE_CURVE('',#164882,#164883,#87127,.T.); #205418=EDGE_CURVE('',#164884,#164882,#87128,.T.); #205419=EDGE_CURVE('',#164885,#164883,#87129,.T.); #205420=EDGE_CURVE('',#164884,#164885,#87130,.T.); #205421=EDGE_CURVE('',#164886,#164884,#87131,.T.); #205422=EDGE_CURVE('',#164887,#164885,#87132,.T.); #205423=EDGE_CURVE('',#164886,#164887,#87133,.T.); #205424=EDGE_CURVE('',#164888,#164886,#87134,.T.); #205425=EDGE_CURVE('',#164889,#164887,#87135,.T.); #205426=EDGE_CURVE('',#164888,#164889,#87136,.T.); #205427=EDGE_CURVE('',#164890,#164888,#87137,.T.); #205428=EDGE_CURVE('',#164891,#164889,#87138,.T.); #205429=EDGE_CURVE('',#164890,#164891,#87139,.T.); #205430=EDGE_CURVE('',#164892,#164890,#87140,.T.); #205431=EDGE_CURVE('',#164893,#164891,#87141,.T.); #205432=EDGE_CURVE('',#164892,#164893,#87142,.T.); #205433=EDGE_CURVE('',#164894,#164892,#87143,.T.); #205434=EDGE_CURVE('',#164895,#164893,#87144,.T.); #205435=EDGE_CURVE('',#164894,#164895,#87145,.T.); #205436=EDGE_CURVE('',#164896,#164894,#87146,.T.); #205437=EDGE_CURVE('',#164897,#164895,#87147,.T.); #205438=EDGE_CURVE('',#164896,#164897,#87148,.T.); #205439=EDGE_CURVE('',#164845,#164896,#87149,.T.); #205440=EDGE_CURVE('',#164846,#164897,#87150,.T.); #205441=EDGE_CURVE('',#164898,#164899,#87151,.T.); #205442=EDGE_CURVE('',#164899,#164900,#87152,.T.); #205443=EDGE_CURVE('',#164901,#164900,#87153,.T.); #205444=EDGE_CURVE('',#164898,#164901,#87154,.T.); #205445=EDGE_CURVE('',#164902,#164898,#87155,.T.); #205446=EDGE_CURVE('',#164903,#164901,#87156,.T.); #205447=EDGE_CURVE('',#164902,#164903,#87157,.T.); #205448=EDGE_CURVE('',#164904,#164902,#87158,.T.); #205449=EDGE_CURVE('',#164905,#164903,#87159,.T.); #205450=EDGE_CURVE('',#164904,#164905,#87160,.T.); #205451=EDGE_CURVE('',#164906,#164904,#87161,.T.); #205452=EDGE_CURVE('',#164907,#164905,#87162,.T.); #205453=EDGE_CURVE('',#164906,#164907,#87163,.T.); #205454=EDGE_CURVE('',#164908,#164906,#87164,.T.); #205455=EDGE_CURVE('',#164909,#164907,#87165,.T.); #205456=EDGE_CURVE('',#164908,#164909,#87166,.T.); #205457=EDGE_CURVE('',#164910,#164908,#87167,.T.); #205458=EDGE_CURVE('',#164911,#164909,#87168,.T.); #205459=EDGE_CURVE('',#164910,#164911,#87169,.T.); #205460=EDGE_CURVE('',#164912,#164910,#87170,.T.); #205461=EDGE_CURVE('',#164913,#164911,#87171,.T.); #205462=EDGE_CURVE('',#164912,#164913,#87172,.T.); #205463=EDGE_CURVE('',#164914,#164912,#87173,.T.); #205464=EDGE_CURVE('',#164915,#164913,#87174,.T.); #205465=EDGE_CURVE('',#164914,#164915,#87175,.T.); #205466=EDGE_CURVE('',#164916,#164914,#87176,.T.); #205467=EDGE_CURVE('',#164917,#164915,#87177,.T.); #205468=EDGE_CURVE('',#164916,#164917,#87178,.T.); #205469=EDGE_CURVE('',#164918,#164916,#87179,.T.); #205470=EDGE_CURVE('',#164919,#164917,#87180,.T.); #205471=EDGE_CURVE('',#164918,#164919,#87181,.T.); #205472=EDGE_CURVE('',#164920,#164918,#87182,.T.); #205473=EDGE_CURVE('',#164921,#164919,#87183,.T.); #205474=EDGE_CURVE('',#164920,#164921,#87184,.T.); #205475=EDGE_CURVE('',#164922,#164920,#87185,.T.); #205476=EDGE_CURVE('',#164923,#164921,#87186,.T.); #205477=EDGE_CURVE('',#164922,#164923,#87187,.T.); #205478=EDGE_CURVE('',#164924,#164922,#87188,.T.); #205479=EDGE_CURVE('',#164925,#164923,#87189,.T.); #205480=EDGE_CURVE('',#164924,#164925,#87190,.T.); #205481=EDGE_CURVE('',#164926,#164924,#87191,.T.); #205482=EDGE_CURVE('',#164927,#164925,#87192,.T.); #205483=EDGE_CURVE('',#164926,#164927,#87193,.T.); #205484=EDGE_CURVE('',#164928,#164926,#87194,.T.); #205485=EDGE_CURVE('',#164929,#164927,#87195,.T.); #205486=EDGE_CURVE('',#164928,#164929,#87196,.T.); #205487=EDGE_CURVE('',#164930,#164928,#87197,.T.); #205488=EDGE_CURVE('',#164931,#164929,#87198,.T.); #205489=EDGE_CURVE('',#164930,#164931,#87199,.T.); #205490=EDGE_CURVE('',#164932,#164930,#87200,.T.); #205491=EDGE_CURVE('',#164933,#164931,#87201,.T.); #205492=EDGE_CURVE('',#164932,#164933,#87202,.T.); #205493=EDGE_CURVE('',#164934,#164932,#87203,.T.); #205494=EDGE_CURVE('',#164935,#164933,#87204,.T.); #205495=EDGE_CURVE('',#164934,#164935,#87205,.T.); #205496=EDGE_CURVE('',#164936,#164934,#87206,.T.); #205497=EDGE_CURVE('',#164937,#164935,#87207,.T.); #205498=EDGE_CURVE('',#164936,#164937,#87208,.T.); #205499=EDGE_CURVE('',#164938,#164936,#87209,.T.); #205500=EDGE_CURVE('',#164939,#164937,#87210,.T.); #205501=EDGE_CURVE('',#164938,#164939,#87211,.T.); #205502=EDGE_CURVE('',#164899,#164938,#87212,.T.); #205503=EDGE_CURVE('',#164900,#164939,#87213,.T.); #205504=EDGE_CURVE('',#164940,#164941,#87214,.T.); #205505=EDGE_CURVE('',#164941,#164942,#87215,.T.); #205506=EDGE_CURVE('',#164943,#164942,#87216,.T.); #205507=EDGE_CURVE('',#164940,#164943,#87217,.T.); #205508=EDGE_CURVE('',#164944,#164940,#87218,.T.); #205509=EDGE_CURVE('',#164945,#164943,#87219,.T.); #205510=EDGE_CURVE('',#164944,#164945,#87220,.T.); #205511=EDGE_CURVE('',#164946,#164944,#87221,.T.); #205512=EDGE_CURVE('',#164947,#164945,#87222,.T.); #205513=EDGE_CURVE('',#164946,#164947,#87223,.T.); #205514=EDGE_CURVE('',#164948,#164946,#87224,.T.); #205515=EDGE_CURVE('',#164949,#164947,#87225,.T.); #205516=EDGE_CURVE('',#164948,#164949,#87226,.T.); #205517=EDGE_CURVE('',#164950,#164948,#87227,.T.); #205518=EDGE_CURVE('',#164951,#164949,#87228,.T.); #205519=EDGE_CURVE('',#164950,#164951,#87229,.T.); #205520=EDGE_CURVE('',#164952,#164950,#87230,.T.); #205521=EDGE_CURVE('',#164953,#164951,#87231,.T.); #205522=EDGE_CURVE('',#164952,#164953,#87232,.T.); #205523=EDGE_CURVE('',#164954,#164952,#87233,.T.); #205524=EDGE_CURVE('',#164955,#164953,#87234,.T.); #205525=EDGE_CURVE('',#164954,#164955,#87235,.T.); #205526=EDGE_CURVE('',#164956,#164954,#87236,.T.); #205527=EDGE_CURVE('',#164957,#164955,#87237,.T.); #205528=EDGE_CURVE('',#164956,#164957,#87238,.T.); #205529=EDGE_CURVE('',#164958,#164956,#87239,.T.); #205530=EDGE_CURVE('',#164959,#164957,#87240,.T.); #205531=EDGE_CURVE('',#164958,#164959,#87241,.T.); #205532=EDGE_CURVE('',#164960,#164958,#87242,.T.); #205533=EDGE_CURVE('',#164961,#164959,#87243,.T.); #205534=EDGE_CURVE('',#164960,#164961,#87244,.T.); #205535=EDGE_CURVE('',#164962,#164960,#87245,.T.); #205536=EDGE_CURVE('',#164963,#164961,#87246,.T.); #205537=EDGE_CURVE('',#164962,#164963,#87247,.T.); #205538=EDGE_CURVE('',#164964,#164962,#87248,.T.); #205539=EDGE_CURVE('',#164965,#164963,#87249,.T.); #205540=EDGE_CURVE('',#164964,#164965,#87250,.T.); #205541=EDGE_CURVE('',#164966,#164964,#87251,.T.); #205542=EDGE_CURVE('',#164967,#164965,#87252,.T.); #205543=EDGE_CURVE('',#164966,#164967,#87253,.T.); #205544=EDGE_CURVE('',#164968,#164966,#87254,.T.); #205545=EDGE_CURVE('',#164969,#164967,#87255,.T.); #205546=EDGE_CURVE('',#164968,#164969,#87256,.T.); #205547=EDGE_CURVE('',#164970,#164968,#87257,.T.); #205548=EDGE_CURVE('',#164971,#164969,#87258,.T.); #205549=EDGE_CURVE('',#164970,#164971,#87259,.T.); #205550=EDGE_CURVE('',#164972,#164970,#87260,.T.); #205551=EDGE_CURVE('',#164973,#164971,#87261,.T.); #205552=EDGE_CURVE('',#164972,#164973,#87262,.T.); #205553=EDGE_CURVE('',#164974,#164972,#87263,.T.); #205554=EDGE_CURVE('',#164975,#164973,#87264,.T.); #205555=EDGE_CURVE('',#164974,#164975,#87265,.T.); #205556=EDGE_CURVE('',#164976,#164974,#87266,.T.); #205557=EDGE_CURVE('',#164977,#164975,#87267,.T.); #205558=EDGE_CURVE('',#164976,#164977,#87268,.T.); #205559=EDGE_CURVE('',#164978,#164976,#87269,.T.); #205560=EDGE_CURVE('',#164979,#164977,#87270,.T.); #205561=EDGE_CURVE('',#164978,#164979,#87271,.T.); #205562=EDGE_CURVE('',#164941,#164978,#87272,.T.); #205563=EDGE_CURVE('',#164942,#164979,#87273,.T.); #205564=EDGE_CURVE('',#164980,#164980,#141104,.T.); #205565=EDGE_CURVE('',#164980,#164981,#87274,.T.); #205566=EDGE_CURVE('',#164981,#164981,#141105,.T.); #205567=EDGE_CURVE('',#164982,#164983,#87275,.T.); #205568=EDGE_CURVE('',#164983,#164984,#87276,.T.); #205569=EDGE_CURVE('',#164985,#164984,#87277,.T.); #205570=EDGE_CURVE('',#164982,#164985,#87278,.T.); #205571=EDGE_CURVE('',#164986,#164982,#87279,.T.); #205572=EDGE_CURVE('',#164987,#164985,#87280,.T.); #205573=EDGE_CURVE('',#164986,#164987,#87281,.T.); #205574=EDGE_CURVE('',#164988,#164986,#87282,.T.); #205575=EDGE_CURVE('',#164989,#164987,#87283,.T.); #205576=EDGE_CURVE('',#164988,#164989,#87284,.T.); #205577=EDGE_CURVE('',#164990,#164988,#87285,.T.); #205578=EDGE_CURVE('',#164991,#164989,#87286,.T.); #205579=EDGE_CURVE('',#164990,#164991,#87287,.T.); #205580=EDGE_CURVE('',#164992,#164990,#87288,.T.); #205581=EDGE_CURVE('',#164993,#164991,#87289,.T.); #205582=EDGE_CURVE('',#164992,#164993,#87290,.T.); #205583=EDGE_CURVE('',#164994,#164992,#87291,.T.); #205584=EDGE_CURVE('',#164995,#164993,#87292,.T.); #205585=EDGE_CURVE('',#164994,#164995,#87293,.T.); #205586=EDGE_CURVE('',#164996,#164994,#87294,.T.); #205587=EDGE_CURVE('',#164997,#164995,#87295,.T.); #205588=EDGE_CURVE('',#164996,#164997,#87296,.T.); #205589=EDGE_CURVE('',#164983,#164996,#87297,.T.); #205590=EDGE_CURVE('',#164984,#164997,#87298,.T.); #205591=EDGE_CURVE('',#164998,#164999,#87299,.T.); #205592=EDGE_CURVE('',#164999,#165000,#87300,.T.); #205593=EDGE_CURVE('',#165001,#165000,#87301,.T.); #205594=EDGE_CURVE('',#164998,#165001,#87302,.T.); #205595=EDGE_CURVE('',#165002,#164998,#87303,.T.); #205596=EDGE_CURVE('',#165003,#165001,#87304,.T.); #205597=EDGE_CURVE('',#165002,#165003,#87305,.T.); #205598=EDGE_CURVE('',#165004,#165002,#87306,.T.); #205599=EDGE_CURVE('',#165005,#165003,#87307,.T.); #205600=EDGE_CURVE('',#165004,#165005,#87308,.T.); #205601=EDGE_CURVE('',#165006,#165004,#87309,.T.); #205602=EDGE_CURVE('',#165007,#165005,#87310,.T.); #205603=EDGE_CURVE('',#165006,#165007,#87311,.T.); #205604=EDGE_CURVE('',#165008,#165006,#87312,.T.); #205605=EDGE_CURVE('',#165009,#165007,#87313,.T.); #205606=EDGE_CURVE('',#165008,#165009,#87314,.T.); #205607=EDGE_CURVE('',#165010,#165008,#87315,.T.); #205608=EDGE_CURVE('',#165011,#165009,#87316,.T.); #205609=EDGE_CURVE('',#165010,#165011,#87317,.T.); #205610=EDGE_CURVE('',#165012,#165010,#87318,.T.); #205611=EDGE_CURVE('',#165013,#165011,#87319,.T.); #205612=EDGE_CURVE('',#165012,#165013,#87320,.T.); #205613=EDGE_CURVE('',#165014,#165012,#87321,.T.); #205614=EDGE_CURVE('',#165015,#165013,#87322,.T.); #205615=EDGE_CURVE('',#165014,#165015,#87323,.T.); #205616=EDGE_CURVE('',#165016,#165014,#87324,.T.); #205617=EDGE_CURVE('',#165017,#165015,#87325,.T.); #205618=EDGE_CURVE('',#165016,#165017,#87326,.T.); #205619=EDGE_CURVE('',#165018,#165016,#87327,.T.); #205620=EDGE_CURVE('',#165019,#165017,#87328,.T.); #205621=EDGE_CURVE('',#165018,#165019,#87329,.T.); #205622=EDGE_CURVE('',#165020,#165018,#87330,.T.); #205623=EDGE_CURVE('',#165021,#165019,#87331,.T.); #205624=EDGE_CURVE('',#165020,#165021,#87332,.T.); #205625=EDGE_CURVE('',#165022,#165020,#87333,.T.); #205626=EDGE_CURVE('',#165023,#165021,#87334,.T.); #205627=EDGE_CURVE('',#165022,#165023,#87335,.T.); #205628=EDGE_CURVE('',#165024,#165022,#87336,.T.); #205629=EDGE_CURVE('',#165025,#165023,#87337,.T.); #205630=EDGE_CURVE('',#165024,#165025,#87338,.T.); #205631=EDGE_CURVE('',#165026,#165024,#87339,.T.); #205632=EDGE_CURVE('',#165027,#165025,#87340,.T.); #205633=EDGE_CURVE('',#165026,#165027,#87341,.T.); #205634=EDGE_CURVE('',#165028,#165026,#87342,.T.); #205635=EDGE_CURVE('',#165029,#165027,#87343,.T.); #205636=EDGE_CURVE('',#165028,#165029,#87344,.T.); #205637=EDGE_CURVE('',#165030,#165028,#87345,.T.); #205638=EDGE_CURVE('',#165031,#165029,#87346,.T.); #205639=EDGE_CURVE('',#165030,#165031,#87347,.T.); #205640=EDGE_CURVE('',#165032,#165030,#87348,.T.); #205641=EDGE_CURVE('',#165033,#165031,#87349,.T.); #205642=EDGE_CURVE('',#165032,#165033,#87350,.T.); #205643=EDGE_CURVE('',#165034,#165032,#87351,.T.); #205644=EDGE_CURVE('',#165035,#165033,#87352,.T.); #205645=EDGE_CURVE('',#165034,#165035,#87353,.T.); #205646=EDGE_CURVE('',#165036,#165034,#87354,.T.); #205647=EDGE_CURVE('',#165037,#165035,#87355,.T.); #205648=EDGE_CURVE('',#165036,#165037,#87356,.T.); #205649=EDGE_CURVE('',#165038,#165036,#87357,.T.); #205650=EDGE_CURVE('',#165039,#165037,#87358,.T.); #205651=EDGE_CURVE('',#165038,#165039,#87359,.T.); #205652=EDGE_CURVE('',#164999,#165038,#87360,.T.); #205653=EDGE_CURVE('',#165000,#165039,#87361,.T.); #205654=EDGE_CURVE('',#165040,#165041,#87362,.T.); #205655=EDGE_CURVE('',#165041,#165042,#87363,.T.); #205656=EDGE_CURVE('',#165043,#165042,#87364,.T.); #205657=EDGE_CURVE('',#165040,#165043,#87365,.T.); #205658=EDGE_CURVE('',#165044,#165040,#87366,.T.); #205659=EDGE_CURVE('',#165045,#165043,#87367,.T.); #205660=EDGE_CURVE('',#165044,#165045,#87368,.T.); #205661=EDGE_CURVE('',#165046,#165044,#87369,.T.); #205662=EDGE_CURVE('',#165047,#165045,#87370,.T.); #205663=EDGE_CURVE('',#165046,#165047,#87371,.T.); #205664=EDGE_CURVE('',#165048,#165046,#87372,.T.); #205665=EDGE_CURVE('',#165049,#165047,#87373,.T.); #205666=EDGE_CURVE('',#165048,#165049,#87374,.T.); #205667=EDGE_CURVE('',#165050,#165048,#87375,.T.); #205668=EDGE_CURVE('',#165051,#165049,#87376,.T.); #205669=EDGE_CURVE('',#165050,#165051,#87377,.T.); #205670=EDGE_CURVE('',#165052,#165050,#87378,.T.); #205671=EDGE_CURVE('',#165053,#165051,#87379,.T.); #205672=EDGE_CURVE('',#165052,#165053,#87380,.T.); #205673=EDGE_CURVE('',#165054,#165052,#87381,.T.); #205674=EDGE_CURVE('',#165055,#165053,#87382,.T.); #205675=EDGE_CURVE('',#165054,#165055,#87383,.T.); #205676=EDGE_CURVE('',#165056,#165054,#87384,.T.); #205677=EDGE_CURVE('',#165057,#165055,#87385,.T.); #205678=EDGE_CURVE('',#165056,#165057,#87386,.T.); #205679=EDGE_CURVE('',#165058,#165056,#87387,.T.); #205680=EDGE_CURVE('',#165059,#165057,#87388,.T.); #205681=EDGE_CURVE('',#165058,#165059,#87389,.T.); #205682=EDGE_CURVE('',#165060,#165058,#87390,.T.); #205683=EDGE_CURVE('',#165061,#165059,#87391,.T.); #205684=EDGE_CURVE('',#165060,#165061,#87392,.T.); #205685=EDGE_CURVE('',#165062,#165060,#87393,.T.); #205686=EDGE_CURVE('',#165063,#165061,#87394,.T.); #205687=EDGE_CURVE('',#165062,#165063,#87395,.T.); #205688=EDGE_CURVE('',#165064,#165062,#87396,.T.); #205689=EDGE_CURVE('',#165065,#165063,#87397,.T.); #205690=EDGE_CURVE('',#165064,#165065,#87398,.T.); #205691=EDGE_CURVE('',#165066,#165064,#87399,.T.); #205692=EDGE_CURVE('',#165067,#165065,#87400,.T.); #205693=EDGE_CURVE('',#165066,#165067,#87401,.T.); #205694=EDGE_CURVE('',#165068,#165066,#87402,.T.); #205695=EDGE_CURVE('',#165069,#165067,#87403,.T.); #205696=EDGE_CURVE('',#165068,#165069,#87404,.T.); #205697=EDGE_CURVE('',#165070,#165068,#87405,.T.); #205698=EDGE_CURVE('',#165071,#165069,#87406,.T.); #205699=EDGE_CURVE('',#165070,#165071,#87407,.T.); #205700=EDGE_CURVE('',#165072,#165070,#87408,.T.); #205701=EDGE_CURVE('',#165073,#165071,#87409,.T.); #205702=EDGE_CURVE('',#165072,#165073,#87410,.T.); #205703=EDGE_CURVE('',#165074,#165072,#87411,.T.); #205704=EDGE_CURVE('',#165075,#165073,#87412,.T.); #205705=EDGE_CURVE('',#165074,#165075,#87413,.T.); #205706=EDGE_CURVE('',#165076,#165074,#87414,.T.); #205707=EDGE_CURVE('',#165077,#165075,#87415,.T.); #205708=EDGE_CURVE('',#165076,#165077,#87416,.T.); #205709=EDGE_CURVE('',#165078,#165076,#87417,.T.); #205710=EDGE_CURVE('',#165079,#165077,#87418,.T.); #205711=EDGE_CURVE('',#165078,#165079,#87419,.T.); #205712=EDGE_CURVE('',#165080,#165078,#87420,.T.); #205713=EDGE_CURVE('',#165081,#165079,#87421,.T.); #205714=EDGE_CURVE('',#165080,#165081,#87422,.T.); #205715=EDGE_CURVE('',#165082,#165080,#87423,.T.); #205716=EDGE_CURVE('',#165083,#165081,#87424,.T.); #205717=EDGE_CURVE('',#165082,#165083,#87425,.T.); #205718=EDGE_CURVE('',#165041,#165082,#87426,.T.); #205719=EDGE_CURVE('',#165042,#165083,#87427,.T.); #205720=EDGE_CURVE('',#165084,#165085,#87428,.T.); #205721=EDGE_CURVE('',#165085,#165086,#87429,.T.); #205722=EDGE_CURVE('',#165087,#165086,#87430,.T.); #205723=EDGE_CURVE('',#165084,#165087,#87431,.T.); #205724=EDGE_CURVE('',#165088,#165084,#87432,.T.); #205725=EDGE_CURVE('',#165089,#165087,#87433,.T.); #205726=EDGE_CURVE('',#165088,#165089,#87434,.T.); #205727=EDGE_CURVE('',#165090,#165088,#87435,.T.); #205728=EDGE_CURVE('',#165091,#165089,#87436,.T.); #205729=EDGE_CURVE('',#165090,#165091,#87437,.T.); #205730=EDGE_CURVE('',#165092,#165090,#87438,.T.); #205731=EDGE_CURVE('',#165093,#165091,#87439,.T.); #205732=EDGE_CURVE('',#165092,#165093,#87440,.T.); #205733=EDGE_CURVE('',#165094,#165092,#87441,.T.); #205734=EDGE_CURVE('',#165095,#165093,#87442,.T.); #205735=EDGE_CURVE('',#165094,#165095,#87443,.T.); #205736=EDGE_CURVE('',#165096,#165094,#87444,.T.); #205737=EDGE_CURVE('',#165097,#165095,#87445,.T.); #205738=EDGE_CURVE('',#165096,#165097,#87446,.T.); #205739=EDGE_CURVE('',#165098,#165096,#87447,.T.); #205740=EDGE_CURVE('',#165099,#165097,#87448,.T.); #205741=EDGE_CURVE('',#165098,#165099,#87449,.T.); #205742=EDGE_CURVE('',#165100,#165098,#87450,.T.); #205743=EDGE_CURVE('',#165101,#165099,#87451,.T.); #205744=EDGE_CURVE('',#165100,#165101,#87452,.T.); #205745=EDGE_CURVE('',#165102,#165100,#87453,.T.); #205746=EDGE_CURVE('',#165103,#165101,#87454,.T.); #205747=EDGE_CURVE('',#165102,#165103,#87455,.T.); #205748=EDGE_CURVE('',#165104,#165102,#87456,.T.); #205749=EDGE_CURVE('',#165105,#165103,#87457,.T.); #205750=EDGE_CURVE('',#165104,#165105,#87458,.T.); #205751=EDGE_CURVE('',#165106,#165104,#87459,.T.); #205752=EDGE_CURVE('',#165107,#165105,#87460,.T.); #205753=EDGE_CURVE('',#165106,#165107,#87461,.T.); #205754=EDGE_CURVE('',#165108,#165106,#87462,.T.); #205755=EDGE_CURVE('',#165109,#165107,#87463,.T.); #205756=EDGE_CURVE('',#165108,#165109,#87464,.T.); #205757=EDGE_CURVE('',#165110,#165108,#87465,.T.); #205758=EDGE_CURVE('',#165111,#165109,#87466,.T.); #205759=EDGE_CURVE('',#165110,#165111,#87467,.T.); #205760=EDGE_CURVE('',#165112,#165110,#87468,.T.); #205761=EDGE_CURVE('',#165113,#165111,#87469,.T.); #205762=EDGE_CURVE('',#165112,#165113,#87470,.T.); #205763=EDGE_CURVE('',#165114,#165112,#87471,.T.); #205764=EDGE_CURVE('',#165115,#165113,#87472,.T.); #205765=EDGE_CURVE('',#165114,#165115,#87473,.T.); #205766=EDGE_CURVE('',#165116,#165114,#87474,.T.); #205767=EDGE_CURVE('',#165117,#165115,#87475,.T.); #205768=EDGE_CURVE('',#165116,#165117,#87476,.T.); #205769=EDGE_CURVE('',#165118,#165116,#87477,.T.); #205770=EDGE_CURVE('',#165119,#165117,#87478,.T.); #205771=EDGE_CURVE('',#165118,#165119,#87479,.T.); #205772=EDGE_CURVE('',#165120,#165118,#87480,.T.); #205773=EDGE_CURVE('',#165121,#165119,#87481,.T.); #205774=EDGE_CURVE('',#165120,#165121,#87482,.T.); #205775=EDGE_CURVE('',#165122,#165120,#87483,.T.); #205776=EDGE_CURVE('',#165123,#165121,#87484,.T.); #205777=EDGE_CURVE('',#165122,#165123,#87485,.T.); #205778=EDGE_CURVE('',#165085,#165122,#87486,.T.); #205779=EDGE_CURVE('',#165086,#165123,#87487,.T.); #205780=EDGE_CURVE('',#165124,#165125,#87488,.T.); #205781=EDGE_CURVE('',#165125,#165126,#87489,.T.); #205782=EDGE_CURVE('',#165127,#165126,#87490,.T.); #205783=EDGE_CURVE('',#165124,#165127,#87491,.T.); #205784=EDGE_CURVE('',#165128,#165124,#87492,.T.); #205785=EDGE_CURVE('',#165129,#165127,#87493,.T.); #205786=EDGE_CURVE('',#165128,#165129,#87494,.T.); #205787=EDGE_CURVE('',#165130,#165128,#87495,.T.); #205788=EDGE_CURVE('',#165131,#165129,#87496,.T.); #205789=EDGE_CURVE('',#165130,#165131,#87497,.T.); #205790=EDGE_CURVE('',#165132,#165130,#87498,.T.); #205791=EDGE_CURVE('',#165133,#165131,#87499,.T.); #205792=EDGE_CURVE('',#165132,#165133,#87500,.T.); #205793=EDGE_CURVE('',#165134,#165132,#87501,.T.); #205794=EDGE_CURVE('',#165135,#165133,#87502,.T.); #205795=EDGE_CURVE('',#165134,#165135,#87503,.T.); #205796=EDGE_CURVE('',#165136,#165134,#87504,.T.); #205797=EDGE_CURVE('',#165137,#165135,#87505,.T.); #205798=EDGE_CURVE('',#165136,#165137,#87506,.T.); #205799=EDGE_CURVE('',#165138,#165136,#87507,.T.); #205800=EDGE_CURVE('',#165139,#165137,#87508,.T.); #205801=EDGE_CURVE('',#165138,#165139,#87509,.T.); #205802=EDGE_CURVE('',#165140,#165138,#87510,.T.); #205803=EDGE_CURVE('',#165141,#165139,#87511,.T.); #205804=EDGE_CURVE('',#165140,#165141,#87512,.T.); #205805=EDGE_CURVE('',#165142,#165140,#87513,.T.); #205806=EDGE_CURVE('',#165143,#165141,#87514,.T.); #205807=EDGE_CURVE('',#165142,#165143,#87515,.T.); #205808=EDGE_CURVE('',#165144,#165142,#87516,.T.); #205809=EDGE_CURVE('',#165145,#165143,#87517,.T.); #205810=EDGE_CURVE('',#165144,#165145,#87518,.T.); #205811=EDGE_CURVE('',#165146,#165144,#87519,.T.); #205812=EDGE_CURVE('',#165147,#165145,#87520,.T.); #205813=EDGE_CURVE('',#165146,#165147,#87521,.T.); #205814=EDGE_CURVE('',#165148,#165146,#87522,.T.); #205815=EDGE_CURVE('',#165149,#165147,#87523,.T.); #205816=EDGE_CURVE('',#165148,#165149,#87524,.T.); #205817=EDGE_CURVE('',#165150,#165148,#87525,.T.); #205818=EDGE_CURVE('',#165151,#165149,#87526,.T.); #205819=EDGE_CURVE('',#165150,#165151,#87527,.T.); #205820=EDGE_CURVE('',#165152,#165150,#87528,.T.); #205821=EDGE_CURVE('',#165153,#165151,#87529,.T.); #205822=EDGE_CURVE('',#165152,#165153,#87530,.T.); #205823=EDGE_CURVE('',#165154,#165152,#87531,.T.); #205824=EDGE_CURVE('',#165155,#165153,#87532,.T.); #205825=EDGE_CURVE('',#165154,#165155,#87533,.T.); #205826=EDGE_CURVE('',#165156,#165154,#87534,.T.); #205827=EDGE_CURVE('',#165157,#165155,#87535,.T.); #205828=EDGE_CURVE('',#165156,#165157,#87536,.T.); #205829=EDGE_CURVE('',#165158,#165156,#87537,.T.); #205830=EDGE_CURVE('',#165159,#165157,#87538,.T.); #205831=EDGE_CURVE('',#165158,#165159,#87539,.T.); #205832=EDGE_CURVE('',#165160,#165158,#87540,.T.); #205833=EDGE_CURVE('',#165161,#165159,#87541,.T.); #205834=EDGE_CURVE('',#165160,#165161,#87542,.T.); #205835=EDGE_CURVE('',#165162,#165160,#87543,.T.); #205836=EDGE_CURVE('',#165163,#165161,#87544,.T.); #205837=EDGE_CURVE('',#165162,#165163,#87545,.T.); #205838=EDGE_CURVE('',#165164,#165162,#87546,.T.); #205839=EDGE_CURVE('',#165165,#165163,#87547,.T.); #205840=EDGE_CURVE('',#165164,#165165,#87548,.T.); #205841=EDGE_CURVE('',#165166,#165164,#87549,.T.); #205842=EDGE_CURVE('',#165167,#165165,#87550,.T.); #205843=EDGE_CURVE('',#165166,#165167,#87551,.T.); #205844=EDGE_CURVE('',#165168,#165166,#87552,.T.); #205845=EDGE_CURVE('',#165169,#165167,#87553,.T.); #205846=EDGE_CURVE('',#165168,#165169,#87554,.T.); #205847=EDGE_CURVE('',#165170,#165168,#87555,.T.); #205848=EDGE_CURVE('',#165171,#165169,#87556,.T.); #205849=EDGE_CURVE('',#165170,#165171,#87557,.T.); #205850=EDGE_CURVE('',#165172,#165170,#87558,.T.); #205851=EDGE_CURVE('',#165173,#165171,#87559,.T.); #205852=EDGE_CURVE('',#165172,#165173,#87560,.T.); #205853=EDGE_CURVE('',#165174,#165172,#87561,.T.); #205854=EDGE_CURVE('',#165175,#165173,#87562,.T.); #205855=EDGE_CURVE('',#165174,#165175,#87563,.T.); #205856=EDGE_CURVE('',#165176,#165174,#87564,.T.); #205857=EDGE_CURVE('',#165177,#165175,#87565,.T.); #205858=EDGE_CURVE('',#165176,#165177,#87566,.T.); #205859=EDGE_CURVE('',#165178,#165176,#87567,.T.); #205860=EDGE_CURVE('',#165179,#165177,#87568,.T.); #205861=EDGE_CURVE('',#165178,#165179,#87569,.T.); #205862=EDGE_CURVE('',#165180,#165178,#87570,.T.); #205863=EDGE_CURVE('',#165181,#165179,#87571,.T.); #205864=EDGE_CURVE('',#165180,#165181,#87572,.T.); #205865=EDGE_CURVE('',#165182,#165180,#87573,.T.); #205866=EDGE_CURVE('',#165183,#165181,#87574,.T.); #205867=EDGE_CURVE('',#165182,#165183,#87575,.T.); #205868=EDGE_CURVE('',#165184,#165182,#87576,.T.); #205869=EDGE_CURVE('',#165185,#165183,#87577,.T.); #205870=EDGE_CURVE('',#165184,#165185,#87578,.T.); #205871=EDGE_CURVE('',#165186,#165184,#87579,.T.); #205872=EDGE_CURVE('',#165187,#165185,#87580,.T.); #205873=EDGE_CURVE('',#165186,#165187,#87581,.T.); #205874=EDGE_CURVE('',#165188,#165186,#87582,.T.); #205875=EDGE_CURVE('',#165189,#165187,#87583,.T.); #205876=EDGE_CURVE('',#165188,#165189,#87584,.T.); #205877=EDGE_CURVE('',#165190,#165188,#87585,.T.); #205878=EDGE_CURVE('',#165191,#165189,#87586,.T.); #205879=EDGE_CURVE('',#165190,#165191,#87587,.T.); #205880=EDGE_CURVE('',#165192,#165190,#87588,.T.); #205881=EDGE_CURVE('',#165193,#165191,#87589,.T.); #205882=EDGE_CURVE('',#165192,#165193,#87590,.T.); #205883=EDGE_CURVE('',#165194,#165192,#87591,.T.); #205884=EDGE_CURVE('',#165195,#165193,#87592,.T.); #205885=EDGE_CURVE('',#165194,#165195,#87593,.T.); #205886=EDGE_CURVE('',#165196,#165194,#87594,.T.); #205887=EDGE_CURVE('',#165197,#165195,#87595,.T.); #205888=EDGE_CURVE('',#165196,#165197,#87596,.T.); #205889=EDGE_CURVE('',#165198,#165196,#87597,.T.); #205890=EDGE_CURVE('',#165199,#165197,#87598,.T.); #205891=EDGE_CURVE('',#165198,#165199,#87599,.T.); #205892=EDGE_CURVE('',#165200,#165198,#87600,.T.); #205893=EDGE_CURVE('',#165201,#165199,#87601,.T.); #205894=EDGE_CURVE('',#165200,#165201,#87602,.T.); #205895=EDGE_CURVE('',#165202,#165200,#87603,.T.); #205896=EDGE_CURVE('',#165203,#165201,#87604,.T.); #205897=EDGE_CURVE('',#165202,#165203,#87605,.T.); #205898=EDGE_CURVE('',#165204,#165202,#87606,.T.); #205899=EDGE_CURVE('',#165205,#165203,#87607,.T.); #205900=EDGE_CURVE('',#165204,#165205,#87608,.T.); #205901=EDGE_CURVE('',#165206,#165204,#87609,.T.); #205902=EDGE_CURVE('',#165207,#165205,#87610,.T.); #205903=EDGE_CURVE('',#165206,#165207,#87611,.T.); #205904=EDGE_CURVE('',#165208,#165206,#87612,.T.); #205905=EDGE_CURVE('',#165209,#165207,#87613,.T.); #205906=EDGE_CURVE('',#165208,#165209,#87614,.T.); #205907=EDGE_CURVE('',#165210,#165208,#87615,.T.); #205908=EDGE_CURVE('',#165211,#165209,#87616,.T.); #205909=EDGE_CURVE('',#165210,#165211,#87617,.T.); #205910=EDGE_CURVE('',#165212,#165210,#87618,.T.); #205911=EDGE_CURVE('',#165213,#165211,#87619,.T.); #205912=EDGE_CURVE('',#165212,#165213,#87620,.T.); #205913=EDGE_CURVE('',#165214,#165212,#87621,.T.); #205914=EDGE_CURVE('',#165215,#165213,#87622,.T.); #205915=EDGE_CURVE('',#165214,#165215,#87623,.T.); #205916=EDGE_CURVE('',#165216,#165214,#87624,.T.); #205917=EDGE_CURVE('',#165217,#165215,#87625,.T.); #205918=EDGE_CURVE('',#165216,#165217,#87626,.T.); #205919=EDGE_CURVE('',#165125,#165216,#87627,.T.); #205920=EDGE_CURVE('',#165126,#165217,#87628,.T.); #205921=EDGE_CURVE('',#165218,#165219,#87629,.T.); #205922=EDGE_CURVE('',#165219,#165220,#87630,.T.); #205923=EDGE_CURVE('',#165221,#165220,#87631,.T.); #205924=EDGE_CURVE('',#165218,#165221,#87632,.T.); #205925=EDGE_CURVE('',#165222,#165218,#87633,.T.); #205926=EDGE_CURVE('',#165223,#165221,#87634,.T.); #205927=EDGE_CURVE('',#165222,#165223,#87635,.T.); #205928=EDGE_CURVE('',#165224,#165222,#87636,.T.); #205929=EDGE_CURVE('',#165225,#165223,#87637,.T.); #205930=EDGE_CURVE('',#165224,#165225,#87638,.T.); #205931=EDGE_CURVE('',#165226,#165224,#87639,.T.); #205932=EDGE_CURVE('',#165227,#165225,#87640,.T.); #205933=EDGE_CURVE('',#165226,#165227,#87641,.T.); #205934=EDGE_CURVE('',#165228,#165226,#87642,.T.); #205935=EDGE_CURVE('',#165229,#165227,#87643,.T.); #205936=EDGE_CURVE('',#165228,#165229,#87644,.T.); #205937=EDGE_CURVE('',#165230,#165228,#87645,.T.); #205938=EDGE_CURVE('',#165231,#165229,#87646,.T.); #205939=EDGE_CURVE('',#165230,#165231,#87647,.T.); #205940=EDGE_CURVE('',#165232,#165230,#87648,.T.); #205941=EDGE_CURVE('',#165233,#165231,#87649,.T.); #205942=EDGE_CURVE('',#165232,#165233,#87650,.T.); #205943=EDGE_CURVE('',#165234,#165232,#87651,.T.); #205944=EDGE_CURVE('',#165235,#165233,#87652,.T.); #205945=EDGE_CURVE('',#165234,#165235,#87653,.T.); #205946=EDGE_CURVE('',#165236,#165234,#87654,.T.); #205947=EDGE_CURVE('',#165237,#165235,#87655,.T.); #205948=EDGE_CURVE('',#165236,#165237,#87656,.T.); #205949=EDGE_CURVE('',#165238,#165236,#87657,.T.); #205950=EDGE_CURVE('',#165239,#165237,#87658,.T.); #205951=EDGE_CURVE('',#165238,#165239,#87659,.T.); #205952=EDGE_CURVE('',#165240,#165238,#87660,.T.); #205953=EDGE_CURVE('',#165241,#165239,#87661,.T.); #205954=EDGE_CURVE('',#165240,#165241,#87662,.T.); #205955=EDGE_CURVE('',#165242,#165240,#87663,.T.); #205956=EDGE_CURVE('',#165243,#165241,#87664,.T.); #205957=EDGE_CURVE('',#165242,#165243,#87665,.T.); #205958=EDGE_CURVE('',#165244,#165242,#87666,.T.); #205959=EDGE_CURVE('',#165245,#165243,#87667,.T.); #205960=EDGE_CURVE('',#165244,#165245,#87668,.T.); #205961=EDGE_CURVE('',#165246,#165244,#87669,.T.); #205962=EDGE_CURVE('',#165247,#165245,#87670,.T.); #205963=EDGE_CURVE('',#165246,#165247,#87671,.T.); #205964=EDGE_CURVE('',#165248,#165246,#87672,.T.); #205965=EDGE_CURVE('',#165249,#165247,#87673,.T.); #205966=EDGE_CURVE('',#165248,#165249,#87674,.T.); #205967=EDGE_CURVE('',#165250,#165248,#87675,.T.); #205968=EDGE_CURVE('',#165251,#165249,#87676,.T.); #205969=EDGE_CURVE('',#165250,#165251,#87677,.T.); #205970=EDGE_CURVE('',#165252,#165250,#87678,.T.); #205971=EDGE_CURVE('',#165253,#165251,#87679,.T.); #205972=EDGE_CURVE('',#165252,#165253,#87680,.T.); #205973=EDGE_CURVE('',#165254,#165252,#87681,.T.); #205974=EDGE_CURVE('',#165255,#165253,#87682,.T.); #205975=EDGE_CURVE('',#165254,#165255,#87683,.T.); #205976=EDGE_CURVE('',#165256,#165254,#87684,.T.); #205977=EDGE_CURVE('',#165257,#165255,#87685,.T.); #205978=EDGE_CURVE('',#165256,#165257,#87686,.T.); #205979=EDGE_CURVE('',#165258,#165256,#87687,.T.); #205980=EDGE_CURVE('',#165259,#165257,#87688,.T.); #205981=EDGE_CURVE('',#165258,#165259,#87689,.T.); #205982=EDGE_CURVE('',#165260,#165258,#87690,.T.); #205983=EDGE_CURVE('',#165261,#165259,#87691,.T.); #205984=EDGE_CURVE('',#165260,#165261,#87692,.T.); #205985=EDGE_CURVE('',#165262,#165260,#87693,.T.); #205986=EDGE_CURVE('',#165263,#165261,#87694,.T.); #205987=EDGE_CURVE('',#165262,#165263,#87695,.T.); #205988=EDGE_CURVE('',#165264,#165262,#87696,.T.); #205989=EDGE_CURVE('',#165265,#165263,#87697,.T.); #205990=EDGE_CURVE('',#165264,#165265,#87698,.T.); #205991=EDGE_CURVE('',#165266,#165264,#87699,.T.); #205992=EDGE_CURVE('',#165267,#165265,#87700,.T.); #205993=EDGE_CURVE('',#165266,#165267,#87701,.T.); #205994=EDGE_CURVE('',#165268,#165266,#87702,.T.); #205995=EDGE_CURVE('',#165269,#165267,#87703,.T.); #205996=EDGE_CURVE('',#165268,#165269,#87704,.T.); #205997=EDGE_CURVE('',#165270,#165268,#87705,.T.); #205998=EDGE_CURVE('',#165271,#165269,#87706,.T.); #205999=EDGE_CURVE('',#165270,#165271,#87707,.T.); #206000=EDGE_CURVE('',#165272,#165270,#87708,.T.); #206001=EDGE_CURVE('',#165273,#165271,#87709,.T.); #206002=EDGE_CURVE('',#165272,#165273,#87710,.T.); #206003=EDGE_CURVE('',#165219,#165272,#87711,.T.); #206004=EDGE_CURVE('',#165220,#165273,#87712,.T.); #206005=EDGE_CURVE('',#165274,#165274,#141106,.T.); #206006=EDGE_CURVE('',#165274,#165275,#87713,.T.); #206007=EDGE_CURVE('',#165275,#165275,#141107,.T.); #206008=EDGE_CURVE('',#165276,#165276,#141108,.T.); #206009=EDGE_CURVE('',#165276,#165277,#87714,.T.); #206010=EDGE_CURVE('',#165277,#165277,#141109,.T.); #206011=EDGE_CURVE('',#165278,#165278,#141110,.T.); #206012=EDGE_CURVE('',#165278,#165279,#87715,.T.); #206013=EDGE_CURVE('',#165279,#165279,#141111,.T.); #206014=EDGE_CURVE('',#165280,#165280,#141112,.T.); #206015=EDGE_CURVE('',#165280,#165281,#87716,.T.); #206016=EDGE_CURVE('',#165281,#165281,#141113,.T.); #206017=EDGE_CURVE('',#165282,#165282,#141114,.T.); #206018=EDGE_CURVE('',#165282,#165283,#87717,.T.); #206019=EDGE_CURVE('',#165283,#165283,#141115,.T.); #206020=EDGE_CURVE('',#165284,#165284,#141116,.T.); #206021=EDGE_CURVE('',#165284,#165285,#87718,.T.); #206022=EDGE_CURVE('',#165285,#165285,#141117,.T.); #206023=EDGE_CURVE('',#165286,#165286,#141118,.T.); #206024=EDGE_CURVE('',#165286,#165287,#87719,.T.); #206025=EDGE_CURVE('',#165287,#165287,#141119,.T.); #206026=EDGE_CURVE('',#165288,#165288,#141120,.T.); #206027=EDGE_CURVE('',#165288,#165289,#87720,.T.); #206028=EDGE_CURVE('',#165289,#165289,#141121,.T.); #206029=EDGE_CURVE('',#165290,#165290,#141122,.T.); #206030=EDGE_CURVE('',#165290,#165291,#87721,.T.); #206031=EDGE_CURVE('',#165291,#165291,#141123,.T.); #206032=EDGE_CURVE('',#165292,#165292,#141124,.T.); #206033=EDGE_CURVE('',#165292,#165293,#87722,.T.); #206034=EDGE_CURVE('',#165293,#165293,#141125,.T.); #206035=EDGE_CURVE('',#165294,#165294,#141126,.T.); #206036=EDGE_CURVE('',#165294,#165295,#87723,.T.); #206037=EDGE_CURVE('',#165295,#165295,#141127,.T.); #206038=EDGE_CURVE('',#165296,#165296,#141128,.T.); #206039=EDGE_CURVE('',#165296,#165297,#87724,.T.); #206040=EDGE_CURVE('',#165297,#165297,#141129,.T.); #206041=EDGE_CURVE('',#165298,#165298,#141130,.T.); #206042=EDGE_CURVE('',#165298,#165299,#87725,.T.); #206043=EDGE_CURVE('',#165299,#165299,#141131,.T.); #206044=EDGE_CURVE('',#165300,#165300,#141132,.T.); #206045=EDGE_CURVE('',#165300,#165301,#87726,.T.); #206046=EDGE_CURVE('',#165301,#165301,#141133,.T.); #206047=EDGE_CURVE('',#165302,#165302,#141134,.T.); #206048=EDGE_CURVE('',#165302,#165303,#87727,.T.); #206049=EDGE_CURVE('',#165303,#165303,#141135,.T.); #206050=EDGE_CURVE('',#165304,#165304,#141136,.T.); #206051=EDGE_CURVE('',#165304,#165305,#87728,.T.); #206052=EDGE_CURVE('',#165305,#165305,#141137,.T.); #206053=EDGE_CURVE('',#165306,#165307,#87729,.T.); #206054=EDGE_CURVE('',#165307,#165308,#87730,.T.); #206055=EDGE_CURVE('',#165309,#165308,#87731,.T.); #206056=EDGE_CURVE('',#165306,#165309,#87732,.T.); #206057=EDGE_CURVE('',#165310,#165306,#87733,.T.); #206058=EDGE_CURVE('',#165311,#165309,#87734,.T.); #206059=EDGE_CURVE('',#165310,#165311,#87735,.T.); #206060=EDGE_CURVE('',#165312,#165310,#87736,.T.); #206061=EDGE_CURVE('',#165313,#165311,#87737,.T.); #206062=EDGE_CURVE('',#165312,#165313,#87738,.T.); #206063=EDGE_CURVE('',#165314,#165312,#87739,.T.); #206064=EDGE_CURVE('',#165315,#165313,#87740,.T.); #206065=EDGE_CURVE('',#165314,#165315,#87741,.T.); #206066=EDGE_CURVE('',#165316,#165314,#87742,.T.); #206067=EDGE_CURVE('',#165317,#165315,#87743,.T.); #206068=EDGE_CURVE('',#165316,#165317,#87744,.T.); #206069=EDGE_CURVE('',#165318,#165316,#87745,.T.); #206070=EDGE_CURVE('',#165319,#165317,#87746,.T.); #206071=EDGE_CURVE('',#165318,#165319,#87747,.T.); #206072=EDGE_CURVE('',#165320,#165318,#87748,.T.); #206073=EDGE_CURVE('',#165321,#165319,#87749,.T.); #206074=EDGE_CURVE('',#165320,#165321,#87750,.T.); #206075=EDGE_CURVE('',#165322,#165320,#87751,.T.); #206076=EDGE_CURVE('',#165323,#165321,#87752,.T.); #206077=EDGE_CURVE('',#165322,#165323,#87753,.T.); #206078=EDGE_CURVE('',#165324,#165322,#87754,.T.); #206079=EDGE_CURVE('',#165325,#165323,#87755,.T.); #206080=EDGE_CURVE('',#165324,#165325,#87756,.T.); #206081=EDGE_CURVE('',#165326,#165324,#87757,.T.); #206082=EDGE_CURVE('',#165327,#165325,#87758,.T.); #206083=EDGE_CURVE('',#165326,#165327,#87759,.T.); #206084=EDGE_CURVE('',#165328,#165326,#87760,.T.); #206085=EDGE_CURVE('',#165329,#165327,#87761,.T.); #206086=EDGE_CURVE('',#165328,#165329,#87762,.T.); #206087=EDGE_CURVE('',#165330,#165328,#87763,.T.); #206088=EDGE_CURVE('',#165331,#165329,#87764,.T.); #206089=EDGE_CURVE('',#165330,#165331,#87765,.T.); #206090=EDGE_CURVE('',#165332,#165330,#87766,.T.); #206091=EDGE_CURVE('',#165333,#165331,#87767,.T.); #206092=EDGE_CURVE('',#165332,#165333,#87768,.T.); #206093=EDGE_CURVE('',#165334,#165332,#87769,.T.); #206094=EDGE_CURVE('',#165335,#165333,#87770,.T.); #206095=EDGE_CURVE('',#165334,#165335,#87771,.T.); #206096=EDGE_CURVE('',#165336,#165334,#87772,.T.); #206097=EDGE_CURVE('',#165337,#165335,#87773,.T.); #206098=EDGE_CURVE('',#165336,#165337,#87774,.T.); #206099=EDGE_CURVE('',#165338,#165336,#87775,.T.); #206100=EDGE_CURVE('',#165339,#165337,#87776,.T.); #206101=EDGE_CURVE('',#165338,#165339,#87777,.T.); #206102=EDGE_CURVE('',#165340,#165338,#87778,.T.); #206103=EDGE_CURVE('',#165341,#165339,#87779,.T.); #206104=EDGE_CURVE('',#165340,#165341,#87780,.T.); #206105=EDGE_CURVE('',#165342,#165340,#87781,.T.); #206106=EDGE_CURVE('',#165343,#165341,#87782,.T.); #206107=EDGE_CURVE('',#165342,#165343,#87783,.T.); #206108=EDGE_CURVE('',#165344,#165342,#87784,.T.); #206109=EDGE_CURVE('',#165345,#165343,#87785,.T.); #206110=EDGE_CURVE('',#165344,#165345,#87786,.T.); #206111=EDGE_CURVE('',#165346,#165344,#87787,.T.); #206112=EDGE_CURVE('',#165347,#165345,#87788,.T.); #206113=EDGE_CURVE('',#165346,#165347,#87789,.T.); #206114=EDGE_CURVE('',#165348,#165346,#87790,.T.); #206115=EDGE_CURVE('',#165349,#165347,#87791,.T.); #206116=EDGE_CURVE('',#165348,#165349,#87792,.T.); #206117=EDGE_CURVE('',#165350,#165348,#87793,.T.); #206118=EDGE_CURVE('',#165351,#165349,#87794,.T.); #206119=EDGE_CURVE('',#165350,#165351,#87795,.T.); #206120=EDGE_CURVE('',#165352,#165350,#87796,.T.); #206121=EDGE_CURVE('',#165353,#165351,#87797,.T.); #206122=EDGE_CURVE('',#165352,#165353,#87798,.T.); #206123=EDGE_CURVE('',#165354,#165352,#87799,.T.); #206124=EDGE_CURVE('',#165355,#165353,#87800,.T.); #206125=EDGE_CURVE('',#165354,#165355,#87801,.T.); #206126=EDGE_CURVE('',#165356,#165354,#87802,.T.); #206127=EDGE_CURVE('',#165357,#165355,#87803,.T.); #206128=EDGE_CURVE('',#165356,#165357,#87804,.T.); #206129=EDGE_CURVE('',#165358,#165356,#87805,.T.); #206130=EDGE_CURVE('',#165359,#165357,#87806,.T.); #206131=EDGE_CURVE('',#165358,#165359,#87807,.T.); #206132=EDGE_CURVE('',#165360,#165358,#87808,.T.); #206133=EDGE_CURVE('',#165361,#165359,#87809,.T.); #206134=EDGE_CURVE('',#165360,#165361,#87810,.T.); #206135=EDGE_CURVE('',#165362,#165360,#87811,.T.); #206136=EDGE_CURVE('',#165363,#165361,#87812,.T.); #206137=EDGE_CURVE('',#165362,#165363,#87813,.T.); #206138=EDGE_CURVE('',#165364,#165362,#87814,.T.); #206139=EDGE_CURVE('',#165365,#165363,#87815,.T.); #206140=EDGE_CURVE('',#165364,#165365,#87816,.T.); #206141=EDGE_CURVE('',#165366,#165364,#87817,.T.); #206142=EDGE_CURVE('',#165367,#165365,#87818,.T.); #206143=EDGE_CURVE('',#165366,#165367,#87819,.T.); #206144=EDGE_CURVE('',#165368,#165366,#87820,.T.); #206145=EDGE_CURVE('',#165369,#165367,#87821,.T.); #206146=EDGE_CURVE('',#165368,#165369,#87822,.T.); #206147=EDGE_CURVE('',#165370,#165368,#87823,.T.); #206148=EDGE_CURVE('',#165371,#165369,#87824,.T.); #206149=EDGE_CURVE('',#165370,#165371,#87825,.T.); #206150=EDGE_CURVE('',#165372,#165370,#87826,.T.); #206151=EDGE_CURVE('',#165373,#165371,#87827,.T.); #206152=EDGE_CURVE('',#165372,#165373,#87828,.T.); #206153=EDGE_CURVE('',#165374,#165372,#87829,.T.); #206154=EDGE_CURVE('',#165375,#165373,#87830,.T.); #206155=EDGE_CURVE('',#165374,#165375,#87831,.T.); #206156=EDGE_CURVE('',#165376,#165374,#87832,.T.); #206157=EDGE_CURVE('',#165377,#165375,#87833,.T.); #206158=EDGE_CURVE('',#165376,#165377,#87834,.T.); #206159=EDGE_CURVE('',#165378,#165376,#87835,.T.); #206160=EDGE_CURVE('',#165379,#165377,#87836,.T.); #206161=EDGE_CURVE('',#165378,#165379,#87837,.T.); #206162=EDGE_CURVE('',#165380,#165378,#87838,.T.); #206163=EDGE_CURVE('',#165381,#165379,#87839,.T.); #206164=EDGE_CURVE('',#165380,#165381,#87840,.T.); #206165=EDGE_CURVE('',#165382,#165380,#87841,.T.); #206166=EDGE_CURVE('',#165383,#165381,#87842,.T.); #206167=EDGE_CURVE('',#165382,#165383,#87843,.T.); #206168=EDGE_CURVE('',#165384,#165382,#87844,.T.); #206169=EDGE_CURVE('',#165385,#165383,#87845,.T.); #206170=EDGE_CURVE('',#165384,#165385,#87846,.T.); #206171=EDGE_CURVE('',#165386,#165384,#87847,.T.); #206172=EDGE_CURVE('',#165387,#165385,#87848,.T.); #206173=EDGE_CURVE('',#165386,#165387,#87849,.T.); #206174=EDGE_CURVE('',#165388,#165386,#87850,.T.); #206175=EDGE_CURVE('',#165389,#165387,#87851,.T.); #206176=EDGE_CURVE('',#165388,#165389,#87852,.T.); #206177=EDGE_CURVE('',#165390,#165388,#87853,.T.); #206178=EDGE_CURVE('',#165391,#165389,#87854,.T.); #206179=EDGE_CURVE('',#165390,#165391,#87855,.T.); #206180=EDGE_CURVE('',#165392,#165390,#87856,.T.); #206181=EDGE_CURVE('',#165393,#165391,#87857,.T.); #206182=EDGE_CURVE('',#165392,#165393,#87858,.T.); #206183=EDGE_CURVE('',#165394,#165392,#87859,.T.); #206184=EDGE_CURVE('',#165395,#165393,#87860,.T.); #206185=EDGE_CURVE('',#165394,#165395,#87861,.T.); #206186=EDGE_CURVE('',#165396,#165394,#87862,.T.); #206187=EDGE_CURVE('',#165397,#165395,#87863,.T.); #206188=EDGE_CURVE('',#165396,#165397,#87864,.T.); #206189=EDGE_CURVE('',#165398,#165396,#87865,.T.); #206190=EDGE_CURVE('',#165399,#165397,#87866,.T.); #206191=EDGE_CURVE('',#165398,#165399,#87867,.T.); #206192=EDGE_CURVE('',#165400,#165398,#87868,.T.); #206193=EDGE_CURVE('',#165401,#165399,#87869,.T.); #206194=EDGE_CURVE('',#165400,#165401,#87870,.T.); #206195=EDGE_CURVE('',#165402,#165400,#87871,.T.); #206196=EDGE_CURVE('',#165403,#165401,#87872,.T.); #206197=EDGE_CURVE('',#165402,#165403,#87873,.T.); #206198=EDGE_CURVE('',#165404,#165402,#87874,.T.); #206199=EDGE_CURVE('',#165405,#165403,#87875,.T.); #206200=EDGE_CURVE('',#165404,#165405,#87876,.T.); #206201=EDGE_CURVE('',#165406,#165404,#87877,.T.); #206202=EDGE_CURVE('',#165407,#165405,#87878,.T.); #206203=EDGE_CURVE('',#165406,#165407,#87879,.T.); #206204=EDGE_CURVE('',#165408,#165406,#87880,.T.); #206205=EDGE_CURVE('',#165409,#165407,#87881,.T.); #206206=EDGE_CURVE('',#165408,#165409,#87882,.T.); #206207=EDGE_CURVE('',#165410,#165408,#87883,.T.); #206208=EDGE_CURVE('',#165411,#165409,#87884,.T.); #206209=EDGE_CURVE('',#165410,#165411,#87885,.T.); #206210=EDGE_CURVE('',#165412,#165410,#87886,.T.); #206211=EDGE_CURVE('',#165413,#165411,#87887,.T.); #206212=EDGE_CURVE('',#165412,#165413,#87888,.T.); #206213=EDGE_CURVE('',#165414,#165412,#87889,.T.); #206214=EDGE_CURVE('',#165415,#165413,#87890,.T.); #206215=EDGE_CURVE('',#165414,#165415,#87891,.T.); #206216=EDGE_CURVE('',#165416,#165414,#87892,.T.); #206217=EDGE_CURVE('',#165417,#165415,#87893,.T.); #206218=EDGE_CURVE('',#165416,#165417,#87894,.T.); #206219=EDGE_CURVE('',#165418,#165416,#87895,.T.); #206220=EDGE_CURVE('',#165419,#165417,#87896,.T.); #206221=EDGE_CURVE('',#165418,#165419,#87897,.T.); #206222=EDGE_CURVE('',#165420,#165418,#87898,.T.); #206223=EDGE_CURVE('',#165421,#165419,#87899,.T.); #206224=EDGE_CURVE('',#165420,#165421,#87900,.T.); #206225=EDGE_CURVE('',#165422,#165420,#87901,.T.); #206226=EDGE_CURVE('',#165423,#165421,#87902,.T.); #206227=EDGE_CURVE('',#165422,#165423,#87903,.T.); #206228=EDGE_CURVE('',#165424,#165422,#87904,.T.); #206229=EDGE_CURVE('',#165425,#165423,#87905,.T.); #206230=EDGE_CURVE('',#165424,#165425,#87906,.T.); #206231=EDGE_CURVE('',#165426,#165424,#87907,.T.); #206232=EDGE_CURVE('',#165427,#165425,#87908,.T.); #206233=EDGE_CURVE('',#165426,#165427,#87909,.T.); #206234=EDGE_CURVE('',#165428,#165426,#87910,.T.); #206235=EDGE_CURVE('',#165429,#165427,#87911,.T.); #206236=EDGE_CURVE('',#165428,#165429,#87912,.T.); #206237=EDGE_CURVE('',#165430,#165428,#87913,.T.); #206238=EDGE_CURVE('',#165431,#165429,#87914,.T.); #206239=EDGE_CURVE('',#165430,#165431,#87915,.T.); #206240=EDGE_CURVE('',#165432,#165430,#87916,.T.); #206241=EDGE_CURVE('',#165433,#165431,#87917,.T.); #206242=EDGE_CURVE('',#165432,#165433,#87918,.T.); #206243=EDGE_CURVE('',#165434,#165432,#87919,.T.); #206244=EDGE_CURVE('',#165435,#165433,#87920,.T.); #206245=EDGE_CURVE('',#165434,#165435,#87921,.T.); #206246=EDGE_CURVE('',#165436,#165434,#87922,.T.); #206247=EDGE_CURVE('',#165437,#165435,#87923,.T.); #206248=EDGE_CURVE('',#165436,#165437,#87924,.T.); #206249=EDGE_CURVE('',#165438,#165436,#87925,.T.); #206250=EDGE_CURVE('',#165439,#165437,#87926,.T.); #206251=EDGE_CURVE('',#165438,#165439,#87927,.T.); #206252=EDGE_CURVE('',#165440,#165438,#87928,.T.); #206253=EDGE_CURVE('',#165441,#165439,#87929,.T.); #206254=EDGE_CURVE('',#165440,#165441,#87930,.T.); #206255=EDGE_CURVE('',#165442,#165440,#87931,.T.); #206256=EDGE_CURVE('',#165443,#165441,#87932,.T.); #206257=EDGE_CURVE('',#165442,#165443,#87933,.T.); #206258=EDGE_CURVE('',#165444,#165442,#87934,.T.); #206259=EDGE_CURVE('',#165445,#165443,#87935,.T.); #206260=EDGE_CURVE('',#165444,#165445,#87936,.T.); #206261=EDGE_CURVE('',#165446,#165444,#87937,.T.); #206262=EDGE_CURVE('',#165447,#165445,#87938,.T.); #206263=EDGE_CURVE('',#165446,#165447,#87939,.T.); #206264=EDGE_CURVE('',#165448,#165446,#87940,.T.); #206265=EDGE_CURVE('',#165449,#165447,#87941,.T.); #206266=EDGE_CURVE('',#165448,#165449,#87942,.T.); #206267=EDGE_CURVE('',#165450,#165448,#87943,.T.); #206268=EDGE_CURVE('',#165451,#165449,#87944,.T.); #206269=EDGE_CURVE('',#165450,#165451,#87945,.T.); #206270=EDGE_CURVE('',#165452,#165450,#87946,.T.); #206271=EDGE_CURVE('',#165453,#165451,#87947,.T.); #206272=EDGE_CURVE('',#165452,#165453,#87948,.T.); #206273=EDGE_CURVE('',#165307,#165452,#87949,.T.); #206274=EDGE_CURVE('',#165308,#165453,#87950,.T.); #206275=EDGE_CURVE('',#165454,#165454,#141138,.T.); #206276=EDGE_CURVE('',#165454,#165455,#87951,.T.); #206277=EDGE_CURVE('',#165455,#165455,#141139,.T.); #206278=EDGE_CURVE('',#165456,#165456,#141140,.T.); #206279=EDGE_CURVE('',#165456,#165457,#87952,.T.); #206280=EDGE_CURVE('',#165457,#165457,#141141,.T.); #206281=EDGE_CURVE('',#165458,#165458,#141142,.T.); #206282=EDGE_CURVE('',#165458,#165459,#87953,.T.); #206283=EDGE_CURVE('',#165459,#165459,#141143,.T.); #206284=EDGE_CURVE('',#165460,#165460,#141144,.T.); #206285=EDGE_CURVE('',#165460,#165461,#87954,.T.); #206286=EDGE_CURVE('',#165461,#165461,#141145,.T.); #206287=EDGE_CURVE('',#165462,#165462,#141146,.T.); #206288=EDGE_CURVE('',#165462,#165463,#87955,.T.); #206289=EDGE_CURVE('',#165463,#165463,#141147,.T.); #206290=EDGE_CURVE('',#165464,#165464,#141148,.T.); #206291=EDGE_CURVE('',#165464,#165465,#87956,.T.); #206292=EDGE_CURVE('',#165465,#165465,#141149,.T.); #206293=EDGE_CURVE('',#165466,#165466,#141150,.T.); #206294=EDGE_CURVE('',#165466,#165467,#87957,.T.); #206295=EDGE_CURVE('',#165467,#165467,#141151,.T.); #206296=EDGE_CURVE('',#165468,#165468,#141152,.T.); #206297=EDGE_CURVE('',#165468,#165469,#87958,.T.); #206298=EDGE_CURVE('',#165469,#165469,#141153,.T.); #206299=EDGE_CURVE('',#165470,#165470,#141154,.T.); #206300=EDGE_CURVE('',#165470,#165471,#87959,.T.); #206301=EDGE_CURVE('',#165471,#165471,#141155,.T.); #206302=EDGE_CURVE('',#165472,#165472,#141156,.T.); #206303=EDGE_CURVE('',#165472,#165473,#87960,.T.); #206304=EDGE_CURVE('',#165473,#165473,#141157,.T.); #206305=EDGE_CURVE('',#165474,#165474,#141158,.T.); #206306=EDGE_CURVE('',#165474,#165475,#87961,.T.); #206307=EDGE_CURVE('',#165475,#165475,#141159,.T.); #206308=EDGE_CURVE('',#165476,#165476,#141160,.T.); #206309=EDGE_CURVE('',#165476,#165477,#87962,.T.); #206310=EDGE_CURVE('',#165477,#165477,#141161,.T.); #206311=EDGE_CURVE('',#165478,#165478,#141162,.T.); #206312=EDGE_CURVE('',#165478,#165479,#87963,.T.); #206313=EDGE_CURVE('',#165479,#165479,#141163,.T.); #206314=EDGE_CURVE('',#165480,#165480,#141164,.T.); #206315=EDGE_CURVE('',#165480,#165481,#87964,.T.); #206316=EDGE_CURVE('',#165481,#165481,#141165,.T.); #206317=EDGE_CURVE('',#165482,#165482,#141166,.T.); #206318=EDGE_CURVE('',#165482,#165483,#87965,.T.); #206319=EDGE_CURVE('',#165483,#165483,#141167,.T.); #206320=EDGE_CURVE('',#165484,#165484,#141168,.T.); #206321=EDGE_CURVE('',#165484,#165485,#87966,.T.); #206322=EDGE_CURVE('',#165485,#165485,#141169,.T.); #206323=EDGE_CURVE('',#165486,#165487,#87967,.T.); #206324=EDGE_CURVE('',#165487,#165488,#87968,.T.); #206325=EDGE_CURVE('',#165489,#165488,#87969,.T.); #206326=EDGE_CURVE('',#165486,#165489,#87970,.T.); #206327=EDGE_CURVE('',#165490,#165486,#87971,.T.); #206328=EDGE_CURVE('',#165491,#165489,#87972,.T.); #206329=EDGE_CURVE('',#165490,#165491,#87973,.T.); #206330=EDGE_CURVE('',#165492,#165490,#87974,.T.); #206331=EDGE_CURVE('',#165493,#165491,#87975,.T.); #206332=EDGE_CURVE('',#165492,#165493,#87976,.T.); #206333=EDGE_CURVE('',#165494,#165492,#87977,.T.); #206334=EDGE_CURVE('',#165495,#165493,#87978,.T.); #206335=EDGE_CURVE('',#165494,#165495,#87979,.T.); #206336=EDGE_CURVE('',#165496,#165494,#87980,.T.); #206337=EDGE_CURVE('',#165497,#165495,#87981,.T.); #206338=EDGE_CURVE('',#165496,#165497,#87982,.T.); #206339=EDGE_CURVE('',#165498,#165496,#87983,.T.); #206340=EDGE_CURVE('',#165499,#165497,#87984,.T.); #206341=EDGE_CURVE('',#165498,#165499,#87985,.T.); #206342=EDGE_CURVE('',#165500,#165498,#87986,.T.); #206343=EDGE_CURVE('',#165501,#165499,#87987,.T.); #206344=EDGE_CURVE('',#165500,#165501,#87988,.T.); #206345=EDGE_CURVE('',#165502,#165500,#87989,.T.); #206346=EDGE_CURVE('',#165503,#165501,#87990,.T.); #206347=EDGE_CURVE('',#165502,#165503,#87991,.T.); #206348=EDGE_CURVE('',#165504,#165502,#87992,.T.); #206349=EDGE_CURVE('',#165505,#165503,#87993,.T.); #206350=EDGE_CURVE('',#165504,#165505,#87994,.T.); #206351=EDGE_CURVE('',#165506,#165504,#87995,.T.); #206352=EDGE_CURVE('',#165507,#165505,#87996,.T.); #206353=EDGE_CURVE('',#165506,#165507,#87997,.T.); #206354=EDGE_CURVE('',#165508,#165506,#87998,.T.); #206355=EDGE_CURVE('',#165509,#165507,#87999,.T.); #206356=EDGE_CURVE('',#165508,#165509,#88000,.T.); #206357=EDGE_CURVE('',#165510,#165508,#88001,.T.); #206358=EDGE_CURVE('',#165511,#165509,#88002,.T.); #206359=EDGE_CURVE('',#165510,#165511,#88003,.T.); #206360=EDGE_CURVE('',#165512,#165510,#88004,.T.); #206361=EDGE_CURVE('',#165513,#165511,#88005,.T.); #206362=EDGE_CURVE('',#165512,#165513,#88006,.T.); #206363=EDGE_CURVE('',#165514,#165512,#88007,.T.); #206364=EDGE_CURVE('',#165515,#165513,#88008,.T.); #206365=EDGE_CURVE('',#165514,#165515,#88009,.T.); #206366=EDGE_CURVE('',#165516,#165514,#88010,.T.); #206367=EDGE_CURVE('',#165517,#165515,#88011,.T.); #206368=EDGE_CURVE('',#165516,#165517,#88012,.T.); #206369=EDGE_CURVE('',#165518,#165516,#88013,.T.); #206370=EDGE_CURVE('',#165519,#165517,#88014,.T.); #206371=EDGE_CURVE('',#165518,#165519,#88015,.T.); #206372=EDGE_CURVE('',#165520,#165518,#88016,.T.); #206373=EDGE_CURVE('',#165521,#165519,#88017,.T.); #206374=EDGE_CURVE('',#165520,#165521,#88018,.T.); #206375=EDGE_CURVE('',#165522,#165520,#88019,.T.); #206376=EDGE_CURVE('',#165523,#165521,#88020,.T.); #206377=EDGE_CURVE('',#165522,#165523,#88021,.T.); #206378=EDGE_CURVE('',#165524,#165522,#88022,.T.); #206379=EDGE_CURVE('',#165525,#165523,#88023,.T.); #206380=EDGE_CURVE('',#165524,#165525,#88024,.T.); #206381=EDGE_CURVE('',#165526,#165524,#88025,.T.); #206382=EDGE_CURVE('',#165527,#165525,#88026,.T.); #206383=EDGE_CURVE('',#165526,#165527,#88027,.T.); #206384=EDGE_CURVE('',#165528,#165526,#88028,.T.); #206385=EDGE_CURVE('',#165529,#165527,#88029,.T.); #206386=EDGE_CURVE('',#165528,#165529,#88030,.T.); #206387=EDGE_CURVE('',#165530,#165528,#88031,.T.); #206388=EDGE_CURVE('',#165531,#165529,#88032,.T.); #206389=EDGE_CURVE('',#165530,#165531,#88033,.T.); #206390=EDGE_CURVE('',#165532,#165530,#88034,.T.); #206391=EDGE_CURVE('',#165533,#165531,#88035,.T.); #206392=EDGE_CURVE('',#165532,#165533,#88036,.T.); #206393=EDGE_CURVE('',#165534,#165532,#88037,.T.); #206394=EDGE_CURVE('',#165535,#165533,#88038,.T.); #206395=EDGE_CURVE('',#165534,#165535,#88039,.T.); #206396=EDGE_CURVE('',#165536,#165534,#88040,.T.); #206397=EDGE_CURVE('',#165537,#165535,#88041,.T.); #206398=EDGE_CURVE('',#165536,#165537,#88042,.T.); #206399=EDGE_CURVE('',#165538,#165536,#88043,.T.); #206400=EDGE_CURVE('',#165539,#165537,#88044,.T.); #206401=EDGE_CURVE('',#165538,#165539,#88045,.T.); #206402=EDGE_CURVE('',#165540,#165538,#88046,.T.); #206403=EDGE_CURVE('',#165541,#165539,#88047,.T.); #206404=EDGE_CURVE('',#165540,#165541,#88048,.T.); #206405=EDGE_CURVE('',#165542,#165540,#88049,.T.); #206406=EDGE_CURVE('',#165543,#165541,#88050,.T.); #206407=EDGE_CURVE('',#165542,#165543,#88051,.T.); #206408=EDGE_CURVE('',#165544,#165542,#88052,.T.); #206409=EDGE_CURVE('',#165545,#165543,#88053,.T.); #206410=EDGE_CURVE('',#165544,#165545,#88054,.T.); #206411=EDGE_CURVE('',#165546,#165544,#88055,.T.); #206412=EDGE_CURVE('',#165547,#165545,#88056,.T.); #206413=EDGE_CURVE('',#165546,#165547,#88057,.T.); #206414=EDGE_CURVE('',#165548,#165546,#88058,.T.); #206415=EDGE_CURVE('',#165549,#165547,#88059,.T.); #206416=EDGE_CURVE('',#165548,#165549,#88060,.T.); #206417=EDGE_CURVE('',#165550,#165548,#88061,.T.); #206418=EDGE_CURVE('',#165551,#165549,#88062,.T.); #206419=EDGE_CURVE('',#165550,#165551,#88063,.T.); #206420=EDGE_CURVE('',#165552,#165550,#88064,.T.); #206421=EDGE_CURVE('',#165553,#165551,#88065,.T.); #206422=EDGE_CURVE('',#165552,#165553,#88066,.T.); #206423=EDGE_CURVE('',#165554,#165552,#88067,.T.); #206424=EDGE_CURVE('',#165555,#165553,#88068,.T.); #206425=EDGE_CURVE('',#165554,#165555,#88069,.T.); #206426=EDGE_CURVE('',#165556,#165554,#88070,.T.); #206427=EDGE_CURVE('',#165557,#165555,#88071,.T.); #206428=EDGE_CURVE('',#165556,#165557,#88072,.T.); #206429=EDGE_CURVE('',#165558,#165556,#88073,.T.); #206430=EDGE_CURVE('',#165559,#165557,#88074,.T.); #206431=EDGE_CURVE('',#165558,#165559,#88075,.T.); #206432=EDGE_CURVE('',#165560,#165558,#88076,.T.); #206433=EDGE_CURVE('',#165561,#165559,#88077,.T.); #206434=EDGE_CURVE('',#165560,#165561,#88078,.T.); #206435=EDGE_CURVE('',#165562,#165560,#88079,.T.); #206436=EDGE_CURVE('',#165563,#165561,#88080,.T.); #206437=EDGE_CURVE('',#165562,#165563,#88081,.T.); #206438=EDGE_CURVE('',#165564,#165562,#88082,.T.); #206439=EDGE_CURVE('',#165565,#165563,#88083,.T.); #206440=EDGE_CURVE('',#165564,#165565,#88084,.T.); #206441=EDGE_CURVE('',#165566,#165564,#88085,.T.); #206442=EDGE_CURVE('',#165567,#165565,#88086,.T.); #206443=EDGE_CURVE('',#165566,#165567,#88087,.T.); #206444=EDGE_CURVE('',#165568,#165566,#88088,.T.); #206445=EDGE_CURVE('',#165569,#165567,#88089,.T.); #206446=EDGE_CURVE('',#165568,#165569,#88090,.T.); #206447=EDGE_CURVE('',#165570,#165568,#88091,.T.); #206448=EDGE_CURVE('',#165571,#165569,#88092,.T.); #206449=EDGE_CURVE('',#165570,#165571,#88093,.T.); #206450=EDGE_CURVE('',#165572,#165570,#88094,.T.); #206451=EDGE_CURVE('',#165573,#165571,#88095,.T.); #206452=EDGE_CURVE('',#165572,#165573,#88096,.T.); #206453=EDGE_CURVE('',#165574,#165572,#88097,.T.); #206454=EDGE_CURVE('',#165575,#165573,#88098,.T.); #206455=EDGE_CURVE('',#165574,#165575,#88099,.T.); #206456=EDGE_CURVE('',#165576,#165574,#88100,.T.); #206457=EDGE_CURVE('',#165577,#165575,#88101,.T.); #206458=EDGE_CURVE('',#165576,#165577,#88102,.T.); #206459=EDGE_CURVE('',#165578,#165576,#88103,.T.); #206460=EDGE_CURVE('',#165579,#165577,#88104,.T.); #206461=EDGE_CURVE('',#165578,#165579,#88105,.T.); #206462=EDGE_CURVE('',#165580,#165578,#88106,.T.); #206463=EDGE_CURVE('',#165581,#165579,#88107,.T.); #206464=EDGE_CURVE('',#165580,#165581,#88108,.T.); #206465=EDGE_CURVE('',#165582,#165580,#88109,.T.); #206466=EDGE_CURVE('',#165583,#165581,#88110,.T.); #206467=EDGE_CURVE('',#165582,#165583,#88111,.T.); #206468=EDGE_CURVE('',#165584,#165582,#88112,.T.); #206469=EDGE_CURVE('',#165585,#165583,#88113,.T.); #206470=EDGE_CURVE('',#165584,#165585,#88114,.T.); #206471=EDGE_CURVE('',#165586,#165584,#88115,.T.); #206472=EDGE_CURVE('',#165587,#165585,#88116,.T.); #206473=EDGE_CURVE('',#165586,#165587,#88117,.T.); #206474=EDGE_CURVE('',#165588,#165586,#88118,.T.); #206475=EDGE_CURVE('',#165589,#165587,#88119,.T.); #206476=EDGE_CURVE('',#165588,#165589,#88120,.T.); #206477=EDGE_CURVE('',#165590,#165588,#88121,.T.); #206478=EDGE_CURVE('',#165591,#165589,#88122,.T.); #206479=EDGE_CURVE('',#165590,#165591,#88123,.T.); #206480=EDGE_CURVE('',#165592,#165590,#88124,.T.); #206481=EDGE_CURVE('',#165593,#165591,#88125,.T.); #206482=EDGE_CURVE('',#165592,#165593,#88126,.T.); #206483=EDGE_CURVE('',#165594,#165592,#88127,.T.); #206484=EDGE_CURVE('',#165595,#165593,#88128,.T.); #206485=EDGE_CURVE('',#165594,#165595,#88129,.T.); #206486=EDGE_CURVE('',#165596,#165594,#88130,.T.); #206487=EDGE_CURVE('',#165597,#165595,#88131,.T.); #206488=EDGE_CURVE('',#165596,#165597,#88132,.T.); #206489=EDGE_CURVE('',#165598,#165596,#88133,.T.); #206490=EDGE_CURVE('',#165599,#165597,#88134,.T.); #206491=EDGE_CURVE('',#165598,#165599,#88135,.T.); #206492=EDGE_CURVE('',#165600,#165598,#88136,.T.); #206493=EDGE_CURVE('',#165601,#165599,#88137,.T.); #206494=EDGE_CURVE('',#165600,#165601,#88138,.T.); #206495=EDGE_CURVE('',#165602,#165600,#88139,.T.); #206496=EDGE_CURVE('',#165603,#165601,#88140,.T.); #206497=EDGE_CURVE('',#165602,#165603,#88141,.T.); #206498=EDGE_CURVE('',#165604,#165602,#88142,.T.); #206499=EDGE_CURVE('',#165605,#165603,#88143,.T.); #206500=EDGE_CURVE('',#165604,#165605,#88144,.T.); #206501=EDGE_CURVE('',#165606,#165604,#88145,.T.); #206502=EDGE_CURVE('',#165607,#165605,#88146,.T.); #206503=EDGE_CURVE('',#165606,#165607,#88147,.T.); #206504=EDGE_CURVE('',#165608,#165606,#88148,.T.); #206505=EDGE_CURVE('',#165609,#165607,#88149,.T.); #206506=EDGE_CURVE('',#165608,#165609,#88150,.T.); #206507=EDGE_CURVE('',#165610,#165608,#88151,.T.); #206508=EDGE_CURVE('',#165611,#165609,#88152,.T.); #206509=EDGE_CURVE('',#165610,#165611,#88153,.T.); #206510=EDGE_CURVE('',#165612,#165610,#88154,.T.); #206511=EDGE_CURVE('',#165613,#165611,#88155,.T.); #206512=EDGE_CURVE('',#165612,#165613,#88156,.T.); #206513=EDGE_CURVE('',#165614,#165612,#88157,.T.); #206514=EDGE_CURVE('',#165615,#165613,#88158,.T.); #206515=EDGE_CURVE('',#165614,#165615,#88159,.T.); #206516=EDGE_CURVE('',#165616,#165614,#88160,.T.); #206517=EDGE_CURVE('',#165617,#165615,#88161,.T.); #206518=EDGE_CURVE('',#165616,#165617,#88162,.T.); #206519=EDGE_CURVE('',#165618,#165616,#88163,.T.); #206520=EDGE_CURVE('',#165619,#165617,#88164,.T.); #206521=EDGE_CURVE('',#165618,#165619,#88165,.T.); #206522=EDGE_CURVE('',#165620,#165618,#88166,.T.); #206523=EDGE_CURVE('',#165621,#165619,#88167,.T.); #206524=EDGE_CURVE('',#165620,#165621,#88168,.T.); #206525=EDGE_CURVE('',#165622,#165620,#88169,.T.); #206526=EDGE_CURVE('',#165623,#165621,#88170,.T.); #206527=EDGE_CURVE('',#165622,#165623,#88171,.T.); #206528=EDGE_CURVE('',#165624,#165622,#88172,.T.); #206529=EDGE_CURVE('',#165625,#165623,#88173,.T.); #206530=EDGE_CURVE('',#165624,#165625,#88174,.T.); #206531=EDGE_CURVE('',#165487,#165624,#88175,.T.); #206532=EDGE_CURVE('',#165488,#165625,#88176,.T.); #206533=EDGE_CURVE('',#165626,#165626,#141170,.T.); #206534=EDGE_CURVE('',#165626,#165627,#88177,.T.); #206535=EDGE_CURVE('',#165627,#165627,#141171,.T.); #206536=EDGE_CURVE('',#165628,#165628,#141172,.T.); #206537=EDGE_CURVE('',#165628,#165629,#88178,.T.); #206538=EDGE_CURVE('',#165629,#165629,#141173,.T.); #206539=EDGE_CURVE('',#165630,#165630,#141174,.T.); #206540=EDGE_CURVE('',#165630,#165631,#88179,.T.); #206541=EDGE_CURVE('',#165631,#165631,#141175,.T.); #206542=EDGE_CURVE('',#165632,#165632,#141176,.T.); #206543=EDGE_CURVE('',#165632,#165633,#88180,.T.); #206544=EDGE_CURVE('',#165633,#165633,#141177,.T.); #206545=EDGE_CURVE('',#165634,#165634,#141178,.T.); #206546=EDGE_CURVE('',#165634,#165635,#88181,.T.); #206547=EDGE_CURVE('',#165635,#165635,#141179,.T.); #206548=EDGE_CURVE('',#165636,#165636,#141180,.T.); #206549=EDGE_CURVE('',#165636,#165637,#88182,.T.); #206550=EDGE_CURVE('',#165637,#165637,#141181,.T.); #206551=EDGE_CURVE('',#165638,#165638,#141182,.T.); #206552=EDGE_CURVE('',#165638,#165639,#88183,.T.); #206553=EDGE_CURVE('',#165639,#165639,#141183,.T.); #206554=EDGE_CURVE('',#165640,#165640,#141184,.T.); #206555=EDGE_CURVE('',#165640,#165641,#88184,.T.); #206556=EDGE_CURVE('',#165641,#165641,#141185,.T.); #206557=EDGE_CURVE('',#165642,#165642,#141186,.T.); #206558=EDGE_CURVE('',#165642,#165643,#88185,.T.); #206559=EDGE_CURVE('',#165643,#165643,#141187,.T.); #206560=EDGE_CURVE('',#165644,#165644,#141188,.T.); #206561=EDGE_CURVE('',#165644,#165645,#88186,.T.); #206562=EDGE_CURVE('',#165645,#165645,#141189,.T.); #206563=EDGE_CURVE('',#165646,#165646,#141190,.T.); #206564=EDGE_CURVE('',#165646,#165647,#88187,.T.); #206565=EDGE_CURVE('',#165647,#165647,#141191,.T.); #206566=EDGE_CURVE('',#165648,#165648,#141192,.T.); #206567=EDGE_CURVE('',#165648,#165649,#88188,.T.); #206568=EDGE_CURVE('',#165649,#165649,#141193,.T.); #206569=EDGE_CURVE('',#165650,#165650,#141194,.T.); #206570=EDGE_CURVE('',#165650,#165651,#88189,.T.); #206571=EDGE_CURVE('',#165651,#165651,#141195,.T.); #206572=EDGE_CURVE('',#165652,#165652,#141196,.T.); #206573=EDGE_CURVE('',#165652,#165653,#88190,.T.); #206574=EDGE_CURVE('',#165653,#165653,#141197,.T.); #206575=EDGE_CURVE('',#165654,#165654,#141198,.T.); #206576=EDGE_CURVE('',#165654,#165655,#88191,.T.); #206577=EDGE_CURVE('',#165655,#165655,#141199,.T.); #206578=EDGE_CURVE('',#165656,#165656,#141200,.T.); #206579=EDGE_CURVE('',#165656,#165657,#88192,.T.); #206580=EDGE_CURVE('',#165657,#165657,#141201,.T.); #206581=EDGE_CURVE('',#165658,#165658,#141202,.T.); #206582=EDGE_CURVE('',#165658,#165659,#88193,.T.); #206583=EDGE_CURVE('',#165659,#165659,#141203,.T.); #206584=EDGE_CURVE('',#165660,#165660,#141204,.T.); #206585=EDGE_CURVE('',#165660,#165661,#88194,.T.); #206586=EDGE_CURVE('',#165661,#165661,#141205,.T.); #206587=EDGE_CURVE('',#165662,#165662,#141206,.T.); #206588=EDGE_CURVE('',#165662,#165663,#88195,.T.); #206589=EDGE_CURVE('',#165663,#165663,#141207,.T.); #206590=EDGE_CURVE('',#165664,#165664,#141208,.T.); #206591=EDGE_CURVE('',#165664,#165665,#88196,.T.); #206592=EDGE_CURVE('',#165665,#165665,#141209,.T.); #206593=EDGE_CURVE('',#165666,#165666,#141210,.T.); #206594=EDGE_CURVE('',#165666,#165667,#88197,.T.); #206595=EDGE_CURVE('',#165667,#165667,#141211,.T.); #206596=EDGE_CURVE('',#165668,#165668,#141212,.T.); #206597=EDGE_CURVE('',#165668,#165669,#88198,.T.); #206598=EDGE_CURVE('',#165669,#165669,#141213,.T.); #206599=EDGE_CURVE('',#165670,#165670,#141214,.T.); #206600=EDGE_CURVE('',#165670,#165671,#88199,.T.); #206601=EDGE_CURVE('',#165671,#165671,#141215,.T.); #206602=EDGE_CURVE('',#165672,#165672,#141216,.T.); #206603=EDGE_CURVE('',#165672,#165673,#88200,.T.); #206604=EDGE_CURVE('',#165673,#165673,#141217,.T.); #206605=EDGE_CURVE('',#165674,#165674,#141218,.T.); #206606=EDGE_CURVE('',#165674,#165675,#88201,.T.); #206607=EDGE_CURVE('',#165675,#165675,#141219,.T.); #206608=EDGE_CURVE('',#165676,#165676,#141220,.T.); #206609=EDGE_CURVE('',#165676,#165677,#88202,.T.); #206610=EDGE_CURVE('',#165677,#165677,#141221,.T.); #206611=EDGE_CURVE('',#165678,#165678,#141222,.T.); #206612=EDGE_CURVE('',#165678,#165679,#88203,.T.); #206613=EDGE_CURVE('',#165679,#165679,#141223,.T.); #206614=EDGE_CURVE('',#165680,#165680,#141224,.T.); #206615=EDGE_CURVE('',#165680,#165681,#88204,.T.); #206616=EDGE_CURVE('',#165681,#165681,#141225,.T.); #206617=EDGE_CURVE('',#165682,#165682,#141226,.T.); #206618=EDGE_CURVE('',#165682,#165683,#88205,.T.); #206619=EDGE_CURVE('',#165683,#165683,#141227,.T.); #206620=EDGE_CURVE('',#165684,#165684,#141228,.T.); #206621=EDGE_CURVE('',#165684,#165685,#88206,.T.); #206622=EDGE_CURVE('',#165685,#165685,#141229,.T.); #206623=EDGE_CURVE('',#165686,#165687,#88207,.T.); #206624=EDGE_CURVE('',#165687,#165688,#88208,.T.); #206625=EDGE_CURVE('',#165689,#165688,#88209,.T.); #206626=EDGE_CURVE('',#165686,#165689,#88210,.T.); #206627=EDGE_CURVE('',#165690,#165686,#88211,.T.); #206628=EDGE_CURVE('',#165691,#165689,#88212,.T.); #206629=EDGE_CURVE('',#165690,#165691,#88213,.T.); #206630=EDGE_CURVE('',#165692,#165690,#88214,.T.); #206631=EDGE_CURVE('',#165693,#165691,#88215,.T.); #206632=EDGE_CURVE('',#165692,#165693,#88216,.T.); #206633=EDGE_CURVE('',#165694,#165692,#88217,.T.); #206634=EDGE_CURVE('',#165695,#165693,#88218,.T.); #206635=EDGE_CURVE('',#165694,#165695,#88219,.T.); #206636=EDGE_CURVE('',#165696,#165694,#88220,.T.); #206637=EDGE_CURVE('',#165697,#165695,#88221,.T.); #206638=EDGE_CURVE('',#165696,#165697,#88222,.T.); #206639=EDGE_CURVE('',#165698,#165696,#88223,.T.); #206640=EDGE_CURVE('',#165699,#165697,#88224,.T.); #206641=EDGE_CURVE('',#165698,#165699,#88225,.T.); #206642=EDGE_CURVE('',#165700,#165698,#88226,.T.); #206643=EDGE_CURVE('',#165701,#165699,#88227,.T.); #206644=EDGE_CURVE('',#165700,#165701,#88228,.T.); #206645=EDGE_CURVE('',#165702,#165700,#88229,.T.); #206646=EDGE_CURVE('',#165703,#165701,#88230,.T.); #206647=EDGE_CURVE('',#165702,#165703,#88231,.T.); #206648=EDGE_CURVE('',#165704,#165702,#88232,.T.); #206649=EDGE_CURVE('',#165705,#165703,#88233,.T.); #206650=EDGE_CURVE('',#165704,#165705,#88234,.T.); #206651=EDGE_CURVE('',#165706,#165704,#88235,.T.); #206652=EDGE_CURVE('',#165707,#165705,#88236,.T.); #206653=EDGE_CURVE('',#165706,#165707,#88237,.T.); #206654=EDGE_CURVE('',#165708,#165706,#88238,.T.); #206655=EDGE_CURVE('',#165709,#165707,#88239,.T.); #206656=EDGE_CURVE('',#165708,#165709,#88240,.T.); #206657=EDGE_CURVE('',#165710,#165708,#88241,.T.); #206658=EDGE_CURVE('',#165711,#165709,#88242,.T.); #206659=EDGE_CURVE('',#165710,#165711,#88243,.T.); #206660=EDGE_CURVE('',#165712,#165710,#88244,.T.); #206661=EDGE_CURVE('',#165713,#165711,#88245,.T.); #206662=EDGE_CURVE('',#165712,#165713,#88246,.T.); #206663=EDGE_CURVE('',#165714,#165712,#88247,.T.); #206664=EDGE_CURVE('',#165715,#165713,#88248,.T.); #206665=EDGE_CURVE('',#165714,#165715,#88249,.T.); #206666=EDGE_CURVE('',#165716,#165714,#88250,.T.); #206667=EDGE_CURVE('',#165717,#165715,#88251,.T.); #206668=EDGE_CURVE('',#165716,#165717,#88252,.T.); #206669=EDGE_CURVE('',#165718,#165716,#88253,.T.); #206670=EDGE_CURVE('',#165719,#165717,#88254,.T.); #206671=EDGE_CURVE('',#165718,#165719,#88255,.T.); #206672=EDGE_CURVE('',#165720,#165718,#88256,.T.); #206673=EDGE_CURVE('',#165721,#165719,#88257,.T.); #206674=EDGE_CURVE('',#165720,#165721,#88258,.T.); #206675=EDGE_CURVE('',#165722,#165720,#88259,.T.); #206676=EDGE_CURVE('',#165723,#165721,#88260,.T.); #206677=EDGE_CURVE('',#165722,#165723,#88261,.T.); #206678=EDGE_CURVE('',#165724,#165722,#88262,.T.); #206679=EDGE_CURVE('',#165725,#165723,#88263,.T.); #206680=EDGE_CURVE('',#165724,#165725,#88264,.T.); #206681=EDGE_CURVE('',#165726,#165724,#88265,.T.); #206682=EDGE_CURVE('',#165727,#165725,#88266,.T.); #206683=EDGE_CURVE('',#165726,#165727,#88267,.T.); #206684=EDGE_CURVE('',#165728,#165726,#88268,.T.); #206685=EDGE_CURVE('',#165729,#165727,#88269,.T.); #206686=EDGE_CURVE('',#165728,#165729,#88270,.T.); #206687=EDGE_CURVE('',#165730,#165728,#88271,.T.); #206688=EDGE_CURVE('',#165731,#165729,#88272,.T.); #206689=EDGE_CURVE('',#165730,#165731,#88273,.T.); #206690=EDGE_CURVE('',#165732,#165730,#88274,.T.); #206691=EDGE_CURVE('',#165733,#165731,#88275,.T.); #206692=EDGE_CURVE('',#165732,#165733,#88276,.T.); #206693=EDGE_CURVE('',#165734,#165732,#88277,.T.); #206694=EDGE_CURVE('',#165735,#165733,#88278,.T.); #206695=EDGE_CURVE('',#165734,#165735,#88279,.T.); #206696=EDGE_CURVE('',#165736,#165734,#88280,.T.); #206697=EDGE_CURVE('',#165737,#165735,#88281,.T.); #206698=EDGE_CURVE('',#165736,#165737,#88282,.T.); #206699=EDGE_CURVE('',#165738,#165736,#88283,.T.); #206700=EDGE_CURVE('',#165739,#165737,#88284,.T.); #206701=EDGE_CURVE('',#165738,#165739,#88285,.T.); #206702=EDGE_CURVE('',#165740,#165738,#88286,.T.); #206703=EDGE_CURVE('',#165741,#165739,#88287,.T.); #206704=EDGE_CURVE('',#165740,#165741,#88288,.T.); #206705=EDGE_CURVE('',#165742,#165740,#88289,.T.); #206706=EDGE_CURVE('',#165743,#165741,#88290,.T.); #206707=EDGE_CURVE('',#165742,#165743,#88291,.T.); #206708=EDGE_CURVE('',#165744,#165742,#88292,.T.); #206709=EDGE_CURVE('',#165745,#165743,#88293,.T.); #206710=EDGE_CURVE('',#165744,#165745,#88294,.T.); #206711=EDGE_CURVE('',#165746,#165744,#88295,.T.); #206712=EDGE_CURVE('',#165747,#165745,#88296,.T.); #206713=EDGE_CURVE('',#165746,#165747,#88297,.T.); #206714=EDGE_CURVE('',#165748,#165746,#88298,.T.); #206715=EDGE_CURVE('',#165749,#165747,#88299,.T.); #206716=EDGE_CURVE('',#165748,#165749,#88300,.T.); #206717=EDGE_CURVE('',#165750,#165748,#88301,.T.); #206718=EDGE_CURVE('',#165751,#165749,#88302,.T.); #206719=EDGE_CURVE('',#165750,#165751,#88303,.T.); #206720=EDGE_CURVE('',#165752,#165750,#88304,.T.); #206721=EDGE_CURVE('',#165753,#165751,#88305,.T.); #206722=EDGE_CURVE('',#165752,#165753,#88306,.T.); #206723=EDGE_CURVE('',#165754,#165752,#88307,.T.); #206724=EDGE_CURVE('',#165755,#165753,#88308,.T.); #206725=EDGE_CURVE('',#165754,#165755,#88309,.T.); #206726=EDGE_CURVE('',#165756,#165754,#88310,.T.); #206727=EDGE_CURVE('',#165757,#165755,#88311,.T.); #206728=EDGE_CURVE('',#165756,#165757,#88312,.T.); #206729=EDGE_CURVE('',#165758,#165756,#88313,.T.); #206730=EDGE_CURVE('',#165759,#165757,#88314,.T.); #206731=EDGE_CURVE('',#165758,#165759,#88315,.T.); #206732=EDGE_CURVE('',#165760,#165758,#88316,.T.); #206733=EDGE_CURVE('',#165761,#165759,#88317,.T.); #206734=EDGE_CURVE('',#165760,#165761,#88318,.T.); #206735=EDGE_CURVE('',#165762,#165760,#88319,.T.); #206736=EDGE_CURVE('',#165763,#165761,#88320,.T.); #206737=EDGE_CURVE('',#165762,#165763,#88321,.T.); #206738=EDGE_CURVE('',#165764,#165762,#88322,.T.); #206739=EDGE_CURVE('',#165765,#165763,#88323,.T.); #206740=EDGE_CURVE('',#165764,#165765,#88324,.T.); #206741=EDGE_CURVE('',#165766,#165764,#88325,.T.); #206742=EDGE_CURVE('',#165767,#165765,#88326,.T.); #206743=EDGE_CURVE('',#165766,#165767,#88327,.T.); #206744=EDGE_CURVE('',#165768,#165766,#88328,.T.); #206745=EDGE_CURVE('',#165769,#165767,#88329,.T.); #206746=EDGE_CURVE('',#165768,#165769,#88330,.T.); #206747=EDGE_CURVE('',#165770,#165768,#88331,.T.); #206748=EDGE_CURVE('',#165771,#165769,#88332,.T.); #206749=EDGE_CURVE('',#165770,#165771,#88333,.T.); #206750=EDGE_CURVE('',#165772,#165770,#88334,.T.); #206751=EDGE_CURVE('',#165773,#165771,#88335,.T.); #206752=EDGE_CURVE('',#165772,#165773,#88336,.T.); #206753=EDGE_CURVE('',#165774,#165772,#88337,.T.); #206754=EDGE_CURVE('',#165775,#165773,#88338,.T.); #206755=EDGE_CURVE('',#165774,#165775,#88339,.T.); #206756=EDGE_CURVE('',#165687,#165774,#88340,.T.); #206757=EDGE_CURVE('',#165688,#165775,#88341,.T.); #206758=EDGE_CURVE('',#165776,#165776,#141230,.T.); #206759=EDGE_CURVE('',#165776,#165777,#88342,.T.); #206760=EDGE_CURVE('',#165777,#165777,#141231,.T.); #206761=EDGE_CURVE('',#165778,#165778,#141232,.T.); #206762=EDGE_CURVE('',#165778,#165779,#88343,.T.); #206763=EDGE_CURVE('',#165779,#165779,#141233,.T.); #206764=EDGE_CURVE('',#165780,#165781,#88344,.T.); #206765=EDGE_CURVE('',#165781,#165782,#88345,.T.); #206766=EDGE_CURVE('',#165783,#165782,#88346,.T.); #206767=EDGE_CURVE('',#165780,#165783,#88347,.T.); #206768=EDGE_CURVE('',#165784,#165780,#141234,.T.); #206769=EDGE_CURVE('',#165785,#165783,#141235,.T.); #206770=EDGE_CURVE('',#165784,#165785,#88348,.T.); #206771=EDGE_CURVE('',#165786,#165784,#88349,.T.); #206772=EDGE_CURVE('',#165787,#165785,#88350,.T.); #206773=EDGE_CURVE('',#165786,#165787,#88351,.T.); #206774=EDGE_CURVE('',#165788,#165786,#141236,.T.); #206775=EDGE_CURVE('',#165789,#165787,#141237,.T.); #206776=EDGE_CURVE('',#165788,#165789,#88352,.T.); #206777=EDGE_CURVE('',#165790,#165788,#141238,.T.); #206778=EDGE_CURVE('',#165791,#165789,#141239,.T.); #206779=EDGE_CURVE('',#165790,#165791,#88353,.T.); #206780=EDGE_CURVE('',#165792,#165790,#88354,.T.); #206781=EDGE_CURVE('',#165793,#165791,#88355,.T.); #206782=EDGE_CURVE('',#165792,#165793,#88356,.T.); #206783=EDGE_CURVE('',#165781,#165792,#141240,.T.); #206784=EDGE_CURVE('',#165782,#165793,#141241,.T.); #206785=EDGE_CURVE('',#165794,#165794,#141242,.T.); #206786=EDGE_CURVE('',#165794,#165795,#88357,.T.); #206787=EDGE_CURVE('',#165795,#165795,#141243,.T.); #206788=EDGE_CURVE('',#165796,#165796,#141244,.T.); #206789=EDGE_CURVE('',#165796,#165797,#88358,.T.); #206790=EDGE_CURVE('',#165797,#165797,#141245,.T.); #206791=EDGE_CURVE('',#165798,#165798,#141246,.T.); #206792=EDGE_CURVE('',#165798,#165799,#88359,.T.); #206793=EDGE_CURVE('',#165799,#165799,#141247,.T.); #206794=EDGE_CURVE('',#165800,#165800,#141248,.T.); #206795=EDGE_CURVE('',#165800,#165801,#88360,.T.); #206796=EDGE_CURVE('',#165801,#165801,#141249,.T.); #206797=EDGE_CURVE('',#165802,#165802,#141250,.T.); #206798=EDGE_CURVE('',#165802,#165803,#88361,.T.); #206799=EDGE_CURVE('',#165803,#165803,#141251,.T.); #206800=EDGE_CURVE('',#165804,#165804,#141252,.T.); #206801=EDGE_CURVE('',#165804,#165805,#88362,.T.); #206802=EDGE_CURVE('',#165805,#165805,#141253,.T.); #206803=EDGE_CURVE('',#165806,#165806,#141254,.T.); #206804=EDGE_CURVE('',#165806,#165807,#88363,.T.); #206805=EDGE_CURVE('',#165807,#165807,#141255,.T.); #206806=EDGE_CURVE('',#165808,#165808,#141256,.T.); #206807=EDGE_CURVE('',#165808,#165809,#88364,.T.); #206808=EDGE_CURVE('',#165809,#165809,#141257,.T.); #206809=EDGE_CURVE('',#165810,#165810,#141258,.T.); #206810=EDGE_CURVE('',#165810,#165811,#88365,.T.); #206811=EDGE_CURVE('',#165811,#165811,#141259,.T.); #206812=EDGE_CURVE('',#165812,#165812,#141260,.T.); #206813=EDGE_CURVE('',#165812,#165813,#88366,.T.); #206814=EDGE_CURVE('',#165813,#165813,#141261,.T.); #206815=EDGE_CURVE('',#165814,#165814,#141262,.T.); #206816=EDGE_CURVE('',#165814,#165815,#88367,.T.); #206817=EDGE_CURVE('',#165815,#165815,#141263,.T.); #206818=EDGE_CURVE('',#165816,#165816,#141264,.T.); #206819=EDGE_CURVE('',#165816,#165817,#88368,.T.); #206820=EDGE_CURVE('',#165817,#165817,#141265,.T.); #206821=EDGE_CURVE('',#165818,#165818,#141266,.T.); #206822=EDGE_CURVE('',#165818,#165819,#88369,.T.); #206823=EDGE_CURVE('',#165819,#165819,#141267,.T.); #206824=EDGE_CURVE('',#165820,#165820,#141268,.T.); #206825=EDGE_CURVE('',#165820,#165821,#88370,.T.); #206826=EDGE_CURVE('',#165821,#165821,#141269,.T.); #206827=EDGE_CURVE('',#165822,#165822,#141270,.T.); #206828=EDGE_CURVE('',#165822,#165823,#88371,.T.); #206829=EDGE_CURVE('',#165823,#165823,#141271,.T.); #206830=EDGE_CURVE('',#165824,#165824,#141272,.T.); #206831=EDGE_CURVE('',#165824,#165825,#88372,.T.); #206832=EDGE_CURVE('',#165825,#165825,#141273,.T.); #206833=EDGE_CURVE('',#165826,#165826,#141274,.T.); #206834=EDGE_CURVE('',#165826,#165827,#88373,.T.); #206835=EDGE_CURVE('',#165827,#165827,#141275,.T.); #206836=EDGE_CURVE('',#165828,#165828,#141276,.T.); #206837=EDGE_CURVE('',#165828,#165829,#88374,.T.); #206838=EDGE_CURVE('',#165829,#165829,#141277,.T.); #206839=EDGE_CURVE('',#165830,#165830,#141278,.T.); #206840=EDGE_CURVE('',#165830,#165831,#88375,.T.); #206841=EDGE_CURVE('',#165831,#165831,#141279,.T.); #206842=EDGE_CURVE('',#165832,#165832,#141280,.T.); #206843=EDGE_CURVE('',#165832,#165833,#88376,.T.); #206844=EDGE_CURVE('',#165833,#165833,#141281,.T.); #206845=EDGE_CURVE('',#165834,#165834,#141282,.T.); #206846=EDGE_CURVE('',#165834,#165835,#88377,.T.); #206847=EDGE_CURVE('',#165835,#165835,#141283,.T.); #206848=EDGE_CURVE('',#165836,#165836,#141284,.T.); #206849=EDGE_CURVE('',#165836,#165837,#88378,.T.); #206850=EDGE_CURVE('',#165837,#165837,#141285,.T.); #206851=EDGE_CURVE('',#165838,#165838,#141286,.T.); #206852=EDGE_CURVE('',#165838,#165839,#88379,.T.); #206853=EDGE_CURVE('',#165839,#165839,#141287,.T.); #206854=EDGE_CURVE('',#165840,#165840,#141288,.T.); #206855=EDGE_CURVE('',#165840,#165841,#88380,.T.); #206856=EDGE_CURVE('',#165841,#165841,#141289,.T.); #206857=EDGE_CURVE('',#165842,#165842,#141290,.T.); #206858=EDGE_CURVE('',#165842,#165843,#88381,.T.); #206859=EDGE_CURVE('',#165843,#165843,#141291,.T.); #206860=EDGE_CURVE('',#165844,#165844,#141292,.T.); #206861=EDGE_CURVE('',#165844,#165845,#88382,.T.); #206862=EDGE_CURVE('',#165845,#165845,#141293,.T.); #206863=EDGE_CURVE('',#165846,#165846,#141294,.T.); #206864=EDGE_CURVE('',#165846,#165847,#88383,.T.); #206865=EDGE_CURVE('',#165847,#165847,#141295,.T.); #206866=EDGE_CURVE('',#165848,#165848,#141296,.T.); #206867=EDGE_CURVE('',#165848,#165849,#88384,.T.); #206868=EDGE_CURVE('',#165849,#165849,#141297,.T.); #206869=EDGE_CURVE('',#165850,#165850,#141298,.T.); #206870=EDGE_CURVE('',#165850,#165851,#88385,.T.); #206871=EDGE_CURVE('',#165851,#165851,#141299,.T.); #206872=EDGE_CURVE('',#165852,#165852,#141300,.T.); #206873=EDGE_CURVE('',#165852,#165853,#88386,.T.); #206874=EDGE_CURVE('',#165853,#165853,#141301,.T.); #206875=EDGE_CURVE('',#165854,#165855,#88387,.T.); #206876=EDGE_CURVE('',#165855,#165856,#88388,.T.); #206877=EDGE_CURVE('',#165857,#165856,#88389,.T.); #206878=EDGE_CURVE('',#165854,#165857,#88390,.T.); #206879=EDGE_CURVE('',#165858,#165854,#88391,.T.); #206880=EDGE_CURVE('',#165859,#165857,#88392,.T.); #206881=EDGE_CURVE('',#165858,#165859,#88393,.T.); #206882=EDGE_CURVE('',#165860,#165858,#88394,.T.); #206883=EDGE_CURVE('',#165861,#165859,#88395,.T.); #206884=EDGE_CURVE('',#165860,#165861,#88396,.T.); #206885=EDGE_CURVE('',#165862,#165860,#88397,.T.); #206886=EDGE_CURVE('',#165863,#165861,#88398,.T.); #206887=EDGE_CURVE('',#165862,#165863,#88399,.T.); #206888=EDGE_CURVE('',#165864,#165862,#88400,.T.); #206889=EDGE_CURVE('',#165865,#165863,#88401,.T.); #206890=EDGE_CURVE('',#165864,#165865,#88402,.T.); #206891=EDGE_CURVE('',#165866,#165864,#88403,.T.); #206892=EDGE_CURVE('',#165867,#165865,#88404,.T.); #206893=EDGE_CURVE('',#165866,#165867,#88405,.T.); #206894=EDGE_CURVE('',#165868,#165866,#88406,.T.); #206895=EDGE_CURVE('',#165869,#165867,#88407,.T.); #206896=EDGE_CURVE('',#165868,#165869,#88408,.T.); #206897=EDGE_CURVE('',#165870,#165868,#88409,.T.); #206898=EDGE_CURVE('',#165871,#165869,#88410,.T.); #206899=EDGE_CURVE('',#165870,#165871,#88411,.T.); #206900=EDGE_CURVE('',#165872,#165870,#88412,.T.); #206901=EDGE_CURVE('',#165873,#165871,#88413,.T.); #206902=EDGE_CURVE('',#165872,#165873,#88414,.T.); #206903=EDGE_CURVE('',#165874,#165872,#88415,.T.); #206904=EDGE_CURVE('',#165875,#165873,#88416,.T.); #206905=EDGE_CURVE('',#165874,#165875,#88417,.T.); #206906=EDGE_CURVE('',#165876,#165874,#88418,.T.); #206907=EDGE_CURVE('',#165877,#165875,#88419,.T.); #206908=EDGE_CURVE('',#165876,#165877,#88420,.T.); #206909=EDGE_CURVE('',#165878,#165876,#88421,.T.); #206910=EDGE_CURVE('',#165879,#165877,#88422,.T.); #206911=EDGE_CURVE('',#165878,#165879,#88423,.T.); #206912=EDGE_CURVE('',#165880,#165878,#88424,.T.); #206913=EDGE_CURVE('',#165881,#165879,#88425,.T.); #206914=EDGE_CURVE('',#165880,#165881,#88426,.T.); #206915=EDGE_CURVE('',#165882,#165880,#88427,.T.); #206916=EDGE_CURVE('',#165883,#165881,#88428,.T.); #206917=EDGE_CURVE('',#165882,#165883,#88429,.T.); #206918=EDGE_CURVE('',#165884,#165882,#88430,.T.); #206919=EDGE_CURVE('',#165885,#165883,#88431,.T.); #206920=EDGE_CURVE('',#165884,#165885,#88432,.T.); #206921=EDGE_CURVE('',#165886,#165884,#88433,.T.); #206922=EDGE_CURVE('',#165887,#165885,#88434,.T.); #206923=EDGE_CURVE('',#165886,#165887,#88435,.T.); #206924=EDGE_CURVE('',#165888,#165886,#88436,.T.); #206925=EDGE_CURVE('',#165889,#165887,#88437,.T.); #206926=EDGE_CURVE('',#165888,#165889,#88438,.T.); #206927=EDGE_CURVE('',#165890,#165888,#88439,.T.); #206928=EDGE_CURVE('',#165891,#165889,#88440,.T.); #206929=EDGE_CURVE('',#165890,#165891,#88441,.T.); #206930=EDGE_CURVE('',#165892,#165890,#88442,.T.); #206931=EDGE_CURVE('',#165893,#165891,#88443,.T.); #206932=EDGE_CURVE('',#165892,#165893,#88444,.T.); #206933=EDGE_CURVE('',#165894,#165892,#88445,.T.); #206934=EDGE_CURVE('',#165895,#165893,#88446,.T.); #206935=EDGE_CURVE('',#165894,#165895,#88447,.T.); #206936=EDGE_CURVE('',#165896,#165894,#88448,.T.); #206937=EDGE_CURVE('',#165897,#165895,#88449,.T.); #206938=EDGE_CURVE('',#165896,#165897,#88450,.T.); #206939=EDGE_CURVE('',#165898,#165896,#88451,.T.); #206940=EDGE_CURVE('',#165899,#165897,#88452,.T.); #206941=EDGE_CURVE('',#165898,#165899,#88453,.T.); #206942=EDGE_CURVE('',#165900,#165898,#88454,.T.); #206943=EDGE_CURVE('',#165901,#165899,#88455,.T.); #206944=EDGE_CURVE('',#165900,#165901,#88456,.T.); #206945=EDGE_CURVE('',#165902,#165900,#88457,.T.); #206946=EDGE_CURVE('',#165903,#165901,#88458,.T.); #206947=EDGE_CURVE('',#165902,#165903,#88459,.T.); #206948=EDGE_CURVE('',#165904,#165902,#88460,.T.); #206949=EDGE_CURVE('',#165905,#165903,#88461,.T.); #206950=EDGE_CURVE('',#165904,#165905,#88462,.T.); #206951=EDGE_CURVE('',#165906,#165904,#88463,.T.); #206952=EDGE_CURVE('',#165907,#165905,#88464,.T.); #206953=EDGE_CURVE('',#165906,#165907,#88465,.T.); #206954=EDGE_CURVE('',#165908,#165906,#88466,.T.); #206955=EDGE_CURVE('',#165909,#165907,#88467,.T.); #206956=EDGE_CURVE('',#165908,#165909,#88468,.T.); #206957=EDGE_CURVE('',#165910,#165908,#88469,.T.); #206958=EDGE_CURVE('',#165911,#165909,#88470,.T.); #206959=EDGE_CURVE('',#165910,#165911,#88471,.T.); #206960=EDGE_CURVE('',#165912,#165910,#88472,.T.); #206961=EDGE_CURVE('',#165913,#165911,#88473,.T.); #206962=EDGE_CURVE('',#165912,#165913,#88474,.T.); #206963=EDGE_CURVE('',#165914,#165912,#88475,.T.); #206964=EDGE_CURVE('',#165915,#165913,#88476,.T.); #206965=EDGE_CURVE('',#165914,#165915,#88477,.T.); #206966=EDGE_CURVE('',#165916,#165914,#88478,.T.); #206967=EDGE_CURVE('',#165917,#165915,#88479,.T.); #206968=EDGE_CURVE('',#165916,#165917,#88480,.T.); #206969=EDGE_CURVE('',#165918,#165916,#88481,.T.); #206970=EDGE_CURVE('',#165919,#165917,#88482,.T.); #206971=EDGE_CURVE('',#165918,#165919,#88483,.T.); #206972=EDGE_CURVE('',#165920,#165918,#88484,.T.); #206973=EDGE_CURVE('',#165921,#165919,#88485,.T.); #206974=EDGE_CURVE('',#165920,#165921,#88486,.T.); #206975=EDGE_CURVE('',#165922,#165920,#88487,.T.); #206976=EDGE_CURVE('',#165923,#165921,#88488,.T.); #206977=EDGE_CURVE('',#165922,#165923,#88489,.T.); #206978=EDGE_CURVE('',#165924,#165922,#88490,.T.); #206979=EDGE_CURVE('',#165925,#165923,#88491,.T.); #206980=EDGE_CURVE('',#165924,#165925,#88492,.T.); #206981=EDGE_CURVE('',#165926,#165924,#88493,.T.); #206982=EDGE_CURVE('',#165927,#165925,#88494,.T.); #206983=EDGE_CURVE('',#165926,#165927,#88495,.T.); #206984=EDGE_CURVE('',#165928,#165926,#88496,.T.); #206985=EDGE_CURVE('',#165929,#165927,#88497,.T.); #206986=EDGE_CURVE('',#165928,#165929,#88498,.T.); #206987=EDGE_CURVE('',#165930,#165928,#88499,.T.); #206988=EDGE_CURVE('',#165931,#165929,#88500,.T.); #206989=EDGE_CURVE('',#165930,#165931,#88501,.T.); #206990=EDGE_CURVE('',#165932,#165930,#88502,.T.); #206991=EDGE_CURVE('',#165933,#165931,#88503,.T.); #206992=EDGE_CURVE('',#165932,#165933,#88504,.T.); #206993=EDGE_CURVE('',#165934,#165932,#88505,.T.); #206994=EDGE_CURVE('',#165935,#165933,#88506,.T.); #206995=EDGE_CURVE('',#165934,#165935,#88507,.T.); #206996=EDGE_CURVE('',#165936,#165934,#88508,.T.); #206997=EDGE_CURVE('',#165937,#165935,#88509,.T.); #206998=EDGE_CURVE('',#165936,#165937,#88510,.T.); #206999=EDGE_CURVE('',#165938,#165936,#88511,.T.); #207000=EDGE_CURVE('',#165939,#165937,#88512,.T.); #207001=EDGE_CURVE('',#165938,#165939,#88513,.T.); #207002=EDGE_CURVE('',#165940,#165938,#88514,.T.); #207003=EDGE_CURVE('',#165941,#165939,#88515,.T.); #207004=EDGE_CURVE('',#165940,#165941,#88516,.T.); #207005=EDGE_CURVE('',#165942,#165940,#88517,.T.); #207006=EDGE_CURVE('',#165943,#165941,#88518,.T.); #207007=EDGE_CURVE('',#165942,#165943,#88519,.T.); #207008=EDGE_CURVE('',#165855,#165942,#88520,.T.); #207009=EDGE_CURVE('',#165856,#165943,#88521,.T.); #207010=EDGE_CURVE('',#165944,#165944,#141302,.T.); #207011=EDGE_CURVE('',#165944,#165945,#88522,.T.); #207012=EDGE_CURVE('',#165945,#165945,#141303,.T.); #207013=EDGE_CURVE('',#165946,#165946,#141304,.T.); #207014=EDGE_CURVE('',#165946,#165947,#88523,.T.); #207015=EDGE_CURVE('',#165947,#165947,#141305,.T.); #207016=EDGE_CURVE('',#165948,#165949,#88524,.T.); #207017=EDGE_CURVE('',#165949,#165950,#88525,.T.); #207018=EDGE_CURVE('',#165951,#165950,#88526,.T.); #207019=EDGE_CURVE('',#165948,#165951,#88527,.T.); #207020=EDGE_CURVE('',#165952,#165948,#141306,.T.); #207021=EDGE_CURVE('',#165953,#165951,#141307,.T.); #207022=EDGE_CURVE('',#165952,#165953,#88528,.T.); #207023=EDGE_CURVE('',#165954,#165952,#88529,.T.); #207024=EDGE_CURVE('',#165955,#165953,#88530,.T.); #207025=EDGE_CURVE('',#165954,#165955,#88531,.T.); #207026=EDGE_CURVE('',#165956,#165954,#141308,.T.); #207027=EDGE_CURVE('',#165957,#165955,#141309,.T.); #207028=EDGE_CURVE('',#165956,#165957,#88532,.T.); #207029=EDGE_CURVE('',#165958,#165956,#141310,.T.); #207030=EDGE_CURVE('',#165959,#165957,#141311,.T.); #207031=EDGE_CURVE('',#165958,#165959,#88533,.T.); #207032=EDGE_CURVE('',#165960,#165958,#88534,.T.); #207033=EDGE_CURVE('',#165961,#165959,#88535,.T.); #207034=EDGE_CURVE('',#165960,#165961,#88536,.T.); #207035=EDGE_CURVE('',#165949,#165960,#141312,.T.); #207036=EDGE_CURVE('',#165950,#165961,#141313,.T.); #207037=EDGE_CURVE('',#165962,#165962,#141314,.T.); #207038=EDGE_CURVE('',#165962,#165963,#88537,.T.); #207039=EDGE_CURVE('',#165963,#165963,#141315,.T.); #207040=EDGE_CURVE('',#165964,#165964,#141316,.T.); #207041=EDGE_CURVE('',#165964,#165965,#88538,.T.); #207042=EDGE_CURVE('',#165965,#165965,#141317,.T.); #207043=EDGE_CURVE('',#165966,#165967,#88539,.T.); #207044=EDGE_CURVE('',#165967,#165968,#88540,.T.); #207045=EDGE_CURVE('',#165969,#165968,#88541,.T.); #207046=EDGE_CURVE('',#165966,#165969,#88542,.T.); #207047=EDGE_CURVE('',#165970,#165966,#88543,.T.); #207048=EDGE_CURVE('',#165971,#165969,#88544,.T.); #207049=EDGE_CURVE('',#165970,#165971,#88545,.T.); #207050=EDGE_CURVE('',#165972,#165970,#88546,.T.); #207051=EDGE_CURVE('',#165973,#165971,#88547,.T.); #207052=EDGE_CURVE('',#165972,#165973,#88548,.T.); #207053=EDGE_CURVE('',#165974,#165972,#88549,.T.); #207054=EDGE_CURVE('',#165975,#165973,#88550,.T.); #207055=EDGE_CURVE('',#165974,#165975,#88551,.T.); #207056=EDGE_CURVE('',#165976,#165974,#88552,.T.); #207057=EDGE_CURVE('',#165977,#165975,#88553,.T.); #207058=EDGE_CURVE('',#165976,#165977,#88554,.T.); #207059=EDGE_CURVE('',#165978,#165976,#88555,.T.); #207060=EDGE_CURVE('',#165979,#165977,#88556,.T.); #207061=EDGE_CURVE('',#165978,#165979,#88557,.T.); #207062=EDGE_CURVE('',#165980,#165978,#88558,.T.); #207063=EDGE_CURVE('',#165981,#165979,#88559,.T.); #207064=EDGE_CURVE('',#165980,#165981,#88560,.T.); #207065=EDGE_CURVE('',#165967,#165980,#88561,.T.); #207066=EDGE_CURVE('',#165968,#165981,#88562,.T.); #207067=EDGE_CURVE('',#165982,#165983,#88563,.T.); #207068=EDGE_CURVE('',#165983,#165984,#88564,.T.); #207069=EDGE_CURVE('',#165985,#165984,#88565,.T.); #207070=EDGE_CURVE('',#165982,#165985,#88566,.T.); #207071=EDGE_CURVE('',#165986,#165982,#88567,.T.); #207072=EDGE_CURVE('',#165987,#165985,#88568,.T.); #207073=EDGE_CURVE('',#165986,#165987,#88569,.T.); #207074=EDGE_CURVE('',#165988,#165986,#88570,.T.); #207075=EDGE_CURVE('',#165989,#165987,#88571,.T.); #207076=EDGE_CURVE('',#165988,#165989,#88572,.T.); #207077=EDGE_CURVE('',#165990,#165988,#88573,.T.); #207078=EDGE_CURVE('',#165991,#165989,#88574,.T.); #207079=EDGE_CURVE('',#165990,#165991,#88575,.T.); #207080=EDGE_CURVE('',#165992,#165990,#88576,.T.); #207081=EDGE_CURVE('',#165993,#165991,#88577,.T.); #207082=EDGE_CURVE('',#165992,#165993,#88578,.T.); #207083=EDGE_CURVE('',#165994,#165992,#88579,.T.); #207084=EDGE_CURVE('',#165995,#165993,#88580,.T.); #207085=EDGE_CURVE('',#165994,#165995,#88581,.T.); #207086=EDGE_CURVE('',#165996,#165994,#88582,.T.); #207087=EDGE_CURVE('',#165997,#165995,#88583,.T.); #207088=EDGE_CURVE('',#165996,#165997,#88584,.T.); #207089=EDGE_CURVE('',#165998,#165996,#88585,.T.); #207090=EDGE_CURVE('',#165999,#165997,#88586,.T.); #207091=EDGE_CURVE('',#165998,#165999,#88587,.T.); #207092=EDGE_CURVE('',#166000,#165998,#88588,.T.); #207093=EDGE_CURVE('',#166001,#165999,#88589,.T.); #207094=EDGE_CURVE('',#166000,#166001,#88590,.T.); #207095=EDGE_CURVE('',#166002,#166000,#88591,.T.); #207096=EDGE_CURVE('',#166003,#166001,#88592,.T.); #207097=EDGE_CURVE('',#166002,#166003,#88593,.T.); #207098=EDGE_CURVE('',#166004,#166002,#88594,.T.); #207099=EDGE_CURVE('',#166005,#166003,#88595,.T.); #207100=EDGE_CURVE('',#166004,#166005,#88596,.T.); #207101=EDGE_CURVE('',#166006,#166004,#88597,.T.); #207102=EDGE_CURVE('',#166007,#166005,#88598,.T.); #207103=EDGE_CURVE('',#166006,#166007,#88599,.T.); #207104=EDGE_CURVE('',#166008,#166006,#88600,.T.); #207105=EDGE_CURVE('',#166009,#166007,#88601,.T.); #207106=EDGE_CURVE('',#166008,#166009,#88602,.T.); #207107=EDGE_CURVE('',#166010,#166008,#88603,.T.); #207108=EDGE_CURVE('',#166011,#166009,#88604,.T.); #207109=EDGE_CURVE('',#166010,#166011,#88605,.T.); #207110=EDGE_CURVE('',#166012,#166010,#88606,.T.); #207111=EDGE_CURVE('',#166013,#166011,#88607,.T.); #207112=EDGE_CURVE('',#166012,#166013,#88608,.T.); #207113=EDGE_CURVE('',#166014,#166012,#88609,.T.); #207114=EDGE_CURVE('',#166015,#166013,#88610,.T.); #207115=EDGE_CURVE('',#166014,#166015,#88611,.T.); #207116=EDGE_CURVE('',#166016,#166014,#88612,.T.); #207117=EDGE_CURVE('',#166017,#166015,#88613,.T.); #207118=EDGE_CURVE('',#166016,#166017,#88614,.T.); #207119=EDGE_CURVE('',#166018,#166016,#88615,.T.); #207120=EDGE_CURVE('',#166019,#166017,#88616,.T.); #207121=EDGE_CURVE('',#166018,#166019,#88617,.T.); #207122=EDGE_CURVE('',#166020,#166018,#88618,.T.); #207123=EDGE_CURVE('',#166021,#166019,#88619,.T.); #207124=EDGE_CURVE('',#166020,#166021,#88620,.T.); #207125=EDGE_CURVE('',#166022,#166020,#88621,.T.); #207126=EDGE_CURVE('',#166023,#166021,#88622,.T.); #207127=EDGE_CURVE('',#166022,#166023,#88623,.T.); #207128=EDGE_CURVE('',#166024,#166022,#88624,.T.); #207129=EDGE_CURVE('',#166025,#166023,#88625,.T.); #207130=EDGE_CURVE('',#166024,#166025,#88626,.T.); #207131=EDGE_CURVE('',#166026,#166024,#88627,.T.); #207132=EDGE_CURVE('',#166027,#166025,#88628,.T.); #207133=EDGE_CURVE('',#166026,#166027,#88629,.T.); #207134=EDGE_CURVE('',#166028,#166026,#88630,.T.); #207135=EDGE_CURVE('',#166029,#166027,#88631,.T.); #207136=EDGE_CURVE('',#166028,#166029,#88632,.T.); #207137=EDGE_CURVE('',#166030,#166028,#88633,.T.); #207138=EDGE_CURVE('',#166031,#166029,#88634,.T.); #207139=EDGE_CURVE('',#166030,#166031,#88635,.T.); #207140=EDGE_CURVE('',#166032,#166030,#88636,.T.); #207141=EDGE_CURVE('',#166033,#166031,#88637,.T.); #207142=EDGE_CURVE('',#166032,#166033,#88638,.T.); #207143=EDGE_CURVE('',#166034,#166032,#88639,.T.); #207144=EDGE_CURVE('',#166035,#166033,#88640,.T.); #207145=EDGE_CURVE('',#166034,#166035,#88641,.T.); #207146=EDGE_CURVE('',#166036,#166034,#88642,.T.); #207147=EDGE_CURVE('',#166037,#166035,#88643,.T.); #207148=EDGE_CURVE('',#166036,#166037,#88644,.T.); #207149=EDGE_CURVE('',#166038,#166036,#88645,.T.); #207150=EDGE_CURVE('',#166039,#166037,#88646,.T.); #207151=EDGE_CURVE('',#166038,#166039,#88647,.T.); #207152=EDGE_CURVE('',#166040,#166038,#88648,.T.); #207153=EDGE_CURVE('',#166041,#166039,#88649,.T.); #207154=EDGE_CURVE('',#166040,#166041,#88650,.T.); #207155=EDGE_CURVE('',#166042,#166040,#88651,.T.); #207156=EDGE_CURVE('',#166043,#166041,#88652,.T.); #207157=EDGE_CURVE('',#166042,#166043,#88653,.T.); #207158=EDGE_CURVE('',#166044,#166042,#88654,.T.); #207159=EDGE_CURVE('',#166045,#166043,#88655,.T.); #207160=EDGE_CURVE('',#166044,#166045,#88656,.T.); #207161=EDGE_CURVE('',#166046,#166044,#88657,.T.); #207162=EDGE_CURVE('',#166047,#166045,#88658,.T.); #207163=EDGE_CURVE('',#166046,#166047,#88659,.T.); #207164=EDGE_CURVE('',#166048,#166046,#88660,.T.); #207165=EDGE_CURVE('',#166049,#166047,#88661,.T.); #207166=EDGE_CURVE('',#166048,#166049,#88662,.T.); #207167=EDGE_CURVE('',#166050,#166048,#88663,.T.); #207168=EDGE_CURVE('',#166051,#166049,#88664,.T.); #207169=EDGE_CURVE('',#166050,#166051,#88665,.T.); #207170=EDGE_CURVE('',#166052,#166050,#88666,.T.); #207171=EDGE_CURVE('',#166053,#166051,#88667,.T.); #207172=EDGE_CURVE('',#166052,#166053,#88668,.T.); #207173=EDGE_CURVE('',#166054,#166052,#88669,.T.); #207174=EDGE_CURVE('',#166055,#166053,#88670,.T.); #207175=EDGE_CURVE('',#166054,#166055,#88671,.T.); #207176=EDGE_CURVE('',#166056,#166054,#88672,.T.); #207177=EDGE_CURVE('',#166057,#166055,#88673,.T.); #207178=EDGE_CURVE('',#166056,#166057,#88674,.T.); #207179=EDGE_CURVE('',#166058,#166056,#88675,.T.); #207180=EDGE_CURVE('',#166059,#166057,#88676,.T.); #207181=EDGE_CURVE('',#166058,#166059,#88677,.T.); #207182=EDGE_CURVE('',#166060,#166058,#88678,.T.); #207183=EDGE_CURVE('',#166061,#166059,#88679,.T.); #207184=EDGE_CURVE('',#166060,#166061,#88680,.T.); #207185=EDGE_CURVE('',#166062,#166060,#88681,.T.); #207186=EDGE_CURVE('',#166063,#166061,#88682,.T.); #207187=EDGE_CURVE('',#166062,#166063,#88683,.T.); #207188=EDGE_CURVE('',#166064,#166062,#88684,.T.); #207189=EDGE_CURVE('',#166065,#166063,#88685,.T.); #207190=EDGE_CURVE('',#166064,#166065,#88686,.T.); #207191=EDGE_CURVE('',#166066,#166064,#88687,.T.); #207192=EDGE_CURVE('',#166067,#166065,#88688,.T.); #207193=EDGE_CURVE('',#166066,#166067,#88689,.T.); #207194=EDGE_CURVE('',#166068,#166066,#88690,.T.); #207195=EDGE_CURVE('',#166069,#166067,#88691,.T.); #207196=EDGE_CURVE('',#166068,#166069,#88692,.T.); #207197=EDGE_CURVE('',#166070,#166068,#88693,.T.); #207198=EDGE_CURVE('',#166071,#166069,#88694,.T.); #207199=EDGE_CURVE('',#166070,#166071,#88695,.T.); #207200=EDGE_CURVE('',#166072,#166070,#88696,.T.); #207201=EDGE_CURVE('',#166073,#166071,#88697,.T.); #207202=EDGE_CURVE('',#166072,#166073,#88698,.T.); #207203=EDGE_CURVE('',#166074,#166072,#88699,.T.); #207204=EDGE_CURVE('',#166075,#166073,#88700,.T.); #207205=EDGE_CURVE('',#166074,#166075,#88701,.T.); #207206=EDGE_CURVE('',#166076,#166074,#88702,.T.); #207207=EDGE_CURVE('',#166077,#166075,#88703,.T.); #207208=EDGE_CURVE('',#166076,#166077,#88704,.T.); #207209=EDGE_CURVE('',#166078,#166076,#88705,.T.); #207210=EDGE_CURVE('',#166079,#166077,#88706,.T.); #207211=EDGE_CURVE('',#166078,#166079,#88707,.T.); #207212=EDGE_CURVE('',#166080,#166078,#88708,.T.); #207213=EDGE_CURVE('',#166081,#166079,#88709,.T.); #207214=EDGE_CURVE('',#166080,#166081,#88710,.T.); #207215=EDGE_CURVE('',#166082,#166080,#88711,.T.); #207216=EDGE_CURVE('',#166083,#166081,#88712,.T.); #207217=EDGE_CURVE('',#166082,#166083,#88713,.T.); #207218=EDGE_CURVE('',#166084,#166082,#88714,.T.); #207219=EDGE_CURVE('',#166085,#166083,#88715,.T.); #207220=EDGE_CURVE('',#166084,#166085,#88716,.T.); #207221=EDGE_CURVE('',#166086,#166084,#88717,.T.); #207222=EDGE_CURVE('',#166087,#166085,#88718,.T.); #207223=EDGE_CURVE('',#166086,#166087,#88719,.T.); #207224=EDGE_CURVE('',#166088,#166086,#88720,.T.); #207225=EDGE_CURVE('',#166089,#166087,#88721,.T.); #207226=EDGE_CURVE('',#166088,#166089,#88722,.T.); #207227=EDGE_CURVE('',#166090,#166088,#88723,.T.); #207228=EDGE_CURVE('',#166091,#166089,#88724,.T.); #207229=EDGE_CURVE('',#166090,#166091,#88725,.T.); #207230=EDGE_CURVE('',#166092,#166090,#88726,.T.); #207231=EDGE_CURVE('',#166093,#166091,#88727,.T.); #207232=EDGE_CURVE('',#166092,#166093,#88728,.T.); #207233=EDGE_CURVE('',#166094,#166092,#88729,.T.); #207234=EDGE_CURVE('',#166095,#166093,#88730,.T.); #207235=EDGE_CURVE('',#166094,#166095,#88731,.T.); #207236=EDGE_CURVE('',#166096,#166094,#88732,.T.); #207237=EDGE_CURVE('',#166097,#166095,#88733,.T.); #207238=EDGE_CURVE('',#166096,#166097,#88734,.T.); #207239=EDGE_CURVE('',#166098,#166096,#88735,.T.); #207240=EDGE_CURVE('',#166099,#166097,#88736,.T.); #207241=EDGE_CURVE('',#166098,#166099,#88737,.T.); #207242=EDGE_CURVE('',#166100,#166098,#88738,.T.); #207243=EDGE_CURVE('',#166101,#166099,#88739,.T.); #207244=EDGE_CURVE('',#166100,#166101,#88740,.T.); #207245=EDGE_CURVE('',#166102,#166100,#88741,.T.); #207246=EDGE_CURVE('',#166103,#166101,#88742,.T.); #207247=EDGE_CURVE('',#166102,#166103,#88743,.T.); #207248=EDGE_CURVE('',#166104,#166102,#88744,.T.); #207249=EDGE_CURVE('',#166105,#166103,#88745,.T.); #207250=EDGE_CURVE('',#166104,#166105,#88746,.T.); #207251=EDGE_CURVE('',#166106,#166104,#88747,.T.); #207252=EDGE_CURVE('',#166107,#166105,#88748,.T.); #207253=EDGE_CURVE('',#166106,#166107,#88749,.T.); #207254=EDGE_CURVE('',#166108,#166106,#88750,.T.); #207255=EDGE_CURVE('',#166109,#166107,#88751,.T.); #207256=EDGE_CURVE('',#166108,#166109,#88752,.T.); #207257=EDGE_CURVE('',#166110,#166108,#88753,.T.); #207258=EDGE_CURVE('',#166111,#166109,#88754,.T.); #207259=EDGE_CURVE('',#166110,#166111,#88755,.T.); #207260=EDGE_CURVE('',#166112,#166110,#88756,.T.); #207261=EDGE_CURVE('',#166113,#166111,#88757,.T.); #207262=EDGE_CURVE('',#166112,#166113,#88758,.T.); #207263=EDGE_CURVE('',#166114,#166112,#88759,.T.); #207264=EDGE_CURVE('',#166115,#166113,#88760,.T.); #207265=EDGE_CURVE('',#166114,#166115,#88761,.T.); #207266=EDGE_CURVE('',#166116,#166114,#88762,.T.); #207267=EDGE_CURVE('',#166117,#166115,#88763,.T.); #207268=EDGE_CURVE('',#166116,#166117,#88764,.T.); #207269=EDGE_CURVE('',#166118,#166116,#88765,.T.); #207270=EDGE_CURVE('',#166119,#166117,#88766,.T.); #207271=EDGE_CURVE('',#166118,#166119,#88767,.T.); #207272=EDGE_CURVE('',#166120,#166118,#88768,.T.); #207273=EDGE_CURVE('',#166121,#166119,#88769,.T.); #207274=EDGE_CURVE('',#166120,#166121,#88770,.T.); #207275=EDGE_CURVE('',#166122,#166120,#88771,.T.); #207276=EDGE_CURVE('',#166123,#166121,#88772,.T.); #207277=EDGE_CURVE('',#166122,#166123,#88773,.T.); #207278=EDGE_CURVE('',#166124,#166122,#88774,.T.); #207279=EDGE_CURVE('',#166125,#166123,#88775,.T.); #207280=EDGE_CURVE('',#166124,#166125,#88776,.T.); #207281=EDGE_CURVE('',#166126,#166124,#88777,.T.); #207282=EDGE_CURVE('',#166127,#166125,#88778,.T.); #207283=EDGE_CURVE('',#166126,#166127,#88779,.T.); #207284=EDGE_CURVE('',#166128,#166126,#88780,.T.); #207285=EDGE_CURVE('',#166129,#166127,#88781,.T.); #207286=EDGE_CURVE('',#166128,#166129,#88782,.T.); #207287=EDGE_CURVE('',#166130,#166128,#88783,.T.); #207288=EDGE_CURVE('',#166131,#166129,#88784,.T.); #207289=EDGE_CURVE('',#166130,#166131,#88785,.T.); #207290=EDGE_CURVE('',#166132,#166130,#88786,.T.); #207291=EDGE_CURVE('',#166133,#166131,#88787,.T.); #207292=EDGE_CURVE('',#166132,#166133,#88788,.T.); #207293=EDGE_CURVE('',#166134,#166132,#88789,.T.); #207294=EDGE_CURVE('',#166135,#166133,#88790,.T.); #207295=EDGE_CURVE('',#166134,#166135,#88791,.T.); #207296=EDGE_CURVE('',#166136,#166134,#88792,.T.); #207297=EDGE_CURVE('',#166137,#166135,#88793,.T.); #207298=EDGE_CURVE('',#166136,#166137,#88794,.T.); #207299=EDGE_CURVE('',#166138,#166136,#88795,.T.); #207300=EDGE_CURVE('',#166139,#166137,#88796,.T.); #207301=EDGE_CURVE('',#166138,#166139,#88797,.T.); #207302=EDGE_CURVE('',#166140,#166138,#88798,.T.); #207303=EDGE_CURVE('',#166141,#166139,#88799,.T.); #207304=EDGE_CURVE('',#166140,#166141,#88800,.T.); #207305=EDGE_CURVE('',#166142,#166140,#88801,.T.); #207306=EDGE_CURVE('',#166143,#166141,#88802,.T.); #207307=EDGE_CURVE('',#166142,#166143,#88803,.T.); #207308=EDGE_CURVE('',#166144,#166142,#88804,.T.); #207309=EDGE_CURVE('',#166145,#166143,#88805,.T.); #207310=EDGE_CURVE('',#166144,#166145,#88806,.T.); #207311=EDGE_CURVE('',#166146,#166144,#88807,.T.); #207312=EDGE_CURVE('',#166147,#166145,#88808,.T.); #207313=EDGE_CURVE('',#166146,#166147,#88809,.T.); #207314=EDGE_CURVE('',#166148,#166146,#88810,.T.); #207315=EDGE_CURVE('',#166149,#166147,#88811,.T.); #207316=EDGE_CURVE('',#166148,#166149,#88812,.T.); #207317=EDGE_CURVE('',#166150,#166148,#88813,.T.); #207318=EDGE_CURVE('',#166151,#166149,#88814,.T.); #207319=EDGE_CURVE('',#166150,#166151,#88815,.T.); #207320=EDGE_CURVE('',#166152,#166150,#88816,.T.); #207321=EDGE_CURVE('',#166153,#166151,#88817,.T.); #207322=EDGE_CURVE('',#166152,#166153,#88818,.T.); #207323=EDGE_CURVE('',#166154,#166152,#88819,.T.); #207324=EDGE_CURVE('',#166155,#166153,#88820,.T.); #207325=EDGE_CURVE('',#166154,#166155,#88821,.T.); #207326=EDGE_CURVE('',#166156,#166154,#88822,.T.); #207327=EDGE_CURVE('',#166157,#166155,#88823,.T.); #207328=EDGE_CURVE('',#166156,#166157,#88824,.T.); #207329=EDGE_CURVE('',#166158,#166156,#88825,.T.); #207330=EDGE_CURVE('',#166159,#166157,#88826,.T.); #207331=EDGE_CURVE('',#166158,#166159,#88827,.T.); #207332=EDGE_CURVE('',#166160,#166158,#88828,.T.); #207333=EDGE_CURVE('',#166161,#166159,#88829,.T.); #207334=EDGE_CURVE('',#166160,#166161,#88830,.T.); #207335=EDGE_CURVE('',#166162,#166160,#88831,.T.); #207336=EDGE_CURVE('',#166163,#166161,#88832,.T.); #207337=EDGE_CURVE('',#166162,#166163,#88833,.T.); #207338=EDGE_CURVE('',#166164,#166162,#88834,.T.); #207339=EDGE_CURVE('',#166165,#166163,#88835,.T.); #207340=EDGE_CURVE('',#166164,#166165,#88836,.T.); #207341=EDGE_CURVE('',#166166,#166164,#88837,.T.); #207342=EDGE_CURVE('',#166167,#166165,#88838,.T.); #207343=EDGE_CURVE('',#166166,#166167,#88839,.T.); #207344=EDGE_CURVE('',#165983,#166166,#88840,.T.); #207345=EDGE_CURVE('',#165984,#166167,#88841,.T.); #207346=EDGE_CURVE('',#166168,#166168,#141318,.T.); #207347=EDGE_CURVE('',#166168,#166169,#88842,.T.); #207348=EDGE_CURVE('',#166169,#166169,#141319,.T.); #207349=EDGE_CURVE('',#166170,#166171,#88843,.T.); #207350=EDGE_CURVE('',#166171,#166172,#88844,.T.); #207351=EDGE_CURVE('',#166173,#166172,#88845,.T.); #207352=EDGE_CURVE('',#166170,#166173,#88846,.T.); #207353=EDGE_CURVE('',#166174,#166170,#141320,.T.); #207354=EDGE_CURVE('',#166175,#166173,#141321,.T.); #207355=EDGE_CURVE('',#166174,#166175,#88847,.T.); #207356=EDGE_CURVE('',#166171,#166174,#141322,.T.); #207357=EDGE_CURVE('',#166172,#166175,#141323,.T.); #207358=EDGE_CURVE('',#166176,#166176,#141324,.T.); #207359=EDGE_CURVE('',#166176,#166177,#88848,.T.); #207360=EDGE_CURVE('',#166177,#166177,#141325,.T.); #207361=EDGE_CURVE('',#166178,#166178,#141326,.T.); #207362=EDGE_CURVE('',#166178,#166179,#88849,.T.); #207363=EDGE_CURVE('',#166179,#166179,#141327,.T.); #207364=EDGE_CURVE('',#166180,#166180,#141328,.T.); #207365=EDGE_CURVE('',#166180,#166181,#88850,.T.); #207366=EDGE_CURVE('',#166181,#166181,#141329,.T.); #207367=EDGE_CURVE('',#166182,#166182,#141330,.T.); #207368=EDGE_CURVE('',#166182,#166183,#88851,.T.); #207369=EDGE_CURVE('',#166183,#166183,#141331,.T.); #207370=EDGE_CURVE('',#166184,#166184,#141332,.T.); #207371=EDGE_CURVE('',#166184,#166185,#88852,.T.); #207372=EDGE_CURVE('',#166185,#166185,#141333,.T.); #207373=EDGE_CURVE('',#166186,#166186,#141334,.T.); #207374=EDGE_CURVE('',#166186,#166187,#88853,.T.); #207375=EDGE_CURVE('',#166187,#166187,#141335,.T.); #207376=EDGE_CURVE('',#166188,#166188,#141336,.T.); #207377=EDGE_CURVE('',#166188,#166189,#88854,.T.); #207378=EDGE_CURVE('',#166189,#166189,#141337,.T.); #207379=EDGE_CURVE('',#166190,#166190,#141338,.T.); #207380=EDGE_CURVE('',#166190,#166191,#88855,.T.); #207381=EDGE_CURVE('',#166191,#166191,#141339,.T.); #207382=EDGE_CURVE('',#166192,#166192,#141340,.T.); #207383=EDGE_CURVE('',#166192,#166193,#88856,.T.); #207384=EDGE_CURVE('',#166193,#166193,#141341,.T.); #207385=EDGE_CURVE('',#166194,#166194,#141342,.T.); #207386=EDGE_CURVE('',#166194,#166195,#88857,.T.); #207387=EDGE_CURVE('',#166195,#166195,#141343,.T.); #207388=EDGE_CURVE('',#166196,#166196,#141344,.T.); #207389=EDGE_CURVE('',#166196,#166197,#88858,.T.); #207390=EDGE_CURVE('',#166197,#166197,#141345,.T.); #207391=EDGE_CURVE('',#166198,#166198,#141346,.T.); #207392=EDGE_CURVE('',#166198,#166199,#88859,.T.); #207393=EDGE_CURVE('',#166199,#166199,#141347,.T.); #207394=EDGE_CURVE('',#166200,#166200,#141348,.T.); #207395=EDGE_CURVE('',#166200,#166201,#88860,.T.); #207396=EDGE_CURVE('',#166201,#166201,#141349,.T.); #207397=EDGE_CURVE('',#166202,#166202,#141350,.T.); #207398=EDGE_CURVE('',#166202,#166203,#88861,.T.); #207399=EDGE_CURVE('',#166203,#166203,#141351,.T.); #207400=EDGE_CURVE('',#166204,#166204,#141352,.T.); #207401=EDGE_CURVE('',#166204,#166205,#88862,.T.); #207402=EDGE_CURVE('',#166205,#166205,#141353,.T.); #207403=EDGE_CURVE('',#166206,#166207,#88863,.T.); #207404=EDGE_CURVE('',#166207,#166208,#88864,.T.); #207405=EDGE_CURVE('',#166209,#166208,#88865,.T.); #207406=EDGE_CURVE('',#166206,#166209,#88866,.T.); #207407=EDGE_CURVE('',#166210,#166206,#88867,.T.); #207408=EDGE_CURVE('',#166211,#166209,#88868,.T.); #207409=EDGE_CURVE('',#166210,#166211,#88869,.T.); #207410=EDGE_CURVE('',#166212,#166210,#88870,.T.); #207411=EDGE_CURVE('',#166213,#166211,#88871,.T.); #207412=EDGE_CURVE('',#166212,#166213,#88872,.T.); #207413=EDGE_CURVE('',#166214,#166212,#88873,.T.); #207414=EDGE_CURVE('',#166215,#166213,#88874,.T.); #207415=EDGE_CURVE('',#166214,#166215,#88875,.T.); #207416=EDGE_CURVE('',#166216,#166214,#88876,.T.); #207417=EDGE_CURVE('',#166217,#166215,#88877,.T.); #207418=EDGE_CURVE('',#166216,#166217,#88878,.T.); #207419=EDGE_CURVE('',#166218,#166216,#88879,.T.); #207420=EDGE_CURVE('',#166219,#166217,#88880,.T.); #207421=EDGE_CURVE('',#166218,#166219,#88881,.T.); #207422=EDGE_CURVE('',#166220,#166218,#88882,.T.); #207423=EDGE_CURVE('',#166221,#166219,#88883,.T.); #207424=EDGE_CURVE('',#166220,#166221,#88884,.T.); #207425=EDGE_CURVE('',#166222,#166220,#88885,.T.); #207426=EDGE_CURVE('',#166223,#166221,#88886,.T.); #207427=EDGE_CURVE('',#166222,#166223,#88887,.T.); #207428=EDGE_CURVE('',#166224,#166222,#88888,.T.); #207429=EDGE_CURVE('',#166225,#166223,#88889,.T.); #207430=EDGE_CURVE('',#166224,#166225,#88890,.T.); #207431=EDGE_CURVE('',#166226,#166224,#88891,.T.); #207432=EDGE_CURVE('',#166227,#166225,#88892,.T.); #207433=EDGE_CURVE('',#166226,#166227,#88893,.T.); #207434=EDGE_CURVE('',#166228,#166226,#88894,.T.); #207435=EDGE_CURVE('',#166229,#166227,#88895,.T.); #207436=EDGE_CURVE('',#166228,#166229,#88896,.T.); #207437=EDGE_CURVE('',#166230,#166228,#88897,.T.); #207438=EDGE_CURVE('',#166231,#166229,#88898,.T.); #207439=EDGE_CURVE('',#166230,#166231,#88899,.T.); #207440=EDGE_CURVE('',#166232,#166230,#88900,.T.); #207441=EDGE_CURVE('',#166233,#166231,#88901,.T.); #207442=EDGE_CURVE('',#166232,#166233,#88902,.T.); #207443=EDGE_CURVE('',#166234,#166232,#88903,.T.); #207444=EDGE_CURVE('',#166235,#166233,#88904,.T.); #207445=EDGE_CURVE('',#166234,#166235,#88905,.T.); #207446=EDGE_CURVE('',#166236,#166234,#88906,.T.); #207447=EDGE_CURVE('',#166237,#166235,#88907,.T.); #207448=EDGE_CURVE('',#166236,#166237,#88908,.T.); #207449=EDGE_CURVE('',#166238,#166236,#88909,.T.); #207450=EDGE_CURVE('',#166239,#166237,#88910,.T.); #207451=EDGE_CURVE('',#166238,#166239,#88911,.T.); #207452=EDGE_CURVE('',#166240,#166238,#88912,.T.); #207453=EDGE_CURVE('',#166241,#166239,#88913,.T.); #207454=EDGE_CURVE('',#166240,#166241,#88914,.T.); #207455=EDGE_CURVE('',#166242,#166240,#88915,.T.); #207456=EDGE_CURVE('',#166243,#166241,#88916,.T.); #207457=EDGE_CURVE('',#166242,#166243,#88917,.T.); #207458=EDGE_CURVE('',#166244,#166242,#88918,.T.); #207459=EDGE_CURVE('',#166245,#166243,#88919,.T.); #207460=EDGE_CURVE('',#166244,#166245,#88920,.T.); #207461=EDGE_CURVE('',#166246,#166244,#88921,.T.); #207462=EDGE_CURVE('',#166247,#166245,#88922,.T.); #207463=EDGE_CURVE('',#166246,#166247,#88923,.T.); #207464=EDGE_CURVE('',#166248,#166246,#88924,.T.); #207465=EDGE_CURVE('',#166249,#166247,#88925,.T.); #207466=EDGE_CURVE('',#166248,#166249,#88926,.T.); #207467=EDGE_CURVE('',#166250,#166248,#88927,.T.); #207468=EDGE_CURVE('',#166251,#166249,#88928,.T.); #207469=EDGE_CURVE('',#166250,#166251,#88929,.T.); #207470=EDGE_CURVE('',#166252,#166250,#88930,.T.); #207471=EDGE_CURVE('',#166253,#166251,#88931,.T.); #207472=EDGE_CURVE('',#166252,#166253,#88932,.T.); #207473=EDGE_CURVE('',#166254,#166252,#88933,.T.); #207474=EDGE_CURVE('',#166255,#166253,#88934,.T.); #207475=EDGE_CURVE('',#166254,#166255,#88935,.T.); #207476=EDGE_CURVE('',#166256,#166254,#88936,.T.); #207477=EDGE_CURVE('',#166257,#166255,#88937,.T.); #207478=EDGE_CURVE('',#166256,#166257,#88938,.T.); #207479=EDGE_CURVE('',#166258,#166256,#88939,.T.); #207480=EDGE_CURVE('',#166259,#166257,#88940,.T.); #207481=EDGE_CURVE('',#166258,#166259,#88941,.T.); #207482=EDGE_CURVE('',#166260,#166258,#88942,.T.); #207483=EDGE_CURVE('',#166261,#166259,#88943,.T.); #207484=EDGE_CURVE('',#166260,#166261,#88944,.T.); #207485=EDGE_CURVE('',#166262,#166260,#88945,.T.); #207486=EDGE_CURVE('',#166263,#166261,#88946,.T.); #207487=EDGE_CURVE('',#166262,#166263,#88947,.T.); #207488=EDGE_CURVE('',#166264,#166262,#88948,.T.); #207489=EDGE_CURVE('',#166265,#166263,#88949,.T.); #207490=EDGE_CURVE('',#166264,#166265,#88950,.T.); #207491=EDGE_CURVE('',#166266,#166264,#88951,.T.); #207492=EDGE_CURVE('',#166267,#166265,#88952,.T.); #207493=EDGE_CURVE('',#166266,#166267,#88953,.T.); #207494=EDGE_CURVE('',#166268,#166266,#88954,.T.); #207495=EDGE_CURVE('',#166269,#166267,#88955,.T.); #207496=EDGE_CURVE('',#166268,#166269,#88956,.T.); #207497=EDGE_CURVE('',#166270,#166268,#88957,.T.); #207498=EDGE_CURVE('',#166271,#166269,#88958,.T.); #207499=EDGE_CURVE('',#166270,#166271,#88959,.T.); #207500=EDGE_CURVE('',#166272,#166270,#88960,.T.); #207501=EDGE_CURVE('',#166273,#166271,#88961,.T.); #207502=EDGE_CURVE('',#166272,#166273,#88962,.T.); #207503=EDGE_CURVE('',#166274,#166272,#88963,.T.); #207504=EDGE_CURVE('',#166275,#166273,#88964,.T.); #207505=EDGE_CURVE('',#166274,#166275,#88965,.T.); #207506=EDGE_CURVE('',#166276,#166274,#88966,.T.); #207507=EDGE_CURVE('',#166277,#166275,#88967,.T.); #207508=EDGE_CURVE('',#166276,#166277,#88968,.T.); #207509=EDGE_CURVE('',#166278,#166276,#88969,.T.); #207510=EDGE_CURVE('',#166279,#166277,#88970,.T.); #207511=EDGE_CURVE('',#166278,#166279,#88971,.T.); #207512=EDGE_CURVE('',#166280,#166278,#88972,.T.); #207513=EDGE_CURVE('',#166281,#166279,#88973,.T.); #207514=EDGE_CURVE('',#166280,#166281,#88974,.T.); #207515=EDGE_CURVE('',#166282,#166280,#88975,.T.); #207516=EDGE_CURVE('',#166283,#166281,#88976,.T.); #207517=EDGE_CURVE('',#166282,#166283,#88977,.T.); #207518=EDGE_CURVE('',#166284,#166282,#88978,.T.); #207519=EDGE_CURVE('',#166285,#166283,#88979,.T.); #207520=EDGE_CURVE('',#166284,#166285,#88980,.T.); #207521=EDGE_CURVE('',#166286,#166284,#88981,.T.); #207522=EDGE_CURVE('',#166287,#166285,#88982,.T.); #207523=EDGE_CURVE('',#166286,#166287,#88983,.T.); #207524=EDGE_CURVE('',#166288,#166286,#88984,.T.); #207525=EDGE_CURVE('',#166289,#166287,#88985,.T.); #207526=EDGE_CURVE('',#166288,#166289,#88986,.T.); #207527=EDGE_CURVE('',#166290,#166288,#88987,.T.); #207528=EDGE_CURVE('',#166291,#166289,#88988,.T.); #207529=EDGE_CURVE('',#166290,#166291,#88989,.T.); #207530=EDGE_CURVE('',#166292,#166290,#88990,.T.); #207531=EDGE_CURVE('',#166293,#166291,#88991,.T.); #207532=EDGE_CURVE('',#166292,#166293,#88992,.T.); #207533=EDGE_CURVE('',#166294,#166292,#88993,.T.); #207534=EDGE_CURVE('',#166295,#166293,#88994,.T.); #207535=EDGE_CURVE('',#166294,#166295,#88995,.T.); #207536=EDGE_CURVE('',#166296,#166294,#88996,.T.); #207537=EDGE_CURVE('',#166297,#166295,#88997,.T.); #207538=EDGE_CURVE('',#166296,#166297,#88998,.T.); #207539=EDGE_CURVE('',#166298,#166296,#88999,.T.); #207540=EDGE_CURVE('',#166299,#166297,#89000,.T.); #207541=EDGE_CURVE('',#166298,#166299,#89001,.T.); #207542=EDGE_CURVE('',#166300,#166298,#89002,.T.); #207543=EDGE_CURVE('',#166301,#166299,#89003,.T.); #207544=EDGE_CURVE('',#166300,#166301,#89004,.T.); #207545=EDGE_CURVE('',#166302,#166300,#89005,.T.); #207546=EDGE_CURVE('',#166303,#166301,#89006,.T.); #207547=EDGE_CURVE('',#166302,#166303,#89007,.T.); #207548=EDGE_CURVE('',#166304,#166302,#89008,.T.); #207549=EDGE_CURVE('',#166305,#166303,#89009,.T.); #207550=EDGE_CURVE('',#166304,#166305,#89010,.T.); #207551=EDGE_CURVE('',#166306,#166304,#89011,.T.); #207552=EDGE_CURVE('',#166307,#166305,#89012,.T.); #207553=EDGE_CURVE('',#166306,#166307,#89013,.T.); #207554=EDGE_CURVE('',#166308,#166306,#89014,.T.); #207555=EDGE_CURVE('',#166309,#166307,#89015,.T.); #207556=EDGE_CURVE('',#166308,#166309,#89016,.T.); #207557=EDGE_CURVE('',#166310,#166308,#89017,.T.); #207558=EDGE_CURVE('',#166311,#166309,#89018,.T.); #207559=EDGE_CURVE('',#166310,#166311,#89019,.T.); #207560=EDGE_CURVE('',#166312,#166310,#89020,.T.); #207561=EDGE_CURVE('',#166313,#166311,#89021,.T.); #207562=EDGE_CURVE('',#166312,#166313,#89022,.T.); #207563=EDGE_CURVE('',#166314,#166312,#89023,.T.); #207564=EDGE_CURVE('',#166315,#166313,#89024,.T.); #207565=EDGE_CURVE('',#166314,#166315,#89025,.T.); #207566=EDGE_CURVE('',#166316,#166314,#89026,.T.); #207567=EDGE_CURVE('',#166317,#166315,#89027,.T.); #207568=EDGE_CURVE('',#166316,#166317,#89028,.T.); #207569=EDGE_CURVE('',#166318,#166316,#89029,.T.); #207570=EDGE_CURVE('',#166319,#166317,#89030,.T.); #207571=EDGE_CURVE('',#166318,#166319,#89031,.T.); #207572=EDGE_CURVE('',#166320,#166318,#89032,.T.); #207573=EDGE_CURVE('',#166321,#166319,#89033,.T.); #207574=EDGE_CURVE('',#166320,#166321,#89034,.T.); #207575=EDGE_CURVE('',#166322,#166320,#89035,.T.); #207576=EDGE_CURVE('',#166323,#166321,#89036,.T.); #207577=EDGE_CURVE('',#166322,#166323,#89037,.T.); #207578=EDGE_CURVE('',#166324,#166322,#89038,.T.); #207579=EDGE_CURVE('',#166325,#166323,#89039,.T.); #207580=EDGE_CURVE('',#166324,#166325,#89040,.T.); #207581=EDGE_CURVE('',#166326,#166324,#89041,.T.); #207582=EDGE_CURVE('',#166327,#166325,#89042,.T.); #207583=EDGE_CURVE('',#166326,#166327,#89043,.T.); #207584=EDGE_CURVE('',#166328,#166326,#89044,.T.); #207585=EDGE_CURVE('',#166329,#166327,#89045,.T.); #207586=EDGE_CURVE('',#166328,#166329,#89046,.T.); #207587=EDGE_CURVE('',#166330,#166328,#89047,.T.); #207588=EDGE_CURVE('',#166331,#166329,#89048,.T.); #207589=EDGE_CURVE('',#166330,#166331,#89049,.T.); #207590=EDGE_CURVE('',#166332,#166330,#89050,.T.); #207591=EDGE_CURVE('',#166333,#166331,#89051,.T.); #207592=EDGE_CURVE('',#166332,#166333,#89052,.T.); #207593=EDGE_CURVE('',#166334,#166332,#89053,.T.); #207594=EDGE_CURVE('',#166335,#166333,#89054,.T.); #207595=EDGE_CURVE('',#166334,#166335,#89055,.T.); #207596=EDGE_CURVE('',#166336,#166334,#89056,.T.); #207597=EDGE_CURVE('',#166337,#166335,#89057,.T.); #207598=EDGE_CURVE('',#166336,#166337,#89058,.T.); #207599=EDGE_CURVE('',#166338,#166336,#89059,.T.); #207600=EDGE_CURVE('',#166339,#166337,#89060,.T.); #207601=EDGE_CURVE('',#166338,#166339,#89061,.T.); #207602=EDGE_CURVE('',#166340,#166338,#89062,.T.); #207603=EDGE_CURVE('',#166341,#166339,#89063,.T.); #207604=EDGE_CURVE('',#166340,#166341,#89064,.T.); #207605=EDGE_CURVE('',#166342,#166340,#89065,.T.); #207606=EDGE_CURVE('',#166343,#166341,#89066,.T.); #207607=EDGE_CURVE('',#166342,#166343,#89067,.T.); #207608=EDGE_CURVE('',#166344,#166342,#89068,.T.); #207609=EDGE_CURVE('',#166345,#166343,#89069,.T.); #207610=EDGE_CURVE('',#166344,#166345,#89070,.T.); #207611=EDGE_CURVE('',#166346,#166344,#89071,.T.); #207612=EDGE_CURVE('',#166347,#166345,#89072,.T.); #207613=EDGE_CURVE('',#166346,#166347,#89073,.T.); #207614=EDGE_CURVE('',#166348,#166346,#89074,.T.); #207615=EDGE_CURVE('',#166349,#166347,#89075,.T.); #207616=EDGE_CURVE('',#166348,#166349,#89076,.T.); #207617=EDGE_CURVE('',#166207,#166348,#89077,.T.); #207618=EDGE_CURVE('',#166208,#166349,#89078,.T.); #207619=EDGE_CURVE('',#166350,#166351,#89079,.T.); #207620=EDGE_CURVE('',#166351,#166352,#89080,.T.); #207621=EDGE_CURVE('',#166353,#166352,#89081,.T.); #207622=EDGE_CURVE('',#166350,#166353,#89082,.T.); #207623=EDGE_CURVE('',#166354,#166350,#89083,.T.); #207624=EDGE_CURVE('',#166355,#166353,#89084,.T.); #207625=EDGE_CURVE('',#166354,#166355,#89085,.T.); #207626=EDGE_CURVE('',#166356,#166354,#89086,.T.); #207627=EDGE_CURVE('',#166357,#166355,#89087,.T.); #207628=EDGE_CURVE('',#166356,#166357,#89088,.T.); #207629=EDGE_CURVE('',#166358,#166356,#89089,.T.); #207630=EDGE_CURVE('',#166359,#166357,#89090,.T.); #207631=EDGE_CURVE('',#166358,#166359,#89091,.T.); #207632=EDGE_CURVE('',#166360,#166358,#89092,.T.); #207633=EDGE_CURVE('',#166361,#166359,#89093,.T.); #207634=EDGE_CURVE('',#166360,#166361,#89094,.T.); #207635=EDGE_CURVE('',#166362,#166360,#89095,.T.); #207636=EDGE_CURVE('',#166363,#166361,#89096,.T.); #207637=EDGE_CURVE('',#166362,#166363,#89097,.T.); #207638=EDGE_CURVE('',#166364,#166362,#89098,.T.); #207639=EDGE_CURVE('',#166365,#166363,#89099,.T.); #207640=EDGE_CURVE('',#166364,#166365,#89100,.T.); #207641=EDGE_CURVE('',#166366,#166364,#89101,.T.); #207642=EDGE_CURVE('',#166367,#166365,#89102,.T.); #207643=EDGE_CURVE('',#166366,#166367,#89103,.T.); #207644=EDGE_CURVE('',#166368,#166366,#89104,.T.); #207645=EDGE_CURVE('',#166369,#166367,#89105,.T.); #207646=EDGE_CURVE('',#166368,#166369,#89106,.T.); #207647=EDGE_CURVE('',#166370,#166368,#89107,.T.); #207648=EDGE_CURVE('',#166371,#166369,#89108,.T.); #207649=EDGE_CURVE('',#166370,#166371,#89109,.T.); #207650=EDGE_CURVE('',#166372,#166370,#89110,.T.); #207651=EDGE_CURVE('',#166373,#166371,#89111,.T.); #207652=EDGE_CURVE('',#166372,#166373,#89112,.T.); #207653=EDGE_CURVE('',#166374,#166372,#89113,.T.); #207654=EDGE_CURVE('',#166375,#166373,#89114,.T.); #207655=EDGE_CURVE('',#166374,#166375,#89115,.T.); #207656=EDGE_CURVE('',#166376,#166374,#89116,.T.); #207657=EDGE_CURVE('',#166377,#166375,#89117,.T.); #207658=EDGE_CURVE('',#166376,#166377,#89118,.T.); #207659=EDGE_CURVE('',#166378,#166376,#89119,.T.); #207660=EDGE_CURVE('',#166379,#166377,#89120,.T.); #207661=EDGE_CURVE('',#166378,#166379,#89121,.T.); #207662=EDGE_CURVE('',#166380,#166378,#89122,.T.); #207663=EDGE_CURVE('',#166381,#166379,#89123,.T.); #207664=EDGE_CURVE('',#166380,#166381,#89124,.T.); #207665=EDGE_CURVE('',#166382,#166380,#89125,.T.); #207666=EDGE_CURVE('',#166383,#166381,#89126,.T.); #207667=EDGE_CURVE('',#166382,#166383,#89127,.T.); #207668=EDGE_CURVE('',#166384,#166382,#89128,.T.); #207669=EDGE_CURVE('',#166385,#166383,#89129,.T.); #207670=EDGE_CURVE('',#166384,#166385,#89130,.T.); #207671=EDGE_CURVE('',#166386,#166384,#89131,.T.); #207672=EDGE_CURVE('',#166387,#166385,#89132,.T.); #207673=EDGE_CURVE('',#166386,#166387,#89133,.T.); #207674=EDGE_CURVE('',#166388,#166386,#89134,.T.); #207675=EDGE_CURVE('',#166389,#166387,#89135,.T.); #207676=EDGE_CURVE('',#166388,#166389,#89136,.T.); #207677=EDGE_CURVE('',#166390,#166388,#89137,.T.); #207678=EDGE_CURVE('',#166391,#166389,#89138,.T.); #207679=EDGE_CURVE('',#166390,#166391,#89139,.T.); #207680=EDGE_CURVE('',#166392,#166390,#89140,.T.); #207681=EDGE_CURVE('',#166393,#166391,#89141,.T.); #207682=EDGE_CURVE('',#166392,#166393,#89142,.T.); #207683=EDGE_CURVE('',#166394,#166392,#89143,.T.); #207684=EDGE_CURVE('',#166395,#166393,#89144,.T.); #207685=EDGE_CURVE('',#166394,#166395,#89145,.T.); #207686=EDGE_CURVE('',#166396,#166394,#89146,.T.); #207687=EDGE_CURVE('',#166397,#166395,#89147,.T.); #207688=EDGE_CURVE('',#166396,#166397,#89148,.T.); #207689=EDGE_CURVE('',#166398,#166396,#89149,.T.); #207690=EDGE_CURVE('',#166399,#166397,#89150,.T.); #207691=EDGE_CURVE('',#166398,#166399,#89151,.T.); #207692=EDGE_CURVE('',#166400,#166398,#89152,.T.); #207693=EDGE_CURVE('',#166401,#166399,#89153,.T.); #207694=EDGE_CURVE('',#166400,#166401,#89154,.T.); #207695=EDGE_CURVE('',#166402,#166400,#89155,.T.); #207696=EDGE_CURVE('',#166403,#166401,#89156,.T.); #207697=EDGE_CURVE('',#166402,#166403,#89157,.T.); #207698=EDGE_CURVE('',#166404,#166402,#89158,.T.); #207699=EDGE_CURVE('',#166405,#166403,#89159,.T.); #207700=EDGE_CURVE('',#166404,#166405,#89160,.T.); #207701=EDGE_CURVE('',#166406,#166404,#89161,.T.); #207702=EDGE_CURVE('',#166407,#166405,#89162,.T.); #207703=EDGE_CURVE('',#166406,#166407,#89163,.T.); #207704=EDGE_CURVE('',#166408,#166406,#89164,.T.); #207705=EDGE_CURVE('',#166409,#166407,#89165,.T.); #207706=EDGE_CURVE('',#166408,#166409,#89166,.T.); #207707=EDGE_CURVE('',#166410,#166408,#89167,.T.); #207708=EDGE_CURVE('',#166411,#166409,#89168,.T.); #207709=EDGE_CURVE('',#166410,#166411,#89169,.T.); #207710=EDGE_CURVE('',#166412,#166410,#89170,.T.); #207711=EDGE_CURVE('',#166413,#166411,#89171,.T.); #207712=EDGE_CURVE('',#166412,#166413,#89172,.T.); #207713=EDGE_CURVE('',#166414,#166412,#89173,.T.); #207714=EDGE_CURVE('',#166415,#166413,#89174,.T.); #207715=EDGE_CURVE('',#166414,#166415,#89175,.T.); #207716=EDGE_CURVE('',#166416,#166414,#89176,.T.); #207717=EDGE_CURVE('',#166417,#166415,#89177,.T.); #207718=EDGE_CURVE('',#166416,#166417,#89178,.T.); #207719=EDGE_CURVE('',#166418,#166416,#89179,.T.); #207720=EDGE_CURVE('',#166419,#166417,#89180,.T.); #207721=EDGE_CURVE('',#166418,#166419,#89181,.T.); #207722=EDGE_CURVE('',#166420,#166418,#89182,.T.); #207723=EDGE_CURVE('',#166421,#166419,#89183,.T.); #207724=EDGE_CURVE('',#166420,#166421,#89184,.T.); #207725=EDGE_CURVE('',#166422,#166420,#89185,.T.); #207726=EDGE_CURVE('',#166423,#166421,#89186,.T.); #207727=EDGE_CURVE('',#166422,#166423,#89187,.T.); #207728=EDGE_CURVE('',#166424,#166422,#89188,.T.); #207729=EDGE_CURVE('',#166425,#166423,#89189,.T.); #207730=EDGE_CURVE('',#166424,#166425,#89190,.T.); #207731=EDGE_CURVE('',#166426,#166424,#89191,.T.); #207732=EDGE_CURVE('',#166427,#166425,#89192,.T.); #207733=EDGE_CURVE('',#166426,#166427,#89193,.T.); #207734=EDGE_CURVE('',#166428,#166426,#89194,.T.); #207735=EDGE_CURVE('',#166429,#166427,#89195,.T.); #207736=EDGE_CURVE('',#166428,#166429,#89196,.T.); #207737=EDGE_CURVE('',#166430,#166428,#89197,.T.); #207738=EDGE_CURVE('',#166431,#166429,#89198,.T.); #207739=EDGE_CURVE('',#166430,#166431,#89199,.T.); #207740=EDGE_CURVE('',#166432,#166430,#89200,.T.); #207741=EDGE_CURVE('',#166433,#166431,#89201,.T.); #207742=EDGE_CURVE('',#166432,#166433,#89202,.T.); #207743=EDGE_CURVE('',#166434,#166432,#89203,.T.); #207744=EDGE_CURVE('',#166435,#166433,#89204,.T.); #207745=EDGE_CURVE('',#166434,#166435,#89205,.T.); #207746=EDGE_CURVE('',#166436,#166434,#89206,.T.); #207747=EDGE_CURVE('',#166437,#166435,#89207,.T.); #207748=EDGE_CURVE('',#166436,#166437,#89208,.T.); #207749=EDGE_CURVE('',#166438,#166436,#89209,.T.); #207750=EDGE_CURVE('',#166439,#166437,#89210,.T.); #207751=EDGE_CURVE('',#166438,#166439,#89211,.T.); #207752=EDGE_CURVE('',#166440,#166438,#89212,.T.); #207753=EDGE_CURVE('',#166441,#166439,#89213,.T.); #207754=EDGE_CURVE('',#166440,#166441,#89214,.T.); #207755=EDGE_CURVE('',#166442,#166440,#89215,.T.); #207756=EDGE_CURVE('',#166443,#166441,#89216,.T.); #207757=EDGE_CURVE('',#166442,#166443,#89217,.T.); #207758=EDGE_CURVE('',#166444,#166442,#89218,.T.); #207759=EDGE_CURVE('',#166445,#166443,#89219,.T.); #207760=EDGE_CURVE('',#166444,#166445,#89220,.T.); #207761=EDGE_CURVE('',#166446,#166444,#89221,.T.); #207762=EDGE_CURVE('',#166447,#166445,#89222,.T.); #207763=EDGE_CURVE('',#166446,#166447,#89223,.T.); #207764=EDGE_CURVE('',#166448,#166446,#89224,.T.); #207765=EDGE_CURVE('',#166449,#166447,#89225,.T.); #207766=EDGE_CURVE('',#166448,#166449,#89226,.T.); #207767=EDGE_CURVE('',#166450,#166448,#89227,.T.); #207768=EDGE_CURVE('',#166451,#166449,#89228,.T.); #207769=EDGE_CURVE('',#166450,#166451,#89229,.T.); #207770=EDGE_CURVE('',#166452,#166450,#89230,.T.); #207771=EDGE_CURVE('',#166453,#166451,#89231,.T.); #207772=EDGE_CURVE('',#166452,#166453,#89232,.T.); #207773=EDGE_CURVE('',#166454,#166452,#89233,.T.); #207774=EDGE_CURVE('',#166455,#166453,#89234,.T.); #207775=EDGE_CURVE('',#166454,#166455,#89235,.T.); #207776=EDGE_CURVE('',#166456,#166454,#89236,.T.); #207777=EDGE_CURVE('',#166457,#166455,#89237,.T.); #207778=EDGE_CURVE('',#166456,#166457,#89238,.T.); #207779=EDGE_CURVE('',#166458,#166456,#89239,.T.); #207780=EDGE_CURVE('',#166459,#166457,#89240,.T.); #207781=EDGE_CURVE('',#166458,#166459,#89241,.T.); #207782=EDGE_CURVE('',#166460,#166458,#89242,.T.); #207783=EDGE_CURVE('',#166461,#166459,#89243,.T.); #207784=EDGE_CURVE('',#166460,#166461,#89244,.T.); #207785=EDGE_CURVE('',#166462,#166460,#89245,.T.); #207786=EDGE_CURVE('',#166463,#166461,#89246,.T.); #207787=EDGE_CURVE('',#166462,#166463,#89247,.T.); #207788=EDGE_CURVE('',#166464,#166462,#89248,.T.); #207789=EDGE_CURVE('',#166465,#166463,#89249,.T.); #207790=EDGE_CURVE('',#166464,#166465,#89250,.T.); #207791=EDGE_CURVE('',#166466,#166464,#89251,.T.); #207792=EDGE_CURVE('',#166467,#166465,#89252,.T.); #207793=EDGE_CURVE('',#166466,#166467,#89253,.T.); #207794=EDGE_CURVE('',#166468,#166466,#89254,.T.); #207795=EDGE_CURVE('',#166469,#166467,#89255,.T.); #207796=EDGE_CURVE('',#166468,#166469,#89256,.T.); #207797=EDGE_CURVE('',#166470,#166468,#89257,.T.); #207798=EDGE_CURVE('',#166471,#166469,#89258,.T.); #207799=EDGE_CURVE('',#166470,#166471,#89259,.T.); #207800=EDGE_CURVE('',#166472,#166470,#89260,.T.); #207801=EDGE_CURVE('',#166473,#166471,#89261,.T.); #207802=EDGE_CURVE('',#166472,#166473,#89262,.T.); #207803=EDGE_CURVE('',#166474,#166472,#89263,.T.); #207804=EDGE_CURVE('',#166475,#166473,#89264,.T.); #207805=EDGE_CURVE('',#166474,#166475,#89265,.T.); #207806=EDGE_CURVE('',#166476,#166474,#89266,.T.); #207807=EDGE_CURVE('',#166477,#166475,#89267,.T.); #207808=EDGE_CURVE('',#166476,#166477,#89268,.T.); #207809=EDGE_CURVE('',#166478,#166476,#89269,.T.); #207810=EDGE_CURVE('',#166479,#166477,#89270,.T.); #207811=EDGE_CURVE('',#166478,#166479,#89271,.T.); #207812=EDGE_CURVE('',#166480,#166478,#89272,.T.); #207813=EDGE_CURVE('',#166481,#166479,#89273,.T.); #207814=EDGE_CURVE('',#166480,#166481,#89274,.T.); #207815=EDGE_CURVE('',#166482,#166480,#89275,.T.); #207816=EDGE_CURVE('',#166483,#166481,#89276,.T.); #207817=EDGE_CURVE('',#166482,#166483,#89277,.T.); #207818=EDGE_CURVE('',#166484,#166482,#89278,.T.); #207819=EDGE_CURVE('',#166485,#166483,#89279,.T.); #207820=EDGE_CURVE('',#166484,#166485,#89280,.T.); #207821=EDGE_CURVE('',#166486,#166484,#89281,.T.); #207822=EDGE_CURVE('',#166487,#166485,#89282,.T.); #207823=EDGE_CURVE('',#166486,#166487,#89283,.T.); #207824=EDGE_CURVE('',#166488,#166486,#89284,.T.); #207825=EDGE_CURVE('',#166489,#166487,#89285,.T.); #207826=EDGE_CURVE('',#166488,#166489,#89286,.T.); #207827=EDGE_CURVE('',#166490,#166488,#89287,.T.); #207828=EDGE_CURVE('',#166491,#166489,#89288,.T.); #207829=EDGE_CURVE('',#166490,#166491,#89289,.T.); #207830=EDGE_CURVE('',#166492,#166490,#89290,.T.); #207831=EDGE_CURVE('',#166493,#166491,#89291,.T.); #207832=EDGE_CURVE('',#166492,#166493,#89292,.T.); #207833=EDGE_CURVE('',#166494,#166492,#89293,.T.); #207834=EDGE_CURVE('',#166495,#166493,#89294,.T.); #207835=EDGE_CURVE('',#166494,#166495,#89295,.T.); #207836=EDGE_CURVE('',#166496,#166494,#89296,.T.); #207837=EDGE_CURVE('',#166497,#166495,#89297,.T.); #207838=EDGE_CURVE('',#166496,#166497,#89298,.T.); #207839=EDGE_CURVE('',#166498,#166496,#89299,.T.); #207840=EDGE_CURVE('',#166499,#166497,#89300,.T.); #207841=EDGE_CURVE('',#166498,#166499,#89301,.T.); #207842=EDGE_CURVE('',#166500,#166498,#89302,.T.); #207843=EDGE_CURVE('',#166501,#166499,#89303,.T.); #207844=EDGE_CURVE('',#166500,#166501,#89304,.T.); #207845=EDGE_CURVE('',#166502,#166500,#89305,.T.); #207846=EDGE_CURVE('',#166503,#166501,#89306,.T.); #207847=EDGE_CURVE('',#166502,#166503,#89307,.T.); #207848=EDGE_CURVE('',#166504,#166502,#89308,.T.); #207849=EDGE_CURVE('',#166505,#166503,#89309,.T.); #207850=EDGE_CURVE('',#166504,#166505,#89310,.T.); #207851=EDGE_CURVE('',#166506,#166504,#89311,.T.); #207852=EDGE_CURVE('',#166507,#166505,#89312,.T.); #207853=EDGE_CURVE('',#166506,#166507,#89313,.T.); #207854=EDGE_CURVE('',#166508,#166506,#89314,.T.); #207855=EDGE_CURVE('',#166509,#166507,#89315,.T.); #207856=EDGE_CURVE('',#166508,#166509,#89316,.T.); #207857=EDGE_CURVE('',#166510,#166508,#89317,.T.); #207858=EDGE_CURVE('',#166511,#166509,#89318,.T.); #207859=EDGE_CURVE('',#166510,#166511,#89319,.T.); #207860=EDGE_CURVE('',#166512,#166510,#89320,.T.); #207861=EDGE_CURVE('',#166513,#166511,#89321,.T.); #207862=EDGE_CURVE('',#166512,#166513,#89322,.T.); #207863=EDGE_CURVE('',#166514,#166512,#89323,.T.); #207864=EDGE_CURVE('',#166515,#166513,#89324,.T.); #207865=EDGE_CURVE('',#166514,#166515,#89325,.T.); #207866=EDGE_CURVE('',#166516,#166514,#89326,.T.); #207867=EDGE_CURVE('',#166517,#166515,#89327,.T.); #207868=EDGE_CURVE('',#166516,#166517,#89328,.T.); #207869=EDGE_CURVE('',#166518,#166516,#89329,.T.); #207870=EDGE_CURVE('',#166519,#166517,#89330,.T.); #207871=EDGE_CURVE('',#166518,#166519,#89331,.T.); #207872=EDGE_CURVE('',#166520,#166518,#89332,.T.); #207873=EDGE_CURVE('',#166521,#166519,#89333,.T.); #207874=EDGE_CURVE('',#166520,#166521,#89334,.T.); #207875=EDGE_CURVE('',#166522,#166520,#89335,.T.); #207876=EDGE_CURVE('',#166523,#166521,#89336,.T.); #207877=EDGE_CURVE('',#166522,#166523,#89337,.T.); #207878=EDGE_CURVE('',#166524,#166522,#89338,.T.); #207879=EDGE_CURVE('',#166525,#166523,#89339,.T.); #207880=EDGE_CURVE('',#166524,#166525,#89340,.T.); #207881=EDGE_CURVE('',#166526,#166524,#89341,.T.); #207882=EDGE_CURVE('',#166527,#166525,#89342,.T.); #207883=EDGE_CURVE('',#166526,#166527,#89343,.T.); #207884=EDGE_CURVE('',#166528,#166526,#89344,.T.); #207885=EDGE_CURVE('',#166529,#166527,#89345,.T.); #207886=EDGE_CURVE('',#166528,#166529,#89346,.T.); #207887=EDGE_CURVE('',#166530,#166528,#89347,.T.); #207888=EDGE_CURVE('',#166531,#166529,#89348,.T.); #207889=EDGE_CURVE('',#166530,#166531,#89349,.T.); #207890=EDGE_CURVE('',#166532,#166530,#89350,.T.); #207891=EDGE_CURVE('',#166533,#166531,#89351,.T.); #207892=EDGE_CURVE('',#166532,#166533,#89352,.T.); #207893=EDGE_CURVE('',#166534,#166532,#89353,.T.); #207894=EDGE_CURVE('',#166535,#166533,#89354,.T.); #207895=EDGE_CURVE('',#166534,#166535,#89355,.T.); #207896=EDGE_CURVE('',#166536,#166534,#89356,.T.); #207897=EDGE_CURVE('',#166537,#166535,#89357,.T.); #207898=EDGE_CURVE('',#166536,#166537,#89358,.T.); #207899=EDGE_CURVE('',#166538,#166536,#89359,.T.); #207900=EDGE_CURVE('',#166539,#166537,#89360,.T.); #207901=EDGE_CURVE('',#166538,#166539,#89361,.T.); #207902=EDGE_CURVE('',#166351,#166538,#89362,.T.); #207903=EDGE_CURVE('',#166352,#166539,#89363,.T.); #207904=EDGE_CURVE('',#166540,#166540,#141354,.T.); #207905=EDGE_CURVE('',#166540,#166541,#89364,.T.); #207906=EDGE_CURVE('',#166541,#166541,#141355,.T.); #207907=EDGE_CURVE('',#166542,#166542,#141356,.T.); #207908=EDGE_CURVE('',#166542,#166543,#89365,.T.); #207909=EDGE_CURVE('',#166543,#166543,#141357,.T.); #207910=EDGE_CURVE('',#166544,#166545,#89366,.T.); #207911=EDGE_CURVE('',#166545,#166546,#89367,.T.); #207912=EDGE_CURVE('',#166547,#166546,#89368,.T.); #207913=EDGE_CURVE('',#166544,#166547,#89369,.T.); #207914=EDGE_CURVE('',#166548,#166544,#141358,.T.); #207915=EDGE_CURVE('',#166549,#166547,#141359,.T.); #207916=EDGE_CURVE('',#166548,#166549,#89370,.T.); #207917=EDGE_CURVE('',#166550,#166548,#89371,.T.); #207918=EDGE_CURVE('',#166551,#166549,#89372,.T.); #207919=EDGE_CURVE('',#166550,#166551,#89373,.T.); #207920=EDGE_CURVE('',#166552,#166550,#89374,.T.); #207921=EDGE_CURVE('',#166553,#166551,#89375,.T.); #207922=EDGE_CURVE('',#166552,#166553,#89376,.T.); #207923=EDGE_CURVE('',#166554,#166552,#141360,.T.); #207924=EDGE_CURVE('',#166555,#166553,#141361,.T.); #207925=EDGE_CURVE('',#166554,#166555,#89377,.T.); #207926=EDGE_CURVE('',#166556,#166554,#89378,.T.); #207927=EDGE_CURVE('',#166557,#166555,#89379,.T.); #207928=EDGE_CURVE('',#166556,#166557,#89380,.T.); #207929=EDGE_CURVE('',#166558,#166556,#89381,.T.); #207930=EDGE_CURVE('',#166559,#166557,#89382,.T.); #207931=EDGE_CURVE('',#166558,#166559,#89383,.T.); #207932=EDGE_CURVE('',#166560,#166558,#141362,.T.); #207933=EDGE_CURVE('',#166561,#166559,#141363,.T.); #207934=EDGE_CURVE('',#166560,#166561,#89384,.T.); #207935=EDGE_CURVE('',#166562,#166560,#89385,.T.); #207936=EDGE_CURVE('',#166563,#166561,#89386,.T.); #207937=EDGE_CURVE('',#166562,#166563,#89387,.T.); #207938=EDGE_CURVE('',#166564,#166562,#141364,.T.); #207939=EDGE_CURVE('',#166565,#166563,#141365,.T.); #207940=EDGE_CURVE('',#166564,#166565,#89388,.T.); #207941=EDGE_CURVE('',#166566,#166564,#89389,.T.); #207942=EDGE_CURVE('',#166567,#166565,#89390,.T.); #207943=EDGE_CURVE('',#166566,#166567,#89391,.T.); #207944=EDGE_CURVE('',#166568,#166566,#89392,.T.); #207945=EDGE_CURVE('',#166569,#166567,#89393,.T.); #207946=EDGE_CURVE('',#166568,#166569,#89394,.T.); #207947=EDGE_CURVE('',#166570,#166568,#89395,.T.); #207948=EDGE_CURVE('',#166571,#166569,#89396,.T.); #207949=EDGE_CURVE('',#166570,#166571,#89397,.T.); #207950=EDGE_CURVE('',#166572,#166570,#141366,.T.); #207951=EDGE_CURVE('',#166573,#166571,#141367,.T.); #207952=EDGE_CURVE('',#166572,#166573,#89398,.T.); #207953=EDGE_CURVE('',#166574,#166572,#89399,.T.); #207954=EDGE_CURVE('',#166575,#166573,#89400,.T.); #207955=EDGE_CURVE('',#166574,#166575,#89401,.T.); #207956=EDGE_CURVE('',#166576,#166574,#141368,.T.); #207957=EDGE_CURVE('',#166577,#166575,#141369,.T.); #207958=EDGE_CURVE('',#166576,#166577,#89402,.T.); #207959=EDGE_CURVE('',#166578,#166576,#89403,.T.); #207960=EDGE_CURVE('',#166579,#166577,#89404,.T.); #207961=EDGE_CURVE('',#166578,#166579,#89405,.T.); #207962=EDGE_CURVE('',#166580,#166578,#89406,.T.); #207963=EDGE_CURVE('',#166581,#166579,#89407,.T.); #207964=EDGE_CURVE('',#166580,#166581,#89408,.T.); #207965=EDGE_CURVE('',#166582,#166580,#141370,.T.); #207966=EDGE_CURVE('',#166583,#166581,#141371,.T.); #207967=EDGE_CURVE('',#166582,#166583,#89409,.T.); #207968=EDGE_CURVE('',#166584,#166582,#89410,.T.); #207969=EDGE_CURVE('',#166585,#166583,#89411,.T.); #207970=EDGE_CURVE('',#166584,#166585,#89412,.T.); #207971=EDGE_CURVE('',#166586,#166584,#141372,.T.); #207972=EDGE_CURVE('',#166587,#166585,#141373,.T.); #207973=EDGE_CURVE('',#166586,#166587,#89413,.T.); #207974=EDGE_CURVE('',#166588,#166586,#141374,.T.); #207975=EDGE_CURVE('',#166589,#166587,#141375,.T.); #207976=EDGE_CURVE('',#166588,#166589,#89414,.T.); #207977=EDGE_CURVE('',#166590,#166588,#89415,.T.); #207978=EDGE_CURVE('',#166591,#166589,#89416,.T.); #207979=EDGE_CURVE('',#166590,#166591,#89417,.T.); #207980=EDGE_CURVE('',#166592,#166590,#89418,.T.); #207981=EDGE_CURVE('',#166593,#166591,#89419,.T.); #207982=EDGE_CURVE('',#166592,#166593,#89420,.T.); #207983=EDGE_CURVE('',#166594,#166592,#141376,.T.); #207984=EDGE_CURVE('',#166595,#166593,#141377,.T.); #207985=EDGE_CURVE('',#166594,#166595,#89421,.T.); #207986=EDGE_CURVE('',#166596,#166594,#89422,.T.); #207987=EDGE_CURVE('',#166597,#166595,#89423,.T.); #207988=EDGE_CURVE('',#166596,#166597,#89424,.T.); #207989=EDGE_CURVE('',#166598,#166596,#89425,.T.); #207990=EDGE_CURVE('',#166599,#166597,#89426,.T.); #207991=EDGE_CURVE('',#166598,#166599,#89427,.T.); #207992=EDGE_CURVE('',#166600,#166598,#89428,.T.); #207993=EDGE_CURVE('',#166601,#166599,#89429,.T.); #207994=EDGE_CURVE('',#166600,#166601,#89430,.T.); #207995=EDGE_CURVE('',#166602,#166600,#141378,.T.); #207996=EDGE_CURVE('',#166603,#166601,#141379,.T.); #207997=EDGE_CURVE('',#166602,#166603,#89431,.T.); #207998=EDGE_CURVE('',#166604,#166602,#89432,.T.); #207999=EDGE_CURVE('',#166605,#166603,#89433,.T.); #208000=EDGE_CURVE('',#166604,#166605,#89434,.T.); #208001=EDGE_CURVE('',#166606,#166604,#141380,.T.); #208002=EDGE_CURVE('',#166607,#166605,#141381,.T.); #208003=EDGE_CURVE('',#166606,#166607,#89435,.T.); #208004=EDGE_CURVE('',#166608,#166606,#89436,.T.); #208005=EDGE_CURVE('',#166609,#166607,#89437,.T.); #208006=EDGE_CURVE('',#166608,#166609,#89438,.T.); #208007=EDGE_CURVE('',#166610,#166608,#89439,.T.); #208008=EDGE_CURVE('',#166611,#166609,#89440,.T.); #208009=EDGE_CURVE('',#166610,#166611,#89441,.T.); #208010=EDGE_CURVE('',#166612,#166610,#89442,.T.); #208011=EDGE_CURVE('',#166613,#166611,#89443,.T.); #208012=EDGE_CURVE('',#166612,#166613,#89444,.T.); #208013=EDGE_CURVE('',#166614,#166612,#141382,.T.); #208014=EDGE_CURVE('',#166615,#166613,#141383,.T.); #208015=EDGE_CURVE('',#166614,#166615,#89445,.T.); #208016=EDGE_CURVE('',#166616,#166614,#89446,.T.); #208017=EDGE_CURVE('',#166617,#166615,#89447,.T.); #208018=EDGE_CURVE('',#166616,#166617,#89448,.T.); #208019=EDGE_CURVE('',#166618,#166616,#89449,.T.); #208020=EDGE_CURVE('',#166619,#166617,#89450,.T.); #208021=EDGE_CURVE('',#166618,#166619,#89451,.T.); #208022=EDGE_CURVE('',#166620,#166618,#141384,.T.); #208023=EDGE_CURVE('',#166621,#166619,#141385,.T.); #208024=EDGE_CURVE('',#166620,#166621,#89452,.T.); #208025=EDGE_CURVE('',#166622,#166620,#89453,.T.); #208026=EDGE_CURVE('',#166623,#166621,#89454,.T.); #208027=EDGE_CURVE('',#166622,#166623,#89455,.T.); #208028=EDGE_CURVE('',#166624,#166622,#89456,.T.); #208029=EDGE_CURVE('',#166625,#166623,#89457,.T.); #208030=EDGE_CURVE('',#166624,#166625,#89458,.T.); #208031=EDGE_CURVE('',#166545,#166624,#141386,.T.); #208032=EDGE_CURVE('',#166546,#166625,#141387,.T.); #208033=EDGE_CURVE('',#166626,#166626,#141388,.T.); #208034=EDGE_CURVE('',#166626,#166627,#89459,.T.); #208035=EDGE_CURVE('',#166627,#166627,#141389,.T.); #208036=EDGE_CURVE('',#166628,#166629,#89460,.T.); #208037=EDGE_CURVE('',#166629,#166630,#89461,.T.); #208038=EDGE_CURVE('',#166631,#166630,#89462,.T.); #208039=EDGE_CURVE('',#166628,#166631,#89463,.T.); #208040=EDGE_CURVE('',#166632,#166628,#141390,.T.); #208041=EDGE_CURVE('',#166633,#166631,#141391,.T.); #208042=EDGE_CURVE('',#166632,#166633,#89464,.T.); #208043=EDGE_CURVE('',#166629,#166632,#141392,.T.); #208044=EDGE_CURVE('',#166630,#166633,#141393,.T.); #208045=EDGE_CURVE('',#166634,#166634,#141394,.T.); #208046=EDGE_CURVE('',#166634,#166635,#89465,.T.); #208047=EDGE_CURVE('',#166635,#166635,#141395,.T.); #208048=EDGE_CURVE('',#166636,#166636,#141396,.T.); #208049=EDGE_CURVE('',#166636,#166637,#89466,.T.); #208050=EDGE_CURVE('',#166637,#166637,#141397,.T.); #208051=EDGE_CURVE('',#166638,#166638,#141398,.T.); #208052=EDGE_CURVE('',#166638,#166639,#89467,.T.); #208053=EDGE_CURVE('',#166639,#166639,#141399,.T.); #208054=EDGE_CURVE('',#166640,#166640,#141400,.T.); #208055=EDGE_CURVE('',#166640,#166641,#89468,.T.); #208056=EDGE_CURVE('',#166641,#166641,#141401,.T.); #208057=EDGE_CURVE('',#166642,#166642,#141402,.T.); #208058=EDGE_CURVE('',#166642,#166643,#89469,.T.); #208059=EDGE_CURVE('',#166643,#166643,#141403,.T.); #208060=EDGE_CURVE('',#166644,#166644,#141404,.T.); #208061=EDGE_CURVE('',#166644,#166645,#89470,.T.); #208062=EDGE_CURVE('',#166645,#166645,#141405,.T.); #208063=EDGE_CURVE('',#166646,#166646,#141406,.T.); #208064=EDGE_CURVE('',#166646,#166647,#89471,.T.); #208065=EDGE_CURVE('',#166647,#166647,#141407,.T.); #208066=EDGE_CURVE('',#166648,#166648,#141408,.T.); #208067=EDGE_CURVE('',#166648,#166649,#89472,.T.); #208068=EDGE_CURVE('',#166649,#166649,#141409,.T.); #208069=EDGE_CURVE('',#166650,#166650,#141410,.T.); #208070=EDGE_CURVE('',#166650,#166651,#89473,.T.); #208071=EDGE_CURVE('',#166651,#166651,#141411,.T.); #208072=EDGE_CURVE('',#166652,#166652,#141412,.T.); #208073=EDGE_CURVE('',#166652,#166653,#89474,.T.); #208074=EDGE_CURVE('',#166653,#166653,#141413,.T.); #208075=EDGE_CURVE('',#166654,#166655,#89475,.T.); #208076=EDGE_CURVE('',#166655,#166656,#89476,.T.); #208077=EDGE_CURVE('',#166657,#166656,#89477,.T.); #208078=EDGE_CURVE('',#166654,#166657,#89478,.T.); #208079=EDGE_CURVE('',#166658,#166654,#89479,.T.); #208080=EDGE_CURVE('',#166659,#166657,#89480,.T.); #208081=EDGE_CURVE('',#166658,#166659,#89481,.T.); #208082=EDGE_CURVE('',#166660,#166658,#89482,.T.); #208083=EDGE_CURVE('',#166661,#166659,#89483,.T.); #208084=EDGE_CURVE('',#166660,#166661,#89484,.T.); #208085=EDGE_CURVE('',#166662,#166660,#89485,.T.); #208086=EDGE_CURVE('',#166663,#166661,#89486,.T.); #208087=EDGE_CURVE('',#166662,#166663,#89487,.T.); #208088=EDGE_CURVE('',#166664,#166662,#89488,.T.); #208089=EDGE_CURVE('',#166665,#166663,#89489,.T.); #208090=EDGE_CURVE('',#166664,#166665,#89490,.T.); #208091=EDGE_CURVE('',#166666,#166664,#89491,.T.); #208092=EDGE_CURVE('',#166667,#166665,#89492,.T.); #208093=EDGE_CURVE('',#166666,#166667,#89493,.T.); #208094=EDGE_CURVE('',#166668,#166666,#89494,.T.); #208095=EDGE_CURVE('',#166669,#166667,#89495,.T.); #208096=EDGE_CURVE('',#166668,#166669,#89496,.T.); #208097=EDGE_CURVE('',#166670,#166668,#89497,.T.); #208098=EDGE_CURVE('',#166671,#166669,#89498,.T.); #208099=EDGE_CURVE('',#166670,#166671,#89499,.T.); #208100=EDGE_CURVE('',#166672,#166670,#89500,.T.); #208101=EDGE_CURVE('',#166673,#166671,#89501,.T.); #208102=EDGE_CURVE('',#166672,#166673,#89502,.T.); #208103=EDGE_CURVE('',#166674,#166672,#89503,.T.); #208104=EDGE_CURVE('',#166675,#166673,#89504,.T.); #208105=EDGE_CURVE('',#166674,#166675,#89505,.T.); #208106=EDGE_CURVE('',#166676,#166674,#89506,.T.); #208107=EDGE_CURVE('',#166677,#166675,#89507,.T.); #208108=EDGE_CURVE('',#166676,#166677,#89508,.T.); #208109=EDGE_CURVE('',#166678,#166676,#89509,.T.); #208110=EDGE_CURVE('',#166679,#166677,#89510,.T.); #208111=EDGE_CURVE('',#166678,#166679,#89511,.T.); #208112=EDGE_CURVE('',#166680,#166678,#89512,.T.); #208113=EDGE_CURVE('',#166681,#166679,#89513,.T.); #208114=EDGE_CURVE('',#166680,#166681,#89514,.T.); #208115=EDGE_CURVE('',#166682,#166680,#89515,.T.); #208116=EDGE_CURVE('',#166683,#166681,#89516,.T.); #208117=EDGE_CURVE('',#166682,#166683,#89517,.T.); #208118=EDGE_CURVE('',#166684,#166682,#89518,.T.); #208119=EDGE_CURVE('',#166685,#166683,#89519,.T.); #208120=EDGE_CURVE('',#166684,#166685,#89520,.T.); #208121=EDGE_CURVE('',#166686,#166684,#89521,.T.); #208122=EDGE_CURVE('',#166687,#166685,#89522,.T.); #208123=EDGE_CURVE('',#166686,#166687,#89523,.T.); #208124=EDGE_CURVE('',#166688,#166686,#89524,.T.); #208125=EDGE_CURVE('',#166689,#166687,#89525,.T.); #208126=EDGE_CURVE('',#166688,#166689,#89526,.T.); #208127=EDGE_CURVE('',#166690,#166688,#89527,.T.); #208128=EDGE_CURVE('',#166691,#166689,#89528,.T.); #208129=EDGE_CURVE('',#166690,#166691,#89529,.T.); #208130=EDGE_CURVE('',#166692,#166690,#89530,.T.); #208131=EDGE_CURVE('',#166693,#166691,#89531,.T.); #208132=EDGE_CURVE('',#166692,#166693,#89532,.T.); #208133=EDGE_CURVE('',#166694,#166692,#89533,.T.); #208134=EDGE_CURVE('',#166695,#166693,#89534,.T.); #208135=EDGE_CURVE('',#166694,#166695,#89535,.T.); #208136=EDGE_CURVE('',#166696,#166694,#89536,.T.); #208137=EDGE_CURVE('',#166697,#166695,#89537,.T.); #208138=EDGE_CURVE('',#166696,#166697,#89538,.T.); #208139=EDGE_CURVE('',#166698,#166696,#89539,.T.); #208140=EDGE_CURVE('',#166699,#166697,#89540,.T.); #208141=EDGE_CURVE('',#166698,#166699,#89541,.T.); #208142=EDGE_CURVE('',#166700,#166698,#89542,.T.); #208143=EDGE_CURVE('',#166701,#166699,#89543,.T.); #208144=EDGE_CURVE('',#166700,#166701,#89544,.T.); #208145=EDGE_CURVE('',#166655,#166700,#89545,.T.); #208146=EDGE_CURVE('',#166656,#166701,#89546,.T.); #208147=EDGE_CURVE('',#166702,#166702,#141414,.T.); #208148=EDGE_CURVE('',#166702,#166703,#89547,.T.); #208149=EDGE_CURVE('',#166703,#166703,#141415,.T.); #208150=EDGE_CURVE('',#166704,#166705,#89548,.T.); #208151=EDGE_CURVE('',#166705,#166706,#89549,.T.); #208152=EDGE_CURVE('',#166707,#166706,#89550,.T.); #208153=EDGE_CURVE('',#166704,#166707,#89551,.T.); #208154=EDGE_CURVE('',#166708,#166704,#141416,.T.); #208155=EDGE_CURVE('',#166709,#166707,#141417,.T.); #208156=EDGE_CURVE('',#166708,#166709,#89552,.T.); #208157=EDGE_CURVE('',#166705,#166708,#141418,.T.); #208158=EDGE_CURVE('',#166706,#166709,#141419,.T.); #208159=EDGE_CURVE('',#166710,#166710,#141420,.T.); #208160=EDGE_CURVE('',#166710,#166711,#89553,.T.); #208161=EDGE_CURVE('',#166711,#166711,#141421,.T.); #208162=EDGE_CURVE('',#166712,#166713,#89554,.T.); #208163=EDGE_CURVE('',#166713,#166714,#89555,.T.); #208164=EDGE_CURVE('',#166715,#166714,#89556,.T.); #208165=EDGE_CURVE('',#166712,#166715,#89557,.T.); #208166=EDGE_CURVE('',#166716,#166712,#141422,.T.); #208167=EDGE_CURVE('',#166717,#166715,#141423,.T.); #208168=EDGE_CURVE('',#166716,#166717,#89558,.T.); #208169=EDGE_CURVE('',#166713,#166716,#141424,.T.); #208170=EDGE_CURVE('',#166714,#166717,#141425,.T.); #208171=EDGE_CURVE('',#166718,#166718,#141426,.T.); #208172=EDGE_CURVE('',#166718,#166719,#89559,.T.); #208173=EDGE_CURVE('',#166719,#166719,#141427,.T.); #208174=EDGE_CURVE('',#166720,#166721,#89560,.T.); #208175=EDGE_CURVE('',#166721,#166722,#89561,.T.); #208176=EDGE_CURVE('',#166723,#166722,#89562,.T.); #208177=EDGE_CURVE('',#166720,#166723,#89563,.T.); #208178=EDGE_CURVE('',#166724,#166720,#141428,.T.); #208179=EDGE_CURVE('',#166725,#166723,#141429,.T.); #208180=EDGE_CURVE('',#166724,#166725,#89564,.T.); #208181=EDGE_CURVE('',#166721,#166724,#141430,.T.); #208182=EDGE_CURVE('',#166722,#166725,#141431,.T.); #208183=EDGE_CURVE('',#166726,#166726,#141432,.T.); #208184=EDGE_CURVE('',#166726,#166727,#89565,.T.); #208185=EDGE_CURVE('',#166727,#166727,#141433,.T.); #208186=EDGE_CURVE('',#166728,#166729,#89566,.T.); #208187=EDGE_CURVE('',#166729,#166730,#89567,.T.); #208188=EDGE_CURVE('',#166731,#166730,#89568,.T.); #208189=EDGE_CURVE('',#166728,#166731,#89569,.T.); #208190=EDGE_CURVE('',#166732,#166728,#89570,.T.); #208191=EDGE_CURVE('',#166733,#166731,#89571,.T.); #208192=EDGE_CURVE('',#166732,#166733,#89572,.T.); #208193=EDGE_CURVE('',#166734,#166732,#89573,.T.); #208194=EDGE_CURVE('',#166735,#166733,#89574,.T.); #208195=EDGE_CURVE('',#166734,#166735,#89575,.T.); #208196=EDGE_CURVE('',#166736,#166734,#89576,.T.); #208197=EDGE_CURVE('',#166737,#166735,#89577,.T.); #208198=EDGE_CURVE('',#166736,#166737,#89578,.T.); #208199=EDGE_CURVE('',#166738,#166736,#89579,.T.); #208200=EDGE_CURVE('',#166739,#166737,#89580,.T.); #208201=EDGE_CURVE('',#166738,#166739,#89581,.T.); #208202=EDGE_CURVE('',#166740,#166738,#89582,.T.); #208203=EDGE_CURVE('',#166741,#166739,#89583,.T.); #208204=EDGE_CURVE('',#166740,#166741,#89584,.T.); #208205=EDGE_CURVE('',#166742,#166740,#89585,.T.); #208206=EDGE_CURVE('',#166743,#166741,#89586,.T.); #208207=EDGE_CURVE('',#166742,#166743,#89587,.T.); #208208=EDGE_CURVE('',#166729,#166742,#89588,.T.); #208209=EDGE_CURVE('',#166730,#166743,#89589,.T.); #208210=EDGE_CURVE('',#166744,#166744,#141434,.T.); #208211=EDGE_CURVE('',#166744,#166745,#89590,.T.); #208212=EDGE_CURVE('',#166745,#166745,#141435,.T.); #208213=EDGE_CURVE('',#166746,#166747,#89591,.T.); #208214=EDGE_CURVE('',#166747,#166748,#89592,.T.); #208215=EDGE_CURVE('',#166749,#166748,#89593,.T.); #208216=EDGE_CURVE('',#166746,#166749,#89594,.T.); #208217=EDGE_CURVE('',#166750,#166746,#141436,.T.); #208218=EDGE_CURVE('',#166751,#166749,#141437,.T.); #208219=EDGE_CURVE('',#166750,#166751,#89595,.T.); #208220=EDGE_CURVE('',#166747,#166750,#141438,.T.); #208221=EDGE_CURVE('',#166748,#166751,#141439,.T.); #208222=EDGE_CURVE('',#166752,#166752,#141440,.T.); #208223=EDGE_CURVE('',#166752,#166753,#89596,.T.); #208224=EDGE_CURVE('',#166753,#166753,#141441,.T.); #208225=EDGE_CURVE('',#166754,#166755,#89597,.T.); #208226=EDGE_CURVE('',#166755,#166756,#89598,.T.); #208227=EDGE_CURVE('',#166757,#166756,#89599,.T.); #208228=EDGE_CURVE('',#166754,#166757,#89600,.T.); #208229=EDGE_CURVE('',#166758,#166754,#89601,.T.); #208230=EDGE_CURVE('',#166759,#166757,#89602,.T.); #208231=EDGE_CURVE('',#166758,#166759,#89603,.T.); #208232=EDGE_CURVE('',#166760,#166758,#89604,.T.); #208233=EDGE_CURVE('',#166761,#166759,#89605,.T.); #208234=EDGE_CURVE('',#166760,#166761,#89606,.T.); #208235=EDGE_CURVE('',#166755,#166760,#89607,.T.); #208236=EDGE_CURVE('',#166756,#166761,#89608,.T.); #208237=EDGE_CURVE('',#166762,#166762,#141442,.T.); #208238=EDGE_CURVE('',#166762,#166763,#89609,.T.); #208239=EDGE_CURVE('',#166763,#166763,#141443,.T.); #208240=EDGE_CURVE('',#166764,#166765,#89610,.T.); #208241=EDGE_CURVE('',#166765,#166766,#89611,.T.); #208242=EDGE_CURVE('',#166767,#166766,#89612,.T.); #208243=EDGE_CURVE('',#166764,#166767,#89613,.T.); #208244=EDGE_CURVE('',#166768,#166764,#141444,.T.); #208245=EDGE_CURVE('',#166769,#166767,#141445,.T.); #208246=EDGE_CURVE('',#166768,#166769,#89614,.T.); #208247=EDGE_CURVE('',#166765,#166768,#141446,.T.); #208248=EDGE_CURVE('',#166766,#166769,#141447,.T.); #208249=EDGE_CURVE('',#166770,#166771,#89615,.T.); #208250=EDGE_CURVE('',#166772,#166770,#89616,.T.); #208251=EDGE_CURVE('',#166773,#166772,#89617,.T.); #208252=EDGE_CURVE('',#166773,#166771,#89618,.T.); #208253=EDGE_CURVE('',#166771,#166774,#141448,.T.); #208254=EDGE_CURVE('',#166775,#166773,#141449,.T.); #208255=EDGE_CURVE('',#166775,#166774,#89619,.T.); #208256=EDGE_CURVE('',#166774,#166776,#89620,.T.); #208257=EDGE_CURVE('',#166777,#166775,#89621,.T.); #208258=EDGE_CURVE('',#166777,#166776,#89622,.T.); #208259=EDGE_CURVE('',#166776,#166770,#141450,.T.); #208260=EDGE_CURVE('',#166772,#166777,#141451,.T.); #208261=EDGE_CURVE('',#166778,#166779,#89623,.T.); #208262=EDGE_CURVE('',#166779,#166780,#89624,.T.); #208263=EDGE_CURVE('',#166781,#166780,#89625,.T.); #208264=EDGE_CURVE('',#166778,#166781,#89626,.T.); #208265=EDGE_CURVE('',#166782,#166778,#89627,.T.); #208266=EDGE_CURVE('',#166783,#166781,#89628,.T.); #208267=EDGE_CURVE('',#166782,#166783,#89629,.T.); #208268=EDGE_CURVE('',#166784,#166782,#89630,.T.); #208269=EDGE_CURVE('',#166785,#166783,#89631,.T.); #208270=EDGE_CURVE('',#166784,#166785,#89632,.T.); #208271=EDGE_CURVE('',#166786,#166784,#89633,.T.); #208272=EDGE_CURVE('',#166787,#166785,#89634,.T.); #208273=EDGE_CURVE('',#166786,#166787,#89635,.T.); #208274=EDGE_CURVE('',#166788,#166786,#89636,.T.); #208275=EDGE_CURVE('',#166789,#166787,#89637,.T.); #208276=EDGE_CURVE('',#166788,#166789,#89638,.T.); #208277=EDGE_CURVE('',#166790,#166788,#89639,.T.); #208278=EDGE_CURVE('',#166791,#166789,#89640,.T.); #208279=EDGE_CURVE('',#166790,#166791,#89641,.T.); #208280=EDGE_CURVE('',#166792,#166790,#89642,.T.); #208281=EDGE_CURVE('',#166793,#166791,#89643,.T.); #208282=EDGE_CURVE('',#166792,#166793,#89644,.T.); #208283=EDGE_CURVE('',#166794,#166792,#89645,.T.); #208284=EDGE_CURVE('',#166795,#166793,#89646,.T.); #208285=EDGE_CURVE('',#166794,#166795,#89647,.T.); #208286=EDGE_CURVE('',#166796,#166794,#89648,.T.); #208287=EDGE_CURVE('',#166797,#166795,#89649,.T.); #208288=EDGE_CURVE('',#166796,#166797,#89650,.T.); #208289=EDGE_CURVE('',#166798,#166796,#89651,.T.); #208290=EDGE_CURVE('',#166799,#166797,#89652,.T.); #208291=EDGE_CURVE('',#166798,#166799,#89653,.T.); #208292=EDGE_CURVE('',#166800,#166798,#89654,.T.); #208293=EDGE_CURVE('',#166801,#166799,#89655,.T.); #208294=EDGE_CURVE('',#166800,#166801,#89656,.T.); #208295=EDGE_CURVE('',#166802,#166800,#89657,.T.); #208296=EDGE_CURVE('',#166803,#166801,#89658,.T.); #208297=EDGE_CURVE('',#166802,#166803,#89659,.T.); #208298=EDGE_CURVE('',#166804,#166802,#89660,.T.); #208299=EDGE_CURVE('',#166805,#166803,#89661,.T.); #208300=EDGE_CURVE('',#166804,#166805,#89662,.T.); #208301=EDGE_CURVE('',#166806,#166804,#89663,.T.); #208302=EDGE_CURVE('',#166807,#166805,#89664,.T.); #208303=EDGE_CURVE('',#166806,#166807,#89665,.T.); #208304=EDGE_CURVE('',#166808,#166806,#89666,.T.); #208305=EDGE_CURVE('',#166809,#166807,#89667,.T.); #208306=EDGE_CURVE('',#166808,#166809,#89668,.T.); #208307=EDGE_CURVE('',#166810,#166808,#89669,.T.); #208308=EDGE_CURVE('',#166811,#166809,#89670,.T.); #208309=EDGE_CURVE('',#166810,#166811,#89671,.T.); #208310=EDGE_CURVE('',#166812,#166810,#89672,.T.); #208311=EDGE_CURVE('',#166813,#166811,#89673,.T.); #208312=EDGE_CURVE('',#166812,#166813,#89674,.T.); #208313=EDGE_CURVE('',#166814,#166812,#89675,.T.); #208314=EDGE_CURVE('',#166815,#166813,#89676,.T.); #208315=EDGE_CURVE('',#166814,#166815,#89677,.T.); #208316=EDGE_CURVE('',#166816,#166814,#89678,.T.); #208317=EDGE_CURVE('',#166817,#166815,#89679,.T.); #208318=EDGE_CURVE('',#166816,#166817,#89680,.T.); #208319=EDGE_CURVE('',#166818,#166816,#89681,.T.); #208320=EDGE_CURVE('',#166819,#166817,#89682,.T.); #208321=EDGE_CURVE('',#166818,#166819,#89683,.T.); #208322=EDGE_CURVE('',#166820,#166818,#89684,.T.); #208323=EDGE_CURVE('',#166821,#166819,#89685,.T.); #208324=EDGE_CURVE('',#166820,#166821,#89686,.T.); #208325=EDGE_CURVE('',#166822,#166820,#89687,.T.); #208326=EDGE_CURVE('',#166823,#166821,#89688,.T.); #208327=EDGE_CURVE('',#166822,#166823,#89689,.T.); #208328=EDGE_CURVE('',#166824,#166822,#89690,.T.); #208329=EDGE_CURVE('',#166825,#166823,#89691,.T.); #208330=EDGE_CURVE('',#166824,#166825,#89692,.T.); #208331=EDGE_CURVE('',#166826,#166824,#89693,.T.); #208332=EDGE_CURVE('',#166827,#166825,#89694,.T.); #208333=EDGE_CURVE('',#166826,#166827,#89695,.T.); #208334=EDGE_CURVE('',#166828,#166826,#89696,.T.); #208335=EDGE_CURVE('',#166829,#166827,#89697,.T.); #208336=EDGE_CURVE('',#166828,#166829,#89698,.T.); #208337=EDGE_CURVE('',#166830,#166828,#89699,.T.); #208338=EDGE_CURVE('',#166831,#166829,#89700,.T.); #208339=EDGE_CURVE('',#166830,#166831,#89701,.T.); #208340=EDGE_CURVE('',#166832,#166830,#89702,.T.); #208341=EDGE_CURVE('',#166833,#166831,#89703,.T.); #208342=EDGE_CURVE('',#166832,#166833,#89704,.T.); #208343=EDGE_CURVE('',#166834,#166832,#89705,.T.); #208344=EDGE_CURVE('',#166835,#166833,#89706,.T.); #208345=EDGE_CURVE('',#166834,#166835,#89707,.T.); #208346=EDGE_CURVE('',#166836,#166834,#89708,.T.); #208347=EDGE_CURVE('',#166837,#166835,#89709,.T.); #208348=EDGE_CURVE('',#166836,#166837,#89710,.T.); #208349=EDGE_CURVE('',#166838,#166836,#89711,.T.); #208350=EDGE_CURVE('',#166839,#166837,#89712,.T.); #208351=EDGE_CURVE('',#166838,#166839,#89713,.T.); #208352=EDGE_CURVE('',#166840,#166838,#89714,.T.); #208353=EDGE_CURVE('',#166841,#166839,#89715,.T.); #208354=EDGE_CURVE('',#166840,#166841,#89716,.T.); #208355=EDGE_CURVE('',#166842,#166840,#89717,.T.); #208356=EDGE_CURVE('',#166843,#166841,#89718,.T.); #208357=EDGE_CURVE('',#166842,#166843,#89719,.T.); #208358=EDGE_CURVE('',#166844,#166842,#89720,.T.); #208359=EDGE_CURVE('',#166845,#166843,#89721,.T.); #208360=EDGE_CURVE('',#166844,#166845,#89722,.T.); #208361=EDGE_CURVE('',#166846,#166844,#89723,.T.); #208362=EDGE_CURVE('',#166847,#166845,#89724,.T.); #208363=EDGE_CURVE('',#166846,#166847,#89725,.T.); #208364=EDGE_CURVE('',#166848,#166846,#89726,.T.); #208365=EDGE_CURVE('',#166849,#166847,#89727,.T.); #208366=EDGE_CURVE('',#166848,#166849,#89728,.T.); #208367=EDGE_CURVE('',#166850,#166848,#89729,.T.); #208368=EDGE_CURVE('',#166851,#166849,#89730,.T.); #208369=EDGE_CURVE('',#166850,#166851,#89731,.T.); #208370=EDGE_CURVE('',#166852,#166850,#89732,.T.); #208371=EDGE_CURVE('',#166853,#166851,#89733,.T.); #208372=EDGE_CURVE('',#166852,#166853,#89734,.T.); #208373=EDGE_CURVE('',#166854,#166852,#89735,.T.); #208374=EDGE_CURVE('',#166855,#166853,#89736,.T.); #208375=EDGE_CURVE('',#166854,#166855,#89737,.T.); #208376=EDGE_CURVE('',#166856,#166854,#89738,.T.); #208377=EDGE_CURVE('',#166857,#166855,#89739,.T.); #208378=EDGE_CURVE('',#166856,#166857,#89740,.T.); #208379=EDGE_CURVE('',#166858,#166856,#89741,.T.); #208380=EDGE_CURVE('',#166859,#166857,#89742,.T.); #208381=EDGE_CURVE('',#166858,#166859,#89743,.T.); #208382=EDGE_CURVE('',#166860,#166858,#89744,.T.); #208383=EDGE_CURVE('',#166861,#166859,#89745,.T.); #208384=EDGE_CURVE('',#166860,#166861,#89746,.T.); #208385=EDGE_CURVE('',#166862,#166860,#89747,.T.); #208386=EDGE_CURVE('',#166863,#166861,#89748,.T.); #208387=EDGE_CURVE('',#166862,#166863,#89749,.T.); #208388=EDGE_CURVE('',#166864,#166862,#89750,.T.); #208389=EDGE_CURVE('',#166865,#166863,#89751,.T.); #208390=EDGE_CURVE('',#166864,#166865,#89752,.T.); #208391=EDGE_CURVE('',#166866,#166864,#89753,.T.); #208392=EDGE_CURVE('',#166867,#166865,#89754,.T.); #208393=EDGE_CURVE('',#166866,#166867,#89755,.T.); #208394=EDGE_CURVE('',#166868,#166866,#89756,.T.); #208395=EDGE_CURVE('',#166869,#166867,#89757,.T.); #208396=EDGE_CURVE('',#166868,#166869,#89758,.T.); #208397=EDGE_CURVE('',#166870,#166868,#89759,.T.); #208398=EDGE_CURVE('',#166871,#166869,#89760,.T.); #208399=EDGE_CURVE('',#166870,#166871,#89761,.T.); #208400=EDGE_CURVE('',#166872,#166870,#89762,.T.); #208401=EDGE_CURVE('',#166873,#166871,#89763,.T.); #208402=EDGE_CURVE('',#166872,#166873,#89764,.T.); #208403=EDGE_CURVE('',#166874,#166872,#89765,.T.); #208404=EDGE_CURVE('',#166875,#166873,#89766,.T.); #208405=EDGE_CURVE('',#166874,#166875,#89767,.T.); #208406=EDGE_CURVE('',#166876,#166874,#89768,.T.); #208407=EDGE_CURVE('',#166877,#166875,#89769,.T.); #208408=EDGE_CURVE('',#166876,#166877,#89770,.T.); #208409=EDGE_CURVE('',#166878,#166876,#89771,.T.); #208410=EDGE_CURVE('',#166879,#166877,#89772,.T.); #208411=EDGE_CURVE('',#166878,#166879,#89773,.T.); #208412=EDGE_CURVE('',#166880,#166878,#89774,.T.); #208413=EDGE_CURVE('',#166881,#166879,#89775,.T.); #208414=EDGE_CURVE('',#166880,#166881,#89776,.T.); #208415=EDGE_CURVE('',#166882,#166880,#89777,.T.); #208416=EDGE_CURVE('',#166883,#166881,#89778,.T.); #208417=EDGE_CURVE('',#166882,#166883,#89779,.T.); #208418=EDGE_CURVE('',#166884,#166882,#89780,.T.); #208419=EDGE_CURVE('',#166885,#166883,#89781,.T.); #208420=EDGE_CURVE('',#166884,#166885,#89782,.T.); #208421=EDGE_CURVE('',#166886,#166884,#89783,.T.); #208422=EDGE_CURVE('',#166887,#166885,#89784,.T.); #208423=EDGE_CURVE('',#166886,#166887,#89785,.T.); #208424=EDGE_CURVE('',#166888,#166886,#89786,.T.); #208425=EDGE_CURVE('',#166889,#166887,#89787,.T.); #208426=EDGE_CURVE('',#166888,#166889,#89788,.T.); #208427=EDGE_CURVE('',#166779,#166888,#89789,.T.); #208428=EDGE_CURVE('',#166780,#166889,#89790,.T.); #208429=EDGE_CURVE('',#166890,#166890,#141452,.T.); #208430=EDGE_CURVE('',#166890,#166891,#89791,.T.); #208431=EDGE_CURVE('',#166891,#166891,#141453,.T.); #208432=EDGE_CURVE('',#166892,#166893,#89792,.T.); #208433=EDGE_CURVE('',#166893,#166894,#89793,.T.); #208434=EDGE_CURVE('',#166895,#166894,#89794,.T.); #208435=EDGE_CURVE('',#166892,#166895,#89795,.T.); #208436=EDGE_CURVE('',#166896,#166892,#141454,.T.); #208437=EDGE_CURVE('',#166897,#166895,#141455,.T.); #208438=EDGE_CURVE('',#166896,#166897,#89796,.T.); #208439=EDGE_CURVE('',#166893,#166896,#141456,.T.); #208440=EDGE_CURVE('',#166894,#166897,#141457,.T.); #208441=EDGE_CURVE('',#166898,#166899,#89797,.T.); #208442=EDGE_CURVE('',#166900,#166898,#89798,.T.); #208443=EDGE_CURVE('',#166901,#166900,#89799,.T.); #208444=EDGE_CURVE('',#166901,#166899,#89800,.T.); #208445=EDGE_CURVE('',#166899,#166902,#141458,.T.); #208446=EDGE_CURVE('',#166903,#166901,#141459,.T.); #208447=EDGE_CURVE('',#166903,#166902,#89801,.T.); #208448=EDGE_CURVE('',#166902,#166904,#89802,.T.); #208449=EDGE_CURVE('',#166905,#166903,#89803,.T.); #208450=EDGE_CURVE('',#166905,#166904,#89804,.T.); #208451=EDGE_CURVE('',#166904,#166898,#141460,.T.); #208452=EDGE_CURVE('',#166900,#166905,#141461,.T.); #208453=EDGE_CURVE('',#166906,#166907,#89805,.T.); #208454=EDGE_CURVE('',#166907,#166908,#89806,.T.); #208455=EDGE_CURVE('',#166909,#166908,#89807,.T.); #208456=EDGE_CURVE('',#166906,#166909,#89808,.T.); #208457=EDGE_CURVE('',#166910,#166906,#89809,.T.); #208458=EDGE_CURVE('',#166911,#166909,#89810,.T.); #208459=EDGE_CURVE('',#166910,#166911,#89811,.T.); #208460=EDGE_CURVE('',#166912,#166910,#89812,.T.); #208461=EDGE_CURVE('',#166913,#166911,#89813,.T.); #208462=EDGE_CURVE('',#166912,#166913,#89814,.T.); #208463=EDGE_CURVE('',#166914,#166912,#89815,.T.); #208464=EDGE_CURVE('',#166915,#166913,#89816,.T.); #208465=EDGE_CURVE('',#166914,#166915,#89817,.T.); #208466=EDGE_CURVE('',#166916,#166914,#89818,.T.); #208467=EDGE_CURVE('',#166917,#166915,#89819,.T.); #208468=EDGE_CURVE('',#166916,#166917,#89820,.T.); #208469=EDGE_CURVE('',#166918,#166916,#89821,.T.); #208470=EDGE_CURVE('',#166919,#166917,#89822,.T.); #208471=EDGE_CURVE('',#166918,#166919,#89823,.T.); #208472=EDGE_CURVE('',#166920,#166918,#89824,.T.); #208473=EDGE_CURVE('',#166921,#166919,#89825,.T.); #208474=EDGE_CURVE('',#166920,#166921,#89826,.T.); #208475=EDGE_CURVE('',#166922,#166920,#89827,.T.); #208476=EDGE_CURVE('',#166923,#166921,#89828,.T.); #208477=EDGE_CURVE('',#166922,#166923,#89829,.T.); #208478=EDGE_CURVE('',#166924,#166922,#89830,.T.); #208479=EDGE_CURVE('',#166925,#166923,#89831,.T.); #208480=EDGE_CURVE('',#166924,#166925,#89832,.T.); #208481=EDGE_CURVE('',#166926,#166924,#89833,.T.); #208482=EDGE_CURVE('',#166927,#166925,#89834,.T.); #208483=EDGE_CURVE('',#166926,#166927,#89835,.T.); #208484=EDGE_CURVE('',#166928,#166926,#89836,.T.); #208485=EDGE_CURVE('',#166929,#166927,#89837,.T.); #208486=EDGE_CURVE('',#166928,#166929,#89838,.T.); #208487=EDGE_CURVE('',#166930,#166928,#89839,.T.); #208488=EDGE_CURVE('',#166931,#166929,#89840,.T.); #208489=EDGE_CURVE('',#166930,#166931,#89841,.T.); #208490=EDGE_CURVE('',#166932,#166930,#89842,.T.); #208491=EDGE_CURVE('',#166933,#166931,#89843,.T.); #208492=EDGE_CURVE('',#166932,#166933,#89844,.T.); #208493=EDGE_CURVE('',#166934,#166932,#89845,.T.); #208494=EDGE_CURVE('',#166935,#166933,#89846,.T.); #208495=EDGE_CURVE('',#166934,#166935,#89847,.T.); #208496=EDGE_CURVE('',#166936,#166934,#89848,.T.); #208497=EDGE_CURVE('',#166937,#166935,#89849,.T.); #208498=EDGE_CURVE('',#166936,#166937,#89850,.T.); #208499=EDGE_CURVE('',#166938,#166936,#89851,.T.); #208500=EDGE_CURVE('',#166939,#166937,#89852,.T.); #208501=EDGE_CURVE('',#166938,#166939,#89853,.T.); #208502=EDGE_CURVE('',#166940,#166938,#89854,.T.); #208503=EDGE_CURVE('',#166941,#166939,#89855,.T.); #208504=EDGE_CURVE('',#166940,#166941,#89856,.T.); #208505=EDGE_CURVE('',#166942,#166940,#89857,.T.); #208506=EDGE_CURVE('',#166943,#166941,#89858,.T.); #208507=EDGE_CURVE('',#166942,#166943,#89859,.T.); #208508=EDGE_CURVE('',#166944,#166942,#89860,.T.); #208509=EDGE_CURVE('',#166945,#166943,#89861,.T.); #208510=EDGE_CURVE('',#166944,#166945,#89862,.T.); #208511=EDGE_CURVE('',#166946,#166944,#89863,.T.); #208512=EDGE_CURVE('',#166947,#166945,#89864,.T.); #208513=EDGE_CURVE('',#166946,#166947,#89865,.T.); #208514=EDGE_CURVE('',#166948,#166946,#89866,.T.); #208515=EDGE_CURVE('',#166949,#166947,#89867,.T.); #208516=EDGE_CURVE('',#166948,#166949,#89868,.T.); #208517=EDGE_CURVE('',#166950,#166948,#89869,.T.); #208518=EDGE_CURVE('',#166951,#166949,#89870,.T.); #208519=EDGE_CURVE('',#166950,#166951,#89871,.T.); #208520=EDGE_CURVE('',#166952,#166950,#89872,.T.); #208521=EDGE_CURVE('',#166953,#166951,#89873,.T.); #208522=EDGE_CURVE('',#166952,#166953,#89874,.T.); #208523=EDGE_CURVE('',#166954,#166952,#89875,.T.); #208524=EDGE_CURVE('',#166955,#166953,#89876,.T.); #208525=EDGE_CURVE('',#166954,#166955,#89877,.T.); #208526=EDGE_CURVE('',#166956,#166954,#89878,.T.); #208527=EDGE_CURVE('',#166957,#166955,#89879,.T.); #208528=EDGE_CURVE('',#166956,#166957,#89880,.T.); #208529=EDGE_CURVE('',#166958,#166956,#89881,.T.); #208530=EDGE_CURVE('',#166959,#166957,#89882,.T.); #208531=EDGE_CURVE('',#166958,#166959,#89883,.T.); #208532=EDGE_CURVE('',#166960,#166958,#89884,.T.); #208533=EDGE_CURVE('',#166961,#166959,#89885,.T.); #208534=EDGE_CURVE('',#166960,#166961,#89886,.T.); #208535=EDGE_CURVE('',#166962,#166960,#89887,.T.); #208536=EDGE_CURVE('',#166963,#166961,#89888,.T.); #208537=EDGE_CURVE('',#166962,#166963,#89889,.T.); #208538=EDGE_CURVE('',#166964,#166962,#89890,.T.); #208539=EDGE_CURVE('',#166965,#166963,#89891,.T.); #208540=EDGE_CURVE('',#166964,#166965,#89892,.T.); #208541=EDGE_CURVE('',#166966,#166964,#89893,.T.); #208542=EDGE_CURVE('',#166967,#166965,#89894,.T.); #208543=EDGE_CURVE('',#166966,#166967,#89895,.T.); #208544=EDGE_CURVE('',#166968,#166966,#89896,.T.); #208545=EDGE_CURVE('',#166969,#166967,#89897,.T.); #208546=EDGE_CURVE('',#166968,#166969,#89898,.T.); #208547=EDGE_CURVE('',#166970,#166968,#89899,.T.); #208548=EDGE_CURVE('',#166971,#166969,#89900,.T.); #208549=EDGE_CURVE('',#166970,#166971,#89901,.T.); #208550=EDGE_CURVE('',#166972,#166970,#89902,.T.); #208551=EDGE_CURVE('',#166973,#166971,#89903,.T.); #208552=EDGE_CURVE('',#166972,#166973,#89904,.T.); #208553=EDGE_CURVE('',#166974,#166972,#89905,.T.); #208554=EDGE_CURVE('',#166975,#166973,#89906,.T.); #208555=EDGE_CURVE('',#166974,#166975,#89907,.T.); #208556=EDGE_CURVE('',#166976,#166974,#89908,.T.); #208557=EDGE_CURVE('',#166977,#166975,#89909,.T.); #208558=EDGE_CURVE('',#166976,#166977,#89910,.T.); #208559=EDGE_CURVE('',#166978,#166976,#89911,.T.); #208560=EDGE_CURVE('',#166979,#166977,#89912,.T.); #208561=EDGE_CURVE('',#166978,#166979,#89913,.T.); #208562=EDGE_CURVE('',#166980,#166978,#89914,.T.); #208563=EDGE_CURVE('',#166981,#166979,#89915,.T.); #208564=EDGE_CURVE('',#166980,#166981,#89916,.T.); #208565=EDGE_CURVE('',#166982,#166980,#89917,.T.); #208566=EDGE_CURVE('',#166983,#166981,#89918,.T.); #208567=EDGE_CURVE('',#166982,#166983,#89919,.T.); #208568=EDGE_CURVE('',#166984,#166982,#89920,.T.); #208569=EDGE_CURVE('',#166985,#166983,#89921,.T.); #208570=EDGE_CURVE('',#166984,#166985,#89922,.T.); #208571=EDGE_CURVE('',#166986,#166984,#89923,.T.); #208572=EDGE_CURVE('',#166987,#166985,#89924,.T.); #208573=EDGE_CURVE('',#166986,#166987,#89925,.T.); #208574=EDGE_CURVE('',#166988,#166986,#89926,.T.); #208575=EDGE_CURVE('',#166989,#166987,#89927,.T.); #208576=EDGE_CURVE('',#166988,#166989,#89928,.T.); #208577=EDGE_CURVE('',#166990,#166988,#89929,.T.); #208578=EDGE_CURVE('',#166991,#166989,#89930,.T.); #208579=EDGE_CURVE('',#166990,#166991,#89931,.T.); #208580=EDGE_CURVE('',#166992,#166990,#89932,.T.); #208581=EDGE_CURVE('',#166993,#166991,#89933,.T.); #208582=EDGE_CURVE('',#166992,#166993,#89934,.T.); #208583=EDGE_CURVE('',#166994,#166992,#89935,.T.); #208584=EDGE_CURVE('',#166995,#166993,#89936,.T.); #208585=EDGE_CURVE('',#166994,#166995,#89937,.T.); #208586=EDGE_CURVE('',#166996,#166994,#89938,.T.); #208587=EDGE_CURVE('',#166997,#166995,#89939,.T.); #208588=EDGE_CURVE('',#166996,#166997,#89940,.T.); #208589=EDGE_CURVE('',#166998,#166996,#89941,.T.); #208590=EDGE_CURVE('',#166999,#166997,#89942,.T.); #208591=EDGE_CURVE('',#166998,#166999,#89943,.T.); #208592=EDGE_CURVE('',#167000,#166998,#89944,.T.); #208593=EDGE_CURVE('',#167001,#166999,#89945,.T.); #208594=EDGE_CURVE('',#167000,#167001,#89946,.T.); #208595=EDGE_CURVE('',#167002,#167000,#89947,.T.); #208596=EDGE_CURVE('',#167003,#167001,#89948,.T.); #208597=EDGE_CURVE('',#167002,#167003,#89949,.T.); #208598=EDGE_CURVE('',#167004,#167002,#89950,.T.); #208599=EDGE_CURVE('',#167005,#167003,#89951,.T.); #208600=EDGE_CURVE('',#167004,#167005,#89952,.T.); #208601=EDGE_CURVE('',#167006,#167004,#89953,.T.); #208602=EDGE_CURVE('',#167007,#167005,#89954,.T.); #208603=EDGE_CURVE('',#167006,#167007,#89955,.T.); #208604=EDGE_CURVE('',#167008,#167006,#89956,.T.); #208605=EDGE_CURVE('',#167009,#167007,#89957,.T.); #208606=EDGE_CURVE('',#167008,#167009,#89958,.T.); #208607=EDGE_CURVE('',#167010,#167008,#89959,.T.); #208608=EDGE_CURVE('',#167011,#167009,#89960,.T.); #208609=EDGE_CURVE('',#167010,#167011,#89961,.T.); #208610=EDGE_CURVE('',#167012,#167010,#89962,.T.); #208611=EDGE_CURVE('',#167013,#167011,#89963,.T.); #208612=EDGE_CURVE('',#167012,#167013,#89964,.T.); #208613=EDGE_CURVE('',#167014,#167012,#89965,.T.); #208614=EDGE_CURVE('',#167015,#167013,#89966,.T.); #208615=EDGE_CURVE('',#167014,#167015,#89967,.T.); #208616=EDGE_CURVE('',#167016,#167014,#89968,.T.); #208617=EDGE_CURVE('',#167017,#167015,#89969,.T.); #208618=EDGE_CURVE('',#167016,#167017,#89970,.T.); #208619=EDGE_CURVE('',#166907,#167016,#89971,.T.); #208620=EDGE_CURVE('',#166908,#167017,#89972,.T.); #208621=EDGE_CURVE('',#167018,#167019,#89973,.T.); #208622=EDGE_CURVE('',#167020,#167018,#89974,.T.); #208623=EDGE_CURVE('',#167021,#167020,#89975,.T.); #208624=EDGE_CURVE('',#167021,#167019,#89976,.T.); #208625=EDGE_CURVE('',#167019,#167022,#141462,.T.); #208626=EDGE_CURVE('',#167023,#167021,#141463,.T.); #208627=EDGE_CURVE('',#167023,#167022,#89977,.T.); #208628=EDGE_CURVE('',#167022,#167024,#89978,.T.); #208629=EDGE_CURVE('',#167025,#167023,#89979,.T.); #208630=EDGE_CURVE('',#167025,#167024,#89980,.T.); #208631=EDGE_CURVE('',#167024,#167018,#141464,.T.); #208632=EDGE_CURVE('',#167020,#167025,#141465,.T.); #208633=EDGE_CURVE('',#167026,#167027,#89981,.T.); #208634=EDGE_CURVE('',#167027,#167028,#89982,.T.); #208635=EDGE_CURVE('',#167029,#167028,#89983,.T.); #208636=EDGE_CURVE('',#167026,#167029,#89984,.T.); #208637=EDGE_CURVE('',#167030,#167026,#89985,.T.); #208638=EDGE_CURVE('',#167031,#167029,#89986,.T.); #208639=EDGE_CURVE('',#167030,#167031,#89987,.T.); #208640=EDGE_CURVE('',#167032,#167030,#89988,.T.); #208641=EDGE_CURVE('',#167033,#167031,#89989,.T.); #208642=EDGE_CURVE('',#167032,#167033,#89990,.T.); #208643=EDGE_CURVE('',#167034,#167032,#89991,.T.); #208644=EDGE_CURVE('',#167035,#167033,#89992,.T.); #208645=EDGE_CURVE('',#167034,#167035,#89993,.T.); #208646=EDGE_CURVE('',#167036,#167034,#89994,.T.); #208647=EDGE_CURVE('',#167037,#167035,#89995,.T.); #208648=EDGE_CURVE('',#167036,#167037,#89996,.T.); #208649=EDGE_CURVE('',#167038,#167036,#89997,.T.); #208650=EDGE_CURVE('',#167039,#167037,#89998,.T.); #208651=EDGE_CURVE('',#167038,#167039,#89999,.T.); #208652=EDGE_CURVE('',#167040,#167038,#90000,.T.); #208653=EDGE_CURVE('',#167041,#167039,#90001,.T.); #208654=EDGE_CURVE('',#167040,#167041,#90002,.T.); #208655=EDGE_CURVE('',#167042,#167040,#90003,.T.); #208656=EDGE_CURVE('',#167043,#167041,#90004,.T.); #208657=EDGE_CURVE('',#167042,#167043,#90005,.T.); #208658=EDGE_CURVE('',#167044,#167042,#90006,.T.); #208659=EDGE_CURVE('',#167045,#167043,#90007,.T.); #208660=EDGE_CURVE('',#167044,#167045,#90008,.T.); #208661=EDGE_CURVE('',#167046,#167044,#90009,.T.); #208662=EDGE_CURVE('',#167047,#167045,#90010,.T.); #208663=EDGE_CURVE('',#167046,#167047,#90011,.T.); #208664=EDGE_CURVE('',#167048,#167046,#90012,.T.); #208665=EDGE_CURVE('',#167049,#167047,#90013,.T.); #208666=EDGE_CURVE('',#167048,#167049,#90014,.T.); #208667=EDGE_CURVE('',#167050,#167048,#90015,.T.); #208668=EDGE_CURVE('',#167051,#167049,#90016,.T.); #208669=EDGE_CURVE('',#167050,#167051,#90017,.T.); #208670=EDGE_CURVE('',#167052,#167050,#90018,.T.); #208671=EDGE_CURVE('',#167053,#167051,#90019,.T.); #208672=EDGE_CURVE('',#167052,#167053,#90020,.T.); #208673=EDGE_CURVE('',#167054,#167052,#90021,.T.); #208674=EDGE_CURVE('',#167055,#167053,#90022,.T.); #208675=EDGE_CURVE('',#167054,#167055,#90023,.T.); #208676=EDGE_CURVE('',#167056,#167054,#90024,.T.); #208677=EDGE_CURVE('',#167057,#167055,#90025,.T.); #208678=EDGE_CURVE('',#167056,#167057,#90026,.T.); #208679=EDGE_CURVE('',#167058,#167056,#90027,.T.); #208680=EDGE_CURVE('',#167059,#167057,#90028,.T.); #208681=EDGE_CURVE('',#167058,#167059,#90029,.T.); #208682=EDGE_CURVE('',#167060,#167058,#90030,.T.); #208683=EDGE_CURVE('',#167061,#167059,#90031,.T.); #208684=EDGE_CURVE('',#167060,#167061,#90032,.T.); #208685=EDGE_CURVE('',#167062,#167060,#90033,.T.); #208686=EDGE_CURVE('',#167063,#167061,#90034,.T.); #208687=EDGE_CURVE('',#167062,#167063,#90035,.T.); #208688=EDGE_CURVE('',#167064,#167062,#90036,.T.); #208689=EDGE_CURVE('',#167065,#167063,#90037,.T.); #208690=EDGE_CURVE('',#167064,#167065,#90038,.T.); #208691=EDGE_CURVE('',#167066,#167064,#90039,.T.); #208692=EDGE_CURVE('',#167067,#167065,#90040,.T.); #208693=EDGE_CURVE('',#167066,#167067,#90041,.T.); #208694=EDGE_CURVE('',#167068,#167066,#90042,.T.); #208695=EDGE_CURVE('',#167069,#167067,#90043,.T.); #208696=EDGE_CURVE('',#167068,#167069,#90044,.T.); #208697=EDGE_CURVE('',#167070,#167068,#90045,.T.); #208698=EDGE_CURVE('',#167071,#167069,#90046,.T.); #208699=EDGE_CURVE('',#167070,#167071,#90047,.T.); #208700=EDGE_CURVE('',#167072,#167070,#90048,.T.); #208701=EDGE_CURVE('',#167073,#167071,#90049,.T.); #208702=EDGE_CURVE('',#167072,#167073,#90050,.T.); #208703=EDGE_CURVE('',#167074,#167072,#90051,.T.); #208704=EDGE_CURVE('',#167075,#167073,#90052,.T.); #208705=EDGE_CURVE('',#167074,#167075,#90053,.T.); #208706=EDGE_CURVE('',#167076,#167074,#90054,.T.); #208707=EDGE_CURVE('',#167077,#167075,#90055,.T.); #208708=EDGE_CURVE('',#167076,#167077,#90056,.T.); #208709=EDGE_CURVE('',#167078,#167076,#90057,.T.); #208710=EDGE_CURVE('',#167079,#167077,#90058,.T.); #208711=EDGE_CURVE('',#167078,#167079,#90059,.T.); #208712=EDGE_CURVE('',#167080,#167078,#90060,.T.); #208713=EDGE_CURVE('',#167081,#167079,#90061,.T.); #208714=EDGE_CURVE('',#167080,#167081,#90062,.T.); #208715=EDGE_CURVE('',#167082,#167080,#90063,.T.); #208716=EDGE_CURVE('',#167083,#167081,#90064,.T.); #208717=EDGE_CURVE('',#167082,#167083,#90065,.T.); #208718=EDGE_CURVE('',#167084,#167082,#90066,.T.); #208719=EDGE_CURVE('',#167085,#167083,#90067,.T.); #208720=EDGE_CURVE('',#167084,#167085,#90068,.T.); #208721=EDGE_CURVE('',#167086,#167084,#90069,.T.); #208722=EDGE_CURVE('',#167087,#167085,#90070,.T.); #208723=EDGE_CURVE('',#167086,#167087,#90071,.T.); #208724=EDGE_CURVE('',#167088,#167086,#90072,.T.); #208725=EDGE_CURVE('',#167089,#167087,#90073,.T.); #208726=EDGE_CURVE('',#167088,#167089,#90074,.T.); #208727=EDGE_CURVE('',#167090,#167088,#90075,.T.); #208728=EDGE_CURVE('',#167091,#167089,#90076,.T.); #208729=EDGE_CURVE('',#167090,#167091,#90077,.T.); #208730=EDGE_CURVE('',#167092,#167090,#90078,.T.); #208731=EDGE_CURVE('',#167093,#167091,#90079,.T.); #208732=EDGE_CURVE('',#167092,#167093,#90080,.T.); #208733=EDGE_CURVE('',#167094,#167092,#90081,.T.); #208734=EDGE_CURVE('',#167095,#167093,#90082,.T.); #208735=EDGE_CURVE('',#167094,#167095,#90083,.T.); #208736=EDGE_CURVE('',#167096,#167094,#90084,.T.); #208737=EDGE_CURVE('',#167097,#167095,#90085,.T.); #208738=EDGE_CURVE('',#167096,#167097,#90086,.T.); #208739=EDGE_CURVE('',#167098,#167096,#90087,.T.); #208740=EDGE_CURVE('',#167099,#167097,#90088,.T.); #208741=EDGE_CURVE('',#167098,#167099,#90089,.T.); #208742=EDGE_CURVE('',#167100,#167098,#90090,.T.); #208743=EDGE_CURVE('',#167101,#167099,#90091,.T.); #208744=EDGE_CURVE('',#167100,#167101,#90092,.T.); #208745=EDGE_CURVE('',#167102,#167100,#90093,.T.); #208746=EDGE_CURVE('',#167103,#167101,#90094,.T.); #208747=EDGE_CURVE('',#167102,#167103,#90095,.T.); #208748=EDGE_CURVE('',#167104,#167102,#90096,.T.); #208749=EDGE_CURVE('',#167105,#167103,#90097,.T.); #208750=EDGE_CURVE('',#167104,#167105,#90098,.T.); #208751=EDGE_CURVE('',#167106,#167104,#90099,.T.); #208752=EDGE_CURVE('',#167107,#167105,#90100,.T.); #208753=EDGE_CURVE('',#167106,#167107,#90101,.T.); #208754=EDGE_CURVE('',#167108,#167106,#90102,.T.); #208755=EDGE_CURVE('',#167109,#167107,#90103,.T.); #208756=EDGE_CURVE('',#167108,#167109,#90104,.T.); #208757=EDGE_CURVE('',#167110,#167108,#90105,.T.); #208758=EDGE_CURVE('',#167111,#167109,#90106,.T.); #208759=EDGE_CURVE('',#167110,#167111,#90107,.T.); #208760=EDGE_CURVE('',#167112,#167110,#90108,.T.); #208761=EDGE_CURVE('',#167113,#167111,#90109,.T.); #208762=EDGE_CURVE('',#167112,#167113,#90110,.T.); #208763=EDGE_CURVE('',#167114,#167112,#90111,.T.); #208764=EDGE_CURVE('',#167115,#167113,#90112,.T.); #208765=EDGE_CURVE('',#167114,#167115,#90113,.T.); #208766=EDGE_CURVE('',#167116,#167114,#90114,.T.); #208767=EDGE_CURVE('',#167117,#167115,#90115,.T.); #208768=EDGE_CURVE('',#167116,#167117,#90116,.T.); #208769=EDGE_CURVE('',#167118,#167116,#90117,.T.); #208770=EDGE_CURVE('',#167119,#167117,#90118,.T.); #208771=EDGE_CURVE('',#167118,#167119,#90119,.T.); #208772=EDGE_CURVE('',#167120,#167118,#90120,.T.); #208773=EDGE_CURVE('',#167121,#167119,#90121,.T.); #208774=EDGE_CURVE('',#167120,#167121,#90122,.T.); #208775=EDGE_CURVE('',#167122,#167120,#90123,.T.); #208776=EDGE_CURVE('',#167123,#167121,#90124,.T.); #208777=EDGE_CURVE('',#167122,#167123,#90125,.T.); #208778=EDGE_CURVE('',#167124,#167122,#90126,.T.); #208779=EDGE_CURVE('',#167125,#167123,#90127,.T.); #208780=EDGE_CURVE('',#167124,#167125,#90128,.T.); #208781=EDGE_CURVE('',#167126,#167124,#90129,.T.); #208782=EDGE_CURVE('',#167127,#167125,#90130,.T.); #208783=EDGE_CURVE('',#167126,#167127,#90131,.T.); #208784=EDGE_CURVE('',#167128,#167126,#90132,.T.); #208785=EDGE_CURVE('',#167129,#167127,#90133,.T.); #208786=EDGE_CURVE('',#167128,#167129,#90134,.T.); #208787=EDGE_CURVE('',#167130,#167128,#90135,.T.); #208788=EDGE_CURVE('',#167131,#167129,#90136,.T.); #208789=EDGE_CURVE('',#167130,#167131,#90137,.T.); #208790=EDGE_CURVE('',#167132,#167130,#90138,.T.); #208791=EDGE_CURVE('',#167133,#167131,#90139,.T.); #208792=EDGE_CURVE('',#167132,#167133,#90140,.T.); #208793=EDGE_CURVE('',#167134,#167132,#90141,.T.); #208794=EDGE_CURVE('',#167135,#167133,#90142,.T.); #208795=EDGE_CURVE('',#167134,#167135,#90143,.T.); #208796=EDGE_CURVE('',#167136,#167134,#90144,.T.); #208797=EDGE_CURVE('',#167137,#167135,#90145,.T.); #208798=EDGE_CURVE('',#167136,#167137,#90146,.T.); #208799=EDGE_CURVE('',#167138,#167136,#90147,.T.); #208800=EDGE_CURVE('',#167139,#167137,#90148,.T.); #208801=EDGE_CURVE('',#167138,#167139,#90149,.T.); #208802=EDGE_CURVE('',#167140,#167138,#90150,.T.); #208803=EDGE_CURVE('',#167141,#167139,#90151,.T.); #208804=EDGE_CURVE('',#167140,#167141,#90152,.T.); #208805=EDGE_CURVE('',#167142,#167140,#90153,.T.); #208806=EDGE_CURVE('',#167143,#167141,#90154,.T.); #208807=EDGE_CURVE('',#167142,#167143,#90155,.T.); #208808=EDGE_CURVE('',#167144,#167142,#90156,.T.); #208809=EDGE_CURVE('',#167145,#167143,#90157,.T.); #208810=EDGE_CURVE('',#167144,#167145,#90158,.T.); #208811=EDGE_CURVE('',#167146,#167144,#90159,.T.); #208812=EDGE_CURVE('',#167147,#167145,#90160,.T.); #208813=EDGE_CURVE('',#167146,#167147,#90161,.T.); #208814=EDGE_CURVE('',#167148,#167146,#90162,.T.); #208815=EDGE_CURVE('',#167149,#167147,#90163,.T.); #208816=EDGE_CURVE('',#167148,#167149,#90164,.T.); #208817=EDGE_CURVE('',#167150,#167148,#90165,.T.); #208818=EDGE_CURVE('',#167151,#167149,#90166,.T.); #208819=EDGE_CURVE('',#167150,#167151,#90167,.T.); #208820=EDGE_CURVE('',#167152,#167150,#90168,.T.); #208821=EDGE_CURVE('',#167153,#167151,#90169,.T.); #208822=EDGE_CURVE('',#167152,#167153,#90170,.T.); #208823=EDGE_CURVE('',#167154,#167152,#90171,.T.); #208824=EDGE_CURVE('',#167155,#167153,#90172,.T.); #208825=EDGE_CURVE('',#167154,#167155,#90173,.T.); #208826=EDGE_CURVE('',#167156,#167154,#90174,.T.); #208827=EDGE_CURVE('',#167157,#167155,#90175,.T.); #208828=EDGE_CURVE('',#167156,#167157,#90176,.T.); #208829=EDGE_CURVE('',#167158,#167156,#90177,.T.); #208830=EDGE_CURVE('',#167159,#167157,#90178,.T.); #208831=EDGE_CURVE('',#167158,#167159,#90179,.T.); #208832=EDGE_CURVE('',#167160,#167158,#90180,.T.); #208833=EDGE_CURVE('',#167161,#167159,#90181,.T.); #208834=EDGE_CURVE('',#167160,#167161,#90182,.T.); #208835=EDGE_CURVE('',#167162,#167160,#90183,.T.); #208836=EDGE_CURVE('',#167163,#167161,#90184,.T.); #208837=EDGE_CURVE('',#167162,#167163,#90185,.T.); #208838=EDGE_CURVE('',#167164,#167162,#90186,.T.); #208839=EDGE_CURVE('',#167165,#167163,#90187,.T.); #208840=EDGE_CURVE('',#167164,#167165,#90188,.T.); #208841=EDGE_CURVE('',#167166,#167164,#90189,.T.); #208842=EDGE_CURVE('',#167167,#167165,#90190,.T.); #208843=EDGE_CURVE('',#167166,#167167,#90191,.T.); #208844=EDGE_CURVE('',#167168,#167166,#90192,.T.); #208845=EDGE_CURVE('',#167169,#167167,#90193,.T.); #208846=EDGE_CURVE('',#167168,#167169,#90194,.T.); #208847=EDGE_CURVE('',#167027,#167168,#90195,.T.); #208848=EDGE_CURVE('',#167028,#167169,#90196,.T.); #208849=EDGE_CURVE('',#167170,#167171,#90197,.T.); #208850=EDGE_CURVE('',#167172,#167170,#90198,.T.); #208851=EDGE_CURVE('',#167173,#167172,#90199,.T.); #208852=EDGE_CURVE('',#167173,#167171,#90200,.T.); #208853=EDGE_CURVE('',#167171,#167174,#141466,.T.); #208854=EDGE_CURVE('',#167175,#167173,#141467,.T.); #208855=EDGE_CURVE('',#167175,#167174,#90201,.T.); #208856=EDGE_CURVE('',#167174,#167176,#90202,.T.); #208857=EDGE_CURVE('',#167177,#167175,#90203,.T.); #208858=EDGE_CURVE('',#167177,#167176,#90204,.T.); #208859=EDGE_CURVE('',#167176,#167170,#141468,.T.); #208860=EDGE_CURVE('',#167172,#167177,#141469,.T.); #208861=EDGE_CURVE('',#167178,#167179,#90205,.T.); #208862=EDGE_CURVE('',#167179,#167180,#90206,.T.); #208863=EDGE_CURVE('',#167181,#167180,#90207,.T.); #208864=EDGE_CURVE('',#167178,#167181,#90208,.T.); #208865=EDGE_CURVE('',#167182,#167178,#90209,.T.); #208866=EDGE_CURVE('',#167183,#167181,#90210,.T.); #208867=EDGE_CURVE('',#167182,#167183,#90211,.T.); #208868=EDGE_CURVE('',#167184,#167182,#90212,.T.); #208869=EDGE_CURVE('',#167185,#167183,#90213,.T.); #208870=EDGE_CURVE('',#167184,#167185,#90214,.T.); #208871=EDGE_CURVE('',#167186,#167184,#90215,.T.); #208872=EDGE_CURVE('',#167187,#167185,#90216,.T.); #208873=EDGE_CURVE('',#167186,#167187,#90217,.T.); #208874=EDGE_CURVE('',#167188,#167186,#90218,.T.); #208875=EDGE_CURVE('',#167189,#167187,#90219,.T.); #208876=EDGE_CURVE('',#167188,#167189,#90220,.T.); #208877=EDGE_CURVE('',#167190,#167188,#90221,.T.); #208878=EDGE_CURVE('',#167191,#167189,#90222,.T.); #208879=EDGE_CURVE('',#167190,#167191,#90223,.T.); #208880=EDGE_CURVE('',#167192,#167190,#90224,.T.); #208881=EDGE_CURVE('',#167193,#167191,#90225,.T.); #208882=EDGE_CURVE('',#167192,#167193,#90226,.T.); #208883=EDGE_CURVE('',#167194,#167192,#90227,.T.); #208884=EDGE_CURVE('',#167195,#167193,#90228,.T.); #208885=EDGE_CURVE('',#167194,#167195,#90229,.T.); #208886=EDGE_CURVE('',#167196,#167194,#90230,.T.); #208887=EDGE_CURVE('',#167197,#167195,#90231,.T.); #208888=EDGE_CURVE('',#167196,#167197,#90232,.T.); #208889=EDGE_CURVE('',#167198,#167196,#90233,.T.); #208890=EDGE_CURVE('',#167199,#167197,#90234,.T.); #208891=EDGE_CURVE('',#167198,#167199,#90235,.T.); #208892=EDGE_CURVE('',#167200,#167198,#90236,.T.); #208893=EDGE_CURVE('',#167201,#167199,#90237,.T.); #208894=EDGE_CURVE('',#167200,#167201,#90238,.T.); #208895=EDGE_CURVE('',#167202,#167200,#90239,.T.); #208896=EDGE_CURVE('',#167203,#167201,#90240,.T.); #208897=EDGE_CURVE('',#167202,#167203,#90241,.T.); #208898=EDGE_CURVE('',#167204,#167202,#90242,.T.); #208899=EDGE_CURVE('',#167205,#167203,#90243,.T.); #208900=EDGE_CURVE('',#167204,#167205,#90244,.T.); #208901=EDGE_CURVE('',#167206,#167204,#90245,.T.); #208902=EDGE_CURVE('',#167207,#167205,#90246,.T.); #208903=EDGE_CURVE('',#167206,#167207,#90247,.T.); #208904=EDGE_CURVE('',#167208,#167206,#90248,.T.); #208905=EDGE_CURVE('',#167209,#167207,#90249,.T.); #208906=EDGE_CURVE('',#167208,#167209,#90250,.T.); #208907=EDGE_CURVE('',#167210,#167208,#90251,.T.); #208908=EDGE_CURVE('',#167211,#167209,#90252,.T.); #208909=EDGE_CURVE('',#167210,#167211,#90253,.T.); #208910=EDGE_CURVE('',#167212,#167210,#90254,.T.); #208911=EDGE_CURVE('',#167213,#167211,#90255,.T.); #208912=EDGE_CURVE('',#167212,#167213,#90256,.T.); #208913=EDGE_CURVE('',#167214,#167212,#90257,.T.); #208914=EDGE_CURVE('',#167215,#167213,#90258,.T.); #208915=EDGE_CURVE('',#167214,#167215,#90259,.T.); #208916=EDGE_CURVE('',#167216,#167214,#90260,.T.); #208917=EDGE_CURVE('',#167217,#167215,#90261,.T.); #208918=EDGE_CURVE('',#167216,#167217,#90262,.T.); #208919=EDGE_CURVE('',#167218,#167216,#90263,.T.); #208920=EDGE_CURVE('',#167219,#167217,#90264,.T.); #208921=EDGE_CURVE('',#167218,#167219,#90265,.T.); #208922=EDGE_CURVE('',#167220,#167218,#90266,.T.); #208923=EDGE_CURVE('',#167221,#167219,#90267,.T.); #208924=EDGE_CURVE('',#167220,#167221,#90268,.T.); #208925=EDGE_CURVE('',#167222,#167220,#90269,.T.); #208926=EDGE_CURVE('',#167223,#167221,#90270,.T.); #208927=EDGE_CURVE('',#167222,#167223,#90271,.T.); #208928=EDGE_CURVE('',#167224,#167222,#90272,.T.); #208929=EDGE_CURVE('',#167225,#167223,#90273,.T.); #208930=EDGE_CURVE('',#167224,#167225,#90274,.T.); #208931=EDGE_CURVE('',#167226,#167224,#90275,.T.); #208932=EDGE_CURVE('',#167227,#167225,#90276,.T.); #208933=EDGE_CURVE('',#167226,#167227,#90277,.T.); #208934=EDGE_CURVE('',#167228,#167226,#90278,.T.); #208935=EDGE_CURVE('',#167229,#167227,#90279,.T.); #208936=EDGE_CURVE('',#167228,#167229,#90280,.T.); #208937=EDGE_CURVE('',#167230,#167228,#90281,.T.); #208938=EDGE_CURVE('',#167231,#167229,#90282,.T.); #208939=EDGE_CURVE('',#167230,#167231,#90283,.T.); #208940=EDGE_CURVE('',#167232,#167230,#90284,.T.); #208941=EDGE_CURVE('',#167233,#167231,#90285,.T.); #208942=EDGE_CURVE('',#167232,#167233,#90286,.T.); #208943=EDGE_CURVE('',#167234,#167232,#90287,.T.); #208944=EDGE_CURVE('',#167235,#167233,#90288,.T.); #208945=EDGE_CURVE('',#167234,#167235,#90289,.T.); #208946=EDGE_CURVE('',#167236,#167234,#90290,.T.); #208947=EDGE_CURVE('',#167237,#167235,#90291,.T.); #208948=EDGE_CURVE('',#167236,#167237,#90292,.T.); #208949=EDGE_CURVE('',#167238,#167236,#90293,.T.); #208950=EDGE_CURVE('',#167239,#167237,#90294,.T.); #208951=EDGE_CURVE('',#167238,#167239,#90295,.T.); #208952=EDGE_CURVE('',#167240,#167238,#90296,.T.); #208953=EDGE_CURVE('',#167241,#167239,#90297,.T.); #208954=EDGE_CURVE('',#167240,#167241,#90298,.T.); #208955=EDGE_CURVE('',#167242,#167240,#90299,.T.); #208956=EDGE_CURVE('',#167243,#167241,#90300,.T.); #208957=EDGE_CURVE('',#167242,#167243,#90301,.T.); #208958=EDGE_CURVE('',#167244,#167242,#90302,.T.); #208959=EDGE_CURVE('',#167245,#167243,#90303,.T.); #208960=EDGE_CURVE('',#167244,#167245,#90304,.T.); #208961=EDGE_CURVE('',#167246,#167244,#90305,.T.); #208962=EDGE_CURVE('',#167247,#167245,#90306,.T.); #208963=EDGE_CURVE('',#167246,#167247,#90307,.T.); #208964=EDGE_CURVE('',#167248,#167246,#90308,.T.); #208965=EDGE_CURVE('',#167249,#167247,#90309,.T.); #208966=EDGE_CURVE('',#167248,#167249,#90310,.T.); #208967=EDGE_CURVE('',#167250,#167248,#90311,.T.); #208968=EDGE_CURVE('',#167251,#167249,#90312,.T.); #208969=EDGE_CURVE('',#167250,#167251,#90313,.T.); #208970=EDGE_CURVE('',#167252,#167250,#90314,.T.); #208971=EDGE_CURVE('',#167253,#167251,#90315,.T.); #208972=EDGE_CURVE('',#167252,#167253,#90316,.T.); #208973=EDGE_CURVE('',#167254,#167252,#90317,.T.); #208974=EDGE_CURVE('',#167255,#167253,#90318,.T.); #208975=EDGE_CURVE('',#167254,#167255,#90319,.T.); #208976=EDGE_CURVE('',#167256,#167254,#90320,.T.); #208977=EDGE_CURVE('',#167257,#167255,#90321,.T.); #208978=EDGE_CURVE('',#167256,#167257,#90322,.T.); #208979=EDGE_CURVE('',#167258,#167256,#90323,.T.); #208980=EDGE_CURVE('',#167259,#167257,#90324,.T.); #208981=EDGE_CURVE('',#167258,#167259,#90325,.T.); #208982=EDGE_CURVE('',#167260,#167258,#90326,.T.); #208983=EDGE_CURVE('',#167261,#167259,#90327,.T.); #208984=EDGE_CURVE('',#167260,#167261,#90328,.T.); #208985=EDGE_CURVE('',#167262,#167260,#90329,.T.); #208986=EDGE_CURVE('',#167263,#167261,#90330,.T.); #208987=EDGE_CURVE('',#167262,#167263,#90331,.T.); #208988=EDGE_CURVE('',#167264,#167262,#90332,.T.); #208989=EDGE_CURVE('',#167265,#167263,#90333,.T.); #208990=EDGE_CURVE('',#167264,#167265,#90334,.T.); #208991=EDGE_CURVE('',#167266,#167264,#90335,.T.); #208992=EDGE_CURVE('',#167267,#167265,#90336,.T.); #208993=EDGE_CURVE('',#167266,#167267,#90337,.T.); #208994=EDGE_CURVE('',#167268,#167266,#90338,.T.); #208995=EDGE_CURVE('',#167269,#167267,#90339,.T.); #208996=EDGE_CURVE('',#167268,#167269,#90340,.T.); #208997=EDGE_CURVE('',#167270,#167268,#90341,.T.); #208998=EDGE_CURVE('',#167271,#167269,#90342,.T.); #208999=EDGE_CURVE('',#167270,#167271,#90343,.T.); #209000=EDGE_CURVE('',#167272,#167270,#90344,.T.); #209001=EDGE_CURVE('',#167273,#167271,#90345,.T.); #209002=EDGE_CURVE('',#167272,#167273,#90346,.T.); #209003=EDGE_CURVE('',#167274,#167272,#90347,.T.); #209004=EDGE_CURVE('',#167275,#167273,#90348,.T.); #209005=EDGE_CURVE('',#167274,#167275,#90349,.T.); #209006=EDGE_CURVE('',#167276,#167274,#90350,.T.); #209007=EDGE_CURVE('',#167277,#167275,#90351,.T.); #209008=EDGE_CURVE('',#167276,#167277,#90352,.T.); #209009=EDGE_CURVE('',#167278,#167276,#90353,.T.); #209010=EDGE_CURVE('',#167279,#167277,#90354,.T.); #209011=EDGE_CURVE('',#167278,#167279,#90355,.T.); #209012=EDGE_CURVE('',#167280,#167278,#90356,.T.); #209013=EDGE_CURVE('',#167281,#167279,#90357,.T.); #209014=EDGE_CURVE('',#167280,#167281,#90358,.T.); #209015=EDGE_CURVE('',#167282,#167280,#90359,.T.); #209016=EDGE_CURVE('',#167283,#167281,#90360,.T.); #209017=EDGE_CURVE('',#167282,#167283,#90361,.T.); #209018=EDGE_CURVE('',#167284,#167282,#90362,.T.); #209019=EDGE_CURVE('',#167285,#167283,#90363,.T.); #209020=EDGE_CURVE('',#167284,#167285,#90364,.T.); #209021=EDGE_CURVE('',#167286,#167284,#90365,.T.); #209022=EDGE_CURVE('',#167287,#167285,#90366,.T.); #209023=EDGE_CURVE('',#167286,#167287,#90367,.T.); #209024=EDGE_CURVE('',#167288,#167286,#90368,.T.); #209025=EDGE_CURVE('',#167289,#167287,#90369,.T.); #209026=EDGE_CURVE('',#167288,#167289,#90370,.T.); #209027=EDGE_CURVE('',#167179,#167288,#90371,.T.); #209028=EDGE_CURVE('',#167180,#167289,#90372,.T.); #209029=EDGE_CURVE('',#167290,#167290,#141470,.T.); #209030=EDGE_CURVE('',#167290,#167291,#90373,.T.); #209031=EDGE_CURVE('',#167291,#167291,#141471,.T.); #209032=EDGE_CURVE('',#167292,#167293,#90374,.T.); #209033=EDGE_CURVE('',#167293,#167294,#90375,.T.); #209034=EDGE_CURVE('',#167295,#167294,#90376,.T.); #209035=EDGE_CURVE('',#167292,#167295,#90377,.T.); #209036=EDGE_CURVE('',#167296,#167292,#141472,.T.); #209037=EDGE_CURVE('',#167297,#167295,#141473,.T.); #209038=EDGE_CURVE('',#167296,#167297,#90378,.T.); #209039=EDGE_CURVE('',#167293,#167296,#141474,.T.); #209040=EDGE_CURVE('',#167294,#167297,#141475,.T.); #209041=EDGE_CURVE('',#167298,#167299,#90379,.T.); #209042=EDGE_CURVE('',#167300,#167298,#90380,.T.); #209043=EDGE_CURVE('',#167301,#167300,#90381,.T.); #209044=EDGE_CURVE('',#167301,#167299,#90382,.T.); #209045=EDGE_CURVE('',#167299,#167302,#141476,.T.); #209046=EDGE_CURVE('',#167303,#167301,#141477,.T.); #209047=EDGE_CURVE('',#167303,#167302,#90383,.T.); #209048=EDGE_CURVE('',#167302,#167304,#90384,.T.); #209049=EDGE_CURVE('',#167305,#167303,#90385,.T.); #209050=EDGE_CURVE('',#167305,#167304,#90386,.T.); #209051=EDGE_CURVE('',#167304,#167298,#141478,.T.); #209052=EDGE_CURVE('',#167300,#167305,#141479,.T.); #209053=EDGE_CURVE('',#167306,#167307,#90387,.T.); #209054=EDGE_CURVE('',#167307,#167308,#90388,.T.); #209055=EDGE_CURVE('',#167309,#167308,#90389,.T.); #209056=EDGE_CURVE('',#167306,#167309,#90390,.T.); #209057=EDGE_CURVE('',#167310,#167306,#90391,.T.); #209058=EDGE_CURVE('',#167311,#167309,#90392,.T.); #209059=EDGE_CURVE('',#167310,#167311,#90393,.T.); #209060=EDGE_CURVE('',#167312,#167310,#90394,.T.); #209061=EDGE_CURVE('',#167313,#167311,#90395,.T.); #209062=EDGE_CURVE('',#167312,#167313,#90396,.T.); #209063=EDGE_CURVE('',#167314,#167312,#90397,.T.); #209064=EDGE_CURVE('',#167315,#167313,#90398,.T.); #209065=EDGE_CURVE('',#167314,#167315,#90399,.T.); #209066=EDGE_CURVE('',#167316,#167314,#90400,.T.); #209067=EDGE_CURVE('',#167317,#167315,#90401,.T.); #209068=EDGE_CURVE('',#167316,#167317,#90402,.T.); #209069=EDGE_CURVE('',#167318,#167316,#90403,.T.); #209070=EDGE_CURVE('',#167319,#167317,#90404,.T.); #209071=EDGE_CURVE('',#167318,#167319,#90405,.T.); #209072=EDGE_CURVE('',#167320,#167318,#90406,.T.); #209073=EDGE_CURVE('',#167321,#167319,#90407,.T.); #209074=EDGE_CURVE('',#167320,#167321,#90408,.T.); #209075=EDGE_CURVE('',#167322,#167320,#90409,.T.); #209076=EDGE_CURVE('',#167323,#167321,#90410,.T.); #209077=EDGE_CURVE('',#167322,#167323,#90411,.T.); #209078=EDGE_CURVE('',#167324,#167322,#90412,.T.); #209079=EDGE_CURVE('',#167325,#167323,#90413,.T.); #209080=EDGE_CURVE('',#167324,#167325,#90414,.T.); #209081=EDGE_CURVE('',#167326,#167324,#90415,.T.); #209082=EDGE_CURVE('',#167327,#167325,#90416,.T.); #209083=EDGE_CURVE('',#167326,#167327,#90417,.T.); #209084=EDGE_CURVE('',#167328,#167326,#90418,.T.); #209085=EDGE_CURVE('',#167329,#167327,#90419,.T.); #209086=EDGE_CURVE('',#167328,#167329,#90420,.T.); #209087=EDGE_CURVE('',#167330,#167328,#90421,.T.); #209088=EDGE_CURVE('',#167331,#167329,#90422,.T.); #209089=EDGE_CURVE('',#167330,#167331,#90423,.T.); #209090=EDGE_CURVE('',#167332,#167330,#90424,.T.); #209091=EDGE_CURVE('',#167333,#167331,#90425,.T.); #209092=EDGE_CURVE('',#167332,#167333,#90426,.T.); #209093=EDGE_CURVE('',#167334,#167332,#90427,.T.); #209094=EDGE_CURVE('',#167335,#167333,#90428,.T.); #209095=EDGE_CURVE('',#167334,#167335,#90429,.T.); #209096=EDGE_CURVE('',#167336,#167334,#90430,.T.); #209097=EDGE_CURVE('',#167337,#167335,#90431,.T.); #209098=EDGE_CURVE('',#167336,#167337,#90432,.T.); #209099=EDGE_CURVE('',#167338,#167336,#90433,.T.); #209100=EDGE_CURVE('',#167339,#167337,#90434,.T.); #209101=EDGE_CURVE('',#167338,#167339,#90435,.T.); #209102=EDGE_CURVE('',#167340,#167338,#90436,.T.); #209103=EDGE_CURVE('',#167341,#167339,#90437,.T.); #209104=EDGE_CURVE('',#167340,#167341,#90438,.T.); #209105=EDGE_CURVE('',#167342,#167340,#90439,.T.); #209106=EDGE_CURVE('',#167343,#167341,#90440,.T.); #209107=EDGE_CURVE('',#167342,#167343,#90441,.T.); #209108=EDGE_CURVE('',#167344,#167342,#90442,.T.); #209109=EDGE_CURVE('',#167345,#167343,#90443,.T.); #209110=EDGE_CURVE('',#167344,#167345,#90444,.T.); #209111=EDGE_CURVE('',#167346,#167344,#90445,.T.); #209112=EDGE_CURVE('',#167347,#167345,#90446,.T.); #209113=EDGE_CURVE('',#167346,#167347,#90447,.T.); #209114=EDGE_CURVE('',#167348,#167346,#90448,.T.); #209115=EDGE_CURVE('',#167349,#167347,#90449,.T.); #209116=EDGE_CURVE('',#167348,#167349,#90450,.T.); #209117=EDGE_CURVE('',#167350,#167348,#90451,.T.); #209118=EDGE_CURVE('',#167351,#167349,#90452,.T.); #209119=EDGE_CURVE('',#167350,#167351,#90453,.T.); #209120=EDGE_CURVE('',#167352,#167350,#90454,.T.); #209121=EDGE_CURVE('',#167353,#167351,#90455,.T.); #209122=EDGE_CURVE('',#167352,#167353,#90456,.T.); #209123=EDGE_CURVE('',#167354,#167352,#90457,.T.); #209124=EDGE_CURVE('',#167355,#167353,#90458,.T.); #209125=EDGE_CURVE('',#167354,#167355,#90459,.T.); #209126=EDGE_CURVE('',#167356,#167354,#90460,.T.); #209127=EDGE_CURVE('',#167357,#167355,#90461,.T.); #209128=EDGE_CURVE('',#167356,#167357,#90462,.T.); #209129=EDGE_CURVE('',#167358,#167356,#90463,.T.); #209130=EDGE_CURVE('',#167359,#167357,#90464,.T.); #209131=EDGE_CURVE('',#167358,#167359,#90465,.T.); #209132=EDGE_CURVE('',#167360,#167358,#90466,.T.); #209133=EDGE_CURVE('',#167361,#167359,#90467,.T.); #209134=EDGE_CURVE('',#167360,#167361,#90468,.T.); #209135=EDGE_CURVE('',#167362,#167360,#90469,.T.); #209136=EDGE_CURVE('',#167363,#167361,#90470,.T.); #209137=EDGE_CURVE('',#167362,#167363,#90471,.T.); #209138=EDGE_CURVE('',#167364,#167362,#90472,.T.); #209139=EDGE_CURVE('',#167365,#167363,#90473,.T.); #209140=EDGE_CURVE('',#167364,#167365,#90474,.T.); #209141=EDGE_CURVE('',#167366,#167364,#90475,.T.); #209142=EDGE_CURVE('',#167367,#167365,#90476,.T.); #209143=EDGE_CURVE('',#167366,#167367,#90477,.T.); #209144=EDGE_CURVE('',#167368,#167366,#90478,.T.); #209145=EDGE_CURVE('',#167369,#167367,#90479,.T.); #209146=EDGE_CURVE('',#167368,#167369,#90480,.T.); #209147=EDGE_CURVE('',#167370,#167368,#90481,.T.); #209148=EDGE_CURVE('',#167371,#167369,#90482,.T.); #209149=EDGE_CURVE('',#167370,#167371,#90483,.T.); #209150=EDGE_CURVE('',#167372,#167370,#90484,.T.); #209151=EDGE_CURVE('',#167373,#167371,#90485,.T.); #209152=EDGE_CURVE('',#167372,#167373,#90486,.T.); #209153=EDGE_CURVE('',#167374,#167372,#90487,.T.); #209154=EDGE_CURVE('',#167375,#167373,#90488,.T.); #209155=EDGE_CURVE('',#167374,#167375,#90489,.T.); #209156=EDGE_CURVE('',#167376,#167374,#90490,.T.); #209157=EDGE_CURVE('',#167377,#167375,#90491,.T.); #209158=EDGE_CURVE('',#167376,#167377,#90492,.T.); #209159=EDGE_CURVE('',#167378,#167376,#90493,.T.); #209160=EDGE_CURVE('',#167379,#167377,#90494,.T.); #209161=EDGE_CURVE('',#167378,#167379,#90495,.T.); #209162=EDGE_CURVE('',#167380,#167378,#90496,.T.); #209163=EDGE_CURVE('',#167381,#167379,#90497,.T.); #209164=EDGE_CURVE('',#167380,#167381,#90498,.T.); #209165=EDGE_CURVE('',#167382,#167380,#90499,.T.); #209166=EDGE_CURVE('',#167383,#167381,#90500,.T.); #209167=EDGE_CURVE('',#167382,#167383,#90501,.T.); #209168=EDGE_CURVE('',#167384,#167382,#90502,.T.); #209169=EDGE_CURVE('',#167385,#167383,#90503,.T.); #209170=EDGE_CURVE('',#167384,#167385,#90504,.T.); #209171=EDGE_CURVE('',#167386,#167384,#90505,.T.); #209172=EDGE_CURVE('',#167387,#167385,#90506,.T.); #209173=EDGE_CURVE('',#167386,#167387,#90507,.T.); #209174=EDGE_CURVE('',#167388,#167386,#90508,.T.); #209175=EDGE_CURVE('',#167389,#167387,#90509,.T.); #209176=EDGE_CURVE('',#167388,#167389,#90510,.T.); #209177=EDGE_CURVE('',#167390,#167388,#90511,.T.); #209178=EDGE_CURVE('',#167391,#167389,#90512,.T.); #209179=EDGE_CURVE('',#167390,#167391,#90513,.T.); #209180=EDGE_CURVE('',#167392,#167390,#90514,.T.); #209181=EDGE_CURVE('',#167393,#167391,#90515,.T.); #209182=EDGE_CURVE('',#167392,#167393,#90516,.T.); #209183=EDGE_CURVE('',#167394,#167392,#90517,.T.); #209184=EDGE_CURVE('',#167395,#167393,#90518,.T.); #209185=EDGE_CURVE('',#167394,#167395,#90519,.T.); #209186=EDGE_CURVE('',#167396,#167394,#90520,.T.); #209187=EDGE_CURVE('',#167397,#167395,#90521,.T.); #209188=EDGE_CURVE('',#167396,#167397,#90522,.T.); #209189=EDGE_CURVE('',#167398,#167396,#90523,.T.); #209190=EDGE_CURVE('',#167399,#167397,#90524,.T.); #209191=EDGE_CURVE('',#167398,#167399,#90525,.T.); #209192=EDGE_CURVE('',#167400,#167398,#90526,.T.); #209193=EDGE_CURVE('',#167401,#167399,#90527,.T.); #209194=EDGE_CURVE('',#167400,#167401,#90528,.T.); #209195=EDGE_CURVE('',#167402,#167400,#90529,.T.); #209196=EDGE_CURVE('',#167403,#167401,#90530,.T.); #209197=EDGE_CURVE('',#167402,#167403,#90531,.T.); #209198=EDGE_CURVE('',#167404,#167402,#90532,.T.); #209199=EDGE_CURVE('',#167405,#167403,#90533,.T.); #209200=EDGE_CURVE('',#167404,#167405,#90534,.T.); #209201=EDGE_CURVE('',#167406,#167404,#90535,.T.); #209202=EDGE_CURVE('',#167407,#167405,#90536,.T.); #209203=EDGE_CURVE('',#167406,#167407,#90537,.T.); #209204=EDGE_CURVE('',#167408,#167406,#90538,.T.); #209205=EDGE_CURVE('',#167409,#167407,#90539,.T.); #209206=EDGE_CURVE('',#167408,#167409,#90540,.T.); #209207=EDGE_CURVE('',#167410,#167408,#90541,.T.); #209208=EDGE_CURVE('',#167411,#167409,#90542,.T.); #209209=EDGE_CURVE('',#167410,#167411,#90543,.T.); #209210=EDGE_CURVE('',#167412,#167410,#90544,.T.); #209211=EDGE_CURVE('',#167413,#167411,#90545,.T.); #209212=EDGE_CURVE('',#167412,#167413,#90546,.T.); #209213=EDGE_CURVE('',#167414,#167412,#90547,.T.); #209214=EDGE_CURVE('',#167415,#167413,#90548,.T.); #209215=EDGE_CURVE('',#167414,#167415,#90549,.T.); #209216=EDGE_CURVE('',#167416,#167414,#90550,.T.); #209217=EDGE_CURVE('',#167417,#167415,#90551,.T.); #209218=EDGE_CURVE('',#167416,#167417,#90552,.T.); #209219=EDGE_CURVE('',#167307,#167416,#90553,.T.); #209220=EDGE_CURVE('',#167308,#167417,#90554,.T.); #209221=EDGE_CURVE('',#167418,#167418,#141480,.T.); #209222=EDGE_CURVE('',#167418,#167419,#90555,.T.); #209223=EDGE_CURVE('',#167419,#167419,#141481,.T.); #209224=EDGE_CURVE('',#167420,#167421,#90556,.T.); #209225=EDGE_CURVE('',#167421,#167422,#90557,.T.); #209226=EDGE_CURVE('',#167423,#167422,#90558,.T.); #209227=EDGE_CURVE('',#167420,#167423,#90559,.T.); #209228=EDGE_CURVE('',#167424,#167420,#90560,.T.); #209229=EDGE_CURVE('',#167425,#167423,#90561,.T.); #209230=EDGE_CURVE('',#167424,#167425,#90562,.T.); #209231=EDGE_CURVE('',#167426,#167424,#90563,.T.); #209232=EDGE_CURVE('',#167427,#167425,#90564,.T.); #209233=EDGE_CURVE('',#167426,#167427,#90565,.T.); #209234=EDGE_CURVE('',#167428,#167426,#90566,.T.); #209235=EDGE_CURVE('',#167429,#167427,#90567,.T.); #209236=EDGE_CURVE('',#167428,#167429,#90568,.T.); #209237=EDGE_CURVE('',#167430,#167428,#90569,.T.); #209238=EDGE_CURVE('',#167431,#167429,#90570,.T.); #209239=EDGE_CURVE('',#167430,#167431,#90571,.T.); #209240=EDGE_CURVE('',#167432,#167430,#90572,.T.); #209241=EDGE_CURVE('',#167433,#167431,#90573,.T.); #209242=EDGE_CURVE('',#167432,#167433,#90574,.T.); #209243=EDGE_CURVE('',#167434,#167432,#90575,.T.); #209244=EDGE_CURVE('',#167435,#167433,#90576,.T.); #209245=EDGE_CURVE('',#167434,#167435,#90577,.T.); #209246=EDGE_CURVE('',#167421,#167434,#90578,.T.); #209247=EDGE_CURVE('',#167422,#167435,#90579,.T.); #209248=EDGE_CURVE('',#167436,#167436,#141482,.T.); #209249=EDGE_CURVE('',#167436,#167437,#90580,.T.); #209250=EDGE_CURVE('',#167437,#167437,#141483,.T.); #209251=EDGE_CURVE('',#167438,#167439,#90581,.T.); #209252=EDGE_CURVE('',#167439,#167440,#90582,.T.); #209253=EDGE_CURVE('',#167441,#167440,#90583,.T.); #209254=EDGE_CURVE('',#167438,#167441,#90584,.T.); #209255=EDGE_CURVE('',#167442,#167438,#141484,.T.); #209256=EDGE_CURVE('',#167443,#167441,#141485,.T.); #209257=EDGE_CURVE('',#167442,#167443,#90585,.T.); #209258=EDGE_CURVE('',#167439,#167442,#141486,.T.); #209259=EDGE_CURVE('',#167440,#167443,#141487,.T.); #209260=EDGE_CURVE('',#167444,#167445,#90586,.T.); #209261=EDGE_CURVE('',#167446,#167444,#90587,.T.); #209262=EDGE_CURVE('',#167447,#167446,#90588,.T.); #209263=EDGE_CURVE('',#167447,#167445,#90589,.T.); #209264=EDGE_CURVE('',#167445,#167448,#141488,.T.); #209265=EDGE_CURVE('',#167449,#167447,#141489,.T.); #209266=EDGE_CURVE('',#167449,#167448,#90590,.T.); #209267=EDGE_CURVE('',#167448,#167450,#90591,.T.); #209268=EDGE_CURVE('',#167451,#167449,#90592,.T.); #209269=EDGE_CURVE('',#167451,#167450,#90593,.T.); #209270=EDGE_CURVE('',#167450,#167444,#141490,.T.); #209271=EDGE_CURVE('',#167446,#167451,#141491,.T.); #209272=EDGE_CURVE('',#167452,#167453,#90594,.T.); #209273=EDGE_CURVE('',#167453,#167454,#90595,.T.); #209274=EDGE_CURVE('',#167455,#167454,#90596,.T.); #209275=EDGE_CURVE('',#167452,#167455,#90597,.T.); #209276=EDGE_CURVE('',#167456,#167452,#90598,.T.); #209277=EDGE_CURVE('',#167457,#167455,#90599,.T.); #209278=EDGE_CURVE('',#167456,#167457,#90600,.T.); #209279=EDGE_CURVE('',#167458,#167456,#90601,.T.); #209280=EDGE_CURVE('',#167459,#167457,#90602,.T.); #209281=EDGE_CURVE('',#167458,#167459,#90603,.T.); #209282=EDGE_CURVE('',#167460,#167458,#90604,.T.); #209283=EDGE_CURVE('',#167461,#167459,#90605,.T.); #209284=EDGE_CURVE('',#167460,#167461,#90606,.T.); #209285=EDGE_CURVE('',#167462,#167460,#90607,.T.); #209286=EDGE_CURVE('',#167463,#167461,#90608,.T.); #209287=EDGE_CURVE('',#167462,#167463,#90609,.T.); #209288=EDGE_CURVE('',#167464,#167462,#90610,.T.); #209289=EDGE_CURVE('',#167465,#167463,#90611,.T.); #209290=EDGE_CURVE('',#167464,#167465,#90612,.T.); #209291=EDGE_CURVE('',#167466,#167464,#90613,.T.); #209292=EDGE_CURVE('',#167467,#167465,#90614,.T.); #209293=EDGE_CURVE('',#167466,#167467,#90615,.T.); #209294=EDGE_CURVE('',#167468,#167466,#90616,.T.); #209295=EDGE_CURVE('',#167469,#167467,#90617,.T.); #209296=EDGE_CURVE('',#167468,#167469,#90618,.T.); #209297=EDGE_CURVE('',#167470,#167468,#90619,.T.); #209298=EDGE_CURVE('',#167471,#167469,#90620,.T.); #209299=EDGE_CURVE('',#167470,#167471,#90621,.T.); #209300=EDGE_CURVE('',#167472,#167470,#90622,.T.); #209301=EDGE_CURVE('',#167473,#167471,#90623,.T.); #209302=EDGE_CURVE('',#167472,#167473,#90624,.T.); #209303=EDGE_CURVE('',#167474,#167472,#90625,.T.); #209304=EDGE_CURVE('',#167475,#167473,#90626,.T.); #209305=EDGE_CURVE('',#167474,#167475,#90627,.T.); #209306=EDGE_CURVE('',#167476,#167474,#90628,.T.); #209307=EDGE_CURVE('',#167477,#167475,#90629,.T.); #209308=EDGE_CURVE('',#167476,#167477,#90630,.T.); #209309=EDGE_CURVE('',#167478,#167476,#90631,.T.); #209310=EDGE_CURVE('',#167479,#167477,#90632,.T.); #209311=EDGE_CURVE('',#167478,#167479,#90633,.T.); #209312=EDGE_CURVE('',#167480,#167478,#90634,.T.); #209313=EDGE_CURVE('',#167481,#167479,#90635,.T.); #209314=EDGE_CURVE('',#167480,#167481,#90636,.T.); #209315=EDGE_CURVE('',#167482,#167480,#90637,.T.); #209316=EDGE_CURVE('',#167483,#167481,#90638,.T.); #209317=EDGE_CURVE('',#167482,#167483,#90639,.T.); #209318=EDGE_CURVE('',#167484,#167482,#90640,.T.); #209319=EDGE_CURVE('',#167485,#167483,#90641,.T.); #209320=EDGE_CURVE('',#167484,#167485,#90642,.T.); #209321=EDGE_CURVE('',#167486,#167484,#90643,.T.); #209322=EDGE_CURVE('',#167487,#167485,#90644,.T.); #209323=EDGE_CURVE('',#167486,#167487,#90645,.T.); #209324=EDGE_CURVE('',#167488,#167486,#90646,.T.); #209325=EDGE_CURVE('',#167489,#167487,#90647,.T.); #209326=EDGE_CURVE('',#167488,#167489,#90648,.T.); #209327=EDGE_CURVE('',#167490,#167488,#90649,.T.); #209328=EDGE_CURVE('',#167491,#167489,#90650,.T.); #209329=EDGE_CURVE('',#167490,#167491,#90651,.T.); #209330=EDGE_CURVE('',#167492,#167490,#90652,.T.); #209331=EDGE_CURVE('',#167493,#167491,#90653,.T.); #209332=EDGE_CURVE('',#167492,#167493,#90654,.T.); #209333=EDGE_CURVE('',#167494,#167492,#90655,.T.); #209334=EDGE_CURVE('',#167495,#167493,#90656,.T.); #209335=EDGE_CURVE('',#167494,#167495,#90657,.T.); #209336=EDGE_CURVE('',#167496,#167494,#90658,.T.); #209337=EDGE_CURVE('',#167497,#167495,#90659,.T.); #209338=EDGE_CURVE('',#167496,#167497,#90660,.T.); #209339=EDGE_CURVE('',#167498,#167496,#90661,.T.); #209340=EDGE_CURVE('',#167499,#167497,#90662,.T.); #209341=EDGE_CURVE('',#167498,#167499,#90663,.T.); #209342=EDGE_CURVE('',#167500,#167498,#90664,.T.); #209343=EDGE_CURVE('',#167501,#167499,#90665,.T.); #209344=EDGE_CURVE('',#167500,#167501,#90666,.T.); #209345=EDGE_CURVE('',#167502,#167500,#90667,.T.); #209346=EDGE_CURVE('',#167503,#167501,#90668,.T.); #209347=EDGE_CURVE('',#167502,#167503,#90669,.T.); #209348=EDGE_CURVE('',#167504,#167502,#90670,.T.); #209349=EDGE_CURVE('',#167505,#167503,#90671,.T.); #209350=EDGE_CURVE('',#167504,#167505,#90672,.T.); #209351=EDGE_CURVE('',#167506,#167504,#90673,.T.); #209352=EDGE_CURVE('',#167507,#167505,#90674,.T.); #209353=EDGE_CURVE('',#167506,#167507,#90675,.T.); #209354=EDGE_CURVE('',#167508,#167506,#90676,.T.); #209355=EDGE_CURVE('',#167509,#167507,#90677,.T.); #209356=EDGE_CURVE('',#167508,#167509,#90678,.T.); #209357=EDGE_CURVE('',#167510,#167508,#90679,.T.); #209358=EDGE_CURVE('',#167511,#167509,#90680,.T.); #209359=EDGE_CURVE('',#167510,#167511,#90681,.T.); #209360=EDGE_CURVE('',#167512,#167510,#90682,.T.); #209361=EDGE_CURVE('',#167513,#167511,#90683,.T.); #209362=EDGE_CURVE('',#167512,#167513,#90684,.T.); #209363=EDGE_CURVE('',#167514,#167512,#90685,.T.); #209364=EDGE_CURVE('',#167515,#167513,#90686,.T.); #209365=EDGE_CURVE('',#167514,#167515,#90687,.T.); #209366=EDGE_CURVE('',#167516,#167514,#90688,.T.); #209367=EDGE_CURVE('',#167517,#167515,#90689,.T.); #209368=EDGE_CURVE('',#167516,#167517,#90690,.T.); #209369=EDGE_CURVE('',#167518,#167516,#90691,.T.); #209370=EDGE_CURVE('',#167519,#167517,#90692,.T.); #209371=EDGE_CURVE('',#167518,#167519,#90693,.T.); #209372=EDGE_CURVE('',#167520,#167518,#90694,.T.); #209373=EDGE_CURVE('',#167521,#167519,#90695,.T.); #209374=EDGE_CURVE('',#167520,#167521,#90696,.T.); #209375=EDGE_CURVE('',#167522,#167520,#90697,.T.); #209376=EDGE_CURVE('',#167523,#167521,#90698,.T.); #209377=EDGE_CURVE('',#167522,#167523,#90699,.T.); #209378=EDGE_CURVE('',#167524,#167522,#90700,.T.); #209379=EDGE_CURVE('',#167525,#167523,#90701,.T.); #209380=EDGE_CURVE('',#167524,#167525,#90702,.T.); #209381=EDGE_CURVE('',#167526,#167524,#90703,.T.); #209382=EDGE_CURVE('',#167527,#167525,#90704,.T.); #209383=EDGE_CURVE('',#167526,#167527,#90705,.T.); #209384=EDGE_CURVE('',#167528,#167526,#90706,.T.); #209385=EDGE_CURVE('',#167529,#167527,#90707,.T.); #209386=EDGE_CURVE('',#167528,#167529,#90708,.T.); #209387=EDGE_CURVE('',#167530,#167528,#90709,.T.); #209388=EDGE_CURVE('',#167531,#167529,#90710,.T.); #209389=EDGE_CURVE('',#167530,#167531,#90711,.T.); #209390=EDGE_CURVE('',#167532,#167530,#90712,.T.); #209391=EDGE_CURVE('',#167533,#167531,#90713,.T.); #209392=EDGE_CURVE('',#167532,#167533,#90714,.T.); #209393=EDGE_CURVE('',#167534,#167532,#90715,.T.); #209394=EDGE_CURVE('',#167535,#167533,#90716,.T.); #209395=EDGE_CURVE('',#167534,#167535,#90717,.T.); #209396=EDGE_CURVE('',#167536,#167534,#90718,.T.); #209397=EDGE_CURVE('',#167537,#167535,#90719,.T.); #209398=EDGE_CURVE('',#167536,#167537,#90720,.T.); #209399=EDGE_CURVE('',#167538,#167536,#90721,.T.); #209400=EDGE_CURVE('',#167539,#167537,#90722,.T.); #209401=EDGE_CURVE('',#167538,#167539,#90723,.T.); #209402=EDGE_CURVE('',#167540,#167538,#90724,.T.); #209403=EDGE_CURVE('',#167541,#167539,#90725,.T.); #209404=EDGE_CURVE('',#167540,#167541,#90726,.T.); #209405=EDGE_CURVE('',#167542,#167540,#90727,.T.); #209406=EDGE_CURVE('',#167543,#167541,#90728,.T.); #209407=EDGE_CURVE('',#167542,#167543,#90729,.T.); #209408=EDGE_CURVE('',#167544,#167542,#90730,.T.); #209409=EDGE_CURVE('',#167545,#167543,#90731,.T.); #209410=EDGE_CURVE('',#167544,#167545,#90732,.T.); #209411=EDGE_CURVE('',#167546,#167544,#90733,.T.); #209412=EDGE_CURVE('',#167547,#167545,#90734,.T.); #209413=EDGE_CURVE('',#167546,#167547,#90735,.T.); #209414=EDGE_CURVE('',#167548,#167546,#90736,.T.); #209415=EDGE_CURVE('',#167549,#167547,#90737,.T.); #209416=EDGE_CURVE('',#167548,#167549,#90738,.T.); #209417=EDGE_CURVE('',#167550,#167548,#90739,.T.); #209418=EDGE_CURVE('',#167551,#167549,#90740,.T.); #209419=EDGE_CURVE('',#167550,#167551,#90741,.T.); #209420=EDGE_CURVE('',#167552,#167550,#90742,.T.); #209421=EDGE_CURVE('',#167553,#167551,#90743,.T.); #209422=EDGE_CURVE('',#167552,#167553,#90744,.T.); #209423=EDGE_CURVE('',#167554,#167552,#90745,.T.); #209424=EDGE_CURVE('',#167555,#167553,#90746,.T.); #209425=EDGE_CURVE('',#167554,#167555,#90747,.T.); #209426=EDGE_CURVE('',#167556,#167554,#90748,.T.); #209427=EDGE_CURVE('',#167557,#167555,#90749,.T.); #209428=EDGE_CURVE('',#167556,#167557,#90750,.T.); #209429=EDGE_CURVE('',#167558,#167556,#90751,.T.); #209430=EDGE_CURVE('',#167559,#167557,#90752,.T.); #209431=EDGE_CURVE('',#167558,#167559,#90753,.T.); #209432=EDGE_CURVE('',#167560,#167558,#90754,.T.); #209433=EDGE_CURVE('',#167561,#167559,#90755,.T.); #209434=EDGE_CURVE('',#167560,#167561,#90756,.T.); #209435=EDGE_CURVE('',#167562,#167560,#90757,.T.); #209436=EDGE_CURVE('',#167563,#167561,#90758,.T.); #209437=EDGE_CURVE('',#167562,#167563,#90759,.T.); #209438=EDGE_CURVE('',#167564,#167562,#90760,.T.); #209439=EDGE_CURVE('',#167565,#167563,#90761,.T.); #209440=EDGE_CURVE('',#167564,#167565,#90762,.T.); #209441=EDGE_CURVE('',#167566,#167564,#90763,.T.); #209442=EDGE_CURVE('',#167567,#167565,#90764,.T.); #209443=EDGE_CURVE('',#167566,#167567,#90765,.T.); #209444=EDGE_CURVE('',#167568,#167566,#90766,.T.); #209445=EDGE_CURVE('',#167569,#167567,#90767,.T.); #209446=EDGE_CURVE('',#167568,#167569,#90768,.T.); #209447=EDGE_CURVE('',#167570,#167568,#90769,.T.); #209448=EDGE_CURVE('',#167571,#167569,#90770,.T.); #209449=EDGE_CURVE('',#167570,#167571,#90771,.T.); #209450=EDGE_CURVE('',#167572,#167570,#90772,.T.); #209451=EDGE_CURVE('',#167573,#167571,#90773,.T.); #209452=EDGE_CURVE('',#167572,#167573,#90774,.T.); #209453=EDGE_CURVE('',#167574,#167572,#90775,.T.); #209454=EDGE_CURVE('',#167575,#167573,#90776,.T.); #209455=EDGE_CURVE('',#167574,#167575,#90777,.T.); #209456=EDGE_CURVE('',#167576,#167574,#90778,.T.); #209457=EDGE_CURVE('',#167577,#167575,#90779,.T.); #209458=EDGE_CURVE('',#167576,#167577,#90780,.T.); #209459=EDGE_CURVE('',#167578,#167576,#90781,.T.); #209460=EDGE_CURVE('',#167579,#167577,#90782,.T.); #209461=EDGE_CURVE('',#167578,#167579,#90783,.T.); #209462=EDGE_CURVE('',#167580,#167578,#90784,.T.); #209463=EDGE_CURVE('',#167581,#167579,#90785,.T.); #209464=EDGE_CURVE('',#167580,#167581,#90786,.T.); #209465=EDGE_CURVE('',#167582,#167580,#90787,.T.); #209466=EDGE_CURVE('',#167583,#167581,#90788,.T.); #209467=EDGE_CURVE('',#167582,#167583,#90789,.T.); #209468=EDGE_CURVE('',#167584,#167582,#90790,.T.); #209469=EDGE_CURVE('',#167585,#167583,#90791,.T.); #209470=EDGE_CURVE('',#167584,#167585,#90792,.T.); #209471=EDGE_CURVE('',#167586,#167584,#90793,.T.); #209472=EDGE_CURVE('',#167587,#167585,#90794,.T.); #209473=EDGE_CURVE('',#167586,#167587,#90795,.T.); #209474=EDGE_CURVE('',#167588,#167586,#90796,.T.); #209475=EDGE_CURVE('',#167589,#167587,#90797,.T.); #209476=EDGE_CURVE('',#167588,#167589,#90798,.T.); #209477=EDGE_CURVE('',#167590,#167588,#90799,.T.); #209478=EDGE_CURVE('',#167591,#167589,#90800,.T.); #209479=EDGE_CURVE('',#167590,#167591,#90801,.T.); #209480=EDGE_CURVE('',#167592,#167590,#90802,.T.); #209481=EDGE_CURVE('',#167593,#167591,#90803,.T.); #209482=EDGE_CURVE('',#167592,#167593,#90804,.T.); #209483=EDGE_CURVE('',#167594,#167592,#90805,.T.); #209484=EDGE_CURVE('',#167595,#167593,#90806,.T.); #209485=EDGE_CURVE('',#167594,#167595,#90807,.T.); #209486=EDGE_CURVE('',#167453,#167594,#90808,.T.); #209487=EDGE_CURVE('',#167454,#167595,#90809,.T.); #209488=EDGE_CURVE('',#167596,#167596,#141492,.T.); #209489=EDGE_CURVE('',#167596,#167597,#90810,.T.); #209490=EDGE_CURVE('',#167597,#167597,#141493,.T.); #209491=EDGE_CURVE('',#167598,#167599,#90811,.T.); #209492=EDGE_CURVE('',#167599,#167600,#90812,.T.); #209493=EDGE_CURVE('',#167601,#167600,#90813,.T.); #209494=EDGE_CURVE('',#167598,#167601,#90814,.T.); #209495=EDGE_CURVE('',#167602,#167598,#90815,.T.); #209496=EDGE_CURVE('',#167603,#167601,#90816,.T.); #209497=EDGE_CURVE('',#167602,#167603,#90817,.T.); #209498=EDGE_CURVE('',#167604,#167602,#90818,.T.); #209499=EDGE_CURVE('',#167605,#167603,#90819,.T.); #209500=EDGE_CURVE('',#167604,#167605,#90820,.T.); #209501=EDGE_CURVE('',#167606,#167604,#90821,.T.); #209502=EDGE_CURVE('',#167607,#167605,#90822,.T.); #209503=EDGE_CURVE('',#167606,#167607,#90823,.T.); #209504=EDGE_CURVE('',#167608,#167606,#90824,.T.); #209505=EDGE_CURVE('',#167609,#167607,#90825,.T.); #209506=EDGE_CURVE('',#167608,#167609,#90826,.T.); #209507=EDGE_CURVE('',#167610,#167608,#90827,.T.); #209508=EDGE_CURVE('',#167611,#167609,#90828,.T.); #209509=EDGE_CURVE('',#167610,#167611,#90829,.T.); #209510=EDGE_CURVE('',#167612,#167610,#90830,.T.); #209511=EDGE_CURVE('',#167613,#167611,#90831,.T.); #209512=EDGE_CURVE('',#167612,#167613,#90832,.T.); #209513=EDGE_CURVE('',#167599,#167612,#90833,.T.); #209514=EDGE_CURVE('',#167600,#167613,#90834,.T.); #209515=EDGE_CURVE('',#167614,#167614,#141494,.T.); #209516=EDGE_CURVE('',#167614,#167615,#90835,.T.); #209517=EDGE_CURVE('',#167615,#167615,#141495,.T.); #209518=EDGE_CURVE('',#167616,#167617,#90836,.T.); #209519=EDGE_CURVE('',#167617,#167618,#90837,.T.); #209520=EDGE_CURVE('',#167619,#167618,#90838,.T.); #209521=EDGE_CURVE('',#167616,#167619,#90839,.T.); #209522=EDGE_CURVE('',#167620,#167616,#141496,.T.); #209523=EDGE_CURVE('',#167621,#167619,#141497,.T.); #209524=EDGE_CURVE('',#167620,#167621,#90840,.T.); #209525=EDGE_CURVE('',#167617,#167620,#141498,.T.); #209526=EDGE_CURVE('',#167618,#167621,#141499,.T.); #209527=EDGE_CURVE('',#167622,#167622,#141500,.T.); #209528=EDGE_CURVE('',#167622,#167623,#90841,.T.); #209529=EDGE_CURVE('',#167623,#167623,#141501,.T.); #209530=EDGE_CURVE('',#167624,#167625,#90842,.T.); #209531=EDGE_CURVE('',#167625,#167626,#90843,.T.); #209532=EDGE_CURVE('',#167627,#167626,#90844,.T.); #209533=EDGE_CURVE('',#167624,#167627,#90845,.T.); #209534=EDGE_CURVE('',#167628,#167624,#141502,.T.); #209535=EDGE_CURVE('',#167629,#167627,#141503,.T.); #209536=EDGE_CURVE('',#167628,#167629,#90846,.T.); #209537=EDGE_CURVE('',#167625,#167628,#141504,.T.); #209538=EDGE_CURVE('',#167626,#167629,#141505,.T.); #209539=EDGE_CURVE('',#167630,#167630,#141506,.T.); #209540=EDGE_CURVE('',#167630,#167631,#90847,.T.); #209541=EDGE_CURVE('',#167631,#167631,#141507,.T.); #209542=EDGE_CURVE('',#167632,#167633,#90848,.T.); #209543=EDGE_CURVE('',#167633,#167634,#90849,.T.); #209544=EDGE_CURVE('',#167635,#167634,#90850,.T.); #209545=EDGE_CURVE('',#167632,#167635,#90851,.T.); #209546=EDGE_CURVE('',#167636,#167632,#141508,.T.); #209547=EDGE_CURVE('',#167637,#167635,#141509,.T.); #209548=EDGE_CURVE('',#167636,#167637,#90852,.T.); #209549=EDGE_CURVE('',#167633,#167636,#141510,.T.); #209550=EDGE_CURVE('',#167634,#167637,#141511,.T.); #209551=EDGE_CURVE('',#167638,#167638,#141512,.T.); #209552=EDGE_CURVE('',#167638,#167639,#90853,.T.); #209553=EDGE_CURVE('',#167639,#167639,#141513,.T.); #209554=EDGE_CURVE('',#167640,#167641,#90854,.T.); #209555=EDGE_CURVE('',#167641,#167642,#90855,.T.); #209556=EDGE_CURVE('',#167643,#167642,#90856,.T.); #209557=EDGE_CURVE('',#167640,#167643,#90857,.T.); #209558=EDGE_CURVE('',#167644,#167640,#90858,.T.); #209559=EDGE_CURVE('',#167645,#167643,#90859,.T.); #209560=EDGE_CURVE('',#167644,#167645,#90860,.T.); #209561=EDGE_CURVE('',#167646,#167644,#90861,.T.); #209562=EDGE_CURVE('',#167647,#167645,#90862,.T.); #209563=EDGE_CURVE('',#167646,#167647,#90863,.T.); #209564=EDGE_CURVE('',#167641,#167646,#90864,.T.); #209565=EDGE_CURVE('',#167642,#167647,#90865,.T.); #209566=EDGE_CURVE('',#167648,#167648,#141514,.T.); #209567=EDGE_CURVE('',#167648,#167649,#90866,.T.); #209568=EDGE_CURVE('',#167649,#167649,#141515,.T.); #209569=EDGE_CURVE('',#167650,#167651,#90867,.T.); #209570=EDGE_CURVE('',#167651,#167652,#90868,.T.); #209571=EDGE_CURVE('',#167653,#167652,#90869,.T.); #209572=EDGE_CURVE('',#167650,#167653,#90870,.T.); #209573=EDGE_CURVE('',#167654,#167650,#90871,.T.); #209574=EDGE_CURVE('',#167655,#167653,#90872,.T.); #209575=EDGE_CURVE('',#167654,#167655,#90873,.T.); #209576=EDGE_CURVE('',#167656,#167654,#90874,.T.); #209577=EDGE_CURVE('',#167657,#167655,#90875,.T.); #209578=EDGE_CURVE('',#167656,#167657,#90876,.T.); #209579=EDGE_CURVE('',#167658,#167656,#90877,.T.); #209580=EDGE_CURVE('',#167659,#167657,#90878,.T.); #209581=EDGE_CURVE('',#167658,#167659,#90879,.T.); #209582=EDGE_CURVE('',#167660,#167658,#90880,.T.); #209583=EDGE_CURVE('',#167661,#167659,#90881,.T.); #209584=EDGE_CURVE('',#167660,#167661,#90882,.T.); #209585=EDGE_CURVE('',#167662,#167660,#90883,.T.); #209586=EDGE_CURVE('',#167663,#167661,#90884,.T.); #209587=EDGE_CURVE('',#167662,#167663,#90885,.T.); #209588=EDGE_CURVE('',#167664,#167662,#90886,.T.); #209589=EDGE_CURVE('',#167665,#167663,#90887,.T.); #209590=EDGE_CURVE('',#167664,#167665,#90888,.T.); #209591=EDGE_CURVE('',#167651,#167664,#90889,.T.); #209592=EDGE_CURVE('',#167652,#167665,#90890,.T.); #209593=EDGE_CURVE('',#167666,#167666,#141516,.T.); #209594=EDGE_CURVE('',#167666,#167667,#90891,.T.); #209595=EDGE_CURVE('',#167667,#167667,#141517,.T.); #209596=EDGE_CURVE('',#167668,#167669,#90892,.T.); #209597=EDGE_CURVE('',#167669,#167670,#90893,.T.); #209598=EDGE_CURVE('',#167671,#167670,#90894,.T.); #209599=EDGE_CURVE('',#167668,#167671,#90895,.T.); #209600=EDGE_CURVE('',#167672,#167668,#141518,.T.); #209601=EDGE_CURVE('',#167673,#167671,#141519,.T.); #209602=EDGE_CURVE('',#167672,#167673,#90896,.T.); #209603=EDGE_CURVE('',#167669,#167672,#141520,.T.); #209604=EDGE_CURVE('',#167670,#167673,#141521,.T.); #209605=EDGE_CURVE('',#167674,#167674,#141522,.T.); #209606=EDGE_CURVE('',#167674,#167675,#90897,.T.); #209607=EDGE_CURVE('',#167675,#167675,#141523,.T.); #209608=EDGE_CURVE('',#167676,#167677,#90898,.T.); #209609=EDGE_CURVE('',#167677,#167678,#90899,.T.); #209610=EDGE_CURVE('',#167679,#167678,#90900,.T.); #209611=EDGE_CURVE('',#167676,#167679,#90901,.T.); #209612=EDGE_CURVE('',#167680,#167676,#141524,.T.); #209613=EDGE_CURVE('',#167681,#167679,#141525,.T.); #209614=EDGE_CURVE('',#167680,#167681,#90902,.T.); #209615=EDGE_CURVE('',#167677,#167680,#141526,.T.); #209616=EDGE_CURVE('',#167678,#167681,#141527,.T.); #209617=EDGE_CURVE('',#167682,#167683,#90903,.T.); #209618=EDGE_CURVE('',#167683,#167684,#90904,.T.); #209619=EDGE_CURVE('',#167685,#167684,#90905,.T.); #209620=EDGE_CURVE('',#167682,#167685,#90906,.T.); #209621=EDGE_CURVE('',#167686,#167682,#90907,.T.); #209622=EDGE_CURVE('',#167687,#167685,#90908,.T.); #209623=EDGE_CURVE('',#167686,#167687,#90909,.T.); #209624=EDGE_CURVE('',#167688,#167686,#90910,.T.); #209625=EDGE_CURVE('',#167689,#167687,#90911,.T.); #209626=EDGE_CURVE('',#167688,#167689,#90912,.T.); #209627=EDGE_CURVE('',#167683,#167688,#90913,.T.); #209628=EDGE_CURVE('',#167684,#167689,#90914,.T.); #209629=EDGE_CURVE('',#167690,#167691,#90915,.T.); #209630=EDGE_CURVE('',#167691,#167692,#90916,.T.); #209631=EDGE_CURVE('',#167693,#167692,#90917,.T.); #209632=EDGE_CURVE('',#167690,#167693,#90918,.T.); #209633=EDGE_CURVE('',#167694,#167690,#90919,.T.); #209634=EDGE_CURVE('',#167695,#167693,#90920,.T.); #209635=EDGE_CURVE('',#167694,#167695,#90921,.T.); #209636=EDGE_CURVE('',#167696,#167694,#90922,.T.); #209637=EDGE_CURVE('',#167697,#167695,#90923,.T.); #209638=EDGE_CURVE('',#167696,#167697,#90924,.T.); #209639=EDGE_CURVE('',#167691,#167696,#90925,.T.); #209640=EDGE_CURVE('',#167692,#167697,#90926,.T.); #209641=EDGE_CURVE('',#167698,#167699,#90927,.T.); #209642=EDGE_CURVE('',#167699,#167700,#90928,.T.); #209643=EDGE_CURVE('',#167701,#167700,#90929,.T.); #209644=EDGE_CURVE('',#167698,#167701,#90930,.T.); #209645=EDGE_CURVE('',#167702,#167698,#90931,.T.); #209646=EDGE_CURVE('',#167703,#167701,#90932,.T.); #209647=EDGE_CURVE('',#167702,#167703,#90933,.T.); #209648=EDGE_CURVE('',#167704,#167702,#90934,.T.); #209649=EDGE_CURVE('',#167705,#167703,#90935,.T.); #209650=EDGE_CURVE('',#167704,#167705,#90936,.T.); #209651=EDGE_CURVE('',#167699,#167704,#90937,.T.); #209652=EDGE_CURVE('',#167700,#167705,#90938,.T.); #209653=EDGE_CURVE('',#167706,#167707,#90939,.T.); #209654=EDGE_CURVE('',#167707,#167708,#90940,.T.); #209655=EDGE_CURVE('',#167709,#167708,#90941,.T.); #209656=EDGE_CURVE('',#167706,#167709,#90942,.T.); #209657=EDGE_CURVE('',#167710,#167706,#90943,.T.); #209658=EDGE_CURVE('',#167711,#167709,#90944,.T.); #209659=EDGE_CURVE('',#167710,#167711,#90945,.T.); #209660=EDGE_CURVE('',#167712,#167710,#90946,.T.); #209661=EDGE_CURVE('',#167713,#167711,#90947,.T.); #209662=EDGE_CURVE('',#167712,#167713,#90948,.T.); #209663=EDGE_CURVE('',#167707,#167712,#90949,.T.); #209664=EDGE_CURVE('',#167708,#167713,#90950,.T.); #209665=EDGE_CURVE('',#167714,#167715,#90951,.T.); #209666=EDGE_CURVE('',#167715,#167716,#90952,.T.); #209667=EDGE_CURVE('',#167717,#167716,#90953,.T.); #209668=EDGE_CURVE('',#167714,#167717,#90954,.T.); #209669=EDGE_CURVE('',#167718,#167714,#90955,.T.); #209670=EDGE_CURVE('',#167719,#167717,#90956,.T.); #209671=EDGE_CURVE('',#167718,#167719,#90957,.T.); #209672=EDGE_CURVE('',#167720,#167718,#90958,.T.); #209673=EDGE_CURVE('',#167721,#167719,#90959,.T.); #209674=EDGE_CURVE('',#167720,#167721,#90960,.T.); #209675=EDGE_CURVE('',#167715,#167720,#90961,.T.); #209676=EDGE_CURVE('',#167716,#167721,#90962,.T.); #209677=EDGE_CURVE('',#167722,#167723,#90963,.T.); #209678=EDGE_CURVE('',#167723,#167724,#90964,.T.); #209679=EDGE_CURVE('',#167725,#167724,#90965,.T.); #209680=EDGE_CURVE('',#167722,#167725,#90966,.T.); #209681=EDGE_CURVE('',#167726,#167722,#90967,.T.); #209682=EDGE_CURVE('',#167727,#167725,#90968,.T.); #209683=EDGE_CURVE('',#167726,#167727,#90969,.T.); #209684=EDGE_CURVE('',#167728,#167726,#90970,.T.); #209685=EDGE_CURVE('',#167729,#167727,#90971,.T.); #209686=EDGE_CURVE('',#167728,#167729,#90972,.T.); #209687=EDGE_CURVE('',#167723,#167728,#90973,.T.); #209688=EDGE_CURVE('',#167724,#167729,#90974,.T.); #209689=EDGE_CURVE('',#167730,#167731,#90975,.T.); #209690=EDGE_CURVE('',#167731,#167732,#90976,.T.); #209691=EDGE_CURVE('',#167733,#167732,#90977,.T.); #209692=EDGE_CURVE('',#167730,#167733,#90978,.T.); #209693=EDGE_CURVE('',#167734,#167730,#90979,.T.); #209694=EDGE_CURVE('',#167735,#167733,#90980,.T.); #209695=EDGE_CURVE('',#167734,#167735,#90981,.T.); #209696=EDGE_CURVE('',#167736,#167734,#90982,.T.); #209697=EDGE_CURVE('',#167737,#167735,#90983,.T.); #209698=EDGE_CURVE('',#167736,#167737,#90984,.T.); #209699=EDGE_CURVE('',#167731,#167736,#90985,.T.); #209700=EDGE_CURVE('',#167732,#167737,#90986,.T.); #209701=EDGE_CURVE('',#167738,#167739,#90987,.T.); #209702=EDGE_CURVE('',#167739,#167740,#90988,.T.); #209703=EDGE_CURVE('',#167741,#167740,#90989,.T.); #209704=EDGE_CURVE('',#167738,#167741,#90990,.T.); #209705=EDGE_CURVE('',#167742,#167738,#90991,.T.); #209706=EDGE_CURVE('',#167743,#167741,#90992,.T.); #209707=EDGE_CURVE('',#167742,#167743,#90993,.T.); #209708=EDGE_CURVE('',#167744,#167742,#90994,.T.); #209709=EDGE_CURVE('',#167745,#167743,#90995,.T.); #209710=EDGE_CURVE('',#167744,#167745,#90996,.T.); #209711=EDGE_CURVE('',#167739,#167744,#90997,.T.); #209712=EDGE_CURVE('',#167740,#167745,#90998,.T.); #209713=EDGE_CURVE('',#167746,#167747,#90999,.T.); #209714=EDGE_CURVE('',#167747,#167748,#91000,.T.); #209715=EDGE_CURVE('',#167749,#167748,#91001,.T.); #209716=EDGE_CURVE('',#167746,#167749,#91002,.T.); #209717=EDGE_CURVE('',#167750,#167746,#91003,.T.); #209718=EDGE_CURVE('',#167751,#167749,#91004,.T.); #209719=EDGE_CURVE('',#167750,#167751,#91005,.T.); #209720=EDGE_CURVE('',#167752,#167750,#91006,.T.); #209721=EDGE_CURVE('',#167753,#167751,#91007,.T.); #209722=EDGE_CURVE('',#167752,#167753,#91008,.T.); #209723=EDGE_CURVE('',#167747,#167752,#91009,.T.); #209724=EDGE_CURVE('',#167748,#167753,#91010,.T.); #209725=EDGE_CURVE('',#167754,#167755,#91011,.T.); #209726=EDGE_CURVE('',#167755,#167756,#91012,.T.); #209727=EDGE_CURVE('',#167757,#167756,#91013,.T.); #209728=EDGE_CURVE('',#167754,#167757,#91014,.T.); #209729=EDGE_CURVE('',#167758,#167754,#91015,.T.); #209730=EDGE_CURVE('',#167759,#167757,#91016,.T.); #209731=EDGE_CURVE('',#167758,#167759,#91017,.T.); #209732=EDGE_CURVE('',#167760,#167758,#91018,.T.); #209733=EDGE_CURVE('',#167761,#167759,#91019,.T.); #209734=EDGE_CURVE('',#167760,#167761,#91020,.T.); #209735=EDGE_CURVE('',#167755,#167760,#91021,.T.); #209736=EDGE_CURVE('',#167756,#167761,#91022,.T.); #209737=EDGE_CURVE('',#167762,#167763,#91023,.T.); #209738=EDGE_CURVE('',#167763,#167764,#91024,.T.); #209739=EDGE_CURVE('',#167765,#167764,#91025,.T.); #209740=EDGE_CURVE('',#167762,#167765,#91026,.T.); #209741=EDGE_CURVE('',#167766,#167762,#91027,.T.); #209742=EDGE_CURVE('',#167767,#167765,#91028,.T.); #209743=EDGE_CURVE('',#167766,#167767,#91029,.T.); #209744=EDGE_CURVE('',#167768,#167766,#91030,.T.); #209745=EDGE_CURVE('',#167769,#167767,#91031,.T.); #209746=EDGE_CURVE('',#167768,#167769,#91032,.T.); #209747=EDGE_CURVE('',#167763,#167768,#91033,.T.); #209748=EDGE_CURVE('',#167764,#167769,#91034,.T.); #209749=EDGE_CURVE('',#167770,#167771,#91035,.T.); #209750=EDGE_CURVE('',#167771,#167772,#91036,.T.); #209751=EDGE_CURVE('',#167773,#167772,#91037,.T.); #209752=EDGE_CURVE('',#167770,#167773,#91038,.T.); #209753=EDGE_CURVE('',#167774,#167770,#91039,.T.); #209754=EDGE_CURVE('',#167775,#167773,#91040,.T.); #209755=EDGE_CURVE('',#167774,#167775,#91041,.T.); #209756=EDGE_CURVE('',#167776,#167774,#91042,.T.); #209757=EDGE_CURVE('',#167777,#167775,#91043,.T.); #209758=EDGE_CURVE('',#167776,#167777,#91044,.T.); #209759=EDGE_CURVE('',#167771,#167776,#91045,.T.); #209760=EDGE_CURVE('',#167772,#167777,#91046,.T.); #209761=EDGE_CURVE('',#167778,#167779,#91047,.T.); #209762=EDGE_CURVE('',#167779,#167780,#91048,.T.); #209763=EDGE_CURVE('',#167781,#167780,#91049,.T.); #209764=EDGE_CURVE('',#167778,#167781,#91050,.T.); #209765=EDGE_CURVE('',#167782,#167778,#91051,.T.); #209766=EDGE_CURVE('',#167783,#167781,#91052,.T.); #209767=EDGE_CURVE('',#167782,#167783,#91053,.T.); #209768=EDGE_CURVE('',#167784,#167782,#91054,.T.); #209769=EDGE_CURVE('',#167785,#167783,#91055,.T.); #209770=EDGE_CURVE('',#167784,#167785,#91056,.T.); #209771=EDGE_CURVE('',#167779,#167784,#91057,.T.); #209772=EDGE_CURVE('',#167780,#167785,#91058,.T.); #209773=EDGE_CURVE('',#167786,#167787,#91059,.T.); #209774=EDGE_CURVE('',#167787,#167788,#91060,.T.); #209775=EDGE_CURVE('',#167789,#167788,#91061,.T.); #209776=EDGE_CURVE('',#167786,#167789,#91062,.T.); #209777=EDGE_CURVE('',#167790,#167786,#91063,.T.); #209778=EDGE_CURVE('',#167791,#167789,#91064,.T.); #209779=EDGE_CURVE('',#167790,#167791,#91065,.T.); #209780=EDGE_CURVE('',#167792,#167790,#91066,.T.); #209781=EDGE_CURVE('',#167793,#167791,#91067,.T.); #209782=EDGE_CURVE('',#167792,#167793,#91068,.T.); #209783=EDGE_CURVE('',#167787,#167792,#91069,.T.); #209784=EDGE_CURVE('',#167788,#167793,#91070,.T.); #209785=EDGE_CURVE('',#167794,#167795,#91071,.T.); #209786=EDGE_CURVE('',#167795,#167796,#91072,.T.); #209787=EDGE_CURVE('',#167797,#167796,#91073,.T.); #209788=EDGE_CURVE('',#167794,#167797,#91074,.T.); #209789=EDGE_CURVE('',#167798,#167794,#91075,.T.); #209790=EDGE_CURVE('',#167799,#167797,#91076,.T.); #209791=EDGE_CURVE('',#167798,#167799,#91077,.T.); #209792=EDGE_CURVE('',#167800,#167798,#91078,.T.); #209793=EDGE_CURVE('',#167801,#167799,#91079,.T.); #209794=EDGE_CURVE('',#167800,#167801,#91080,.T.); #209795=EDGE_CURVE('',#167795,#167800,#91081,.T.); #209796=EDGE_CURVE('',#167796,#167801,#91082,.T.); #209797=EDGE_CURVE('',#167802,#167803,#91083,.T.); #209798=EDGE_CURVE('',#167803,#167804,#91084,.T.); #209799=EDGE_CURVE('',#167805,#167804,#91085,.T.); #209800=EDGE_CURVE('',#167802,#167805,#91086,.T.); #209801=EDGE_CURVE('',#167806,#167802,#91087,.T.); #209802=EDGE_CURVE('',#167807,#167805,#91088,.T.); #209803=EDGE_CURVE('',#167806,#167807,#91089,.T.); #209804=EDGE_CURVE('',#167808,#167806,#91090,.T.); #209805=EDGE_CURVE('',#167809,#167807,#91091,.T.); #209806=EDGE_CURVE('',#167808,#167809,#91092,.T.); #209807=EDGE_CURVE('',#167803,#167808,#91093,.T.); #209808=EDGE_CURVE('',#167804,#167809,#91094,.T.); #209809=EDGE_CURVE('',#167810,#167811,#91095,.T.); #209810=EDGE_CURVE('',#167811,#167812,#91096,.T.); #209811=EDGE_CURVE('',#167813,#167812,#91097,.T.); #209812=EDGE_CURVE('',#167810,#167813,#91098,.T.); #209813=EDGE_CURVE('',#167814,#167810,#91099,.T.); #209814=EDGE_CURVE('',#167815,#167813,#91100,.T.); #209815=EDGE_CURVE('',#167814,#167815,#91101,.T.); #209816=EDGE_CURVE('',#167816,#167814,#91102,.T.); #209817=EDGE_CURVE('',#167817,#167815,#91103,.T.); #209818=EDGE_CURVE('',#167816,#167817,#91104,.T.); #209819=EDGE_CURVE('',#167811,#167816,#91105,.T.); #209820=EDGE_CURVE('',#167812,#167817,#91106,.T.); #209821=EDGE_CURVE('',#167818,#167819,#91107,.T.); #209822=EDGE_CURVE('',#167819,#167820,#91108,.T.); #209823=EDGE_CURVE('',#167821,#167820,#91109,.T.); #209824=EDGE_CURVE('',#167818,#167821,#91110,.T.); #209825=EDGE_CURVE('',#167822,#167818,#91111,.T.); #209826=EDGE_CURVE('',#167823,#167821,#91112,.T.); #209827=EDGE_CURVE('',#167822,#167823,#91113,.T.); #209828=EDGE_CURVE('',#167824,#167822,#91114,.T.); #209829=EDGE_CURVE('',#167825,#167823,#91115,.T.); #209830=EDGE_CURVE('',#167824,#167825,#91116,.T.); #209831=EDGE_CURVE('',#167819,#167824,#91117,.T.); #209832=EDGE_CURVE('',#167820,#167825,#91118,.T.); #209833=EDGE_CURVE('',#167826,#167827,#91119,.T.); #209834=EDGE_CURVE('',#167827,#167828,#91120,.T.); #209835=EDGE_CURVE('',#167829,#167828,#91121,.T.); #209836=EDGE_CURVE('',#167826,#167829,#91122,.T.); #209837=EDGE_CURVE('',#167830,#167826,#91123,.T.); #209838=EDGE_CURVE('',#167831,#167829,#91124,.T.); #209839=EDGE_CURVE('',#167830,#167831,#91125,.T.); #209840=EDGE_CURVE('',#167832,#167830,#91126,.T.); #209841=EDGE_CURVE('',#167833,#167831,#91127,.T.); #209842=EDGE_CURVE('',#167832,#167833,#91128,.T.); #209843=EDGE_CURVE('',#167827,#167832,#91129,.T.); #209844=EDGE_CURVE('',#167828,#167833,#91130,.T.); #209845=EDGE_CURVE('',#167834,#167835,#91131,.T.); #209846=EDGE_CURVE('',#167835,#167836,#91132,.T.); #209847=EDGE_CURVE('',#167837,#167836,#91133,.T.); #209848=EDGE_CURVE('',#167834,#167837,#91134,.T.); #209849=EDGE_CURVE('',#167838,#167834,#91135,.T.); #209850=EDGE_CURVE('',#167839,#167837,#91136,.T.); #209851=EDGE_CURVE('',#167838,#167839,#91137,.T.); #209852=EDGE_CURVE('',#167840,#167838,#91138,.T.); #209853=EDGE_CURVE('',#167841,#167839,#91139,.T.); #209854=EDGE_CURVE('',#167840,#167841,#91140,.T.); #209855=EDGE_CURVE('',#167835,#167840,#91141,.T.); #209856=EDGE_CURVE('',#167836,#167841,#91142,.T.); #209857=EDGE_CURVE('',#167842,#167843,#91143,.T.); #209858=EDGE_CURVE('',#167843,#167844,#91144,.T.); #209859=EDGE_CURVE('',#167845,#167844,#91145,.T.); #209860=EDGE_CURVE('',#167842,#167845,#91146,.T.); #209861=EDGE_CURVE('',#167846,#167842,#91147,.T.); #209862=EDGE_CURVE('',#167847,#167845,#91148,.T.); #209863=EDGE_CURVE('',#167846,#167847,#91149,.T.); #209864=EDGE_CURVE('',#167848,#167846,#91150,.T.); #209865=EDGE_CURVE('',#167849,#167847,#91151,.T.); #209866=EDGE_CURVE('',#167848,#167849,#91152,.T.); #209867=EDGE_CURVE('',#167843,#167848,#91153,.T.); #209868=EDGE_CURVE('',#167844,#167849,#91154,.T.); #209869=EDGE_CURVE('',#167850,#167851,#91155,.T.); #209870=EDGE_CURVE('',#167851,#167852,#91156,.T.); #209871=EDGE_CURVE('',#167853,#167852,#91157,.T.); #209872=EDGE_CURVE('',#167850,#167853,#91158,.T.); #209873=EDGE_CURVE('',#167854,#167850,#91159,.T.); #209874=EDGE_CURVE('',#167855,#167853,#91160,.T.); #209875=EDGE_CURVE('',#167854,#167855,#91161,.T.); #209876=EDGE_CURVE('',#167856,#167854,#91162,.T.); #209877=EDGE_CURVE('',#167857,#167855,#91163,.T.); #209878=EDGE_CURVE('',#167856,#167857,#91164,.T.); #209879=EDGE_CURVE('',#167851,#167856,#91165,.T.); #209880=EDGE_CURVE('',#167852,#167857,#91166,.T.); #209881=EDGE_CURVE('',#167858,#167859,#91167,.T.); #209882=EDGE_CURVE('',#167859,#167860,#91168,.T.); #209883=EDGE_CURVE('',#167861,#167860,#91169,.T.); #209884=EDGE_CURVE('',#167858,#167861,#91170,.T.); #209885=EDGE_CURVE('',#167862,#167858,#91171,.T.); #209886=EDGE_CURVE('',#167863,#167861,#91172,.T.); #209887=EDGE_CURVE('',#167862,#167863,#91173,.T.); #209888=EDGE_CURVE('',#167864,#167862,#91174,.T.); #209889=EDGE_CURVE('',#167865,#167863,#91175,.T.); #209890=EDGE_CURVE('',#167864,#167865,#91176,.T.); #209891=EDGE_CURVE('',#167859,#167864,#91177,.T.); #209892=EDGE_CURVE('',#167860,#167865,#91178,.T.); #209893=EDGE_CURVE('',#167866,#167866,#141528,.T.); #209894=EDGE_CURVE('',#167866,#167867,#91179,.T.); #209895=EDGE_CURVE('',#167867,#167867,#141529,.T.); #209896=EDGE_CURVE('',#167868,#167868,#141530,.T.); #209897=EDGE_CURVE('',#167868,#167869,#91180,.T.); #209898=EDGE_CURVE('',#167869,#167869,#141531,.T.); #209899=EDGE_CURVE('',#167870,#167871,#91181,.T.); #209900=EDGE_CURVE('',#167871,#167872,#91182,.T.); #209901=EDGE_CURVE('',#167873,#167872,#91183,.T.); #209902=EDGE_CURVE('',#167870,#167873,#91184,.T.); #209903=EDGE_CURVE('',#167874,#167870,#91185,.T.); #209904=EDGE_CURVE('',#167875,#167873,#91186,.T.); #209905=EDGE_CURVE('',#167874,#167875,#91187,.T.); #209906=EDGE_CURVE('',#167876,#167874,#91188,.T.); #209907=EDGE_CURVE('',#167877,#167875,#91189,.T.); #209908=EDGE_CURVE('',#167876,#167877,#91190,.T.); #209909=EDGE_CURVE('',#167871,#167876,#91191,.T.); #209910=EDGE_CURVE('',#167872,#167877,#91192,.T.); #209911=EDGE_CURVE('',#167878,#167879,#91193,.T.); #209912=EDGE_CURVE('',#167879,#167880,#91194,.T.); #209913=EDGE_CURVE('',#167881,#167880,#91195,.T.); #209914=EDGE_CURVE('',#167878,#167881,#91196,.T.); #209915=EDGE_CURVE('',#167882,#167878,#91197,.T.); #209916=EDGE_CURVE('',#167883,#167881,#91198,.T.); #209917=EDGE_CURVE('',#167882,#167883,#91199,.T.); #209918=EDGE_CURVE('',#167884,#167882,#91200,.T.); #209919=EDGE_CURVE('',#167885,#167883,#91201,.T.); #209920=EDGE_CURVE('',#167884,#167885,#91202,.T.); #209921=EDGE_CURVE('',#167879,#167884,#91203,.T.); #209922=EDGE_CURVE('',#167880,#167885,#91204,.T.); #209923=EDGE_CURVE('',#167886,#167887,#91205,.T.); #209924=EDGE_CURVE('',#167887,#167888,#91206,.T.); #209925=EDGE_CURVE('',#167889,#167888,#91207,.T.); #209926=EDGE_CURVE('',#167886,#167889,#91208,.T.); #209927=EDGE_CURVE('',#167890,#167886,#91209,.T.); #209928=EDGE_CURVE('',#167891,#167889,#91210,.T.); #209929=EDGE_CURVE('',#167890,#167891,#91211,.T.); #209930=EDGE_CURVE('',#167892,#167890,#91212,.T.); #209931=EDGE_CURVE('',#167893,#167891,#91213,.T.); #209932=EDGE_CURVE('',#167892,#167893,#91214,.T.); #209933=EDGE_CURVE('',#167887,#167892,#91215,.T.); #209934=EDGE_CURVE('',#167888,#167893,#91216,.T.); #209935=EDGE_CURVE('',#167894,#167895,#91217,.T.); #209936=EDGE_CURVE('',#167895,#167896,#91218,.T.); #209937=EDGE_CURVE('',#167897,#167896,#91219,.T.); #209938=EDGE_CURVE('',#167894,#167897,#91220,.T.); #209939=EDGE_CURVE('',#167898,#167894,#91221,.T.); #209940=EDGE_CURVE('',#167899,#167897,#91222,.T.); #209941=EDGE_CURVE('',#167898,#167899,#91223,.T.); #209942=EDGE_CURVE('',#167900,#167898,#91224,.T.); #209943=EDGE_CURVE('',#167901,#167899,#91225,.T.); #209944=EDGE_CURVE('',#167900,#167901,#91226,.T.); #209945=EDGE_CURVE('',#167895,#167900,#91227,.T.); #209946=EDGE_CURVE('',#167896,#167901,#91228,.T.); #209947=EDGE_CURVE('',#167902,#167903,#91229,.T.); #209948=EDGE_CURVE('',#167903,#167904,#91230,.T.); #209949=EDGE_CURVE('',#167905,#167904,#91231,.T.); #209950=EDGE_CURVE('',#167902,#167905,#91232,.T.); #209951=EDGE_CURVE('',#167906,#167902,#91233,.T.); #209952=EDGE_CURVE('',#167907,#167905,#91234,.T.); #209953=EDGE_CURVE('',#167906,#167907,#91235,.T.); #209954=EDGE_CURVE('',#167908,#167906,#91236,.T.); #209955=EDGE_CURVE('',#167909,#167907,#91237,.T.); #209956=EDGE_CURVE('',#167908,#167909,#91238,.T.); #209957=EDGE_CURVE('',#167903,#167908,#91239,.T.); #209958=EDGE_CURVE('',#167904,#167909,#91240,.T.); #209959=EDGE_CURVE('',#167910,#167911,#91241,.T.); #209960=EDGE_CURVE('',#167911,#167912,#91242,.T.); #209961=EDGE_CURVE('',#167913,#167912,#91243,.T.); #209962=EDGE_CURVE('',#167910,#167913,#91244,.T.); #209963=EDGE_CURVE('',#167914,#167910,#91245,.T.); #209964=EDGE_CURVE('',#167915,#167913,#91246,.T.); #209965=EDGE_CURVE('',#167914,#167915,#91247,.T.); #209966=EDGE_CURVE('',#167916,#167914,#91248,.T.); #209967=EDGE_CURVE('',#167917,#167915,#91249,.T.); #209968=EDGE_CURVE('',#167916,#167917,#91250,.T.); #209969=EDGE_CURVE('',#167911,#167916,#91251,.T.); #209970=EDGE_CURVE('',#167912,#167917,#91252,.T.); #209971=EDGE_CURVE('',#167918,#167919,#91253,.T.); #209972=EDGE_CURVE('',#167919,#167920,#91254,.T.); #209973=EDGE_CURVE('',#167921,#167920,#91255,.T.); #209974=EDGE_CURVE('',#167918,#167921,#91256,.T.); #209975=EDGE_CURVE('',#167922,#167918,#91257,.T.); #209976=EDGE_CURVE('',#167923,#167921,#91258,.T.); #209977=EDGE_CURVE('',#167922,#167923,#91259,.T.); #209978=EDGE_CURVE('',#167924,#167922,#91260,.T.); #209979=EDGE_CURVE('',#167925,#167923,#91261,.T.); #209980=EDGE_CURVE('',#167924,#167925,#91262,.T.); #209981=EDGE_CURVE('',#167919,#167924,#91263,.T.); #209982=EDGE_CURVE('',#167920,#167925,#91264,.T.); #209983=EDGE_CURVE('',#167926,#167927,#91265,.T.); #209984=EDGE_CURVE('',#167927,#167928,#91266,.T.); #209985=EDGE_CURVE('',#167929,#167928,#91267,.T.); #209986=EDGE_CURVE('',#167926,#167929,#91268,.T.); #209987=EDGE_CURVE('',#167930,#167926,#91269,.T.); #209988=EDGE_CURVE('',#167931,#167929,#91270,.T.); #209989=EDGE_CURVE('',#167930,#167931,#91271,.T.); #209990=EDGE_CURVE('',#167932,#167930,#91272,.T.); #209991=EDGE_CURVE('',#167933,#167931,#91273,.T.); #209992=EDGE_CURVE('',#167932,#167933,#91274,.T.); #209993=EDGE_CURVE('',#167927,#167932,#91275,.T.); #209994=EDGE_CURVE('',#167928,#167933,#91276,.T.); #209995=EDGE_CURVE('',#167934,#167935,#91277,.T.); #209996=EDGE_CURVE('',#167935,#167936,#91278,.T.); #209997=EDGE_CURVE('',#167937,#167936,#91279,.T.); #209998=EDGE_CURVE('',#167934,#167937,#91280,.T.); #209999=EDGE_CURVE('',#167938,#167934,#91281,.T.); #210000=EDGE_CURVE('',#167939,#167937,#91282,.T.); #210001=EDGE_CURVE('',#167938,#167939,#91283,.T.); #210002=EDGE_CURVE('',#167940,#167938,#91284,.T.); #210003=EDGE_CURVE('',#167941,#167939,#91285,.T.); #210004=EDGE_CURVE('',#167940,#167941,#91286,.T.); #210005=EDGE_CURVE('',#167935,#167940,#91287,.T.); #210006=EDGE_CURVE('',#167936,#167941,#91288,.T.); #210007=EDGE_CURVE('',#167942,#167942,#141532,.T.); #210008=EDGE_CURVE('',#167942,#167943,#91289,.T.); #210009=EDGE_CURVE('',#167943,#167943,#141533,.T.); #210010=EDGE_CURVE('',#167944,#167944,#141534,.T.); #210011=EDGE_CURVE('',#167944,#167945,#91290,.T.); #210012=EDGE_CURVE('',#167945,#167945,#141535,.T.); #210013=EDGE_CURVE('',#167946,#167947,#91291,.T.); #210014=EDGE_CURVE('',#167947,#167948,#91292,.T.); #210015=EDGE_CURVE('',#167949,#167948,#91293,.T.); #210016=EDGE_CURVE('',#167946,#167949,#91294,.T.); #210017=EDGE_CURVE('',#167950,#167946,#91295,.T.); #210018=EDGE_CURVE('',#167951,#167949,#91296,.T.); #210019=EDGE_CURVE('',#167950,#167951,#91297,.T.); #210020=EDGE_CURVE('',#167952,#167950,#91298,.T.); #210021=EDGE_CURVE('',#167953,#167951,#91299,.T.); #210022=EDGE_CURVE('',#167952,#167953,#91300,.T.); #210023=EDGE_CURVE('',#167947,#167952,#91301,.T.); #210024=EDGE_CURVE('',#167948,#167953,#91302,.T.); #210025=EDGE_CURVE('',#167954,#167955,#91303,.T.); #210026=EDGE_CURVE('',#167955,#167956,#91304,.T.); #210027=EDGE_CURVE('',#167957,#167956,#91305,.T.); #210028=EDGE_CURVE('',#167954,#167957,#91306,.T.); #210029=EDGE_CURVE('',#167958,#167954,#91307,.T.); #210030=EDGE_CURVE('',#167959,#167957,#91308,.T.); #210031=EDGE_CURVE('',#167958,#167959,#91309,.T.); #210032=EDGE_CURVE('',#167960,#167958,#91310,.T.); #210033=EDGE_CURVE('',#167961,#167959,#91311,.T.); #210034=EDGE_CURVE('',#167960,#167961,#91312,.T.); #210035=EDGE_CURVE('',#167955,#167960,#91313,.T.); #210036=EDGE_CURVE('',#167956,#167961,#91314,.T.); #210037=EDGE_CURVE('',#167962,#167963,#91315,.T.); #210038=EDGE_CURVE('',#167963,#167964,#91316,.T.); #210039=EDGE_CURVE('',#167965,#167964,#91317,.T.); #210040=EDGE_CURVE('',#167962,#167965,#91318,.T.); #210041=EDGE_CURVE('',#167966,#167962,#91319,.T.); #210042=EDGE_CURVE('',#167967,#167965,#91320,.T.); #210043=EDGE_CURVE('',#167966,#167967,#91321,.T.); #210044=EDGE_CURVE('',#167968,#167966,#91322,.T.); #210045=EDGE_CURVE('',#167969,#167967,#91323,.T.); #210046=EDGE_CURVE('',#167968,#167969,#91324,.T.); #210047=EDGE_CURVE('',#167963,#167968,#91325,.T.); #210048=EDGE_CURVE('',#167964,#167969,#91326,.T.); #210049=EDGE_CURVE('',#167970,#167971,#91327,.T.); #210050=EDGE_CURVE('',#167971,#167972,#91328,.T.); #210051=EDGE_CURVE('',#167973,#167972,#91329,.T.); #210052=EDGE_CURVE('',#167970,#167973,#91330,.T.); #210053=EDGE_CURVE('',#167974,#167970,#91331,.T.); #210054=EDGE_CURVE('',#167975,#167973,#91332,.T.); #210055=EDGE_CURVE('',#167974,#167975,#91333,.T.); #210056=EDGE_CURVE('',#167976,#167974,#91334,.T.); #210057=EDGE_CURVE('',#167977,#167975,#91335,.T.); #210058=EDGE_CURVE('',#167976,#167977,#91336,.T.); #210059=EDGE_CURVE('',#167971,#167976,#91337,.T.); #210060=EDGE_CURVE('',#167972,#167977,#91338,.T.); #210061=EDGE_CURVE('',#167978,#167979,#91339,.T.); #210062=EDGE_CURVE('',#167979,#167980,#91340,.T.); #210063=EDGE_CURVE('',#167981,#167980,#91341,.T.); #210064=EDGE_CURVE('',#167978,#167981,#91342,.T.); #210065=EDGE_CURVE('',#167982,#167978,#91343,.T.); #210066=EDGE_CURVE('',#167983,#167981,#91344,.T.); #210067=EDGE_CURVE('',#167982,#167983,#91345,.T.); #210068=EDGE_CURVE('',#167984,#167982,#91346,.T.); #210069=EDGE_CURVE('',#167985,#167983,#91347,.T.); #210070=EDGE_CURVE('',#167984,#167985,#91348,.T.); #210071=EDGE_CURVE('',#167979,#167984,#91349,.T.); #210072=EDGE_CURVE('',#167980,#167985,#91350,.T.); #210073=EDGE_CURVE('',#167986,#167987,#91351,.T.); #210074=EDGE_CURVE('',#167987,#167988,#91352,.T.); #210075=EDGE_CURVE('',#167989,#167988,#91353,.T.); #210076=EDGE_CURVE('',#167986,#167989,#91354,.T.); #210077=EDGE_CURVE('',#167990,#167986,#91355,.T.); #210078=EDGE_CURVE('',#167991,#167989,#91356,.T.); #210079=EDGE_CURVE('',#167990,#167991,#91357,.T.); #210080=EDGE_CURVE('',#167992,#167990,#91358,.T.); #210081=EDGE_CURVE('',#167993,#167991,#91359,.T.); #210082=EDGE_CURVE('',#167992,#167993,#91360,.T.); #210083=EDGE_CURVE('',#167987,#167992,#91361,.T.); #210084=EDGE_CURVE('',#167988,#167993,#91362,.T.); #210085=EDGE_CURVE('',#167994,#167995,#91363,.T.); #210086=EDGE_CURVE('',#167995,#167996,#91364,.T.); #210087=EDGE_CURVE('',#167997,#167996,#91365,.T.); #210088=EDGE_CURVE('',#167994,#167997,#91366,.T.); #210089=EDGE_CURVE('',#167998,#167994,#91367,.T.); #210090=EDGE_CURVE('',#167999,#167997,#91368,.T.); #210091=EDGE_CURVE('',#167998,#167999,#91369,.T.); #210092=EDGE_CURVE('',#168000,#167998,#91370,.T.); #210093=EDGE_CURVE('',#168001,#167999,#91371,.T.); #210094=EDGE_CURVE('',#168000,#168001,#91372,.T.); #210095=EDGE_CURVE('',#167995,#168000,#91373,.T.); #210096=EDGE_CURVE('',#167996,#168001,#91374,.T.); #210097=EDGE_CURVE('',#168002,#168003,#91375,.T.); #210098=EDGE_CURVE('',#168003,#168004,#91376,.T.); #210099=EDGE_CURVE('',#168005,#168004,#91377,.T.); #210100=EDGE_CURVE('',#168002,#168005,#91378,.T.); #210101=EDGE_CURVE('',#168006,#168002,#91379,.T.); #210102=EDGE_CURVE('',#168007,#168005,#91380,.T.); #210103=EDGE_CURVE('',#168006,#168007,#91381,.T.); #210104=EDGE_CURVE('',#168008,#168006,#91382,.T.); #210105=EDGE_CURVE('',#168009,#168007,#91383,.T.); #210106=EDGE_CURVE('',#168008,#168009,#91384,.T.); #210107=EDGE_CURVE('',#168003,#168008,#91385,.T.); #210108=EDGE_CURVE('',#168004,#168009,#91386,.T.); #210109=EDGE_CURVE('',#168010,#168011,#91387,.T.); #210110=EDGE_CURVE('',#168011,#168012,#91388,.T.); #210111=EDGE_CURVE('',#168013,#168012,#91389,.T.); #210112=EDGE_CURVE('',#168010,#168013,#91390,.T.); #210113=EDGE_CURVE('',#168014,#168010,#91391,.T.); #210114=EDGE_CURVE('',#168015,#168013,#91392,.T.); #210115=EDGE_CURVE('',#168014,#168015,#91393,.T.); #210116=EDGE_CURVE('',#168016,#168014,#91394,.T.); #210117=EDGE_CURVE('',#168017,#168015,#91395,.T.); #210118=EDGE_CURVE('',#168016,#168017,#91396,.T.); #210119=EDGE_CURVE('',#168011,#168016,#91397,.T.); #210120=EDGE_CURVE('',#168012,#168017,#91398,.T.); #210121=EDGE_CURVE('',#168018,#168018,#141536,.T.); #210122=EDGE_CURVE('',#168018,#168019,#91399,.T.); #210123=EDGE_CURVE('',#168019,#168019,#141537,.T.); #210124=EDGE_CURVE('',#168020,#168021,#91400,.T.); #210125=EDGE_CURVE('',#168021,#168022,#91401,.T.); #210126=EDGE_CURVE('',#168023,#168022,#91402,.T.); #210127=EDGE_CURVE('',#168020,#168023,#91403,.T.); #210128=EDGE_CURVE('',#168024,#168020,#91404,.T.); #210129=EDGE_CURVE('',#168025,#168023,#91405,.T.); #210130=EDGE_CURVE('',#168024,#168025,#91406,.T.); #210131=EDGE_CURVE('',#168026,#168024,#91407,.T.); #210132=EDGE_CURVE('',#168027,#168025,#91408,.T.); #210133=EDGE_CURVE('',#168026,#168027,#91409,.T.); #210134=EDGE_CURVE('',#168021,#168026,#91410,.T.); #210135=EDGE_CURVE('',#168022,#168027,#91411,.T.); #210136=EDGE_CURVE('',#168028,#168029,#91412,.T.); #210137=EDGE_CURVE('',#168029,#168030,#91413,.T.); #210138=EDGE_CURVE('',#168031,#168030,#91414,.T.); #210139=EDGE_CURVE('',#168028,#168031,#91415,.T.); #210140=EDGE_CURVE('',#168032,#168028,#91416,.T.); #210141=EDGE_CURVE('',#168033,#168031,#91417,.T.); #210142=EDGE_CURVE('',#168032,#168033,#91418,.T.); #210143=EDGE_CURVE('',#168034,#168032,#91419,.T.); #210144=EDGE_CURVE('',#168035,#168033,#91420,.T.); #210145=EDGE_CURVE('',#168034,#168035,#91421,.T.); #210146=EDGE_CURVE('',#168029,#168034,#91422,.T.); #210147=EDGE_CURVE('',#168030,#168035,#91423,.T.); #210148=EDGE_CURVE('',#168036,#168037,#91424,.T.); #210149=EDGE_CURVE('',#168037,#168038,#91425,.T.); #210150=EDGE_CURVE('',#168039,#168038,#91426,.T.); #210151=EDGE_CURVE('',#168036,#168039,#91427,.T.); #210152=EDGE_CURVE('',#168040,#168036,#91428,.T.); #210153=EDGE_CURVE('',#168041,#168039,#91429,.T.); #210154=EDGE_CURVE('',#168040,#168041,#91430,.T.); #210155=EDGE_CURVE('',#168042,#168040,#91431,.T.); #210156=EDGE_CURVE('',#168043,#168041,#91432,.T.); #210157=EDGE_CURVE('',#168042,#168043,#91433,.T.); #210158=EDGE_CURVE('',#168037,#168042,#91434,.T.); #210159=EDGE_CURVE('',#168038,#168043,#91435,.T.); #210160=EDGE_CURVE('',#168044,#168045,#91436,.T.); #210161=EDGE_CURVE('',#168045,#168046,#91437,.T.); #210162=EDGE_CURVE('',#168047,#168046,#91438,.T.); #210163=EDGE_CURVE('',#168044,#168047,#91439,.T.); #210164=EDGE_CURVE('',#168048,#168044,#91440,.T.); #210165=EDGE_CURVE('',#168049,#168047,#91441,.T.); #210166=EDGE_CURVE('',#168048,#168049,#91442,.T.); #210167=EDGE_CURVE('',#168050,#168048,#91443,.T.); #210168=EDGE_CURVE('',#168051,#168049,#91444,.T.); #210169=EDGE_CURVE('',#168050,#168051,#91445,.T.); #210170=EDGE_CURVE('',#168045,#168050,#91446,.T.); #210171=EDGE_CURVE('',#168046,#168051,#91447,.T.); #210172=EDGE_CURVE('',#168052,#168053,#91448,.T.); #210173=EDGE_CURVE('',#168053,#168054,#91449,.T.); #210174=EDGE_CURVE('',#168055,#168054,#91450,.T.); #210175=EDGE_CURVE('',#168052,#168055,#91451,.T.); #210176=EDGE_CURVE('',#168056,#168052,#91452,.T.); #210177=EDGE_CURVE('',#168057,#168055,#91453,.T.); #210178=EDGE_CURVE('',#168056,#168057,#91454,.T.); #210179=EDGE_CURVE('',#168058,#168056,#91455,.T.); #210180=EDGE_CURVE('',#168059,#168057,#91456,.T.); #210181=EDGE_CURVE('',#168058,#168059,#91457,.T.); #210182=EDGE_CURVE('',#168053,#168058,#91458,.T.); #210183=EDGE_CURVE('',#168054,#168059,#91459,.T.); #210184=EDGE_CURVE('',#168060,#168060,#141538,.T.); #210185=EDGE_CURVE('',#168060,#168061,#91460,.T.); #210186=EDGE_CURVE('',#168061,#168061,#141539,.T.); #210187=EDGE_CURVE('',#168062,#168062,#141540,.T.); #210188=EDGE_CURVE('',#168062,#168063,#91461,.T.); #210189=EDGE_CURVE('',#168063,#168063,#141541,.T.); #210190=EDGE_CURVE('',#168064,#168064,#141542,.T.); #210191=EDGE_CURVE('',#168064,#168065,#91462,.T.); #210192=EDGE_CURVE('',#168065,#168065,#141543,.T.); #210193=EDGE_CURVE('',#168066,#168066,#141544,.T.); #210194=EDGE_CURVE('',#168066,#168067,#91463,.T.); #210195=EDGE_CURVE('',#168067,#168067,#141545,.T.); #210196=EDGE_CURVE('',#168068,#168068,#141546,.T.); #210197=EDGE_CURVE('',#168068,#168069,#91464,.T.); #210198=EDGE_CURVE('',#168069,#168069,#141547,.T.); #210199=EDGE_CURVE('',#168070,#168070,#141548,.T.); #210200=EDGE_CURVE('',#168070,#168071,#91465,.T.); #210201=EDGE_CURVE('',#168071,#168071,#141549,.T.); #210202=EDGE_CURVE('',#168072,#168072,#141550,.T.); #210203=EDGE_CURVE('',#168072,#168073,#91466,.T.); #210204=EDGE_CURVE('',#168073,#168073,#141551,.T.); #210205=EDGE_CURVE('',#168074,#168074,#141552,.T.); #210206=EDGE_CURVE('',#168074,#168075,#91467,.T.); #210207=EDGE_CURVE('',#168075,#168075,#141553,.T.); #210208=EDGE_CURVE('',#168076,#168076,#141554,.T.); #210209=EDGE_CURVE('',#168076,#168077,#91468,.T.); #210210=EDGE_CURVE('',#168077,#168077,#141555,.T.); #210211=EDGE_CURVE('',#168078,#168078,#141556,.T.); #210212=EDGE_CURVE('',#168078,#168079,#91469,.T.); #210213=EDGE_CURVE('',#168079,#168079,#141557,.T.); #210214=EDGE_CURVE('',#168080,#168080,#141558,.T.); #210215=EDGE_CURVE('',#168080,#168081,#91470,.T.); #210216=EDGE_CURVE('',#168081,#168081,#141559,.T.); #210217=EDGE_CURVE('',#168082,#168082,#141560,.T.); #210218=EDGE_CURVE('',#168082,#168083,#91471,.T.); #210219=EDGE_CURVE('',#168083,#168083,#141561,.T.); #210220=EDGE_CURVE('',#168084,#168084,#141562,.T.); #210221=EDGE_CURVE('',#168084,#168085,#91472,.T.); #210222=EDGE_CURVE('',#168085,#168085,#141563,.T.); #210223=EDGE_CURVE('',#168086,#168086,#141564,.T.); #210224=EDGE_CURVE('',#168086,#168087,#91473,.T.); #210225=EDGE_CURVE('',#168087,#168087,#141565,.T.); #210226=EDGE_CURVE('',#168088,#168088,#141566,.T.); #210227=EDGE_CURVE('',#168088,#168089,#91474,.T.); #210228=EDGE_CURVE('',#168089,#168089,#141567,.T.); #210229=EDGE_CURVE('',#168090,#168091,#91475,.T.); #210230=EDGE_CURVE('',#168091,#168092,#91476,.T.); #210231=EDGE_CURVE('',#168093,#168092,#91477,.T.); #210232=EDGE_CURVE('',#168090,#168093,#91478,.T.); #210233=EDGE_CURVE('',#168094,#168090,#91479,.T.); #210234=EDGE_CURVE('',#168095,#168093,#91480,.T.); #210235=EDGE_CURVE('',#168094,#168095,#91481,.T.); #210236=EDGE_CURVE('',#168096,#168094,#91482,.T.); #210237=EDGE_CURVE('',#168097,#168095,#91483,.T.); #210238=EDGE_CURVE('',#168096,#168097,#91484,.T.); #210239=EDGE_CURVE('',#168091,#168096,#91485,.T.); #210240=EDGE_CURVE('',#168092,#168097,#91486,.T.); #210241=EDGE_CURVE('',#168098,#168099,#91487,.T.); #210242=EDGE_CURVE('',#168099,#168100,#91488,.T.); #210243=EDGE_CURVE('',#168101,#168100,#91489,.T.); #210244=EDGE_CURVE('',#168098,#168101,#91490,.T.); #210245=EDGE_CURVE('',#168102,#168098,#91491,.T.); #210246=EDGE_CURVE('',#168103,#168101,#91492,.T.); #210247=EDGE_CURVE('',#168102,#168103,#91493,.T.); #210248=EDGE_CURVE('',#168104,#168102,#91494,.T.); #210249=EDGE_CURVE('',#168105,#168103,#91495,.T.); #210250=EDGE_CURVE('',#168104,#168105,#91496,.T.); #210251=EDGE_CURVE('',#168099,#168104,#91497,.T.); #210252=EDGE_CURVE('',#168100,#168105,#91498,.T.); #210253=EDGE_CURVE('',#168106,#168107,#91499,.T.); #210254=EDGE_CURVE('',#168107,#168108,#91500,.T.); #210255=EDGE_CURVE('',#168109,#168108,#91501,.T.); #210256=EDGE_CURVE('',#168106,#168109,#91502,.T.); #210257=EDGE_CURVE('',#168110,#168106,#91503,.T.); #210258=EDGE_CURVE('',#168111,#168109,#91504,.T.); #210259=EDGE_CURVE('',#168110,#168111,#91505,.T.); #210260=EDGE_CURVE('',#168112,#168110,#91506,.T.); #210261=EDGE_CURVE('',#168113,#168111,#91507,.T.); #210262=EDGE_CURVE('',#168112,#168113,#91508,.T.); #210263=EDGE_CURVE('',#168107,#168112,#91509,.T.); #210264=EDGE_CURVE('',#168108,#168113,#91510,.T.); #210265=EDGE_CURVE('',#168114,#168115,#91511,.T.); #210266=EDGE_CURVE('',#168115,#168116,#91512,.T.); #210267=EDGE_CURVE('',#168117,#168116,#91513,.T.); #210268=EDGE_CURVE('',#168114,#168117,#91514,.T.); #210269=EDGE_CURVE('',#168118,#168114,#91515,.T.); #210270=EDGE_CURVE('',#168119,#168117,#91516,.T.); #210271=EDGE_CURVE('',#168118,#168119,#91517,.T.); #210272=EDGE_CURVE('',#168120,#168118,#91518,.T.); #210273=EDGE_CURVE('',#168121,#168119,#91519,.T.); #210274=EDGE_CURVE('',#168120,#168121,#91520,.T.); #210275=EDGE_CURVE('',#168115,#168120,#91521,.T.); #210276=EDGE_CURVE('',#168116,#168121,#91522,.T.); #210277=EDGE_CURVE('',#168122,#168122,#141568,.T.); #210278=EDGE_CURVE('',#168122,#168123,#91523,.T.); #210279=EDGE_CURVE('',#168123,#168123,#141569,.T.); #210280=EDGE_CURVE('',#168124,#168125,#91524,.T.); #210281=EDGE_CURVE('',#168125,#168126,#91525,.T.); #210282=EDGE_CURVE('',#168127,#168126,#91526,.T.); #210283=EDGE_CURVE('',#168124,#168127,#91527,.T.); #210284=EDGE_CURVE('',#168128,#168124,#91528,.T.); #210285=EDGE_CURVE('',#168129,#168127,#91529,.T.); #210286=EDGE_CURVE('',#168128,#168129,#91530,.T.); #210287=EDGE_CURVE('',#168130,#168128,#91531,.T.); #210288=EDGE_CURVE('',#168131,#168129,#91532,.T.); #210289=EDGE_CURVE('',#168130,#168131,#91533,.T.); #210290=EDGE_CURVE('',#168125,#168130,#91534,.T.); #210291=EDGE_CURVE('',#168126,#168131,#91535,.T.); #210292=EDGE_CURVE('',#168132,#168133,#91536,.T.); #210293=EDGE_CURVE('',#168133,#168134,#91537,.T.); #210294=EDGE_CURVE('',#168135,#168134,#91538,.T.); #210295=EDGE_CURVE('',#168132,#168135,#91539,.T.); #210296=EDGE_CURVE('',#168136,#168132,#91540,.T.); #210297=EDGE_CURVE('',#168137,#168135,#91541,.T.); #210298=EDGE_CURVE('',#168136,#168137,#91542,.T.); #210299=EDGE_CURVE('',#168138,#168136,#91543,.T.); #210300=EDGE_CURVE('',#168139,#168137,#91544,.T.); #210301=EDGE_CURVE('',#168138,#168139,#91545,.T.); #210302=EDGE_CURVE('',#168133,#168138,#91546,.T.); #210303=EDGE_CURVE('',#168134,#168139,#91547,.T.); #210304=EDGE_CURVE('',#168140,#168141,#91548,.T.); #210305=EDGE_CURVE('',#168141,#168142,#91549,.T.); #210306=EDGE_CURVE('',#168143,#168142,#91550,.T.); #210307=EDGE_CURVE('',#168140,#168143,#91551,.T.); #210308=EDGE_CURVE('',#168144,#168140,#91552,.T.); #210309=EDGE_CURVE('',#168145,#168143,#91553,.T.); #210310=EDGE_CURVE('',#168144,#168145,#91554,.T.); #210311=EDGE_CURVE('',#168146,#168144,#91555,.T.); #210312=EDGE_CURVE('',#168147,#168145,#91556,.T.); #210313=EDGE_CURVE('',#168146,#168147,#91557,.T.); #210314=EDGE_CURVE('',#168141,#168146,#91558,.T.); #210315=EDGE_CURVE('',#168142,#168147,#91559,.T.); #210316=EDGE_CURVE('',#168148,#168149,#91560,.T.); #210317=EDGE_CURVE('',#168149,#168150,#91561,.T.); #210318=EDGE_CURVE('',#168151,#168150,#91562,.T.); #210319=EDGE_CURVE('',#168148,#168151,#91563,.T.); #210320=EDGE_CURVE('',#168152,#168148,#91564,.T.); #210321=EDGE_CURVE('',#168153,#168151,#91565,.T.); #210322=EDGE_CURVE('',#168152,#168153,#91566,.T.); #210323=EDGE_CURVE('',#168154,#168152,#91567,.T.); #210324=EDGE_CURVE('',#168155,#168153,#91568,.T.); #210325=EDGE_CURVE('',#168154,#168155,#91569,.T.); #210326=EDGE_CURVE('',#168149,#168154,#91570,.T.); #210327=EDGE_CURVE('',#168150,#168155,#91571,.T.); #210328=EDGE_CURVE('',#168156,#168157,#91572,.T.); #210329=EDGE_CURVE('',#168157,#168158,#91573,.T.); #210330=EDGE_CURVE('',#168159,#168158,#91574,.T.); #210331=EDGE_CURVE('',#168156,#168159,#91575,.T.); #210332=EDGE_CURVE('',#168160,#168156,#91576,.T.); #210333=EDGE_CURVE('',#168161,#168159,#91577,.T.); #210334=EDGE_CURVE('',#168160,#168161,#91578,.T.); #210335=EDGE_CURVE('',#168162,#168160,#91579,.T.); #210336=EDGE_CURVE('',#168163,#168161,#91580,.T.); #210337=EDGE_CURVE('',#168162,#168163,#91581,.T.); #210338=EDGE_CURVE('',#168157,#168162,#91582,.T.); #210339=EDGE_CURVE('',#168158,#168163,#91583,.T.); #210340=EDGE_CURVE('',#168164,#168164,#141570,.T.); #210341=EDGE_CURVE('',#168164,#168165,#91584,.T.); #210342=EDGE_CURVE('',#168165,#168165,#141571,.T.); #210343=EDGE_CURVE('',#168166,#168166,#141572,.T.); #210344=EDGE_CURVE('',#168166,#168167,#91585,.T.); #210345=EDGE_CURVE('',#168167,#168167,#141573,.T.); #210346=EDGE_CURVE('',#168168,#168168,#141574,.T.); #210347=EDGE_CURVE('',#168168,#168169,#91586,.T.); #210348=EDGE_CURVE('',#168169,#168169,#141575,.T.); #210349=EDGE_CURVE('',#168170,#168170,#141576,.T.); #210350=EDGE_CURVE('',#168170,#168171,#91587,.T.); #210351=EDGE_CURVE('',#168171,#168171,#141577,.T.); #210352=EDGE_CURVE('',#168172,#168172,#141578,.T.); #210353=EDGE_CURVE('',#168172,#168173,#91588,.T.); #210354=EDGE_CURVE('',#168173,#168173,#141579,.T.); #210355=EDGE_CURVE('',#168174,#168174,#141580,.T.); #210356=EDGE_CURVE('',#168174,#168175,#91589,.T.); #210357=EDGE_CURVE('',#168175,#168175,#141581,.T.); #210358=EDGE_CURVE('',#168176,#168176,#141582,.T.); #210359=EDGE_CURVE('',#168176,#168177,#91590,.T.); #210360=EDGE_CURVE('',#168177,#168177,#141583,.T.); #210361=EDGE_CURVE('',#168178,#168178,#141584,.T.); #210362=EDGE_CURVE('',#168178,#168179,#91591,.T.); #210363=EDGE_CURVE('',#168179,#168179,#141585,.T.); #210364=EDGE_CURVE('',#168180,#168180,#141586,.T.); #210365=EDGE_CURVE('',#168180,#168181,#91592,.T.); #210366=EDGE_CURVE('',#168181,#168181,#141587,.T.); #210367=EDGE_CURVE('',#168182,#168182,#141588,.T.); #210368=EDGE_CURVE('',#168182,#168183,#91593,.T.); #210369=EDGE_CURVE('',#168183,#168183,#141589,.T.); #210370=EDGE_CURVE('',#168184,#168184,#141590,.T.); #210371=EDGE_CURVE('',#168184,#168185,#91594,.T.); #210372=EDGE_CURVE('',#168185,#168185,#141591,.T.); #210373=EDGE_CURVE('',#168186,#168186,#141592,.T.); #210374=EDGE_CURVE('',#168186,#168187,#91595,.T.); #210375=EDGE_CURVE('',#168187,#168187,#141593,.T.); #210376=EDGE_CURVE('',#168188,#168188,#141594,.T.); #210377=EDGE_CURVE('',#168188,#168189,#91596,.T.); #210378=EDGE_CURVE('',#168189,#168189,#141595,.T.); #210379=EDGE_CURVE('',#168190,#168190,#141596,.T.); #210380=EDGE_CURVE('',#168190,#168191,#91597,.T.); #210381=EDGE_CURVE('',#168191,#168191,#141597,.T.); #210382=EDGE_CURVE('',#168192,#168192,#141598,.T.); #210383=EDGE_CURVE('',#168192,#168193,#91598,.T.); #210384=EDGE_CURVE('',#168193,#168193,#141599,.T.); #210385=EDGE_CURVE('',#168194,#168195,#91599,.T.); #210386=EDGE_CURVE('',#168195,#168196,#91600,.T.); #210387=EDGE_CURVE('',#168197,#168196,#91601,.T.); #210388=EDGE_CURVE('',#168194,#168197,#91602,.T.); #210389=EDGE_CURVE('',#168198,#168194,#91603,.T.); #210390=EDGE_CURVE('',#168199,#168197,#91604,.T.); #210391=EDGE_CURVE('',#168198,#168199,#91605,.T.); #210392=EDGE_CURVE('',#168200,#168198,#91606,.T.); #210393=EDGE_CURVE('',#168201,#168199,#91607,.T.); #210394=EDGE_CURVE('',#168200,#168201,#91608,.T.); #210395=EDGE_CURVE('',#168195,#168200,#91609,.T.); #210396=EDGE_CURVE('',#168196,#168201,#91610,.T.); #210397=EDGE_CURVE('',#168202,#168203,#91611,.T.); #210398=EDGE_CURVE('',#168203,#168204,#91612,.T.); #210399=EDGE_CURVE('',#168205,#168204,#91613,.T.); #210400=EDGE_CURVE('',#168202,#168205,#91614,.T.); #210401=EDGE_CURVE('',#168206,#168202,#91615,.T.); #210402=EDGE_CURVE('',#168207,#168205,#91616,.T.); #210403=EDGE_CURVE('',#168206,#168207,#91617,.T.); #210404=EDGE_CURVE('',#168208,#168206,#91618,.T.); #210405=EDGE_CURVE('',#168209,#168207,#91619,.T.); #210406=EDGE_CURVE('',#168208,#168209,#91620,.T.); #210407=EDGE_CURVE('',#168203,#168208,#91621,.T.); #210408=EDGE_CURVE('',#168204,#168209,#91622,.T.); #210409=EDGE_CURVE('',#168210,#168211,#91623,.T.); #210410=EDGE_CURVE('',#168211,#168212,#91624,.T.); #210411=EDGE_CURVE('',#168213,#168212,#91625,.T.); #210412=EDGE_CURVE('',#168210,#168213,#91626,.T.); #210413=EDGE_CURVE('',#168214,#168210,#91627,.T.); #210414=EDGE_CURVE('',#168215,#168213,#91628,.T.); #210415=EDGE_CURVE('',#168214,#168215,#91629,.T.); #210416=EDGE_CURVE('',#168216,#168214,#91630,.T.); #210417=EDGE_CURVE('',#168217,#168215,#91631,.T.); #210418=EDGE_CURVE('',#168216,#168217,#91632,.T.); #210419=EDGE_CURVE('',#168211,#168216,#91633,.T.); #210420=EDGE_CURVE('',#168212,#168217,#91634,.T.); #210421=EDGE_CURVE('',#168218,#168219,#91635,.T.); #210422=EDGE_CURVE('',#168219,#168220,#91636,.T.); #210423=EDGE_CURVE('',#168221,#168220,#91637,.T.); #210424=EDGE_CURVE('',#168218,#168221,#91638,.T.); #210425=EDGE_CURVE('',#168222,#168218,#91639,.T.); #210426=EDGE_CURVE('',#168223,#168221,#91640,.T.); #210427=EDGE_CURVE('',#168222,#168223,#91641,.T.); #210428=EDGE_CURVE('',#168224,#168222,#91642,.T.); #210429=EDGE_CURVE('',#168225,#168223,#91643,.T.); #210430=EDGE_CURVE('',#168224,#168225,#91644,.T.); #210431=EDGE_CURVE('',#168219,#168224,#91645,.T.); #210432=EDGE_CURVE('',#168220,#168225,#91646,.T.); #210433=EDGE_CURVE('',#168226,#168226,#141600,.T.); #210434=EDGE_CURVE('',#168226,#168227,#91647,.T.); #210435=EDGE_CURVE('',#168227,#168227,#141601,.T.); #210436=EDGE_CURVE('',#168228,#168229,#91648,.T.); #210437=EDGE_CURVE('',#168229,#168230,#91649,.T.); #210438=EDGE_CURVE('',#168231,#168230,#91650,.T.); #210439=EDGE_CURVE('',#168228,#168231,#91651,.T.); #210440=EDGE_CURVE('',#168232,#168228,#91652,.T.); #210441=EDGE_CURVE('',#168233,#168231,#91653,.T.); #210442=EDGE_CURVE('',#168232,#168233,#91654,.T.); #210443=EDGE_CURVE('',#168234,#168232,#91655,.T.); #210444=EDGE_CURVE('',#168235,#168233,#91656,.T.); #210445=EDGE_CURVE('',#168234,#168235,#91657,.T.); #210446=EDGE_CURVE('',#168229,#168234,#91658,.T.); #210447=EDGE_CURVE('',#168230,#168235,#91659,.T.); #210448=EDGE_CURVE('',#168236,#168236,#141602,.T.); #210449=EDGE_CURVE('',#168236,#168237,#91660,.T.); #210450=EDGE_CURVE('',#168237,#168237,#141603,.T.); #210451=EDGE_CURVE('',#168238,#168239,#91661,.T.); #210452=EDGE_CURVE('',#168239,#168240,#91662,.T.); #210453=EDGE_CURVE('',#168241,#168240,#91663,.T.); #210454=EDGE_CURVE('',#168238,#168241,#91664,.T.); #210455=EDGE_CURVE('',#168242,#168238,#91665,.T.); #210456=EDGE_CURVE('',#168243,#168241,#91666,.T.); #210457=EDGE_CURVE('',#168242,#168243,#91667,.T.); #210458=EDGE_CURVE('',#168244,#168242,#91668,.T.); #210459=EDGE_CURVE('',#168245,#168243,#91669,.T.); #210460=EDGE_CURVE('',#168244,#168245,#91670,.T.); #210461=EDGE_CURVE('',#168239,#168244,#91671,.T.); #210462=EDGE_CURVE('',#168240,#168245,#91672,.T.); #210463=EDGE_CURVE('',#168246,#168246,#141604,.T.); #210464=EDGE_CURVE('',#168246,#168247,#91673,.T.); #210465=EDGE_CURVE('',#168247,#168247,#141605,.T.); #210466=EDGE_CURVE('',#168248,#168249,#91674,.T.); #210467=EDGE_CURVE('',#168249,#168250,#91675,.T.); #210468=EDGE_CURVE('',#168251,#168250,#91676,.T.); #210469=EDGE_CURVE('',#168248,#168251,#91677,.T.); #210470=EDGE_CURVE('',#168252,#168248,#91678,.T.); #210471=EDGE_CURVE('',#168253,#168251,#91679,.T.); #210472=EDGE_CURVE('',#168252,#168253,#91680,.T.); #210473=EDGE_CURVE('',#168254,#168252,#91681,.T.); #210474=EDGE_CURVE('',#168255,#168253,#91682,.T.); #210475=EDGE_CURVE('',#168254,#168255,#91683,.T.); #210476=EDGE_CURVE('',#168249,#168254,#91684,.T.); #210477=EDGE_CURVE('',#168250,#168255,#91685,.T.); #210478=EDGE_CURVE('',#168256,#168256,#141606,.T.); #210479=EDGE_CURVE('',#168256,#168257,#91686,.T.); #210480=EDGE_CURVE('',#168257,#168257,#141607,.T.); #210481=EDGE_CURVE('',#168258,#168258,#141608,.T.); #210482=EDGE_CURVE('',#168258,#168259,#91687,.T.); #210483=EDGE_CURVE('',#168259,#168259,#141609,.T.); #210484=EDGE_CURVE('',#168260,#168260,#141610,.T.); #210485=EDGE_CURVE('',#168260,#168261,#91688,.T.); #210486=EDGE_CURVE('',#168261,#168261,#141611,.T.); #210487=EDGE_CURVE('',#168262,#168262,#141612,.T.); #210488=EDGE_CURVE('',#168262,#168263,#91689,.T.); #210489=EDGE_CURVE('',#168263,#168263,#141613,.T.); #210490=EDGE_CURVE('',#168264,#168264,#141614,.T.); #210491=EDGE_CURVE('',#168264,#168265,#91690,.T.); #210492=EDGE_CURVE('',#168265,#168265,#141615,.T.); #210493=EDGE_CURVE('',#168266,#168266,#141616,.T.); #210494=EDGE_CURVE('',#168266,#168267,#91691,.T.); #210495=EDGE_CURVE('',#168267,#168267,#141617,.T.); #210496=EDGE_CURVE('',#168268,#168268,#141618,.T.); #210497=EDGE_CURVE('',#168268,#168269,#91692,.T.); #210498=EDGE_CURVE('',#168269,#168269,#141619,.T.); #210499=EDGE_CURVE('',#168270,#168270,#141620,.T.); #210500=EDGE_CURVE('',#168270,#168271,#91693,.T.); #210501=EDGE_CURVE('',#168271,#168271,#141621,.T.); #210502=EDGE_CURVE('',#168272,#168272,#141622,.T.); #210503=EDGE_CURVE('',#168272,#168273,#91694,.T.); #210504=EDGE_CURVE('',#168273,#168273,#141623,.T.); #210505=EDGE_CURVE('',#168274,#168274,#141624,.T.); #210506=EDGE_CURVE('',#168274,#168275,#91695,.T.); #210507=EDGE_CURVE('',#168275,#168275,#141625,.T.); #210508=EDGE_CURVE('',#168276,#168276,#141626,.T.); #210509=EDGE_CURVE('',#168276,#168277,#91696,.T.); #210510=EDGE_CURVE('',#168277,#168277,#141627,.T.); #210511=EDGE_CURVE('',#168278,#168278,#141628,.T.); #210512=EDGE_CURVE('',#168278,#168279,#91697,.T.); #210513=EDGE_CURVE('',#168279,#168279,#141629,.T.); #210514=EDGE_CURVE('',#168280,#168280,#141630,.T.); #210515=EDGE_CURVE('',#168280,#168281,#91698,.T.); #210516=EDGE_CURVE('',#168281,#168281,#141631,.T.); #210517=EDGE_CURVE('',#168282,#168282,#141632,.T.); #210518=EDGE_CURVE('',#168282,#168283,#91699,.T.); #210519=EDGE_CURVE('',#168283,#168283,#141633,.T.); #210520=EDGE_CURVE('',#168284,#168284,#141634,.T.); #210521=EDGE_CURVE('',#168284,#168285,#91700,.T.); #210522=EDGE_CURVE('',#168285,#168285,#141635,.T.); #210523=EDGE_CURVE('',#168286,#168287,#91701,.T.); #210524=EDGE_CURVE('',#168287,#168288,#91702,.T.); #210525=EDGE_CURVE('',#168289,#168288,#91703,.T.); #210526=EDGE_CURVE('',#168286,#168289,#91704,.T.); #210527=EDGE_CURVE('',#168290,#168286,#91705,.T.); #210528=EDGE_CURVE('',#168291,#168289,#91706,.T.); #210529=EDGE_CURVE('',#168290,#168291,#91707,.T.); #210530=EDGE_CURVE('',#168292,#168290,#91708,.T.); #210531=EDGE_CURVE('',#168293,#168291,#91709,.T.); #210532=EDGE_CURVE('',#168292,#168293,#91710,.T.); #210533=EDGE_CURVE('',#168287,#168292,#91711,.T.); #210534=EDGE_CURVE('',#168288,#168293,#91712,.T.); #210535=EDGE_CURVE('',#168294,#168295,#91713,.T.); #210536=EDGE_CURVE('',#168295,#168296,#91714,.T.); #210537=EDGE_CURVE('',#168297,#168296,#91715,.T.); #210538=EDGE_CURVE('',#168294,#168297,#91716,.T.); #210539=EDGE_CURVE('',#168298,#168294,#91717,.T.); #210540=EDGE_CURVE('',#168299,#168297,#91718,.T.); #210541=EDGE_CURVE('',#168298,#168299,#91719,.T.); #210542=EDGE_CURVE('',#168300,#168298,#91720,.T.); #210543=EDGE_CURVE('',#168301,#168299,#91721,.T.); #210544=EDGE_CURVE('',#168300,#168301,#91722,.T.); #210545=EDGE_CURVE('',#168295,#168300,#91723,.T.); #210546=EDGE_CURVE('',#168296,#168301,#91724,.T.); #210547=EDGE_CURVE('',#168302,#168303,#91725,.T.); #210548=EDGE_CURVE('',#168303,#168304,#91726,.T.); #210549=EDGE_CURVE('',#168305,#168304,#91727,.T.); #210550=EDGE_CURVE('',#168302,#168305,#91728,.T.); #210551=EDGE_CURVE('',#168306,#168302,#91729,.T.); #210552=EDGE_CURVE('',#168307,#168305,#91730,.T.); #210553=EDGE_CURVE('',#168306,#168307,#91731,.T.); #210554=EDGE_CURVE('',#168308,#168306,#91732,.T.); #210555=EDGE_CURVE('',#168309,#168307,#91733,.T.); #210556=EDGE_CURVE('',#168308,#168309,#91734,.T.); #210557=EDGE_CURVE('',#168303,#168308,#91735,.T.); #210558=EDGE_CURVE('',#168304,#168309,#91736,.T.); #210559=EDGE_CURVE('',#168310,#168311,#91737,.T.); #210560=EDGE_CURVE('',#168311,#168312,#91738,.T.); #210561=EDGE_CURVE('',#168313,#168312,#91739,.T.); #210562=EDGE_CURVE('',#168310,#168313,#91740,.T.); #210563=EDGE_CURVE('',#168314,#168310,#91741,.T.); #210564=EDGE_CURVE('',#168315,#168313,#91742,.T.); #210565=EDGE_CURVE('',#168314,#168315,#91743,.T.); #210566=EDGE_CURVE('',#168316,#168314,#91744,.T.); #210567=EDGE_CURVE('',#168317,#168315,#91745,.T.); #210568=EDGE_CURVE('',#168316,#168317,#91746,.T.); #210569=EDGE_CURVE('',#168311,#168316,#91747,.T.); #210570=EDGE_CURVE('',#168312,#168317,#91748,.T.); #210571=EDGE_CURVE('',#168318,#168319,#91749,.T.); #210572=EDGE_CURVE('',#168319,#168320,#91750,.T.); #210573=EDGE_CURVE('',#168321,#168320,#91751,.T.); #210574=EDGE_CURVE('',#168318,#168321,#91752,.T.); #210575=EDGE_CURVE('',#168322,#168318,#91753,.T.); #210576=EDGE_CURVE('',#168323,#168321,#91754,.T.); #210577=EDGE_CURVE('',#168322,#168323,#91755,.T.); #210578=EDGE_CURVE('',#168324,#168322,#91756,.T.); #210579=EDGE_CURVE('',#168325,#168323,#91757,.T.); #210580=EDGE_CURVE('',#168324,#168325,#91758,.T.); #210581=EDGE_CURVE('',#168319,#168324,#91759,.T.); #210582=EDGE_CURVE('',#168320,#168325,#91760,.T.); #210583=EDGE_CURVE('',#168326,#168327,#91761,.T.); #210584=EDGE_CURVE('',#168327,#168328,#91762,.T.); #210585=EDGE_CURVE('',#168329,#168328,#91763,.T.); #210586=EDGE_CURVE('',#168326,#168329,#91764,.T.); #210587=EDGE_CURVE('',#168330,#168326,#91765,.T.); #210588=EDGE_CURVE('',#168331,#168329,#91766,.T.); #210589=EDGE_CURVE('',#168330,#168331,#91767,.T.); #210590=EDGE_CURVE('',#168332,#168330,#91768,.T.); #210591=EDGE_CURVE('',#168333,#168331,#91769,.T.); #210592=EDGE_CURVE('',#168332,#168333,#91770,.T.); #210593=EDGE_CURVE('',#168327,#168332,#91771,.T.); #210594=EDGE_CURVE('',#168328,#168333,#91772,.T.); #210595=EDGE_CURVE('',#168334,#168335,#91773,.T.); #210596=EDGE_CURVE('',#168335,#168336,#91774,.T.); #210597=EDGE_CURVE('',#168337,#168336,#91775,.T.); #210598=EDGE_CURVE('',#168334,#168337,#91776,.T.); #210599=EDGE_CURVE('',#168338,#168334,#91777,.T.); #210600=EDGE_CURVE('',#168339,#168337,#91778,.T.); #210601=EDGE_CURVE('',#168338,#168339,#91779,.T.); #210602=EDGE_CURVE('',#168340,#168338,#91780,.T.); #210603=EDGE_CURVE('',#168341,#168339,#91781,.T.); #210604=EDGE_CURVE('',#168340,#168341,#91782,.T.); #210605=EDGE_CURVE('',#168335,#168340,#91783,.T.); #210606=EDGE_CURVE('',#168336,#168341,#91784,.T.); #210607=EDGE_CURVE('',#168342,#168343,#91785,.T.); #210608=EDGE_CURVE('',#168343,#168344,#91786,.T.); #210609=EDGE_CURVE('',#168345,#168344,#91787,.T.); #210610=EDGE_CURVE('',#168342,#168345,#91788,.T.); #210611=EDGE_CURVE('',#168346,#168342,#91789,.T.); #210612=EDGE_CURVE('',#168347,#168345,#91790,.T.); #210613=EDGE_CURVE('',#168346,#168347,#91791,.T.); #210614=EDGE_CURVE('',#168348,#168346,#91792,.T.); #210615=EDGE_CURVE('',#168349,#168347,#91793,.T.); #210616=EDGE_CURVE('',#168348,#168349,#91794,.T.); #210617=EDGE_CURVE('',#168343,#168348,#91795,.T.); #210618=EDGE_CURVE('',#168344,#168349,#91796,.T.); #210619=EDGE_CURVE('',#168350,#168351,#91797,.T.); #210620=EDGE_CURVE('',#168351,#168352,#91798,.T.); #210621=EDGE_CURVE('',#168353,#168352,#91799,.T.); #210622=EDGE_CURVE('',#168350,#168353,#91800,.T.); #210623=EDGE_CURVE('',#168354,#168350,#91801,.T.); #210624=EDGE_CURVE('',#168355,#168353,#91802,.T.); #210625=EDGE_CURVE('',#168354,#168355,#91803,.T.); #210626=EDGE_CURVE('',#168356,#168354,#91804,.T.); #210627=EDGE_CURVE('',#168357,#168355,#91805,.T.); #210628=EDGE_CURVE('',#168356,#168357,#91806,.T.); #210629=EDGE_CURVE('',#168351,#168356,#91807,.T.); #210630=EDGE_CURVE('',#168352,#168357,#91808,.T.); #210631=EDGE_CURVE('',#168358,#168359,#91809,.T.); #210632=EDGE_CURVE('',#168359,#168360,#91810,.T.); #210633=EDGE_CURVE('',#168361,#168360,#91811,.T.); #210634=EDGE_CURVE('',#168358,#168361,#91812,.T.); #210635=EDGE_CURVE('',#168362,#168358,#91813,.T.); #210636=EDGE_CURVE('',#168363,#168361,#91814,.T.); #210637=EDGE_CURVE('',#168362,#168363,#91815,.T.); #210638=EDGE_CURVE('',#168364,#168362,#91816,.T.); #210639=EDGE_CURVE('',#168365,#168363,#91817,.T.); #210640=EDGE_CURVE('',#168364,#168365,#91818,.T.); #210641=EDGE_CURVE('',#168359,#168364,#91819,.T.); #210642=EDGE_CURVE('',#168360,#168365,#91820,.T.); #210643=EDGE_CURVE('',#168366,#168367,#91821,.T.); #210644=EDGE_CURVE('',#168367,#168368,#91822,.T.); #210645=EDGE_CURVE('',#168369,#168368,#91823,.T.); #210646=EDGE_CURVE('',#168366,#168369,#91824,.T.); #210647=EDGE_CURVE('',#168370,#168366,#91825,.T.); #210648=EDGE_CURVE('',#168371,#168369,#91826,.T.); #210649=EDGE_CURVE('',#168370,#168371,#91827,.T.); #210650=EDGE_CURVE('',#168372,#168370,#91828,.T.); #210651=EDGE_CURVE('',#168373,#168371,#91829,.T.); #210652=EDGE_CURVE('',#168372,#168373,#91830,.T.); #210653=EDGE_CURVE('',#168367,#168372,#91831,.T.); #210654=EDGE_CURVE('',#168368,#168373,#91832,.T.); #210655=EDGE_CURVE('',#168374,#168375,#91833,.T.); #210656=EDGE_CURVE('',#168375,#168376,#91834,.T.); #210657=EDGE_CURVE('',#168377,#168376,#91835,.T.); #210658=EDGE_CURVE('',#168374,#168377,#91836,.T.); #210659=EDGE_CURVE('',#168378,#168374,#91837,.T.); #210660=EDGE_CURVE('',#168379,#168377,#91838,.T.); #210661=EDGE_CURVE('',#168378,#168379,#91839,.T.); #210662=EDGE_CURVE('',#168380,#168378,#91840,.T.); #210663=EDGE_CURVE('',#168381,#168379,#91841,.T.); #210664=EDGE_CURVE('',#168380,#168381,#91842,.T.); #210665=EDGE_CURVE('',#168375,#168380,#91843,.T.); #210666=EDGE_CURVE('',#168376,#168381,#91844,.T.); #210667=EDGE_CURVE('',#168382,#168383,#91845,.T.); #210668=EDGE_CURVE('',#168383,#168384,#91846,.T.); #210669=EDGE_CURVE('',#168385,#168384,#91847,.T.); #210670=EDGE_CURVE('',#168382,#168385,#91848,.T.); #210671=EDGE_CURVE('',#168386,#168382,#91849,.T.); #210672=EDGE_CURVE('',#168387,#168385,#91850,.T.); #210673=EDGE_CURVE('',#168386,#168387,#91851,.T.); #210674=EDGE_CURVE('',#168388,#168386,#91852,.T.); #210675=EDGE_CURVE('',#168389,#168387,#91853,.T.); #210676=EDGE_CURVE('',#168388,#168389,#91854,.T.); #210677=EDGE_CURVE('',#168383,#168388,#91855,.T.); #210678=EDGE_CURVE('',#168384,#168389,#91856,.T.); #210679=EDGE_CURVE('',#168390,#168391,#91857,.T.); #210680=EDGE_CURVE('',#168391,#168392,#91858,.T.); #210681=EDGE_CURVE('',#168393,#168392,#91859,.T.); #210682=EDGE_CURVE('',#168390,#168393,#91860,.T.); #210683=EDGE_CURVE('',#168394,#168390,#91861,.T.); #210684=EDGE_CURVE('',#168395,#168393,#91862,.T.); #210685=EDGE_CURVE('',#168394,#168395,#91863,.T.); #210686=EDGE_CURVE('',#168396,#168394,#91864,.T.); #210687=EDGE_CURVE('',#168397,#168395,#91865,.T.); #210688=EDGE_CURVE('',#168396,#168397,#91866,.T.); #210689=EDGE_CURVE('',#168391,#168396,#91867,.T.); #210690=EDGE_CURVE('',#168392,#168397,#91868,.T.); #210691=EDGE_CURVE('',#168398,#168399,#91869,.T.); #210692=EDGE_CURVE('',#168399,#168400,#91870,.T.); #210693=EDGE_CURVE('',#168401,#168400,#91871,.T.); #210694=EDGE_CURVE('',#168398,#168401,#91872,.T.); #210695=EDGE_CURVE('',#168402,#168398,#91873,.T.); #210696=EDGE_CURVE('',#168403,#168401,#91874,.T.); #210697=EDGE_CURVE('',#168402,#168403,#91875,.T.); #210698=EDGE_CURVE('',#168404,#168402,#91876,.T.); #210699=EDGE_CURVE('',#168405,#168403,#91877,.T.); #210700=EDGE_CURVE('',#168404,#168405,#91878,.T.); #210701=EDGE_CURVE('',#168399,#168404,#91879,.T.); #210702=EDGE_CURVE('',#168400,#168405,#91880,.T.); #210703=EDGE_CURVE('',#168406,#168407,#91881,.T.); #210704=EDGE_CURVE('',#168407,#168408,#91882,.T.); #210705=EDGE_CURVE('',#168409,#168408,#91883,.T.); #210706=EDGE_CURVE('',#168406,#168409,#91884,.T.); #210707=EDGE_CURVE('',#168410,#168406,#91885,.T.); #210708=EDGE_CURVE('',#168411,#168409,#91886,.T.); #210709=EDGE_CURVE('',#168410,#168411,#91887,.T.); #210710=EDGE_CURVE('',#168412,#168410,#91888,.T.); #210711=EDGE_CURVE('',#168413,#168411,#91889,.T.); #210712=EDGE_CURVE('',#168412,#168413,#91890,.T.); #210713=EDGE_CURVE('',#168407,#168412,#91891,.T.); #210714=EDGE_CURVE('',#168408,#168413,#91892,.T.); #210715=EDGE_CURVE('',#168414,#168415,#91893,.T.); #210716=EDGE_CURVE('',#168415,#168416,#91894,.T.); #210717=EDGE_CURVE('',#168417,#168416,#91895,.T.); #210718=EDGE_CURVE('',#168414,#168417,#91896,.T.); #210719=EDGE_CURVE('',#168418,#168414,#91897,.T.); #210720=EDGE_CURVE('',#168419,#168417,#91898,.T.); #210721=EDGE_CURVE('',#168418,#168419,#91899,.T.); #210722=EDGE_CURVE('',#168420,#168418,#91900,.T.); #210723=EDGE_CURVE('',#168421,#168419,#91901,.T.); #210724=EDGE_CURVE('',#168420,#168421,#91902,.T.); #210725=EDGE_CURVE('',#168415,#168420,#91903,.T.); #210726=EDGE_CURVE('',#168416,#168421,#91904,.T.); #210727=EDGE_CURVE('',#168422,#168423,#91905,.T.); #210728=EDGE_CURVE('',#168423,#168424,#91906,.T.); #210729=EDGE_CURVE('',#168425,#168424,#91907,.T.); #210730=EDGE_CURVE('',#168422,#168425,#91908,.T.); #210731=EDGE_CURVE('',#168426,#168422,#91909,.T.); #210732=EDGE_CURVE('',#168427,#168425,#91910,.T.); #210733=EDGE_CURVE('',#168426,#168427,#91911,.T.); #210734=EDGE_CURVE('',#168428,#168426,#91912,.T.); #210735=EDGE_CURVE('',#168429,#168427,#91913,.T.); #210736=EDGE_CURVE('',#168428,#168429,#91914,.T.); #210737=EDGE_CURVE('',#168423,#168428,#91915,.T.); #210738=EDGE_CURVE('',#168424,#168429,#91916,.T.); #210739=EDGE_CURVE('',#168430,#168431,#91917,.T.); #210740=EDGE_CURVE('',#168431,#168432,#91918,.T.); #210741=EDGE_CURVE('',#168433,#168432,#91919,.T.); #210742=EDGE_CURVE('',#168430,#168433,#91920,.T.); #210743=EDGE_CURVE('',#168434,#168430,#91921,.T.); #210744=EDGE_CURVE('',#168435,#168433,#91922,.T.); #210745=EDGE_CURVE('',#168434,#168435,#91923,.T.); #210746=EDGE_CURVE('',#168436,#168434,#91924,.T.); #210747=EDGE_CURVE('',#168437,#168435,#91925,.T.); #210748=EDGE_CURVE('',#168436,#168437,#91926,.T.); #210749=EDGE_CURVE('',#168431,#168436,#91927,.T.); #210750=EDGE_CURVE('',#168432,#168437,#91928,.T.); #210751=EDGE_CURVE('',#168438,#168439,#91929,.T.); #210752=EDGE_CURVE('',#168439,#168440,#91930,.T.); #210753=EDGE_CURVE('',#168441,#168440,#91931,.T.); #210754=EDGE_CURVE('',#168438,#168441,#91932,.T.); #210755=EDGE_CURVE('',#168442,#168438,#91933,.T.); #210756=EDGE_CURVE('',#168443,#168441,#91934,.T.); #210757=EDGE_CURVE('',#168442,#168443,#91935,.T.); #210758=EDGE_CURVE('',#168444,#168442,#91936,.T.); #210759=EDGE_CURVE('',#168445,#168443,#91937,.T.); #210760=EDGE_CURVE('',#168444,#168445,#91938,.T.); #210761=EDGE_CURVE('',#168439,#168444,#91939,.T.); #210762=EDGE_CURVE('',#168440,#168445,#91940,.T.); #210763=EDGE_CURVE('',#168446,#168447,#91941,.T.); #210764=EDGE_CURVE('',#168447,#168448,#91942,.T.); #210765=EDGE_CURVE('',#168449,#168448,#91943,.T.); #210766=EDGE_CURVE('',#168446,#168449,#91944,.T.); #210767=EDGE_CURVE('',#168450,#168446,#91945,.T.); #210768=EDGE_CURVE('',#168451,#168449,#91946,.T.); #210769=EDGE_CURVE('',#168450,#168451,#91947,.T.); #210770=EDGE_CURVE('',#168452,#168450,#91948,.T.); #210771=EDGE_CURVE('',#168453,#168451,#91949,.T.); #210772=EDGE_CURVE('',#168452,#168453,#91950,.T.); #210773=EDGE_CURVE('',#168447,#168452,#91951,.T.); #210774=EDGE_CURVE('',#168448,#168453,#91952,.T.); #210775=EDGE_CURVE('',#168454,#168454,#141636,.T.); #210776=EDGE_CURVE('',#168454,#168455,#91953,.T.); #210777=EDGE_CURVE('',#168455,#168455,#141637,.T.); #210778=EDGE_CURVE('',#168456,#168456,#141638,.T.); #210779=EDGE_CURVE('',#168456,#168457,#91954,.T.); #210780=EDGE_CURVE('',#168457,#168457,#141639,.T.); #210781=EDGE_CURVE('',#168458,#168458,#141640,.T.); #210782=EDGE_CURVE('',#168458,#168459,#91955,.T.); #210783=EDGE_CURVE('',#168459,#168459,#141641,.T.); #210784=EDGE_CURVE('',#168460,#168460,#141642,.T.); #210785=EDGE_CURVE('',#168460,#168461,#91956,.T.); #210786=EDGE_CURVE('',#168461,#168461,#141643,.T.); #210787=EDGE_CURVE('',#168462,#168462,#141644,.T.); #210788=EDGE_CURVE('',#168462,#168463,#91957,.T.); #210789=EDGE_CURVE('',#168463,#168463,#141645,.T.); #210790=EDGE_CURVE('',#168464,#168464,#141646,.T.); #210791=EDGE_CURVE('',#168464,#168465,#91958,.T.); #210792=EDGE_CURVE('',#168465,#168465,#141647,.T.); #210793=EDGE_CURVE('',#168466,#168466,#141648,.T.); #210794=EDGE_CURVE('',#168466,#168467,#91959,.T.); #210795=EDGE_CURVE('',#168467,#168467,#141649,.T.); #210796=EDGE_CURVE('',#168468,#168468,#141650,.T.); #210797=EDGE_CURVE('',#168468,#168469,#91960,.T.); #210798=EDGE_CURVE('',#168469,#168469,#141651,.T.); #210799=EDGE_CURVE('',#168470,#168470,#141652,.T.); #210800=EDGE_CURVE('',#168470,#168471,#91961,.T.); #210801=EDGE_CURVE('',#168471,#168471,#141653,.T.); #210802=EDGE_CURVE('',#168472,#168472,#141654,.T.); #210803=EDGE_CURVE('',#168472,#168473,#91962,.T.); #210804=EDGE_CURVE('',#168473,#168473,#141655,.T.); #210805=EDGE_CURVE('',#168474,#168474,#141656,.T.); #210806=EDGE_CURVE('',#168474,#168475,#91963,.T.); #210807=EDGE_CURVE('',#168475,#168475,#141657,.T.); #210808=EDGE_CURVE('',#168476,#168476,#141658,.T.); #210809=EDGE_CURVE('',#168476,#168477,#91964,.T.); #210810=EDGE_CURVE('',#168477,#168477,#141659,.T.); #210811=EDGE_CURVE('',#168478,#168478,#141660,.T.); #210812=EDGE_CURVE('',#168478,#168479,#91965,.T.); #210813=EDGE_CURVE('',#168479,#168479,#141661,.T.); #210814=EDGE_CURVE('',#168480,#168480,#141662,.T.); #210815=EDGE_CURVE('',#168480,#168481,#91966,.T.); #210816=EDGE_CURVE('',#168481,#168481,#141663,.T.); #210817=EDGE_CURVE('',#168482,#168482,#141664,.T.); #210818=EDGE_CURVE('',#168482,#168483,#91967,.T.); #210819=EDGE_CURVE('',#168483,#168483,#141665,.T.); #210820=EDGE_CURVE('',#168484,#168485,#91968,.T.); #210821=EDGE_CURVE('',#168485,#168486,#91969,.T.); #210822=EDGE_CURVE('',#168487,#168486,#91970,.T.); #210823=EDGE_CURVE('',#168484,#168487,#91971,.T.); #210824=EDGE_CURVE('',#168488,#168484,#91972,.T.); #210825=EDGE_CURVE('',#168489,#168487,#91973,.T.); #210826=EDGE_CURVE('',#168488,#168489,#91974,.T.); #210827=EDGE_CURVE('',#168490,#168488,#91975,.T.); #210828=EDGE_CURVE('',#168491,#168489,#91976,.T.); #210829=EDGE_CURVE('',#168490,#168491,#91977,.T.); #210830=EDGE_CURVE('',#168485,#168490,#91978,.T.); #210831=EDGE_CURVE('',#168486,#168491,#91979,.T.); #210832=EDGE_CURVE('',#168492,#168493,#91980,.T.); #210833=EDGE_CURVE('',#168493,#168494,#91981,.T.); #210834=EDGE_CURVE('',#168495,#168494,#91982,.T.); #210835=EDGE_CURVE('',#168492,#168495,#91983,.T.); #210836=EDGE_CURVE('',#168496,#168492,#91984,.T.); #210837=EDGE_CURVE('',#168497,#168495,#91985,.T.); #210838=EDGE_CURVE('',#168496,#168497,#91986,.T.); #210839=EDGE_CURVE('',#168498,#168496,#91987,.T.); #210840=EDGE_CURVE('',#168499,#168497,#91988,.T.); #210841=EDGE_CURVE('',#168498,#168499,#91989,.T.); #210842=EDGE_CURVE('',#168493,#168498,#91990,.T.); #210843=EDGE_CURVE('',#168494,#168499,#91991,.T.); #210844=EDGE_CURVE('',#168500,#168501,#91992,.T.); #210845=EDGE_CURVE('',#168501,#168502,#91993,.T.); #210846=EDGE_CURVE('',#168503,#168502,#91994,.T.); #210847=EDGE_CURVE('',#168500,#168503,#91995,.T.); #210848=EDGE_CURVE('',#168504,#168500,#91996,.T.); #210849=EDGE_CURVE('',#168505,#168503,#91997,.T.); #210850=EDGE_CURVE('',#168504,#168505,#91998,.T.); #210851=EDGE_CURVE('',#168506,#168504,#91999,.T.); #210852=EDGE_CURVE('',#168507,#168505,#92000,.T.); #210853=EDGE_CURVE('',#168506,#168507,#92001,.T.); #210854=EDGE_CURVE('',#168501,#168506,#92002,.T.); #210855=EDGE_CURVE('',#168502,#168507,#92003,.T.); #210856=EDGE_CURVE('',#168508,#168509,#92004,.T.); #210857=EDGE_CURVE('',#168509,#168510,#92005,.T.); #210858=EDGE_CURVE('',#168511,#168510,#92006,.T.); #210859=EDGE_CURVE('',#168508,#168511,#92007,.T.); #210860=EDGE_CURVE('',#168512,#168508,#92008,.T.); #210861=EDGE_CURVE('',#168513,#168511,#92009,.T.); #210862=EDGE_CURVE('',#168512,#168513,#92010,.T.); #210863=EDGE_CURVE('',#168514,#168512,#92011,.T.); #210864=EDGE_CURVE('',#168515,#168513,#92012,.T.); #210865=EDGE_CURVE('',#168514,#168515,#92013,.T.); #210866=EDGE_CURVE('',#168509,#168514,#92014,.T.); #210867=EDGE_CURVE('',#168510,#168515,#92015,.T.); #210868=EDGE_CURVE('',#168516,#168517,#92016,.T.); #210869=EDGE_CURVE('',#168517,#168518,#92017,.T.); #210870=EDGE_CURVE('',#168519,#168518,#92018,.T.); #210871=EDGE_CURVE('',#168516,#168519,#92019,.T.); #210872=EDGE_CURVE('',#168520,#168516,#92020,.T.); #210873=EDGE_CURVE('',#168521,#168519,#92021,.T.); #210874=EDGE_CURVE('',#168520,#168521,#92022,.T.); #210875=EDGE_CURVE('',#168522,#168520,#92023,.T.); #210876=EDGE_CURVE('',#168523,#168521,#92024,.T.); #210877=EDGE_CURVE('',#168522,#168523,#92025,.T.); #210878=EDGE_CURVE('',#168517,#168522,#92026,.T.); #210879=EDGE_CURVE('',#168518,#168523,#92027,.T.); #210880=EDGE_CURVE('',#168524,#168525,#92028,.T.); #210881=EDGE_CURVE('',#168525,#168526,#92029,.T.); #210882=EDGE_CURVE('',#168527,#168526,#92030,.T.); #210883=EDGE_CURVE('',#168524,#168527,#92031,.T.); #210884=EDGE_CURVE('',#168528,#168524,#92032,.T.); #210885=EDGE_CURVE('',#168529,#168527,#92033,.T.); #210886=EDGE_CURVE('',#168528,#168529,#92034,.T.); #210887=EDGE_CURVE('',#168530,#168528,#92035,.T.); #210888=EDGE_CURVE('',#168531,#168529,#92036,.T.); #210889=EDGE_CURVE('',#168530,#168531,#92037,.T.); #210890=EDGE_CURVE('',#168525,#168530,#92038,.T.); #210891=EDGE_CURVE('',#168526,#168531,#92039,.T.); #210892=EDGE_CURVE('',#168532,#168533,#92040,.T.); #210893=EDGE_CURVE('',#168533,#168534,#92041,.T.); #210894=EDGE_CURVE('',#168535,#168534,#92042,.T.); #210895=EDGE_CURVE('',#168532,#168535,#92043,.T.); #210896=EDGE_CURVE('',#168536,#168532,#92044,.T.); #210897=EDGE_CURVE('',#168537,#168535,#92045,.T.); #210898=EDGE_CURVE('',#168536,#168537,#92046,.T.); #210899=EDGE_CURVE('',#168538,#168536,#92047,.T.); #210900=EDGE_CURVE('',#168539,#168537,#92048,.T.); #210901=EDGE_CURVE('',#168538,#168539,#92049,.T.); #210902=EDGE_CURVE('',#168533,#168538,#92050,.T.); #210903=EDGE_CURVE('',#168534,#168539,#92051,.T.); #210904=EDGE_CURVE('',#168540,#168540,#141666,.T.); #210905=EDGE_CURVE('',#168540,#168541,#92052,.T.); #210906=EDGE_CURVE('',#168541,#168541,#141667,.T.); #210907=EDGE_CURVE('',#168542,#168543,#92053,.T.); #210908=EDGE_CURVE('',#168543,#168544,#92054,.T.); #210909=EDGE_CURVE('',#168545,#168544,#92055,.T.); #210910=EDGE_CURVE('',#168542,#168545,#92056,.T.); #210911=EDGE_CURVE('',#168546,#168542,#92057,.T.); #210912=EDGE_CURVE('',#168547,#168545,#92058,.T.); #210913=EDGE_CURVE('',#168546,#168547,#92059,.T.); #210914=EDGE_CURVE('',#168548,#168546,#92060,.T.); #210915=EDGE_CURVE('',#168549,#168547,#92061,.T.); #210916=EDGE_CURVE('',#168548,#168549,#92062,.T.); #210917=EDGE_CURVE('',#168543,#168548,#92063,.T.); #210918=EDGE_CURVE('',#168544,#168549,#92064,.T.); #210919=EDGE_CURVE('',#168550,#168550,#141668,.T.); #210920=EDGE_CURVE('',#168550,#168551,#92065,.T.); #210921=EDGE_CURVE('',#168551,#168551,#141669,.T.); #210922=EDGE_CURVE('',#168552,#168552,#141670,.T.); #210923=EDGE_CURVE('',#168552,#168553,#92066,.T.); #210924=EDGE_CURVE('',#168553,#168553,#141671,.T.); #210925=EDGE_CURVE('',#168554,#168555,#92067,.T.); #210926=EDGE_CURVE('',#168555,#168556,#92068,.T.); #210927=EDGE_CURVE('',#168557,#168556,#92069,.T.); #210928=EDGE_CURVE('',#168554,#168557,#92070,.T.); #210929=EDGE_CURVE('',#168558,#168554,#92071,.T.); #210930=EDGE_CURVE('',#168559,#168557,#92072,.T.); #210931=EDGE_CURVE('',#168558,#168559,#92073,.T.); #210932=EDGE_CURVE('',#168560,#168558,#92074,.T.); #210933=EDGE_CURVE('',#168561,#168559,#92075,.T.); #210934=EDGE_CURVE('',#168560,#168561,#92076,.T.); #210935=EDGE_CURVE('',#168555,#168560,#92077,.T.); #210936=EDGE_CURVE('',#168556,#168561,#92078,.T.); #210937=EDGE_CURVE('',#168562,#168562,#141672,.T.); #210938=EDGE_CURVE('',#168562,#168563,#92079,.T.); #210939=EDGE_CURVE('',#168563,#168563,#141673,.T.); #210940=EDGE_CURVE('',#168564,#168564,#141674,.T.); #210941=EDGE_CURVE('',#168564,#168565,#92080,.T.); #210942=EDGE_CURVE('',#168565,#168565,#141675,.T.); #210943=EDGE_CURVE('',#168566,#168567,#92081,.T.); #210944=EDGE_CURVE('',#168567,#168568,#92082,.T.); #210945=EDGE_CURVE('',#168569,#168568,#92083,.T.); #210946=EDGE_CURVE('',#168566,#168569,#92084,.T.); #210947=EDGE_CURVE('',#168570,#168566,#92085,.T.); #210948=EDGE_CURVE('',#168571,#168569,#92086,.T.); #210949=EDGE_CURVE('',#168570,#168571,#92087,.T.); #210950=EDGE_CURVE('',#168572,#168570,#92088,.T.); #210951=EDGE_CURVE('',#168573,#168571,#92089,.T.); #210952=EDGE_CURVE('',#168572,#168573,#92090,.T.); #210953=EDGE_CURVE('',#168567,#168572,#92091,.T.); #210954=EDGE_CURVE('',#168568,#168573,#92092,.T.); #210955=EDGE_CURVE('',#168574,#168574,#141676,.T.); #210956=EDGE_CURVE('',#168574,#168575,#92093,.T.); #210957=EDGE_CURVE('',#168575,#168575,#141677,.T.); #210958=EDGE_CURVE('',#168576,#168577,#92094,.T.); #210959=EDGE_CURVE('',#168577,#168578,#92095,.T.); #210960=EDGE_CURVE('',#168579,#168578,#92096,.T.); #210961=EDGE_CURVE('',#168576,#168579,#92097,.T.); #210962=EDGE_CURVE('',#168580,#168576,#92098,.T.); #210963=EDGE_CURVE('',#168581,#168579,#92099,.T.); #210964=EDGE_CURVE('',#168580,#168581,#92100,.T.); #210965=EDGE_CURVE('',#168582,#168580,#92101,.T.); #210966=EDGE_CURVE('',#168583,#168581,#92102,.T.); #210967=EDGE_CURVE('',#168582,#168583,#92103,.T.); #210968=EDGE_CURVE('',#168577,#168582,#92104,.T.); #210969=EDGE_CURVE('',#168578,#168583,#92105,.T.); #210970=EDGE_CURVE('',#168584,#168585,#92106,.T.); #210971=EDGE_CURVE('',#168585,#168586,#92107,.T.); #210972=EDGE_CURVE('',#168587,#168586,#92108,.T.); #210973=EDGE_CURVE('',#168584,#168587,#92109,.T.); #210974=EDGE_CURVE('',#168588,#168584,#92110,.T.); #210975=EDGE_CURVE('',#168589,#168587,#92111,.T.); #210976=EDGE_CURVE('',#168588,#168589,#92112,.T.); #210977=EDGE_CURVE('',#168590,#168588,#92113,.T.); #210978=EDGE_CURVE('',#168591,#168589,#92114,.T.); #210979=EDGE_CURVE('',#168590,#168591,#92115,.T.); #210980=EDGE_CURVE('',#168585,#168590,#92116,.T.); #210981=EDGE_CURVE('',#168586,#168591,#92117,.T.); #210982=EDGE_CURVE('',#168592,#168592,#141678,.T.); #210983=EDGE_CURVE('',#168592,#168593,#92118,.T.); #210984=EDGE_CURVE('',#168593,#168593,#141679,.T.); #210985=EDGE_CURVE('',#168594,#168594,#141680,.T.); #210986=EDGE_CURVE('',#168594,#168595,#92119,.T.); #210987=EDGE_CURVE('',#168595,#168595,#141681,.T.); #210988=EDGE_CURVE('',#168596,#168596,#141682,.T.); #210989=EDGE_CURVE('',#168596,#168597,#92120,.T.); #210990=EDGE_CURVE('',#168597,#168597,#141683,.T.); #210991=EDGE_CURVE('',#168598,#168598,#141684,.T.); #210992=EDGE_CURVE('',#168598,#168599,#92121,.T.); #210993=EDGE_CURVE('',#168599,#168599,#141685,.T.); #210994=EDGE_CURVE('',#168600,#168600,#141686,.T.); #210995=EDGE_CURVE('',#168600,#168601,#92122,.T.); #210996=EDGE_CURVE('',#168601,#168601,#141687,.T.); #210997=EDGE_CURVE('',#168602,#168602,#141688,.T.); #210998=EDGE_CURVE('',#168602,#168603,#92123,.T.); #210999=EDGE_CURVE('',#168603,#168603,#141689,.T.); #211000=EDGE_CURVE('',#168604,#168604,#141690,.T.); #211001=EDGE_CURVE('',#168604,#168605,#92124,.T.); #211002=EDGE_CURVE('',#168605,#168605,#141691,.T.); #211003=EDGE_CURVE('',#168606,#168606,#141692,.T.); #211004=EDGE_CURVE('',#168606,#168607,#92125,.T.); #211005=EDGE_CURVE('',#168607,#168607,#141693,.T.); #211006=EDGE_CURVE('',#168608,#168608,#141694,.T.); #211007=EDGE_CURVE('',#168608,#168609,#92126,.T.); #211008=EDGE_CURVE('',#168609,#168609,#141695,.T.); #211009=EDGE_CURVE('',#168610,#168610,#141696,.T.); #211010=EDGE_CURVE('',#168610,#168611,#92127,.T.); #211011=EDGE_CURVE('',#168611,#168611,#141697,.T.); #211012=EDGE_CURVE('',#168612,#168612,#141698,.T.); #211013=EDGE_CURVE('',#168612,#168613,#92128,.T.); #211014=EDGE_CURVE('',#168613,#168613,#141699,.T.); #211015=EDGE_CURVE('',#168614,#168614,#141700,.T.); #211016=EDGE_CURVE('',#168614,#168615,#92129,.T.); #211017=EDGE_CURVE('',#168615,#168615,#141701,.T.); #211018=EDGE_CURVE('',#168616,#168616,#141702,.T.); #211019=EDGE_CURVE('',#168616,#168617,#92130,.T.); #211020=EDGE_CURVE('',#168617,#168617,#141703,.T.); #211021=EDGE_CURVE('',#168618,#168618,#141704,.T.); #211022=EDGE_CURVE('',#168618,#168619,#92131,.T.); #211023=EDGE_CURVE('',#168619,#168619,#141705,.T.); #211024=EDGE_CURVE('',#168620,#168620,#141706,.T.); #211025=EDGE_CURVE('',#168620,#168621,#92132,.T.); #211026=EDGE_CURVE('',#168621,#168621,#141707,.T.); #211027=EDGE_CURVE('',#168622,#168622,#141708,.T.); #211028=EDGE_CURVE('',#168622,#168623,#92133,.T.); #211029=EDGE_CURVE('',#168623,#168623,#141709,.T.); #211030=EDGE_CURVE('',#168624,#168624,#141710,.T.); #211031=EDGE_CURVE('',#168624,#168625,#92134,.T.); #211032=EDGE_CURVE('',#168625,#168625,#141711,.T.); #211033=EDGE_CURVE('',#168626,#168626,#141712,.T.); #211034=EDGE_CURVE('',#168626,#168627,#92135,.T.); #211035=EDGE_CURVE('',#168627,#168627,#141713,.T.); #211036=EDGE_CURVE('',#168628,#168628,#141714,.T.); #211037=EDGE_CURVE('',#168628,#168629,#92136,.T.); #211038=EDGE_CURVE('',#168629,#168629,#141715,.T.); #211039=EDGE_CURVE('',#168630,#168630,#141716,.T.); #211040=EDGE_CURVE('',#168630,#168631,#92137,.T.); #211041=EDGE_CURVE('',#168631,#168631,#141717,.T.); #211042=EDGE_CURVE('',#168632,#168632,#141718,.T.); #211043=EDGE_CURVE('',#168632,#168633,#92138,.T.); #211044=EDGE_CURVE('',#168633,#168633,#141719,.T.); #211045=EDGE_CURVE('',#168634,#168634,#141720,.T.); #211046=EDGE_CURVE('',#168634,#168635,#92139,.T.); #211047=EDGE_CURVE('',#168635,#168635,#141721,.T.); #211048=EDGE_CURVE('',#168636,#168636,#141722,.T.); #211049=EDGE_CURVE('',#168636,#168637,#92140,.T.); #211050=EDGE_CURVE('',#168637,#168637,#141723,.T.); #211051=EDGE_CURVE('',#168638,#168638,#141724,.T.); #211052=EDGE_CURVE('',#168638,#168639,#92141,.T.); #211053=EDGE_CURVE('',#168639,#168639,#141725,.T.); #211054=EDGE_CURVE('',#168640,#168640,#141726,.T.); #211055=EDGE_CURVE('',#168640,#168641,#92142,.T.); #211056=EDGE_CURVE('',#168641,#168641,#141727,.T.); #211057=EDGE_CURVE('',#168642,#168642,#141728,.T.); #211058=EDGE_CURVE('',#168642,#168643,#92143,.T.); #211059=EDGE_CURVE('',#168643,#168643,#141729,.T.); #211060=EDGE_CURVE('',#168644,#168644,#141730,.T.); #211061=EDGE_CURVE('',#168644,#168645,#92144,.T.); #211062=EDGE_CURVE('',#168645,#168645,#141731,.T.); #211063=EDGE_CURVE('',#168646,#168646,#141732,.T.); #211064=EDGE_CURVE('',#168646,#168647,#92145,.T.); #211065=EDGE_CURVE('',#168647,#168647,#141733,.T.); #211066=EDGE_CURVE('',#168648,#168648,#141734,.T.); #211067=EDGE_CURVE('',#168648,#168649,#92146,.T.); #211068=EDGE_CURVE('',#168649,#168649,#141735,.T.); #211069=EDGE_CURVE('',#168650,#168650,#141736,.T.); #211070=EDGE_CURVE('',#168650,#168651,#92147,.T.); #211071=EDGE_CURVE('',#168651,#168651,#141737,.T.); #211072=EDGE_CURVE('',#168652,#168652,#141738,.T.); #211073=EDGE_CURVE('',#168652,#168653,#92148,.T.); #211074=EDGE_CURVE('',#168653,#168653,#141739,.T.); #211075=EDGE_CURVE('',#168654,#168654,#141740,.T.); #211076=EDGE_CURVE('',#168654,#168655,#92149,.T.); #211077=EDGE_CURVE('',#168655,#168655,#141741,.T.); #211078=EDGE_CURVE('',#168656,#168656,#141742,.T.); #211079=EDGE_CURVE('',#168656,#168657,#92150,.T.); #211080=EDGE_CURVE('',#168657,#168657,#141743,.T.); #211081=EDGE_CURVE('',#168658,#168658,#141744,.T.); #211082=EDGE_CURVE('',#168658,#168659,#92151,.T.); #211083=EDGE_CURVE('',#168659,#168659,#141745,.T.); #211084=EDGE_CURVE('',#168660,#168660,#141746,.T.); #211085=EDGE_CURVE('',#168660,#168661,#92152,.T.); #211086=EDGE_CURVE('',#168661,#168661,#141747,.T.); #211087=EDGE_CURVE('',#168662,#168662,#141748,.T.); #211088=EDGE_CURVE('',#168662,#168663,#92153,.T.); #211089=EDGE_CURVE('',#168663,#168663,#141749,.T.); #211090=EDGE_CURVE('',#168664,#168664,#141750,.T.); #211091=EDGE_CURVE('',#168664,#168665,#92154,.T.); #211092=EDGE_CURVE('',#168665,#168665,#141751,.T.); #211093=EDGE_CURVE('',#168666,#168666,#141752,.T.); #211094=EDGE_CURVE('',#168666,#168667,#92155,.T.); #211095=EDGE_CURVE('',#168667,#168667,#141753,.T.); #211096=EDGE_CURVE('',#168668,#168668,#141754,.T.); #211097=EDGE_CURVE('',#168668,#168669,#92156,.T.); #211098=EDGE_CURVE('',#168669,#168669,#141755,.T.); #211099=EDGE_CURVE('',#168670,#168671,#92157,.T.); #211100=EDGE_CURVE('',#168672,#168670,#92158,.T.); #211101=EDGE_CURVE('',#168673,#168672,#92159,.T.); #211102=EDGE_CURVE('',#168673,#168671,#92160,.T.); #211103=EDGE_CURVE('',#168671,#168674,#141756,.T.); #211104=EDGE_CURVE('',#168675,#168673,#141757,.T.); #211105=EDGE_CURVE('',#168675,#168674,#92161,.T.); #211106=EDGE_CURVE('',#168674,#168676,#92162,.T.); #211107=EDGE_CURVE('',#168677,#168675,#92163,.T.); #211108=EDGE_CURVE('',#168677,#168676,#92164,.T.); #211109=EDGE_CURVE('',#168676,#168670,#141758,.T.); #211110=EDGE_CURVE('',#168672,#168677,#141759,.T.); #211111=EDGE_CURVE('',#168678,#168678,#141760,.T.); #211112=EDGE_CURVE('',#168678,#168679,#92165,.T.); #211113=EDGE_CURVE('',#168679,#168679,#141761,.T.); #211114=EDGE_CURVE('',#168680,#168681,#92166,.T.); #211115=EDGE_CURVE('',#168682,#168680,#92167,.T.); #211116=EDGE_CURVE('',#168683,#168682,#92168,.T.); #211117=EDGE_CURVE('',#168683,#168681,#92169,.T.); #211118=EDGE_CURVE('',#168681,#168684,#141762,.T.); #211119=EDGE_CURVE('',#168685,#168683,#141763,.T.); #211120=EDGE_CURVE('',#168685,#168684,#92170,.T.); #211121=EDGE_CURVE('',#168684,#168686,#92171,.T.); #211122=EDGE_CURVE('',#168687,#168685,#92172,.T.); #211123=EDGE_CURVE('',#168687,#168686,#92173,.T.); #211124=EDGE_CURVE('',#168686,#168680,#141764,.T.); #211125=EDGE_CURVE('',#168682,#168687,#141765,.T.); #211126=EDGE_CURVE('',#168688,#168688,#141766,.T.); #211127=EDGE_CURVE('',#168688,#168689,#92174,.T.); #211128=EDGE_CURVE('',#168689,#168689,#141767,.T.); #211129=EDGE_CURVE('',#168690,#168690,#141768,.T.); #211130=EDGE_CURVE('',#168690,#168691,#92175,.T.); #211131=EDGE_CURVE('',#168691,#168691,#141769,.T.); #211132=EDGE_CURVE('',#168692,#168692,#141770,.T.); #211133=EDGE_CURVE('',#168692,#168693,#92176,.T.); #211134=EDGE_CURVE('',#168693,#168693,#141771,.T.); #211135=EDGE_CURVE('',#168694,#168694,#141772,.T.); #211136=EDGE_CURVE('',#168694,#168695,#92177,.T.); #211137=EDGE_CURVE('',#168695,#168695,#141773,.T.); #211138=EDGE_CURVE('',#168696,#168696,#141774,.T.); #211139=EDGE_CURVE('',#168696,#168697,#92178,.T.); #211140=EDGE_CURVE('',#168697,#168697,#141775,.T.); #211141=EDGE_CURVE('',#168698,#168698,#141776,.T.); #211142=EDGE_CURVE('',#168698,#168699,#92179,.T.); #211143=EDGE_CURVE('',#168699,#168699,#141777,.T.); #211144=EDGE_CURVE('',#168700,#168700,#141778,.T.); #211145=EDGE_CURVE('',#168700,#168701,#92180,.T.); #211146=EDGE_CURVE('',#168701,#168701,#141779,.T.); #211147=EDGE_CURVE('',#168702,#168702,#141780,.T.); #211148=EDGE_CURVE('',#168702,#168703,#92181,.T.); #211149=EDGE_CURVE('',#168703,#168703,#141781,.T.); #211150=EDGE_CURVE('',#168704,#168704,#141782,.T.); #211151=EDGE_CURVE('',#168704,#168705,#92182,.T.); #211152=EDGE_CURVE('',#168705,#168705,#141783,.T.); #211153=EDGE_CURVE('',#168706,#168706,#141784,.T.); #211154=EDGE_CURVE('',#168706,#168707,#92183,.T.); #211155=EDGE_CURVE('',#168707,#168707,#141785,.T.); #211156=EDGE_CURVE('',#168708,#168708,#141786,.T.); #211157=EDGE_CURVE('',#168708,#168709,#92184,.T.); #211158=EDGE_CURVE('',#168709,#168709,#141787,.T.); #211159=EDGE_CURVE('',#168710,#168711,#92185,.T.); #211160=EDGE_CURVE('',#168712,#168710,#92186,.T.); #211161=EDGE_CURVE('',#168713,#168712,#92187,.T.); #211162=EDGE_CURVE('',#168713,#168711,#92188,.T.); #211163=EDGE_CURVE('',#168711,#168714,#141788,.T.); #211164=EDGE_CURVE('',#168715,#168713,#141789,.T.); #211165=EDGE_CURVE('',#168715,#168714,#92189,.T.); #211166=EDGE_CURVE('',#168714,#168716,#92190,.T.); #211167=EDGE_CURVE('',#168717,#168715,#92191,.T.); #211168=EDGE_CURVE('',#168717,#168716,#92192,.T.); #211169=EDGE_CURVE('',#168716,#168710,#141790,.T.); #211170=EDGE_CURVE('',#168712,#168717,#141791,.T.); #211171=EDGE_CURVE('',#168718,#168718,#141792,.T.); #211172=EDGE_CURVE('',#168718,#168719,#92193,.T.); #211173=EDGE_CURVE('',#168719,#168719,#141793,.T.); #211174=EDGE_CURVE('',#168720,#168721,#92194,.T.); #211175=EDGE_CURVE('',#168722,#168720,#92195,.T.); #211176=EDGE_CURVE('',#168723,#168722,#92196,.T.); #211177=EDGE_CURVE('',#168723,#168721,#92197,.T.); #211178=EDGE_CURVE('',#168721,#168724,#141794,.T.); #211179=EDGE_CURVE('',#168725,#168723,#141795,.T.); #211180=EDGE_CURVE('',#168725,#168724,#92198,.T.); #211181=EDGE_CURVE('',#168724,#168726,#92199,.T.); #211182=EDGE_CURVE('',#168727,#168725,#92200,.T.); #211183=EDGE_CURVE('',#168727,#168726,#92201,.T.); #211184=EDGE_CURVE('',#168726,#168720,#141796,.T.); #211185=EDGE_CURVE('',#168722,#168727,#141797,.T.); #211186=EDGE_CURVE('',#168728,#168728,#141798,.T.); #211187=EDGE_CURVE('',#168728,#168729,#92202,.T.); #211188=EDGE_CURVE('',#168729,#168729,#141799,.T.); #211189=EDGE_CURVE('',#168730,#168731,#92203,.T.); #211190=EDGE_CURVE('',#168732,#168730,#92204,.T.); #211191=EDGE_CURVE('',#168733,#168732,#92205,.T.); #211192=EDGE_CURVE('',#168733,#168731,#92206,.T.); #211193=EDGE_CURVE('',#168731,#168734,#141800,.T.); #211194=EDGE_CURVE('',#168735,#168733,#141801,.T.); #211195=EDGE_CURVE('',#168735,#168734,#92207,.T.); #211196=EDGE_CURVE('',#168734,#168736,#92208,.T.); #211197=EDGE_CURVE('',#168737,#168735,#92209,.T.); #211198=EDGE_CURVE('',#168737,#168736,#92210,.T.); #211199=EDGE_CURVE('',#168736,#168730,#141802,.T.); #211200=EDGE_CURVE('',#168732,#168737,#141803,.T.); #211201=EDGE_CURVE('',#168738,#168738,#141804,.T.); #211202=EDGE_CURVE('',#168738,#168739,#92211,.T.); #211203=EDGE_CURVE('',#168739,#168739,#141805,.T.); #211204=EDGE_CURVE('',#168740,#168741,#92212,.T.); #211205=EDGE_CURVE('',#168742,#168740,#92213,.T.); #211206=EDGE_CURVE('',#168743,#168742,#92214,.T.); #211207=EDGE_CURVE('',#168743,#168741,#92215,.T.); #211208=EDGE_CURVE('',#168741,#168744,#141806,.T.); #211209=EDGE_CURVE('',#168745,#168743,#141807,.T.); #211210=EDGE_CURVE('',#168745,#168744,#92216,.T.); #211211=EDGE_CURVE('',#168744,#168746,#92217,.T.); #211212=EDGE_CURVE('',#168747,#168745,#92218,.T.); #211213=EDGE_CURVE('',#168747,#168746,#92219,.T.); #211214=EDGE_CURVE('',#168746,#168740,#141808,.T.); #211215=EDGE_CURVE('',#168742,#168747,#141809,.T.); #211216=EDGE_CURVE('',#168748,#168748,#141810,.T.); #211217=EDGE_CURVE('',#168748,#168749,#92220,.T.); #211218=EDGE_CURVE('',#168749,#168749,#141811,.T.); #211219=EDGE_CURVE('',#168750,#168750,#141812,.T.); #211220=EDGE_CURVE('',#168750,#168751,#92221,.T.); #211221=EDGE_CURVE('',#168751,#168751,#141813,.T.); #211222=EDGE_CURVE('',#168752,#168752,#141814,.T.); #211223=EDGE_CURVE('',#168752,#168753,#92222,.T.); #211224=EDGE_CURVE('',#168753,#168753,#141815,.T.); #211225=EDGE_CURVE('',#168754,#168754,#141816,.T.); #211226=EDGE_CURVE('',#168754,#168755,#92223,.T.); #211227=EDGE_CURVE('',#168755,#168755,#141817,.T.); #211228=EDGE_CURVE('',#168756,#168756,#141818,.T.); #211229=EDGE_CURVE('',#168756,#168757,#92224,.T.); #211230=EDGE_CURVE('',#168757,#168757,#141819,.T.); #211231=EDGE_CURVE('',#168758,#168758,#141820,.T.); #211232=EDGE_CURVE('',#168758,#168759,#92225,.T.); #211233=EDGE_CURVE('',#168759,#168759,#141821,.T.); #211234=EDGE_CURVE('',#168760,#168760,#141822,.T.); #211235=EDGE_CURVE('',#168760,#168761,#92226,.T.); #211236=EDGE_CURVE('',#168761,#168761,#141823,.T.); #211237=EDGE_CURVE('',#168762,#168762,#141824,.T.); #211238=EDGE_CURVE('',#168762,#168763,#92227,.T.); #211239=EDGE_CURVE('',#168763,#168763,#141825,.T.); #211240=EDGE_CURVE('',#168764,#168764,#141826,.T.); #211241=EDGE_CURVE('',#168764,#168765,#92228,.T.); #211242=EDGE_CURVE('',#168765,#168765,#141827,.T.); #211243=EDGE_CURVE('',#168766,#168766,#141828,.T.); #211244=EDGE_CURVE('',#168766,#168767,#92229,.T.); #211245=EDGE_CURVE('',#168767,#168767,#141829,.T.); #211246=EDGE_CURVE('',#168768,#168768,#141830,.T.); #211247=EDGE_CURVE('',#168768,#168769,#92230,.T.); #211248=EDGE_CURVE('',#168769,#168769,#141831,.T.); #211249=EDGE_CURVE('',#168770,#168770,#141832,.T.); #211250=EDGE_CURVE('',#168770,#168771,#92231,.T.); #211251=EDGE_CURVE('',#168771,#168771,#141833,.T.); #211252=EDGE_CURVE('',#168772,#168772,#141834,.T.); #211253=EDGE_CURVE('',#168772,#168773,#92232,.T.); #211254=EDGE_CURVE('',#168773,#168773,#141835,.T.); #211255=EDGE_CURVE('',#168774,#168774,#141836,.T.); #211256=EDGE_CURVE('',#168774,#168775,#92233,.T.); #211257=EDGE_CURVE('',#168775,#168775,#141837,.T.); #211258=EDGE_CURVE('',#168776,#168776,#141838,.T.); #211259=EDGE_CURVE('',#168776,#168777,#92234,.T.); #211260=EDGE_CURVE('',#168777,#168777,#141839,.T.); #211261=EDGE_CURVE('',#168778,#168778,#141840,.T.); #211262=EDGE_CURVE('',#168778,#168779,#92235,.T.); #211263=EDGE_CURVE('',#168779,#168779,#141841,.T.); #211264=EDGE_CURVE('',#168780,#168780,#141842,.T.); #211265=EDGE_CURVE('',#168780,#168781,#92236,.T.); #211266=EDGE_CURVE('',#168781,#168781,#141843,.T.); #211267=EDGE_CURVE('',#168782,#168782,#141844,.T.); #211268=EDGE_CURVE('',#168782,#168783,#92237,.T.); #211269=EDGE_CURVE('',#168783,#168783,#141845,.T.); #211270=EDGE_CURVE('',#168784,#168784,#141846,.T.); #211271=EDGE_CURVE('',#168784,#168785,#92238,.T.); #211272=EDGE_CURVE('',#168785,#168785,#141847,.T.); #211273=EDGE_CURVE('',#168786,#168786,#141848,.T.); #211274=EDGE_CURVE('',#168786,#168787,#92239,.T.); #211275=EDGE_CURVE('',#168787,#168787,#141849,.T.); #211276=EDGE_CURVE('',#168788,#168788,#141850,.T.); #211277=EDGE_CURVE('',#168788,#168789,#92240,.T.); #211278=EDGE_CURVE('',#168789,#168789,#141851,.T.); #211279=EDGE_CURVE('',#168790,#168790,#141852,.T.); #211280=EDGE_CURVE('',#168790,#168791,#92241,.T.); #211281=EDGE_CURVE('',#168791,#168791,#141853,.T.); #211282=EDGE_CURVE('',#168792,#168792,#141854,.T.); #211283=EDGE_CURVE('',#168792,#168793,#92242,.T.); #211284=EDGE_CURVE('',#168793,#168793,#141855,.T.); #211285=EDGE_CURVE('',#168794,#168794,#141856,.T.); #211286=EDGE_CURVE('',#168794,#168795,#92243,.T.); #211287=EDGE_CURVE('',#168795,#168795,#141857,.T.); #211288=EDGE_CURVE('',#168796,#168796,#141858,.T.); #211289=EDGE_CURVE('',#168796,#168797,#92244,.T.); #211290=EDGE_CURVE('',#168797,#168797,#141859,.T.); #211291=EDGE_CURVE('',#168798,#168798,#141860,.T.); #211292=EDGE_CURVE('',#168798,#168799,#92245,.T.); #211293=EDGE_CURVE('',#168799,#168799,#141861,.T.); #211294=EDGE_CURVE('',#168800,#168800,#141862,.T.); #211295=EDGE_CURVE('',#168800,#168801,#92246,.T.); #211296=EDGE_CURVE('',#168801,#168801,#141863,.T.); #211297=EDGE_CURVE('',#168802,#168802,#141864,.T.); #211298=EDGE_CURVE('',#168802,#168803,#92247,.T.); #211299=EDGE_CURVE('',#168803,#168803,#141865,.T.); #211300=EDGE_CURVE('',#168804,#168804,#141866,.T.); #211301=EDGE_CURVE('',#168804,#168805,#92248,.T.); #211302=EDGE_CURVE('',#168805,#168805,#141867,.T.); #211303=EDGE_CURVE('',#168806,#168806,#141868,.T.); #211304=EDGE_CURVE('',#168806,#168807,#92249,.T.); #211305=EDGE_CURVE('',#168807,#168807,#141869,.T.); #211306=EDGE_CURVE('',#168808,#168808,#141870,.T.); #211307=EDGE_CURVE('',#168808,#168809,#92250,.T.); #211308=EDGE_CURVE('',#168809,#168809,#141871,.T.); #211309=EDGE_CURVE('',#168810,#168810,#141872,.T.); #211310=EDGE_CURVE('',#168810,#168811,#92251,.T.); #211311=EDGE_CURVE('',#168811,#168811,#141873,.T.); #211312=EDGE_CURVE('',#168812,#168812,#141874,.T.); #211313=EDGE_CURVE('',#168812,#168813,#92252,.T.); #211314=EDGE_CURVE('',#168813,#168813,#141875,.T.); #211315=EDGE_CURVE('',#168814,#168814,#141876,.T.); #211316=EDGE_CURVE('',#168814,#168815,#92253,.T.); #211317=EDGE_CURVE('',#168815,#168815,#141877,.T.); #211318=EDGE_CURVE('',#168816,#168816,#141878,.T.); #211319=EDGE_CURVE('',#168816,#168817,#92254,.T.); #211320=EDGE_CURVE('',#168817,#168817,#141879,.T.); #211321=EDGE_CURVE('',#168818,#168818,#141880,.T.); #211322=EDGE_CURVE('',#168818,#168819,#92255,.T.); #211323=EDGE_CURVE('',#168819,#168819,#141881,.T.); #211324=EDGE_CURVE('',#168820,#168820,#141882,.T.); #211325=EDGE_CURVE('',#168820,#168821,#92256,.T.); #211326=EDGE_CURVE('',#168821,#168821,#141883,.T.); #211327=EDGE_CURVE('',#168822,#168822,#141884,.T.); #211328=EDGE_CURVE('',#168822,#168823,#92257,.T.); #211329=EDGE_CURVE('',#168823,#168823,#141885,.T.); #211330=EDGE_CURVE('',#168824,#168824,#141886,.T.); #211331=EDGE_CURVE('',#168824,#168825,#92258,.T.); #211332=EDGE_CURVE('',#168825,#168825,#141887,.T.); #211333=EDGE_CURVE('',#168826,#168826,#141888,.T.); #211334=EDGE_CURVE('',#168826,#168827,#92259,.T.); #211335=EDGE_CURVE('',#168827,#168827,#141889,.T.); #211336=EDGE_CURVE('',#168828,#168828,#141890,.T.); #211337=EDGE_CURVE('',#168828,#168829,#92260,.T.); #211338=EDGE_CURVE('',#168829,#168829,#141891,.T.); #211339=EDGE_CURVE('',#168830,#168830,#141892,.T.); #211340=EDGE_CURVE('',#168830,#168831,#92261,.T.); #211341=EDGE_CURVE('',#168831,#168831,#141893,.T.); #211342=EDGE_CURVE('',#168832,#168832,#141894,.T.); #211343=EDGE_CURVE('',#168832,#168833,#92262,.T.); #211344=EDGE_CURVE('',#168833,#168833,#141895,.T.); #211345=EDGE_CURVE('',#168834,#168834,#141896,.T.); #211346=EDGE_CURVE('',#168834,#168835,#92263,.T.); #211347=EDGE_CURVE('',#168835,#168835,#141897,.T.); #211348=EDGE_CURVE('',#168836,#168836,#141898,.T.); #211349=EDGE_CURVE('',#168836,#168837,#92264,.T.); #211350=EDGE_CURVE('',#168837,#168837,#141899,.T.); #211351=EDGE_CURVE('',#168838,#168838,#141900,.T.); #211352=EDGE_CURVE('',#168838,#168839,#92265,.T.); #211353=EDGE_CURVE('',#168839,#168839,#141901,.T.); #211354=EDGE_CURVE('',#168840,#168840,#141902,.T.); #211355=EDGE_CURVE('',#168840,#168841,#92266,.T.); #211356=EDGE_CURVE('',#168841,#168841,#141903,.T.); #211357=EDGE_CURVE('',#168842,#168842,#141904,.T.); #211358=EDGE_CURVE('',#168842,#168843,#92267,.T.); #211359=EDGE_CURVE('',#168843,#168843,#141905,.T.); #211360=EDGE_CURVE('',#168844,#168844,#141906,.T.); #211361=EDGE_CURVE('',#168844,#168845,#92268,.T.); #211362=EDGE_CURVE('',#168845,#168845,#141907,.T.); #211363=EDGE_CURVE('',#168846,#168846,#141908,.T.); #211364=EDGE_CURVE('',#168846,#168847,#92269,.T.); #211365=EDGE_CURVE('',#168847,#168847,#141909,.T.); #211366=EDGE_CURVE('',#168848,#168848,#141910,.T.); #211367=EDGE_CURVE('',#168848,#168849,#92270,.T.); #211368=EDGE_CURVE('',#168849,#168849,#141911,.T.); #211369=EDGE_CURVE('',#168850,#168850,#141912,.T.); #211370=EDGE_CURVE('',#168850,#168851,#92271,.T.); #211371=EDGE_CURVE('',#168851,#168851,#141913,.T.); #211372=EDGE_CURVE('',#168852,#168852,#141914,.T.); #211373=EDGE_CURVE('',#168852,#168853,#92272,.T.); #211374=EDGE_CURVE('',#168853,#168853,#141915,.T.); #211375=EDGE_CURVE('',#168854,#168854,#141916,.T.); #211376=EDGE_CURVE('',#168854,#168855,#92273,.T.); #211377=EDGE_CURVE('',#168855,#168855,#141917,.T.); #211378=EDGE_CURVE('',#168856,#168856,#141918,.T.); #211379=EDGE_CURVE('',#168856,#168857,#92274,.T.); #211380=EDGE_CURVE('',#168857,#168857,#141919,.T.); #211381=EDGE_CURVE('',#168858,#168858,#141920,.T.); #211382=EDGE_CURVE('',#168858,#168859,#92275,.T.); #211383=EDGE_CURVE('',#168859,#168859,#141921,.T.); #211384=EDGE_CURVE('',#168860,#168860,#141922,.T.); #211385=EDGE_CURVE('',#168860,#168861,#92276,.T.); #211386=EDGE_CURVE('',#168861,#168861,#141923,.T.); #211387=EDGE_CURVE('',#168862,#168862,#141924,.T.); #211388=EDGE_CURVE('',#168862,#168863,#92277,.T.); #211389=EDGE_CURVE('',#168863,#168863,#141925,.T.); #211390=EDGE_CURVE('',#168864,#168864,#141926,.T.); #211391=EDGE_CURVE('',#168864,#168865,#92278,.T.); #211392=EDGE_CURVE('',#168865,#168865,#141927,.T.); #211393=EDGE_CURVE('',#168866,#168866,#141928,.T.); #211394=EDGE_CURVE('',#168866,#168867,#92279,.T.); #211395=EDGE_CURVE('',#168867,#168867,#141929,.T.); #211396=EDGE_CURVE('',#168868,#168868,#141930,.T.); #211397=EDGE_CURVE('',#168868,#168869,#92280,.T.); #211398=EDGE_CURVE('',#168869,#168869,#141931,.T.); #211399=EDGE_CURVE('',#168870,#168870,#141932,.T.); #211400=EDGE_CURVE('',#168870,#168871,#92281,.T.); #211401=EDGE_CURVE('',#168871,#168871,#141933,.T.); #211402=EDGE_CURVE('',#168872,#168872,#141934,.T.); #211403=EDGE_CURVE('',#168872,#168873,#92282,.T.); #211404=EDGE_CURVE('',#168873,#168873,#141935,.T.); #211405=EDGE_CURVE('',#168874,#168874,#141936,.T.); #211406=EDGE_CURVE('',#168874,#168875,#92283,.T.); #211407=EDGE_CURVE('',#168875,#168875,#141937,.T.); #211408=EDGE_CURVE('',#168876,#168876,#141938,.T.); #211409=EDGE_CURVE('',#168876,#168877,#92284,.T.); #211410=EDGE_CURVE('',#168877,#168877,#141939,.T.); #211411=EDGE_CURVE('',#168878,#168878,#141940,.T.); #211412=EDGE_CURVE('',#168878,#168879,#92285,.T.); #211413=EDGE_CURVE('',#168879,#168879,#141941,.T.); #211414=EDGE_CURVE('',#168880,#168880,#141942,.T.); #211415=EDGE_CURVE('',#168880,#168881,#92286,.T.); #211416=EDGE_CURVE('',#168881,#168881,#141943,.T.); #211417=EDGE_CURVE('',#168882,#168882,#141944,.T.); #211418=EDGE_CURVE('',#168882,#168883,#92287,.T.); #211419=EDGE_CURVE('',#168883,#168883,#141945,.T.); #211420=EDGE_CURVE('',#168884,#168884,#141946,.T.); #211421=EDGE_CURVE('',#168884,#168885,#92288,.T.); #211422=EDGE_CURVE('',#168885,#168885,#141947,.T.); #211423=EDGE_CURVE('',#168886,#168886,#141948,.T.); #211424=EDGE_CURVE('',#168886,#168887,#92289,.T.); #211425=EDGE_CURVE('',#168887,#168887,#141949,.T.); #211426=EDGE_CURVE('',#168888,#168888,#141950,.T.); #211427=EDGE_CURVE('',#168888,#168889,#92290,.T.); #211428=EDGE_CURVE('',#168889,#168889,#141951,.T.); #211429=EDGE_CURVE('',#168890,#168890,#141952,.T.); #211430=EDGE_CURVE('',#168890,#168891,#92291,.T.); #211431=EDGE_CURVE('',#168891,#168891,#141953,.T.); #211432=EDGE_CURVE('',#168892,#168892,#141954,.T.); #211433=EDGE_CURVE('',#168892,#168893,#92292,.T.); #211434=EDGE_CURVE('',#168893,#168893,#141955,.T.); #211435=EDGE_CURVE('',#168894,#168894,#141956,.T.); #211436=EDGE_CURVE('',#168894,#168895,#92293,.T.); #211437=EDGE_CURVE('',#168895,#168895,#141957,.T.); #211438=EDGE_CURVE('',#168896,#168896,#141958,.T.); #211439=EDGE_CURVE('',#168896,#168897,#92294,.T.); #211440=EDGE_CURVE('',#168897,#168897,#141959,.T.); #211441=EDGE_CURVE('',#168898,#168898,#141960,.T.); #211442=EDGE_CURVE('',#168898,#168899,#92295,.T.); #211443=EDGE_CURVE('',#168899,#168899,#141961,.T.); #211444=EDGE_CURVE('',#168900,#168900,#141962,.T.); #211445=EDGE_CURVE('',#168900,#168901,#92296,.T.); #211446=EDGE_CURVE('',#168901,#168901,#141963,.T.); #211447=EDGE_CURVE('',#168902,#168902,#141964,.T.); #211448=EDGE_CURVE('',#168902,#168903,#92297,.T.); #211449=EDGE_CURVE('',#168903,#168903,#141965,.T.); #211450=EDGE_CURVE('',#168904,#168904,#141966,.T.); #211451=EDGE_CURVE('',#168904,#168905,#92298,.T.); #211452=EDGE_CURVE('',#168905,#168905,#141967,.T.); #211453=EDGE_CURVE('',#168906,#168906,#141968,.T.); #211454=EDGE_CURVE('',#168906,#168907,#92299,.T.); #211455=EDGE_CURVE('',#168907,#168907,#141969,.T.); #211456=EDGE_CURVE('',#168908,#168908,#141970,.T.); #211457=EDGE_CURVE('',#168908,#168909,#92300,.T.); #211458=EDGE_CURVE('',#168909,#168909,#141971,.T.); #211459=EDGE_CURVE('',#168910,#168910,#141972,.T.); #211460=EDGE_CURVE('',#168910,#168911,#92301,.T.); #211461=EDGE_CURVE('',#168911,#168911,#141973,.T.); #211462=EDGE_CURVE('',#168912,#168912,#141974,.T.); #211463=EDGE_CURVE('',#168912,#168913,#92302,.T.); #211464=EDGE_CURVE('',#168913,#168913,#141975,.T.); #211465=EDGE_CURVE('',#168914,#168914,#141976,.T.); #211466=EDGE_CURVE('',#168914,#168915,#92303,.T.); #211467=EDGE_CURVE('',#168915,#168915,#141977,.T.); #211468=EDGE_CURVE('',#168916,#168916,#141978,.T.); #211469=EDGE_CURVE('',#168916,#168917,#92304,.T.); #211470=EDGE_CURVE('',#168917,#168917,#141979,.T.); #211471=EDGE_CURVE('',#168918,#168918,#141980,.T.); #211472=EDGE_CURVE('',#168918,#168919,#92305,.T.); #211473=EDGE_CURVE('',#168919,#168919,#141981,.T.); #211474=EDGE_CURVE('',#168920,#168920,#141982,.T.); #211475=EDGE_CURVE('',#168920,#168921,#92306,.T.); #211476=EDGE_CURVE('',#168921,#168921,#141983,.T.); #211477=EDGE_CURVE('',#168922,#168922,#141984,.T.); #211478=EDGE_CURVE('',#168922,#168923,#92307,.T.); #211479=EDGE_CURVE('',#168923,#168923,#141985,.T.); #211480=EDGE_CURVE('',#168924,#168924,#141986,.T.); #211481=EDGE_CURVE('',#168924,#168925,#92308,.T.); #211482=EDGE_CURVE('',#168925,#168925,#141987,.T.); #211483=EDGE_CURVE('',#168926,#168926,#141988,.T.); #211484=EDGE_CURVE('',#168926,#168927,#92309,.T.); #211485=EDGE_CURVE('',#168927,#168927,#141989,.T.); #211486=EDGE_CURVE('',#168928,#168928,#141990,.T.); #211487=EDGE_CURVE('',#168928,#168929,#92310,.T.); #211488=EDGE_CURVE('',#168929,#168929,#141991,.T.); #211489=EDGE_CURVE('',#168930,#168930,#141992,.T.); #211490=EDGE_CURVE('',#168930,#168931,#92311,.T.); #211491=EDGE_CURVE('',#168931,#168931,#141993,.T.); #211492=EDGE_CURVE('',#168932,#168932,#141994,.T.); #211493=EDGE_CURVE('',#168932,#168933,#92312,.T.); #211494=EDGE_CURVE('',#168933,#168933,#141995,.T.); #211495=EDGE_CURVE('',#168934,#168934,#141996,.T.); #211496=EDGE_CURVE('',#168934,#168935,#92313,.T.); #211497=EDGE_CURVE('',#168935,#168935,#141997,.T.); #211498=EDGE_CURVE('',#168936,#168936,#141998,.T.); #211499=EDGE_CURVE('',#168936,#168937,#92314,.T.); #211500=EDGE_CURVE('',#168937,#168937,#141999,.T.); #211501=EDGE_CURVE('',#168938,#168938,#142000,.T.); #211502=EDGE_CURVE('',#168938,#168939,#92315,.T.); #211503=EDGE_CURVE('',#168939,#168939,#142001,.T.); #211504=EDGE_CURVE('',#168940,#168940,#142002,.T.); #211505=EDGE_CURVE('',#168940,#168941,#92316,.T.); #211506=EDGE_CURVE('',#168941,#168941,#142003,.T.); #211507=EDGE_CURVE('',#168942,#168942,#142004,.T.); #211508=EDGE_CURVE('',#168942,#168943,#92317,.T.); #211509=EDGE_CURVE('',#168943,#168943,#142005,.T.); #211510=EDGE_CURVE('',#168944,#168944,#142006,.T.); #211511=EDGE_CURVE('',#168944,#168945,#92318,.T.); #211512=EDGE_CURVE('',#168945,#168945,#142007,.T.); #211513=EDGE_CURVE('',#168946,#168946,#142008,.T.); #211514=EDGE_CURVE('',#168946,#168947,#92319,.T.); #211515=EDGE_CURVE('',#168947,#168947,#142009,.T.); #211516=EDGE_CURVE('',#168948,#168948,#142010,.T.); #211517=EDGE_CURVE('',#168948,#168949,#92320,.T.); #211518=EDGE_CURVE('',#168949,#168949,#142011,.T.); #211519=EDGE_CURVE('',#168950,#168950,#142012,.T.); #211520=EDGE_CURVE('',#168950,#168951,#92321,.T.); #211521=EDGE_CURVE('',#168951,#168951,#142013,.T.); #211522=EDGE_CURVE('',#168952,#168952,#142014,.T.); #211523=EDGE_CURVE('',#168952,#168953,#92322,.T.); #211524=EDGE_CURVE('',#168953,#168953,#142015,.T.); #211525=EDGE_CURVE('',#168954,#168954,#142016,.T.); #211526=EDGE_CURVE('',#168954,#168955,#92323,.T.); #211527=EDGE_CURVE('',#168955,#168955,#142017,.T.); #211528=EDGE_CURVE('',#168956,#168956,#142018,.T.); #211529=EDGE_CURVE('',#168956,#168957,#92324,.T.); #211530=EDGE_CURVE('',#168957,#168957,#142019,.T.); #211531=EDGE_CURVE('',#168958,#168958,#142020,.T.); #211532=EDGE_CURVE('',#168958,#168959,#92325,.T.); #211533=EDGE_CURVE('',#168959,#168959,#142021,.T.); #211534=EDGE_CURVE('',#168960,#168960,#142022,.T.); #211535=EDGE_CURVE('',#168960,#168961,#92326,.T.); #211536=EDGE_CURVE('',#168961,#168961,#142023,.T.); #211537=EDGE_CURVE('',#168962,#168962,#142024,.T.); #211538=EDGE_CURVE('',#168962,#168963,#92327,.T.); #211539=EDGE_CURVE('',#168963,#168963,#142025,.T.); #211540=EDGE_CURVE('',#168964,#168964,#142026,.T.); #211541=EDGE_CURVE('',#168964,#168965,#92328,.T.); #211542=EDGE_CURVE('',#168965,#168965,#142027,.T.); #211543=EDGE_CURVE('',#168966,#168966,#142028,.T.); #211544=EDGE_CURVE('',#168966,#168967,#92329,.T.); #211545=EDGE_CURVE('',#168967,#168967,#142029,.T.); #211546=EDGE_CURVE('',#168968,#168968,#142030,.T.); #211547=EDGE_CURVE('',#168968,#168969,#92330,.T.); #211548=EDGE_CURVE('',#168969,#168969,#142031,.T.); #211549=EDGE_CURVE('',#168970,#168970,#142032,.T.); #211550=EDGE_CURVE('',#168970,#168971,#92331,.T.); #211551=EDGE_CURVE('',#168971,#168971,#142033,.T.); #211552=EDGE_CURVE('',#168972,#168972,#142034,.T.); #211553=EDGE_CURVE('',#168972,#168973,#92332,.T.); #211554=EDGE_CURVE('',#168973,#168973,#142035,.T.); #211555=EDGE_CURVE('',#168974,#168974,#142036,.T.); #211556=EDGE_CURVE('',#168974,#168975,#92333,.T.); #211557=EDGE_CURVE('',#168975,#168975,#142037,.T.); #211558=EDGE_CURVE('',#168976,#168976,#142038,.T.); #211559=EDGE_CURVE('',#168976,#168977,#92334,.T.); #211560=EDGE_CURVE('',#168977,#168977,#142039,.T.); #211561=EDGE_CURVE('',#168978,#168978,#142040,.T.); #211562=EDGE_CURVE('',#168978,#168979,#92335,.T.); #211563=EDGE_CURVE('',#168979,#168979,#142041,.T.); #211564=EDGE_CURVE('',#168980,#168980,#142042,.T.); #211565=EDGE_CURVE('',#168980,#168981,#92336,.T.); #211566=EDGE_CURVE('',#168981,#168981,#142043,.T.); #211567=EDGE_CURVE('',#168982,#168982,#142044,.T.); #211568=EDGE_CURVE('',#168982,#168983,#92337,.T.); #211569=EDGE_CURVE('',#168983,#168983,#142045,.T.); #211570=EDGE_CURVE('',#168984,#168984,#142046,.T.); #211571=EDGE_CURVE('',#168984,#168985,#92338,.T.); #211572=EDGE_CURVE('',#168985,#168985,#142047,.T.); #211573=EDGE_CURVE('',#168986,#168986,#142048,.T.); #211574=EDGE_CURVE('',#168986,#168987,#92339,.T.); #211575=EDGE_CURVE('',#168987,#168987,#142049,.T.); #211576=EDGE_CURVE('',#168988,#168988,#142050,.T.); #211577=EDGE_CURVE('',#168988,#168989,#92340,.T.); #211578=EDGE_CURVE('',#168989,#168989,#142051,.T.); #211579=EDGE_CURVE('',#168990,#168990,#142052,.T.); #211580=EDGE_CURVE('',#168990,#168991,#92341,.T.); #211581=EDGE_CURVE('',#168991,#168991,#142053,.T.); #211582=EDGE_CURVE('',#168992,#168992,#142054,.T.); #211583=EDGE_CURVE('',#168992,#168993,#92342,.T.); #211584=EDGE_CURVE('',#168993,#168993,#142055,.T.); #211585=EDGE_CURVE('',#168994,#168994,#142056,.T.); #211586=EDGE_CURVE('',#168994,#168995,#92343,.T.); #211587=EDGE_CURVE('',#168995,#168995,#142057,.T.); #211588=EDGE_CURVE('',#168996,#168996,#142058,.T.); #211589=EDGE_CURVE('',#168996,#168997,#92344,.T.); #211590=EDGE_CURVE('',#168997,#168997,#142059,.T.); #211591=EDGE_CURVE('',#168998,#168998,#142060,.T.); #211592=EDGE_CURVE('',#168998,#168999,#92345,.T.); #211593=EDGE_CURVE('',#168999,#168999,#142061,.T.); #211594=EDGE_CURVE('',#169000,#169000,#142062,.T.); #211595=EDGE_CURVE('',#169000,#169001,#92346,.T.); #211596=EDGE_CURVE('',#169001,#169001,#142063,.T.); #211597=EDGE_CURVE('',#169002,#169002,#142064,.T.); #211598=EDGE_CURVE('',#169002,#169003,#92347,.T.); #211599=EDGE_CURVE('',#169003,#169003,#142065,.T.); #211600=EDGE_CURVE('',#169004,#169004,#142066,.T.); #211601=EDGE_CURVE('',#169004,#169005,#92348,.T.); #211602=EDGE_CURVE('',#169005,#169005,#142067,.T.); #211603=EDGE_CURVE('',#169006,#169006,#142068,.T.); #211604=EDGE_CURVE('',#169006,#169007,#92349,.T.); #211605=EDGE_CURVE('',#169007,#169007,#142069,.T.); #211606=EDGE_CURVE('',#169008,#169008,#142070,.T.); #211607=EDGE_CURVE('',#169008,#169009,#92350,.T.); #211608=EDGE_CURVE('',#169009,#169009,#142071,.T.); #211609=EDGE_CURVE('',#169010,#169010,#142072,.T.); #211610=EDGE_CURVE('',#169010,#169011,#92351,.T.); #211611=EDGE_CURVE('',#169011,#169011,#142073,.T.); #211612=EDGE_CURVE('',#169012,#169012,#142074,.T.); #211613=EDGE_CURVE('',#169012,#169013,#92352,.T.); #211614=EDGE_CURVE('',#169013,#169013,#142075,.T.); #211615=EDGE_CURVE('',#169014,#169014,#142076,.T.); #211616=EDGE_CURVE('',#169014,#169015,#92353,.T.); #211617=EDGE_CURVE('',#169015,#169015,#142077,.T.); #211618=EDGE_CURVE('',#169016,#169016,#142078,.T.); #211619=EDGE_CURVE('',#169016,#169017,#92354,.T.); #211620=EDGE_CURVE('',#169017,#169017,#142079,.T.); #211621=EDGE_CURVE('',#169018,#169018,#142080,.T.); #211622=EDGE_CURVE('',#169018,#169019,#92355,.T.); #211623=EDGE_CURVE('',#169019,#169019,#142081,.T.); #211624=EDGE_CURVE('',#169020,#169020,#142082,.T.); #211625=EDGE_CURVE('',#169020,#169021,#92356,.T.); #211626=EDGE_CURVE('',#169021,#169021,#142083,.T.); #211627=EDGE_CURVE('',#169022,#169022,#142084,.T.); #211628=EDGE_CURVE('',#169022,#169023,#92357,.T.); #211629=EDGE_CURVE('',#169023,#169023,#142085,.T.); #211630=EDGE_CURVE('',#169024,#169024,#142086,.T.); #211631=EDGE_CURVE('',#169024,#169025,#92358,.T.); #211632=EDGE_CURVE('',#169025,#169025,#142087,.T.); #211633=EDGE_CURVE('',#169026,#169026,#142088,.T.); #211634=EDGE_CURVE('',#169026,#169027,#92359,.T.); #211635=EDGE_CURVE('',#169027,#169027,#142089,.T.); #211636=EDGE_CURVE('',#169028,#169028,#142090,.T.); #211637=EDGE_CURVE('',#169028,#169029,#92360,.T.); #211638=EDGE_CURVE('',#169029,#169029,#142091,.T.); #211639=EDGE_CURVE('',#169030,#169030,#142092,.T.); #211640=EDGE_CURVE('',#169030,#169031,#92361,.T.); #211641=EDGE_CURVE('',#169031,#169031,#142093,.T.); #211642=EDGE_CURVE('',#169032,#169032,#142094,.T.); #211643=EDGE_CURVE('',#169032,#169033,#92362,.T.); #211644=EDGE_CURVE('',#169033,#169033,#142095,.T.); #211645=EDGE_CURVE('',#169034,#169034,#142096,.T.); #211646=EDGE_CURVE('',#169034,#169035,#92363,.T.); #211647=EDGE_CURVE('',#169035,#169035,#142097,.T.); #211648=EDGE_CURVE('',#169036,#169036,#142098,.T.); #211649=EDGE_CURVE('',#169036,#169037,#92364,.T.); #211650=EDGE_CURVE('',#169037,#169037,#142099,.T.); #211651=EDGE_CURVE('',#169038,#169038,#142100,.T.); #211652=EDGE_CURVE('',#169038,#169039,#92365,.T.); #211653=EDGE_CURVE('',#169039,#169039,#142101,.T.); #211654=EDGE_CURVE('',#169040,#169040,#142102,.T.); #211655=EDGE_CURVE('',#169040,#169041,#92366,.T.); #211656=EDGE_CURVE('',#169041,#169041,#142103,.T.); #211657=EDGE_CURVE('',#169042,#169042,#142104,.T.); #211658=EDGE_CURVE('',#169042,#169043,#92367,.T.); #211659=EDGE_CURVE('',#169043,#169043,#142105,.T.); #211660=EDGE_CURVE('',#169044,#169044,#142106,.T.); #211661=EDGE_CURVE('',#169044,#169045,#92368,.T.); #211662=EDGE_CURVE('',#169045,#169045,#142107,.T.); #211663=EDGE_CURVE('',#169046,#169046,#142108,.T.); #211664=EDGE_CURVE('',#169046,#169047,#92369,.T.); #211665=EDGE_CURVE('',#169047,#169047,#142109,.T.); #211666=EDGE_CURVE('',#169048,#169048,#142110,.T.); #211667=EDGE_CURVE('',#169048,#169049,#92370,.T.); #211668=EDGE_CURVE('',#169049,#169049,#142111,.T.); #211669=EDGE_CURVE('',#169050,#169050,#142112,.T.); #211670=EDGE_CURVE('',#169050,#169051,#92371,.T.); #211671=EDGE_CURVE('',#169051,#169051,#142113,.T.); #211672=EDGE_CURVE('',#169052,#169052,#142114,.T.); #211673=EDGE_CURVE('',#169052,#169053,#92372,.T.); #211674=EDGE_CURVE('',#169053,#169053,#142115,.T.); #211675=EDGE_CURVE('',#169054,#169054,#142116,.T.); #211676=EDGE_CURVE('',#169054,#169055,#92373,.T.); #211677=EDGE_CURVE('',#169055,#169055,#142117,.T.); #211678=EDGE_CURVE('',#169056,#169056,#142118,.T.); #211679=EDGE_CURVE('',#169056,#169057,#92374,.T.); #211680=EDGE_CURVE('',#169057,#169057,#142119,.T.); #211681=EDGE_CURVE('',#169058,#169058,#142120,.T.); #211682=EDGE_CURVE('',#169058,#169059,#92375,.T.); #211683=EDGE_CURVE('',#169059,#169059,#142121,.T.); #211684=EDGE_CURVE('',#169060,#169060,#142122,.T.); #211685=EDGE_CURVE('',#169060,#169061,#92376,.T.); #211686=EDGE_CURVE('',#169061,#169061,#142123,.T.); #211687=EDGE_CURVE('',#169062,#169062,#142124,.T.); #211688=EDGE_CURVE('',#169062,#169063,#92377,.T.); #211689=EDGE_CURVE('',#169063,#169063,#142125,.T.); #211690=EDGE_CURVE('',#169064,#169064,#142126,.T.); #211691=EDGE_CURVE('',#169064,#169065,#92378,.T.); #211692=EDGE_CURVE('',#169065,#169065,#142127,.T.); #211693=EDGE_CURVE('',#169066,#169066,#142128,.T.); #211694=EDGE_CURVE('',#169066,#169067,#92379,.T.); #211695=EDGE_CURVE('',#169067,#169067,#142129,.T.); #211696=EDGE_CURVE('',#169068,#169068,#142130,.T.); #211697=EDGE_CURVE('',#169068,#169069,#92380,.T.); #211698=EDGE_CURVE('',#169069,#169069,#142131,.T.); #211699=EDGE_CURVE('',#169070,#169070,#142132,.T.); #211700=EDGE_CURVE('',#169070,#169071,#92381,.T.); #211701=EDGE_CURVE('',#169071,#169071,#142133,.T.); #211702=EDGE_CURVE('',#169072,#169072,#142134,.T.); #211703=EDGE_CURVE('',#169072,#169073,#92382,.T.); #211704=EDGE_CURVE('',#169073,#169073,#142135,.T.); #211705=EDGE_CURVE('',#169074,#169074,#142136,.T.); #211706=EDGE_CURVE('',#169074,#169075,#92383,.T.); #211707=EDGE_CURVE('',#169075,#169075,#142137,.T.); #211708=EDGE_CURVE('',#169076,#169076,#142138,.T.); #211709=EDGE_CURVE('',#169076,#169077,#92384,.T.); #211710=EDGE_CURVE('',#169077,#169077,#142139,.T.); #211711=EDGE_CURVE('',#169078,#169078,#142140,.T.); #211712=EDGE_CURVE('',#169078,#169079,#92385,.T.); #211713=EDGE_CURVE('',#169079,#169079,#142141,.T.); #211714=EDGE_CURVE('',#169080,#169080,#142142,.T.); #211715=EDGE_CURVE('',#169080,#169081,#92386,.T.); #211716=EDGE_CURVE('',#169081,#169081,#142143,.T.); #211717=EDGE_CURVE('',#169082,#169082,#142144,.T.); #211718=EDGE_CURVE('',#169082,#169083,#92387,.T.); #211719=EDGE_CURVE('',#169083,#169083,#142145,.T.); #211720=EDGE_CURVE('',#169084,#169084,#142146,.T.); #211721=EDGE_CURVE('',#169084,#169085,#92388,.T.); #211722=EDGE_CURVE('',#169085,#169085,#142147,.T.); #211723=EDGE_CURVE('',#169086,#169086,#142148,.T.); #211724=EDGE_CURVE('',#169086,#169087,#92389,.T.); #211725=EDGE_CURVE('',#169087,#169087,#142149,.T.); #211726=EDGE_CURVE('',#169088,#169088,#142150,.T.); #211727=EDGE_CURVE('',#169088,#169089,#92390,.T.); #211728=EDGE_CURVE('',#169089,#169089,#142151,.T.); #211729=EDGE_CURVE('',#169090,#169090,#142152,.T.); #211730=EDGE_CURVE('',#169090,#169091,#92391,.T.); #211731=EDGE_CURVE('',#169091,#169091,#142153,.T.); #211732=EDGE_CURVE('',#169092,#169092,#142154,.T.); #211733=EDGE_CURVE('',#169092,#169093,#92392,.T.); #211734=EDGE_CURVE('',#169093,#169093,#142155,.T.); #211735=EDGE_CURVE('',#169094,#169094,#142156,.T.); #211736=EDGE_CURVE('',#169094,#169095,#92393,.T.); #211737=EDGE_CURVE('',#169095,#169095,#142157,.T.); #211738=EDGE_CURVE('',#169096,#169096,#142158,.T.); #211739=EDGE_CURVE('',#169096,#169097,#92394,.T.); #211740=EDGE_CURVE('',#169097,#169097,#142159,.T.); #211741=EDGE_CURVE('',#169098,#169098,#142160,.T.); #211742=EDGE_CURVE('',#169098,#169099,#92395,.T.); #211743=EDGE_CURVE('',#169099,#169099,#142161,.T.); #211744=EDGE_CURVE('',#169100,#169100,#142162,.T.); #211745=EDGE_CURVE('',#169100,#169101,#92396,.T.); #211746=EDGE_CURVE('',#169101,#169101,#142163,.T.); #211747=EDGE_CURVE('',#169102,#169102,#142164,.T.); #211748=EDGE_CURVE('',#169102,#169103,#92397,.T.); #211749=EDGE_CURVE('',#169103,#169103,#142165,.T.); #211750=EDGE_CURVE('',#169104,#169104,#142166,.T.); #211751=EDGE_CURVE('',#169104,#169105,#92398,.T.); #211752=EDGE_CURVE('',#169105,#169105,#142167,.T.); #211753=EDGE_CURVE('',#169106,#169106,#142168,.T.); #211754=EDGE_CURVE('',#169106,#169107,#92399,.T.); #211755=EDGE_CURVE('',#169107,#169107,#142169,.T.); #211756=EDGE_CURVE('',#169108,#169108,#142170,.T.); #211757=EDGE_CURVE('',#169108,#169109,#92400,.T.); #211758=EDGE_CURVE('',#169109,#169109,#142171,.T.); #211759=EDGE_CURVE('',#169110,#169110,#142172,.T.); #211760=EDGE_CURVE('',#169110,#169111,#92401,.T.); #211761=EDGE_CURVE('',#169111,#169111,#142173,.T.); #211762=EDGE_CURVE('',#169112,#169112,#142174,.T.); #211763=EDGE_CURVE('',#169112,#169113,#92402,.T.); #211764=EDGE_CURVE('',#169113,#169113,#142175,.T.); #211765=EDGE_CURVE('',#169114,#169114,#142176,.T.); #211766=EDGE_CURVE('',#169114,#169115,#92403,.T.); #211767=EDGE_CURVE('',#169115,#169115,#142177,.T.); #211768=EDGE_CURVE('',#169116,#169116,#142178,.T.); #211769=EDGE_CURVE('',#169116,#169117,#92404,.T.); #211770=EDGE_CURVE('',#169117,#169117,#142179,.T.); #211771=EDGE_CURVE('',#169118,#169118,#142180,.T.); #211772=EDGE_CURVE('',#169118,#169119,#92405,.T.); #211773=EDGE_CURVE('',#169119,#169119,#142181,.T.); #211774=EDGE_CURVE('',#169120,#169120,#142182,.T.); #211775=EDGE_CURVE('',#169120,#169121,#92406,.T.); #211776=EDGE_CURVE('',#169121,#169121,#142183,.T.); #211777=EDGE_CURVE('',#169122,#169122,#142184,.T.); #211778=EDGE_CURVE('',#169122,#169123,#92407,.T.); #211779=EDGE_CURVE('',#169123,#169123,#142185,.T.); #211780=EDGE_CURVE('',#169124,#169124,#142186,.T.); #211781=EDGE_CURVE('',#169124,#169125,#92408,.T.); #211782=EDGE_CURVE('',#169125,#169125,#142187,.T.); #211783=EDGE_CURVE('',#169126,#169126,#142188,.T.); #211784=EDGE_CURVE('',#169126,#169127,#92409,.T.); #211785=EDGE_CURVE('',#169127,#169127,#142189,.T.); #211786=EDGE_CURVE('',#169128,#169128,#142190,.T.); #211787=EDGE_CURVE('',#169128,#169129,#92410,.T.); #211788=EDGE_CURVE('',#169129,#169129,#142191,.T.); #211789=EDGE_CURVE('',#169130,#169130,#142192,.T.); #211790=EDGE_CURVE('',#169130,#169131,#92411,.T.); #211791=EDGE_CURVE('',#169131,#169131,#142193,.T.); #211792=EDGE_CURVE('',#169132,#169132,#142194,.T.); #211793=EDGE_CURVE('',#169132,#169133,#92412,.T.); #211794=EDGE_CURVE('',#169133,#169133,#142195,.T.); #211795=EDGE_CURVE('',#169134,#169134,#142196,.T.); #211796=EDGE_CURVE('',#169134,#169135,#92413,.T.); #211797=EDGE_CURVE('',#169135,#169135,#142197,.T.); #211798=EDGE_CURVE('',#169136,#169136,#142198,.T.); #211799=EDGE_CURVE('',#169136,#169137,#92414,.T.); #211800=EDGE_CURVE('',#169137,#169137,#142199,.T.); #211801=EDGE_CURVE('',#169138,#169138,#142200,.T.); #211802=EDGE_CURVE('',#169138,#169139,#92415,.T.); #211803=EDGE_CURVE('',#169139,#169139,#142201,.T.); #211804=EDGE_CURVE('',#169140,#169140,#142202,.T.); #211805=EDGE_CURVE('',#169140,#169141,#92416,.T.); #211806=EDGE_CURVE('',#169141,#169141,#142203,.T.); #211807=EDGE_CURVE('',#169142,#169142,#142204,.T.); #211808=EDGE_CURVE('',#169142,#169143,#92417,.T.); #211809=EDGE_CURVE('',#169143,#169143,#142205,.T.); #211810=EDGE_CURVE('',#169144,#169144,#142206,.T.); #211811=EDGE_CURVE('',#169144,#169145,#92418,.T.); #211812=EDGE_CURVE('',#169145,#169145,#142207,.T.); #211813=EDGE_CURVE('',#169146,#169146,#142208,.T.); #211814=EDGE_CURVE('',#169146,#169147,#92419,.T.); #211815=EDGE_CURVE('',#169147,#169147,#142209,.T.); #211816=EDGE_CURVE('',#169148,#169148,#142210,.T.); #211817=EDGE_CURVE('',#169148,#169149,#92420,.T.); #211818=EDGE_CURVE('',#169149,#169149,#142211,.T.); #211819=EDGE_CURVE('',#169150,#169150,#142212,.T.); #211820=EDGE_CURVE('',#169150,#169151,#92421,.T.); #211821=EDGE_CURVE('',#169151,#169151,#142213,.T.); #211822=EDGE_CURVE('',#169152,#169152,#142214,.T.); #211823=EDGE_CURVE('',#169152,#169153,#92422,.T.); #211824=EDGE_CURVE('',#169153,#169153,#142215,.T.); #211825=EDGE_CURVE('',#169154,#169154,#142216,.T.); #211826=EDGE_CURVE('',#169154,#169155,#92423,.T.); #211827=EDGE_CURVE('',#169155,#169155,#142217,.T.); #211828=EDGE_CURVE('',#169156,#169156,#142218,.T.); #211829=EDGE_CURVE('',#169156,#169157,#92424,.T.); #211830=EDGE_CURVE('',#169157,#169157,#142219,.T.); #211831=EDGE_CURVE('',#169158,#169158,#142220,.T.); #211832=EDGE_CURVE('',#169158,#169159,#92425,.T.); #211833=EDGE_CURVE('',#169159,#169159,#142221,.T.); #211834=EDGE_CURVE('',#169160,#169160,#142222,.T.); #211835=EDGE_CURVE('',#169160,#169161,#92426,.T.); #211836=EDGE_CURVE('',#169161,#169161,#142223,.T.); #211837=EDGE_CURVE('',#169162,#169162,#142224,.T.); #211838=EDGE_CURVE('',#169162,#169163,#92427,.T.); #211839=EDGE_CURVE('',#169163,#169163,#142225,.T.); #211840=EDGE_CURVE('',#169164,#169164,#142226,.T.); #211841=EDGE_CURVE('',#169164,#169165,#92428,.T.); #211842=EDGE_CURVE('',#169165,#169165,#142227,.T.); #211843=EDGE_CURVE('',#169166,#169166,#142228,.T.); #211844=EDGE_CURVE('',#169166,#169167,#92429,.T.); #211845=EDGE_CURVE('',#169167,#169167,#142229,.T.); #211846=EDGE_CURVE('',#169168,#169168,#142230,.T.); #211847=EDGE_CURVE('',#169168,#169169,#92430,.T.); #211848=EDGE_CURVE('',#169169,#169169,#142231,.T.); #211849=EDGE_CURVE('',#169170,#169170,#142232,.T.); #211850=EDGE_CURVE('',#169170,#169171,#92431,.T.); #211851=EDGE_CURVE('',#169171,#169171,#142233,.T.); #211852=EDGE_CURVE('',#169172,#169172,#142234,.T.); #211853=EDGE_CURVE('',#169172,#169173,#92432,.T.); #211854=EDGE_CURVE('',#169173,#169173,#142235,.T.); #211855=EDGE_CURVE('',#169174,#169174,#142236,.T.); #211856=EDGE_CURVE('',#169174,#169175,#92433,.T.); #211857=EDGE_CURVE('',#169175,#169175,#142237,.T.); #211858=EDGE_CURVE('',#169176,#169176,#142238,.T.); #211859=EDGE_CURVE('',#169176,#169177,#92434,.T.); #211860=EDGE_CURVE('',#169177,#169177,#142239,.T.); #211861=EDGE_CURVE('',#169178,#169178,#142240,.T.); #211862=EDGE_CURVE('',#169178,#169179,#92435,.T.); #211863=EDGE_CURVE('',#169179,#169179,#142241,.T.); #211864=EDGE_CURVE('',#169180,#169180,#142242,.T.); #211865=EDGE_CURVE('',#169180,#169181,#92436,.T.); #211866=EDGE_CURVE('',#169181,#169181,#142243,.T.); #211867=EDGE_CURVE('',#169182,#169182,#142244,.T.); #211868=EDGE_CURVE('',#169182,#169183,#92437,.T.); #211869=EDGE_CURVE('',#169183,#169183,#142245,.T.); #211870=EDGE_CURVE('',#169184,#169184,#142246,.T.); #211871=EDGE_CURVE('',#169184,#169185,#92438,.T.); #211872=EDGE_CURVE('',#169185,#169185,#142247,.T.); #211873=EDGE_CURVE('',#169186,#169186,#142248,.T.); #211874=EDGE_CURVE('',#169186,#169187,#92439,.T.); #211875=EDGE_CURVE('',#169187,#169187,#142249,.T.); #211876=EDGE_CURVE('',#169188,#169188,#142250,.T.); #211877=EDGE_CURVE('',#169188,#169189,#92440,.T.); #211878=EDGE_CURVE('',#169189,#169189,#142251,.T.); #211879=EDGE_CURVE('',#169190,#169190,#142252,.T.); #211880=EDGE_CURVE('',#169190,#169191,#92441,.T.); #211881=EDGE_CURVE('',#169191,#169191,#142253,.T.); #211882=EDGE_CURVE('',#169192,#169192,#142254,.T.); #211883=EDGE_CURVE('',#169192,#169193,#92442,.T.); #211884=EDGE_CURVE('',#169193,#169193,#142255,.T.); #211885=EDGE_CURVE('',#169194,#169194,#142256,.T.); #211886=EDGE_CURVE('',#169194,#169195,#92443,.T.); #211887=EDGE_CURVE('',#169195,#169195,#142257,.T.); #211888=EDGE_CURVE('',#169196,#169196,#142258,.T.); #211889=EDGE_CURVE('',#169196,#169197,#92444,.T.); #211890=EDGE_CURVE('',#169197,#169197,#142259,.T.); #211891=EDGE_CURVE('',#169198,#169198,#142260,.T.); #211892=EDGE_CURVE('',#169198,#169199,#92445,.T.); #211893=EDGE_CURVE('',#169199,#169199,#142261,.T.); #211894=EDGE_CURVE('',#169200,#169200,#142262,.T.); #211895=EDGE_CURVE('',#169200,#169201,#92446,.T.); #211896=EDGE_CURVE('',#169201,#169201,#142263,.T.); #211897=EDGE_CURVE('',#169202,#169202,#142264,.T.); #211898=EDGE_CURVE('',#169202,#169203,#92447,.T.); #211899=EDGE_CURVE('',#169203,#169203,#142265,.T.); #211900=EDGE_CURVE('',#169204,#169204,#142266,.T.); #211901=EDGE_CURVE('',#169204,#169205,#92448,.T.); #211902=EDGE_CURVE('',#169205,#169205,#142267,.T.); #211903=EDGE_CURVE('',#169206,#169206,#142268,.T.); #211904=EDGE_CURVE('',#169206,#169207,#92449,.T.); #211905=EDGE_CURVE('',#169207,#169207,#142269,.T.); #211906=EDGE_CURVE('',#169208,#169208,#142270,.T.); #211907=EDGE_CURVE('',#169208,#169209,#92450,.T.); #211908=EDGE_CURVE('',#169209,#169209,#142271,.T.); #211909=EDGE_CURVE('',#169210,#169210,#142272,.T.); #211910=EDGE_CURVE('',#169210,#169211,#92451,.T.); #211911=EDGE_CURVE('',#169211,#169211,#142273,.T.); #211912=EDGE_CURVE('',#169212,#169212,#142274,.T.); #211913=EDGE_CURVE('',#169212,#169213,#92452,.T.); #211914=EDGE_CURVE('',#169213,#169213,#142275,.T.); #211915=EDGE_CURVE('',#169214,#169214,#142276,.T.); #211916=EDGE_CURVE('',#169214,#169215,#92453,.T.); #211917=EDGE_CURVE('',#169215,#169215,#142277,.T.); #211918=EDGE_CURVE('',#169216,#169216,#142278,.T.); #211919=EDGE_CURVE('',#169216,#169217,#92454,.T.); #211920=EDGE_CURVE('',#169217,#169217,#142279,.T.); #211921=EDGE_CURVE('',#169218,#169218,#142280,.T.); #211922=EDGE_CURVE('',#169218,#169219,#92455,.T.); #211923=EDGE_CURVE('',#169219,#169219,#142281,.T.); #211924=EDGE_CURVE('',#169220,#169220,#142282,.T.); #211925=EDGE_CURVE('',#169220,#169221,#92456,.T.); #211926=EDGE_CURVE('',#169221,#169221,#142283,.T.); #211927=EDGE_CURVE('',#169222,#169222,#142284,.T.); #211928=EDGE_CURVE('',#169222,#169223,#92457,.T.); #211929=EDGE_CURVE('',#169223,#169223,#142285,.T.); #211930=EDGE_CURVE('',#169224,#169224,#142286,.T.); #211931=EDGE_CURVE('',#169224,#169225,#92458,.T.); #211932=EDGE_CURVE('',#169225,#169225,#142287,.T.); #211933=EDGE_CURVE('',#169226,#169226,#142288,.T.); #211934=EDGE_CURVE('',#169226,#169227,#92459,.T.); #211935=EDGE_CURVE('',#169227,#169227,#142289,.T.); #211936=EDGE_CURVE('',#169228,#169228,#142290,.T.); #211937=EDGE_CURVE('',#169228,#169229,#92460,.T.); #211938=EDGE_CURVE('',#169229,#169229,#142291,.T.); #211939=EDGE_CURVE('',#169230,#169230,#142292,.T.); #211940=EDGE_CURVE('',#169230,#169231,#92461,.T.); #211941=EDGE_CURVE('',#169231,#169231,#142293,.T.); #211942=EDGE_CURVE('',#169232,#169232,#142294,.T.); #211943=EDGE_CURVE('',#169232,#169233,#92462,.T.); #211944=EDGE_CURVE('',#169233,#169233,#142295,.T.); #211945=EDGE_CURVE('',#169234,#169234,#142296,.T.); #211946=EDGE_CURVE('',#169234,#169235,#92463,.T.); #211947=EDGE_CURVE('',#169235,#169235,#142297,.T.); #211948=EDGE_CURVE('',#169236,#169236,#142298,.T.); #211949=EDGE_CURVE('',#169236,#169237,#92464,.T.); #211950=EDGE_CURVE('',#169237,#169237,#142299,.T.); #211951=EDGE_CURVE('',#169238,#169238,#142300,.T.); #211952=EDGE_CURVE('',#169238,#169239,#92465,.T.); #211953=EDGE_CURVE('',#169239,#169239,#142301,.T.); #211954=EDGE_CURVE('',#169240,#169240,#142302,.T.); #211955=EDGE_CURVE('',#169240,#169241,#92466,.T.); #211956=EDGE_CURVE('',#169241,#169241,#142303,.T.); #211957=EDGE_CURVE('',#169242,#169242,#142304,.T.); #211958=EDGE_CURVE('',#169242,#169243,#92467,.T.); #211959=EDGE_CURVE('',#169243,#169243,#142305,.T.); #211960=EDGE_CURVE('',#169244,#169244,#142306,.T.); #211961=EDGE_CURVE('',#169244,#169245,#92468,.T.); #211962=EDGE_CURVE('',#169245,#169245,#142307,.T.); #211963=EDGE_CURVE('',#169246,#169246,#142308,.T.); #211964=EDGE_CURVE('',#169246,#169247,#92469,.T.); #211965=EDGE_CURVE('',#169247,#169247,#142309,.T.); #211966=EDGE_CURVE('',#169248,#169248,#142310,.T.); #211967=EDGE_CURVE('',#169248,#169249,#92470,.T.); #211968=EDGE_CURVE('',#169249,#169249,#142311,.T.); #211969=EDGE_CURVE('',#169250,#169250,#142312,.T.); #211970=EDGE_CURVE('',#169250,#169251,#92471,.T.); #211971=EDGE_CURVE('',#169251,#169251,#142313,.T.); #211972=EDGE_CURVE('',#169252,#169252,#142314,.T.); #211973=EDGE_CURVE('',#169252,#169253,#92472,.T.); #211974=EDGE_CURVE('',#169253,#169253,#142315,.T.); #211975=EDGE_CURVE('',#169254,#169254,#142316,.T.); #211976=EDGE_CURVE('',#169254,#169255,#92473,.T.); #211977=EDGE_CURVE('',#169255,#169255,#142317,.T.); #211978=EDGE_CURVE('',#169256,#169256,#142318,.T.); #211979=EDGE_CURVE('',#169256,#169257,#92474,.T.); #211980=EDGE_CURVE('',#169257,#169257,#142319,.T.); #211981=EDGE_CURVE('',#169258,#169258,#142320,.T.); #211982=EDGE_CURVE('',#169258,#169259,#92475,.T.); #211983=EDGE_CURVE('',#169259,#169259,#142321,.T.); #211984=EDGE_CURVE('',#169260,#169260,#142322,.T.); #211985=EDGE_CURVE('',#169260,#169261,#92476,.T.); #211986=EDGE_CURVE('',#169261,#169261,#142323,.T.); #211987=EDGE_CURVE('',#169262,#169262,#142324,.T.); #211988=EDGE_CURVE('',#169262,#169263,#92477,.T.); #211989=EDGE_CURVE('',#169263,#169263,#142325,.T.); #211990=EDGE_CURVE('',#169264,#169264,#142326,.T.); #211991=EDGE_CURVE('',#169264,#169265,#92478,.T.); #211992=EDGE_CURVE('',#169265,#169265,#142327,.T.); #211993=EDGE_CURVE('',#169266,#169266,#142328,.T.); #211994=EDGE_CURVE('',#169266,#169267,#92479,.T.); #211995=EDGE_CURVE('',#169267,#169267,#142329,.T.); #211996=EDGE_CURVE('',#169268,#169268,#142330,.T.); #211997=EDGE_CURVE('',#169268,#169269,#92480,.T.); #211998=EDGE_CURVE('',#169269,#169269,#142331,.T.); #211999=EDGE_CURVE('',#169270,#169270,#142332,.T.); #212000=EDGE_CURVE('',#169270,#169271,#92481,.T.); #212001=EDGE_CURVE('',#169271,#169271,#142333,.T.); #212002=EDGE_CURVE('',#169272,#169272,#142334,.T.); #212003=EDGE_CURVE('',#169272,#169273,#92482,.T.); #212004=EDGE_CURVE('',#169273,#169273,#142335,.T.); #212005=EDGE_CURVE('',#169274,#169274,#142336,.T.); #212006=EDGE_CURVE('',#169274,#169275,#92483,.T.); #212007=EDGE_CURVE('',#169275,#169275,#142337,.T.); #212008=EDGE_CURVE('',#169276,#169276,#142338,.T.); #212009=EDGE_CURVE('',#169276,#169277,#92484,.T.); #212010=EDGE_CURVE('',#169277,#169277,#142339,.T.); #212011=EDGE_CURVE('',#169278,#169278,#142340,.T.); #212012=EDGE_CURVE('',#169278,#169279,#92485,.T.); #212013=EDGE_CURVE('',#169279,#169279,#142341,.T.); #212014=EDGE_CURVE('',#169280,#169280,#142342,.T.); #212015=EDGE_CURVE('',#169280,#169281,#92486,.T.); #212016=EDGE_CURVE('',#169281,#169281,#142343,.T.); #212017=EDGE_CURVE('',#169282,#169282,#142344,.T.); #212018=EDGE_CURVE('',#169282,#169283,#92487,.T.); #212019=EDGE_CURVE('',#169283,#169283,#142345,.T.); #212020=EDGE_CURVE('',#169284,#169284,#142346,.T.); #212021=EDGE_CURVE('',#169284,#169285,#92488,.T.); #212022=EDGE_CURVE('',#169285,#169285,#142347,.T.); #212023=EDGE_CURVE('',#169286,#169286,#142348,.T.); #212024=EDGE_CURVE('',#169286,#169287,#92489,.T.); #212025=EDGE_CURVE('',#169287,#169287,#142349,.T.); #212026=EDGE_CURVE('',#169288,#169288,#142350,.T.); #212027=EDGE_CURVE('',#169288,#169289,#92490,.T.); #212028=EDGE_CURVE('',#169289,#169289,#142351,.T.); #212029=EDGE_CURVE('',#169290,#169290,#142352,.T.); #212030=EDGE_CURVE('',#169290,#169291,#92491,.T.); #212031=EDGE_CURVE('',#169291,#169291,#142353,.T.); #212032=EDGE_CURVE('',#169292,#169292,#142354,.T.); #212033=EDGE_CURVE('',#169292,#169293,#92492,.T.); #212034=EDGE_CURVE('',#169293,#169293,#142355,.T.); #212035=EDGE_CURVE('',#169294,#169294,#142356,.T.); #212036=EDGE_CURVE('',#169294,#169295,#92493,.T.); #212037=EDGE_CURVE('',#169295,#169295,#142357,.T.); #212038=EDGE_CURVE('',#169296,#169296,#142358,.T.); #212039=EDGE_CURVE('',#169296,#169297,#92494,.T.); #212040=EDGE_CURVE('',#169297,#169297,#142359,.T.); #212041=EDGE_CURVE('',#169298,#169298,#142360,.T.); #212042=EDGE_CURVE('',#169298,#169299,#92495,.T.); #212043=EDGE_CURVE('',#169299,#169299,#142361,.T.); #212044=EDGE_CURVE('',#169300,#169300,#142362,.T.); #212045=EDGE_CURVE('',#169300,#169301,#92496,.T.); #212046=EDGE_CURVE('',#169301,#169301,#142363,.T.); #212047=EDGE_CURVE('',#169302,#169302,#142364,.T.); #212048=EDGE_CURVE('',#169302,#169303,#92497,.T.); #212049=EDGE_CURVE('',#169303,#169303,#142365,.T.); #212050=EDGE_CURVE('',#169304,#169304,#142366,.T.); #212051=EDGE_CURVE('',#169304,#169305,#92498,.T.); #212052=EDGE_CURVE('',#169305,#169305,#142367,.T.); #212053=EDGE_CURVE('',#169306,#169306,#142368,.T.); #212054=EDGE_CURVE('',#169306,#169307,#92499,.T.); #212055=EDGE_CURVE('',#169307,#169307,#142369,.T.); #212056=EDGE_CURVE('',#169308,#169308,#142370,.T.); #212057=EDGE_CURVE('',#169308,#169309,#92500,.T.); #212058=EDGE_CURVE('',#169309,#169309,#142371,.T.); #212059=EDGE_CURVE('',#169310,#169310,#142372,.T.); #212060=EDGE_CURVE('',#169310,#169311,#92501,.T.); #212061=EDGE_CURVE('',#169311,#169311,#142373,.T.); #212062=EDGE_CURVE('',#169312,#169312,#142374,.T.); #212063=EDGE_CURVE('',#169312,#169313,#92502,.T.); #212064=EDGE_CURVE('',#169313,#169313,#142375,.T.); #212065=EDGE_CURVE('',#169314,#169314,#142376,.T.); #212066=EDGE_CURVE('',#169314,#169315,#92503,.T.); #212067=EDGE_CURVE('',#169315,#169315,#142377,.T.); #212068=EDGE_CURVE('',#169316,#169316,#142378,.T.); #212069=EDGE_CURVE('',#169316,#169317,#92504,.T.); #212070=EDGE_CURVE('',#169317,#169317,#142379,.T.); #212071=EDGE_CURVE('',#169318,#169318,#142380,.T.); #212072=EDGE_CURVE('',#169318,#169319,#92505,.T.); #212073=EDGE_CURVE('',#169319,#169319,#142381,.T.); #212074=EDGE_CURVE('',#169320,#169320,#142382,.T.); #212075=EDGE_CURVE('',#169320,#169321,#92506,.T.); #212076=EDGE_CURVE('',#169321,#169321,#142383,.T.); #212077=EDGE_CURVE('',#169322,#169322,#142384,.T.); #212078=EDGE_CURVE('',#169322,#169323,#92507,.T.); #212079=EDGE_CURVE('',#169323,#169323,#142385,.T.); #212080=EDGE_CURVE('',#169324,#169324,#142386,.T.); #212081=EDGE_CURVE('',#169324,#169325,#92508,.T.); #212082=EDGE_CURVE('',#169325,#169325,#142387,.T.); #212083=EDGE_CURVE('',#169326,#169326,#142388,.T.); #212084=EDGE_CURVE('',#169326,#169327,#92509,.T.); #212085=EDGE_CURVE('',#169327,#169327,#142389,.T.); #212086=EDGE_CURVE('',#169328,#169328,#142390,.T.); #212087=EDGE_CURVE('',#169328,#169329,#92510,.T.); #212088=EDGE_CURVE('',#169329,#169329,#142391,.T.); #212089=EDGE_CURVE('',#169330,#169330,#142392,.T.); #212090=EDGE_CURVE('',#169330,#169331,#92511,.T.); #212091=EDGE_CURVE('',#169331,#169331,#142393,.T.); #212092=EDGE_CURVE('',#169332,#169332,#142394,.T.); #212093=EDGE_CURVE('',#169332,#169333,#92512,.T.); #212094=EDGE_CURVE('',#169333,#169333,#142395,.T.); #212095=EDGE_CURVE('',#169334,#169334,#142396,.T.); #212096=EDGE_CURVE('',#169334,#169335,#92513,.T.); #212097=EDGE_CURVE('',#169335,#169335,#142397,.T.); #212098=EDGE_CURVE('',#169336,#169336,#142398,.T.); #212099=EDGE_CURVE('',#169336,#169337,#92514,.T.); #212100=EDGE_CURVE('',#169337,#169337,#142399,.T.); #212101=EDGE_CURVE('',#169338,#169338,#142400,.T.); #212102=EDGE_CURVE('',#169338,#169339,#92515,.T.); #212103=EDGE_CURVE('',#169339,#169339,#142401,.T.); #212104=EDGE_CURVE('',#169340,#169340,#142402,.T.); #212105=EDGE_CURVE('',#169340,#169341,#92516,.T.); #212106=EDGE_CURVE('',#169341,#169341,#142403,.T.); #212107=EDGE_CURVE('',#169342,#169342,#142404,.T.); #212108=EDGE_CURVE('',#169342,#169343,#92517,.T.); #212109=EDGE_CURVE('',#169343,#169343,#142405,.T.); #212110=EDGE_CURVE('',#169344,#169344,#142406,.T.); #212111=EDGE_CURVE('',#169344,#169345,#92518,.T.); #212112=EDGE_CURVE('',#169345,#169345,#142407,.T.); #212113=EDGE_CURVE('',#169346,#169346,#142408,.T.); #212114=EDGE_CURVE('',#169346,#169347,#92519,.T.); #212115=EDGE_CURVE('',#169347,#169347,#142409,.T.); #212116=EDGE_CURVE('',#169348,#169348,#142410,.T.); #212117=EDGE_CURVE('',#169348,#169349,#92520,.T.); #212118=EDGE_CURVE('',#169349,#169349,#142411,.T.); #212119=EDGE_CURVE('',#169350,#169350,#142412,.T.); #212120=EDGE_CURVE('',#169350,#169351,#92521,.T.); #212121=EDGE_CURVE('',#169351,#169351,#142413,.T.); #212122=EDGE_CURVE('',#169352,#169352,#142414,.T.); #212123=EDGE_CURVE('',#169352,#169353,#92522,.T.); #212124=EDGE_CURVE('',#169353,#169353,#142415,.T.); #212125=EDGE_CURVE('',#169354,#169354,#142416,.T.); #212126=EDGE_CURVE('',#169354,#169355,#92523,.T.); #212127=EDGE_CURVE('',#169355,#169355,#142417,.T.); #212128=EDGE_CURVE('',#169356,#169356,#142418,.T.); #212129=EDGE_CURVE('',#169356,#169357,#92524,.T.); #212130=EDGE_CURVE('',#169357,#169357,#142419,.T.); #212131=EDGE_CURVE('',#169358,#169358,#142420,.T.); #212132=EDGE_CURVE('',#169358,#169359,#92525,.T.); #212133=EDGE_CURVE('',#169359,#169359,#142421,.T.); #212134=EDGE_CURVE('',#169360,#169360,#142422,.T.); #212135=EDGE_CURVE('',#169360,#169361,#92526,.T.); #212136=EDGE_CURVE('',#169361,#169361,#142423,.T.); #212137=EDGE_CURVE('',#169362,#169362,#142424,.T.); #212138=EDGE_CURVE('',#169362,#169363,#92527,.T.); #212139=EDGE_CURVE('',#169363,#169363,#142425,.T.); #212140=EDGE_CURVE('',#169364,#169364,#142426,.T.); #212141=EDGE_CURVE('',#169364,#169365,#92528,.T.); #212142=EDGE_CURVE('',#169365,#169365,#142427,.T.); #212143=EDGE_CURVE('',#169366,#169366,#142428,.T.); #212144=EDGE_CURVE('',#169366,#169367,#92529,.T.); #212145=EDGE_CURVE('',#169367,#169367,#142429,.T.); #212146=EDGE_CURVE('',#169368,#169368,#142430,.T.); #212147=EDGE_CURVE('',#169368,#169369,#92530,.T.); #212148=EDGE_CURVE('',#169369,#169369,#142431,.T.); #212149=EDGE_CURVE('',#169370,#169370,#142432,.T.); #212150=EDGE_CURVE('',#169370,#169371,#92531,.T.); #212151=EDGE_CURVE('',#169371,#169371,#142433,.T.); #212152=EDGE_CURVE('',#169372,#169373,#92532,.T.); #212153=EDGE_CURVE('',#169374,#169372,#92533,.T.); #212154=EDGE_CURVE('',#169375,#169374,#92534,.T.); #212155=EDGE_CURVE('',#169375,#169373,#92535,.T.); #212156=EDGE_CURVE('',#169373,#169376,#142434,.T.); #212157=EDGE_CURVE('',#169377,#169375,#142435,.T.); #212158=EDGE_CURVE('',#169377,#169376,#92536,.T.); #212159=EDGE_CURVE('',#169376,#169378,#92537,.T.); #212160=EDGE_CURVE('',#169379,#169377,#92538,.T.); #212161=EDGE_CURVE('',#169379,#169378,#92539,.T.); #212162=EDGE_CURVE('',#169378,#169372,#142436,.T.); #212163=EDGE_CURVE('',#169374,#169379,#142437,.T.); #212164=EDGE_CURVE('',#169380,#169380,#142438,.T.); #212165=EDGE_CURVE('',#169380,#169381,#92540,.T.); #212166=EDGE_CURVE('',#169381,#169381,#142439,.T.); #212167=EDGE_CURVE('',#169382,#169383,#92541,.T.); #212168=EDGE_CURVE('',#169384,#169382,#92542,.T.); #212169=EDGE_CURVE('',#169385,#169384,#92543,.T.); #212170=EDGE_CURVE('',#169385,#169383,#92544,.T.); #212171=EDGE_CURVE('',#169383,#169386,#142440,.T.); #212172=EDGE_CURVE('',#169387,#169385,#142441,.T.); #212173=EDGE_CURVE('',#169387,#169386,#92545,.T.); #212174=EDGE_CURVE('',#169386,#169388,#92546,.T.); #212175=EDGE_CURVE('',#169389,#169387,#92547,.T.); #212176=EDGE_CURVE('',#169389,#169388,#92548,.T.); #212177=EDGE_CURVE('',#169388,#169382,#142442,.T.); #212178=EDGE_CURVE('',#169384,#169389,#142443,.T.); #212179=EDGE_CURVE('',#169390,#169390,#142444,.T.); #212180=EDGE_CURVE('',#169390,#169391,#92549,.T.); #212181=EDGE_CURVE('',#169391,#169391,#142445,.T.); #212182=EDGE_CURVE('',#169392,#169392,#142446,.T.); #212183=EDGE_CURVE('',#169392,#169393,#92550,.T.); #212184=EDGE_CURVE('',#169393,#169393,#142447,.T.); #212185=EDGE_CURVE('',#169394,#169394,#142448,.T.); #212186=EDGE_CURVE('',#169394,#169395,#92551,.T.); #212187=EDGE_CURVE('',#169395,#169395,#142449,.T.); #212188=EDGE_CURVE('',#169396,#169396,#142450,.T.); #212189=EDGE_CURVE('',#169396,#169397,#92552,.T.); #212190=EDGE_CURVE('',#169397,#169397,#142451,.T.); #212191=EDGE_CURVE('',#169398,#169398,#142452,.T.); #212192=EDGE_CURVE('',#169398,#169399,#92553,.T.); #212193=EDGE_CURVE('',#169399,#169399,#142453,.T.); #212194=EDGE_CURVE('',#169400,#169400,#142454,.T.); #212195=EDGE_CURVE('',#169400,#169401,#92554,.T.); #212196=EDGE_CURVE('',#169401,#169401,#142455,.T.); #212197=EDGE_CURVE('',#169402,#169402,#142456,.T.); #212198=EDGE_CURVE('',#169402,#169403,#92555,.T.); #212199=EDGE_CURVE('',#169403,#169403,#142457,.T.); #212200=EDGE_CURVE('',#169404,#169404,#142458,.T.); #212201=EDGE_CURVE('',#169404,#169405,#92556,.T.); #212202=EDGE_CURVE('',#169405,#169405,#142459,.T.); #212203=EDGE_CURVE('',#169406,#169406,#142460,.T.); #212204=EDGE_CURVE('',#169406,#169407,#92557,.T.); #212205=EDGE_CURVE('',#169407,#169407,#142461,.T.); #212206=EDGE_CURVE('',#169408,#169408,#142462,.T.); #212207=EDGE_CURVE('',#169408,#169409,#92558,.T.); #212208=EDGE_CURVE('',#169409,#169409,#142463,.T.); #212209=EDGE_CURVE('',#169410,#169410,#142464,.T.); #212210=EDGE_CURVE('',#169410,#169411,#92559,.T.); #212211=EDGE_CURVE('',#169411,#169411,#142465,.T.); #212212=EDGE_CURVE('',#169412,#169413,#92560,.T.); #212213=EDGE_CURVE('',#169414,#169412,#92561,.T.); #212214=EDGE_CURVE('',#169415,#169414,#92562,.T.); #212215=EDGE_CURVE('',#169415,#169413,#92563,.T.); #212216=EDGE_CURVE('',#169413,#169416,#142466,.T.); #212217=EDGE_CURVE('',#169417,#169415,#142467,.T.); #212218=EDGE_CURVE('',#169417,#169416,#92564,.T.); #212219=EDGE_CURVE('',#169416,#169418,#92565,.T.); #212220=EDGE_CURVE('',#169419,#169417,#92566,.T.); #212221=EDGE_CURVE('',#169419,#169418,#92567,.T.); #212222=EDGE_CURVE('',#169418,#169412,#142468,.T.); #212223=EDGE_CURVE('',#169414,#169419,#142469,.T.); #212224=EDGE_CURVE('',#169420,#169420,#142470,.T.); #212225=EDGE_CURVE('',#169420,#169421,#92568,.T.); #212226=EDGE_CURVE('',#169421,#169421,#142471,.T.); #212227=EDGE_CURVE('',#169422,#169423,#92569,.T.); #212228=EDGE_CURVE('',#169424,#169422,#92570,.T.); #212229=EDGE_CURVE('',#169425,#169424,#92571,.T.); #212230=EDGE_CURVE('',#169425,#169423,#92572,.T.); #212231=EDGE_CURVE('',#169423,#169426,#142472,.T.); #212232=EDGE_CURVE('',#169427,#169425,#142473,.T.); #212233=EDGE_CURVE('',#169427,#169426,#92573,.T.); #212234=EDGE_CURVE('',#169426,#169428,#92574,.T.); #212235=EDGE_CURVE('',#169429,#169427,#92575,.T.); #212236=EDGE_CURVE('',#169429,#169428,#92576,.T.); #212237=EDGE_CURVE('',#169428,#169422,#142474,.T.); #212238=EDGE_CURVE('',#169424,#169429,#142475,.T.); #212239=EDGE_CURVE('',#169430,#169430,#142476,.T.); #212240=EDGE_CURVE('',#169430,#169431,#92577,.T.); #212241=EDGE_CURVE('',#169431,#169431,#142477,.T.); #212242=EDGE_CURVE('',#169432,#169433,#92578,.T.); #212243=EDGE_CURVE('',#169434,#169432,#92579,.T.); #212244=EDGE_CURVE('',#169435,#169434,#92580,.T.); #212245=EDGE_CURVE('',#169435,#169433,#92581,.T.); #212246=EDGE_CURVE('',#169433,#169436,#142478,.T.); #212247=EDGE_CURVE('',#169437,#169435,#142479,.T.); #212248=EDGE_CURVE('',#169437,#169436,#92582,.T.); #212249=EDGE_CURVE('',#169436,#169438,#92583,.T.); #212250=EDGE_CURVE('',#169439,#169437,#92584,.T.); #212251=EDGE_CURVE('',#169439,#169438,#92585,.T.); #212252=EDGE_CURVE('',#169438,#169432,#142480,.T.); #212253=EDGE_CURVE('',#169434,#169439,#142481,.T.); #212254=EDGE_CURVE('',#169440,#169440,#142482,.T.); #212255=EDGE_CURVE('',#169440,#169441,#92586,.T.); #212256=EDGE_CURVE('',#169441,#169441,#142483,.T.); #212257=EDGE_CURVE('',#169442,#169443,#92587,.T.); #212258=EDGE_CURVE('',#169444,#169442,#92588,.T.); #212259=EDGE_CURVE('',#169445,#169444,#92589,.T.); #212260=EDGE_CURVE('',#169445,#169443,#92590,.T.); #212261=EDGE_CURVE('',#169443,#169446,#142484,.T.); #212262=EDGE_CURVE('',#169447,#169445,#142485,.T.); #212263=EDGE_CURVE('',#169447,#169446,#92591,.T.); #212264=EDGE_CURVE('',#169446,#169448,#92592,.T.); #212265=EDGE_CURVE('',#169449,#169447,#92593,.T.); #212266=EDGE_CURVE('',#169449,#169448,#92594,.T.); #212267=EDGE_CURVE('',#169448,#169442,#142486,.T.); #212268=EDGE_CURVE('',#169444,#169449,#142487,.T.); #212269=EDGE_CURVE('',#169450,#169450,#142488,.T.); #212270=EDGE_CURVE('',#169450,#169451,#92595,.T.); #212271=EDGE_CURVE('',#169451,#169451,#142489,.T.); #212272=EDGE_CURVE('',#169452,#169452,#142490,.T.); #212273=EDGE_CURVE('',#169452,#169453,#92596,.T.); #212274=EDGE_CURVE('',#169453,#169453,#142491,.T.); #212275=EDGE_CURVE('',#169454,#169454,#142492,.T.); #212276=EDGE_CURVE('',#169454,#169455,#92597,.T.); #212277=EDGE_CURVE('',#169455,#169455,#142493,.T.); #212278=EDGE_CURVE('',#169456,#169456,#142494,.T.); #212279=EDGE_CURVE('',#169456,#169457,#92598,.T.); #212280=EDGE_CURVE('',#169457,#169457,#142495,.T.); #212281=EDGE_CURVE('',#169458,#169458,#142496,.T.); #212282=EDGE_CURVE('',#169458,#169459,#92599,.T.); #212283=EDGE_CURVE('',#169459,#169459,#142497,.T.); #212284=EDGE_CURVE('',#169460,#169460,#142498,.T.); #212285=EDGE_CURVE('',#169460,#169461,#92600,.T.); #212286=EDGE_CURVE('',#169461,#169461,#142499,.T.); #212287=EDGE_CURVE('',#169462,#169462,#142500,.T.); #212288=EDGE_CURVE('',#169462,#169463,#92601,.T.); #212289=EDGE_CURVE('',#169463,#169463,#142501,.T.); #212290=EDGE_CURVE('',#169464,#169464,#142502,.T.); #212291=EDGE_CURVE('',#169464,#169465,#92602,.T.); #212292=EDGE_CURVE('',#169465,#169465,#142503,.T.); #212293=EDGE_CURVE('',#169466,#169466,#142504,.T.); #212294=EDGE_CURVE('',#169466,#169467,#92603,.T.); #212295=EDGE_CURVE('',#169467,#169467,#142505,.T.); #212296=EDGE_CURVE('',#169468,#169468,#142506,.T.); #212297=EDGE_CURVE('',#169468,#169469,#92604,.T.); #212298=EDGE_CURVE('',#169469,#169469,#142507,.T.); #212299=EDGE_CURVE('',#169470,#169470,#142508,.T.); #212300=EDGE_CURVE('',#169470,#169471,#92605,.T.); #212301=EDGE_CURVE('',#169471,#169471,#142509,.T.); #212302=EDGE_CURVE('',#169472,#169472,#142510,.T.); #212303=EDGE_CURVE('',#169472,#169473,#92606,.T.); #212304=EDGE_CURVE('',#169473,#169473,#142511,.T.); #212305=EDGE_CURVE('',#169474,#169474,#142512,.T.); #212306=EDGE_CURVE('',#169474,#169475,#92607,.T.); #212307=EDGE_CURVE('',#169475,#169475,#142513,.T.); #212308=EDGE_CURVE('',#169476,#169476,#142514,.T.); #212309=EDGE_CURVE('',#169476,#169477,#92608,.T.); #212310=EDGE_CURVE('',#169477,#169477,#142515,.T.); #212311=EDGE_CURVE('',#169478,#169478,#142516,.T.); #212312=EDGE_CURVE('',#169478,#169479,#92609,.T.); #212313=EDGE_CURVE('',#169479,#169479,#142517,.T.); #212314=EDGE_CURVE('',#169480,#169480,#142518,.T.); #212315=EDGE_CURVE('',#169480,#169481,#92610,.T.); #212316=EDGE_CURVE('',#169481,#169481,#142519,.T.); #212317=EDGE_CURVE('',#169482,#169482,#142520,.T.); #212318=EDGE_CURVE('',#169482,#169483,#92611,.T.); #212319=EDGE_CURVE('',#169483,#169483,#142521,.T.); #212320=EDGE_CURVE('',#169484,#169484,#142522,.T.); #212321=EDGE_CURVE('',#169484,#169485,#92612,.T.); #212322=EDGE_CURVE('',#169485,#169485,#142523,.T.); #212323=EDGE_CURVE('',#169486,#169486,#142524,.T.); #212324=EDGE_CURVE('',#169486,#169487,#92613,.T.); #212325=EDGE_CURVE('',#169487,#169487,#142525,.T.); #212326=EDGE_CURVE('',#169488,#169488,#142526,.T.); #212327=EDGE_CURVE('',#169488,#169489,#92614,.T.); #212328=EDGE_CURVE('',#169489,#169489,#142527,.T.); #212329=EDGE_CURVE('',#169490,#169490,#142528,.T.); #212330=EDGE_CURVE('',#169490,#169491,#92615,.T.); #212331=EDGE_CURVE('',#169491,#169491,#142529,.T.); #212332=EDGE_CURVE('',#169492,#169492,#142530,.T.); #212333=EDGE_CURVE('',#169492,#169493,#92616,.T.); #212334=EDGE_CURVE('',#169493,#169493,#142531,.T.); #212335=EDGE_CURVE('',#169494,#169494,#142532,.T.); #212336=EDGE_CURVE('',#169494,#169495,#92617,.T.); #212337=EDGE_CURVE('',#169495,#169495,#142533,.T.); #212338=EDGE_CURVE('',#169496,#169496,#142534,.T.); #212339=EDGE_CURVE('',#169496,#169497,#92618,.T.); #212340=EDGE_CURVE('',#169497,#169497,#142535,.T.); #212341=EDGE_CURVE('',#169498,#169498,#142536,.T.); #212342=EDGE_CURVE('',#169498,#169499,#92619,.T.); #212343=EDGE_CURVE('',#169499,#169499,#142537,.T.); #212344=EDGE_CURVE('',#169500,#169500,#142538,.T.); #212345=EDGE_CURVE('',#169500,#169501,#92620,.T.); #212346=EDGE_CURVE('',#169501,#169501,#142539,.T.); #212347=EDGE_CURVE('',#169502,#169502,#142540,.T.); #212348=EDGE_CURVE('',#169502,#169503,#92621,.T.); #212349=EDGE_CURVE('',#169503,#169503,#142541,.T.); #212350=EDGE_CURVE('',#169504,#169504,#142542,.T.); #212351=EDGE_CURVE('',#169504,#169505,#92622,.T.); #212352=EDGE_CURVE('',#169505,#169505,#142543,.T.); #212353=EDGE_CURVE('',#169506,#169506,#142544,.T.); #212354=EDGE_CURVE('',#169506,#169507,#92623,.T.); #212355=EDGE_CURVE('',#169507,#169507,#142545,.T.); #212356=EDGE_CURVE('',#169508,#169508,#142546,.T.); #212357=EDGE_CURVE('',#169508,#169509,#92624,.T.); #212358=EDGE_CURVE('',#169509,#169509,#142547,.T.); #212359=EDGE_CURVE('',#169510,#169510,#142548,.T.); #212360=EDGE_CURVE('',#169510,#169511,#92625,.T.); #212361=EDGE_CURVE('',#169511,#169511,#142549,.T.); #212362=EDGE_CURVE('',#169512,#169512,#142550,.T.); #212363=EDGE_CURVE('',#169512,#169513,#92626,.T.); #212364=EDGE_CURVE('',#169513,#169513,#142551,.T.); #212365=EDGE_CURVE('',#169514,#169514,#142552,.T.); #212366=EDGE_CURVE('',#169514,#169515,#92627,.T.); #212367=EDGE_CURVE('',#169515,#169515,#142553,.T.); #212368=EDGE_CURVE('',#169516,#169516,#142554,.T.); #212369=EDGE_CURVE('',#169516,#169517,#92628,.T.); #212370=EDGE_CURVE('',#169517,#169517,#142555,.T.); #212371=EDGE_CURVE('',#169518,#169518,#142556,.T.); #212372=EDGE_CURVE('',#169518,#169519,#92629,.T.); #212373=EDGE_CURVE('',#169519,#169519,#142557,.T.); #212374=EDGE_CURVE('',#169520,#169520,#142558,.T.); #212375=EDGE_CURVE('',#169520,#169521,#92630,.T.); #212376=EDGE_CURVE('',#169521,#169521,#142559,.T.); #212377=EDGE_CURVE('',#169522,#169522,#142560,.T.); #212378=EDGE_CURVE('',#169522,#169523,#92631,.T.); #212379=EDGE_CURVE('',#169523,#169523,#142561,.T.); #212380=EDGE_CURVE('',#169524,#169524,#142562,.T.); #212381=EDGE_CURVE('',#169524,#169525,#92632,.T.); #212382=EDGE_CURVE('',#169525,#169525,#142563,.T.); #212383=EDGE_CURVE('',#169526,#169526,#142564,.T.); #212384=EDGE_CURVE('',#169526,#169527,#92633,.T.); #212385=EDGE_CURVE('',#169527,#169527,#142565,.T.); #212386=EDGE_CURVE('',#169528,#169528,#142566,.T.); #212387=EDGE_CURVE('',#169528,#169529,#92634,.T.); #212388=EDGE_CURVE('',#169529,#169529,#142567,.T.); #212389=EDGE_CURVE('',#169530,#169530,#142568,.T.); #212390=EDGE_CURVE('',#169530,#169531,#92635,.T.); #212391=EDGE_CURVE('',#169531,#169531,#142569,.T.); #212392=EDGE_CURVE('',#169532,#169532,#142570,.T.); #212393=EDGE_CURVE('',#169532,#169533,#92636,.T.); #212394=EDGE_CURVE('',#169533,#169533,#142571,.T.); #212395=EDGE_CURVE('',#169534,#169534,#142572,.T.); #212396=EDGE_CURVE('',#169534,#169535,#92637,.T.); #212397=EDGE_CURVE('',#169535,#169535,#142573,.T.); #212398=EDGE_CURVE('',#169536,#169536,#142574,.T.); #212399=EDGE_CURVE('',#169536,#169537,#92638,.T.); #212400=EDGE_CURVE('',#169537,#169537,#142575,.T.); #212401=EDGE_CURVE('',#169538,#169538,#142576,.T.); #212402=EDGE_CURVE('',#169538,#169539,#92639,.T.); #212403=EDGE_CURVE('',#169539,#169539,#142577,.T.); #212404=EDGE_CURVE('',#169540,#169540,#142578,.T.); #212405=EDGE_CURVE('',#169540,#169541,#92640,.T.); #212406=EDGE_CURVE('',#169541,#169541,#142579,.T.); #212407=EDGE_CURVE('',#169542,#169542,#142580,.T.); #212408=EDGE_CURVE('',#169542,#169543,#92641,.T.); #212409=EDGE_CURVE('',#169543,#169543,#142581,.T.); #212410=EDGE_CURVE('',#169544,#169544,#142582,.T.); #212411=EDGE_CURVE('',#169544,#169545,#92642,.T.); #212412=EDGE_CURVE('',#169545,#169545,#142583,.T.); #212413=EDGE_CURVE('',#169546,#169546,#142584,.T.); #212414=EDGE_CURVE('',#169546,#169547,#92643,.T.); #212415=EDGE_CURVE('',#169547,#169547,#142585,.T.); #212416=EDGE_CURVE('',#169548,#169548,#142586,.T.); #212417=EDGE_CURVE('',#169548,#169549,#92644,.T.); #212418=EDGE_CURVE('',#169549,#169549,#142587,.T.); #212419=EDGE_CURVE('',#169550,#169550,#142588,.T.); #212420=EDGE_CURVE('',#169550,#169551,#92645,.T.); #212421=EDGE_CURVE('',#169551,#169551,#142589,.T.); #212422=EDGE_CURVE('',#169552,#169552,#142590,.T.); #212423=EDGE_CURVE('',#169552,#169553,#92646,.T.); #212424=EDGE_CURVE('',#169553,#169553,#142591,.T.); #212425=EDGE_CURVE('',#169554,#169554,#142592,.T.); #212426=EDGE_CURVE('',#169554,#169555,#92647,.T.); #212427=EDGE_CURVE('',#169555,#169555,#142593,.T.); #212428=EDGE_CURVE('',#169556,#169556,#142594,.T.); #212429=EDGE_CURVE('',#169556,#169557,#92648,.T.); #212430=EDGE_CURVE('',#169557,#169557,#142595,.T.); #212431=EDGE_CURVE('',#169558,#169558,#142596,.T.); #212432=EDGE_CURVE('',#169558,#169559,#92649,.T.); #212433=EDGE_CURVE('',#169559,#169559,#142597,.T.); #212434=EDGE_CURVE('',#169560,#169560,#142598,.T.); #212435=EDGE_CURVE('',#169560,#169561,#92650,.T.); #212436=EDGE_CURVE('',#169561,#169561,#142599,.T.); #212437=EDGE_CURVE('',#169562,#169562,#142600,.T.); #212438=EDGE_CURVE('',#169562,#169563,#92651,.T.); #212439=EDGE_CURVE('',#169563,#169563,#142601,.T.); #212440=EDGE_CURVE('',#169564,#169564,#142602,.T.); #212441=EDGE_CURVE('',#169564,#169565,#92652,.T.); #212442=EDGE_CURVE('',#169565,#169565,#142603,.T.); #212443=EDGE_CURVE('',#169566,#169566,#142604,.T.); #212444=EDGE_CURVE('',#169566,#169567,#92653,.T.); #212445=EDGE_CURVE('',#169567,#169567,#142605,.T.); #212446=EDGE_CURVE('',#169568,#169568,#142606,.T.); #212447=EDGE_CURVE('',#169568,#169569,#92654,.T.); #212448=EDGE_CURVE('',#169569,#169569,#142607,.T.); #212449=EDGE_CURVE('',#169570,#169570,#142608,.T.); #212450=EDGE_CURVE('',#169570,#169571,#92655,.T.); #212451=EDGE_CURVE('',#169571,#169571,#142609,.T.); #212452=EDGE_CURVE('',#169572,#169572,#142610,.T.); #212453=EDGE_CURVE('',#169572,#169573,#92656,.T.); #212454=EDGE_CURVE('',#169573,#169573,#142611,.T.); #212455=EDGE_CURVE('',#169574,#169574,#142612,.T.); #212456=EDGE_CURVE('',#169574,#169575,#92657,.T.); #212457=EDGE_CURVE('',#169575,#169575,#142613,.T.); #212458=EDGE_CURVE('',#169576,#169576,#142614,.T.); #212459=EDGE_CURVE('',#169576,#169577,#92658,.T.); #212460=EDGE_CURVE('',#169577,#169577,#142615,.T.); #212461=EDGE_CURVE('',#169578,#169578,#142616,.T.); #212462=EDGE_CURVE('',#169578,#169579,#92659,.T.); #212463=EDGE_CURVE('',#169579,#169579,#142617,.T.); #212464=EDGE_CURVE('',#169580,#169580,#142618,.T.); #212465=EDGE_CURVE('',#169580,#169581,#92660,.T.); #212466=EDGE_CURVE('',#169581,#169581,#142619,.T.); #212467=EDGE_CURVE('',#169582,#169582,#142620,.T.); #212468=EDGE_CURVE('',#169582,#169583,#92661,.T.); #212469=EDGE_CURVE('',#169583,#169583,#142621,.T.); #212470=EDGE_CURVE('',#169584,#169584,#142622,.T.); #212471=EDGE_CURVE('',#169584,#169585,#92662,.T.); #212472=EDGE_CURVE('',#169585,#169585,#142623,.T.); #212473=EDGE_CURVE('',#169586,#169586,#142624,.T.); #212474=EDGE_CURVE('',#169586,#169587,#92663,.T.); #212475=EDGE_CURVE('',#169587,#169587,#142625,.T.); #212476=EDGE_CURVE('',#169588,#169588,#142626,.T.); #212477=EDGE_CURVE('',#169588,#169589,#92664,.T.); #212478=EDGE_CURVE('',#169589,#169589,#142627,.T.); #212479=EDGE_CURVE('',#169590,#169590,#142628,.T.); #212480=EDGE_CURVE('',#169590,#169591,#92665,.T.); #212481=EDGE_CURVE('',#169591,#169591,#142629,.T.); #212482=EDGE_CURVE('',#169592,#169592,#142630,.T.); #212483=EDGE_CURVE('',#169592,#169593,#92666,.T.); #212484=EDGE_CURVE('',#169593,#169593,#142631,.T.); #212485=EDGE_CURVE('',#169594,#169594,#142632,.T.); #212486=EDGE_CURVE('',#169594,#169595,#92667,.T.); #212487=EDGE_CURVE('',#169595,#169595,#142633,.T.); #212488=EDGE_CURVE('',#169596,#169596,#142634,.T.); #212489=EDGE_CURVE('',#169596,#169597,#92668,.T.); #212490=EDGE_CURVE('',#169597,#169597,#142635,.T.); #212491=EDGE_CURVE('',#169598,#169598,#142636,.T.); #212492=EDGE_CURVE('',#169598,#169599,#92669,.T.); #212493=EDGE_CURVE('',#169599,#169599,#142637,.T.); #212494=EDGE_CURVE('',#169600,#169600,#142638,.T.); #212495=EDGE_CURVE('',#169600,#169601,#92670,.T.); #212496=EDGE_CURVE('',#169601,#169601,#142639,.T.); #212497=EDGE_CURVE('',#169602,#169602,#142640,.T.); #212498=EDGE_CURVE('',#169602,#169603,#92671,.T.); #212499=EDGE_CURVE('',#169603,#169603,#142641,.T.); #212500=EDGE_CURVE('',#169604,#169604,#142642,.T.); #212501=EDGE_CURVE('',#169604,#169605,#92672,.T.); #212502=EDGE_CURVE('',#169605,#169605,#142643,.T.); #212503=EDGE_CURVE('',#169606,#169606,#142644,.T.); #212504=EDGE_CURVE('',#169606,#169607,#92673,.T.); #212505=EDGE_CURVE('',#169607,#169607,#142645,.T.); #212506=EDGE_CURVE('',#169608,#169608,#142646,.T.); #212507=EDGE_CURVE('',#169608,#169609,#92674,.T.); #212508=EDGE_CURVE('',#169609,#169609,#142647,.T.); #212509=EDGE_CURVE('',#169610,#169610,#142648,.T.); #212510=EDGE_CURVE('',#169610,#169611,#92675,.T.); #212511=EDGE_CURVE('',#169611,#169611,#142649,.T.); #212512=EDGE_CURVE('',#169612,#169612,#142650,.T.); #212513=EDGE_CURVE('',#169612,#169613,#92676,.T.); #212514=EDGE_CURVE('',#169613,#169613,#142651,.T.); #212515=EDGE_CURVE('',#169614,#169614,#142652,.T.); #212516=EDGE_CURVE('',#169614,#169615,#92677,.T.); #212517=EDGE_CURVE('',#169615,#169615,#142653,.T.); #212518=EDGE_CURVE('',#169616,#169616,#142654,.T.); #212519=EDGE_CURVE('',#169616,#169617,#92678,.T.); #212520=EDGE_CURVE('',#169617,#169617,#142655,.T.); #212521=EDGE_CURVE('',#169618,#169618,#142656,.T.); #212522=EDGE_CURVE('',#169618,#169619,#92679,.T.); #212523=EDGE_CURVE('',#169619,#169619,#142657,.T.); #212524=EDGE_CURVE('',#169620,#169620,#142658,.T.); #212525=EDGE_CURVE('',#169620,#169621,#92680,.T.); #212526=EDGE_CURVE('',#169621,#169621,#142659,.T.); #212527=EDGE_CURVE('',#169622,#169622,#142660,.T.); #212528=EDGE_CURVE('',#169622,#169623,#92681,.T.); #212529=EDGE_CURVE('',#169623,#169623,#142661,.T.); #212530=EDGE_CURVE('',#169624,#169624,#142662,.T.); #212531=EDGE_CURVE('',#169624,#169625,#92682,.T.); #212532=EDGE_CURVE('',#169625,#169625,#142663,.T.); #212533=EDGE_CURVE('',#169626,#169626,#142664,.T.); #212534=EDGE_CURVE('',#169626,#169627,#92683,.T.); #212535=EDGE_CURVE('',#169627,#169627,#142665,.T.); #212536=EDGE_CURVE('',#169628,#169628,#142666,.T.); #212537=EDGE_CURVE('',#169628,#169629,#92684,.T.); #212538=EDGE_CURVE('',#169629,#169629,#142667,.T.); #212539=EDGE_CURVE('',#169630,#169630,#142668,.T.); #212540=EDGE_CURVE('',#169630,#169631,#92685,.T.); #212541=EDGE_CURVE('',#169631,#169631,#142669,.T.); #212542=EDGE_CURVE('',#169632,#169632,#142670,.T.); #212543=EDGE_CURVE('',#169632,#169633,#92686,.T.); #212544=EDGE_CURVE('',#169633,#169633,#142671,.T.); #212545=EDGE_CURVE('',#169634,#169634,#142672,.T.); #212546=EDGE_CURVE('',#169634,#169635,#92687,.T.); #212547=EDGE_CURVE('',#169635,#169635,#142673,.T.); #212548=EDGE_CURVE('',#169636,#169636,#142674,.T.); #212549=EDGE_CURVE('',#169636,#169637,#92688,.T.); #212550=EDGE_CURVE('',#169637,#169637,#142675,.T.); #212551=EDGE_CURVE('',#169638,#169638,#142676,.T.); #212552=EDGE_CURVE('',#169638,#169639,#92689,.T.); #212553=EDGE_CURVE('',#169639,#169639,#142677,.T.); #212554=EDGE_CURVE('',#169640,#169640,#142678,.T.); #212555=EDGE_CURVE('',#169640,#169641,#92690,.T.); #212556=EDGE_CURVE('',#169641,#169641,#142679,.T.); #212557=EDGE_CURVE('',#169642,#169642,#142680,.T.); #212558=EDGE_CURVE('',#169642,#169643,#92691,.T.); #212559=EDGE_CURVE('',#169643,#169643,#142681,.T.); #212560=EDGE_CURVE('',#169644,#169644,#142682,.T.); #212561=EDGE_CURVE('',#169644,#169645,#92692,.T.); #212562=EDGE_CURVE('',#169645,#169645,#142683,.T.); #212563=EDGE_CURVE('',#169646,#169646,#142684,.T.); #212564=EDGE_CURVE('',#169646,#169647,#92693,.T.); #212565=EDGE_CURVE('',#169647,#169647,#142685,.T.); #212566=EDGE_CURVE('',#169648,#169648,#142686,.T.); #212567=EDGE_CURVE('',#169648,#169649,#92694,.T.); #212568=EDGE_CURVE('',#169649,#169649,#142687,.T.); #212569=EDGE_CURVE('',#169650,#169650,#142688,.T.); #212570=EDGE_CURVE('',#169650,#169651,#92695,.T.); #212571=EDGE_CURVE('',#169651,#169651,#142689,.T.); #212572=EDGE_CURVE('',#169652,#169652,#142690,.T.); #212573=EDGE_CURVE('',#169652,#169653,#92696,.T.); #212574=EDGE_CURVE('',#169653,#169653,#142691,.T.); #212575=EDGE_CURVE('',#169654,#169654,#142692,.T.); #212576=EDGE_CURVE('',#169654,#169655,#92697,.T.); #212577=EDGE_CURVE('',#169655,#169655,#142693,.T.); #212578=EDGE_CURVE('',#169656,#169656,#142694,.T.); #212579=EDGE_CURVE('',#169656,#169657,#92698,.T.); #212580=EDGE_CURVE('',#169657,#169657,#142695,.T.); #212581=EDGE_CURVE('',#169658,#169658,#142696,.T.); #212582=EDGE_CURVE('',#169658,#169659,#92699,.T.); #212583=EDGE_CURVE('',#169659,#169659,#142697,.T.); #212584=EDGE_CURVE('',#169660,#169660,#142698,.T.); #212585=EDGE_CURVE('',#169660,#169661,#92700,.T.); #212586=EDGE_CURVE('',#169661,#169661,#142699,.T.); #212587=EDGE_CURVE('',#169662,#169662,#142700,.T.); #212588=EDGE_CURVE('',#169662,#169663,#92701,.T.); #212589=EDGE_CURVE('',#169663,#169663,#142701,.T.); #212590=EDGE_CURVE('',#169664,#169664,#142702,.T.); #212591=EDGE_CURVE('',#169664,#169665,#92702,.T.); #212592=EDGE_CURVE('',#169665,#169665,#142703,.T.); #212593=EDGE_CURVE('',#169666,#169666,#142704,.T.); #212594=EDGE_CURVE('',#169666,#169667,#92703,.T.); #212595=EDGE_CURVE('',#169667,#169667,#142705,.T.); #212596=EDGE_CURVE('',#169668,#169668,#142706,.T.); #212597=EDGE_CURVE('',#169668,#169669,#92704,.T.); #212598=EDGE_CURVE('',#169669,#169669,#142707,.T.); #212599=EDGE_CURVE('',#169670,#169670,#142708,.T.); #212600=EDGE_CURVE('',#169670,#169671,#92705,.T.); #212601=EDGE_CURVE('',#169671,#169671,#142709,.T.); #212602=EDGE_CURVE('',#169672,#169672,#142710,.T.); #212603=EDGE_CURVE('',#169672,#169673,#92706,.T.); #212604=EDGE_CURVE('',#169673,#169673,#142711,.T.); #212605=EDGE_CURVE('',#169674,#169674,#142712,.T.); #212606=EDGE_CURVE('',#169674,#169675,#92707,.T.); #212607=EDGE_CURVE('',#169675,#169675,#142713,.T.); #212608=EDGE_CURVE('',#169676,#169676,#142714,.T.); #212609=EDGE_CURVE('',#169676,#169677,#92708,.T.); #212610=EDGE_CURVE('',#169677,#169677,#142715,.T.); #212611=EDGE_CURVE('',#169678,#169678,#142716,.T.); #212612=EDGE_CURVE('',#169678,#169679,#92709,.T.); #212613=EDGE_CURVE('',#169679,#169679,#142717,.T.); #212614=EDGE_CURVE('',#169680,#169680,#142718,.T.); #212615=EDGE_CURVE('',#169680,#169681,#92710,.T.); #212616=EDGE_CURVE('',#169681,#169681,#142719,.T.); #212617=EDGE_CURVE('',#169682,#169682,#142720,.T.); #212618=EDGE_CURVE('',#169682,#169683,#92711,.T.); #212619=EDGE_CURVE('',#169683,#169683,#142721,.T.); #212620=EDGE_CURVE('',#169684,#169684,#142722,.T.); #212621=EDGE_CURVE('',#169684,#169685,#92712,.T.); #212622=EDGE_CURVE('',#169685,#169685,#142723,.T.); #212623=EDGE_CURVE('',#169686,#169686,#142724,.T.); #212624=EDGE_CURVE('',#169686,#169687,#92713,.T.); #212625=EDGE_CURVE('',#169687,#169687,#142725,.T.); #212626=EDGE_CURVE('',#169688,#169688,#142726,.T.); #212627=EDGE_CURVE('',#169688,#169689,#92714,.T.); #212628=EDGE_CURVE('',#169689,#169689,#142727,.T.); #212629=EDGE_CURVE('',#169690,#169690,#142728,.T.); #212630=EDGE_CURVE('',#169690,#169691,#92715,.T.); #212631=EDGE_CURVE('',#169691,#169691,#142729,.T.); #212632=EDGE_CURVE('',#169692,#169692,#142730,.T.); #212633=EDGE_CURVE('',#169692,#169693,#92716,.T.); #212634=EDGE_CURVE('',#169693,#169693,#142731,.T.); #212635=EDGE_CURVE('',#169694,#169694,#142732,.T.); #212636=EDGE_CURVE('',#169694,#169695,#92717,.T.); #212637=EDGE_CURVE('',#169695,#169695,#142733,.T.); #212638=EDGE_CURVE('',#169696,#169696,#142734,.T.); #212639=EDGE_CURVE('',#169696,#169697,#92718,.T.); #212640=EDGE_CURVE('',#169697,#169697,#142735,.T.); #212641=EDGE_CURVE('',#169698,#169698,#142736,.T.); #212642=EDGE_CURVE('',#169698,#169699,#92719,.T.); #212643=EDGE_CURVE('',#169699,#169699,#142737,.T.); #212644=EDGE_CURVE('',#169700,#169700,#142738,.T.); #212645=EDGE_CURVE('',#169700,#169701,#92720,.T.); #212646=EDGE_CURVE('',#169701,#169701,#142739,.T.); #212647=EDGE_CURVE('',#169702,#169702,#142740,.T.); #212648=EDGE_CURVE('',#169702,#169703,#92721,.T.); #212649=EDGE_CURVE('',#169703,#169703,#142741,.T.); #212650=EDGE_CURVE('',#169704,#169704,#142742,.T.); #212651=EDGE_CURVE('',#169704,#169705,#92722,.T.); #212652=EDGE_CURVE('',#169705,#169705,#142743,.T.); #212653=EDGE_CURVE('',#169706,#169706,#142744,.T.); #212654=EDGE_CURVE('',#169706,#169707,#92723,.T.); #212655=EDGE_CURVE('',#169707,#169707,#142745,.T.); #212656=EDGE_CURVE('',#169708,#169708,#142746,.T.); #212657=EDGE_CURVE('',#169708,#169709,#92724,.T.); #212658=EDGE_CURVE('',#169709,#169709,#142747,.T.); #212659=EDGE_CURVE('',#169710,#169710,#142748,.T.); #212660=EDGE_CURVE('',#169710,#169711,#92725,.T.); #212661=EDGE_CURVE('',#169711,#169711,#142749,.T.); #212662=EDGE_CURVE('',#169712,#169712,#142750,.T.); #212663=EDGE_CURVE('',#169712,#169713,#92726,.T.); #212664=EDGE_CURVE('',#169713,#169713,#142751,.T.); #212665=EDGE_CURVE('',#169714,#169714,#142752,.T.); #212666=EDGE_CURVE('',#169714,#169715,#92727,.T.); #212667=EDGE_CURVE('',#169715,#169715,#142753,.T.); #212668=EDGE_CURVE('',#169716,#169716,#142754,.T.); #212669=EDGE_CURVE('',#169716,#169717,#92728,.T.); #212670=EDGE_CURVE('',#169717,#169717,#142755,.T.); #212671=EDGE_CURVE('',#169718,#169718,#142756,.T.); #212672=EDGE_CURVE('',#169718,#169719,#92729,.T.); #212673=EDGE_CURVE('',#169719,#169719,#142757,.T.); #212674=EDGE_CURVE('',#169720,#169720,#142758,.T.); #212675=EDGE_CURVE('',#169720,#169721,#92730,.T.); #212676=EDGE_CURVE('',#169721,#169721,#142759,.T.); #212677=EDGE_CURVE('',#169722,#169722,#142760,.T.); #212678=EDGE_CURVE('',#169722,#169723,#92731,.T.); #212679=EDGE_CURVE('',#169723,#169723,#142761,.T.); #212680=EDGE_CURVE('',#169724,#169724,#142762,.T.); #212681=EDGE_CURVE('',#169724,#169725,#92732,.T.); #212682=EDGE_CURVE('',#169725,#169725,#142763,.T.); #212683=EDGE_CURVE('',#169726,#169726,#142764,.T.); #212684=EDGE_CURVE('',#169726,#169727,#92733,.T.); #212685=EDGE_CURVE('',#169727,#169727,#142765,.T.); #212686=EDGE_CURVE('',#169728,#169728,#142766,.T.); #212687=EDGE_CURVE('',#169728,#169729,#92734,.T.); #212688=EDGE_CURVE('',#169729,#169729,#142767,.T.); #212689=EDGE_CURVE('',#169730,#169730,#142768,.T.); #212690=EDGE_CURVE('',#169730,#169731,#92735,.T.); #212691=EDGE_CURVE('',#169731,#169731,#142769,.T.); #212692=EDGE_CURVE('',#169732,#169732,#142770,.T.); #212693=EDGE_CURVE('',#169732,#169733,#92736,.T.); #212694=EDGE_CURVE('',#169733,#169733,#142771,.T.); #212695=EDGE_CURVE('',#169734,#169734,#142772,.T.); #212696=EDGE_CURVE('',#169734,#169735,#92737,.T.); #212697=EDGE_CURVE('',#169735,#169735,#142773,.T.); #212698=EDGE_CURVE('',#169736,#169736,#142774,.T.); #212699=EDGE_CURVE('',#169736,#169737,#92738,.T.); #212700=EDGE_CURVE('',#169737,#169737,#142775,.T.); #212701=EDGE_CURVE('',#169738,#169738,#142776,.T.); #212702=EDGE_CURVE('',#169738,#169739,#92739,.T.); #212703=EDGE_CURVE('',#169739,#169739,#142777,.T.); #212704=EDGE_CURVE('',#169740,#169740,#142778,.T.); #212705=EDGE_CURVE('',#169740,#169741,#92740,.T.); #212706=EDGE_CURVE('',#169741,#169741,#142779,.T.); #212707=EDGE_CURVE('',#169742,#169742,#142780,.T.); #212708=EDGE_CURVE('',#169742,#169743,#92741,.T.); #212709=EDGE_CURVE('',#169743,#169743,#142781,.T.); #212710=EDGE_CURVE('',#169744,#169744,#142782,.T.); #212711=EDGE_CURVE('',#169744,#169745,#92742,.T.); #212712=EDGE_CURVE('',#169745,#169745,#142783,.T.); #212713=EDGE_CURVE('',#169746,#169746,#142784,.T.); #212714=EDGE_CURVE('',#169746,#169747,#92743,.T.); #212715=EDGE_CURVE('',#169747,#169747,#142785,.T.); #212716=EDGE_CURVE('',#169748,#169748,#142786,.T.); #212717=EDGE_CURVE('',#169748,#169749,#92744,.T.); #212718=EDGE_CURVE('',#169749,#169749,#142787,.T.); #212719=EDGE_CURVE('',#169750,#169750,#142788,.T.); #212720=EDGE_CURVE('',#169750,#169751,#92745,.T.); #212721=EDGE_CURVE('',#169751,#169751,#142789,.T.); #212722=EDGE_CURVE('',#169752,#169752,#142790,.T.); #212723=EDGE_CURVE('',#169752,#169753,#92746,.T.); #212724=EDGE_CURVE('',#169753,#169753,#142791,.T.); #212725=EDGE_CURVE('',#169754,#169754,#142792,.T.); #212726=EDGE_CURVE('',#169754,#169755,#92747,.T.); #212727=EDGE_CURVE('',#169755,#169755,#142793,.T.); #212728=EDGE_CURVE('',#169756,#169756,#142794,.T.); #212729=EDGE_CURVE('',#169756,#169757,#92748,.T.); #212730=EDGE_CURVE('',#169757,#169757,#142795,.T.); #212731=EDGE_CURVE('',#169758,#169758,#142796,.T.); #212732=EDGE_CURVE('',#169758,#169759,#92749,.T.); #212733=EDGE_CURVE('',#169759,#169759,#142797,.T.); #212734=EDGE_CURVE('',#169760,#169760,#142798,.T.); #212735=EDGE_CURVE('',#169760,#169761,#92750,.T.); #212736=EDGE_CURVE('',#169761,#169761,#142799,.T.); #212737=EDGE_CURVE('',#169762,#169762,#142800,.T.); #212738=EDGE_CURVE('',#169762,#169763,#92751,.T.); #212739=EDGE_CURVE('',#169763,#169763,#142801,.T.); #212740=EDGE_CURVE('',#169764,#169764,#142802,.T.); #212741=EDGE_CURVE('',#169764,#169765,#92752,.T.); #212742=EDGE_CURVE('',#169765,#169765,#142803,.T.); #212743=EDGE_CURVE('',#169766,#169766,#142804,.T.); #212744=EDGE_CURVE('',#169766,#169767,#92753,.T.); #212745=EDGE_CURVE('',#169767,#169767,#142805,.T.); #212746=EDGE_CURVE('',#169768,#169768,#142806,.T.); #212747=EDGE_CURVE('',#169768,#169769,#92754,.T.); #212748=EDGE_CURVE('',#169769,#169769,#142807,.T.); #212749=EDGE_CURVE('',#169770,#169770,#142808,.T.); #212750=EDGE_CURVE('',#169770,#169771,#92755,.T.); #212751=EDGE_CURVE('',#169771,#169771,#142809,.T.); #212752=EDGE_CURVE('',#169772,#169772,#142810,.T.); #212753=EDGE_CURVE('',#169772,#169773,#92756,.T.); #212754=EDGE_CURVE('',#169773,#169773,#142811,.T.); #212755=EDGE_CURVE('',#169774,#169774,#142812,.T.); #212756=EDGE_CURVE('',#169774,#169775,#92757,.T.); #212757=EDGE_CURVE('',#169775,#169775,#142813,.T.); #212758=EDGE_CURVE('',#169776,#169776,#142814,.T.); #212759=EDGE_CURVE('',#169776,#169777,#92758,.T.); #212760=EDGE_CURVE('',#169777,#169777,#142815,.T.); #212761=EDGE_CURVE('',#169778,#169778,#142816,.T.); #212762=EDGE_CURVE('',#169778,#169779,#92759,.T.); #212763=EDGE_CURVE('',#169779,#169779,#142817,.T.); #212764=EDGE_CURVE('',#169780,#169780,#142818,.T.); #212765=EDGE_CURVE('',#169780,#169781,#92760,.T.); #212766=EDGE_CURVE('',#169781,#169781,#142819,.T.); #212767=EDGE_CURVE('',#169782,#169782,#142820,.T.); #212768=EDGE_CURVE('',#169782,#169783,#92761,.T.); #212769=EDGE_CURVE('',#169783,#169783,#142821,.T.); #212770=EDGE_CURVE('',#169784,#169784,#142822,.T.); #212771=EDGE_CURVE('',#169784,#169785,#92762,.T.); #212772=EDGE_CURVE('',#169785,#169785,#142823,.T.); #212773=EDGE_CURVE('',#169786,#169786,#142824,.T.); #212774=EDGE_CURVE('',#169786,#169787,#92763,.T.); #212775=EDGE_CURVE('',#169787,#169787,#142825,.T.); #212776=EDGE_CURVE('',#169788,#169788,#142826,.T.); #212777=EDGE_CURVE('',#169788,#169789,#92764,.T.); #212778=EDGE_CURVE('',#169789,#169789,#142827,.T.); #212779=EDGE_CURVE('',#169790,#169790,#142828,.T.); #212780=EDGE_CURVE('',#169790,#169791,#92765,.T.); #212781=EDGE_CURVE('',#169791,#169791,#142829,.T.); #212782=EDGE_CURVE('',#169792,#169792,#142830,.T.); #212783=EDGE_CURVE('',#169792,#169793,#92766,.T.); #212784=EDGE_CURVE('',#169793,#169793,#142831,.T.); #212785=EDGE_CURVE('',#169794,#169794,#142832,.T.); #212786=EDGE_CURVE('',#169794,#169795,#92767,.T.); #212787=EDGE_CURVE('',#169795,#169795,#142833,.T.); #212788=EDGE_CURVE('',#169796,#169796,#142834,.T.); #212789=EDGE_CURVE('',#169796,#169797,#92768,.T.); #212790=EDGE_CURVE('',#169797,#169797,#142835,.T.); #212791=EDGE_CURVE('',#169798,#169798,#142836,.T.); #212792=EDGE_CURVE('',#169798,#169799,#92769,.T.); #212793=EDGE_CURVE('',#169799,#169799,#142837,.T.); #212794=EDGE_CURVE('',#169800,#169800,#142838,.T.); #212795=EDGE_CURVE('',#169800,#169801,#92770,.T.); #212796=EDGE_CURVE('',#169801,#169801,#142839,.T.); #212797=EDGE_CURVE('',#169802,#169802,#142840,.T.); #212798=EDGE_CURVE('',#169802,#169803,#92771,.T.); #212799=EDGE_CURVE('',#169803,#169803,#142841,.T.); #212800=EDGE_CURVE('',#169804,#169804,#142842,.T.); #212801=EDGE_CURVE('',#169804,#169805,#92772,.T.); #212802=EDGE_CURVE('',#169805,#169805,#142843,.T.); #212803=EDGE_CURVE('',#169806,#169806,#142844,.T.); #212804=EDGE_CURVE('',#169806,#169807,#92773,.T.); #212805=EDGE_CURVE('',#169807,#169807,#142845,.T.); #212806=EDGE_CURVE('',#169808,#169808,#142846,.T.); #212807=EDGE_CURVE('',#169808,#169809,#92774,.T.); #212808=EDGE_CURVE('',#169809,#169809,#142847,.T.); #212809=EDGE_CURVE('',#169810,#169810,#142848,.T.); #212810=EDGE_CURVE('',#169810,#169811,#92775,.T.); #212811=EDGE_CURVE('',#169811,#169811,#142849,.T.); #212812=EDGE_CURVE('',#169812,#169812,#142850,.T.); #212813=EDGE_CURVE('',#169812,#169813,#92776,.T.); #212814=EDGE_CURVE('',#169813,#169813,#142851,.T.); #212815=EDGE_CURVE('',#169814,#169814,#142852,.T.); #212816=EDGE_CURVE('',#169814,#169815,#92777,.T.); #212817=EDGE_CURVE('',#169815,#169815,#142853,.T.); #212818=EDGE_CURVE('',#169816,#169816,#142854,.T.); #212819=EDGE_CURVE('',#169816,#169817,#92778,.T.); #212820=EDGE_CURVE('',#169817,#169817,#142855,.T.); #212821=EDGE_CURVE('',#169818,#169818,#142856,.T.); #212822=EDGE_CURVE('',#169818,#169819,#92779,.T.); #212823=EDGE_CURVE('',#169819,#169819,#142857,.T.); #212824=EDGE_CURVE('',#169820,#169820,#142858,.T.); #212825=EDGE_CURVE('',#169820,#169821,#92780,.T.); #212826=EDGE_CURVE('',#169821,#169821,#142859,.T.); #212827=EDGE_CURVE('',#169822,#169822,#142860,.T.); #212828=EDGE_CURVE('',#169822,#169823,#92781,.T.); #212829=EDGE_CURVE('',#169823,#169823,#142861,.T.); #212830=EDGE_CURVE('',#169824,#169824,#142862,.T.); #212831=EDGE_CURVE('',#169824,#169825,#92782,.T.); #212832=EDGE_CURVE('',#169825,#169825,#142863,.T.); #212833=EDGE_CURVE('',#169826,#169826,#142864,.T.); #212834=EDGE_CURVE('',#169826,#169827,#92783,.T.); #212835=EDGE_CURVE('',#169827,#169827,#142865,.T.); #212836=EDGE_CURVE('',#169828,#169828,#142866,.T.); #212837=EDGE_CURVE('',#169828,#169829,#92784,.T.); #212838=EDGE_CURVE('',#169829,#169829,#142867,.T.); #212839=EDGE_CURVE('',#169830,#169830,#142868,.T.); #212840=EDGE_CURVE('',#169830,#169831,#92785,.T.); #212841=EDGE_CURVE('',#169831,#169831,#142869,.T.); #212842=EDGE_CURVE('',#169832,#169832,#142870,.T.); #212843=EDGE_CURVE('',#169832,#169833,#92786,.T.); #212844=EDGE_CURVE('',#169833,#169833,#142871,.T.); #212845=EDGE_CURVE('',#169834,#169834,#142872,.T.); #212846=EDGE_CURVE('',#169834,#169835,#92787,.T.); #212847=EDGE_CURVE('',#169835,#169835,#142873,.T.); #212848=EDGE_CURVE('',#169836,#169836,#142874,.T.); #212849=EDGE_CURVE('',#169836,#169837,#92788,.T.); #212850=EDGE_CURVE('',#169837,#169837,#142875,.T.); #212851=EDGE_CURVE('',#169838,#169838,#142876,.T.); #212852=EDGE_CURVE('',#169838,#169839,#92789,.T.); #212853=EDGE_CURVE('',#169839,#169839,#142877,.T.); #212854=EDGE_CURVE('',#169840,#169840,#142878,.T.); #212855=EDGE_CURVE('',#169840,#169841,#92790,.T.); #212856=EDGE_CURVE('',#169841,#169841,#142879,.T.); #212857=EDGE_CURVE('',#169842,#169842,#142880,.T.); #212858=EDGE_CURVE('',#169842,#169843,#92791,.T.); #212859=EDGE_CURVE('',#169843,#169843,#142881,.T.); #212860=EDGE_CURVE('',#169844,#169844,#142882,.T.); #212861=EDGE_CURVE('',#169844,#169845,#92792,.T.); #212862=EDGE_CURVE('',#169845,#169845,#142883,.T.); #212863=EDGE_CURVE('',#169846,#169846,#142884,.T.); #212864=EDGE_CURVE('',#169846,#169847,#92793,.T.); #212865=EDGE_CURVE('',#169847,#169847,#142885,.T.); #212866=EDGE_CURVE('',#169848,#169848,#142886,.T.); #212867=EDGE_CURVE('',#169848,#169849,#92794,.T.); #212868=EDGE_CURVE('',#169849,#169849,#142887,.T.); #212869=EDGE_CURVE('',#169850,#169850,#142888,.T.); #212870=EDGE_CURVE('',#169850,#169851,#92795,.T.); #212871=EDGE_CURVE('',#169851,#169851,#142889,.T.); #212872=EDGE_CURVE('',#169852,#169852,#142890,.T.); #212873=EDGE_CURVE('',#169852,#169853,#92796,.T.); #212874=EDGE_CURVE('',#169853,#169853,#142891,.T.); #212875=EDGE_CURVE('',#169854,#169854,#142892,.T.); #212876=EDGE_CURVE('',#169854,#169855,#92797,.T.); #212877=EDGE_CURVE('',#169855,#169855,#142893,.T.); #212878=EDGE_CURVE('',#169856,#169856,#142894,.T.); #212879=EDGE_CURVE('',#169856,#169857,#92798,.T.); #212880=EDGE_CURVE('',#169857,#169857,#142895,.T.); #212881=EDGE_CURVE('',#169858,#169858,#142896,.T.); #212882=EDGE_CURVE('',#169858,#169859,#92799,.T.); #212883=EDGE_CURVE('',#169859,#169859,#142897,.T.); #212884=EDGE_CURVE('',#169860,#169860,#142898,.T.); #212885=EDGE_CURVE('',#169860,#169861,#92800,.T.); #212886=EDGE_CURVE('',#169861,#169861,#142899,.T.); #212887=EDGE_CURVE('',#169862,#169862,#142900,.T.); #212888=EDGE_CURVE('',#169862,#169863,#92801,.T.); #212889=EDGE_CURVE('',#169863,#169863,#142901,.T.); #212890=EDGE_CURVE('',#169864,#169864,#142902,.T.); #212891=EDGE_CURVE('',#169864,#169865,#92802,.T.); #212892=EDGE_CURVE('',#169865,#169865,#142903,.T.); #212893=EDGE_CURVE('',#169866,#169866,#142904,.T.); #212894=EDGE_CURVE('',#169866,#169867,#92803,.T.); #212895=EDGE_CURVE('',#169867,#169867,#142905,.T.); #212896=EDGE_CURVE('',#169868,#169868,#142906,.T.); #212897=EDGE_CURVE('',#169868,#169869,#92804,.T.); #212898=EDGE_CURVE('',#169869,#169869,#142907,.T.); #212899=EDGE_CURVE('',#169870,#169870,#142908,.T.); #212900=EDGE_CURVE('',#169870,#169871,#92805,.T.); #212901=EDGE_CURVE('',#169871,#169871,#142909,.T.); #212902=EDGE_CURVE('',#169872,#169872,#142910,.T.); #212903=EDGE_CURVE('',#169872,#169873,#92806,.T.); #212904=EDGE_CURVE('',#169873,#169873,#142911,.T.); #212905=EDGE_CURVE('',#169874,#169874,#142912,.T.); #212906=EDGE_CURVE('',#169874,#169875,#92807,.T.); #212907=EDGE_CURVE('',#169875,#169875,#142913,.T.); #212908=EDGE_CURVE('',#169876,#169876,#142914,.T.); #212909=EDGE_CURVE('',#169876,#169877,#92808,.T.); #212910=EDGE_CURVE('',#169877,#169877,#142915,.T.); #212911=EDGE_CURVE('',#169878,#169878,#142916,.T.); #212912=EDGE_CURVE('',#169878,#169879,#92809,.T.); #212913=EDGE_CURVE('',#169879,#169879,#142917,.T.); #212914=EDGE_CURVE('',#169880,#169880,#142918,.T.); #212915=EDGE_CURVE('',#169880,#169881,#92810,.T.); #212916=EDGE_CURVE('',#169881,#169881,#142919,.T.); #212917=EDGE_CURVE('',#169882,#169882,#142920,.T.); #212918=EDGE_CURVE('',#169882,#169883,#92811,.T.); #212919=EDGE_CURVE('',#169883,#169883,#142921,.T.); #212920=EDGE_CURVE('',#169884,#169884,#142922,.T.); #212921=EDGE_CURVE('',#169884,#169885,#92812,.T.); #212922=EDGE_CURVE('',#169885,#169885,#142923,.T.); #212923=EDGE_CURVE('',#169886,#169886,#142924,.T.); #212924=EDGE_CURVE('',#169886,#169887,#92813,.T.); #212925=EDGE_CURVE('',#169887,#169887,#142925,.T.); #212926=EDGE_CURVE('',#169888,#169888,#142926,.T.); #212927=EDGE_CURVE('',#169888,#169889,#92814,.T.); #212928=EDGE_CURVE('',#169889,#169889,#142927,.T.); #212929=EDGE_CURVE('',#169890,#169890,#142928,.T.); #212930=EDGE_CURVE('',#169890,#169891,#92815,.T.); #212931=EDGE_CURVE('',#169891,#169891,#142929,.T.); #212932=EDGE_CURVE('',#169892,#169892,#142930,.T.); #212933=EDGE_CURVE('',#169892,#169893,#92816,.T.); #212934=EDGE_CURVE('',#169893,#169893,#142931,.T.); #212935=EDGE_CURVE('',#169894,#169894,#142932,.T.); #212936=EDGE_CURVE('',#169894,#169895,#92817,.T.); #212937=EDGE_CURVE('',#169895,#169895,#142933,.T.); #212938=EDGE_CURVE('',#169896,#169896,#142934,.T.); #212939=EDGE_CURVE('',#169896,#169897,#92818,.T.); #212940=EDGE_CURVE('',#169897,#169897,#142935,.T.); #212941=EDGE_CURVE('',#169898,#169898,#142936,.T.); #212942=EDGE_CURVE('',#169898,#169899,#92819,.T.); #212943=EDGE_CURVE('',#169899,#169899,#142937,.T.); #212944=EDGE_CURVE('',#169900,#169900,#142938,.T.); #212945=EDGE_CURVE('',#169900,#169901,#92820,.T.); #212946=EDGE_CURVE('',#169901,#169901,#142939,.T.); #212947=EDGE_CURVE('',#169902,#169902,#142940,.T.); #212948=EDGE_CURVE('',#169902,#169903,#92821,.T.); #212949=EDGE_CURVE('',#169903,#169903,#142941,.T.); #212950=EDGE_CURVE('',#169904,#169904,#142942,.T.); #212951=EDGE_CURVE('',#169904,#169905,#92822,.T.); #212952=EDGE_CURVE('',#169905,#169905,#142943,.T.); #212953=EDGE_CURVE('',#169906,#169906,#142944,.T.); #212954=EDGE_CURVE('',#169906,#169907,#92823,.T.); #212955=EDGE_CURVE('',#169907,#169907,#142945,.T.); #212956=EDGE_CURVE('',#169908,#169908,#142946,.T.); #212957=EDGE_CURVE('',#169908,#169909,#92824,.T.); #212958=EDGE_CURVE('',#169909,#169909,#142947,.T.); #212959=EDGE_CURVE('',#169910,#169910,#142948,.T.); #212960=EDGE_CURVE('',#169910,#169911,#92825,.T.); #212961=EDGE_CURVE('',#169911,#169911,#142949,.T.); #212962=EDGE_CURVE('',#169912,#169912,#142950,.T.); #212963=EDGE_CURVE('',#169912,#169913,#92826,.T.); #212964=EDGE_CURVE('',#169913,#169913,#142951,.T.); #212965=EDGE_CURVE('',#169914,#169914,#142952,.T.); #212966=EDGE_CURVE('',#169914,#169915,#92827,.T.); #212967=EDGE_CURVE('',#169915,#169915,#142953,.T.); #212968=EDGE_CURVE('',#169916,#169916,#142954,.T.); #212969=EDGE_CURVE('',#169916,#169917,#92828,.T.); #212970=EDGE_CURVE('',#169917,#169917,#142955,.T.); #212971=EDGE_CURVE('',#169918,#169918,#142956,.T.); #212972=EDGE_CURVE('',#169918,#169919,#92829,.T.); #212973=EDGE_CURVE('',#169919,#169919,#142957,.T.); #212974=EDGE_CURVE('',#169920,#169920,#142958,.T.); #212975=EDGE_CURVE('',#169920,#169921,#92830,.T.); #212976=EDGE_CURVE('',#169921,#169921,#142959,.T.); #212977=EDGE_CURVE('',#169922,#169922,#142960,.T.); #212978=EDGE_CURVE('',#169922,#169923,#92831,.T.); #212979=EDGE_CURVE('',#169923,#169923,#142961,.T.); #212980=EDGE_CURVE('',#169924,#169924,#142962,.T.); #212981=EDGE_CURVE('',#169924,#169925,#92832,.T.); #212982=EDGE_CURVE('',#169925,#169925,#142963,.T.); #212983=EDGE_CURVE('',#169926,#169926,#142964,.T.); #212984=EDGE_CURVE('',#169926,#169927,#92833,.T.); #212985=EDGE_CURVE('',#169927,#169927,#142965,.T.); #212986=EDGE_CURVE('',#169928,#169928,#142966,.T.); #212987=EDGE_CURVE('',#169928,#169929,#92834,.T.); #212988=EDGE_CURVE('',#169929,#169929,#142967,.T.); #212989=EDGE_CURVE('',#169930,#169930,#142968,.T.); #212990=EDGE_CURVE('',#169930,#169931,#92835,.T.); #212991=EDGE_CURVE('',#169931,#169931,#142969,.T.); #212992=EDGE_CURVE('',#169932,#169932,#142970,.T.); #212993=EDGE_CURVE('',#169932,#169933,#92836,.T.); #212994=EDGE_CURVE('',#169933,#169933,#142971,.T.); #212995=EDGE_CURVE('',#169934,#169934,#142972,.T.); #212996=EDGE_CURVE('',#169934,#169935,#92837,.T.); #212997=EDGE_CURVE('',#169935,#169935,#142973,.T.); #212998=EDGE_CURVE('',#169936,#169936,#142974,.T.); #212999=EDGE_CURVE('',#169936,#169937,#92838,.T.); #213000=EDGE_CURVE('',#169937,#169937,#142975,.T.); #213001=EDGE_CURVE('',#169938,#169938,#142976,.T.); #213002=EDGE_CURVE('',#169938,#169939,#92839,.T.); #213003=EDGE_CURVE('',#169939,#169939,#142977,.T.); #213004=EDGE_CURVE('',#169940,#169940,#142978,.T.); #213005=EDGE_CURVE('',#169940,#169941,#92840,.T.); #213006=EDGE_CURVE('',#169941,#169941,#142979,.T.); #213007=EDGE_CURVE('',#169942,#169942,#142980,.T.); #213008=EDGE_CURVE('',#169942,#169943,#92841,.T.); #213009=EDGE_CURVE('',#169943,#169943,#142981,.T.); #213010=EDGE_CURVE('',#169944,#169944,#142982,.T.); #213011=EDGE_CURVE('',#169944,#169945,#92842,.T.); #213012=EDGE_CURVE('',#169945,#169945,#142983,.T.); #213013=EDGE_CURVE('',#169946,#169946,#142984,.T.); #213014=EDGE_CURVE('',#169946,#169947,#92843,.T.); #213015=EDGE_CURVE('',#169947,#169947,#142985,.T.); #213016=EDGE_CURVE('',#169948,#169948,#142986,.T.); #213017=EDGE_CURVE('',#169948,#169949,#92844,.T.); #213018=EDGE_CURVE('',#169949,#169949,#142987,.T.); #213019=EDGE_CURVE('',#169950,#169950,#142988,.T.); #213020=EDGE_CURVE('',#169950,#169951,#92845,.T.); #213021=EDGE_CURVE('',#169951,#169951,#142989,.T.); #213022=EDGE_CURVE('',#169952,#169952,#142990,.T.); #213023=EDGE_CURVE('',#169952,#169953,#92846,.T.); #213024=EDGE_CURVE('',#169953,#169953,#142991,.T.); #213025=EDGE_CURVE('',#169954,#169954,#142992,.T.); #213026=EDGE_CURVE('',#169954,#169955,#92847,.T.); #213027=EDGE_CURVE('',#169955,#169955,#142993,.T.); #213028=EDGE_CURVE('',#169956,#169956,#142994,.T.); #213029=EDGE_CURVE('',#169956,#169957,#92848,.T.); #213030=EDGE_CURVE('',#169957,#169957,#142995,.T.); #213031=EDGE_CURVE('',#169958,#169958,#142996,.T.); #213032=EDGE_CURVE('',#169958,#169959,#92849,.T.); #213033=EDGE_CURVE('',#169959,#169959,#142997,.T.); #213034=EDGE_CURVE('',#169960,#169960,#142998,.T.); #213035=EDGE_CURVE('',#169960,#169961,#92850,.T.); #213036=EDGE_CURVE('',#169961,#169961,#142999,.T.); #213037=EDGE_CURVE('',#169962,#169962,#143000,.T.); #213038=EDGE_CURVE('',#169962,#169963,#92851,.T.); #213039=EDGE_CURVE('',#169963,#169963,#143001,.T.); #213040=EDGE_CURVE('',#169964,#169964,#143002,.T.); #213041=EDGE_CURVE('',#169964,#169965,#92852,.T.); #213042=EDGE_CURVE('',#169965,#169965,#143003,.T.); #213043=EDGE_CURVE('',#169966,#169966,#143004,.T.); #213044=EDGE_CURVE('',#169966,#169967,#92853,.T.); #213045=EDGE_CURVE('',#169967,#169967,#143005,.T.); #213046=EDGE_CURVE('',#169968,#169968,#143006,.T.); #213047=EDGE_CURVE('',#169968,#169969,#92854,.T.); #213048=EDGE_CURVE('',#169969,#169969,#143007,.T.); #213049=EDGE_CURVE('',#169970,#169970,#143008,.T.); #213050=EDGE_CURVE('',#169970,#169971,#92855,.T.); #213051=EDGE_CURVE('',#169971,#169971,#143009,.T.); #213052=EDGE_CURVE('',#169972,#169972,#143010,.T.); #213053=EDGE_CURVE('',#169972,#169973,#92856,.T.); #213054=EDGE_CURVE('',#169973,#169973,#143011,.T.); #213055=EDGE_CURVE('',#169974,#169974,#143012,.T.); #213056=EDGE_CURVE('',#169974,#169975,#92857,.T.); #213057=EDGE_CURVE('',#169975,#169975,#143013,.T.); #213058=EDGE_CURVE('',#169976,#169976,#143014,.T.); #213059=EDGE_CURVE('',#169976,#169977,#92858,.T.); #213060=EDGE_CURVE('',#169977,#169977,#143015,.T.); #213061=EDGE_CURVE('',#169978,#169978,#143016,.T.); #213062=EDGE_CURVE('',#169978,#169979,#92859,.T.); #213063=EDGE_CURVE('',#169979,#169979,#143017,.T.); #213064=EDGE_CURVE('',#169980,#169980,#143018,.T.); #213065=EDGE_CURVE('',#169980,#169981,#92860,.T.); #213066=EDGE_CURVE('',#169981,#169981,#143019,.T.); #213067=EDGE_CURVE('',#169982,#169982,#143020,.T.); #213068=EDGE_CURVE('',#169982,#169983,#92861,.T.); #213069=EDGE_CURVE('',#169983,#169983,#143021,.T.); #213070=EDGE_CURVE('',#169984,#169984,#143022,.T.); #213071=EDGE_CURVE('',#169984,#169985,#92862,.T.); #213072=EDGE_CURVE('',#169985,#169985,#143023,.T.); #213073=EDGE_CURVE('',#169986,#169986,#143024,.T.); #213074=EDGE_CURVE('',#169986,#169987,#92863,.T.); #213075=EDGE_CURVE('',#169987,#169987,#143025,.T.); #213076=EDGE_CURVE('',#169988,#169988,#143026,.T.); #213077=EDGE_CURVE('',#169988,#169989,#92864,.T.); #213078=EDGE_CURVE('',#169989,#169989,#143027,.T.); #213079=EDGE_CURVE('',#169990,#169990,#143028,.T.); #213080=EDGE_CURVE('',#169990,#169991,#92865,.T.); #213081=EDGE_CURVE('',#169991,#169991,#143029,.T.); #213082=EDGE_CURVE('',#169992,#169992,#143030,.T.); #213083=EDGE_CURVE('',#169992,#169993,#92866,.T.); #213084=EDGE_CURVE('',#169993,#169993,#143031,.T.); #213085=EDGE_CURVE('',#169994,#169994,#143032,.T.); #213086=EDGE_CURVE('',#169994,#169995,#92867,.T.); #213087=EDGE_CURVE('',#169995,#169995,#143033,.T.); #213088=EDGE_CURVE('',#169996,#169997,#92868,.T.); #213089=EDGE_CURVE('',#169997,#169998,#92869,.T.); #213090=EDGE_CURVE('',#169998,#169999,#92870,.T.); #213091=EDGE_CURVE('',#169999,#169996,#92871,.T.); #213092=EDGE_CURVE('',#169997,#170000,#92872,.T.); #213093=EDGE_CURVE('',#170001,#170000,#92873,.T.); #213094=EDGE_CURVE('',#169998,#170001,#92874,.T.); #213095=EDGE_CURVE('',#170002,#169996,#92875,.T.); #213096=EDGE_CURVE('',#170002,#170003,#92876,.T.); #213097=EDGE_CURVE('',#170003,#170004,#92877,.T.); #213098=EDGE_CURVE('',#170005,#170004,#92878,.T.); #213099=EDGE_CURVE('',#170005,#170006,#92879,.T.); #213100=EDGE_CURVE('',#170006,#170007,#92880,.T.); #213101=EDGE_CURVE('',#170007,#170008,#92881,.T.); #213102=EDGE_CURVE('',#170009,#170008,#92882,.T.); #213103=EDGE_CURVE('',#170009,#170010,#92883,.T.); #213104=EDGE_CURVE('',#170010,#170011,#92884,.T.); #213105=EDGE_CURVE('',#170011,#170012,#92885,.T.); #213106=EDGE_CURVE('',#170013,#170012,#92886,.T.); #213107=EDGE_CURVE('',#170013,#170014,#92887,.T.); #213108=EDGE_CURVE('',#170014,#170015,#92888,.T.); #213109=EDGE_CURVE('',#170015,#170016,#92889,.T.); #213110=EDGE_CURVE('',#170017,#170016,#92890,.T.); #213111=EDGE_CURVE('',#170017,#170018,#92891,.T.); #213112=EDGE_CURVE('',#170018,#170019,#92892,.T.); #213113=EDGE_CURVE('',#170019,#170020,#92893,.T.); #213114=EDGE_CURVE('',#170021,#170020,#92894,.T.); #213115=EDGE_CURVE('',#170022,#170021,#92895,.T.); #213116=EDGE_CURVE('',#170022,#170023,#92896,.T.); #213117=EDGE_CURVE('',#170023,#170024,#92897,.T.); #213118=EDGE_CURVE('',#170000,#170024,#92898,.T.); #213119=EDGE_CURVE('',#170025,#170001,#92899,.T.); #213120=EDGE_CURVE('',#170024,#170025,#92900,.T.); #213121=EDGE_CURVE('',#170025,#170026,#92901,.T.); #213122=EDGE_CURVE('',#170027,#170026,#92902,.T.); #213123=EDGE_CURVE('',#170027,#169999,#92903,.T.); #213124=EDGE_CURVE('',#170028,#170023,#92904,.T.); #213125=EDGE_CURVE('',#170029,#170028,#92905,.T.); #213126=EDGE_CURVE('',#170030,#170029,#92906,.T.); #213127=EDGE_CURVE('',#170031,#170030,#92907,.T.); #213128=EDGE_CURVE('',#170026,#170031,#92908,.T.); #213129=EDGE_CURVE('',#170032,#170002,#92909,.T.); #213130=EDGE_CURVE('',#170032,#170028,#92910,.T.); #213131=EDGE_CURVE('',#170033,#170022,#92911,.T.); #213132=EDGE_CURVE('',#170033,#170034,#92912,.T.); #213133=EDGE_CURVE('',#170034,#170003,#92913,.T.); #213134=EDGE_CURVE('',#170035,#170036,#92914,.T.); #213135=EDGE_CURVE('',#170037,#170035,#92915,.T.); #213136=EDGE_CURVE('',#170037,#170038,#92916,.T.); #213137=EDGE_CURVE('',#170038,#170036,#92917,.T.); #213138=EDGE_CURVE('',#170039,#170040,#92918,.T.); #213139=EDGE_CURVE('',#170041,#170039,#92919,.T.); #213140=EDGE_CURVE('',#170041,#170042,#92920,.T.); #213141=EDGE_CURVE('',#170042,#170040,#92921,.T.); #213142=EDGE_CURVE('',#170043,#170044,#92922,.T.); #213143=EDGE_CURVE('',#170045,#170043,#92923,.T.); #213144=EDGE_CURVE('',#170045,#170046,#92924,.T.); #213145=EDGE_CURVE('',#170046,#170044,#92925,.T.); #213146=EDGE_CURVE('',#170047,#170048,#92926,.T.); #213147=EDGE_CURVE('',#170049,#170047,#92927,.T.); #213148=EDGE_CURVE('',#170049,#170050,#92928,.T.); #213149=EDGE_CURVE('',#170050,#170048,#92929,.T.); #213150=EDGE_CURVE('',#170051,#170052,#92930,.T.); #213151=EDGE_CURVE('',#170007,#170052,#92931,.T.); #213152=EDGE_CURVE('',#170006,#170051,#92932,.T.); #213153=EDGE_CURVE('',#170053,#170054,#92933,.T.); #213154=EDGE_CURVE('',#170053,#170055,#92934,.T.); #213155=EDGE_CURVE('',#170055,#170056,#92935,.T.); #213156=EDGE_CURVE('',#170054,#170056,#92936,.T.); #213157=EDGE_CURVE('',#170057,#170058,#92937,.T.); #213158=EDGE_CURVE('',#170011,#170058,#92938,.T.); #213159=EDGE_CURVE('',#170010,#170057,#92939,.T.); #213160=EDGE_CURVE('',#170059,#170060,#92940,.T.); #213161=EDGE_CURVE('',#170059,#170061,#92941,.T.); #213162=EDGE_CURVE('',#170061,#170062,#92942,.T.); #213163=EDGE_CURVE('',#170060,#170062,#92943,.T.); #213164=EDGE_CURVE('',#170063,#170064,#92944,.T.); #213165=EDGE_CURVE('',#170015,#170064,#92945,.T.); #213166=EDGE_CURVE('',#170014,#170063,#92946,.T.); #213167=EDGE_CURVE('',#170065,#170066,#92947,.T.); #213168=EDGE_CURVE('',#170065,#170067,#92948,.T.); #213169=EDGE_CURVE('',#170067,#170068,#92949,.T.); #213170=EDGE_CURVE('',#170066,#170068,#92950,.T.); #213171=EDGE_CURVE('',#170069,#170070,#92951,.T.); #213172=EDGE_CURVE('',#170069,#170009,#92952,.T.); #213173=EDGE_CURVE('',#170008,#170070,#92953,.T.); #213174=EDGE_CURVE('',#170071,#170072,#92954,.T.); #213175=EDGE_CURVE('',#170071,#170005,#92955,.T.); #213176=EDGE_CURVE('',#170004,#170072,#92956,.T.); #213177=EDGE_CURVE('',#170073,#170074,#92957,.T.); #213178=EDGE_CURVE('',#170019,#170074,#92958,.T.); #213179=EDGE_CURVE('',#170018,#170073,#92959,.T.); #213180=EDGE_CURVE('',#170075,#170076,#92960,.T.); #213181=EDGE_CURVE('',#170075,#170077,#92961,.T.); #213182=EDGE_CURVE('',#170077,#170078,#92962,.T.); #213183=EDGE_CURVE('',#170076,#170078,#92963,.T.); #213184=EDGE_CURVE('',#170016,#170079,#92964,.T.); #213185=EDGE_CURVE('',#170080,#170079,#92965,.T.); #213186=EDGE_CURVE('',#170080,#170017,#92966,.T.); #213187=EDGE_CURVE('',#170081,#170063,#92967,.T.); #213188=EDGE_CURVE('',#170081,#170082,#92968,.T.); #213189=EDGE_CURVE('',#170058,#170082,#92969,.T.); #213190=EDGE_CURVE('',#170069,#170057,#92970,.T.); #213191=EDGE_CURVE('',#170052,#170070,#92971,.T.); #213192=EDGE_CURVE('',#170071,#170051,#92972,.T.); #213193=EDGE_CURVE('',#170072,#170034,#92973,.T.); #213194=EDGE_CURVE('',#170083,#170033,#92974,.T.); #213195=EDGE_CURVE('',#170083,#170084,#92975,.T.); #213196=EDGE_CURVE('',#170074,#170084,#92976,.T.); #213197=EDGE_CURVE('',#170080,#170073,#92977,.T.); #213198=EDGE_CURVE('',#170064,#170079,#92978,.T.); #213199=EDGE_CURVE('',#170020,#170084,#92979,.T.); #213200=EDGE_CURVE('',#170021,#170083,#92980,.T.); #213201=EDGE_CURVE('',#170027,#170085,#92981,.T.); #213202=EDGE_CURVE('',#170085,#170086,#92982,.T.); #213203=EDGE_CURVE('',#170086,#170087,#92983,.T.); #213204=EDGE_CURVE('',#170032,#170087,#92984,.T.); #213205=EDGE_CURVE('',#170087,#170029,#92985,.T.); #213206=EDGE_CURVE('',#170086,#170030,#92986,.T.); #213207=EDGE_CURVE('',#170085,#170031,#92987,.T.); #213208=EDGE_CURVE('',#170088,#170089,#92988,.T.); #213209=EDGE_CURVE('',#170089,#170090,#92989,.T.); #213210=EDGE_CURVE('',#170090,#170091,#92990,.T.); #213211=EDGE_CURVE('',#170091,#170088,#92991,.T.); #213212=EDGE_CURVE('',#170077,#170092,#92992,.T.); #213213=EDGE_CURVE('',#170092,#170093,#92993,.T.); #213214=EDGE_CURVE('',#170078,#170093,#92994,.T.); #213215=EDGE_CURVE('',#170076,#170094,#92995,.T.); #213216=EDGE_CURVE('',#170094,#170095,#92996,.T.); #213217=EDGE_CURVE('',#170075,#170095,#92997,.T.); #213218=EDGE_CURVE('',#170095,#170092,#92998,.T.); #213219=EDGE_CURVE('',#170093,#170094,#92999,.T.); #213220=EDGE_CURVE('',#170096,#170047,#93000,.T.); #213221=EDGE_CURVE('',#170096,#170097,#93001,.T.); #213222=EDGE_CURVE('',#170097,#170049,#93002,.T.); #213223=EDGE_CURVE('',#170098,#170050,#93003,.T.); #213224=EDGE_CURVE('',#170098,#170099,#93004,.T.); #213225=EDGE_CURVE('',#170099,#170048,#93005,.T.); #213226=EDGE_CURVE('',#170099,#170096,#93006,.T.); #213227=EDGE_CURVE('',#170097,#170098,#93007,.T.); #213228=EDGE_CURVE('',#170100,#170101,#93008,.T.); #213229=EDGE_CURVE('',#170101,#170102,#93009,.T.); #213230=EDGE_CURVE('',#170102,#170103,#93010,.T.); #213231=EDGE_CURVE('',#170103,#170100,#93011,.T.); #213232=EDGE_CURVE('',#170092,#170091,#93012,.T.); #213233=EDGE_CURVE('',#170088,#170095,#93013,.T.); #213234=EDGE_CURVE('',#170089,#170094,#93014,.T.); #213235=EDGE_CURVE('',#170093,#170090,#93015,.T.); #213236=EDGE_CURVE('',#170097,#170103,#93016,.T.); #213237=EDGE_CURVE('',#170100,#170096,#93017,.T.); #213238=EDGE_CURVE('',#170101,#170099,#93018,.T.); #213239=EDGE_CURVE('',#170098,#170102,#93019,.T.); #213240=EDGE_CURVE('',#170012,#170082,#93020,.T.); #213241=EDGE_CURVE('',#170081,#170013,#93021,.T.); #213242=EDGE_CURVE('',#170104,#170105,#93022,.T.); #213243=EDGE_CURVE('',#170105,#170106,#93023,.T.); #213244=EDGE_CURVE('',#170106,#170107,#93024,.T.); #213245=EDGE_CURVE('',#170107,#170104,#93025,.T.); #213246=EDGE_CURVE('',#170067,#170108,#93026,.T.); #213247=EDGE_CURVE('',#170108,#170109,#93027,.T.); #213248=EDGE_CURVE('',#170068,#170109,#93028,.T.); #213249=EDGE_CURVE('',#170066,#170110,#93029,.T.); #213250=EDGE_CURVE('',#170110,#170111,#93030,.T.); #213251=EDGE_CURVE('',#170065,#170111,#93031,.T.); #213252=EDGE_CURVE('',#170111,#170108,#93032,.T.); #213253=EDGE_CURVE('',#170109,#170110,#93033,.T.); #213254=EDGE_CURVE('',#170112,#170035,#93034,.T.); #213255=EDGE_CURVE('',#170112,#170113,#93035,.T.); #213256=EDGE_CURVE('',#170113,#170037,#93036,.T.); #213257=EDGE_CURVE('',#170114,#170038,#93037,.T.); #213258=EDGE_CURVE('',#170114,#170115,#93038,.T.); #213259=EDGE_CURVE('',#170115,#170036,#93039,.T.); #213260=EDGE_CURVE('',#170115,#170112,#93040,.T.); #213261=EDGE_CURVE('',#170113,#170114,#93041,.T.); #213262=EDGE_CURVE('',#170116,#170117,#93042,.T.); #213263=EDGE_CURVE('',#170117,#170118,#93043,.T.); #213264=EDGE_CURVE('',#170118,#170119,#93044,.T.); #213265=EDGE_CURVE('',#170119,#170116,#93045,.T.); #213266=EDGE_CURVE('',#170120,#170121,#93046,.T.); #213267=EDGE_CURVE('',#170121,#170122,#93047,.T.); #213268=EDGE_CURVE('',#170122,#170123,#93048,.T.); #213269=EDGE_CURVE('',#170123,#170120,#93049,.T.); #213270=EDGE_CURVE('',#170061,#170124,#93050,.T.); #213271=EDGE_CURVE('',#170124,#170125,#93051,.T.); #213272=EDGE_CURVE('',#170062,#170125,#93052,.T.); #213273=EDGE_CURVE('',#170060,#170126,#93053,.T.); #213274=EDGE_CURVE('',#170126,#170127,#93054,.T.); #213275=EDGE_CURVE('',#170059,#170127,#93055,.T.); #213276=EDGE_CURVE('',#170127,#170124,#93056,.T.); #213277=EDGE_CURVE('',#170125,#170126,#93057,.T.); #213278=EDGE_CURVE('',#170128,#170043,#93058,.T.); #213279=EDGE_CURVE('',#170128,#170129,#93059,.T.); #213280=EDGE_CURVE('',#170129,#170045,#93060,.T.); #213281=EDGE_CURVE('',#170130,#170046,#93061,.T.); #213282=EDGE_CURVE('',#170130,#170131,#93062,.T.); #213283=EDGE_CURVE('',#170131,#170044,#93063,.T.); #213284=EDGE_CURVE('',#170131,#170128,#93064,.T.); #213285=EDGE_CURVE('',#170129,#170130,#93065,.T.); #213286=EDGE_CURVE('',#170132,#170133,#93066,.T.); #213287=EDGE_CURVE('',#170133,#170134,#93067,.T.); #213288=EDGE_CURVE('',#170134,#170135,#93068,.T.); #213289=EDGE_CURVE('',#170135,#170132,#93069,.T.); #213290=EDGE_CURVE('',#170136,#170137,#93070,.T.); #213291=EDGE_CURVE('',#170137,#170138,#93071,.T.); #213292=EDGE_CURVE('',#170138,#170139,#93072,.T.); #213293=EDGE_CURVE('',#170139,#170136,#93073,.T.); #213294=EDGE_CURVE('',#170055,#170140,#93074,.T.); #213295=EDGE_CURVE('',#170140,#170141,#93075,.T.); #213296=EDGE_CURVE('',#170056,#170141,#93076,.T.); #213297=EDGE_CURVE('',#170054,#170142,#93077,.T.); #213298=EDGE_CURVE('',#170142,#170143,#93078,.T.); #213299=EDGE_CURVE('',#170053,#170143,#93079,.T.); #213300=EDGE_CURVE('',#170143,#170140,#93080,.T.); #213301=EDGE_CURVE('',#170141,#170142,#93081,.T.); #213302=EDGE_CURVE('',#170144,#170039,#93082,.T.); #213303=EDGE_CURVE('',#170144,#170145,#93083,.T.); #213304=EDGE_CURVE('',#170145,#170041,#93084,.T.); #213305=EDGE_CURVE('',#170146,#170042,#93085,.T.); #213306=EDGE_CURVE('',#170146,#170147,#93086,.T.); #213307=EDGE_CURVE('',#170147,#170040,#93087,.T.); #213308=EDGE_CURVE('',#170147,#170144,#93088,.T.); #213309=EDGE_CURVE('',#170145,#170146,#93089,.T.); #213310=EDGE_CURVE('',#170148,#170149,#93090,.T.); #213311=EDGE_CURVE('',#170149,#170150,#93091,.T.); #213312=EDGE_CURVE('',#170150,#170151,#93092,.T.); #213313=EDGE_CURVE('',#170151,#170148,#93093,.T.); #213314=EDGE_CURVE('',#170108,#170107,#93094,.T.); #213315=EDGE_CURVE('',#170104,#170111,#93095,.T.); #213316=EDGE_CURVE('',#170105,#170110,#93096,.T.); #213317=EDGE_CURVE('',#170109,#170106,#93097,.T.); #213318=EDGE_CURVE('',#170113,#170119,#93098,.T.); #213319=EDGE_CURVE('',#170116,#170112,#93099,.T.); #213320=EDGE_CURVE('',#170117,#170115,#93100,.T.); #213321=EDGE_CURVE('',#170114,#170118,#93101,.T.); #213322=EDGE_CURVE('',#170124,#170123,#93102,.T.); #213323=EDGE_CURVE('',#170120,#170127,#93103,.T.); #213324=EDGE_CURVE('',#170121,#170126,#93104,.T.); #213325=EDGE_CURVE('',#170125,#170122,#93105,.T.); #213326=EDGE_CURVE('',#170129,#170135,#93106,.T.); #213327=EDGE_CURVE('',#170132,#170128,#93107,.T.); #213328=EDGE_CURVE('',#170133,#170131,#93108,.T.); #213329=EDGE_CURVE('',#170130,#170134,#93109,.T.); #213330=EDGE_CURVE('',#170140,#170139,#93110,.T.); #213331=EDGE_CURVE('',#170136,#170143,#93111,.T.); #213332=EDGE_CURVE('',#170137,#170142,#93112,.T.); #213333=EDGE_CURVE('',#170141,#170138,#93113,.T.); #213334=EDGE_CURVE('',#170145,#170151,#93114,.T.); #213335=EDGE_CURVE('',#170148,#170144,#93115,.T.); #213336=EDGE_CURVE('',#170149,#170147,#93116,.T.); #213337=EDGE_CURVE('',#170146,#170150,#93117,.T.); #213338=EDGE_CURVE('',#170152,#170153,#143034,.F.); #213339=EDGE_CURVE('',#170154,#170152,#143035,.F.); #213340=EDGE_CURVE('',#170153,#170154,#143036,.F.); #213341=EDGE_CURVE('',#170155,#170156,#143037,.F.); #213342=EDGE_CURVE('',#170157,#170155,#143038,.F.); #213343=EDGE_CURVE('',#170156,#170157,#143039,.F.); #213344=EDGE_CURVE('',#170158,#170159,#143040,.F.); #213345=EDGE_CURVE('',#170160,#170158,#143041,.F.); #213346=EDGE_CURVE('',#170159,#170160,#143042,.F.); #213347=EDGE_CURVE('',#170161,#170162,#143043,.F.); #213348=EDGE_CURVE('',#170163,#170161,#143044,.F.); #213349=EDGE_CURVE('',#170162,#170163,#143045,.F.); #213350=EDGE_CURVE('',#170164,#170165,#143046,.F.); #213351=EDGE_CURVE('',#170166,#170164,#143047,.F.); #213352=EDGE_CURVE('',#170165,#170166,#143048,.F.); #213353=EDGE_CURVE('',#170164,#170159,#93118,.T.); #213354=EDGE_CURVE('',#170160,#170166,#93119,.T.); #213355=EDGE_CURVE('',#170167,#170168,#143049,.F.); #213356=EDGE_CURVE('',#170169,#170167,#143050,.F.); #213357=EDGE_CURVE('',#170168,#170169,#143051,.F.); #213358=EDGE_CURVE('',#170170,#170171,#143052,.F.); #213359=EDGE_CURVE('',#170172,#170170,#143053,.F.); #213360=EDGE_CURVE('',#170171,#170172,#143054,.F.); #213361=EDGE_CURVE('',#170158,#170172,#93120,.T.); #213362=EDGE_CURVE('',#170170,#170160,#93121,.T.); #213363=EDGE_CURVE('',#170161,#170171,#93122,.T.); #213364=EDGE_CURVE('',#170172,#170163,#93123,.T.); #213365=EDGE_CURVE('',#170173,#170174,#143055,.F.); #213366=EDGE_CURVE('',#170175,#170173,#143056,.F.); #213367=EDGE_CURVE('',#170174,#170175,#143057,.F.); #213368=EDGE_CURVE('',#170166,#170175,#93124,.T.); #213369=EDGE_CURVE('',#170173,#170165,#93125,.T.); #213370=EDGE_CURVE('',#170171,#170174,#93126,.T.); #213371=EDGE_CURVE('',#170175,#170170,#93127,.T.); #213372=EDGE_CURVE('',#170167,#170173,#93128,.T.); #213373=EDGE_CURVE('',#170174,#170169,#93129,.T.); #213374=EDGE_CURVE('',#170162,#170168,#93130,.T.); #213375=EDGE_CURVE('',#170169,#170161,#93131,.T.); #213376=EDGE_CURVE('',#170168,#170156,#93132,.T.); #213377=EDGE_CURVE('',#170157,#170167,#93133,.T.); #213378=EDGE_CURVE('',#170155,#170164,#93134,.T.); #213379=EDGE_CURVE('',#170165,#170157,#93135,.T.); #213380=EDGE_CURVE('',#170153,#170162,#93136,.T.); #213381=EDGE_CURVE('',#170163,#170152,#93137,.T.); #213382=EDGE_CURVE('',#170152,#170158,#93138,.T.); #213383=EDGE_CURVE('',#170159,#170154,#93139,.T.); #213384=EDGE_CURVE('',#170156,#170153,#93140,.T.); #213385=EDGE_CURVE('',#170154,#170155,#93141,.T.); #213386=EDGE_CURVE('',#170176,#170177,#143058,.F.); #213387=EDGE_CURVE('',#170178,#170176,#143059,.F.); #213388=EDGE_CURVE('',#170177,#170178,#143060,.F.); #213389=EDGE_CURVE('',#170179,#170180,#143061,.F.); #213390=EDGE_CURVE('',#170181,#170179,#143062,.F.); #213391=EDGE_CURVE('',#170180,#170181,#143063,.F.); #213392=EDGE_CURVE('',#170182,#170183,#143064,.F.); #213393=EDGE_CURVE('',#170184,#170182,#143065,.F.); #213394=EDGE_CURVE('',#170183,#170184,#143066,.F.); #213395=EDGE_CURVE('',#170185,#170186,#143067,.F.); #213396=EDGE_CURVE('',#170187,#170185,#143068,.F.); #213397=EDGE_CURVE('',#170186,#170187,#143069,.F.); #213398=EDGE_CURVE('',#170188,#170189,#143070,.F.); #213399=EDGE_CURVE('',#170190,#170188,#143071,.F.); #213400=EDGE_CURVE('',#170189,#170190,#143072,.F.); #213401=EDGE_CURVE('',#170188,#170183,#93142,.T.); #213402=EDGE_CURVE('',#170184,#170190,#93143,.T.); #213403=EDGE_CURVE('',#170191,#170192,#143073,.F.); #213404=EDGE_CURVE('',#170193,#170191,#143074,.F.); #213405=EDGE_CURVE('',#170192,#170193,#143075,.F.); #213406=EDGE_CURVE('',#170194,#170195,#143076,.F.); #213407=EDGE_CURVE('',#170196,#170194,#143077,.F.); #213408=EDGE_CURVE('',#170195,#170196,#143078,.F.); #213409=EDGE_CURVE('',#170182,#170196,#93144,.T.); #213410=EDGE_CURVE('',#170194,#170184,#93145,.T.); #213411=EDGE_CURVE('',#170185,#170195,#93146,.T.); #213412=EDGE_CURVE('',#170196,#170187,#93147,.T.); #213413=EDGE_CURVE('',#170197,#170198,#143079,.F.); #213414=EDGE_CURVE('',#170199,#170197,#143080,.F.); #213415=EDGE_CURVE('',#170198,#170199,#143081,.F.); #213416=EDGE_CURVE('',#170197,#170189,#93148,.T.); #213417=EDGE_CURVE('',#170190,#170199,#93149,.T.); #213418=EDGE_CURVE('',#170195,#170198,#93150,.T.); #213419=EDGE_CURVE('',#170199,#170194,#93151,.T.); #213420=EDGE_CURVE('',#170191,#170197,#93152,.T.); #213421=EDGE_CURVE('',#170198,#170193,#93153,.T.); #213422=EDGE_CURVE('',#170186,#170192,#93154,.T.); #213423=EDGE_CURVE('',#170193,#170185,#93155,.T.); #213424=EDGE_CURVE('',#170192,#170180,#93156,.T.); #213425=EDGE_CURVE('',#170181,#170191,#93157,.T.); #213426=EDGE_CURVE('',#170179,#170188,#93158,.T.); #213427=EDGE_CURVE('',#170189,#170181,#93159,.T.); #213428=EDGE_CURVE('',#170177,#170186,#93160,.T.); #213429=EDGE_CURVE('',#170187,#170176,#93161,.T.); #213430=EDGE_CURVE('',#170176,#170182,#93162,.T.); #213431=EDGE_CURVE('',#170183,#170178,#93163,.T.); #213432=EDGE_CURVE('',#170180,#170177,#93164,.T.); #213433=EDGE_CURVE('',#170178,#170179,#93165,.T.); #213434=EDGE_CURVE('',#170200,#170201,#93166,.T.); #213435=EDGE_CURVE('',#170200,#170202,#93167,.T.); #213436=EDGE_CURVE('',#170203,#170202,#93168,.T.); #213437=EDGE_CURVE('',#170201,#170203,#93169,.T.); #213438=EDGE_CURVE('',#170204,#170201,#93170,.T.); #213439=EDGE_CURVE('',#170205,#170203,#93171,.T.); #213440=EDGE_CURVE('',#170204,#170205,#93172,.T.); #213441=EDGE_CURVE('',#170206,#170204,#93173,.T.); #213442=EDGE_CURVE('',#170207,#170205,#93174,.T.); #213443=EDGE_CURVE('',#170206,#170207,#93175,.T.); #213444=EDGE_CURVE('',#170200,#170206,#93176,.T.); #213445=EDGE_CURVE('',#170202,#170207,#93177,.T.); #213446=EDGE_CURVE('',#170208,#170209,#93178,.T.); #213447=EDGE_CURVE('',#170210,#170208,#93179,.T.); #213448=EDGE_CURVE('',#170211,#170210,#93180,.T.); #213449=EDGE_CURVE('',#170209,#170211,#93181,.T.); #213450=EDGE_CURVE('',#170212,#170213,#93182,.T.); #213451=EDGE_CURVE('',#170213,#170214,#93183,.T.); #213452=EDGE_CURVE('',#170214,#170215,#93184,.T.); #213453=EDGE_CURVE('',#170215,#170212,#93185,.T.); #213454=EDGE_CURVE('',#170212,#170208,#93186,.T.); #213455=EDGE_CURVE('',#170213,#170209,#93187,.T.); #213456=EDGE_CURVE('',#170214,#170211,#93188,.T.); #213457=EDGE_CURVE('',#170215,#170210,#93189,.T.); #213458=EDGE_CURVE('',#170216,#170217,#93190,.T.); #213459=EDGE_CURVE('',#170217,#170218,#93191,.T.); #213460=EDGE_CURVE('',#170219,#170218,#93192,.T.); #213461=EDGE_CURVE('',#170216,#170219,#93193,.T.); #213462=EDGE_CURVE('',#170220,#170216,#93194,.T.); #213463=EDGE_CURVE('',#170221,#170219,#93195,.T.); #213464=EDGE_CURVE('',#170220,#170221,#93196,.T.); #213465=EDGE_CURVE('',#170222,#170220,#93197,.T.); #213466=EDGE_CURVE('',#170223,#170221,#93198,.T.); #213467=EDGE_CURVE('',#170222,#170223,#93199,.T.); #213468=EDGE_CURVE('',#170217,#170222,#93200,.T.); #213469=EDGE_CURVE('',#170218,#170223,#93201,.T.); #213470=EDGE_CURVE('',#170224,#170225,#93202,.T.); #213471=EDGE_CURVE('',#170226,#170224,#93203,.T.); #213472=EDGE_CURVE('',#170227,#170226,#93204,.T.); #213473=EDGE_CURVE('',#170228,#170227,#93205,.T.); #213474=EDGE_CURVE('',#170228,#170229,#93206,.T.); #213475=EDGE_CURVE('',#170229,#170230,#93207,.T.); #213476=EDGE_CURVE('',#170230,#170231,#93208,.T.); #213477=EDGE_CURVE('',#170232,#170231,#93209,.T.); #213478=EDGE_CURVE('',#170232,#170233,#93210,.T.); #213479=EDGE_CURVE('',#170234,#170233,#93211,.T.); #213480=EDGE_CURVE('',#170235,#170234,#93212,.T.); #213481=EDGE_CURVE('',#170235,#170236,#93213,.T.); #213482=EDGE_CURVE('',#170237,#170236,#93214,.T.); #213483=EDGE_CURVE('',#170238,#170237,#93215,.T.); #213484=EDGE_CURVE('',#170239,#170238,#93216,.T.); #213485=EDGE_CURVE('',#170239,#170225,#93217,.T.); #213486=EDGE_CURVE('',#170240,#170241,#93218,.T.); #213487=EDGE_CURVE('',#170242,#170240,#93219,.T.); #213488=EDGE_CURVE('',#170242,#170243,#93220,.T.); #213489=EDGE_CURVE('',#170243,#170241,#93221,.T.); #213490=EDGE_CURVE('',#170244,#170245,#143082,.T.); #213491=EDGE_CURVE('',#170244,#170246,#93222,.T.); #213492=EDGE_CURVE('',#170246,#170247,#143083,.T.); #213493=EDGE_CURVE('',#170248,#170247,#93223,.T.); #213494=EDGE_CURVE('',#170248,#170249,#143084,.F.); #213495=EDGE_CURVE('',#170249,#170250,#93224,.T.); #213496=EDGE_CURVE('',#170251,#170250,#143085,.T.); #213497=EDGE_CURVE('',#170245,#170251,#93225,.F.); #213498=EDGE_CURVE('',#170252,#170253,#143086,.T.); #213499=EDGE_CURVE('',#170254,#170252,#93226,.T.); #213500=EDGE_CURVE('',#170255,#170254,#143087,.T.); #213501=EDGE_CURVE('',#170256,#170255,#93227,.T.); #213502=EDGE_CURVE('',#170256,#170257,#143088,.F.); #213503=EDGE_CURVE('',#170257,#170258,#93228,.T.); #213504=EDGE_CURVE('',#170258,#170259,#143089,.T.); #213505=EDGE_CURVE('',#170253,#170259,#93229,.T.); #213506=EDGE_CURVE('',#170253,#170244,#93230,.T.); #213507=EDGE_CURVE('',#170259,#170246,#93231,.T.); #213508=EDGE_CURVE('',#170250,#170255,#93232,.T.); #213509=EDGE_CURVE('',#170249,#170256,#93233,.T.); #213510=EDGE_CURVE('',#170260,#170261,#93234,.T.); #213511=EDGE_CURVE('',#170260,#170262,#93235,.T.); #213512=EDGE_CURVE('',#170262,#170263,#93236,.T.); #213513=EDGE_CURVE('',#170263,#170264,#93237,.T.); #213514=EDGE_CURVE('',#170264,#170265,#93238,.T.); #213515=EDGE_CURVE('',#170266,#170265,#93239,.T.); #213516=EDGE_CURVE('',#170266,#170267,#93240,.T.); #213517=EDGE_CURVE('',#170267,#170268,#93241,.T.); #213518=EDGE_CURVE('',#170268,#170269,#93242,.T.); #213519=EDGE_CURVE('',#170270,#170269,#93243,.T.); #213520=EDGE_CURVE('',#170271,#170270,#93244,.T.); #213521=EDGE_CURVE('',#170271,#170272,#93245,.T.); #213522=EDGE_CURVE('',#170272,#170273,#93246,.T.); #213523=EDGE_CURVE('',#170274,#170273,#93247,.T.); #213524=EDGE_CURVE('',#170274,#170275,#93248,.T.); #213525=EDGE_CURVE('',#170261,#170275,#93249,.T.); #213526=EDGE_CURVE('',#170275,#170276,#93250,.T.); #213527=EDGE_CURVE('',#170277,#170274,#93251,.T.); #213528=EDGE_CURVE('',#170276,#170277,#93252,.T.); #213529=EDGE_CURVE('',#170278,#170279,#93253,.T.); #213530=EDGE_CURVE('',#170278,#170280,#93254,.T.); #213531=EDGE_CURVE('',#170280,#170281,#93255,.T.); #213532=EDGE_CURVE('',#170281,#170282,#93256,.T.); #213533=EDGE_CURVE('',#170283,#170282,#93257,.T.); #213534=EDGE_CURVE('',#170284,#170283,#93258,.T.); #213535=EDGE_CURVE('',#170285,#170284,#93259,.T.); #213536=EDGE_CURVE('',#170286,#170285,#93260,.T.); #213537=EDGE_CURVE('',#170287,#170286,#93261,.T.); #213538=EDGE_CURVE('',#170288,#170287,#93262,.T.); #213539=EDGE_CURVE('',#170289,#170288,#93263,.T.); #213540=EDGE_CURVE('',#170290,#170289,#93264,.T.); #213541=EDGE_CURVE('',#170291,#170290,#93265,.T.); #213542=EDGE_CURVE('',#170292,#170291,#93266,.T.); #213543=EDGE_CURVE('',#170293,#170292,#93267,.T.); #213544=EDGE_CURVE('',#170294,#170293,#93268,.T.); #213545=EDGE_CURVE('',#170295,#170294,#93269,.T.); #213546=EDGE_CURVE('',#170296,#170295,#93270,.T.); #213547=EDGE_CURVE('',#170279,#170296,#93271,.T.); #213548=EDGE_CURVE('',#170297,#170226,#93272,.T.); #213549=EDGE_CURVE('',#170297,#170298,#143090,.F.); #213550=EDGE_CURVE('',#170298,#170299,#93273,.T.); #213551=EDGE_CURVE('',#170300,#170299,#93274,.T.); #213552=EDGE_CURVE('',#170226,#170300,#93275,.T.); #213553=EDGE_CURVE('',#170301,#170302,#143091,.F.); #213554=EDGE_CURVE('',#170302,#170229,#93276,.T.); #213555=EDGE_CURVE('',#170229,#170303,#93277,.T.); #213556=EDGE_CURVE('',#170304,#170303,#93278,.T.); #213557=EDGE_CURVE('',#170304,#170301,#93279,.T.); #213558=EDGE_CURVE('',#170305,#170306,#143092,.F.); #213559=EDGE_CURVE('',#170305,#170302,#112,.T.); #213560=EDGE_CURVE('',#170301,#170307,#93280,.T.); #213561=EDGE_CURVE('',#170263,#170307,#143093,.T.); #213562=EDGE_CURVE('',#170308,#170263,#93281,.T.); #213563=EDGE_CURVE('',#170309,#170308,#143094,.T.); #213564=EDGE_CURVE('',#170309,#170306,#113,.T.); #213565=EDGE_CURVE('',#170310,#170311,#93282,.T.); #213566=EDGE_CURVE('',#170310,#170312,#93283,.T.); #213567=EDGE_CURVE('',#170312,#170313,#93284,.T.); #213568=EDGE_CURVE('',#170313,#170311,#93285,.T.); #213569=EDGE_CURVE('',#170314,#170315,#93286,.T.); #213570=EDGE_CURVE('',#170314,#170316,#93287,.T.); #213571=EDGE_CURVE('',#170316,#170317,#93288,.T.); #213572=EDGE_CURVE('',#170317,#170315,#93289,.T.); #213573=EDGE_CURVE('',#170318,#170319,#93290,.T.); #213574=EDGE_CURVE('',#170318,#170320,#93291,.T.); #213575=EDGE_CURVE('',#170320,#170321,#93292,.T.); #213576=EDGE_CURVE('',#170321,#170319,#93293,.T.); #213577=EDGE_CURVE('',#170322,#170323,#93294,.T.); #213578=EDGE_CURVE('',#170322,#170324,#93295,.T.); #213579=EDGE_CURVE('',#170324,#170325,#93296,.T.); #213580=EDGE_CURVE('',#170325,#170323,#93297,.T.); #213581=EDGE_CURVE('',#170326,#170327,#93298,.T.); #213582=EDGE_CURVE('',#170326,#170328,#93299,.T.); #213583=EDGE_CURVE('',#170328,#170329,#93300,.T.); #213584=EDGE_CURVE('',#170329,#170327,#93301,.T.); #213585=EDGE_CURVE('',#170330,#170331,#93302,.T.); #213586=EDGE_CURVE('',#170330,#170332,#93303,.T.); #213587=EDGE_CURVE('',#170332,#170333,#93304,.T.); #213588=EDGE_CURVE('',#170333,#170331,#93305,.T.); #213589=EDGE_CURVE('',#170334,#170335,#93306,.T.); #213590=EDGE_CURVE('',#170334,#170336,#93307,.T.); #213591=EDGE_CURVE('',#170336,#170337,#93308,.T.); #213592=EDGE_CURVE('',#170337,#170335,#93309,.T.); #213593=EDGE_CURVE('',#170338,#170339,#93310,.T.); #213594=EDGE_CURVE('',#170338,#170340,#93311,.T.); #213595=EDGE_CURVE('',#170340,#170341,#93312,.T.); #213596=EDGE_CURVE('',#170341,#170339,#93313,.T.); #213597=EDGE_CURVE('',#170342,#170343,#93314,.T.); #213598=EDGE_CURVE('',#170342,#170344,#93315,.T.); #213599=EDGE_CURVE('',#170344,#170345,#93316,.T.); #213600=EDGE_CURVE('',#170345,#170343,#93317,.T.); #213601=EDGE_CURVE('',#170346,#170347,#93318,.T.); #213602=EDGE_CURVE('',#170346,#170348,#93319,.T.); #213603=EDGE_CURVE('',#170348,#170349,#93320,.T.); #213604=EDGE_CURVE('',#170349,#170347,#93321,.T.); #213605=EDGE_CURVE('',#170350,#170351,#93322,.T.); #213606=EDGE_CURVE('',#170350,#170352,#93323,.T.); #213607=EDGE_CURVE('',#170352,#170353,#93324,.T.); #213608=EDGE_CURVE('',#170353,#170351,#93325,.T.); #213609=EDGE_CURVE('',#170354,#170355,#93326,.T.); #213610=EDGE_CURVE('',#170354,#170356,#93327,.T.); #213611=EDGE_CURVE('',#170356,#170357,#93328,.T.); #213612=EDGE_CURVE('',#170357,#170355,#93329,.T.); #213613=EDGE_CURVE('',#170356,#170358,#93330,.T.); #213614=EDGE_CURVE('',#170358,#170359,#93331,.T.); #213615=EDGE_CURVE('',#170357,#170359,#93332,.T.); #213616=EDGE_CURVE('',#170358,#170360,#93333,.T.); #213617=EDGE_CURVE('',#170360,#170361,#93334,.T.); #213618=EDGE_CURVE('',#170359,#170361,#93335,.T.); #213619=EDGE_CURVE('',#170362,#170363,#93336,.T.); #213620=EDGE_CURVE('',#170362,#170364,#93337,.T.); #213621=EDGE_CURVE('',#170364,#170365,#93338,.T.); #213622=EDGE_CURVE('',#170363,#170365,#93339,.T.); #213623=EDGE_CURVE('',#170364,#170350,#93340,.T.); #213624=EDGE_CURVE('',#170365,#170351,#93341,.T.); #213625=EDGE_CURVE('',#170352,#170366,#93342,.T.); #213626=EDGE_CURVE('',#170366,#170367,#93343,.T.); #213627=EDGE_CURVE('',#170353,#170367,#93344,.T.); #213628=EDGE_CURVE('',#170366,#170368,#93345,.T.); #213629=EDGE_CURVE('',#170368,#170369,#93346,.T.); #213630=EDGE_CURVE('',#170367,#170369,#93347,.T.); #213631=EDGE_CURVE('',#170370,#170371,#93348,.T.); #213632=EDGE_CURVE('',#170370,#170372,#93349,.T.); #213633=EDGE_CURVE('',#170372,#170373,#93350,.T.); #213634=EDGE_CURVE('',#170371,#170373,#93351,.T.); #213635=EDGE_CURVE('',#170372,#170346,#93352,.T.); #213636=EDGE_CURVE('',#170373,#170347,#93353,.T.); #213637=EDGE_CURVE('',#170348,#170374,#93354,.T.); #213638=EDGE_CURVE('',#170374,#170375,#93355,.T.); #213639=EDGE_CURVE('',#170349,#170375,#93356,.T.); #213640=EDGE_CURVE('',#170374,#170376,#93357,.T.); #213641=EDGE_CURVE('',#170376,#170377,#93358,.T.); #213642=EDGE_CURVE('',#170375,#170377,#93359,.T.); #213643=EDGE_CURVE('',#170378,#170379,#93360,.T.); #213644=EDGE_CURVE('',#170378,#170380,#93361,.T.); #213645=EDGE_CURVE('',#170380,#170381,#93362,.T.); #213646=EDGE_CURVE('',#170379,#170381,#93363,.T.); #213647=EDGE_CURVE('',#170380,#170342,#93364,.T.); #213648=EDGE_CURVE('',#170381,#170343,#93365,.T.); #213649=EDGE_CURVE('',#170344,#170382,#93366,.T.); #213650=EDGE_CURVE('',#170382,#170383,#93367,.T.); #213651=EDGE_CURVE('',#170345,#170383,#93368,.T.); #213652=EDGE_CURVE('',#170382,#170384,#93369,.T.); #213653=EDGE_CURVE('',#170384,#170385,#93370,.T.); #213654=EDGE_CURVE('',#170383,#170385,#93371,.T.); #213655=EDGE_CURVE('',#170386,#170387,#93372,.T.); #213656=EDGE_CURVE('',#170386,#170388,#93373,.T.); #213657=EDGE_CURVE('',#170388,#170389,#93374,.T.); #213658=EDGE_CURVE('',#170387,#170389,#93375,.T.); #213659=EDGE_CURVE('',#170388,#170338,#93376,.T.); #213660=EDGE_CURVE('',#170389,#170339,#93377,.T.); #213661=EDGE_CURVE('',#170340,#170390,#93378,.T.); #213662=EDGE_CURVE('',#170390,#170391,#93379,.T.); #213663=EDGE_CURVE('',#170341,#170391,#93380,.T.); #213664=EDGE_CURVE('',#170390,#170392,#93381,.T.); #213665=EDGE_CURVE('',#170392,#170393,#93382,.T.); #213666=EDGE_CURVE('',#170391,#170393,#93383,.T.); #213667=EDGE_CURVE('',#170394,#170395,#93384,.T.); #213668=EDGE_CURVE('',#170394,#170396,#93385,.T.); #213669=EDGE_CURVE('',#170396,#170397,#93386,.T.); #213670=EDGE_CURVE('',#170395,#170397,#93387,.T.); #213671=EDGE_CURVE('',#170396,#170334,#93388,.T.); #213672=EDGE_CURVE('',#170397,#170335,#93389,.T.); #213673=EDGE_CURVE('',#170336,#170398,#93390,.T.); #213674=EDGE_CURVE('',#170398,#170399,#93391,.T.); #213675=EDGE_CURVE('',#170337,#170399,#93392,.T.); #213676=EDGE_CURVE('',#170398,#170400,#93393,.T.); #213677=EDGE_CURVE('',#170400,#170401,#93394,.T.); #213678=EDGE_CURVE('',#170399,#170401,#93395,.T.); #213679=EDGE_CURVE('',#170402,#170403,#93396,.T.); #213680=EDGE_CURVE('',#170402,#170404,#93397,.T.); #213681=EDGE_CURVE('',#170404,#170405,#93398,.T.); #213682=EDGE_CURVE('',#170403,#170405,#93399,.T.); #213683=EDGE_CURVE('',#170404,#170310,#93400,.T.); #213684=EDGE_CURVE('',#170405,#170311,#93401,.T.); #213685=EDGE_CURVE('',#170332,#170406,#93402,.T.); #213686=EDGE_CURVE('',#170406,#170407,#93403,.T.); #213687=EDGE_CURVE('',#170333,#170407,#93404,.T.); #213688=EDGE_CURVE('',#170406,#170408,#93405,.T.); #213689=EDGE_CURVE('',#170408,#170409,#93406,.T.); #213690=EDGE_CURVE('',#170407,#170409,#93407,.T.); #213691=EDGE_CURVE('',#170330,#170410,#93408,.T.); #213692=EDGE_CURVE('',#170331,#170411,#93409,.T.); #213693=EDGE_CURVE('',#170410,#170411,#93410,.T.); #213694=EDGE_CURVE('',#170410,#170412,#93411,.T.); #213695=EDGE_CURVE('',#170411,#170413,#93412,.T.); #213696=EDGE_CURVE('',#170412,#170413,#93413,.T.); #213697=EDGE_CURVE('',#170414,#170415,#93414,.T.); #213698=EDGE_CURVE('',#170414,#170416,#93415,.T.); #213699=EDGE_CURVE('',#170416,#170417,#93416,.T.); #213700=EDGE_CURVE('',#170415,#170417,#93417,.T.); #213701=EDGE_CURVE('',#170415,#170328,#93418,.T.); #213702=EDGE_CURVE('',#170417,#170329,#93419,.T.); #213703=EDGE_CURVE('',#170326,#170418,#93420,.T.); #213704=EDGE_CURVE('',#170327,#170419,#93421,.T.); #213705=EDGE_CURVE('',#170418,#170419,#93422,.T.); #213706=EDGE_CURVE('',#170418,#170420,#93423,.T.); #213707=EDGE_CURVE('',#170419,#170421,#93424,.T.); #213708=EDGE_CURVE('',#170420,#170421,#93425,.T.); #213709=EDGE_CURVE('',#170422,#170423,#93426,.T.); #213710=EDGE_CURVE('',#170422,#170424,#93427,.T.); #213711=EDGE_CURVE('',#170424,#170425,#93428,.T.); #213712=EDGE_CURVE('',#170423,#170425,#93429,.T.); #213713=EDGE_CURVE('',#170423,#170324,#93430,.T.); #213714=EDGE_CURVE('',#170425,#170325,#93431,.T.); #213715=EDGE_CURVE('',#170322,#170426,#93432,.T.); #213716=EDGE_CURVE('',#170323,#170427,#93433,.T.); #213717=EDGE_CURVE('',#170426,#170427,#93434,.T.); #213718=EDGE_CURVE('',#170426,#170428,#93435,.T.); #213719=EDGE_CURVE('',#170427,#170429,#93436,.T.); #213720=EDGE_CURVE('',#170428,#170429,#93437,.T.); #213721=EDGE_CURVE('',#170430,#170431,#93438,.T.); #213722=EDGE_CURVE('',#170430,#170432,#93439,.T.); #213723=EDGE_CURVE('',#170432,#170433,#93440,.T.); #213724=EDGE_CURVE('',#170431,#170433,#93441,.T.); #213725=EDGE_CURVE('',#170431,#170320,#93442,.T.); #213726=EDGE_CURVE('',#170433,#170321,#93443,.T.); #213727=EDGE_CURVE('',#170318,#170434,#93444,.T.); #213728=EDGE_CURVE('',#170319,#170435,#93445,.T.); #213729=EDGE_CURVE('',#170434,#170435,#93446,.T.); #213730=EDGE_CURVE('',#170434,#170436,#93447,.T.); #213731=EDGE_CURVE('',#170435,#170437,#93448,.T.); #213732=EDGE_CURVE('',#170436,#170437,#93449,.T.); #213733=EDGE_CURVE('',#170438,#170439,#93450,.T.); #213734=EDGE_CURVE('',#170438,#170440,#93451,.T.); #213735=EDGE_CURVE('',#170440,#170441,#93452,.T.); #213736=EDGE_CURVE('',#170439,#170441,#93453,.T.); #213737=EDGE_CURVE('',#170439,#170316,#93454,.T.); #213738=EDGE_CURVE('',#170441,#170317,#93455,.T.); #213739=EDGE_CURVE('',#170314,#170442,#93456,.T.); #213740=EDGE_CURVE('',#170315,#170443,#93457,.T.); #213741=EDGE_CURVE('',#170442,#170443,#93458,.T.); #213742=EDGE_CURVE('',#170442,#170444,#93459,.T.); #213743=EDGE_CURVE('',#170443,#170445,#93460,.T.); #213744=EDGE_CURVE('',#170444,#170445,#93461,.T.); #213745=EDGE_CURVE('',#170446,#170447,#93462,.T.); #213746=EDGE_CURVE('',#170446,#170448,#93463,.T.); #213747=EDGE_CURVE('',#170448,#170449,#93464,.T.); #213748=EDGE_CURVE('',#170447,#170449,#93465,.T.); #213749=EDGE_CURVE('',#170447,#170312,#93466,.T.); #213750=EDGE_CURVE('',#170449,#170313,#93467,.T.); #213751=EDGE_CURVE('',#170354,#170450,#93468,.T.); #213752=EDGE_CURVE('',#170355,#170451,#93469,.T.); #213753=EDGE_CURVE('',#170450,#170451,#93470,.T.); #213754=EDGE_CURVE('',#170450,#170452,#93471,.T.); #213755=EDGE_CURVE('',#170451,#170453,#93472,.T.); #213756=EDGE_CURVE('',#170452,#170453,#93473,.T.); #213757=EDGE_CURVE('',#170454,#170455,#93474,.T.); #213758=EDGE_CURVE('',#170455,#170456,#93475,.T.); #213759=EDGE_CURVE('',#170456,#170227,#93476,.T.); #213760=EDGE_CURVE('',#170300,#170454,#93477,.T.); #213761=EDGE_CURVE('',#170455,#170457,#93478,.T.); #213762=EDGE_CURVE('',#170458,#170454,#93479,.T.); #213763=EDGE_CURVE('',#170458,#170459,#93480,.T.); #213764=EDGE_CURVE('',#170459,#170460,#93481,.T.); #213765=EDGE_CURVE('',#170460,#170457,#93482,.T.); #213766=EDGE_CURVE('',#170457,#170461,#93483,.T.); #213767=EDGE_CURVE('',#170303,#170460,#93484,.T.); #213768=EDGE_CURVE('',#170461,#170228,#93485,.T.); #213769=EDGE_CURVE('',#170361,#170363,#93486,.T.); #213770=EDGE_CURVE('',#170369,#170371,#93487,.T.); #213771=EDGE_CURVE('',#170377,#170379,#93488,.T.); #213772=EDGE_CURVE('',#170385,#170387,#93489,.T.); #213773=EDGE_CURVE('',#170393,#170395,#93490,.T.); #213774=EDGE_CURVE('',#170401,#170403,#93491,.T.); #213775=EDGE_CURVE('',#170445,#170448,#93492,.T.); #213776=EDGE_CURVE('',#170437,#170440,#93493,.T.); #213777=EDGE_CURVE('',#170429,#170432,#93494,.T.); #213778=EDGE_CURVE('',#170421,#170424,#93495,.T.); #213779=EDGE_CURVE('',#170413,#170416,#93496,.T.); #213780=EDGE_CURVE('',#170409,#170461,#93497,.T.); #213781=EDGE_CURVE('',#170453,#170456,#93498,.T.); #213782=EDGE_CURVE('',#170460,#170462,#93499,.T.); #213783=EDGE_CURVE('',#170463,#170462,#93500,.T.); #213784=EDGE_CURVE('',#170464,#170463,#93501,.T.); #213785=EDGE_CURVE('',#170465,#170464,#93502,.T.); #213786=EDGE_CURVE('',#170465,#170304,#93503,.T.); #213787=EDGE_CURVE('',#170299,#170466,#93504,.T.); #213788=EDGE_CURVE('',#170466,#170467,#93505,.T.); #213789=EDGE_CURVE('',#170467,#170468,#93506,.T.); #213790=EDGE_CURVE('',#170469,#170468,#93507,.T.); #213791=EDGE_CURVE('',#170469,#170454,#93508,.T.); #213792=EDGE_CURVE('',#170470,#170471,#93509,.T.); #213793=EDGE_CURVE('',#170470,#170472,#143095,.F.); #213794=EDGE_CURVE('',#170472,#170473,#93510,.T.); #213795=EDGE_CURVE('',#170473,#170471,#143096,.F.); #213796=EDGE_CURVE('',#170474,#170472,#93511,.T.); #213797=EDGE_CURVE('',#170475,#170474,#93512,.T.); #213798=EDGE_CURVE('',#170475,#170473,#93513,.T.); #213799=EDGE_CURVE('',#170476,#170477,#93514,.T.); #213800=EDGE_CURVE('',#170478,#170477,#93515,.T.); #213801=EDGE_CURVE('',#170478,#170479,#93516,.T.); #213802=EDGE_CURVE('',#170479,#170476,#93517,.T.); #213803=EDGE_CURVE('',#170480,#170481,#93518,.T.); #213804=EDGE_CURVE('',#170482,#170480,#93519,.T.); #213805=EDGE_CURVE('',#170483,#170482,#93520,.T.); #213806=EDGE_CURVE('',#170483,#170484,#93521,.T.); #213807=EDGE_CURVE('',#170484,#170485,#93522,.T.); #213808=EDGE_CURVE('',#170485,#170486,#93523,.T.); #213809=EDGE_CURVE('',#170487,#170486,#93524,.T.); #213810=EDGE_CURVE('',#170488,#170487,#93525,.T.); #213811=EDGE_CURVE('',#170488,#170489,#93526,.T.); #213812=EDGE_CURVE('',#170489,#170490,#93527,.T.); #213813=EDGE_CURVE('',#170490,#170491,#93528,.T.); #213814=EDGE_CURVE('',#170491,#170492,#93529,.T.); #213815=EDGE_CURVE('',#170493,#170492,#93530,.T.); #213816=EDGE_CURVE('',#170481,#170493,#93531,.T.); #213817=EDGE_CURVE('',#170494,#170495,#93532,.T.); #213818=EDGE_CURVE('',#170494,#170496,#93533,.T.); #213819=EDGE_CURVE('',#170496,#170497,#93534,.T.); #213820=EDGE_CURVE('',#170495,#170497,#93535,.T.); #213821=EDGE_CURVE('',#170498,#170475,#93536,.F.); #213822=EDGE_CURVE('',#170499,#170498,#93537,.T.); #213823=EDGE_CURVE('',#170499,#170473,#93538,.T.); #213824=EDGE_CURVE('',#170500,#170501,#93539,.T.); #213825=EDGE_CURVE('',#170500,#170502,#93540,.T.); #213826=EDGE_CURVE('',#170502,#170503,#93541,.T.); #213827=EDGE_CURVE('',#170503,#170504,#143097,.T.); #213828=EDGE_CURVE('',#170504,#170505,#93542,.T.); #213829=EDGE_CURVE('',#170505,#170506,#143098,.T.); #213830=EDGE_CURVE('',#170506,#170507,#93543,.T.); #213831=EDGE_CURVE('',#170508,#170507,#93544,.T.); #213832=EDGE_CURVE('',#170509,#170508,#93545,.T.); #213833=EDGE_CURVE('',#170241,#170509,#143099,.T.); #213834=EDGE_CURVE('',#170501,#170243,#143100,.T.); #213835=EDGE_CURVE('',#170502,#170476,#93546,.F.); #213836=EDGE_CURVE('',#170503,#170479,#93547,.T.); #213837=EDGE_CURVE('',#170492,#170496,#93548,.F.); #213838=EDGE_CURVE('',#170491,#170497,#93549,.F.); #213839=EDGE_CURVE('',#170510,#170498,#93550,.T.); #213840=EDGE_CURVE('',#170247,#170510,#93551,.T.); #213841=EDGE_CURVE('',#170511,#170258,#93552,.T.); #213842=EDGE_CURVE('',#170511,#170512,#93553,.T.); #213843=EDGE_CURVE('',#170512,#170513,#93554,.T.); #213844=EDGE_CURVE('',#170513,#170514,#143101,.T.); #213845=EDGE_CURVE('',#170514,#170515,#93555,.T.); #213846=EDGE_CURVE('',#170515,#170499,#143102,.T.); #213847=EDGE_CURVE('',#170516,#170517,#93556,.T.); #213848=EDGE_CURVE('',#170517,#170518,#93557,.T.); #213849=EDGE_CURVE('',#170519,#170518,#93558,.T.); #213850=EDGE_CURVE('',#170516,#170519,#93559,.T.); #213851=EDGE_CURVE('',#170512,#170265,#93560,.F.); #213852=EDGE_CURVE('',#170264,#170513,#93561,.T.); #213853=EDGE_CURVE('',#170268,#170517,#93562,.F.); #213854=EDGE_CURVE('',#170269,#170516,#93563,.F.); #213855=EDGE_CURVE('',#170507,#170272,#93564,.F.); #213856=EDGE_CURVE('',#170273,#170506,#93565,.T.); #213857=EDGE_CURVE('',#170520,#170478,#143103,.F.); #213858=EDGE_CURVE('',#170520,#170521,#93566,.T.); #213859=EDGE_CURVE('',#170521,#170479,#143104,.F.); #213860=EDGE_CURVE('',#170466,#170522,#93567,.T.); #213861=EDGE_CURVE('',#170522,#170523,#93568,.T.); #213862=EDGE_CURVE('',#170523,#170521,#93569,.T.); #213863=EDGE_CURVE('',#170520,#170467,#93570,.T.); #213864=EDGE_CURVE('',#170522,#170274,#143105,.T.); #213865=EDGE_CURVE('',#170273,#170523,#143106,.F.); #213866=EDGE_CURVE('',#170307,#170524,#93571,.T.); #213867=EDGE_CURVE('',#170524,#170264,#143107,.F.); #213868=EDGE_CURVE('',#170307,#170465,#93572,.T.); #213869=EDGE_CURVE('',#170470,#170464,#93573,.T.); #213870=EDGE_CURVE('',#170471,#170524,#93574,.T.); #213871=EDGE_CURVE('',#170525,#170501,#93575,.T.); #213872=EDGE_CURVE('',#170525,#170248,#93576,.T.); #213873=EDGE_CURVE('',#170510,#170526,#93577,.T.); #213874=EDGE_CURVE('',#170526,#170527,#93578,.T.); #213875=EDGE_CURVE('',#170527,#170528,#93579,.T.); #213876=EDGE_CURVE('',#170528,#170494,#93580,.T.); #213877=EDGE_CURVE('',#170529,#170495,#93581,.T.); #213878=EDGE_CURVE('',#170530,#170529,#93582,.T.); #213879=EDGE_CURVE('',#170531,#170530,#93583,.T.); #213880=EDGE_CURVE('',#170500,#170531,#93584,.T.); #213881=EDGE_CURVE('',#170242,#170532,#143108,.T.); #213882=EDGE_CURVE('',#170532,#170533,#93585,.F.); #213883=EDGE_CURVE('',#170533,#170534,#143109,.T.); #213884=EDGE_CURVE('',#170535,#170534,#93586,.T.); #213885=EDGE_CURVE('',#170535,#170525,#143110,.F.); #213886=EDGE_CURVE('',#170534,#170536,#93587,.T.); #213887=EDGE_CURVE('',#170537,#170536,#93588,.T.); #213888=EDGE_CURVE('',#170537,#170535,#93589,.T.); #213889=EDGE_CURVE('',#170538,#170539,#93590,.T.); #213890=EDGE_CURVE('',#170539,#170240,#143111,.T.); #213891=EDGE_CURVE('',#170540,#170509,#93591,.T.); #213892=EDGE_CURVE('',#170540,#170537,#143112,.F.); #213893=EDGE_CURVE('',#170536,#170538,#143113,.T.); #213894=EDGE_CURVE('',#170257,#170540,#93592,.T.); #213895=EDGE_CURVE('',#170541,#170508,#93593,.T.); #213896=EDGE_CURVE('',#170542,#170541,#93594,.T.); #213897=EDGE_CURVE('',#170542,#170519,#93595,.T.); #213898=EDGE_CURVE('',#170543,#170518,#93596,.T.); #213899=EDGE_CURVE('',#170543,#170544,#93597,.T.); #213900=EDGE_CURVE('',#170544,#170511,#93598,.T.); #213901=EDGE_CURVE('',#170545,#170546,#93599,.T.); #213902=EDGE_CURVE('',#170546,#170547,#143114,.T.); #213903=EDGE_CURVE('',#170547,#170548,#93600,.T.); #213904=EDGE_CURVE('',#170548,#170549,#143115,.T.); #213905=EDGE_CURVE('',#170549,#170550,#93601,.T.); #213906=EDGE_CURVE('',#170550,#170551,#143116,.T.); #213907=EDGE_CURVE('',#170551,#170552,#93602,.T.); #213908=EDGE_CURVE('',#170552,#170545,#143117,.T.); #213909=EDGE_CURVE('',#170553,#170554,#93603,.T.); #213910=EDGE_CURVE('',#170555,#170553,#93604,.T.); #213911=EDGE_CURVE('',#170555,#170556,#93605,.T.); #213912=EDGE_CURVE('',#170556,#170554,#93606,.T.); #213913=EDGE_CURVE('',#170557,#170553,#93607,.T.); #213914=EDGE_CURVE('',#170558,#170557,#93608,.T.); #213915=EDGE_CURVE('',#170558,#170555,#93609,.T.); #213916=EDGE_CURVE('',#170554,#170559,#93610,.T.); #213917=EDGE_CURVE('',#170556,#170560,#93611,.T.); #213918=EDGE_CURVE('',#170560,#170559,#93612,.T.); #213919=EDGE_CURVE('',#170559,#170561,#143118,.T.); #213920=EDGE_CURVE('',#170560,#170562,#143119,.T.); #213921=EDGE_CURVE('',#170562,#170561,#93613,.T.); #213922=EDGE_CURVE('',#170561,#170563,#93614,.T.); #213923=EDGE_CURVE('',#170562,#170564,#93615,.T.); #213924=EDGE_CURVE('',#170564,#170563,#93616,.T.); #213925=EDGE_CURVE('',#170564,#170565,#93617,.T.); #213926=EDGE_CURVE('',#170565,#170566,#93618,.T.); #213927=EDGE_CURVE('',#170563,#170566,#93619,.T.); #213928=EDGE_CURVE('',#170567,#170568,#93620,.T.); #213929=EDGE_CURVE('',#170568,#170569,#93621,.F.); #213930=EDGE_CURVE('',#170569,#170570,#93622,.T.); #213931=EDGE_CURVE('',#170570,#170567,#93623,.T.); #213932=EDGE_CURVE('',#170571,#170572,#93624,.T.); #213933=EDGE_CURVE('',#170571,#170573,#93625,.F.); #213934=EDGE_CURVE('',#170573,#170574,#93626,.T.); #213935=EDGE_CURVE('',#170574,#170572,#93627,.T.); #213936=EDGE_CURVE('',#170572,#170575,#93628,.T.); #213937=EDGE_CURVE('',#170574,#170576,#93629,.T.); #213938=EDGE_CURVE('',#170576,#170575,#93630,.T.); #213939=EDGE_CURVE('',#170575,#170557,#143120,.T.); #213940=EDGE_CURVE('',#170576,#170558,#143121,.T.); #213941=EDGE_CURVE('',#170577,#170573,#93631,.T.); #213942=EDGE_CURVE('',#170577,#170578,#93632,.T.); #213943=EDGE_CURVE('',#170565,#170578,#93633,.T.); #213944=EDGE_CURVE('',#170566,#170579,#93634,.T.); #213945=EDGE_CURVE('',#170579,#170580,#93635,.T.); #213946=EDGE_CURVE('',#170580,#170571,#93636,.T.); #213947=EDGE_CURVE('',#170249,#170550,#93637,.T.); #213948=EDGE_CURVE('',#170551,#170248,#93638,.T.); #213949=EDGE_CURVE('',#170552,#170525,#93639,.T.); #213950=EDGE_CURVE('',#170256,#170549,#93640,.T.); #213951=EDGE_CURVE('',#170545,#170535,#93641,.T.); #213952=EDGE_CURVE('',#170257,#170548,#93642,.T.); #213953=EDGE_CURVE('',#170546,#170537,#93643,.T.); #213954=EDGE_CURVE('',#170540,#170547,#93644,.T.); #213955=EDGE_CURVE('',#170471,#170515,#93645,.T.); #213956=EDGE_CURVE('',#170524,#170514,#93646,.T.); #213957=EDGE_CURVE('',#170504,#170521,#93647,.T.); #213958=EDGE_CURVE('',#170505,#170523,#93648,.T.); #213959=EDGE_CURVE('',#170579,#170568,#90,.T.); #213960=EDGE_CURVE('',#170567,#170580,#91,.T.); #213961=EDGE_CURVE('',#170569,#170578,#92,.F.); #213962=EDGE_CURVE('',#170577,#170570,#93,.F.); #213963=EDGE_CURVE('',#170541,#170271,#93649,.T.); #213964=EDGE_CURVE('',#170270,#170542,#93650,.T.); #213965=EDGE_CURVE('',#170544,#170266,#93651,.T.); #213966=EDGE_CURVE('',#170267,#170543,#93652,.T.); #213967=EDGE_CURVE('',#170531,#170477,#93653,.T.); #213968=EDGE_CURVE('',#170581,#170488,#93654,.T.); #213969=EDGE_CURVE('',#170582,#170581,#93655,.T.); #213970=EDGE_CURVE('',#170582,#170468,#93656,.T.); #213971=EDGE_CURVE('',#170530,#170489,#93657,.T.); #213972=EDGE_CURVE('',#170529,#170490,#93658,.T.); #213973=EDGE_CURVE('',#170583,#170483,#93659,.T.); #213974=EDGE_CURVE('',#170584,#170482,#93660,.T.); #213975=EDGE_CURVE('',#170585,#170584,#93661,.T.); #213976=EDGE_CURVE('',#170585,#170487,#93662,.T.); #213977=EDGE_CURVE('',#170486,#170586,#93663,.T.); #213978=EDGE_CURVE('',#170583,#170586,#93664,.T.); #213979=EDGE_CURVE('',#170587,#170588,#93665,.T.); #213980=EDGE_CURVE('',#170588,#170589,#93666,.T.); #213981=EDGE_CURVE('',#170589,#170590,#93667,.T.); #213982=EDGE_CURVE('',#170587,#170590,#93668,.T.); #213983=EDGE_CURVE('',#170590,#170583,#93669,.T.); #213984=EDGE_CURVE('',#170586,#170587,#93670,.T.); #213985=EDGE_CURVE('',#170526,#170474,#93671,.T.); #213986=EDGE_CURVE('',#170591,#170463,#93672,.T.); #213987=EDGE_CURVE('',#170592,#170591,#93673,.T.); #213988=EDGE_CURVE('',#170592,#170480,#93674,.T.); #213989=EDGE_CURVE('',#170527,#170481,#93675,.T.); #213990=EDGE_CURVE('',#170528,#170493,#93676,.T.); #213991=EDGE_CURVE('',#170485,#170588,#93677,.T.); #213992=EDGE_CURVE('',#170589,#170484,#93678,.T.); #213993=EDGE_CURVE('',#170584,#170592,#93679,.T.); #213994=EDGE_CURVE('',#170593,#170462,#93680,.T.); #213995=EDGE_CURVE('',#170591,#170593,#93681,.T.); #213996=EDGE_CURVE('',#170594,#170462,#93682,.T.); #213997=EDGE_CURVE('',#170594,#170595,#93683,.T.); #213998=EDGE_CURVE('',#170595,#170469,#93684,.T.); #213999=EDGE_CURVE('',#170596,#170469,#93685,.T.); #214000=EDGE_CURVE('',#170593,#170596,#93686,.T.); #214001=EDGE_CURVE('',#170596,#170582,#93687,.T.); #214002=EDGE_CURVE('',#170581,#170585,#93688,.T.); #214003=EDGE_CURVE('',#170597,#170597,#143122,.T.); #214004=EDGE_CURVE('',#170598,#170598,#143123,.T.); #214005=EDGE_CURVE('',#170598,#170599,#93689,.T.); #214006=EDGE_CURVE('',#170599,#170599,#143124,.T.); #214007=EDGE_CURVE('',#170600,#170600,#143125,.F.); #214008=EDGE_CURVE('',#170597,#170601,#93690,.T.); #214009=EDGE_CURVE('',#170601,#170601,#143126,.F.); #214010=EDGE_CURVE('',#170602,#170602,#143127,.T.); #214011=EDGE_CURVE('',#170601,#170602,#93691,.T.); #214012=EDGE_CURVE('',#170599,#170600,#93692,.T.); #214013=EDGE_CURVE('',#170603,#170262,#93693,.T.); #214014=EDGE_CURVE('',#170308,#170603,#93694,.T.); #214015=EDGE_CURVE('',#170604,#170297,#114,.T.); #214016=EDGE_CURVE('',#170604,#170605,#143128,.F.); #214017=EDGE_CURVE('',#170606,#170605,#115,.T.); #214018=EDGE_CURVE('',#170277,#170606,#143129,.F.); #214019=EDGE_CURVE('',#170298,#170522,#93695,.T.); #214020=EDGE_CURVE('',#170459,#170594,#93696,.T.); #214021=EDGE_CURVE('',#170595,#170458,#93697,.T.); #214022=EDGE_CURVE('',#170412,#170408,#93698,.T.); #214023=EDGE_CURVE('',#170420,#170414,#93699,.T.); #214024=EDGE_CURVE('',#170428,#170422,#93700,.T.); #214025=EDGE_CURVE('',#170436,#170430,#93701,.T.); #214026=EDGE_CURVE('',#170444,#170438,#93702,.T.); #214027=EDGE_CURVE('',#170402,#170446,#93703,.T.); #214028=EDGE_CURVE('',#170394,#170400,#93704,.T.); #214029=EDGE_CURVE('',#170386,#170392,#93705,.T.); #214030=EDGE_CURVE('',#170378,#170384,#93706,.T.); #214031=EDGE_CURVE('',#170370,#170376,#93707,.T.); #214032=EDGE_CURVE('',#170362,#170368,#93708,.T.); #214033=EDGE_CURVE('',#170452,#170360,#93709,.T.); #214034=EDGE_CURVE('',#170450,#170358,#93710,.T.); #214035=EDGE_CURVE('',#170404,#170447,#93711,.T.); #214036=EDGE_CURVE('',#170442,#170439,#93712,.T.); #214037=EDGE_CURVE('',#170434,#170431,#93713,.T.); #214038=EDGE_CURVE('',#170426,#170423,#93714,.T.); #214039=EDGE_CURVE('',#170418,#170415,#93715,.T.); #214040=EDGE_CURVE('',#170410,#170406,#93716,.T.); #214041=EDGE_CURVE('',#170396,#170398,#93717,.T.); #214042=EDGE_CURVE('',#170388,#170390,#93718,.T.); #214043=EDGE_CURVE('',#170380,#170382,#93719,.T.); #214044=EDGE_CURVE('',#170372,#170374,#93720,.T.); #214045=EDGE_CURVE('',#170364,#170366,#93721,.T.); #214046=EDGE_CURVE('',#170607,#170608,#93722,.T.); #214047=EDGE_CURVE('',#170608,#170609,#93723,.F.); #214048=EDGE_CURVE('',#170609,#170235,#93724,.T.); #214049=EDGE_CURVE('',#170610,#170234,#93725,.T.); #214050=EDGE_CURVE('',#170607,#170610,#93726,.T.); #214051=EDGE_CURVE('',#170611,#170233,#93727,.T.); #214052=EDGE_CURVE('',#170610,#170611,#93728,.T.); #214053=EDGE_CURVE('',#170603,#170232,#93729,.T.); #214054=EDGE_CURVE('',#170612,#170260,#93730,.T.); #214055=EDGE_CURVE('',#170612,#170613,#93731,.T.); #214056=EDGE_CURVE('',#170611,#170613,#93732,.T.); #214057=EDGE_CURVE('',#170276,#170239,#93733,.T.); #214058=EDGE_CURVE('',#170280,#170238,#93734,.T.); #214059=EDGE_CURVE('',#170614,#170278,#93735,.T.); #214060=EDGE_CURVE('',#170261,#170614,#93736,.T.); #214061=EDGE_CURVE('',#170281,#170237,#93737,.T.); #214062=EDGE_CURVE('',#170236,#170615,#93738,.T.); #214063=EDGE_CURVE('',#170615,#170616,#93739,.F.); #214064=EDGE_CURVE('',#170282,#170616,#93740,.T.); #214065=EDGE_CURVE('',#170616,#170608,#93741,.T.); #214066=EDGE_CURVE('',#170607,#170617,#93742,.T.); #214067=EDGE_CURVE('',#170618,#170617,#93743,.T.); #214068=EDGE_CURVE('',#170619,#170618,#93744,.T.); #214069=EDGE_CURVE('',#170619,#170283,#93745,.T.); #214070=EDGE_CURVE('',#170620,#170613,#93746,.T.); #214071=EDGE_CURVE('',#170621,#170620,#93747,.T.); #214072=EDGE_CURVE('',#170622,#170621,#93748,.T.); #214073=EDGE_CURVE('',#170623,#170622,#93749,.T.); #214074=EDGE_CURVE('',#170624,#170623,#93750,.T.); #214075=EDGE_CURVE('',#170625,#170624,#93751,.T.); #214076=EDGE_CURVE('',#170626,#170625,#93752,.T.); #214077=EDGE_CURVE('',#170627,#170626,#93753,.T.); #214078=EDGE_CURVE('',#170628,#170627,#93754,.T.); #214079=EDGE_CURVE('',#170629,#170628,#93755,.T.); #214080=EDGE_CURVE('',#170630,#170629,#93756,.T.); #214081=EDGE_CURVE('',#170631,#170630,#93757,.T.); #214082=EDGE_CURVE('',#170632,#170631,#93758,.T.); #214083=EDGE_CURVE('',#170633,#170632,#93759,.T.); #214084=EDGE_CURVE('',#170617,#170633,#93760,.T.); #214085=EDGE_CURVE('',#170305,#170634,#93761,.T.); #214086=EDGE_CURVE('',#170634,#170229,#93762,.T.); #214087=EDGE_CURVE('',#170634,#170635,#93763,.T.); #214088=EDGE_CURVE('',#170635,#170230,#93764,.T.); #214089=EDGE_CURVE('',#170231,#170309,#93765,.T.); #214090=EDGE_CURVE('',#170635,#170306,#93766,.T.); #214091=EDGE_CURVE('',#170636,#170226,#93767,.T.); #214092=EDGE_CURVE('',#170604,#170636,#93768,.T.); #214093=EDGE_CURVE('',#170637,#170224,#93769,.T.); #214094=EDGE_CURVE('',#170636,#170637,#93770,.T.); #214095=EDGE_CURVE('',#170225,#170606,#93771,.T.); #214096=EDGE_CURVE('',#170637,#170605,#93772,.T.); #214097=EDGE_CURVE('',#170638,#170279,#93773,.T.); #214098=EDGE_CURVE('',#170614,#170638,#93774,.T.); #214099=EDGE_CURVE('',#170639,#170296,#93775,.T.); #214100=EDGE_CURVE('',#170638,#170639,#93776,.T.); #214101=EDGE_CURVE('',#170640,#170295,#93777,.T.); #214102=EDGE_CURVE('',#170639,#170640,#93778,.T.); #214103=EDGE_CURVE('',#170641,#170294,#93779,.T.); #214104=EDGE_CURVE('',#170640,#170641,#93780,.T.); #214105=EDGE_CURVE('',#170642,#170293,#93781,.T.); #214106=EDGE_CURVE('',#170641,#170642,#93782,.T.); #214107=EDGE_CURVE('',#170643,#170292,#93783,.T.); #214108=EDGE_CURVE('',#170642,#170643,#93784,.T.); #214109=EDGE_CURVE('',#170644,#170291,#93785,.T.); #214110=EDGE_CURVE('',#170643,#170644,#93786,.T.); #214111=EDGE_CURVE('',#170645,#170290,#93787,.T.); #214112=EDGE_CURVE('',#170644,#170645,#93788,.T.); #214113=EDGE_CURVE('',#170646,#170289,#93789,.T.); #214114=EDGE_CURVE('',#170645,#170646,#93790,.T.); #214115=EDGE_CURVE('',#170647,#170288,#93791,.T.); #214116=EDGE_CURVE('',#170646,#170647,#93792,.T.); #214117=EDGE_CURVE('',#170648,#170287,#93793,.T.); #214118=EDGE_CURVE('',#170647,#170648,#93794,.T.); #214119=EDGE_CURVE('',#170649,#170286,#93795,.T.); #214120=EDGE_CURVE('',#170648,#170649,#93796,.T.); #214121=EDGE_CURVE('',#170650,#170285,#93797,.T.); #214122=EDGE_CURVE('',#170649,#170650,#93798,.T.); #214123=EDGE_CURVE('',#170651,#170284,#93799,.T.); #214124=EDGE_CURVE('',#170650,#170651,#93800,.T.); #214125=EDGE_CURVE('',#170651,#170619,#93801,.T.); #214126=EDGE_CURVE('',#170652,#170633,#93802,.T.); #214127=EDGE_CURVE('',#170618,#170652,#93803,.T.); #214128=EDGE_CURVE('',#170653,#170632,#93804,.T.); #214129=EDGE_CURVE('',#170652,#170653,#93805,.T.); #214130=EDGE_CURVE('',#170654,#170631,#93806,.T.); #214131=EDGE_CURVE('',#170653,#170654,#93807,.T.); #214132=EDGE_CURVE('',#170655,#170630,#93808,.T.); #214133=EDGE_CURVE('',#170654,#170655,#93809,.T.); #214134=EDGE_CURVE('',#170656,#170629,#93810,.T.); #214135=EDGE_CURVE('',#170655,#170656,#93811,.T.); #214136=EDGE_CURVE('',#170657,#170628,#93812,.T.); #214137=EDGE_CURVE('',#170656,#170657,#93813,.T.); #214138=EDGE_CURVE('',#170658,#170627,#93814,.T.); #214139=EDGE_CURVE('',#170657,#170658,#93815,.T.); #214140=EDGE_CURVE('',#170659,#170626,#93816,.T.); #214141=EDGE_CURVE('',#170658,#170659,#93817,.T.); #214142=EDGE_CURVE('',#170660,#170625,#93818,.T.); #214143=EDGE_CURVE('',#170659,#170660,#93819,.T.); #214144=EDGE_CURVE('',#170661,#170624,#93820,.T.); #214145=EDGE_CURVE('',#170660,#170661,#93821,.T.); #214146=EDGE_CURVE('',#170662,#170623,#93822,.T.); #214147=EDGE_CURVE('',#170661,#170662,#93823,.T.); #214148=EDGE_CURVE('',#170663,#170622,#93824,.T.); #214149=EDGE_CURVE('',#170662,#170663,#93825,.T.); #214150=EDGE_CURVE('',#170664,#170621,#93826,.T.); #214151=EDGE_CURVE('',#170663,#170664,#93827,.T.); #214152=EDGE_CURVE('',#170665,#170620,#93828,.T.); #214153=EDGE_CURVE('',#170664,#170665,#93829,.T.); #214154=EDGE_CURVE('',#170665,#170612,#93830,.T.); #214155=EDGE_CURVE('',#170245,#170252,#93831,.T.); #214156=EDGE_CURVE('',#170251,#170254,#93832,.T.); #214157=EDGE_CURVE('',#170532,#170539,#93833,.T.); #214158=EDGE_CURVE('',#170533,#170538,#93834,.T.); #214159=EDGE_CURVE('',#170609,#170615,#93835,.T.); #214160=EDGE_CURVE('',#170666,#170667,#93836,.T.); #214161=EDGE_CURVE('',#170668,#170667,#93837,.T.); #214162=EDGE_CURVE('',#170669,#170668,#93838,.T.); #214163=EDGE_CURVE('',#170669,#170666,#93839,.T.); #214164=EDGE_CURVE('',#170667,#170670,#93840,.T.); #214165=EDGE_CURVE('',#170670,#170671,#143130,.T.); #214166=EDGE_CURVE('',#170671,#170672,#93841,.F.); #214167=EDGE_CURVE('',#170672,#170673,#143131,.F.); #214168=EDGE_CURVE('',#170673,#170674,#93842,.F.); #214169=EDGE_CURVE('',#170674,#170675,#93843,.T.); #214170=EDGE_CURVE('',#170676,#170675,#93844,.T.); #214171=EDGE_CURVE('',#170677,#170676,#143132,.T.); #214172=EDGE_CURVE('',#170678,#170677,#93845,.T.); #214173=EDGE_CURVE('',#170679,#170678,#143133,.T.); #214174=EDGE_CURVE('',#170668,#170679,#93846,.T.); #214175=EDGE_CURVE('',#170680,#170681,#93847,.T.); #214176=EDGE_CURVE('',#170682,#170681,#93848,.T.); #214177=EDGE_CURVE('',#170683,#170682,#93849,.T.); #214178=EDGE_CURVE('',#170683,#170684,#143134,.T.); #214179=EDGE_CURVE('',#170684,#170685,#93850,.T.); #214180=EDGE_CURVE('',#170685,#170686,#143135,.T.); #214181=EDGE_CURVE('',#170686,#170687,#93851,.T.); #214182=EDGE_CURVE('',#170688,#170687,#93852,.T.); #214183=EDGE_CURVE('',#170689,#170688,#93853,.F.); #214184=EDGE_CURVE('',#170690,#170689,#143136,.F.); #214185=EDGE_CURVE('',#170691,#170690,#93854,.F.); #214186=EDGE_CURVE('',#170680,#170691,#143137,.T.); #214187=EDGE_CURVE('',#170681,#170692,#93855,.T.); #214188=EDGE_CURVE('',#170693,#170692,#93856,.T.); #214189=EDGE_CURVE('',#170682,#170693,#93857,.T.); #214190=EDGE_CURVE('',#170694,#170695,#93858,.T.); #214191=EDGE_CURVE('',#170694,#170696,#93859,.T.); #214192=EDGE_CURVE('',#170693,#170696,#93860,.T.); #214193=EDGE_CURVE('',#170692,#170697,#93861,.T.); #214194=EDGE_CURVE('',#170697,#170695,#93862,.F.); #214195=EDGE_CURVE('',#170698,#170699,#93863,.T.); #214196=EDGE_CURVE('',#170698,#170694,#93864,.T.); #214197=EDGE_CURVE('',#170695,#170699,#93865,.T.); #214198=EDGE_CURVE('',#170700,#170669,#93866,.T.); #214199=EDGE_CURVE('',#170700,#170698,#93867,.T.); #214200=EDGE_CURVE('',#170699,#170701,#93868,.F.); #214201=EDGE_CURVE('',#170701,#170666,#93869,.T.); #214202=EDGE_CURVE('',#170696,#170700,#93870,.T.); #214203=EDGE_CURVE('',#170679,#170683,#93871,.T.); #214204=EDGE_CURVE('',#170701,#170697,#93872,.T.); #214205=EDGE_CURVE('',#170670,#170680,#93873,.T.); #214206=EDGE_CURVE('',#170688,#170674,#93874,.F.); #214207=EDGE_CURVE('',#170687,#170675,#93875,.T.); #214208=EDGE_CURVE('',#170684,#170678,#93876,.T.); #214209=EDGE_CURVE('',#170685,#170677,#93877,.T.); #214210=EDGE_CURVE('',#170686,#170676,#93878,.T.); #214211=EDGE_CURVE('',#170691,#170671,#93879,.F.); #214212=EDGE_CURVE('',#170690,#170672,#93880,.T.); #214213=EDGE_CURVE('',#170689,#170673,#93881,.F.); #214214=EDGE_CURVE('',#170702,#170703,#93882,.T.); #214215=EDGE_CURVE('',#170704,#170703,#93883,.T.); #214216=EDGE_CURVE('',#170705,#170704,#93884,.T.); #214217=EDGE_CURVE('',#170705,#170702,#93885,.T.); #214218=EDGE_CURVE('',#170703,#170706,#93886,.T.); #214219=EDGE_CURVE('',#170706,#170707,#143138,.T.); #214220=EDGE_CURVE('',#170707,#170708,#93887,.F.); #214221=EDGE_CURVE('',#170708,#170709,#143139,.F.); #214222=EDGE_CURVE('',#170709,#170710,#93888,.F.); #214223=EDGE_CURVE('',#170710,#170711,#93889,.T.); #214224=EDGE_CURVE('',#170712,#170711,#93890,.T.); #214225=EDGE_CURVE('',#170713,#170712,#143140,.T.); #214226=EDGE_CURVE('',#170714,#170713,#93891,.T.); #214227=EDGE_CURVE('',#170715,#170714,#143141,.T.); #214228=EDGE_CURVE('',#170704,#170715,#93892,.T.); #214229=EDGE_CURVE('',#170716,#170717,#93893,.T.); #214230=EDGE_CURVE('',#170718,#170717,#93894,.T.); #214231=EDGE_CURVE('',#170719,#170718,#93895,.T.); #214232=EDGE_CURVE('',#170719,#170720,#143142,.T.); #214233=EDGE_CURVE('',#170720,#170721,#93896,.T.); #214234=EDGE_CURVE('',#170721,#170722,#143143,.T.); #214235=EDGE_CURVE('',#170722,#170723,#93897,.T.); #214236=EDGE_CURVE('',#170724,#170723,#93898,.T.); #214237=EDGE_CURVE('',#170725,#170724,#93899,.F.); #214238=EDGE_CURVE('',#170726,#170725,#143144,.F.); #214239=EDGE_CURVE('',#170727,#170726,#93900,.F.); #214240=EDGE_CURVE('',#170716,#170727,#143145,.T.); #214241=EDGE_CURVE('',#170717,#170728,#93901,.T.); #214242=EDGE_CURVE('',#170729,#170728,#93902,.T.); #214243=EDGE_CURVE('',#170718,#170729,#93903,.T.); #214244=EDGE_CURVE('',#170730,#170731,#93904,.T.); #214245=EDGE_CURVE('',#170730,#170732,#93905,.T.); #214246=EDGE_CURVE('',#170729,#170732,#93906,.T.); #214247=EDGE_CURVE('',#170728,#170733,#93907,.T.); #214248=EDGE_CURVE('',#170733,#170731,#93908,.F.); #214249=EDGE_CURVE('',#170734,#170735,#93909,.T.); #214250=EDGE_CURVE('',#170734,#170730,#93910,.T.); #214251=EDGE_CURVE('',#170731,#170735,#93911,.T.); #214252=EDGE_CURVE('',#170736,#170705,#93912,.T.); #214253=EDGE_CURVE('',#170736,#170734,#93913,.T.); #214254=EDGE_CURVE('',#170735,#170737,#93914,.F.); #214255=EDGE_CURVE('',#170737,#170702,#93915,.T.); #214256=EDGE_CURVE('',#170732,#170736,#93916,.T.); #214257=EDGE_CURVE('',#170715,#170719,#93917,.T.); #214258=EDGE_CURVE('',#170737,#170733,#93918,.T.); #214259=EDGE_CURVE('',#170706,#170716,#93919,.T.); #214260=EDGE_CURVE('',#170724,#170710,#93920,.F.); #214261=EDGE_CURVE('',#170723,#170711,#93921,.T.); #214262=EDGE_CURVE('',#170720,#170714,#93922,.T.); #214263=EDGE_CURVE('',#170721,#170713,#93923,.T.); #214264=EDGE_CURVE('',#170722,#170712,#93924,.T.); #214265=EDGE_CURVE('',#170727,#170707,#93925,.F.); #214266=EDGE_CURVE('',#170726,#170708,#93926,.T.); #214267=EDGE_CURVE('',#170725,#170709,#93927,.F.); #214268=EDGE_CURVE('',#170738,#170739,#93928,.T.); #214269=EDGE_CURVE('',#170740,#170739,#93929,.T.); #214270=EDGE_CURVE('',#170741,#170740,#93930,.T.); #214271=EDGE_CURVE('',#170741,#170738,#93931,.T.); #214272=EDGE_CURVE('',#170739,#170742,#93932,.T.); #214273=EDGE_CURVE('',#170742,#170743,#143146,.T.); #214274=EDGE_CURVE('',#170743,#170744,#93933,.F.); #214275=EDGE_CURVE('',#170744,#170745,#143147,.F.); #214276=EDGE_CURVE('',#170745,#170746,#93934,.F.); #214277=EDGE_CURVE('',#170746,#170747,#93935,.T.); #214278=EDGE_CURVE('',#170748,#170747,#93936,.T.); #214279=EDGE_CURVE('',#170749,#170748,#143148,.T.); #214280=EDGE_CURVE('',#170750,#170749,#93937,.T.); #214281=EDGE_CURVE('',#170751,#170750,#143149,.T.); #214282=EDGE_CURVE('',#170740,#170751,#93938,.T.); #214283=EDGE_CURVE('',#170752,#170753,#93939,.T.); #214284=EDGE_CURVE('',#170754,#170753,#93940,.T.); #214285=EDGE_CURVE('',#170755,#170754,#93941,.T.); #214286=EDGE_CURVE('',#170755,#170756,#143150,.T.); #214287=EDGE_CURVE('',#170756,#170757,#93942,.T.); #214288=EDGE_CURVE('',#170757,#170758,#143151,.T.); #214289=EDGE_CURVE('',#170758,#170759,#93943,.T.); #214290=EDGE_CURVE('',#170760,#170759,#93944,.T.); #214291=EDGE_CURVE('',#170761,#170760,#93945,.F.); #214292=EDGE_CURVE('',#170762,#170761,#143152,.F.); #214293=EDGE_CURVE('',#170763,#170762,#93946,.F.); #214294=EDGE_CURVE('',#170752,#170763,#143153,.T.); #214295=EDGE_CURVE('',#170753,#170764,#93947,.T.); #214296=EDGE_CURVE('',#170765,#170764,#93948,.T.); #214297=EDGE_CURVE('',#170754,#170765,#93949,.T.); #214298=EDGE_CURVE('',#170766,#170767,#93950,.T.); #214299=EDGE_CURVE('',#170766,#170768,#93951,.T.); #214300=EDGE_CURVE('',#170765,#170768,#93952,.T.); #214301=EDGE_CURVE('',#170764,#170769,#93953,.T.); #214302=EDGE_CURVE('',#170769,#170767,#93954,.F.); #214303=EDGE_CURVE('',#170770,#170771,#93955,.T.); #214304=EDGE_CURVE('',#170770,#170766,#93956,.T.); #214305=EDGE_CURVE('',#170767,#170771,#93957,.T.); #214306=EDGE_CURVE('',#170772,#170741,#93958,.T.); #214307=EDGE_CURVE('',#170772,#170770,#93959,.T.); #214308=EDGE_CURVE('',#170771,#170773,#93960,.F.); #214309=EDGE_CURVE('',#170773,#170738,#93961,.T.); #214310=EDGE_CURVE('',#170768,#170772,#93962,.T.); #214311=EDGE_CURVE('',#170751,#170755,#93963,.T.); #214312=EDGE_CURVE('',#170773,#170769,#93964,.T.); #214313=EDGE_CURVE('',#170742,#170752,#93965,.T.); #214314=EDGE_CURVE('',#170760,#170746,#93966,.F.); #214315=EDGE_CURVE('',#170759,#170747,#93967,.T.); #214316=EDGE_CURVE('',#170756,#170750,#93968,.T.); #214317=EDGE_CURVE('',#170757,#170749,#93969,.T.); #214318=EDGE_CURVE('',#170758,#170748,#93970,.T.); #214319=EDGE_CURVE('',#170763,#170743,#93971,.F.); #214320=EDGE_CURVE('',#170762,#170744,#93972,.T.); #214321=EDGE_CURVE('',#170761,#170745,#93973,.F.); #214322=EDGE_CURVE('',#170774,#170775,#93974,.T.); #214323=EDGE_CURVE('',#170776,#170775,#93975,.T.); #214324=EDGE_CURVE('',#170777,#170776,#93976,.T.); #214325=EDGE_CURVE('',#170777,#170774,#93977,.T.); #214326=EDGE_CURVE('',#170775,#170778,#93978,.T.); #214327=EDGE_CURVE('',#170778,#170779,#143154,.T.); #214328=EDGE_CURVE('',#170779,#170780,#93979,.F.); #214329=EDGE_CURVE('',#170780,#170781,#143155,.F.); #214330=EDGE_CURVE('',#170781,#170782,#93980,.F.); #214331=EDGE_CURVE('',#170782,#170783,#93981,.T.); #214332=EDGE_CURVE('',#170784,#170783,#93982,.T.); #214333=EDGE_CURVE('',#170785,#170784,#143156,.T.); #214334=EDGE_CURVE('',#170786,#170785,#93983,.T.); #214335=EDGE_CURVE('',#170787,#170786,#143157,.T.); #214336=EDGE_CURVE('',#170776,#170787,#93984,.T.); #214337=EDGE_CURVE('',#170788,#170789,#93985,.T.); #214338=EDGE_CURVE('',#170790,#170789,#93986,.T.); #214339=EDGE_CURVE('',#170791,#170790,#93987,.T.); #214340=EDGE_CURVE('',#170791,#170792,#143158,.T.); #214341=EDGE_CURVE('',#170792,#170793,#93988,.T.); #214342=EDGE_CURVE('',#170793,#170794,#143159,.T.); #214343=EDGE_CURVE('',#170794,#170795,#93989,.T.); #214344=EDGE_CURVE('',#170796,#170795,#93990,.T.); #214345=EDGE_CURVE('',#170797,#170796,#93991,.F.); #214346=EDGE_CURVE('',#170798,#170797,#143160,.F.); #214347=EDGE_CURVE('',#170799,#170798,#93992,.F.); #214348=EDGE_CURVE('',#170788,#170799,#143161,.T.); #214349=EDGE_CURVE('',#170789,#170800,#93993,.T.); #214350=EDGE_CURVE('',#170801,#170800,#93994,.T.); #214351=EDGE_CURVE('',#170790,#170801,#93995,.T.); #214352=EDGE_CURVE('',#170802,#170803,#93996,.T.); #214353=EDGE_CURVE('',#170802,#170804,#93997,.T.); #214354=EDGE_CURVE('',#170801,#170804,#93998,.T.); #214355=EDGE_CURVE('',#170800,#170805,#93999,.T.); #214356=EDGE_CURVE('',#170805,#170803,#94000,.F.); #214357=EDGE_CURVE('',#170806,#170807,#94001,.T.); #214358=EDGE_CURVE('',#170806,#170802,#94002,.T.); #214359=EDGE_CURVE('',#170803,#170807,#94003,.T.); #214360=EDGE_CURVE('',#170808,#170777,#94004,.T.); #214361=EDGE_CURVE('',#170808,#170806,#94005,.T.); #214362=EDGE_CURVE('',#170807,#170809,#94006,.F.); #214363=EDGE_CURVE('',#170809,#170774,#94007,.T.); #214364=EDGE_CURVE('',#170804,#170808,#94008,.T.); #214365=EDGE_CURVE('',#170787,#170791,#94009,.T.); #214366=EDGE_CURVE('',#170809,#170805,#94010,.T.); #214367=EDGE_CURVE('',#170778,#170788,#94011,.T.); #214368=EDGE_CURVE('',#170796,#170782,#94012,.F.); #214369=EDGE_CURVE('',#170795,#170783,#94013,.T.); #214370=EDGE_CURVE('',#170792,#170786,#94014,.T.); #214371=EDGE_CURVE('',#170793,#170785,#94015,.T.); #214372=EDGE_CURVE('',#170794,#170784,#94016,.T.); #214373=EDGE_CURVE('',#170799,#170779,#94017,.F.); #214374=EDGE_CURVE('',#170798,#170780,#94018,.T.); #214375=EDGE_CURVE('',#170797,#170781,#94019,.F.); #214376=EDGE_CURVE('',#170810,#170811,#94020,.T.); #214377=EDGE_CURVE('',#170812,#170811,#94021,.T.); #214378=EDGE_CURVE('',#170813,#170812,#94022,.T.); #214379=EDGE_CURVE('',#170813,#170810,#94023,.T.); #214380=EDGE_CURVE('',#170811,#170814,#94024,.T.); #214381=EDGE_CURVE('',#170814,#170815,#143162,.T.); #214382=EDGE_CURVE('',#170815,#170816,#94025,.F.); #214383=EDGE_CURVE('',#170816,#170817,#143163,.F.); #214384=EDGE_CURVE('',#170817,#170818,#94026,.F.); #214385=EDGE_CURVE('',#170818,#170819,#94027,.T.); #214386=EDGE_CURVE('',#170820,#170819,#94028,.T.); #214387=EDGE_CURVE('',#170821,#170820,#143164,.T.); #214388=EDGE_CURVE('',#170822,#170821,#94029,.T.); #214389=EDGE_CURVE('',#170823,#170822,#143165,.T.); #214390=EDGE_CURVE('',#170812,#170823,#94030,.T.); #214391=EDGE_CURVE('',#170824,#170825,#94031,.T.); #214392=EDGE_CURVE('',#170826,#170825,#94032,.T.); #214393=EDGE_CURVE('',#170827,#170826,#94033,.T.); #214394=EDGE_CURVE('',#170827,#170828,#143166,.T.); #214395=EDGE_CURVE('',#170828,#170829,#94034,.T.); #214396=EDGE_CURVE('',#170829,#170830,#143167,.T.); #214397=EDGE_CURVE('',#170830,#170831,#94035,.T.); #214398=EDGE_CURVE('',#170832,#170831,#94036,.T.); #214399=EDGE_CURVE('',#170833,#170832,#94037,.F.); #214400=EDGE_CURVE('',#170834,#170833,#143168,.F.); #214401=EDGE_CURVE('',#170835,#170834,#94038,.F.); #214402=EDGE_CURVE('',#170824,#170835,#143169,.T.); #214403=EDGE_CURVE('',#170825,#170836,#94039,.T.); #214404=EDGE_CURVE('',#170837,#170836,#94040,.T.); #214405=EDGE_CURVE('',#170826,#170837,#94041,.T.); #214406=EDGE_CURVE('',#170838,#170839,#94042,.T.); #214407=EDGE_CURVE('',#170838,#170840,#94043,.T.); #214408=EDGE_CURVE('',#170837,#170840,#94044,.T.); #214409=EDGE_CURVE('',#170836,#170841,#94045,.T.); #214410=EDGE_CURVE('',#170841,#170839,#94046,.F.); #214411=EDGE_CURVE('',#170842,#170843,#94047,.T.); #214412=EDGE_CURVE('',#170842,#170838,#94048,.T.); #214413=EDGE_CURVE('',#170839,#170843,#94049,.T.); #214414=EDGE_CURVE('',#170844,#170813,#94050,.T.); #214415=EDGE_CURVE('',#170844,#170842,#94051,.T.); #214416=EDGE_CURVE('',#170843,#170845,#94052,.F.); #214417=EDGE_CURVE('',#170845,#170810,#94053,.T.); #214418=EDGE_CURVE('',#170840,#170844,#94054,.T.); #214419=EDGE_CURVE('',#170823,#170827,#94055,.T.); #214420=EDGE_CURVE('',#170845,#170841,#94056,.T.); #214421=EDGE_CURVE('',#170814,#170824,#94057,.T.); #214422=EDGE_CURVE('',#170832,#170818,#94058,.F.); #214423=EDGE_CURVE('',#170831,#170819,#94059,.T.); #214424=EDGE_CURVE('',#170828,#170822,#94060,.T.); #214425=EDGE_CURVE('',#170829,#170821,#94061,.T.); #214426=EDGE_CURVE('',#170830,#170820,#94062,.T.); #214427=EDGE_CURVE('',#170835,#170815,#94063,.F.); #214428=EDGE_CURVE('',#170834,#170816,#94064,.T.); #214429=EDGE_CURVE('',#170833,#170817,#94065,.F.); #214430=EDGE_CURVE('',#170846,#170847,#94066,.T.); #214431=EDGE_CURVE('',#170848,#170847,#94067,.T.); #214432=EDGE_CURVE('',#170849,#170848,#94068,.T.); #214433=EDGE_CURVE('',#170849,#170846,#94069,.T.); #214434=EDGE_CURVE('',#170847,#170850,#94070,.T.); #214435=EDGE_CURVE('',#170850,#170851,#143170,.T.); #214436=EDGE_CURVE('',#170851,#170852,#94071,.F.); #214437=EDGE_CURVE('',#170852,#170853,#143171,.F.); #214438=EDGE_CURVE('',#170853,#170854,#94072,.F.); #214439=EDGE_CURVE('',#170854,#170855,#94073,.T.); #214440=EDGE_CURVE('',#170856,#170855,#94074,.T.); #214441=EDGE_CURVE('',#170857,#170856,#143172,.T.); #214442=EDGE_CURVE('',#170858,#170857,#94075,.T.); #214443=EDGE_CURVE('',#170859,#170858,#143173,.T.); #214444=EDGE_CURVE('',#170848,#170859,#94076,.T.); #214445=EDGE_CURVE('',#170860,#170861,#94077,.T.); #214446=EDGE_CURVE('',#170862,#170861,#94078,.T.); #214447=EDGE_CURVE('',#170863,#170862,#94079,.T.); #214448=EDGE_CURVE('',#170863,#170864,#143174,.T.); #214449=EDGE_CURVE('',#170864,#170865,#94080,.T.); #214450=EDGE_CURVE('',#170865,#170866,#143175,.T.); #214451=EDGE_CURVE('',#170866,#170867,#94081,.T.); #214452=EDGE_CURVE('',#170868,#170867,#94082,.T.); #214453=EDGE_CURVE('',#170869,#170868,#94083,.F.); #214454=EDGE_CURVE('',#170870,#170869,#143176,.F.); #214455=EDGE_CURVE('',#170871,#170870,#94084,.F.); #214456=EDGE_CURVE('',#170860,#170871,#143177,.T.); #214457=EDGE_CURVE('',#170861,#170872,#94085,.T.); #214458=EDGE_CURVE('',#170873,#170872,#94086,.T.); #214459=EDGE_CURVE('',#170862,#170873,#94087,.T.); #214460=EDGE_CURVE('',#170874,#170875,#94088,.T.); #214461=EDGE_CURVE('',#170874,#170876,#94089,.T.); #214462=EDGE_CURVE('',#170873,#170876,#94090,.T.); #214463=EDGE_CURVE('',#170872,#170877,#94091,.T.); #214464=EDGE_CURVE('',#170877,#170875,#94092,.F.); #214465=EDGE_CURVE('',#170878,#170879,#94093,.T.); #214466=EDGE_CURVE('',#170878,#170874,#94094,.T.); #214467=EDGE_CURVE('',#170875,#170879,#94095,.T.); #214468=EDGE_CURVE('',#170880,#170849,#94096,.T.); #214469=EDGE_CURVE('',#170880,#170878,#94097,.T.); #214470=EDGE_CURVE('',#170879,#170881,#94098,.F.); #214471=EDGE_CURVE('',#170881,#170846,#94099,.T.); #214472=EDGE_CURVE('',#170876,#170880,#94100,.T.); #214473=EDGE_CURVE('',#170859,#170863,#94101,.T.); #214474=EDGE_CURVE('',#170881,#170877,#94102,.T.); #214475=EDGE_CURVE('',#170850,#170860,#94103,.T.); #214476=EDGE_CURVE('',#170868,#170854,#94104,.F.); #214477=EDGE_CURVE('',#170867,#170855,#94105,.T.); #214478=EDGE_CURVE('',#170864,#170858,#94106,.T.); #214479=EDGE_CURVE('',#170865,#170857,#94107,.T.); #214480=EDGE_CURVE('',#170866,#170856,#94108,.T.); #214481=EDGE_CURVE('',#170871,#170851,#94109,.F.); #214482=EDGE_CURVE('',#170870,#170852,#94110,.T.); #214483=EDGE_CURVE('',#170869,#170853,#94111,.F.); #214484=EDGE_CURVE('',#170882,#170883,#94112,.T.); #214485=EDGE_CURVE('',#170884,#170883,#94113,.T.); #214486=EDGE_CURVE('',#170885,#170884,#94114,.T.); #214487=EDGE_CURVE('',#170885,#170882,#94115,.T.); #214488=EDGE_CURVE('',#170883,#170886,#94116,.T.); #214489=EDGE_CURVE('',#170886,#170887,#143178,.T.); #214490=EDGE_CURVE('',#170887,#170888,#94117,.F.); #214491=EDGE_CURVE('',#170888,#170889,#143179,.F.); #214492=EDGE_CURVE('',#170889,#170890,#94118,.F.); #214493=EDGE_CURVE('',#170890,#170891,#94119,.T.); #214494=EDGE_CURVE('',#170892,#170891,#94120,.T.); #214495=EDGE_CURVE('',#170893,#170892,#143180,.T.); #214496=EDGE_CURVE('',#170894,#170893,#94121,.T.); #214497=EDGE_CURVE('',#170895,#170894,#143181,.T.); #214498=EDGE_CURVE('',#170884,#170895,#94122,.T.); #214499=EDGE_CURVE('',#170896,#170897,#94123,.T.); #214500=EDGE_CURVE('',#170898,#170897,#94124,.T.); #214501=EDGE_CURVE('',#170899,#170898,#94125,.T.); #214502=EDGE_CURVE('',#170899,#170900,#143182,.T.); #214503=EDGE_CURVE('',#170900,#170901,#94126,.T.); #214504=EDGE_CURVE('',#170901,#170902,#143183,.T.); #214505=EDGE_CURVE('',#170902,#170903,#94127,.T.); #214506=EDGE_CURVE('',#170904,#170903,#94128,.T.); #214507=EDGE_CURVE('',#170905,#170904,#94129,.F.); #214508=EDGE_CURVE('',#170906,#170905,#143184,.F.); #214509=EDGE_CURVE('',#170907,#170906,#94130,.F.); #214510=EDGE_CURVE('',#170896,#170907,#143185,.T.); #214511=EDGE_CURVE('',#170897,#170908,#94131,.T.); #214512=EDGE_CURVE('',#170909,#170908,#94132,.T.); #214513=EDGE_CURVE('',#170898,#170909,#94133,.T.); #214514=EDGE_CURVE('',#170910,#170911,#94134,.T.); #214515=EDGE_CURVE('',#170910,#170912,#94135,.T.); #214516=EDGE_CURVE('',#170909,#170912,#94136,.T.); #214517=EDGE_CURVE('',#170908,#170913,#94137,.T.); #214518=EDGE_CURVE('',#170913,#170911,#94138,.F.); #214519=EDGE_CURVE('',#170914,#170915,#94139,.T.); #214520=EDGE_CURVE('',#170914,#170910,#94140,.T.); #214521=EDGE_CURVE('',#170911,#170915,#94141,.T.); #214522=EDGE_CURVE('',#170916,#170885,#94142,.T.); #214523=EDGE_CURVE('',#170916,#170914,#94143,.T.); #214524=EDGE_CURVE('',#170915,#170917,#94144,.F.); #214525=EDGE_CURVE('',#170917,#170882,#94145,.T.); #214526=EDGE_CURVE('',#170912,#170916,#94146,.T.); #214527=EDGE_CURVE('',#170895,#170899,#94147,.T.); #214528=EDGE_CURVE('',#170917,#170913,#94148,.T.); #214529=EDGE_CURVE('',#170886,#170896,#94149,.T.); #214530=EDGE_CURVE('',#170904,#170890,#94150,.F.); #214531=EDGE_CURVE('',#170903,#170891,#94151,.T.); #214532=EDGE_CURVE('',#170900,#170894,#94152,.T.); #214533=EDGE_CURVE('',#170901,#170893,#94153,.T.); #214534=EDGE_CURVE('',#170902,#170892,#94154,.T.); #214535=EDGE_CURVE('',#170907,#170887,#94155,.F.); #214536=EDGE_CURVE('',#170906,#170888,#94156,.T.); #214537=EDGE_CURVE('',#170905,#170889,#94157,.F.); #214538=EDGE_CURVE('',#170918,#170919,#94158,.T.); #214539=EDGE_CURVE('',#170920,#170918,#94159,.T.); #214540=EDGE_CURVE('',#170920,#170921,#94160,.T.); #214541=EDGE_CURVE('',#170921,#170919,#94161,.T.); #214542=EDGE_CURVE('',#170919,#170922,#94162,.T.); #214543=EDGE_CURVE('',#170921,#170923,#94163,.T.); #214544=EDGE_CURVE('',#170923,#170924,#143186,.T.); #214545=EDGE_CURVE('',#170924,#170925,#94164,.T.); #214546=EDGE_CURVE('',#170925,#170926,#143187,.T.); #214547=EDGE_CURVE('',#170926,#170927,#94165,.T.); #214548=EDGE_CURVE('',#170928,#170927,#94166,.T.); #214549=EDGE_CURVE('',#170929,#170928,#94167,.F.); #214550=EDGE_CURVE('',#170930,#170929,#143188,.F.); #214551=EDGE_CURVE('',#170931,#170930,#94168,.F.); #214552=EDGE_CURVE('',#170922,#170931,#143189,.T.); #214553=EDGE_CURVE('',#170932,#170933,#94169,.T.); #214554=EDGE_CURVE('',#170932,#170934,#143190,.T.); #214555=EDGE_CURVE('',#170934,#170935,#94170,.F.); #214556=EDGE_CURVE('',#170935,#170936,#143191,.F.); #214557=EDGE_CURVE('',#170936,#170937,#94171,.F.); #214558=EDGE_CURVE('',#170937,#170938,#94172,.T.); #214559=EDGE_CURVE('',#170939,#170938,#94173,.T.); #214560=EDGE_CURVE('',#170940,#170939,#143192,.T.); #214561=EDGE_CURVE('',#170941,#170940,#94174,.T.); #214562=EDGE_CURVE('',#170942,#170941,#143193,.T.); #214563=EDGE_CURVE('',#170942,#170943,#94175,.T.); #214564=EDGE_CURVE('',#170943,#170933,#94176,.T.); #214565=EDGE_CURVE('',#170933,#170944,#94177,.T.); #214566=EDGE_CURVE('',#170943,#170945,#94178,.T.); #214567=EDGE_CURVE('',#170945,#170944,#94179,.T.); #214568=EDGE_CURVE('',#170946,#170947,#94180,.T.); #214569=EDGE_CURVE('',#170948,#170947,#94181,.F.); #214570=EDGE_CURVE('',#170944,#170948,#94182,.T.); #214571=EDGE_CURVE('',#170945,#170949,#94183,.T.); #214572=EDGE_CURVE('',#170946,#170949,#94184,.T.); #214573=EDGE_CURVE('',#170950,#170951,#94185,.T.); #214574=EDGE_CURVE('',#170947,#170951,#94186,.T.); #214575=EDGE_CURVE('',#170950,#170946,#94187,.T.); #214576=EDGE_CURVE('',#170952,#170920,#94188,.T.); #214577=EDGE_CURVE('',#170953,#170918,#94189,.T.); #214578=EDGE_CURVE('',#170951,#170953,#94190,.F.); #214579=EDGE_CURVE('',#170952,#170950,#94191,.T.); #214580=EDGE_CURVE('',#170923,#170942,#94192,.T.); #214581=EDGE_CURVE('',#170949,#170952,#94193,.T.); #214582=EDGE_CURVE('',#170922,#170932,#94194,.T.); #214583=EDGE_CURVE('',#170953,#170948,#94195,.T.); #214584=EDGE_CURVE('',#170938,#170927,#94196,.T.); #214585=EDGE_CURVE('',#170937,#170928,#94197,.F.); #214586=EDGE_CURVE('',#170941,#170924,#94198,.T.); #214587=EDGE_CURVE('',#170940,#170925,#94199,.T.); #214588=EDGE_CURVE('',#170939,#170926,#94200,.T.); #214589=EDGE_CURVE('',#170934,#170931,#94201,.F.); #214590=EDGE_CURVE('',#170935,#170930,#94202,.T.); #214591=EDGE_CURVE('',#170936,#170929,#94203,.F.); #214592=EDGE_CURVE('',#170954,#170955,#94204,.T.); #214593=EDGE_CURVE('',#170956,#170954,#94205,.T.); #214594=EDGE_CURVE('',#170956,#170957,#94206,.T.); #214595=EDGE_CURVE('',#170957,#170955,#94207,.T.); #214596=EDGE_CURVE('',#170955,#170958,#94208,.T.); #214597=EDGE_CURVE('',#170957,#170959,#94209,.T.); #214598=EDGE_CURVE('',#170959,#170960,#143194,.T.); #214599=EDGE_CURVE('',#170960,#170961,#94210,.T.); #214600=EDGE_CURVE('',#170961,#170962,#143195,.T.); #214601=EDGE_CURVE('',#170962,#170963,#94211,.T.); #214602=EDGE_CURVE('',#170964,#170963,#94212,.T.); #214603=EDGE_CURVE('',#170965,#170964,#94213,.F.); #214604=EDGE_CURVE('',#170966,#170965,#143196,.F.); #214605=EDGE_CURVE('',#170967,#170966,#94214,.F.); #214606=EDGE_CURVE('',#170958,#170967,#143197,.T.); #214607=EDGE_CURVE('',#170968,#170969,#94215,.T.); #214608=EDGE_CURVE('',#170968,#170970,#143198,.T.); #214609=EDGE_CURVE('',#170970,#170971,#94216,.F.); #214610=EDGE_CURVE('',#170971,#170972,#143199,.F.); #214611=EDGE_CURVE('',#170972,#170973,#94217,.F.); #214612=EDGE_CURVE('',#170973,#170974,#94218,.T.); #214613=EDGE_CURVE('',#170975,#170974,#94219,.T.); #214614=EDGE_CURVE('',#170976,#170975,#143200,.T.); #214615=EDGE_CURVE('',#170977,#170976,#94220,.T.); #214616=EDGE_CURVE('',#170978,#170977,#143201,.T.); #214617=EDGE_CURVE('',#170978,#170979,#94221,.T.); #214618=EDGE_CURVE('',#170979,#170969,#94222,.T.); #214619=EDGE_CURVE('',#170969,#170980,#94223,.T.); #214620=EDGE_CURVE('',#170979,#170981,#94224,.T.); #214621=EDGE_CURVE('',#170981,#170980,#94225,.T.); #214622=EDGE_CURVE('',#170982,#170983,#94226,.T.); #214623=EDGE_CURVE('',#170984,#170983,#94227,.F.); #214624=EDGE_CURVE('',#170980,#170984,#94228,.T.); #214625=EDGE_CURVE('',#170981,#170985,#94229,.T.); #214626=EDGE_CURVE('',#170982,#170985,#94230,.T.); #214627=EDGE_CURVE('',#170986,#170987,#94231,.T.); #214628=EDGE_CURVE('',#170983,#170987,#94232,.T.); #214629=EDGE_CURVE('',#170986,#170982,#94233,.T.); #214630=EDGE_CURVE('',#170988,#170956,#94234,.T.); #214631=EDGE_CURVE('',#170989,#170954,#94235,.T.); #214632=EDGE_CURVE('',#170987,#170989,#94236,.F.); #214633=EDGE_CURVE('',#170988,#170986,#94237,.T.); #214634=EDGE_CURVE('',#170959,#170978,#94238,.T.); #214635=EDGE_CURVE('',#170985,#170988,#94239,.T.); #214636=EDGE_CURVE('',#170958,#170968,#94240,.T.); #214637=EDGE_CURVE('',#170989,#170984,#94241,.T.); #214638=EDGE_CURVE('',#170974,#170963,#94242,.T.); #214639=EDGE_CURVE('',#170973,#170964,#94243,.F.); #214640=EDGE_CURVE('',#170977,#170960,#94244,.T.); #214641=EDGE_CURVE('',#170976,#170961,#94245,.T.); #214642=EDGE_CURVE('',#170975,#170962,#94246,.T.); #214643=EDGE_CURVE('',#170970,#170967,#94247,.F.); #214644=EDGE_CURVE('',#170971,#170966,#94248,.T.); #214645=EDGE_CURVE('',#170972,#170965,#94249,.F.); #214646=EDGE_CURVE('',#170990,#170991,#94250,.T.); #214647=EDGE_CURVE('',#170992,#170990,#94251,.T.); #214648=EDGE_CURVE('',#170992,#170993,#94252,.T.); #214649=EDGE_CURVE('',#170993,#170991,#94253,.T.); #214650=EDGE_CURVE('',#170991,#170994,#94254,.T.); #214651=EDGE_CURVE('',#170993,#170995,#94255,.T.); #214652=EDGE_CURVE('',#170995,#170996,#143202,.T.); #214653=EDGE_CURVE('',#170996,#170997,#94256,.T.); #214654=EDGE_CURVE('',#170997,#170998,#143203,.T.); #214655=EDGE_CURVE('',#170998,#170999,#94257,.T.); #214656=EDGE_CURVE('',#171000,#170999,#94258,.T.); #214657=EDGE_CURVE('',#171001,#171000,#94259,.F.); #214658=EDGE_CURVE('',#171002,#171001,#143204,.F.); #214659=EDGE_CURVE('',#171003,#171002,#94260,.F.); #214660=EDGE_CURVE('',#170994,#171003,#143205,.T.); #214661=EDGE_CURVE('',#171004,#171005,#94261,.T.); #214662=EDGE_CURVE('',#171004,#171006,#143206,.T.); #214663=EDGE_CURVE('',#171006,#171007,#94262,.F.); #214664=EDGE_CURVE('',#171007,#171008,#143207,.F.); #214665=EDGE_CURVE('',#171008,#171009,#94263,.F.); #214666=EDGE_CURVE('',#171009,#171010,#94264,.T.); #214667=EDGE_CURVE('',#171011,#171010,#94265,.T.); #214668=EDGE_CURVE('',#171012,#171011,#143208,.T.); #214669=EDGE_CURVE('',#171013,#171012,#94266,.T.); #214670=EDGE_CURVE('',#171014,#171013,#143209,.T.); #214671=EDGE_CURVE('',#171014,#171015,#94267,.T.); #214672=EDGE_CURVE('',#171015,#171005,#94268,.T.); #214673=EDGE_CURVE('',#171005,#171016,#94269,.T.); #214674=EDGE_CURVE('',#171015,#171017,#94270,.T.); #214675=EDGE_CURVE('',#171017,#171016,#94271,.T.); #214676=EDGE_CURVE('',#171018,#171019,#94272,.T.); #214677=EDGE_CURVE('',#171020,#171019,#94273,.F.); #214678=EDGE_CURVE('',#171016,#171020,#94274,.T.); #214679=EDGE_CURVE('',#171017,#171021,#94275,.T.); #214680=EDGE_CURVE('',#171018,#171021,#94276,.T.); #214681=EDGE_CURVE('',#171022,#171023,#94277,.T.); #214682=EDGE_CURVE('',#171019,#171023,#94278,.T.); #214683=EDGE_CURVE('',#171022,#171018,#94279,.T.); #214684=EDGE_CURVE('',#171024,#170992,#94280,.T.); #214685=EDGE_CURVE('',#171025,#170990,#94281,.T.); #214686=EDGE_CURVE('',#171023,#171025,#94282,.F.); #214687=EDGE_CURVE('',#171024,#171022,#94283,.T.); #214688=EDGE_CURVE('',#170995,#171014,#94284,.T.); #214689=EDGE_CURVE('',#171021,#171024,#94285,.T.); #214690=EDGE_CURVE('',#170994,#171004,#94286,.T.); #214691=EDGE_CURVE('',#171025,#171020,#94287,.T.); #214692=EDGE_CURVE('',#171010,#170999,#94288,.T.); #214693=EDGE_CURVE('',#171009,#171000,#94289,.F.); #214694=EDGE_CURVE('',#171013,#170996,#94290,.T.); #214695=EDGE_CURVE('',#171012,#170997,#94291,.T.); #214696=EDGE_CURVE('',#171011,#170998,#94292,.T.); #214697=EDGE_CURVE('',#171006,#171003,#94293,.F.); #214698=EDGE_CURVE('',#171007,#171002,#94294,.T.); #214699=EDGE_CURVE('',#171008,#171001,#94295,.F.); #214700=EDGE_CURVE('',#171026,#171027,#94296,.T.); #214701=EDGE_CURVE('',#171028,#171026,#94297,.T.); #214702=EDGE_CURVE('',#171028,#171029,#94298,.T.); #214703=EDGE_CURVE('',#171029,#171027,#94299,.T.); #214704=EDGE_CURVE('',#171027,#171030,#94300,.T.); #214705=EDGE_CURVE('',#171029,#171031,#94301,.T.); #214706=EDGE_CURVE('',#171031,#171032,#143210,.T.); #214707=EDGE_CURVE('',#171032,#171033,#94302,.T.); #214708=EDGE_CURVE('',#171033,#171034,#143211,.T.); #214709=EDGE_CURVE('',#171034,#171035,#94303,.T.); #214710=EDGE_CURVE('',#171036,#171035,#94304,.T.); #214711=EDGE_CURVE('',#171037,#171036,#94305,.F.); #214712=EDGE_CURVE('',#171038,#171037,#143212,.F.); #214713=EDGE_CURVE('',#171039,#171038,#94306,.F.); #214714=EDGE_CURVE('',#171030,#171039,#143213,.T.); #214715=EDGE_CURVE('',#171040,#171041,#94307,.T.); #214716=EDGE_CURVE('',#171040,#171042,#143214,.T.); #214717=EDGE_CURVE('',#171042,#171043,#94308,.F.); #214718=EDGE_CURVE('',#171043,#171044,#143215,.F.); #214719=EDGE_CURVE('',#171044,#171045,#94309,.F.); #214720=EDGE_CURVE('',#171045,#171046,#94310,.T.); #214721=EDGE_CURVE('',#171047,#171046,#94311,.T.); #214722=EDGE_CURVE('',#171048,#171047,#143216,.T.); #214723=EDGE_CURVE('',#171049,#171048,#94312,.T.); #214724=EDGE_CURVE('',#171050,#171049,#143217,.T.); #214725=EDGE_CURVE('',#171050,#171051,#94313,.T.); #214726=EDGE_CURVE('',#171051,#171041,#94314,.T.); #214727=EDGE_CURVE('',#171041,#171052,#94315,.T.); #214728=EDGE_CURVE('',#171051,#171053,#94316,.T.); #214729=EDGE_CURVE('',#171053,#171052,#94317,.T.); #214730=EDGE_CURVE('',#171054,#171055,#94318,.T.); #214731=EDGE_CURVE('',#171056,#171055,#94319,.F.); #214732=EDGE_CURVE('',#171052,#171056,#94320,.T.); #214733=EDGE_CURVE('',#171053,#171057,#94321,.T.); #214734=EDGE_CURVE('',#171054,#171057,#94322,.T.); #214735=EDGE_CURVE('',#171058,#171059,#94323,.T.); #214736=EDGE_CURVE('',#171055,#171059,#94324,.T.); #214737=EDGE_CURVE('',#171058,#171054,#94325,.T.); #214738=EDGE_CURVE('',#171060,#171028,#94326,.T.); #214739=EDGE_CURVE('',#171061,#171026,#94327,.T.); #214740=EDGE_CURVE('',#171059,#171061,#94328,.F.); #214741=EDGE_CURVE('',#171060,#171058,#94329,.T.); #214742=EDGE_CURVE('',#171031,#171050,#94330,.T.); #214743=EDGE_CURVE('',#171057,#171060,#94331,.T.); #214744=EDGE_CURVE('',#171030,#171040,#94332,.T.); #214745=EDGE_CURVE('',#171061,#171056,#94333,.T.); #214746=EDGE_CURVE('',#171046,#171035,#94334,.T.); #214747=EDGE_CURVE('',#171045,#171036,#94335,.F.); #214748=EDGE_CURVE('',#171049,#171032,#94336,.T.); #214749=EDGE_CURVE('',#171048,#171033,#94337,.T.); #214750=EDGE_CURVE('',#171047,#171034,#94338,.T.); #214751=EDGE_CURVE('',#171042,#171039,#94339,.F.); #214752=EDGE_CURVE('',#171043,#171038,#94340,.T.); #214753=EDGE_CURVE('',#171044,#171037,#94341,.F.); #214754=EDGE_CURVE('',#171062,#171063,#94342,.T.); #214755=EDGE_CURVE('',#171064,#171062,#94343,.T.); #214756=EDGE_CURVE('',#171064,#171065,#94344,.T.); #214757=EDGE_CURVE('',#171065,#171063,#94345,.T.); #214758=EDGE_CURVE('',#171063,#171066,#94346,.T.); #214759=EDGE_CURVE('',#171065,#171067,#94347,.T.); #214760=EDGE_CURVE('',#171067,#171068,#143218,.T.); #214761=EDGE_CURVE('',#171068,#171069,#94348,.T.); #214762=EDGE_CURVE('',#171069,#171070,#143219,.T.); #214763=EDGE_CURVE('',#171070,#171071,#94349,.T.); #214764=EDGE_CURVE('',#171072,#171071,#94350,.T.); #214765=EDGE_CURVE('',#171073,#171072,#94351,.F.); #214766=EDGE_CURVE('',#171074,#171073,#143220,.F.); #214767=EDGE_CURVE('',#171075,#171074,#94352,.F.); #214768=EDGE_CURVE('',#171066,#171075,#143221,.T.); #214769=EDGE_CURVE('',#171076,#171077,#94353,.T.); #214770=EDGE_CURVE('',#171076,#171078,#143222,.T.); #214771=EDGE_CURVE('',#171078,#171079,#94354,.F.); #214772=EDGE_CURVE('',#171079,#171080,#143223,.F.); #214773=EDGE_CURVE('',#171080,#171081,#94355,.F.); #214774=EDGE_CURVE('',#171081,#171082,#94356,.T.); #214775=EDGE_CURVE('',#171083,#171082,#94357,.T.); #214776=EDGE_CURVE('',#171084,#171083,#143224,.T.); #214777=EDGE_CURVE('',#171085,#171084,#94358,.T.); #214778=EDGE_CURVE('',#171086,#171085,#143225,.T.); #214779=EDGE_CURVE('',#171086,#171087,#94359,.T.); #214780=EDGE_CURVE('',#171087,#171077,#94360,.T.); #214781=EDGE_CURVE('',#171077,#171088,#94361,.T.); #214782=EDGE_CURVE('',#171087,#171089,#94362,.T.); #214783=EDGE_CURVE('',#171089,#171088,#94363,.T.); #214784=EDGE_CURVE('',#171090,#171091,#94364,.T.); #214785=EDGE_CURVE('',#171092,#171091,#94365,.F.); #214786=EDGE_CURVE('',#171088,#171092,#94366,.T.); #214787=EDGE_CURVE('',#171089,#171093,#94367,.T.); #214788=EDGE_CURVE('',#171090,#171093,#94368,.T.); #214789=EDGE_CURVE('',#171094,#171095,#94369,.T.); #214790=EDGE_CURVE('',#171091,#171095,#94370,.T.); #214791=EDGE_CURVE('',#171094,#171090,#94371,.T.); #214792=EDGE_CURVE('',#171096,#171064,#94372,.T.); #214793=EDGE_CURVE('',#171097,#171062,#94373,.T.); #214794=EDGE_CURVE('',#171095,#171097,#94374,.F.); #214795=EDGE_CURVE('',#171096,#171094,#94375,.T.); #214796=EDGE_CURVE('',#171067,#171086,#94376,.T.); #214797=EDGE_CURVE('',#171093,#171096,#94377,.T.); #214798=EDGE_CURVE('',#171066,#171076,#94378,.T.); #214799=EDGE_CURVE('',#171097,#171092,#94379,.T.); #214800=EDGE_CURVE('',#171082,#171071,#94380,.T.); #214801=EDGE_CURVE('',#171081,#171072,#94381,.F.); #214802=EDGE_CURVE('',#171085,#171068,#94382,.T.); #214803=EDGE_CURVE('',#171084,#171069,#94383,.T.); #214804=EDGE_CURVE('',#171083,#171070,#94384,.T.); #214805=EDGE_CURVE('',#171078,#171075,#94385,.F.); #214806=EDGE_CURVE('',#171079,#171074,#94386,.T.); #214807=EDGE_CURVE('',#171080,#171073,#94387,.F.); #214808=EDGE_CURVE('',#171098,#171099,#94388,.T.); #214809=EDGE_CURVE('',#171100,#171098,#94389,.T.); #214810=EDGE_CURVE('',#171100,#171101,#94390,.T.); #214811=EDGE_CURVE('',#171101,#171099,#94391,.T.); #214812=EDGE_CURVE('',#171099,#171102,#94392,.T.); #214813=EDGE_CURVE('',#171101,#171103,#94393,.T.); #214814=EDGE_CURVE('',#171103,#171104,#143226,.T.); #214815=EDGE_CURVE('',#171104,#171105,#94394,.T.); #214816=EDGE_CURVE('',#171105,#171106,#143227,.T.); #214817=EDGE_CURVE('',#171106,#171107,#94395,.T.); #214818=EDGE_CURVE('',#171108,#171107,#94396,.T.); #214819=EDGE_CURVE('',#171109,#171108,#94397,.F.); #214820=EDGE_CURVE('',#171110,#171109,#143228,.F.); #214821=EDGE_CURVE('',#171111,#171110,#94398,.F.); #214822=EDGE_CURVE('',#171102,#171111,#143229,.T.); #214823=EDGE_CURVE('',#171112,#171113,#94399,.T.); #214824=EDGE_CURVE('',#171112,#171114,#143230,.T.); #214825=EDGE_CURVE('',#171114,#171115,#94400,.F.); #214826=EDGE_CURVE('',#171115,#171116,#143231,.F.); #214827=EDGE_CURVE('',#171116,#171117,#94401,.F.); #214828=EDGE_CURVE('',#171117,#171118,#94402,.T.); #214829=EDGE_CURVE('',#171119,#171118,#94403,.T.); #214830=EDGE_CURVE('',#171120,#171119,#143232,.T.); #214831=EDGE_CURVE('',#171121,#171120,#94404,.T.); #214832=EDGE_CURVE('',#171122,#171121,#143233,.T.); #214833=EDGE_CURVE('',#171122,#171123,#94405,.T.); #214834=EDGE_CURVE('',#171123,#171113,#94406,.T.); #214835=EDGE_CURVE('',#171113,#171124,#94407,.T.); #214836=EDGE_CURVE('',#171123,#171125,#94408,.T.); #214837=EDGE_CURVE('',#171125,#171124,#94409,.T.); #214838=EDGE_CURVE('',#171126,#171127,#94410,.T.); #214839=EDGE_CURVE('',#171128,#171127,#94411,.F.); #214840=EDGE_CURVE('',#171124,#171128,#94412,.T.); #214841=EDGE_CURVE('',#171125,#171129,#94413,.T.); #214842=EDGE_CURVE('',#171126,#171129,#94414,.T.); #214843=EDGE_CURVE('',#171130,#171131,#94415,.T.); #214844=EDGE_CURVE('',#171127,#171131,#94416,.T.); #214845=EDGE_CURVE('',#171130,#171126,#94417,.T.); #214846=EDGE_CURVE('',#171132,#171100,#94418,.T.); #214847=EDGE_CURVE('',#171133,#171098,#94419,.T.); #214848=EDGE_CURVE('',#171131,#171133,#94420,.F.); #214849=EDGE_CURVE('',#171132,#171130,#94421,.T.); #214850=EDGE_CURVE('',#171103,#171122,#94422,.T.); #214851=EDGE_CURVE('',#171129,#171132,#94423,.T.); #214852=EDGE_CURVE('',#171102,#171112,#94424,.T.); #214853=EDGE_CURVE('',#171133,#171128,#94425,.T.); #214854=EDGE_CURVE('',#171118,#171107,#94426,.T.); #214855=EDGE_CURVE('',#171117,#171108,#94427,.F.); #214856=EDGE_CURVE('',#171121,#171104,#94428,.T.); #214857=EDGE_CURVE('',#171120,#171105,#94429,.T.); #214858=EDGE_CURVE('',#171119,#171106,#94430,.T.); #214859=EDGE_CURVE('',#171114,#171111,#94431,.F.); #214860=EDGE_CURVE('',#171115,#171110,#94432,.T.); #214861=EDGE_CURVE('',#171116,#171109,#94433,.F.); #214862=EDGE_CURVE('',#171134,#171135,#94434,.T.); #214863=EDGE_CURVE('',#171136,#171134,#94435,.T.); #214864=EDGE_CURVE('',#171136,#171137,#94436,.T.); #214865=EDGE_CURVE('',#171137,#171135,#94437,.T.); #214866=EDGE_CURVE('',#171135,#171138,#94438,.T.); #214867=EDGE_CURVE('',#171137,#171139,#94439,.T.); #214868=EDGE_CURVE('',#171139,#171140,#143234,.T.); #214869=EDGE_CURVE('',#171140,#171141,#94440,.T.); #214870=EDGE_CURVE('',#171141,#171142,#143235,.T.); #214871=EDGE_CURVE('',#171142,#171143,#94441,.T.); #214872=EDGE_CURVE('',#171144,#171143,#94442,.T.); #214873=EDGE_CURVE('',#171145,#171144,#94443,.F.); #214874=EDGE_CURVE('',#171146,#171145,#143236,.F.); #214875=EDGE_CURVE('',#171147,#171146,#94444,.F.); #214876=EDGE_CURVE('',#171138,#171147,#143237,.T.); #214877=EDGE_CURVE('',#171148,#171149,#94445,.T.); #214878=EDGE_CURVE('',#171148,#171150,#143238,.T.); #214879=EDGE_CURVE('',#171150,#171151,#94446,.F.); #214880=EDGE_CURVE('',#171151,#171152,#143239,.F.); #214881=EDGE_CURVE('',#171152,#171153,#94447,.F.); #214882=EDGE_CURVE('',#171153,#171154,#94448,.T.); #214883=EDGE_CURVE('',#171155,#171154,#94449,.T.); #214884=EDGE_CURVE('',#171156,#171155,#143240,.T.); #214885=EDGE_CURVE('',#171157,#171156,#94450,.T.); #214886=EDGE_CURVE('',#171158,#171157,#143241,.T.); #214887=EDGE_CURVE('',#171158,#171159,#94451,.T.); #214888=EDGE_CURVE('',#171159,#171149,#94452,.T.); #214889=EDGE_CURVE('',#171149,#171160,#94453,.T.); #214890=EDGE_CURVE('',#171159,#171161,#94454,.T.); #214891=EDGE_CURVE('',#171161,#171160,#94455,.T.); #214892=EDGE_CURVE('',#171162,#171163,#94456,.T.); #214893=EDGE_CURVE('',#171164,#171163,#94457,.F.); #214894=EDGE_CURVE('',#171160,#171164,#94458,.T.); #214895=EDGE_CURVE('',#171161,#171165,#94459,.T.); #214896=EDGE_CURVE('',#171162,#171165,#94460,.T.); #214897=EDGE_CURVE('',#171166,#171167,#94461,.T.); #214898=EDGE_CURVE('',#171163,#171167,#94462,.T.); #214899=EDGE_CURVE('',#171166,#171162,#94463,.T.); #214900=EDGE_CURVE('',#171168,#171136,#94464,.T.); #214901=EDGE_CURVE('',#171169,#171134,#94465,.T.); #214902=EDGE_CURVE('',#171167,#171169,#94466,.F.); #214903=EDGE_CURVE('',#171168,#171166,#94467,.T.); #214904=EDGE_CURVE('',#171139,#171158,#94468,.T.); #214905=EDGE_CURVE('',#171165,#171168,#94469,.T.); #214906=EDGE_CURVE('',#171138,#171148,#94470,.T.); #214907=EDGE_CURVE('',#171169,#171164,#94471,.T.); #214908=EDGE_CURVE('',#171154,#171143,#94472,.T.); #214909=EDGE_CURVE('',#171153,#171144,#94473,.F.); #214910=EDGE_CURVE('',#171157,#171140,#94474,.T.); #214911=EDGE_CURVE('',#171156,#171141,#94475,.T.); #214912=EDGE_CURVE('',#171155,#171142,#94476,.T.); #214913=EDGE_CURVE('',#171150,#171147,#94477,.F.); #214914=EDGE_CURVE('',#171151,#171146,#94478,.T.); #214915=EDGE_CURVE('',#171152,#171145,#94479,.F.); #214916=EDGE_CURVE('',#171170,#171171,#94480,.T.); #214917=EDGE_CURVE('',#171172,#171171,#94481,.T.); #214918=EDGE_CURVE('',#171173,#171172,#94482,.T.); #214919=EDGE_CURVE('',#171173,#171170,#94483,.T.); #214920=EDGE_CURVE('',#171171,#171174,#94484,.T.); #214921=EDGE_CURVE('',#171175,#171174,#94485,.T.); #214922=EDGE_CURVE('',#171172,#171175,#94486,.T.); #214923=EDGE_CURVE('',#171174,#171176,#94487,.T.); #214924=EDGE_CURVE('',#171177,#171176,#94488,.T.); #214925=EDGE_CURVE('',#171175,#171177,#94489,.T.); #214926=EDGE_CURVE('',#171176,#171178,#94490,.T.); #214927=EDGE_CURVE('',#171179,#171178,#94491,.T.); #214928=EDGE_CURVE('',#171177,#171179,#94492,.T.); #214929=EDGE_CURVE('',#171178,#171180,#143242,.T.); #214930=EDGE_CURVE('',#171181,#171180,#94493,.T.); #214931=EDGE_CURVE('',#171179,#171181,#143243,.T.); #214932=EDGE_CURVE('',#171180,#171182,#94494,.T.); #214933=EDGE_CURVE('',#171183,#171182,#94495,.T.); #214934=EDGE_CURVE('',#171181,#171183,#94496,.T.); #214935=EDGE_CURVE('',#171182,#171184,#94497,.T.); #214936=EDGE_CURVE('',#171185,#171184,#94498,.T.); #214937=EDGE_CURVE('',#171183,#171185,#94499,.T.); #214938=EDGE_CURVE('',#171184,#171186,#94500,.T.); #214939=EDGE_CURVE('',#171187,#171186,#94501,.T.); #214940=EDGE_CURVE('',#171185,#171187,#94502,.T.); #214941=EDGE_CURVE('',#171186,#171188,#143244,.T.); #214942=EDGE_CURVE('',#171189,#171188,#94503,.T.); #214943=EDGE_CURVE('',#171187,#171189,#143245,.T.); #214944=EDGE_CURVE('',#171188,#171190,#94504,.T.); #214945=EDGE_CURVE('',#171191,#171190,#94505,.T.); #214946=EDGE_CURVE('',#171189,#171191,#94506,.T.); #214947=EDGE_CURVE('',#171190,#171192,#143246,.T.); #214948=EDGE_CURVE('',#171193,#171192,#94507,.T.); #214949=EDGE_CURVE('',#171191,#171193,#143247,.T.); #214950=EDGE_CURVE('',#171192,#171194,#143248,.T.); #214951=EDGE_CURVE('',#171195,#171194,#94508,.T.); #214952=EDGE_CURVE('',#171193,#171195,#143249,.T.); #214953=EDGE_CURVE('',#171194,#171196,#94509,.T.); #214954=EDGE_CURVE('',#171197,#171196,#94510,.T.); #214955=EDGE_CURVE('',#171195,#171197,#94511,.T.); #214956=EDGE_CURVE('',#171196,#171198,#143250,.T.); #214957=EDGE_CURVE('',#171199,#171198,#94512,.T.); #214958=EDGE_CURVE('',#171197,#171199,#143251,.T.); #214959=EDGE_CURVE('',#171198,#171200,#94513,.T.); #214960=EDGE_CURVE('',#171201,#171200,#94514,.T.); #214961=EDGE_CURVE('',#171199,#171201,#94515,.T.); #214962=EDGE_CURVE('',#171200,#171202,#143252,.T.); #214963=EDGE_CURVE('',#171203,#171202,#94516,.T.); #214964=EDGE_CURVE('',#171201,#171203,#143253,.T.); #214965=EDGE_CURVE('',#171202,#171204,#94517,.T.); #214966=EDGE_CURVE('',#171205,#171204,#94518,.T.); #214967=EDGE_CURVE('',#171203,#171205,#94519,.T.); #214968=EDGE_CURVE('',#171204,#171206,#94520,.T.); #214969=EDGE_CURVE('',#171207,#171206,#94521,.T.); #214970=EDGE_CURVE('',#171205,#171207,#94522,.T.); #214971=EDGE_CURVE('',#171206,#171208,#94523,.T.); #214972=EDGE_CURVE('',#171209,#171208,#94524,.T.); #214973=EDGE_CURVE('',#171207,#171209,#94525,.T.); #214974=EDGE_CURVE('',#171208,#171210,#94526,.T.); #214975=EDGE_CURVE('',#171211,#171210,#94527,.T.); #214976=EDGE_CURVE('',#171209,#171211,#94528,.T.); #214977=EDGE_CURVE('',#171210,#171212,#94529,.T.); #214978=EDGE_CURVE('',#171213,#171212,#94530,.T.); #214979=EDGE_CURVE('',#171211,#171213,#94531,.T.); #214980=EDGE_CURVE('',#171212,#171214,#94532,.T.); #214981=EDGE_CURVE('',#171215,#171214,#94533,.T.); #214982=EDGE_CURVE('',#171213,#171215,#94534,.T.); #214983=EDGE_CURVE('',#171214,#171216,#94535,.T.); #214984=EDGE_CURVE('',#171217,#171216,#94536,.T.); #214985=EDGE_CURVE('',#171215,#171217,#94537,.T.); #214986=EDGE_CURVE('',#171216,#171218,#143254,.T.); #214987=EDGE_CURVE('',#171219,#171218,#94538,.T.); #214988=EDGE_CURVE('',#171217,#171219,#143255,.T.); #214989=EDGE_CURVE('',#171218,#171220,#94539,.T.); #214990=EDGE_CURVE('',#171221,#171220,#94540,.T.); #214991=EDGE_CURVE('',#171219,#171221,#94541,.T.); #214992=EDGE_CURVE('',#171220,#171222,#143256,.T.); #214993=EDGE_CURVE('',#171223,#171222,#94542,.T.); #214994=EDGE_CURVE('',#171221,#171223,#143257,.T.); #214995=EDGE_CURVE('',#171222,#171224,#94543,.T.); #214996=EDGE_CURVE('',#171225,#171224,#94544,.T.); #214997=EDGE_CURVE('',#171223,#171225,#94545,.T.); #214998=EDGE_CURVE('',#171224,#171226,#143258,.T.); #214999=EDGE_CURVE('',#171227,#171226,#94546,.T.); #215000=EDGE_CURVE('',#171225,#171227,#143259,.T.); #215001=EDGE_CURVE('',#171226,#171228,#143260,.T.); #215002=EDGE_CURVE('',#171229,#171228,#94547,.T.); #215003=EDGE_CURVE('',#171227,#171229,#143261,.T.); #215004=EDGE_CURVE('',#171228,#171230,#94548,.T.); #215005=EDGE_CURVE('',#171231,#171230,#94549,.T.); #215006=EDGE_CURVE('',#171229,#171231,#94550,.T.); #215007=EDGE_CURVE('',#171230,#171232,#143262,.T.); #215008=EDGE_CURVE('',#171233,#171232,#94551,.T.); #215009=EDGE_CURVE('',#171231,#171233,#143263,.T.); #215010=EDGE_CURVE('',#171232,#171234,#94552,.T.); #215011=EDGE_CURVE('',#171235,#171234,#94553,.T.); #215012=EDGE_CURVE('',#171233,#171235,#94554,.T.); #215013=EDGE_CURVE('',#171234,#171236,#94555,.T.); #215014=EDGE_CURVE('',#171237,#171236,#94556,.T.); #215015=EDGE_CURVE('',#171235,#171237,#94557,.T.); #215016=EDGE_CURVE('',#171236,#171238,#94558,.T.); #215017=EDGE_CURVE('',#171239,#171238,#94559,.T.); #215018=EDGE_CURVE('',#171237,#171239,#94560,.T.); #215019=EDGE_CURVE('',#171238,#171240,#143264,.T.); #215020=EDGE_CURVE('',#171241,#171240,#94561,.T.); #215021=EDGE_CURVE('',#171239,#171241,#143265,.T.); #215022=EDGE_CURVE('',#171240,#171170,#94562,.T.); #215023=EDGE_CURVE('',#171241,#171173,#94563,.T.); #215024=EDGE_CURVE('',#171242,#171243,#94564,.T.); #215025=EDGE_CURVE('',#171243,#171244,#94565,.T.); #215026=EDGE_CURVE('',#171245,#171244,#94566,.F.); #215027=EDGE_CURVE('',#171242,#171245,#94567,.F.); #215028=EDGE_CURVE('',#171246,#171247,#94568,.T.); #215029=EDGE_CURVE('',#171246,#171248,#94569,.T.); #215030=EDGE_CURVE('',#171248,#171249,#94570,.T.); #215031=EDGE_CURVE('',#171247,#171249,#94571,.F.); #215032=EDGE_CURVE('',#171250,#171251,#143266,.F.); #215033=EDGE_CURVE('',#171252,#171250,#94572,.T.); #215034=EDGE_CURVE('',#171253,#171252,#143267,.T.); #215035=EDGE_CURVE('',#171254,#171253,#94573,.T.); #215036=EDGE_CURVE('',#171255,#171254,#143268,.T.); #215037=EDGE_CURVE('',#171256,#171255,#94574,.T.); #215038=EDGE_CURVE('',#171257,#171256,#143269,.F.); #215039=EDGE_CURVE('',#171257,#171258,#94575,.T.); #215040=EDGE_CURVE('',#171258,#171259,#143270,.T.); #215041=EDGE_CURVE('',#171259,#171260,#94576,.T.); #215042=EDGE_CURVE('',#171260,#171261,#143271,.F.); #215043=EDGE_CURVE('',#171261,#171262,#94577,.T.); #215044=EDGE_CURVE('',#171262,#171263,#143272,.F.); #215045=EDGE_CURVE('',#171263,#171264,#94578,.T.); #215046=EDGE_CURVE('',#171264,#171265,#143273,.T.); #215047=EDGE_CURVE('',#171265,#171266,#94579,.T.); #215048=EDGE_CURVE('',#171266,#171267,#94580,.T.); #215049=EDGE_CURVE('',#171268,#171267,#94581,.T.); #215050=EDGE_CURVE('',#171269,#171268,#94582,.T.); #215051=EDGE_CURVE('',#171270,#171269,#143274,.T.); #215052=EDGE_CURVE('',#171271,#171270,#94583,.T.); #215053=EDGE_CURVE('',#171272,#171271,#94584,.T.); #215054=EDGE_CURVE('',#171273,#171272,#143275,.T.); #215055=EDGE_CURVE('',#171274,#171273,#94585,.T.); #215056=EDGE_CURVE('',#171275,#171274,#143276,.T.); #215057=EDGE_CURVE('',#171276,#171275,#94586,.T.); #215058=EDGE_CURVE('',#171277,#171276,#143277,.F.); #215059=EDGE_CURVE('',#171278,#171277,#143278,.T.); #215060=EDGE_CURVE('',#171279,#171278,#94587,.T.); #215061=EDGE_CURVE('',#171280,#171279,#143279,.F.); #215062=EDGE_CURVE('',#171281,#171280,#94588,.T.); #215063=EDGE_CURVE('',#171282,#171281,#143280,.T.); #215064=EDGE_CURVE('',#171283,#171282,#94589,.T.); #215065=EDGE_CURVE('',#171284,#171283,#143281,.F.); #215066=EDGE_CURVE('',#171285,#171284,#94590,.T.); #215067=EDGE_CURVE('',#171285,#171286,#94591,.T.); #215068=EDGE_CURVE('',#171287,#171286,#143282,.T.); #215069=EDGE_CURVE('',#171288,#171287,#94592,.T.); #215070=EDGE_CURVE('',#171288,#171251,#94593,.T.); #215071=EDGE_CURVE('',#171289,#171290,#94594,.T.); #215072=EDGE_CURVE('',#171290,#171291,#94595,.T.); #215073=EDGE_CURVE('',#171292,#171291,#94596,.T.); #215074=EDGE_CURVE('',#171292,#171293,#94597,.T.); #215075=EDGE_CURVE('',#171293,#171294,#94598,.T.); #215076=EDGE_CURVE('',#171294,#171295,#94599,.T.); #215077=EDGE_CURVE('',#171295,#171296,#94600,.T.); #215078=EDGE_CURVE('',#171296,#171289,#94601,.T.); #215079=EDGE_CURVE('',#171297,#171298,#94602,.T.); #215080=EDGE_CURVE('',#171299,#171297,#143283,.T.); #215081=EDGE_CURVE('',#171300,#171299,#94603,.F.); #215082=EDGE_CURVE('',#171301,#171300,#94604,.T.); #215083=EDGE_CURVE('',#171302,#171301,#143284,.T.); #215084=EDGE_CURVE('',#171303,#171302,#94605,.T.); #215085=EDGE_CURVE('',#171304,#171303,#94606,.T.); #215086=EDGE_CURVE('',#171305,#171304,#143285,.T.); #215087=EDGE_CURVE('',#171306,#171305,#94607,.T.); #215088=EDGE_CURVE('',#171307,#171306,#143286,.T.); #215089=EDGE_CURVE('',#171308,#171307,#94608,.T.); #215090=EDGE_CURVE('',#171309,#171308,#143287,.T.); #215091=EDGE_CURVE('',#171309,#171310,#94609,.T.); #215092=EDGE_CURVE('',#171311,#171310,#143288,.T.); #215093=EDGE_CURVE('',#171312,#171311,#143289,.T.); #215094=EDGE_CURVE('',#171313,#171312,#94610,.T.); #215095=EDGE_CURVE('',#171314,#171313,#143290,.T.); #215096=EDGE_CURVE('',#171315,#171314,#94611,.T.); #215097=EDGE_CURVE('',#171316,#171315,#143291,.T.); #215098=EDGE_CURVE('',#171317,#171316,#94612,.T.); #215099=EDGE_CURVE('',#171318,#171317,#94613,.T.); #215100=EDGE_CURVE('',#171319,#171318,#143292,.T.); #215101=EDGE_CURVE('',#171320,#171319,#94614,.T.); #215102=EDGE_CURVE('',#171320,#171321,#94615,.T.); #215103=EDGE_CURVE('',#171321,#171322,#94616,.F.); #215104=EDGE_CURVE('',#171323,#171322,#94617,.F.); #215105=EDGE_CURVE('',#171323,#171324,#143293,.T.); #215106=EDGE_CURVE('',#171324,#171325,#94618,.T.); #215107=EDGE_CURVE('',#171325,#171326,#143294,.T.); #215108=EDGE_CURVE('',#171326,#171327,#94619,.T.); #215109=EDGE_CURVE('',#171327,#171328,#143295,.T.); #215110=EDGE_CURVE('',#171328,#171329,#94620,.T.); #215111=EDGE_CURVE('',#171329,#171330,#143296,.T.); #215112=EDGE_CURVE('',#171331,#171330,#94621,.F.); #215113=EDGE_CURVE('',#171332,#171331,#143297,.T.); #215114=EDGE_CURVE('',#171333,#171332,#94622,.T.); #215115=EDGE_CURVE('',#171334,#171333,#143298,.T.); #215116=EDGE_CURVE('',#171335,#171334,#94623,.T.); #215117=EDGE_CURVE('',#171298,#171335,#143299,.T.); #215118=EDGE_CURVE('',#171336,#171337,#94624,.T.); #215119=EDGE_CURVE('',#171338,#171336,#94625,.T.); #215120=EDGE_CURVE('',#171339,#171338,#94626,.T.); #215121=EDGE_CURVE('',#171340,#171339,#94627,.T.); #215122=EDGE_CURVE('',#171341,#171340,#94628,.T.); #215123=EDGE_CURVE('',#171342,#171341,#94629,.T.); #215124=EDGE_CURVE('',#171343,#171342,#94630,.T.); #215125=EDGE_CURVE('',#171343,#171337,#94631,.T.); #215126=EDGE_CURVE('',#171251,#171299,#94632,.T.); #215127=EDGE_CURVE('',#171300,#171288,#94633,.T.); #215128=EDGE_CURVE('',#171265,#171323,#94634,.T.); #215129=EDGE_CURVE('',#171322,#171344,#94635,.T.); #215130=EDGE_CURVE('',#171344,#171266,#94636,.T.); #215131=EDGE_CURVE('',#171345,#171346,#143300,.T.); #215132=EDGE_CURVE('',#171346,#171347,#94637,.T.); #215133=EDGE_CURVE('',#171347,#171348,#94638,.T.); #215134=EDGE_CURVE('',#171349,#171348,#143301,.T.); #215135=EDGE_CURVE('',#171345,#171349,#94639,.T.); #215136=EDGE_CURVE('',#171350,#171351,#143302,.T.); #215137=EDGE_CURVE('',#171351,#171352,#94640,.T.); #215138=EDGE_CURVE('',#171352,#171353,#94641,.T.); #215139=EDGE_CURVE('',#171354,#171353,#143303,.T.); #215140=EDGE_CURVE('',#171350,#171354,#94642,.T.); #215141=EDGE_CURVE('',#171355,#171356,#143304,.T.); #215142=EDGE_CURVE('',#171355,#171351,#94643,.T.); #215143=EDGE_CURVE('',#171350,#171357,#94644,.T.); #215144=EDGE_CURVE('',#171358,#171357,#143305,.T.); #215145=EDGE_CURVE('',#171358,#171346,#94645,.T.); #215146=EDGE_CURVE('',#171345,#171359,#94646,.T.); #215147=EDGE_CURVE('',#171359,#171360,#94647,.T.); #215148=EDGE_CURVE('',#171360,#171361,#94648,.T.); #215149=EDGE_CURVE('',#171362,#171361,#94649,.T.); #215150=EDGE_CURVE('',#171362,#171356,#94650,.T.); #215151=EDGE_CURVE('',#171363,#171364,#94651,.T.); #215152=EDGE_CURVE('',#171364,#171365,#94652,.T.); #215153=EDGE_CURVE('',#171365,#171366,#94653,.T.); #215154=EDGE_CURVE('',#171366,#171367,#94654,.T.); #215155=EDGE_CURVE('',#171367,#171368,#94655,.T.); #215156=EDGE_CURVE('',#171369,#171368,#94656,.T.); #215157=EDGE_CURVE('',#171369,#171370,#94657,.T.); #215158=EDGE_CURVE('',#171370,#171363,#94658,.T.); #215159=EDGE_CURVE('',#171371,#171372,#94659,.T.); #215160=EDGE_CURVE('',#171372,#171373,#94660,.T.); #215161=EDGE_CURVE('',#171374,#171373,#94661,.T.); #215162=EDGE_CURVE('',#171374,#171375,#94662,.T.); #215163=EDGE_CURVE('',#171375,#171376,#94663,.T.); #215164=EDGE_CURVE('',#171376,#171377,#94664,.T.); #215165=EDGE_CURVE('',#171377,#171378,#94665,.T.); #215166=EDGE_CURVE('',#171378,#171371,#94666,.T.); #215167=EDGE_CURVE('',#171379,#171380,#94667,.T.); #215168=EDGE_CURVE('',#171379,#171381,#143306,.F.); #215169=EDGE_CURVE('',#171381,#171382,#94668,.T.); #215170=EDGE_CURVE('',#171382,#171383,#143307,.T.); #215171=EDGE_CURVE('',#171383,#171384,#143308,.T.); #215172=EDGE_CURVE('',#171384,#171385,#94669,.T.); #215173=EDGE_CURVE('',#171385,#171386,#143309,.T.); #215174=EDGE_CURVE('',#171386,#171387,#94670,.T.); #215175=EDGE_CURVE('',#171387,#171388,#143310,.T.); #215176=EDGE_CURVE('',#171388,#171389,#94671,.T.); #215177=EDGE_CURVE('',#171389,#171390,#143311,.T.); #215178=EDGE_CURVE('',#171390,#171391,#94672,.T.); #215179=EDGE_CURVE('',#171391,#171392,#143312,.T.); #215180=EDGE_CURVE('',#171392,#171393,#94673,.T.); #215181=EDGE_CURVE('',#171393,#171394,#94674,.T.); #215182=EDGE_CURVE('',#171394,#171395,#94675,.T.); #215183=EDGE_CURVE('',#171395,#171396,#143313,.T.); #215184=EDGE_CURVE('',#171396,#171397,#143314,.T.); #215185=EDGE_CURVE('',#171397,#171398,#94676,.T.); #215186=EDGE_CURVE('',#171398,#171399,#94677,.T.); #215187=EDGE_CURVE('',#171399,#171400,#94678,.T.); #215188=EDGE_CURVE('',#171401,#171400,#94679,.T.); #215189=EDGE_CURVE('',#171401,#171402,#94680,.T.); #215190=EDGE_CURVE('',#171402,#171403,#143315,.F.); #215191=EDGE_CURVE('',#171404,#171403,#94681,.T.); #215192=EDGE_CURVE('',#171405,#171404,#143316,.T.); #215193=EDGE_CURVE('',#171406,#171405,#94682,.T.); #215194=EDGE_CURVE('',#171407,#171406,#143317,.T.); #215195=EDGE_CURVE('',#171408,#171407,#94683,.T.); #215196=EDGE_CURVE('',#171409,#171408,#143318,.T.); #215197=EDGE_CURVE('',#171410,#171409,#94684,.T.); #215198=EDGE_CURVE('',#171411,#171410,#143319,.T.); #215199=EDGE_CURVE('',#171412,#171411,#94685,.T.); #215200=EDGE_CURVE('',#171413,#171412,#143320,.T.); #215201=EDGE_CURVE('',#171414,#171413,#143321,.T.); #215202=EDGE_CURVE('',#171415,#171414,#143322,.T.); #215203=EDGE_CURVE('',#171416,#171415,#94686,.T.); #215204=EDGE_CURVE('',#171417,#171416,#143323,.T.); #215205=EDGE_CURVE('',#171418,#171417,#94687,.T.); #215206=EDGE_CURVE('',#171418,#171419,#143324,.F.); #215207=EDGE_CURVE('',#171419,#171420,#94688,.T.); #215208=EDGE_CURVE('',#171380,#171420,#94689,.T.); #215209=EDGE_CURVE('',#171421,#171422,#94690,.T.); #215210=EDGE_CURVE('',#171421,#171423,#94691,.T.); #215211=EDGE_CURVE('',#171423,#171424,#94692,.T.); #215212=EDGE_CURVE('',#171422,#171424,#94693,.T.); #215213=EDGE_CURVE('',#171425,#171426,#94694,.T.); #215214=EDGE_CURVE('',#171427,#171426,#143325,.F.); #215215=EDGE_CURVE('',#171427,#171428,#94695,.T.); #215216=EDGE_CURVE('',#171428,#171429,#94696,.T.); #215217=EDGE_CURVE('',#171429,#171430,#94697,.T.); #215218=EDGE_CURVE('',#171430,#171431,#143326,.T.); #215219=EDGE_CURVE('',#171431,#171432,#94698,.T.); #215220=EDGE_CURVE('',#171425,#171432,#94699,.T.); #215221=EDGE_CURVE('',#171433,#171434,#143327,.T.); #215222=EDGE_CURVE('',#171433,#171426,#94700,.T.); #215223=EDGE_CURVE('',#171435,#171425,#143328,.F.); #215224=EDGE_CURVE('',#171436,#171435,#94701,.T.); #215225=EDGE_CURVE('',#171437,#171436,#143329,.T.); #215226=EDGE_CURVE('',#171438,#171437,#94702,.T.); #215227=EDGE_CURVE('',#171439,#171438,#143330,.F.); #215228=EDGE_CURVE('',#171439,#171440,#94703,.T.); #215229=EDGE_CURVE('',#171440,#171441,#143331,.F.); #215230=EDGE_CURVE('',#171441,#171442,#143332,.T.); #215231=EDGE_CURVE('',#171442,#171443,#94704,.T.); #215232=EDGE_CURVE('',#171443,#171444,#143333,.F.); #215233=EDGE_CURVE('',#171444,#171445,#94705,.T.); #215234=EDGE_CURVE('',#171445,#171446,#143334,.F.); #215235=EDGE_CURVE('',#171446,#171447,#94706,.T.); #215236=EDGE_CURVE('',#171447,#171448,#94707,.T.); #215237=EDGE_CURVE('',#171448,#171449,#143335,.F.); #215238=EDGE_CURVE('',#171449,#171450,#94708,.T.); #215239=EDGE_CURVE('',#171450,#171451,#94709,.T.); #215240=EDGE_CURVE('',#171451,#171452,#94710,.T.); #215241=EDGE_CURVE('',#171453,#171452,#94711,.T.); #215242=EDGE_CURVE('',#171454,#171453,#143336,.T.); #215243=EDGE_CURVE('',#171455,#171454,#94712,.T.); #215244=EDGE_CURVE('',#171456,#171455,#143337,.T.); #215245=EDGE_CURVE('',#171457,#171456,#94713,.T.); #215246=EDGE_CURVE('',#171458,#171457,#143338,.T.); #215247=EDGE_CURVE('',#171459,#171458,#94714,.T.); #215248=EDGE_CURVE('',#171248,#171459,#143339,.T.); #215249=EDGE_CURVE('',#171246,#171460,#143340,.T.); #215250=EDGE_CURVE('',#171460,#171461,#94715,.T.); #215251=EDGE_CURVE('',#171461,#171462,#143341,.T.); #215252=EDGE_CURVE('',#171462,#171463,#94716,.T.); #215253=EDGE_CURVE('',#171463,#171464,#143342,.T.); #215254=EDGE_CURVE('',#171464,#171465,#94717,.T.); #215255=EDGE_CURVE('',#171465,#171243,#143343,.T.); #215256=EDGE_CURVE('',#171434,#171242,#94718,.T.); #215257=EDGE_CURVE('',#171466,#171467,#94719,.T.); #215258=EDGE_CURVE('',#171467,#171468,#94720,.T.); #215259=EDGE_CURVE('',#171468,#171469,#94721,.T.); #215260=EDGE_CURVE('',#171469,#171470,#94722,.T.); #215261=EDGE_CURVE('',#171470,#171471,#94723,.T.); #215262=EDGE_CURVE('',#171472,#171471,#94724,.T.); #215263=EDGE_CURVE('',#171472,#171473,#94725,.T.); #215264=EDGE_CURVE('',#171473,#171466,#94726,.T.); #215265=EDGE_CURVE('',#171467,#171474,#94727,.T.); #215266=EDGE_CURVE('',#171466,#171475,#94728,.T.); #215267=EDGE_CURVE('',#171475,#171474,#94729,.T.); #215268=EDGE_CURVE('',#171471,#171476,#94730,.T.); #215269=EDGE_CURVE('',#171470,#171477,#94731,.T.); #215270=EDGE_CURVE('',#171477,#171476,#94732,.T.); #215271=EDGE_CURVE('',#171373,#171478,#94733,.T.); #215272=EDGE_CURVE('',#171372,#171479,#94734,.T.); #215273=EDGE_CURVE('',#171479,#171478,#94735,.T.); #215274=EDGE_CURVE('',#171377,#171480,#94736,.T.); #215275=EDGE_CURVE('',#171376,#171481,#94737,.T.); #215276=EDGE_CURVE('',#171481,#171480,#94738,.T.); #215277=EDGE_CURVE('',#171482,#171483,#94,.T.); #215278=EDGE_CURVE('',#171484,#171482,#95,.F.); #215279=EDGE_CURVE('',#171485,#171484,#96,.T.); #215280=EDGE_CURVE('',#171486,#171485,#94739,.T.); #215281=EDGE_CURVE('',#171487,#171486,#94740,.F.); #215282=EDGE_CURVE('',#171483,#171487,#94741,.T.); #215283=EDGE_CURVE('',#171397,#171488,#94742,.T.); #215284=EDGE_CURVE('',#171488,#171489,#143344,.F.); #215285=EDGE_CURVE('',#171489,#171490,#94743,.F.); #215286=EDGE_CURVE('',#171356,#171490,#94744,.T.); #215287=EDGE_CURVE('',#171398,#171362,#143345,.T.); #215288=EDGE_CURVE('',#171491,#171492,#94745,.T.); #215289=EDGE_CURVE('',#171492,#171493,#94746,.T.); #215290=EDGE_CURVE('',#171494,#171493,#94747,.T.); #215291=EDGE_CURVE('',#171494,#171495,#94748,.T.); #215292=EDGE_CURVE('',#171495,#171496,#143346,.T.); #215293=EDGE_CURVE('',#171496,#171497,#143347,.T.); #215294=EDGE_CURVE('',#171497,#171498,#94749,.T.); #215295=EDGE_CURVE('',#171498,#171499,#94750,.T.); #215296=EDGE_CURVE('',#171499,#171500,#94751,.T.); #215297=EDGE_CURVE('',#171500,#171501,#143348,.T.); #215298=EDGE_CURVE('',#171501,#171502,#94752,.T.); #215299=EDGE_CURVE('',#171502,#171503,#143349,.T.); #215300=EDGE_CURVE('',#171503,#171504,#94753,.T.); #215301=EDGE_CURVE('',#171504,#171505,#143350,.T.); #215302=EDGE_CURVE('',#171505,#171506,#94754,.T.); #215303=EDGE_CURVE('',#171506,#171507,#143351,.T.); #215304=EDGE_CURVE('',#171507,#171508,#94755,.T.); #215305=EDGE_CURVE('',#171508,#171509,#143352,.T.); #215306=EDGE_CURVE('',#171509,#171510,#143353,.T.); #215307=EDGE_CURVE('',#171510,#171511,#94756,.T.); #215308=EDGE_CURVE('',#171511,#171512,#143354,.F.); #215309=EDGE_CURVE('',#171513,#171512,#94757,.T.); #215310=EDGE_CURVE('',#171513,#171514,#94758,.T.); #215311=EDGE_CURVE('',#171515,#171514,#94759,.T.); #215312=EDGE_CURVE('',#171515,#171516,#143355,.F.); #215313=EDGE_CURVE('',#171517,#171516,#94760,.T.); #215314=EDGE_CURVE('',#171518,#171517,#143356,.T.); #215315=EDGE_CURVE('',#171519,#171518,#94761,.T.); #215316=EDGE_CURVE('',#171520,#171519,#143357,.T.); #215317=EDGE_CURVE('',#171521,#171520,#143358,.T.); #215318=EDGE_CURVE('',#171522,#171521,#143359,.T.); #215319=EDGE_CURVE('',#171523,#171522,#94762,.T.); #215320=EDGE_CURVE('',#171524,#171523,#143360,.T.); #215321=EDGE_CURVE('',#171525,#171524,#94763,.T.); #215322=EDGE_CURVE('',#171526,#171525,#143361,.T.); #215323=EDGE_CURVE('',#171527,#171526,#94764,.T.); #215324=EDGE_CURVE('',#171528,#171527,#143362,.T.); #215325=EDGE_CURVE('',#171529,#171528,#94765,.T.); #215326=EDGE_CURVE('',#171530,#171529,#143363,.T.); #215327=EDGE_CURVE('',#171531,#171530,#94766,.T.); #215328=EDGE_CURVE('',#171531,#171532,#143364,.F.); #215329=EDGE_CURVE('',#171491,#171532,#94767,.T.); #215330=EDGE_CURVE('',#171533,#171534,#94768,.T.); #215331=EDGE_CURVE('',#171533,#171535,#94769,.T.); #215332=EDGE_CURVE('',#171535,#171536,#94770,.T.); #215333=EDGE_CURVE('',#171534,#171536,#94771,.T.); #215334=EDGE_CURVE('',#171286,#171537,#94772,.T.); #215335=EDGE_CURVE('',#171537,#171302,#94773,.T.); #215336=EDGE_CURVE('',#171287,#171301,#94774,.T.); #215337=EDGE_CURVE('',#171291,#171337,#94775,.T.); #215338=EDGE_CURVE('',#171290,#171336,#94776,.T.); #215339=EDGE_CURVE('',#171295,#171340,#94777,.T.); #215340=EDGE_CURVE('',#171294,#171341,#94778,.T.); #215341=EDGE_CURVE('',#171364,#171538,#94779,.T.); #215342=EDGE_CURVE('',#171363,#171539,#94780,.T.); #215343=EDGE_CURVE('',#171539,#171538,#94781,.T.); #215344=EDGE_CURVE('',#171368,#171540,#94782,.T.); #215345=EDGE_CURVE('',#171367,#171541,#94783,.T.); #215346=EDGE_CURVE('',#171541,#171540,#94784,.T.); #215347=EDGE_CURVE('',#171542,#171543,#94785,.T.); #215348=EDGE_CURVE('',#171543,#171544,#94786,.T.); #215349=EDGE_CURVE('',#171544,#171545,#94787,.F.); #215350=EDGE_CURVE('',#171546,#171545,#94788,.F.); #215351=EDGE_CURVE('',#171547,#171546,#94789,.T.); #215352=EDGE_CURVE('',#171547,#171548,#143365,.T.); #215353=EDGE_CURVE('',#171548,#171549,#94790,.T.); #215354=EDGE_CURVE('',#171549,#171550,#143366,.T.); #215355=EDGE_CURVE('',#171550,#171551,#94791,.T.); #215356=EDGE_CURVE('',#171551,#171552,#143367,.T.); #215357=EDGE_CURVE('',#171552,#171553,#94792,.T.); #215358=EDGE_CURVE('',#171553,#171554,#143368,.T.); #215359=EDGE_CURVE('',#171554,#171555,#94793,.T.); #215360=EDGE_CURVE('',#171555,#171556,#143369,.T.); #215361=EDGE_CURVE('',#171556,#171557,#94794,.T.); #215362=EDGE_CURVE('',#171557,#171558,#143370,.T.); #215363=EDGE_CURVE('',#171558,#171559,#143371,.T.); #215364=EDGE_CURVE('',#171559,#171560,#143372,.T.); #215365=EDGE_CURVE('',#171560,#171561,#94795,.T.); #215366=EDGE_CURVE('',#171561,#171562,#143373,.T.); #215367=EDGE_CURVE('',#171562,#171563,#94796,.T.); #215368=EDGE_CURVE('',#171563,#171564,#143374,.T.); #215369=EDGE_CURVE('',#171564,#171565,#94797,.T.); #215370=EDGE_CURVE('',#171566,#171565,#94798,.F.); #215371=EDGE_CURVE('',#171567,#171566,#94799,.T.); #215372=EDGE_CURVE('',#171567,#171568,#143375,.T.); #215373=EDGE_CURVE('',#171569,#171568,#94800,.T.); #215374=EDGE_CURVE('',#171570,#171569,#143376,.T.); #215375=EDGE_CURVE('',#171571,#171570,#143377,.T.); #215376=EDGE_CURVE('',#171572,#171571,#94801,.T.); #215377=EDGE_CURVE('',#171573,#171572,#143378,.T.); #215378=EDGE_CURVE('',#171574,#171573,#94802,.T.); #215379=EDGE_CURVE('',#171575,#171574,#143379,.T.); #215380=EDGE_CURVE('',#171576,#171575,#94803,.T.); #215381=EDGE_CURVE('',#171577,#171576,#143380,.T.); #215382=EDGE_CURVE('',#171578,#171577,#94804,.T.); #215383=EDGE_CURVE('',#171579,#171578,#143381,.T.); #215384=EDGE_CURVE('',#171580,#171579,#94805,.T.); #215385=EDGE_CURVE('',#171580,#171542,#94806,.T.); #215386=EDGE_CURVE('',#171581,#171582,#94807,.T.); #215387=EDGE_CURVE('',#171581,#171583,#94808,.T.); #215388=EDGE_CURVE('',#171583,#171584,#94809,.T.); #215389=EDGE_CURVE('',#171584,#171585,#143382,.T.); #215390=EDGE_CURVE('',#171586,#171585,#94810,.T.); #215391=EDGE_CURVE('',#171586,#171582,#143383,.T.); #215392=EDGE_CURVE('',#171543,#171587,#97,.T.); #215393=EDGE_CURVE('',#171588,#171542,#94811,.F.); #215394=EDGE_CURVE('',#171589,#171588,#94812,.T.); #215395=EDGE_CURVE('',#171590,#171589,#98,.T.); #215396=EDGE_CURVE('',#171587,#171590,#99,.F.); #215397=EDGE_CURVE('',#171591,#171431,#94813,.T.); #215398=EDGE_CURVE('',#171592,#171591,#143384,.T.); #215399=EDGE_CURVE('',#171245,#171592,#94814,.T.); #215400=EDGE_CURVE('',#171593,#171244,#143385,.T.); #215401=EDGE_CURVE('',#171594,#171593,#94815,.T.); #215402=EDGE_CURVE('',#171595,#171594,#143386,.T.); #215403=EDGE_CURVE('',#171596,#171595,#94816,.T.); #215404=EDGE_CURVE('',#171597,#171596,#143387,.T.); #215405=EDGE_CURVE('',#171598,#171597,#94817,.T.); #215406=EDGE_CURVE('',#171247,#171598,#143388,.T.); #215407=EDGE_CURVE('',#171249,#171599,#143389,.T.); #215408=EDGE_CURVE('',#171599,#171600,#94818,.T.); #215409=EDGE_CURVE('',#171600,#171601,#143390,.T.); #215410=EDGE_CURVE('',#171601,#171602,#94819,.T.); #215411=EDGE_CURVE('',#171602,#171603,#143391,.T.); #215412=EDGE_CURVE('',#171603,#171604,#94820,.T.); #215413=EDGE_CURVE('',#171604,#171605,#143392,.T.); #215414=EDGE_CURVE('',#171605,#171606,#94821,.F.); #215415=EDGE_CURVE('',#171606,#171607,#94822,.F.); #215416=EDGE_CURVE('',#171608,#171607,#94823,.F.); #215417=EDGE_CURVE('',#171608,#171609,#94824,.T.); #215418=EDGE_CURVE('',#171609,#171610,#143393,.T.); #215419=EDGE_CURVE('',#171610,#171611,#94825,.T.); #215420=EDGE_CURVE('',#171611,#171612,#94826,.T.); #215421=EDGE_CURVE('',#171612,#171613,#143394,.T.); #215422=EDGE_CURVE('',#171613,#171614,#94827,.T.); #215423=EDGE_CURVE('',#171614,#171615,#143395,.T.); #215424=EDGE_CURVE('',#171615,#171616,#94828,.T.); #215425=EDGE_CURVE('',#171616,#171617,#143396,.T.); #215426=EDGE_CURVE('',#171617,#171618,#143397,.T.); #215427=EDGE_CURVE('',#171619,#171618,#94829,.F.); #215428=EDGE_CURVE('',#171620,#171619,#143398,.T.); #215429=EDGE_CURVE('',#171621,#171620,#94830,.T.); #215430=EDGE_CURVE('',#171622,#171621,#143399,.T.); #215431=EDGE_CURVE('',#171623,#171622,#94831,.T.); #215432=EDGE_CURVE('',#171432,#171623,#143400,.T.); #215433=EDGE_CURVE('',#171624,#171477,#94832,.T.); #215434=EDGE_CURVE('',#171625,#171624,#94833,.T.); #215435=EDGE_CURVE('',#171474,#171625,#94834,.T.); #215436=EDGE_CURVE('',#171626,#171475,#94835,.T.); #215437=EDGE_CURVE('',#171627,#171626,#94836,.T.); #215438=EDGE_CURVE('',#171627,#171476,#94837,.T.); #215439=EDGE_CURVE('',#171400,#171451,#143401,.T.); #215440=EDGE_CURVE('',#171450,#171401,#143402,.T.); #215441=EDGE_CURVE('',#171420,#171628,#143403,.T.); #215442=EDGE_CURVE('',#171628,#171440,#116,.T.); #215443=EDGE_CURVE('',#171629,#171439,#117,.T.); #215444=EDGE_CURVE('',#171380,#171629,#143404,.T.); #215445=EDGE_CURVE('',#171608,#171630,#143405,.T.); #215446=EDGE_CURVE('',#171607,#171631,#143406,.F.); #215447=EDGE_CURVE('',#171630,#171631,#94838,.T.); #215448=EDGE_CURVE('',#171632,#171618,#118,.T.); #215449=EDGE_CURVE('',#171633,#171632,#143407,.T.); #215450=EDGE_CURVE('',#171634,#171633,#94839,.T.); #215451=EDGE_CURVE('',#171634,#171635,#143408,.T.); #215452=EDGE_CURVE('',#171635,#171619,#119,.T.); #215453=EDGE_CURVE('',#171267,#171492,#143409,.T.); #215454=EDGE_CURVE('',#171268,#171491,#143410,.T.); #215455=EDGE_CURVE('',#171320,#171546,#143411,.T.); #215456=EDGE_CURVE('',#171545,#171321,#143412,.F.); #215457=EDGE_CURVE('',#171257,#171331,#94840,.T.); #215458=EDGE_CURVE('',#171258,#171330,#94841,.T.); #215459=EDGE_CURVE('',#171453,#171605,#94842,.T.); #215460=EDGE_CURVE('',#171452,#171636,#94843,.F.); #215461=EDGE_CURVE('',#171606,#171636,#94844,.T.); #215462=EDGE_CURVE('',#171495,#171637,#94845,.T.); #215463=EDGE_CURVE('',#171359,#171494,#143413,.T.); #215464=EDGE_CURVE('',#171349,#171638,#94846,.F.); #215465=EDGE_CURVE('',#171638,#171637,#143414,.F.); #215466=EDGE_CURVE('',#171357,#171639,#94847,.T.); #215467=EDGE_CURVE('',#171354,#171639,#94848,.F.); #215468=EDGE_CURVE('',#171636,#171640,#94849,.F.); #215469=EDGE_CURVE('',#171640,#171641,#143415,.T.); #215470=EDGE_CURVE('',#171641,#171642,#94850,.F.); #215471=EDGE_CURVE('',#171642,#171643,#143416,.T.); #215472=EDGE_CURVE('',#171643,#171644,#94851,.F.); #215473=EDGE_CURVE('',#171644,#171645,#143417,.T.); #215474=EDGE_CURVE('',#171645,#171646,#94852,.F.); #215475=EDGE_CURVE('',#171646,#171647,#143418,.T.); #215476=EDGE_CURVE('',#171647,#171344,#94853,.F.); #215477=EDGE_CURVE('',#171648,#171544,#143419,.F.); #215478=EDGE_CURVE('',#171649,#171648,#94854,.F.); #215479=EDGE_CURVE('',#171650,#171649,#143420,.F.); #215480=EDGE_CURVE('',#171631,#171650,#94855,.F.); #215481=EDGE_CURVE('',#171361,#171399,#143421,.T.); #215482=EDGE_CURVE('',#171493,#171360,#143422,.T.); #215483=EDGE_CURVE('',#171651,#171278,#120,.T.); #215484=EDGE_CURVE('',#171514,#171651,#143423,.T.); #215485=EDGE_CURVE('',#171652,#171513,#143424,.T.); #215486=EDGE_CURVE('',#171279,#171652,#121,.T.); #215487=EDGE_CURVE('',#171483,#171650,#94856,.F.); #215488=EDGE_CURVE('',#171487,#171653,#94857,.T.); #215489=EDGE_CURVE('',#171654,#171653,#94858,.T.); #215490=EDGE_CURVE('',#171655,#171654,#143425,.T.); #215491=EDGE_CURVE('',#171656,#171655,#94859,.T.); #215492=EDGE_CURVE('',#171657,#171656,#143426,.T.); #215493=EDGE_CURVE('',#171658,#171657,#94860,.T.); #215494=EDGE_CURVE('',#171659,#171658,#143427,.T.); #215495=EDGE_CURVE('',#171660,#171659,#94861,.T.); #215496=EDGE_CURVE('',#171661,#171660,#143428,.T.); #215497=EDGE_CURVE('',#171662,#171661,#94862,.T.); #215498=EDGE_CURVE('',#171663,#171662,#143429,.T.); #215499=EDGE_CURVE('',#171664,#171663,#143430,.T.); #215500=EDGE_CURVE('',#171665,#171664,#94863,.T.); #215501=EDGE_CURVE('',#171665,#171666,#143431,.T.); #215502=EDGE_CURVE('',#171666,#171634,#94864,.T.); #215503=EDGE_CURVE('',#171667,#171633,#94865,.T.); #215504=EDGE_CURVE('',#171667,#171668,#143432,.T.); #215505=EDGE_CURVE('',#171668,#171669,#94866,.T.); #215506=EDGE_CURVE('',#171669,#171670,#143433,.T.); #215507=EDGE_CURVE('',#171670,#171671,#94867,.T.); #215508=EDGE_CURVE('',#171671,#171672,#143434,.T.); #215509=EDGE_CURVE('',#171672,#171673,#143435,.T.); #215510=EDGE_CURVE('',#171673,#171674,#143436,.T.); #215511=EDGE_CURVE('',#171674,#171675,#94868,.T.); #215512=EDGE_CURVE('',#171675,#171676,#143437,.T.); #215513=EDGE_CURVE('',#171676,#171677,#94869,.T.); #215514=EDGE_CURVE('',#171677,#171678,#143438,.T.); #215515=EDGE_CURVE('',#171678,#171679,#94870,.T.); #215516=EDGE_CURVE('',#171679,#171680,#143439,.T.); #215517=EDGE_CURVE('',#171680,#171681,#94871,.T.); #215518=EDGE_CURVE('',#171681,#171682,#143440,.T.); #215519=EDGE_CURVE('',#171682,#171683,#94872,.T.); #215520=EDGE_CURVE('',#171683,#171684,#143441,.T.); #215521=EDGE_CURVE('',#171684,#171630,#94873,.T.); #215522=EDGE_CURVE('',#171685,#171686,#94874,.T.); #215523=EDGE_CURVE('',#171685,#171687,#94875,.T.); #215524=EDGE_CURVE('',#171687,#171688,#94876,.T.); #215525=EDGE_CURVE('',#171688,#171689,#143442,.T.); #215526=EDGE_CURVE('',#171690,#171689,#94877,.T.); #215527=EDGE_CURVE('',#171690,#171686,#143443,.T.); #215528=EDGE_CURVE('',#171489,#171649,#94878,.T.); #215529=EDGE_CURVE('',#171482,#171488,#122,.T.); #215530=EDGE_CURVE('',#171691,#171353,#94879,.T.); #215531=EDGE_CURVE('',#171691,#171490,#143444,.T.); #215532=EDGE_CURVE('',#171638,#171648,#94880,.F.); #215533=EDGE_CURVE('',#171692,#171348,#94881,.T.); #215534=EDGE_CURVE('',#171692,#171639,#143445,.T.); #215535=EDGE_CURVE('',#171693,#171541,#94882,.T.); #215536=EDGE_CURVE('',#171694,#171693,#94883,.T.); #215537=EDGE_CURVE('',#171538,#171694,#94884,.T.); #215538=EDGE_CURVE('',#171695,#171539,#94885,.T.); #215539=EDGE_CURVE('',#171696,#171695,#94886,.T.); #215540=EDGE_CURVE('',#171696,#171540,#94887,.T.); #215541=EDGE_CURVE('',#171697,#171479,#94888,.T.); #215542=EDGE_CURVE('',#171698,#171697,#94889,.T.); #215543=EDGE_CURVE('',#171480,#171698,#94890,.T.); #215544=EDGE_CURVE('',#171699,#171481,#94891,.T.); #215545=EDGE_CURVE('',#171700,#171699,#94892,.T.); #215546=EDGE_CURVE('',#171700,#171478,#94893,.T.); #215547=EDGE_CURVE('',#171637,#171587,#123,.T.); #215548=EDGE_CURVE('',#171565,#171701,#143446,.T.); #215549=EDGE_CURVE('',#171701,#171310,#124,.T.); #215550=EDGE_CURVE('',#171309,#171702,#125,.T.); #215551=EDGE_CURVE('',#171702,#171566,#143447,.T.); #215552=EDGE_CURVE('',#171358,#171703,#94894,.T.); #215553=EDGE_CURVE('',#171703,#171692,#94895,.T.); #215554=EDGE_CURVE('',#171355,#171704,#94896,.T.); #215555=EDGE_CURVE('',#171704,#171691,#94897,.T.); #215556=EDGE_CURVE('',#171652,#171702,#94898,.T.); #215557=EDGE_CURVE('',#171279,#171309,#94899,.T.); #215558=EDGE_CURVE('',#171512,#171567,#94900,.F.); #215559=EDGE_CURVE('',#171303,#171705,#143448,.T.); #215560=EDGE_CURVE('',#171705,#171706,#94901,.T.); #215561=EDGE_CURVE('',#171707,#171706,#94902,.T.); #215562=EDGE_CURVE('',#171707,#171708,#94903,.T.); #215563=EDGE_CURVE('',#171285,#171708,#143449,.T.); #215564=EDGE_CURVE('',#171284,#171304,#94904,.T.); #215565=EDGE_CURVE('',#171283,#171305,#94905,.T.); #215566=EDGE_CURVE('',#171282,#171306,#94906,.T.); #215567=EDGE_CURVE('',#171281,#171307,#94907,.T.); #215568=EDGE_CURVE('',#171280,#171308,#94908,.T.); #215569=EDGE_CURVE('',#171437,#171621,#94909,.T.); #215570=EDGE_CURVE('',#171438,#171620,#94910,.T.); #215571=EDGE_CURVE('',#171436,#171622,#94911,.T.); #215572=EDGE_CURVE('',#171435,#171623,#94912,.T.); #215573=EDGE_CURVE('',#171666,#171379,#94913,.F.); #215574=EDGE_CURVE('',#171629,#171635,#94914,.T.); #215575=EDGE_CURVE('',#171439,#171619,#94915,.T.); #215576=EDGE_CURVE('',#171434,#171592,#94916,.T.); #215577=EDGE_CURVE('',#171433,#171709,#94917,.T.); #215578=EDGE_CURVE('',#171709,#171591,#94918,.T.); #215579=EDGE_CURVE('',#171532,#171547,#94919,.F.); #215580=EDGE_CURVE('',#171269,#171319,#94920,.T.); #215581=EDGE_CURVE('',#171277,#171311,#94921,.T.); #215582=EDGE_CURVE('',#171651,#171701,#94922,.T.); #215583=EDGE_CURVE('',#171564,#171515,#94923,.F.); #215584=EDGE_CURVE('',#171270,#171318,#94924,.T.); #215585=EDGE_CURVE('',#171271,#171317,#94925,.T.); #215586=EDGE_CURVE('',#171272,#171316,#94926,.T.); #215587=EDGE_CURVE('',#171273,#171315,#94927,.T.); #215588=EDGE_CURVE('',#171274,#171314,#94928,.T.); #215589=EDGE_CURVE('',#171275,#171313,#94929,.T.); #215590=EDGE_CURVE('',#171276,#171312,#94930,.T.); #215591=EDGE_CURVE('',#171684,#171402,#94931,.T.); #215592=EDGE_CURVE('',#171449,#171609,#94932,.T.); #215593=EDGE_CURVE('',#171628,#171632,#94933,.T.); #215594=EDGE_CURVE('',#171441,#171617,#94934,.T.); #215595=EDGE_CURVE('',#171419,#171667,#94935,.T.); #215596=EDGE_CURVE('',#171448,#171610,#94936,.T.); #215597=EDGE_CURVE('',#171447,#171611,#94937,.T.); #215598=EDGE_CURVE('',#171446,#171612,#94938,.T.); #215599=EDGE_CURVE('',#171445,#171613,#94939,.T.); #215600=EDGE_CURVE('',#171444,#171614,#94940,.T.); #215601=EDGE_CURVE('',#171443,#171615,#94941,.T.); #215602=EDGE_CURVE('',#171442,#171616,#94942,.T.); #215603=EDGE_CURVE('',#171548,#171531,#94943,.F.); #215604=EDGE_CURVE('',#171530,#171549,#94944,.T.); #215605=EDGE_CURVE('',#171529,#171550,#94945,.T.); #215606=EDGE_CURVE('',#171528,#171551,#94946,.T.); #215607=EDGE_CURVE('',#171527,#171552,#94947,.T.); #215608=EDGE_CURVE('',#171526,#171553,#94948,.T.); #215609=EDGE_CURVE('',#171525,#171554,#94949,.T.); #215610=EDGE_CURVE('',#171524,#171555,#94950,.T.); #215611=EDGE_CURVE('',#171523,#171556,#94951,.T.); #215612=EDGE_CURVE('',#171522,#171557,#94952,.T.); #215613=EDGE_CURVE('',#171521,#171558,#94953,.T.); #215614=EDGE_CURVE('',#171520,#171559,#94954,.T.); #215615=EDGE_CURVE('',#171519,#171560,#94955,.T.); #215616=EDGE_CURVE('',#171518,#171561,#94956,.T.); #215617=EDGE_CURVE('',#171517,#171562,#94957,.T.); #215618=EDGE_CURVE('',#171516,#171563,#94958,.T.); #215619=EDGE_CURVE('',#171496,#171590,#94959,.T.); #215620=EDGE_CURVE('',#171497,#171589,#94960,.T.); #215621=EDGE_CURVE('',#171498,#171588,#94961,.T.); #215622=EDGE_CURVE('',#171588,#171710,#94962,.T.); #215623=EDGE_CURVE('',#171499,#171710,#94963,.T.); #215624=EDGE_CURVE('',#171710,#171580,#94964,.F.); #215625=EDGE_CURVE('',#171500,#171579,#94965,.T.); #215626=EDGE_CURVE('',#171501,#171578,#94966,.T.); #215627=EDGE_CURVE('',#171502,#171577,#94967,.T.); #215628=EDGE_CURVE('',#171503,#171576,#94968,.T.); #215629=EDGE_CURVE('',#171504,#171575,#94969,.T.); #215630=EDGE_CURVE('',#171505,#171574,#94970,.T.); #215631=EDGE_CURVE('',#171506,#171573,#94971,.T.); #215632=EDGE_CURVE('',#171507,#171572,#94972,.T.); #215633=EDGE_CURVE('',#171508,#171571,#94973,.T.); #215634=EDGE_CURVE('',#171509,#171570,#94974,.T.); #215635=EDGE_CURVE('',#171510,#171569,#94975,.T.); #215636=EDGE_CURVE('',#171568,#171511,#94976,.F.); #215637=EDGE_CURVE('',#171267,#171647,#94977,.T.); #215638=EDGE_CURVE('',#171492,#171646,#94978,.T.); #215639=EDGE_CURVE('',#171493,#171645,#94979,.T.); #215640=EDGE_CURVE('',#171360,#171644,#94980,.T.); #215641=EDGE_CURVE('',#171361,#171643,#94981,.T.); #215642=EDGE_CURVE('',#171399,#171642,#94982,.T.); #215643=EDGE_CURVE('',#171400,#171641,#94983,.T.); #215644=EDGE_CURVE('',#171451,#171640,#94984,.T.); #215645=EDGE_CURVE('',#171365,#171694,#94985,.T.); #215646=EDGE_CURVE('',#171366,#171693,#94986,.T.); #215647=EDGE_CURVE('',#171369,#171696,#94987,.T.); #215648=EDGE_CURVE('',#171370,#171695,#94988,.T.); #215649=EDGE_CURVE('',#171538,#171711,#143450,.T.); #215650=EDGE_CURVE('',#171711,#171712,#94989,.T.); #215651=EDGE_CURVE('',#171712,#171713,#143451,.T.); #215652=EDGE_CURVE('',#171713,#171714,#94990,.T.); #215653=EDGE_CURVE('',#171714,#171715,#143452,.T.); #215654=EDGE_CURVE('',#171715,#171716,#94991,.T.); #215655=EDGE_CURVE('',#171716,#171696,#143453,.T.); #215656=EDGE_CURVE('',#171717,#171369,#143454,.F.); #215657=EDGE_CURVE('',#171718,#171717,#94992,.F.); #215658=EDGE_CURVE('',#171719,#171718,#143455,.T.); #215659=EDGE_CURVE('',#171720,#171719,#94993,.F.); #215660=EDGE_CURVE('',#171721,#171720,#143456,.T.); #215661=EDGE_CURVE('',#171722,#171721,#94994,.F.); #215662=EDGE_CURVE('',#171364,#171722,#143457,.F.); #215663=EDGE_CURVE('',#171723,#171724,#94995,.F.); #215664=EDGE_CURVE('',#171724,#171725,#143458,.T.); #215665=EDGE_CURVE('',#171725,#171726,#94996,.F.); #215666=EDGE_CURVE('',#171726,#171727,#143459,.T.); #215667=EDGE_CURVE('',#171727,#171728,#94997,.F.); #215668=EDGE_CURVE('',#171728,#171368,#143460,.F.); #215669=EDGE_CURVE('',#171729,#171540,#143461,.T.); #215670=EDGE_CURVE('',#171730,#171729,#94998,.T.); #215671=EDGE_CURVE('',#171731,#171730,#143462,.T.); #215672=EDGE_CURVE('',#171732,#171731,#94999,.T.); #215673=EDGE_CURVE('',#171733,#171732,#143463,.T.); #215674=EDGE_CURVE('',#171734,#171733,#95000,.T.); #215675=EDGE_CURVE('',#171694,#171734,#143464,.T.); #215676=EDGE_CURVE('',#171365,#171723,#143465,.F.); #215677=EDGE_CURVE('',#171711,#171734,#95001,.T.); #215678=EDGE_CURVE('',#171712,#171733,#95002,.T.); #215679=EDGE_CURVE('',#171713,#171732,#95003,.T.); #215680=EDGE_CURVE('',#171714,#171731,#95004,.T.); #215681=EDGE_CURVE('',#171715,#171730,#95005,.T.); #215682=EDGE_CURVE('',#171716,#171729,#95006,.T.); #215683=EDGE_CURVE('',#171722,#171723,#95007,.F.); #215684=EDGE_CURVE('',#171721,#171724,#95008,.T.); #215685=EDGE_CURVE('',#171720,#171725,#95009,.F.); #215686=EDGE_CURVE('',#171719,#171726,#95010,.T.); #215687=EDGE_CURVE('',#171718,#171727,#95011,.F.); #215688=EDGE_CURVE('',#171717,#171728,#95012,.T.); #215689=EDGE_CURVE('',#171289,#171338,#95013,.T.); #215690=EDGE_CURVE('',#171292,#171343,#95014,.T.); #215691=EDGE_CURVE('',#171293,#171342,#95015,.T.); #215692=EDGE_CURVE('',#171296,#171339,#95016,.T.); #215693=EDGE_CURVE('',#171340,#171735,#143466,.T.); #215694=EDGE_CURVE('',#171735,#171736,#95017,.T.); #215695=EDGE_CURVE('',#171736,#171737,#143467,.T.); #215696=EDGE_CURVE('',#171737,#171738,#95018,.T.); #215697=EDGE_CURVE('',#171738,#171739,#143468,.T.); #215698=EDGE_CURVE('',#171739,#171740,#95019,.T.); #215699=EDGE_CURVE('',#171740,#171343,#143469,.T.); #215700=EDGE_CURVE('',#171741,#171292,#143470,.F.); #215701=EDGE_CURVE('',#171742,#171741,#95020,.F.); #215702=EDGE_CURVE('',#171743,#171742,#143471,.T.); #215703=EDGE_CURVE('',#171744,#171743,#95021,.F.); #215704=EDGE_CURVE('',#171745,#171744,#143472,.T.); #215705=EDGE_CURVE('',#171746,#171745,#95022,.F.); #215706=EDGE_CURVE('',#171295,#171746,#143473,.F.); #215707=EDGE_CURVE('',#171747,#171748,#95023,.F.); #215708=EDGE_CURVE('',#171748,#171749,#143474,.T.); #215709=EDGE_CURVE('',#171749,#171750,#95024,.F.); #215710=EDGE_CURVE('',#171750,#171751,#143475,.T.); #215711=EDGE_CURVE('',#171751,#171752,#95025,.F.); #215712=EDGE_CURVE('',#171752,#171291,#143476,.F.); #215713=EDGE_CURVE('',#171753,#171337,#143477,.T.); #215714=EDGE_CURVE('',#171754,#171753,#95026,.T.); #215715=EDGE_CURVE('',#171755,#171754,#143478,.T.); #215716=EDGE_CURVE('',#171756,#171755,#95027,.T.); #215717=EDGE_CURVE('',#171757,#171756,#143479,.T.); #215718=EDGE_CURVE('',#171758,#171757,#95028,.T.); #215719=EDGE_CURVE('',#171339,#171758,#143480,.T.); #215720=EDGE_CURVE('',#171296,#171747,#143481,.F.); #215721=EDGE_CURVE('',#171735,#171758,#95029,.T.); #215722=EDGE_CURVE('',#171736,#171757,#95030,.T.); #215723=EDGE_CURVE('',#171737,#171756,#95031,.T.); #215724=EDGE_CURVE('',#171738,#171755,#95032,.T.); #215725=EDGE_CURVE('',#171739,#171754,#95033,.T.); #215726=EDGE_CURVE('',#171740,#171753,#95034,.T.); #215727=EDGE_CURVE('',#171746,#171747,#95035,.F.); #215728=EDGE_CURVE('',#171745,#171748,#95036,.T.); #215729=EDGE_CURVE('',#171744,#171749,#95037,.F.); #215730=EDGE_CURVE('',#171743,#171750,#95038,.T.); #215731=EDGE_CURVE('',#171742,#171751,#95039,.F.); #215732=EDGE_CURVE('',#171741,#171752,#95040,.T.); #215733=EDGE_CURVE('',#171759,#171760,#95041,.T.); #215734=EDGE_CURVE('',#171760,#171761,#95042,.T.); #215735=EDGE_CURVE('',#171761,#171762,#95043,.T.); #215736=EDGE_CURVE('',#171759,#171762,#95044,.T.); #215737=EDGE_CURVE('',#171763,#171759,#143482,.F.); #215738=EDGE_CURVE('',#171762,#171764,#143483,.T.); #215739=EDGE_CURVE('',#171763,#171764,#95045,.T.); #215740=EDGE_CURVE('',#171765,#171763,#95046,.T.); #215741=EDGE_CURVE('',#171764,#171766,#95047,.T.); #215742=EDGE_CURVE('',#171765,#171766,#95048,.T.); #215743=EDGE_CURVE('',#171760,#171707,#143484,.F.); #215744=EDGE_CURVE('',#171706,#171761,#143485,.T.); #215745=EDGE_CURVE('',#171705,#171767,#95049,.T.); #215746=EDGE_CURVE('',#171766,#171767,#143486,.T.); #215747=EDGE_CURVE('',#171768,#171769,#95050,.T.); #215748=EDGE_CURVE('',#171768,#171765,#143487,.T.); #215749=EDGE_CURVE('',#171769,#171767,#95051,.T.); #215750=EDGE_CURVE('',#171708,#171768,#95052,.T.); #215751=EDGE_CURVE('',#171768,#171286,#126,.T.); #215752=EDGE_CURVE('',#171767,#171302,#127,.T.); #215753=EDGE_CURVE('',#171770,#171771,#95053,.T.); #215754=EDGE_CURVE('',#171771,#171584,#143488,.F.); #215755=EDGE_CURVE('',#171583,#171770,#143489,.T.); #215756=EDGE_CURVE('',#171772,#171773,#95054,.T.); #215757=EDGE_CURVE('',#171773,#171771,#95055,.F.); #215758=EDGE_CURVE('',#171770,#171772,#95056,.T.); #215759=EDGE_CURVE('',#171582,#171773,#143490,.F.); #215760=EDGE_CURVE('',#171772,#171581,#143491,.T.); #215761=EDGE_CURVE('',#171773,#171586,#143492,.T.); #215762=EDGE_CURVE('',#171771,#171585,#143493,.T.); #215763=EDGE_CURVE('',#171774,#171534,#95057,.T.); #215764=EDGE_CURVE('',#171775,#171774,#95058,.T.); #215765=EDGE_CURVE('',#171775,#171533,#95059,.T.); #215766=EDGE_CURVE('',#171536,#171776,#95060,.T.); #215767=EDGE_CURVE('',#171535,#171777,#95061,.T.); #215768=EDGE_CURVE('',#171777,#171776,#95062,.T.); #215769=EDGE_CURVE('',#171776,#171774,#95063,.T.); #215770=EDGE_CURVE('',#171777,#171775,#95064,.T.); #215771=EDGE_CURVE('',#171403,#171683,#95065,.T.); #215772=EDGE_CURVE('',#171404,#171682,#95066,.T.); #215773=EDGE_CURVE('',#171668,#171418,#95067,.F.); #215774=EDGE_CURVE('',#171417,#171669,#95068,.T.); #215775=EDGE_CURVE('',#171416,#171670,#95069,.T.); #215776=EDGE_CURVE('',#171415,#171671,#95070,.T.); #215777=EDGE_CURVE('',#171414,#171672,#95071,.T.); #215778=EDGE_CURVE('',#171413,#171673,#95072,.T.); #215779=EDGE_CURVE('',#171412,#171674,#95073,.T.); #215780=EDGE_CURVE('',#171411,#171675,#95074,.T.); #215781=EDGE_CURVE('',#171410,#171676,#95075,.T.); #215782=EDGE_CURVE('',#171409,#171677,#95076,.T.); #215783=EDGE_CURVE('',#171408,#171678,#95077,.T.); #215784=EDGE_CURVE('',#171407,#171679,#95078,.T.); #215785=EDGE_CURVE('',#171406,#171680,#95079,.T.); #215786=EDGE_CURVE('',#171405,#171681,#95080,.T.); #215787=EDGE_CURVE('',#171381,#171665,#95081,.T.); #215788=EDGE_CURVE('',#171382,#171664,#95082,.T.); #215789=EDGE_CURVE('',#171383,#171663,#95083,.T.); #215790=EDGE_CURVE('',#171384,#171662,#95084,.T.); #215791=EDGE_CURVE('',#171385,#171661,#95085,.T.); #215792=EDGE_CURVE('',#171386,#171660,#95086,.T.); #215793=EDGE_CURVE('',#171387,#171659,#95087,.T.); #215794=EDGE_CURVE('',#171388,#171658,#95088,.T.); #215795=EDGE_CURVE('',#171389,#171657,#95089,.T.); #215796=EDGE_CURVE('',#171390,#171656,#95090,.T.); #215797=EDGE_CURVE('',#171391,#171655,#95091,.T.); #215798=EDGE_CURVE('',#171392,#171654,#95092,.T.); #215799=EDGE_CURVE('',#171653,#171778,#95093,.F.); #215800=EDGE_CURVE('',#171393,#171778,#95094,.T.); #215801=EDGE_CURVE('',#171778,#171486,#95095,.T.); #215802=EDGE_CURVE('',#171394,#171486,#95096,.T.); #215803=EDGE_CURVE('',#171395,#171485,#95097,.T.); #215804=EDGE_CURVE('',#171396,#171484,#95098,.T.); #215805=EDGE_CURVE('',#171371,#171697,#95099,.T.); #215806=EDGE_CURVE('',#171374,#171700,#95100,.T.); #215807=EDGE_CURVE('',#171375,#171699,#95101,.T.); #215808=EDGE_CURVE('',#171378,#171698,#95102,.T.); #215809=EDGE_CURVE('',#171480,#171779,#143494,.T.); #215810=EDGE_CURVE('',#171779,#171780,#95103,.T.); #215811=EDGE_CURVE('',#171780,#171781,#143495,.T.); #215812=EDGE_CURVE('',#171781,#171782,#95104,.T.); #215813=EDGE_CURVE('',#171782,#171783,#143496,.T.); #215814=EDGE_CURVE('',#171783,#171784,#95105,.T.); #215815=EDGE_CURVE('',#171784,#171700,#143497,.T.); #215816=EDGE_CURVE('',#171785,#171374,#143498,.F.); #215817=EDGE_CURVE('',#171786,#171785,#95106,.F.); #215818=EDGE_CURVE('',#171787,#171786,#143499,.T.); #215819=EDGE_CURVE('',#171788,#171787,#95107,.F.); #215820=EDGE_CURVE('',#171789,#171788,#143500,.T.); #215821=EDGE_CURVE('',#171790,#171789,#95108,.F.); #215822=EDGE_CURVE('',#171377,#171790,#143501,.F.); #215823=EDGE_CURVE('',#171791,#171792,#95109,.F.); #215824=EDGE_CURVE('',#171792,#171793,#143502,.T.); #215825=EDGE_CURVE('',#171793,#171794,#95110,.F.); #215826=EDGE_CURVE('',#171794,#171795,#143503,.T.); #215827=EDGE_CURVE('',#171795,#171796,#95111,.F.); #215828=EDGE_CURVE('',#171796,#171373,#143504,.F.); #215829=EDGE_CURVE('',#171797,#171478,#143505,.T.); #215830=EDGE_CURVE('',#171798,#171797,#95112,.T.); #215831=EDGE_CURVE('',#171799,#171798,#143506,.T.); #215832=EDGE_CURVE('',#171800,#171799,#95113,.T.); #215833=EDGE_CURVE('',#171801,#171800,#143507,.T.); #215834=EDGE_CURVE('',#171802,#171801,#95114,.T.); #215835=EDGE_CURVE('',#171698,#171802,#143508,.T.); #215836=EDGE_CURVE('',#171378,#171791,#143509,.F.); #215837=EDGE_CURVE('',#171779,#171802,#95115,.T.); #215838=EDGE_CURVE('',#171780,#171801,#95116,.T.); #215839=EDGE_CURVE('',#171781,#171800,#95117,.T.); #215840=EDGE_CURVE('',#171782,#171799,#95118,.T.); #215841=EDGE_CURVE('',#171783,#171798,#95119,.T.); #215842=EDGE_CURVE('',#171784,#171797,#95120,.T.); #215843=EDGE_CURVE('',#171790,#171791,#95121,.F.); #215844=EDGE_CURVE('',#171789,#171792,#95122,.T.); #215845=EDGE_CURVE('',#171788,#171793,#95123,.F.); #215846=EDGE_CURVE('',#171787,#171794,#95124,.T.); #215847=EDGE_CURVE('',#171786,#171795,#95125,.F.); #215848=EDGE_CURVE('',#171785,#171796,#95126,.T.); #215849=EDGE_CURVE('',#171468,#171625,#95127,.T.); #215850=EDGE_CURVE('',#171469,#171624,#95128,.T.); #215851=EDGE_CURVE('',#171472,#171627,#95129,.T.); #215852=EDGE_CURVE('',#171473,#171626,#95130,.T.); #215853=EDGE_CURVE('',#171474,#171803,#143510,.T.); #215854=EDGE_CURVE('',#171803,#171804,#95131,.T.); #215855=EDGE_CURVE('',#171804,#171805,#143511,.T.); #215856=EDGE_CURVE('',#171805,#171806,#95132,.T.); #215857=EDGE_CURVE('',#171806,#171807,#143512,.T.); #215858=EDGE_CURVE('',#171807,#171808,#95133,.T.); #215859=EDGE_CURVE('',#171808,#171627,#143513,.T.); #215860=EDGE_CURVE('',#171809,#171472,#143514,.F.); #215861=EDGE_CURVE('',#171810,#171809,#95134,.F.); #215862=EDGE_CURVE('',#171811,#171810,#143515,.T.); #215863=EDGE_CURVE('',#171812,#171811,#95135,.F.); #215864=EDGE_CURVE('',#171813,#171812,#143516,.T.); #215865=EDGE_CURVE('',#171814,#171813,#95136,.F.); #215866=EDGE_CURVE('',#171467,#171814,#143517,.F.); #215867=EDGE_CURVE('',#171815,#171816,#95137,.F.); #215868=EDGE_CURVE('',#171816,#171817,#143518,.T.); #215869=EDGE_CURVE('',#171817,#171818,#95138,.F.); #215870=EDGE_CURVE('',#171818,#171819,#143519,.T.); #215871=EDGE_CURVE('',#171819,#171820,#95139,.F.); #215872=EDGE_CURVE('',#171820,#171471,#143520,.F.); #215873=EDGE_CURVE('',#171821,#171476,#143521,.T.); #215874=EDGE_CURVE('',#171822,#171821,#95140,.T.); #215875=EDGE_CURVE('',#171823,#171822,#143522,.T.); #215876=EDGE_CURVE('',#171824,#171823,#95141,.T.); #215877=EDGE_CURVE('',#171825,#171824,#143523,.T.); #215878=EDGE_CURVE('',#171826,#171825,#95142,.T.); #215879=EDGE_CURVE('',#171625,#171826,#143524,.T.); #215880=EDGE_CURVE('',#171468,#171815,#143525,.F.); #215881=EDGE_CURVE('',#171803,#171826,#95143,.T.); #215882=EDGE_CURVE('',#171804,#171825,#95144,.T.); #215883=EDGE_CURVE('',#171805,#171824,#95145,.T.); #215884=EDGE_CURVE('',#171806,#171823,#95146,.T.); #215885=EDGE_CURVE('',#171807,#171822,#95147,.T.); #215886=EDGE_CURVE('',#171808,#171821,#95148,.T.); #215887=EDGE_CURVE('',#171814,#171815,#95149,.F.); #215888=EDGE_CURVE('',#171813,#171816,#95150,.T.); #215889=EDGE_CURVE('',#171812,#171817,#95151,.F.); #215890=EDGE_CURVE('',#171811,#171818,#95152,.T.); #215891=EDGE_CURVE('',#171810,#171819,#95153,.F.); #215892=EDGE_CURVE('',#171809,#171820,#95154,.T.); #215893=EDGE_CURVE('',#171827,#171828,#143526,.F.); #215894=EDGE_CURVE('',#171828,#171829,#95155,.T.); #215895=EDGE_CURVE('',#171829,#171830,#143527,.T.); #215896=EDGE_CURVE('',#171827,#171830,#95156,.T.); #215897=EDGE_CURVE('',#171831,#171827,#95157,.T.); #215898=EDGE_CURVE('',#171830,#171832,#95158,.T.); #215899=EDGE_CURVE('',#171831,#171832,#95159,.T.); #215900=EDGE_CURVE('',#171428,#171831,#143528,.F.); #215901=EDGE_CURVE('',#171832,#171429,#143529,.T.); #215902=EDGE_CURVE('',#171833,#171834,#95160,.T.); #215903=EDGE_CURVE('',#171834,#171829,#95161,.T.); #215904=EDGE_CURVE('',#171828,#171833,#95162,.T.); #215905=EDGE_CURVE('',#171833,#171835,#143530,.T.); #215906=EDGE_CURVE('',#171835,#171836,#95163,.T.); #215907=EDGE_CURVE('',#171836,#171837,#95164,.T.); #215908=EDGE_CURVE('',#171837,#171834,#143531,.T.); #215909=EDGE_CURVE('',#171837,#171430,#95165,.T.); #215910=EDGE_CURVE('',#171835,#171427,#95166,.T.); #215911=EDGE_CURVE('',#171433,#171835,#128,.T.); #215912=EDGE_CURVE('',#171591,#171837,#129,.T.); #215913=EDGE_CURVE('',#171686,#171838,#143532,.F.); #215914=EDGE_CURVE('',#171839,#171838,#95167,.T.); #215915=EDGE_CURVE('',#171839,#171685,#143533,.T.); #215916=EDGE_CURVE('',#171840,#171841,#95168,.T.); #215917=EDGE_CURVE('',#171841,#171688,#143534,.F.); #215918=EDGE_CURVE('',#171687,#171840,#143535,.T.); #215919=EDGE_CURVE('',#171838,#171841,#95169,.F.); #215920=EDGE_CURVE('',#171840,#171839,#95170,.T.); #215921=EDGE_CURVE('',#171689,#171841,#143536,.T.); #215922=EDGE_CURVE('',#171690,#171838,#143537,.T.); #215923=EDGE_CURVE('',#171424,#171842,#95171,.T.); #215924=EDGE_CURVE('',#171423,#171843,#95172,.T.); #215925=EDGE_CURVE('',#171843,#171842,#95173,.T.); #215926=EDGE_CURVE('',#171842,#171844,#95174,.T.); #215927=EDGE_CURVE('',#171843,#171845,#95175,.T.); #215928=EDGE_CURVE('',#171845,#171844,#95176,.T.); #215929=EDGE_CURVE('',#171844,#171422,#95177,.T.); #215930=EDGE_CURVE('',#171845,#171421,#95178,.T.); #215931=EDGE_CURVE('',#171846,#171847,#95179,.T.); #215932=EDGE_CURVE('',#171846,#171848,#95180,.T.); #215933=EDGE_CURVE('',#171848,#171849,#95181,.T.); #215934=EDGE_CURVE('',#171847,#171849,#95182,.T.); #215935=EDGE_CURVE('',#171848,#171850,#100,.T.); #215936=EDGE_CURVE('',#171850,#171851,#95183,.T.); #215937=EDGE_CURVE('',#171849,#171851,#143538,.T.); #215938=EDGE_CURVE('',#171852,#171853,#95184,.T.); #215939=EDGE_CURVE('',#171854,#171852,#95185,.T.); #215940=EDGE_CURVE('',#171854,#171855,#95186,.T.); #215941=EDGE_CURVE('',#171855,#171853,#95187,.T.); #215942=EDGE_CURVE('',#171853,#171856,#143539,.T.); #215943=EDGE_CURVE('',#171855,#171857,#143540,.T.); #215944=EDGE_CURVE('',#171857,#171856,#95188,.T.); #215945=EDGE_CURVE('',#171856,#171858,#143541,.T.); #215946=EDGE_CURVE('',#171857,#171859,#143542,.T.); #215947=EDGE_CURVE('',#171859,#171858,#95189,.T.); #215948=EDGE_CURVE('',#171858,#171860,#143543,.T.); #215949=EDGE_CURVE('',#171859,#171861,#143544,.T.); #215950=EDGE_CURVE('',#171861,#171860,#95190,.T.); #215951=EDGE_CURVE('',#171860,#171862,#95191,.T.); #215952=EDGE_CURVE('',#171861,#171863,#95192,.T.); #215953=EDGE_CURVE('',#171863,#171862,#95193,.T.); #215954=EDGE_CURVE('',#171862,#171864,#143545,.T.); #215955=EDGE_CURVE('',#171863,#171865,#143546,.T.); #215956=EDGE_CURVE('',#171865,#171864,#95194,.T.); #215957=EDGE_CURVE('',#171864,#171866,#143547,.T.); #215958=EDGE_CURVE('',#171865,#171867,#143548,.T.); #215959=EDGE_CURVE('',#171867,#171866,#95195,.T.); #215960=EDGE_CURVE('',#171866,#171868,#143549,.T.); #215961=EDGE_CURVE('',#171867,#171869,#143550,.T.); #215962=EDGE_CURVE('',#171869,#171868,#95196,.T.); #215963=EDGE_CURVE('',#171868,#171870,#95197,.T.); #215964=EDGE_CURVE('',#171869,#171871,#95198,.T.); #215965=EDGE_CURVE('',#171871,#171870,#95199,.T.); #215966=EDGE_CURVE('',#171870,#171872,#143551,.T.); #215967=EDGE_CURVE('',#171871,#171873,#143552,.T.); #215968=EDGE_CURVE('',#171873,#171872,#95200,.T.); #215969=EDGE_CURVE('',#171872,#171874,#95201,.T.); #215970=EDGE_CURVE('',#171873,#171875,#95202,.T.); #215971=EDGE_CURVE('',#171875,#171874,#95203,.T.); #215972=EDGE_CURVE('',#171874,#171852,#143553,.T.); #215973=EDGE_CURVE('',#171875,#171854,#143554,.T.); #215974=EDGE_CURVE('',#171876,#171877,#95204,.T.); #215975=EDGE_CURVE('',#171878,#171876,#95205,.T.); #215976=EDGE_CURVE('',#171878,#171879,#95206,.T.); #215977=EDGE_CURVE('',#171879,#171877,#95207,.T.); #215978=EDGE_CURVE('',#171880,#171876,#143555,.T.); #215979=EDGE_CURVE('',#171881,#171880,#95208,.T.); #215980=EDGE_CURVE('',#171881,#171878,#143556,.T.); #215981=EDGE_CURVE('',#171882,#171880,#143557,.T.); #215982=EDGE_CURVE('',#171883,#171882,#95209,.T.); #215983=EDGE_CURVE('',#171883,#171881,#143558,.T.); #215984=EDGE_CURVE('',#171884,#171882,#143559,.T.); #215985=EDGE_CURVE('',#171885,#171884,#95210,.T.); #215986=EDGE_CURVE('',#171885,#171883,#143560,.T.); #215987=EDGE_CURVE('',#171886,#171884,#95211,.T.); #215988=EDGE_CURVE('',#171887,#171886,#95212,.T.); #215989=EDGE_CURVE('',#171887,#171885,#95213,.T.); #215990=EDGE_CURVE('',#171888,#171886,#143561,.T.); #215991=EDGE_CURVE('',#171889,#171888,#95214,.T.); #215992=EDGE_CURVE('',#171889,#171887,#143562,.T.); #215993=EDGE_CURVE('',#171890,#171888,#143563,.T.); #215994=EDGE_CURVE('',#171891,#171890,#95215,.T.); #215995=EDGE_CURVE('',#171891,#171889,#143564,.T.); #215996=EDGE_CURVE('',#171892,#171890,#143565,.T.); #215997=EDGE_CURVE('',#171893,#171892,#95216,.T.); #215998=EDGE_CURVE('',#171893,#171891,#143566,.T.); #215999=EDGE_CURVE('',#171894,#171892,#95217,.T.); #216000=EDGE_CURVE('',#171895,#171894,#95218,.T.); #216001=EDGE_CURVE('',#171895,#171893,#95219,.T.); #216002=EDGE_CURVE('',#171896,#171894,#143567,.T.); #216003=EDGE_CURVE('',#171897,#171896,#95220,.T.); #216004=EDGE_CURVE('',#171897,#171895,#143568,.T.); #216005=EDGE_CURVE('',#171898,#171896,#95221,.T.); #216006=EDGE_CURVE('',#171899,#171898,#95222,.T.); #216007=EDGE_CURVE('',#171899,#171897,#95223,.T.); #216008=EDGE_CURVE('',#171877,#171898,#143569,.T.); #216009=EDGE_CURVE('',#171879,#171899,#143570,.T.); #216010=EDGE_CURVE('',#171900,#171901,#95224,.T.); #216011=EDGE_CURVE('',#171900,#171902,#95225,.T.); #216012=EDGE_CURVE('',#171902,#171903,#95226,.T.); #216013=EDGE_CURVE('',#171901,#171903,#95227,.T.); #216014=EDGE_CURVE('',#171904,#171905,#95228,.T.); #216015=EDGE_CURVE('',#171904,#171900,#101,.T.); #216016=EDGE_CURVE('',#171905,#171901,#143571,.T.); #216017=EDGE_CURVE('',#171906,#171907,#95229,.T.); #216018=EDGE_CURVE('',#171906,#171908,#130,.T.); #216019=EDGE_CURVE('',#171908,#171909,#95230,.T.); #216020=EDGE_CURVE('',#171909,#171910,#95231,.T.); #216021=EDGE_CURVE('',#171907,#171910,#131,.T.); #216022=EDGE_CURVE('',#171911,#171912,#95232,.T.); #216023=EDGE_CURVE('',#171911,#171906,#132,.T.); #216024=EDGE_CURVE('',#171912,#171907,#133,.T.); #216025=EDGE_CURVE('',#171850,#171913,#95233,.F.); #216026=EDGE_CURVE('',#171913,#171914,#95234,.T.); #216027=EDGE_CURVE('',#171914,#171911,#143572,.F.); #216028=EDGE_CURVE('',#171915,#171912,#143573,.T.); #216029=EDGE_CURVE('',#171851,#171915,#95235,.T.); #216030=EDGE_CURVE('',#171915,#171916,#95236,.T.); #216031=EDGE_CURVE('',#171916,#171917,#95237,.T.); #216032=EDGE_CURVE('',#171917,#171847,#143574,.T.); #216033=EDGE_CURVE('',#171918,#171917,#95238,.T.); #216034=EDGE_CURVE('',#171918,#171846,#102,.T.); #216035=EDGE_CURVE('',#171919,#171918,#95239,.F.); #216036=EDGE_CURVE('',#171913,#171919,#95240,.T.); #216037=EDGE_CURVE('',#171920,#171919,#95241,.T.); #216038=EDGE_CURVE('',#171914,#171920,#95242,.T.); #216039=EDGE_CURVE('',#171921,#171922,#95243,.T.); #216040=EDGE_CURVE('',#171921,#171923,#95244,.T.); #216041=EDGE_CURVE('',#171924,#171923,#95245,.T.); #216042=EDGE_CURVE('',#171922,#171924,#95246,.T.); #216043=EDGE_CURVE('',#171923,#171904,#95247,.F.); #216044=EDGE_CURVE('',#171925,#171921,#95248,.F.); #216045=EDGE_CURVE('',#171902,#171925,#103,.T.); #216046=EDGE_CURVE('',#171925,#171926,#95249,.T.); #216047=EDGE_CURVE('',#171903,#171926,#143575,.T.); #216048=EDGE_CURVE('',#171927,#171928,#95250,.T.); #216049=EDGE_CURVE('',#171927,#171929,#134,.T.); #216050=EDGE_CURVE('',#171929,#171930,#95251,.F.); #216051=EDGE_CURVE('',#171928,#171930,#135,.T.); #216052=EDGE_CURVE('',#171926,#171931,#95252,.T.); #216053=EDGE_CURVE('',#171931,#171932,#95253,.T.); #216054=EDGE_CURVE('',#171932,#171905,#95254,.T.); #216055=EDGE_CURVE('',#171930,#171932,#143576,.T.); #216056=EDGE_CURVE('',#171929,#171924,#143577,.T.); #216057=EDGE_CURVE('',#171933,#171934,#95255,.T.); #216058=EDGE_CURVE('',#171933,#171927,#136,.T.); #216059=EDGE_CURVE('',#171935,#171928,#137,.T.); #216060=EDGE_CURVE('',#171934,#171935,#95256,.T.); #216061=EDGE_CURVE('',#171936,#171933,#95257,.T.); #216062=EDGE_CURVE('',#171922,#171936,#143578,.F.); #216063=EDGE_CURVE('',#171937,#171938,#95258,.T.); #216064=EDGE_CURVE('',#171938,#171939,#95259,.T.); #216065=EDGE_CURVE('',#171940,#171939,#95260,.T.); #216066=EDGE_CURVE('',#171940,#171937,#95261,.T.); #216067=EDGE_CURVE('',#171941,#171942,#95262,.T.); #216068=EDGE_CURVE('',#171942,#171943,#95263,.T.); #216069=EDGE_CURVE('',#171944,#171943,#95264,.T.); #216070=EDGE_CURVE('',#171944,#171941,#95265,.T.); #216071=EDGE_CURVE('',#171945,#171920,#143579,.T.); #216072=EDGE_CURVE('',#171908,#171945,#95266,.T.); #216073=EDGE_CURVE('',#171946,#171947,#95267,.T.); #216074=EDGE_CURVE('',#171948,#171946,#95268,.T.); #216075=EDGE_CURVE('',#171948,#171949,#95269,.T.); #216076=EDGE_CURVE('',#171949,#171947,#95270,.T.); #216077=EDGE_CURVE('',#171950,#171946,#143580,.T.); #216078=EDGE_CURVE('',#171951,#171950,#95271,.T.); #216079=EDGE_CURVE('',#171951,#171948,#143581,.T.); #216080=EDGE_CURVE('',#171952,#171950,#143582,.T.); #216081=EDGE_CURVE('',#171953,#171952,#95272,.T.); #216082=EDGE_CURVE('',#171953,#171951,#143583,.T.); #216083=EDGE_CURVE('',#171908,#171953,#143584,.T.); #216084=EDGE_CURVE('',#171910,#171952,#143585,.T.); #216085=EDGE_CURVE('',#171954,#171916,#143586,.T.); #216086=EDGE_CURVE('',#171910,#171954,#95273,.T.); #216087=EDGE_CURVE('',#171955,#171954,#95274,.T.); #216088=EDGE_CURVE('',#171956,#171955,#95275,.T.); #216089=EDGE_CURVE('',#171956,#171945,#95276,.T.); #216090=EDGE_CURVE('',#171957,#171955,#143587,.T.); #216091=EDGE_CURVE('',#171958,#171957,#95277,.T.); #216092=EDGE_CURVE('',#171958,#171956,#143588,.T.); #216093=EDGE_CURVE('',#171959,#171957,#95278,.T.); #216094=EDGE_CURVE('',#171960,#171959,#95279,.T.); #216095=EDGE_CURVE('',#171960,#171958,#95280,.T.); #216096=EDGE_CURVE('',#171961,#171959,#143589,.T.); #216097=EDGE_CURVE('',#171962,#171961,#95281,.T.); #216098=EDGE_CURVE('',#171962,#171960,#143590,.T.); #216099=EDGE_CURVE('',#171963,#171961,#95282,.T.); #216100=EDGE_CURVE('',#171964,#171963,#95283,.T.); #216101=EDGE_CURVE('',#171964,#171962,#95284,.T.); #216102=EDGE_CURVE('',#171965,#171963,#143591,.T.); #216103=EDGE_CURVE('',#171966,#171965,#95285,.T.); #216104=EDGE_CURVE('',#171966,#171964,#143592,.T.); #216105=EDGE_CURVE('',#171967,#171965,#143593,.T.); #216106=EDGE_CURVE('',#171967,#171942,#104,.T.); #216107=EDGE_CURVE('',#171941,#171966,#143594,.T.); #216108=EDGE_CURVE('',#171943,#171968,#105,.T.); #216109=EDGE_CURVE('',#171968,#171967,#95286,.T.); #216110=EDGE_CURVE('',#171969,#171968,#143595,.T.); #216111=EDGE_CURVE('',#171970,#171969,#95287,.T.); #216112=EDGE_CURVE('',#171970,#171944,#143596,.T.); #216113=EDGE_CURVE('',#171971,#171969,#143597,.T.); #216114=EDGE_CURVE('',#171972,#171971,#95288,.T.); #216115=EDGE_CURVE('',#171972,#171970,#143598,.T.); #216116=EDGE_CURVE('',#171973,#171971,#95289,.T.); #216117=EDGE_CURVE('',#171974,#171973,#95290,.T.); #216118=EDGE_CURVE('',#171974,#171972,#95291,.T.); #216119=EDGE_CURVE('',#171975,#171973,#143599,.T.); #216120=EDGE_CURVE('',#171976,#171975,#95292,.T.); #216121=EDGE_CURVE('',#171976,#171974,#143600,.T.); #216122=EDGE_CURVE('',#171977,#171975,#143601,.T.); #216123=EDGE_CURVE('',#171977,#171938,#106,.T.); #216124=EDGE_CURVE('',#171937,#171976,#143602,.T.); #216125=EDGE_CURVE('',#171939,#171978,#107,.T.); #216126=EDGE_CURVE('',#171978,#171977,#95293,.T.); #216127=EDGE_CURVE('',#171979,#171978,#143603,.T.); #216128=EDGE_CURVE('',#171980,#171979,#95294,.T.); #216129=EDGE_CURVE('',#171980,#171940,#143604,.T.); #216130=EDGE_CURVE('',#171981,#171979,#143605,.T.); #216131=EDGE_CURVE('',#171982,#171981,#95295,.T.); #216132=EDGE_CURVE('',#171982,#171980,#143606,.T.); #216133=EDGE_CURVE('',#171983,#171981,#95296,.T.); #216134=EDGE_CURVE('',#171984,#171983,#95297,.T.); #216135=EDGE_CURVE('',#171984,#171982,#95298,.T.); #216136=EDGE_CURVE('',#171985,#171983,#143607,.T.); #216137=EDGE_CURVE('',#171986,#171985,#95299,.T.); #216138=EDGE_CURVE('',#171986,#171984,#143608,.T.); #216139=EDGE_CURVE('',#171987,#171985,#95300,.T.); #216140=EDGE_CURVE('',#171988,#171987,#95301,.T.); #216141=EDGE_CURVE('',#171988,#171986,#95302,.T.); #216142=EDGE_CURVE('',#171989,#171987,#143609,.T.); #216143=EDGE_CURVE('',#171990,#171989,#95303,.T.); #216144=EDGE_CURVE('',#171990,#171988,#143610,.T.); #216145=EDGE_CURVE('',#171936,#171990,#95304,.T.); #216146=EDGE_CURVE('',#171991,#171989,#95305,.T.); #216147=EDGE_CURVE('',#171931,#171991,#143611,.T.); #216148=EDGE_CURVE('',#171991,#171935,#95306,.T.); #216149=EDGE_CURVE('',#171992,#171933,#143612,.T.); #216150=EDGE_CURVE('',#171993,#171935,#143613,.T.); #216151=EDGE_CURVE('',#171992,#171993,#95307,.T.); #216152=EDGE_CURVE('',#171994,#171993,#143614,.T.); #216153=EDGE_CURVE('',#171995,#171994,#95308,.T.); #216154=EDGE_CURVE('',#171995,#171992,#143615,.T.); #216155=EDGE_CURVE('',#171996,#171994,#143616,.T.); #216156=EDGE_CURVE('',#171997,#171996,#95309,.T.); #216157=EDGE_CURVE('',#171997,#171995,#143617,.T.); #216158=EDGE_CURVE('',#171998,#171996,#95310,.T.); #216159=EDGE_CURVE('',#171999,#171998,#95311,.T.); #216160=EDGE_CURVE('',#171999,#171997,#95312,.T.); #216161=EDGE_CURVE('',#172000,#172001,#95313,.T.); #216162=EDGE_CURVE('',#172002,#172000,#95314,.T.); #216163=EDGE_CURVE('',#172002,#172003,#95315,.T.); #216164=EDGE_CURVE('',#172003,#172001,#95316,.T.); #216165=EDGE_CURVE('',#172004,#172000,#143618,.T.); #216166=EDGE_CURVE('',#172005,#172004,#95317,.T.); #216167=EDGE_CURVE('',#172005,#172002,#143619,.T.); #216168=EDGE_CURVE('',#172001,#172006,#143620,.T.); #216169=EDGE_CURVE('',#172003,#172007,#143621,.T.); #216170=EDGE_CURVE('',#172007,#172006,#95318,.T.); #216171=EDGE_CURVE('',#172007,#172008,#95319,.T.); #216172=EDGE_CURVE('',#172008,#172009,#143622,.F.); #216173=EDGE_CURVE('',#172009,#172010,#95320,.T.); #216174=EDGE_CURVE('',#172011,#172010,#143623,.T.); #216175=EDGE_CURVE('',#172006,#172011,#95321,.T.); #216176=EDGE_CURVE('',#171947,#172012,#143624,.T.); #216177=EDGE_CURVE('',#172012,#172013,#95322,.T.); #216178=EDGE_CURVE('',#172013,#172004,#95323,.T.); #216179=EDGE_CURVE('',#172011,#172014,#95324,.T.); #216180=EDGE_CURVE('',#172014,#171998,#143625,.T.); #216181=EDGE_CURVE('',#172015,#172016,#95325,.T.); #216182=EDGE_CURVE('',#172015,#171999,#143626,.T.); #216183=EDGE_CURVE('',#172016,#172014,#95326,.T.); #216184=EDGE_CURVE('',#172017,#172018,#95327,.T.); #216185=EDGE_CURVE('',#171949,#172017,#143627,.T.); #216186=EDGE_CURVE('',#172008,#172015,#95328,.T.); #216187=EDGE_CURVE('',#172018,#172005,#95329,.T.); #216188=EDGE_CURVE('',#172017,#172019,#95330,.T.); #216189=EDGE_CURVE('',#172019,#172012,#95331,.T.); #216190=EDGE_CURVE('',#172020,#172013,#143628,.T.); #216191=EDGE_CURVE('',#172021,#172020,#95332,.F.); #216192=EDGE_CURVE('',#172021,#172018,#143629,.T.); #216193=EDGE_CURVE('',#172017,#172022,#108,.T.); #216194=EDGE_CURVE('',#172022,#172023,#95333,.F.); #216195=EDGE_CURVE('',#172012,#172023,#109,.T.); #216196=EDGE_CURVE('',#172022,#172024,#143630,.F.); #216197=EDGE_CURVE('',#172024,#172025,#95334,.T.); #216198=EDGE_CURVE('',#172023,#172025,#143631,.T.); #216199=EDGE_CURVE('',#172024,#171355,#138,.T.); #216200=EDGE_CURVE('',#172025,#171691,#139,.T.); #216201=EDGE_CURVE('',#171351,#172021,#140,.T.); #216202=EDGE_CURVE('',#171353,#172020,#141,.T.); #216203=EDGE_CURVE('',#172009,#171358,#142,.T.); #216204=EDGE_CURVE('',#172010,#171692,#143,.T.); #216205=EDGE_CURVE('',#171346,#172026,#144,.T.); #216206=EDGE_CURVE('',#172026,#172027,#95335,.F.); #216207=EDGE_CURVE('',#171348,#172027,#145,.T.); #216208=EDGE_CURVE('',#172026,#172028,#143632,.T.); #216209=EDGE_CURVE('',#172028,#172029,#95336,.T.); #216210=EDGE_CURVE('',#172027,#172029,#143633,.T.); #216211=EDGE_CURVE('',#172028,#172015,#110,.T.); #216212=EDGE_CURVE('',#172029,#172014,#111,.T.); #216213=EDGE_CURVE('',#171264,#171324,#95337,.T.); #216214=EDGE_CURVE('',#171263,#171325,#95338,.T.); #216215=EDGE_CURVE('',#171262,#171326,#95339,.T.); #216216=EDGE_CURVE('',#171261,#171327,#95340,.T.); #216217=EDGE_CURVE('',#171260,#171328,#95341,.T.); #216218=EDGE_CURVE('',#171259,#171329,#95342,.T.); #216219=EDGE_CURVE('',#171250,#171297,#95343,.T.); #216220=EDGE_CURVE('',#171252,#171298,#95344,.T.); #216221=EDGE_CURVE('',#171253,#171335,#95345,.T.); #216222=EDGE_CURVE('',#171254,#171334,#95346,.T.); #216223=EDGE_CURVE('',#171255,#171333,#95347,.T.); #216224=EDGE_CURVE('',#171256,#171332,#95348,.T.); #216225=EDGE_CURVE('',#171459,#171599,#95349,.T.); #216226=EDGE_CURVE('',#171458,#171600,#95350,.T.); #216227=EDGE_CURVE('',#171457,#171601,#95351,.T.); #216228=EDGE_CURVE('',#171456,#171602,#95352,.T.); #216229=EDGE_CURVE('',#171455,#171603,#95353,.T.); #216230=EDGE_CURVE('',#171454,#171604,#95354,.T.); #216231=EDGE_CURVE('',#171460,#171598,#95355,.T.); #216232=EDGE_CURVE('',#171461,#171597,#95356,.T.); #216233=EDGE_CURVE('',#171462,#171596,#95357,.T.); #216234=EDGE_CURVE('',#171463,#171595,#95358,.T.); #216235=EDGE_CURVE('',#171464,#171594,#95359,.T.); #216236=EDGE_CURVE('',#171465,#171593,#95360,.T.); #216237=EDGE_CURVE('',#172030,#172031,#95361,.T.); #216238=EDGE_CURVE('',#172032,#172031,#95362,.T.); #216239=EDGE_CURVE('',#172033,#172032,#95363,.T.); #216240=EDGE_CURVE('',#172033,#172030,#95364,.T.); #216241=EDGE_CURVE('',#172031,#172034,#95365,.T.); #216242=EDGE_CURVE('',#172034,#172035,#143634,.T.); #216243=EDGE_CURVE('',#172035,#172036,#95366,.F.); #216244=EDGE_CURVE('',#172036,#172037,#143635,.F.); #216245=EDGE_CURVE('',#172037,#172038,#95367,.F.); #216246=EDGE_CURVE('',#172038,#172039,#95368,.T.); #216247=EDGE_CURVE('',#172040,#172039,#95369,.T.); #216248=EDGE_CURVE('',#172041,#172040,#143636,.T.); #216249=EDGE_CURVE('',#172042,#172041,#95370,.T.); #216250=EDGE_CURVE('',#172043,#172042,#143637,.T.); #216251=EDGE_CURVE('',#172032,#172043,#95371,.T.); #216252=EDGE_CURVE('',#172044,#172045,#95372,.T.); #216253=EDGE_CURVE('',#172046,#172045,#95373,.T.); #216254=EDGE_CURVE('',#172047,#172046,#95374,.T.); #216255=EDGE_CURVE('',#172047,#172048,#143638,.T.); #216256=EDGE_CURVE('',#172048,#172049,#95375,.T.); #216257=EDGE_CURVE('',#172049,#172050,#143639,.T.); #216258=EDGE_CURVE('',#172050,#172051,#95376,.T.); #216259=EDGE_CURVE('',#172052,#172051,#95377,.T.); #216260=EDGE_CURVE('',#172053,#172052,#95378,.F.); #216261=EDGE_CURVE('',#172054,#172053,#143640,.F.); #216262=EDGE_CURVE('',#172055,#172054,#95379,.F.); #216263=EDGE_CURVE('',#172044,#172055,#143641,.T.); #216264=EDGE_CURVE('',#172045,#172056,#95380,.T.); #216265=EDGE_CURVE('',#172057,#172056,#95381,.T.); #216266=EDGE_CURVE('',#172046,#172057,#95382,.T.); #216267=EDGE_CURVE('',#172058,#172059,#95383,.T.); #216268=EDGE_CURVE('',#172058,#172060,#95384,.T.); #216269=EDGE_CURVE('',#172057,#172060,#95385,.T.); #216270=EDGE_CURVE('',#172056,#172061,#95386,.T.); #216271=EDGE_CURVE('',#172061,#172059,#95387,.F.); #216272=EDGE_CURVE('',#172062,#172063,#95388,.T.); #216273=EDGE_CURVE('',#172062,#172058,#95389,.T.); #216274=EDGE_CURVE('',#172059,#172063,#95390,.T.); #216275=EDGE_CURVE('',#172064,#172033,#95391,.T.); #216276=EDGE_CURVE('',#172064,#172062,#95392,.T.); #216277=EDGE_CURVE('',#172063,#172065,#95393,.F.); #216278=EDGE_CURVE('',#172065,#172030,#95394,.T.); #216279=EDGE_CURVE('',#172060,#172064,#95395,.T.); #216280=EDGE_CURVE('',#172043,#172047,#95396,.T.); #216281=EDGE_CURVE('',#172065,#172061,#95397,.T.); #216282=EDGE_CURVE('',#172034,#172044,#95398,.T.); #216283=EDGE_CURVE('',#172052,#172038,#95399,.F.); #216284=EDGE_CURVE('',#172051,#172039,#95400,.T.); #216285=EDGE_CURVE('',#172048,#172042,#95401,.T.); #216286=EDGE_CURVE('',#172049,#172041,#95402,.T.); #216287=EDGE_CURVE('',#172050,#172040,#95403,.T.); #216288=EDGE_CURVE('',#172055,#172035,#95404,.F.); #216289=EDGE_CURVE('',#172054,#172036,#95405,.T.); #216290=EDGE_CURVE('',#172053,#172037,#95406,.F.); #216291=EDGE_CURVE('',#172066,#172067,#95407,.T.); #216292=EDGE_CURVE('',#172068,#172067,#95408,.T.); #216293=EDGE_CURVE('',#172069,#172068,#95409,.T.); #216294=EDGE_CURVE('',#172069,#172070,#95410,.T.); #216295=EDGE_CURVE('',#172070,#172071,#95411,.T.); #216296=EDGE_CURVE('',#172072,#172071,#95412,.T.); #216297=EDGE_CURVE('',#172073,#172072,#95413,.T.); #216298=EDGE_CURVE('',#172073,#172074,#95414,.T.); #216299=EDGE_CURVE('',#172074,#172075,#95415,.T.); #216300=EDGE_CURVE('',#172076,#172075,#95416,.T.); #216301=EDGE_CURVE('',#172077,#172076,#95417,.T.); #216302=EDGE_CURVE('',#172077,#172066,#95418,.T.); #216303=EDGE_CURVE('',#172078,#172079,#95419,.T.); #216304=EDGE_CURVE('',#172078,#172080,#95420,.T.); #216305=EDGE_CURVE('',#172080,#172081,#95421,.T.); #216306=EDGE_CURVE('',#172079,#172081,#95422,.T.); #216307=EDGE_CURVE('',#172082,#172083,#95423,.T.); #216308=EDGE_CURVE('',#172084,#172083,#95424,.T.); #216309=EDGE_CURVE('',#172084,#172085,#95425,.T.); #216310=EDGE_CURVE('',#172085,#172082,#95426,.T.); #216311=EDGE_CURVE('',#172086,#172087,#95427,.T.); #216312=EDGE_CURVE('',#172087,#172088,#95428,.T.); #216313=EDGE_CURVE('',#172088,#172089,#95429,.T.); #216314=EDGE_CURVE('',#172089,#172086,#95430,.T.); #216315=EDGE_CURVE('',#172090,#172091,#95431,.T.); #216316=EDGE_CURVE('',#172091,#172092,#95432,.T.); #216317=EDGE_CURVE('',#172093,#172092,#95433,.T.); #216318=EDGE_CURVE('',#172090,#172093,#95434,.T.); #216319=EDGE_CURVE('',#172094,#172095,#95435,.T.); #216320=EDGE_CURVE('',#172095,#172096,#95436,.T.); #216321=EDGE_CURVE('',#172096,#172097,#95437,.T.); #216322=EDGE_CURVE('',#172097,#172094,#95438,.T.); #216323=EDGE_CURVE('',#172098,#172099,#95439,.T.); #216324=EDGE_CURVE('',#172099,#172100,#95440,.T.); #216325=EDGE_CURVE('',#172101,#172100,#95441,.T.); #216326=EDGE_CURVE('',#172098,#172101,#95442,.T.); #216327=EDGE_CURVE('',#172102,#172103,#95443,.T.); #216328=EDGE_CURVE('',#172103,#172104,#95444,.T.); #216329=EDGE_CURVE('',#172104,#172105,#95445,.T.); #216330=EDGE_CURVE('',#172105,#172102,#95446,.T.); #216331=EDGE_CURVE('',#172106,#172107,#95447,.T.); #216332=EDGE_CURVE('',#172108,#172106,#95448,.T.); #216333=EDGE_CURVE('',#172109,#172108,#95449,.T.); #216334=EDGE_CURVE('',#172107,#172109,#95450,.T.); #216335=EDGE_CURVE('',#172110,#172111,#95451,.T.); #216336=EDGE_CURVE('',#172112,#172110,#95452,.T.); #216337=EDGE_CURVE('',#172113,#172112,#95453,.T.); #216338=EDGE_CURVE('',#172111,#172113,#95454,.T.); #216339=EDGE_CURVE('',#172114,#172089,#95455,.T.); #216340=EDGE_CURVE('',#172088,#172068,#95456,.T.); #216341=EDGE_CURVE('',#172067,#172115,#95457,.T.); #216342=EDGE_CURVE('',#172114,#172115,#95458,.T.); #216343=EDGE_CURVE('',#172086,#172116,#95459,.T.); #216344=EDGE_CURVE('',#172116,#172117,#95460,.T.); #216345=EDGE_CURVE('',#172117,#172070,#95461,.T.); #216346=EDGE_CURVE('',#172069,#172087,#95462,.T.); #216347=EDGE_CURVE('',#172115,#172118,#95463,.T.); #216348=EDGE_CURVE('',#172118,#172066,#95464,.T.); #216349=EDGE_CURVE('',#172119,#172097,#95465,.T.); #216350=EDGE_CURVE('',#172096,#172076,#95466,.T.); #216351=EDGE_CURVE('',#172075,#172120,#95467,.T.); #216352=EDGE_CURVE('',#172119,#172120,#95468,.T.); #216353=EDGE_CURVE('',#172094,#172121,#95469,.T.); #216354=EDGE_CURVE('',#172121,#172118,#95470,.T.); #216355=EDGE_CURVE('',#172077,#172095,#95471,.T.); #216356=EDGE_CURVE('',#172120,#172122,#95472,.T.); #216357=EDGE_CURVE('',#172122,#172074,#95473,.T.); #216358=EDGE_CURVE('',#172123,#172124,#95474,.T.); #216359=EDGE_CURVE('',#172123,#172125,#95475,.T.); #216360=EDGE_CURVE('',#172125,#172126,#95476,.T.); #216361=EDGE_CURVE('',#172126,#172127,#95477,.T.); #216362=EDGE_CURVE('',#172128,#172127,#95478,.T.); #216363=EDGE_CURVE('',#172128,#172073,#95479,.T.); #216364=EDGE_CURVE('',#172072,#172124,#95480,.T.); #216365=EDGE_CURVE('',#172129,#172130,#95481,.T.); #216366=EDGE_CURVE('',#172129,#172131,#143642,.T.); #216367=EDGE_CURVE('',#172131,#172083,#95482,.T.); #216368=EDGE_CURVE('',#172082,#172122,#95483,.T.); #216369=EDGE_CURVE('',#172130,#172128,#95484,.T.); #216370=EDGE_CURVE('',#172132,#172078,#95485,.T.); #216371=EDGE_CURVE('',#172132,#172133,#143643,.T.); #216372=EDGE_CURVE('',#172134,#172133,#95486,.T.); #216373=EDGE_CURVE('',#172134,#172124,#95487,.T.); #216374=EDGE_CURVE('',#172071,#172135,#95488,.T.); #216375=EDGE_CURVE('',#172080,#172135,#95489,.T.); #216376=EDGE_CURVE('',#172136,#172137,#143644,.T.); #216377=EDGE_CURVE('',#172138,#172136,#95490,.T.); #216378=EDGE_CURVE('',#172139,#172138,#143645,.T.); #216379=EDGE_CURVE('',#172140,#172139,#95491,.T.); #216380=EDGE_CURVE('',#172141,#172140,#95492,.T.); #216381=EDGE_CURVE('',#172142,#172141,#95493,.T.); #216382=EDGE_CURVE('',#172081,#172142,#95494,.T.); #216383=EDGE_CURVE('',#172135,#172117,#95495,.T.); #216384=EDGE_CURVE('',#172114,#172116,#95496,.T.); #216385=EDGE_CURVE('',#172119,#172121,#95497,.T.); #216386=EDGE_CURVE('',#172143,#172085,#95498,.T.); #216387=EDGE_CURVE('',#172144,#172143,#95499,.T.); #216388=EDGE_CURVE('',#172145,#172144,#95500,.T.); #216389=EDGE_CURVE('',#172137,#172145,#95501,.T.); #216390=EDGE_CURVE('',#172146,#172147,#143646,.T.); #216391=EDGE_CURVE('',#172147,#172148,#95502,.T.); #216392=EDGE_CURVE('',#172148,#172149,#143647,.T.); #216393=EDGE_CURVE('',#172149,#172150,#95503,.T.); #216394=EDGE_CURVE('',#172150,#172151,#95504,.T.); #216395=EDGE_CURVE('',#172151,#172152,#95505,.T.); #216396=EDGE_CURVE('',#172152,#172084,#95506,.T.); #216397=EDGE_CURVE('',#172131,#172153,#95507,.T.); #216398=EDGE_CURVE('',#172103,#172153,#95508,.T.); #216399=EDGE_CURVE('',#172102,#172154,#95509,.T.); #216400=EDGE_CURVE('',#172154,#172132,#95510,.T.); #216401=EDGE_CURVE('',#172079,#172155,#95511,.T.); #216402=EDGE_CURVE('',#172155,#172156,#95512,.T.); #216403=EDGE_CURVE('',#172156,#172157,#95513,.T.); #216404=EDGE_CURVE('',#172157,#172146,#95514,.T.); #216405=EDGE_CURVE('',#172105,#172158,#95515,.T.); #216406=EDGE_CURVE('',#172158,#172159,#95516,.T.); #216407=EDGE_CURVE('',#172160,#172159,#95517,.T.); #216408=EDGE_CURVE('',#172161,#172160,#95518,.T.); #216409=EDGE_CURVE('',#172161,#172154,#143648,.T.); #216410=EDGE_CURVE('',#172104,#172162,#95519,.T.); #216411=EDGE_CURVE('',#172162,#172158,#95520,.T.); #216412=EDGE_CURVE('',#172163,#172164,#95521,.T.); #216413=EDGE_CURVE('',#172164,#172162,#95522,.T.); #216414=EDGE_CURVE('',#172153,#172165,#143649,.T.); #216415=EDGE_CURVE('',#172163,#172165,#95523,.T.); #216416=EDGE_CURVE('',#172152,#172143,#95524,.T.); #216417=EDGE_CURVE('',#172151,#172144,#95525,.T.); #216418=EDGE_CURVE('',#172150,#172145,#95526,.T.); #216419=EDGE_CURVE('',#172149,#172137,#95527,.T.); #216420=EDGE_CURVE('',#172148,#172136,#95528,.T.); #216421=EDGE_CURVE('',#172155,#172142,#95529,.T.); #216422=EDGE_CURVE('',#172147,#172138,#95530,.T.); #216423=EDGE_CURVE('',#172146,#172139,#95531,.T.); #216424=EDGE_CURVE('',#172157,#172140,#95532,.T.); #216425=EDGE_CURVE('',#172156,#172141,#95533,.T.); #216426=EDGE_CURVE('',#172166,#172106,#95534,.T.); #216427=EDGE_CURVE('',#172166,#172167,#95535,.T.); #216428=EDGE_CURVE('',#172167,#172108,#95536,.T.); #216429=EDGE_CURVE('',#172168,#172107,#95537,.T.); #216430=EDGE_CURVE('',#172168,#172166,#95538,.T.); #216431=EDGE_CURVE('',#172169,#172109,#95539,.T.); #216432=EDGE_CURVE('',#172169,#172168,#95540,.T.); #216433=EDGE_CURVE('',#172167,#172169,#95541,.T.); #216434=EDGE_CURVE('',#172170,#172171,#95542,.T.); #216435=EDGE_CURVE('',#172171,#172172,#95543,.T.); #216436=EDGE_CURVE('',#172172,#172173,#95544,.T.); #216437=EDGE_CURVE('',#172173,#172170,#95545,.T.); #216438=EDGE_CURVE('',#172166,#172173,#95546,.T.); #216439=EDGE_CURVE('',#172170,#172168,#95547,.T.); #216440=EDGE_CURVE('',#172171,#172169,#95548,.T.); #216441=EDGE_CURVE('',#172167,#172172,#95549,.T.); #216442=EDGE_CURVE('',#172174,#172110,#95550,.T.); #216443=EDGE_CURVE('',#172174,#172175,#95551,.T.); #216444=EDGE_CURVE('',#172175,#172112,#95552,.T.); #216445=EDGE_CURVE('',#172176,#172111,#95553,.T.); #216446=EDGE_CURVE('',#172176,#172174,#95554,.T.); #216447=EDGE_CURVE('',#172177,#172113,#95555,.T.); #216448=EDGE_CURVE('',#172177,#172176,#95556,.T.); #216449=EDGE_CURVE('',#172175,#172177,#95557,.T.); #216450=EDGE_CURVE('',#172178,#172179,#95558,.T.); #216451=EDGE_CURVE('',#172179,#172180,#95559,.T.); #216452=EDGE_CURVE('',#172180,#172181,#95560,.T.); #216453=EDGE_CURVE('',#172181,#172178,#95561,.T.); #216454=EDGE_CURVE('',#172174,#172181,#95562,.T.); #216455=EDGE_CURVE('',#172178,#172176,#95563,.T.); #216456=EDGE_CURVE('',#172179,#172177,#95564,.T.); #216457=EDGE_CURVE('',#172175,#172180,#95565,.T.); #216458=EDGE_CURVE('',#172098,#172182,#95566,.T.); #216459=EDGE_CURVE('',#172182,#172183,#95567,.T.); #216460=EDGE_CURVE('',#172099,#172183,#95568,.T.); #216461=EDGE_CURVE('',#172184,#172185,#95569,.T.); #216462=EDGE_CURVE('',#172185,#172186,#95570,.T.); #216463=EDGE_CURVE('',#172186,#172187,#95571,.T.); #216464=EDGE_CURVE('',#172187,#172184,#95572,.T.); #216465=EDGE_CURVE('',#172100,#172188,#95573,.T.); #216466=EDGE_CURVE('',#172188,#172189,#95574,.T.); #216467=EDGE_CURVE('',#172101,#172189,#95575,.T.); #216468=EDGE_CURVE('',#172183,#172188,#95576,.T.); #216469=EDGE_CURVE('',#172189,#172182,#95577,.T.); #216470=EDGE_CURVE('',#172189,#172187,#95578,.T.); #216471=EDGE_CURVE('',#172184,#172188,#95579,.T.); #216472=EDGE_CURVE('',#172185,#172183,#95580,.T.); #216473=EDGE_CURVE('',#172182,#172186,#95581,.T.); #216474=EDGE_CURVE('',#172090,#172190,#95582,.T.); #216475=EDGE_CURVE('',#172190,#172191,#95583,.T.); #216476=EDGE_CURVE('',#172091,#172191,#95584,.T.); #216477=EDGE_CURVE('',#172192,#172193,#95585,.T.); #216478=EDGE_CURVE('',#172193,#172194,#95586,.T.); #216479=EDGE_CURVE('',#172194,#172195,#95587,.T.); #216480=EDGE_CURVE('',#172195,#172192,#95588,.T.); #216481=EDGE_CURVE('',#172092,#172196,#95589,.T.); #216482=EDGE_CURVE('',#172196,#172197,#95590,.T.); #216483=EDGE_CURVE('',#172093,#172197,#95591,.T.); #216484=EDGE_CURVE('',#172191,#172196,#95592,.T.); #216485=EDGE_CURVE('',#172197,#172190,#95593,.T.); #216486=EDGE_CURVE('',#172197,#172195,#95594,.T.); #216487=EDGE_CURVE('',#172192,#172196,#95595,.T.); #216488=EDGE_CURVE('',#172193,#172191,#95596,.T.); #216489=EDGE_CURVE('',#172190,#172194,#95597,.T.); #216490=EDGE_CURVE('',#172127,#172164,#95598,.T.); #216491=EDGE_CURVE('',#172130,#172163,#95599,.T.); #216492=EDGE_CURVE('',#172165,#172129,#95600,.T.); #216493=EDGE_CURVE('',#172159,#172123,#95601,.T.); #216494=EDGE_CURVE('',#172160,#172134,#95602,.T.); #216495=EDGE_CURVE('',#172133,#172161,#95603,.T.); #216496=EDGE_CURVE('',#172162,#172126,#95604,.T.); #216497=EDGE_CURVE('',#172158,#172125,#95605,.T.); #216498=EDGE_CURVE('',#172198,#172199,#143650,.F.); #216499=EDGE_CURVE('',#172200,#172198,#143651,.F.); #216500=EDGE_CURVE('',#172199,#172200,#143652,.F.); #216501=EDGE_CURVE('',#172201,#172202,#143653,.F.); #216502=EDGE_CURVE('',#172203,#172201,#143654,.F.); #216503=EDGE_CURVE('',#172202,#172203,#143655,.F.); #216504=EDGE_CURVE('',#172204,#172205,#143656,.F.); #216505=EDGE_CURVE('',#172206,#172204,#143657,.F.); #216506=EDGE_CURVE('',#172205,#172206,#143658,.F.); #216507=EDGE_CURVE('',#172207,#172208,#143659,.F.); #216508=EDGE_CURVE('',#172209,#172207,#143660,.F.); #216509=EDGE_CURVE('',#172208,#172209,#143661,.F.); #216510=EDGE_CURVE('',#172210,#172211,#143662,.F.); #216511=EDGE_CURVE('',#172212,#172210,#143663,.F.); #216512=EDGE_CURVE('',#172211,#172212,#143664,.F.); #216513=EDGE_CURVE('',#172210,#172205,#95606,.T.); #216514=EDGE_CURVE('',#172206,#172212,#95607,.T.); #216515=EDGE_CURVE('',#172213,#172214,#143665,.F.); #216516=EDGE_CURVE('',#172215,#172213,#143666,.F.); #216517=EDGE_CURVE('',#172214,#172215,#143667,.F.); #216518=EDGE_CURVE('',#172216,#172217,#143668,.F.); #216519=EDGE_CURVE('',#172218,#172216,#143669,.F.); #216520=EDGE_CURVE('',#172217,#172218,#143670,.F.); #216521=EDGE_CURVE('',#172204,#172218,#95608,.T.); #216522=EDGE_CURVE('',#172216,#172206,#95609,.T.); #216523=EDGE_CURVE('',#172207,#172217,#95610,.T.); #216524=EDGE_CURVE('',#172218,#172209,#95611,.T.); #216525=EDGE_CURVE('',#172219,#172220,#143671,.F.); #216526=EDGE_CURVE('',#172221,#172219,#143672,.F.); #216527=EDGE_CURVE('',#172220,#172221,#143673,.F.); #216528=EDGE_CURVE('',#172212,#172221,#95612,.T.); #216529=EDGE_CURVE('',#172219,#172211,#95613,.T.); #216530=EDGE_CURVE('',#172217,#172220,#95614,.T.); #216531=EDGE_CURVE('',#172221,#172216,#95615,.T.); #216532=EDGE_CURVE('',#172213,#172219,#95616,.T.); #216533=EDGE_CURVE('',#172220,#172215,#95617,.T.); #216534=EDGE_CURVE('',#172208,#172214,#95618,.T.); #216535=EDGE_CURVE('',#172215,#172207,#95619,.T.); #216536=EDGE_CURVE('',#172214,#172202,#95620,.T.); #216537=EDGE_CURVE('',#172203,#172213,#95621,.T.); #216538=EDGE_CURVE('',#172201,#172210,#95622,.T.); #216539=EDGE_CURVE('',#172211,#172203,#95623,.T.); #216540=EDGE_CURVE('',#172199,#172208,#95624,.T.); #216541=EDGE_CURVE('',#172209,#172198,#95625,.T.); #216542=EDGE_CURVE('',#172198,#172204,#95626,.T.); #216543=EDGE_CURVE('',#172205,#172200,#95627,.T.); #216544=EDGE_CURVE('',#172202,#172199,#95628,.T.); #216545=EDGE_CURVE('',#172200,#172201,#95629,.T.); #216546=EDGE_CURVE('',#172222,#172223,#143674,.F.); #216547=EDGE_CURVE('',#172224,#172222,#143675,.F.); #216548=EDGE_CURVE('',#172223,#172224,#143676,.F.); #216549=EDGE_CURVE('',#172225,#172226,#143677,.F.); #216550=EDGE_CURVE('',#172227,#172225,#143678,.F.); #216551=EDGE_CURVE('',#172226,#172227,#143679,.F.); #216552=EDGE_CURVE('',#172228,#172229,#143680,.F.); #216553=EDGE_CURVE('',#172230,#172228,#143681,.F.); #216554=EDGE_CURVE('',#172229,#172230,#143682,.F.); #216555=EDGE_CURVE('',#172231,#172232,#143683,.F.); #216556=EDGE_CURVE('',#172233,#172231,#143684,.F.); #216557=EDGE_CURVE('',#172232,#172233,#143685,.F.); #216558=EDGE_CURVE('',#172234,#172235,#143686,.F.); #216559=EDGE_CURVE('',#172236,#172234,#143687,.F.); #216560=EDGE_CURVE('',#172235,#172236,#143688,.F.); #216561=EDGE_CURVE('',#172234,#172229,#95630,.T.); #216562=EDGE_CURVE('',#172230,#172236,#95631,.T.); #216563=EDGE_CURVE('',#172237,#172238,#143689,.F.); #216564=EDGE_CURVE('',#172239,#172237,#143690,.F.); #216565=EDGE_CURVE('',#172238,#172239,#143691,.F.); #216566=EDGE_CURVE('',#172240,#172241,#143692,.F.); #216567=EDGE_CURVE('',#172242,#172240,#143693,.F.); #216568=EDGE_CURVE('',#172241,#172242,#143694,.F.); #216569=EDGE_CURVE('',#172228,#172242,#95632,.T.); #216570=EDGE_CURVE('',#172240,#172230,#95633,.T.); #216571=EDGE_CURVE('',#172231,#172241,#95634,.T.); #216572=EDGE_CURVE('',#172242,#172233,#95635,.T.); #216573=EDGE_CURVE('',#172243,#172244,#143695,.F.); #216574=EDGE_CURVE('',#172245,#172243,#143696,.F.); #216575=EDGE_CURVE('',#172244,#172245,#143697,.F.); #216576=EDGE_CURVE('',#172243,#172235,#95636,.T.); #216577=EDGE_CURVE('',#172236,#172245,#95637,.T.); #216578=EDGE_CURVE('',#172241,#172244,#95638,.T.); #216579=EDGE_CURVE('',#172245,#172240,#95639,.T.); #216580=EDGE_CURVE('',#172237,#172243,#95640,.T.); #216581=EDGE_CURVE('',#172244,#172239,#95641,.T.); #216582=EDGE_CURVE('',#172232,#172238,#95642,.T.); #216583=EDGE_CURVE('',#172239,#172231,#95643,.T.); #216584=EDGE_CURVE('',#172238,#172226,#95644,.T.); #216585=EDGE_CURVE('',#172227,#172237,#95645,.T.); #216586=EDGE_CURVE('',#172225,#172234,#95646,.T.); #216587=EDGE_CURVE('',#172235,#172227,#95647,.T.); #216588=EDGE_CURVE('',#172223,#172232,#95648,.T.); #216589=EDGE_CURVE('',#172233,#172222,#95649,.T.); #216590=EDGE_CURVE('',#172222,#172228,#95650,.T.); #216591=EDGE_CURVE('',#172229,#172224,#95651,.T.); #216592=EDGE_CURVE('',#172226,#172223,#95652,.T.); #216593=EDGE_CURVE('',#172224,#172225,#95653,.T.); #216594=EDGE_CURVE('',#172246,#172247,#95654,.T.); #216595=EDGE_CURVE('',#172246,#172248,#95655,.T.); #216596=EDGE_CURVE('',#172249,#172248,#95656,.T.); #216597=EDGE_CURVE('',#172247,#172249,#95657,.T.); #216598=EDGE_CURVE('',#172250,#172247,#95658,.T.); #216599=EDGE_CURVE('',#172251,#172249,#95659,.T.); #216600=EDGE_CURVE('',#172250,#172251,#95660,.T.); #216601=EDGE_CURVE('',#172252,#172250,#95661,.T.); #216602=EDGE_CURVE('',#172253,#172251,#95662,.T.); #216603=EDGE_CURVE('',#172252,#172253,#95663,.T.); #216604=EDGE_CURVE('',#172246,#172252,#95664,.T.); #216605=EDGE_CURVE('',#172248,#172253,#95665,.T.); #216606=EDGE_CURVE('',#172254,#172255,#143698,.F.); #216607=EDGE_CURVE('',#172256,#172254,#143699,.F.); #216608=EDGE_CURVE('',#172255,#172256,#143700,.F.); #216609=EDGE_CURVE('',#172257,#172258,#143701,.F.); #216610=EDGE_CURVE('',#172259,#172257,#143702,.F.); #216611=EDGE_CURVE('',#172258,#172259,#143703,.F.); #216612=EDGE_CURVE('',#172260,#172261,#143704,.F.); #216613=EDGE_CURVE('',#172262,#172260,#143705,.F.); #216614=EDGE_CURVE('',#172261,#172262,#143706,.F.); #216615=EDGE_CURVE('',#172263,#172264,#143707,.F.); #216616=EDGE_CURVE('',#172265,#172263,#143708,.F.); #216617=EDGE_CURVE('',#172264,#172265,#143709,.F.); #216618=EDGE_CURVE('',#172266,#172267,#143710,.F.); #216619=EDGE_CURVE('',#172268,#172266,#143711,.F.); #216620=EDGE_CURVE('',#172267,#172268,#143712,.F.); #216621=EDGE_CURVE('',#172266,#172261,#95666,.T.); #216622=EDGE_CURVE('',#172262,#172268,#95667,.T.); #216623=EDGE_CURVE('',#172269,#172270,#143713,.F.); #216624=EDGE_CURVE('',#172271,#172269,#143714,.F.); #216625=EDGE_CURVE('',#172270,#172271,#143715,.F.); #216626=EDGE_CURVE('',#172272,#172273,#143716,.F.); #216627=EDGE_CURVE('',#172274,#172272,#143717,.F.); #216628=EDGE_CURVE('',#172273,#172274,#143718,.F.); #216629=EDGE_CURVE('',#172260,#172274,#95668,.T.); #216630=EDGE_CURVE('',#172272,#172262,#95669,.T.); #216631=EDGE_CURVE('',#172263,#172273,#95670,.T.); #216632=EDGE_CURVE('',#172274,#172265,#95671,.T.); #216633=EDGE_CURVE('',#172275,#172276,#143719,.F.); #216634=EDGE_CURVE('',#172277,#172275,#143720,.F.); #216635=EDGE_CURVE('',#172276,#172277,#143721,.F.); #216636=EDGE_CURVE('',#172268,#172277,#95672,.T.); #216637=EDGE_CURVE('',#172275,#172267,#95673,.T.); #216638=EDGE_CURVE('',#172273,#172276,#95674,.T.); #216639=EDGE_CURVE('',#172277,#172272,#95675,.T.); #216640=EDGE_CURVE('',#172269,#172275,#95676,.T.); #216641=EDGE_CURVE('',#172276,#172271,#95677,.T.); #216642=EDGE_CURVE('',#172264,#172270,#95678,.T.); #216643=EDGE_CURVE('',#172271,#172263,#95679,.T.); #216644=EDGE_CURVE('',#172270,#172258,#95680,.T.); #216645=EDGE_CURVE('',#172259,#172269,#95681,.T.); #216646=EDGE_CURVE('',#172257,#172266,#95682,.T.); #216647=EDGE_CURVE('',#172267,#172259,#95683,.T.); #216648=EDGE_CURVE('',#172255,#172264,#95684,.T.); #216649=EDGE_CURVE('',#172265,#172254,#95685,.T.); #216650=EDGE_CURVE('',#172254,#172260,#95686,.T.); #216651=EDGE_CURVE('',#172261,#172256,#95687,.T.); #216652=EDGE_CURVE('',#172258,#172255,#95688,.T.); #216653=EDGE_CURVE('',#172256,#172257,#95689,.T.); #216654=EDGE_CURVE('',#172278,#172279,#143722,.F.); #216655=EDGE_CURVE('',#172280,#172278,#143723,.F.); #216656=EDGE_CURVE('',#172279,#172280,#143724,.F.); #216657=EDGE_CURVE('',#172281,#172282,#143725,.F.); #216658=EDGE_CURVE('',#172283,#172281,#143726,.F.); #216659=EDGE_CURVE('',#172282,#172283,#143727,.F.); #216660=EDGE_CURVE('',#172284,#172285,#143728,.F.); #216661=EDGE_CURVE('',#172286,#172284,#143729,.F.); #216662=EDGE_CURVE('',#172285,#172286,#143730,.F.); #216663=EDGE_CURVE('',#172287,#172288,#143731,.F.); #216664=EDGE_CURVE('',#172289,#172287,#143732,.F.); #216665=EDGE_CURVE('',#172288,#172289,#143733,.F.); #216666=EDGE_CURVE('',#172290,#172291,#143734,.F.); #216667=EDGE_CURVE('',#172292,#172290,#143735,.F.); #216668=EDGE_CURVE('',#172291,#172292,#143736,.F.); #216669=EDGE_CURVE('',#172290,#172285,#95690,.T.); #216670=EDGE_CURVE('',#172286,#172292,#95691,.T.); #216671=EDGE_CURVE('',#172293,#172294,#143737,.F.); #216672=EDGE_CURVE('',#172295,#172293,#143738,.F.); #216673=EDGE_CURVE('',#172294,#172295,#143739,.F.); #216674=EDGE_CURVE('',#172296,#172297,#143740,.F.); #216675=EDGE_CURVE('',#172298,#172296,#143741,.F.); #216676=EDGE_CURVE('',#172297,#172298,#143742,.F.); #216677=EDGE_CURVE('',#172284,#172298,#95692,.T.); #216678=EDGE_CURVE('',#172296,#172286,#95693,.T.); #216679=EDGE_CURVE('',#172287,#172297,#95694,.T.); #216680=EDGE_CURVE('',#172298,#172289,#95695,.T.); #216681=EDGE_CURVE('',#172299,#172300,#143743,.F.); #216682=EDGE_CURVE('',#172301,#172299,#143744,.F.); #216683=EDGE_CURVE('',#172300,#172301,#143745,.F.); #216684=EDGE_CURVE('',#172299,#172291,#95696,.T.); #216685=EDGE_CURVE('',#172292,#172301,#95697,.T.); #216686=EDGE_CURVE('',#172297,#172300,#95698,.T.); #216687=EDGE_CURVE('',#172301,#172296,#95699,.T.); #216688=EDGE_CURVE('',#172293,#172299,#95700,.T.); #216689=EDGE_CURVE('',#172300,#172295,#95701,.T.); #216690=EDGE_CURVE('',#172288,#172294,#95702,.T.); #216691=EDGE_CURVE('',#172295,#172287,#95703,.T.); #216692=EDGE_CURVE('',#172294,#172282,#95704,.T.); #216693=EDGE_CURVE('',#172283,#172293,#95705,.T.); #216694=EDGE_CURVE('',#172281,#172290,#95706,.T.); #216695=EDGE_CURVE('',#172291,#172283,#95707,.T.); #216696=EDGE_CURVE('',#172279,#172288,#95708,.T.); #216697=EDGE_CURVE('',#172289,#172278,#95709,.T.); #216698=EDGE_CURVE('',#172278,#172284,#95710,.T.); #216699=EDGE_CURVE('',#172285,#172280,#95711,.T.); #216700=EDGE_CURVE('',#172282,#172279,#95712,.T.); #216701=EDGE_CURVE('',#172280,#172281,#95713,.T.); #216702=EDGE_CURVE('',#172302,#172303,#95714,.T.); #216703=EDGE_CURVE('',#172302,#172304,#95715,.T.); #216704=EDGE_CURVE('',#172305,#172304,#95716,.T.); #216705=EDGE_CURVE('',#172303,#172305,#95717,.T.); #216706=EDGE_CURVE('',#172306,#172303,#95718,.T.); #216707=EDGE_CURVE('',#172307,#172305,#95719,.T.); #216708=EDGE_CURVE('',#172306,#172307,#95720,.T.); #216709=EDGE_CURVE('',#172308,#172306,#95721,.T.); #216710=EDGE_CURVE('',#172309,#172307,#95722,.T.); #216711=EDGE_CURVE('',#172308,#172309,#95723,.T.); #216712=EDGE_CURVE('',#172302,#172308,#95724,.T.); #216713=EDGE_CURVE('',#172304,#172309,#95725,.T.); #216714=EDGE_CURVE('',#172310,#172311,#143746,.F.); #216715=EDGE_CURVE('',#172312,#172310,#143747,.F.); #216716=EDGE_CURVE('',#172311,#172312,#143748,.F.); #216717=EDGE_CURVE('',#172313,#172314,#143749,.F.); #216718=EDGE_CURVE('',#172315,#172313,#143750,.F.); #216719=EDGE_CURVE('',#172314,#172315,#143751,.F.); #216720=EDGE_CURVE('',#172316,#172317,#143752,.F.); #216721=EDGE_CURVE('',#172318,#172316,#143753,.F.); #216722=EDGE_CURVE('',#172317,#172318,#143754,.F.); #216723=EDGE_CURVE('',#172319,#172320,#143755,.F.); #216724=EDGE_CURVE('',#172321,#172319,#143756,.F.); #216725=EDGE_CURVE('',#172320,#172321,#143757,.F.); #216726=EDGE_CURVE('',#172322,#172323,#143758,.F.); #216727=EDGE_CURVE('',#172324,#172322,#143759,.F.); #216728=EDGE_CURVE('',#172323,#172324,#143760,.F.); #216729=EDGE_CURVE('',#172322,#172317,#95726,.T.); #216730=EDGE_CURVE('',#172318,#172324,#95727,.T.); #216731=EDGE_CURVE('',#172325,#172326,#143761,.F.); #216732=EDGE_CURVE('',#172327,#172325,#143762,.F.); #216733=EDGE_CURVE('',#172326,#172327,#143763,.F.); #216734=EDGE_CURVE('',#172328,#172329,#143764,.F.); #216735=EDGE_CURVE('',#172330,#172328,#143765,.F.); #216736=EDGE_CURVE('',#172329,#172330,#143766,.F.); #216737=EDGE_CURVE('',#172316,#172330,#95728,.T.); #216738=EDGE_CURVE('',#172328,#172318,#95729,.T.); #216739=EDGE_CURVE('',#172319,#172329,#95730,.T.); #216740=EDGE_CURVE('',#172330,#172321,#95731,.T.); #216741=EDGE_CURVE('',#172331,#172332,#143767,.F.); #216742=EDGE_CURVE('',#172333,#172331,#143768,.F.); #216743=EDGE_CURVE('',#172332,#172333,#143769,.F.); #216744=EDGE_CURVE('',#172324,#172333,#95732,.T.); #216745=EDGE_CURVE('',#172331,#172323,#95733,.T.); #216746=EDGE_CURVE('',#172329,#172332,#95734,.T.); #216747=EDGE_CURVE('',#172333,#172328,#95735,.T.); #216748=EDGE_CURVE('',#172325,#172331,#95736,.T.); #216749=EDGE_CURVE('',#172332,#172327,#95737,.T.); #216750=EDGE_CURVE('',#172320,#172326,#95738,.T.); #216751=EDGE_CURVE('',#172327,#172319,#95739,.T.); #216752=EDGE_CURVE('',#172326,#172314,#95740,.T.); #216753=EDGE_CURVE('',#172315,#172325,#95741,.T.); #216754=EDGE_CURVE('',#172313,#172322,#95742,.T.); #216755=EDGE_CURVE('',#172323,#172315,#95743,.T.); #216756=EDGE_CURVE('',#172311,#172320,#95744,.T.); #216757=EDGE_CURVE('',#172321,#172310,#95745,.T.); #216758=EDGE_CURVE('',#172310,#172316,#95746,.T.); #216759=EDGE_CURVE('',#172317,#172312,#95747,.T.); #216760=EDGE_CURVE('',#172314,#172311,#95748,.T.); #216761=EDGE_CURVE('',#172312,#172313,#95749,.T.); #216762=EDGE_CURVE('',#172334,#172335,#143770,.F.); #216763=EDGE_CURVE('',#172336,#172334,#143771,.F.); #216764=EDGE_CURVE('',#172335,#172336,#143772,.F.); #216765=EDGE_CURVE('',#172337,#172338,#143773,.F.); #216766=EDGE_CURVE('',#172339,#172337,#143774,.F.); #216767=EDGE_CURVE('',#172338,#172339,#143775,.F.); #216768=EDGE_CURVE('',#172340,#172341,#143776,.F.); #216769=EDGE_CURVE('',#172342,#172340,#143777,.F.); #216770=EDGE_CURVE('',#172341,#172342,#143778,.F.); #216771=EDGE_CURVE('',#172343,#172344,#143779,.F.); #216772=EDGE_CURVE('',#172345,#172343,#143780,.F.); #216773=EDGE_CURVE('',#172344,#172345,#143781,.F.); #216774=EDGE_CURVE('',#172346,#172347,#143782,.F.); #216775=EDGE_CURVE('',#172348,#172346,#143783,.F.); #216776=EDGE_CURVE('',#172347,#172348,#143784,.F.); #216777=EDGE_CURVE('',#172346,#172341,#95750,.T.); #216778=EDGE_CURVE('',#172342,#172348,#95751,.T.); #216779=EDGE_CURVE('',#172349,#172350,#143785,.F.); #216780=EDGE_CURVE('',#172351,#172349,#143786,.F.); #216781=EDGE_CURVE('',#172350,#172351,#143787,.F.); #216782=EDGE_CURVE('',#172352,#172353,#143788,.F.); #216783=EDGE_CURVE('',#172354,#172352,#143789,.F.); #216784=EDGE_CURVE('',#172353,#172354,#143790,.F.); #216785=EDGE_CURVE('',#172340,#172354,#95752,.T.); #216786=EDGE_CURVE('',#172352,#172342,#95753,.T.); #216787=EDGE_CURVE('',#172343,#172353,#95754,.T.); #216788=EDGE_CURVE('',#172354,#172345,#95755,.T.); #216789=EDGE_CURVE('',#172355,#172356,#143791,.F.); #216790=EDGE_CURVE('',#172357,#172355,#143792,.F.); #216791=EDGE_CURVE('',#172356,#172357,#143793,.F.); #216792=EDGE_CURVE('',#172355,#172347,#95756,.T.); #216793=EDGE_CURVE('',#172348,#172357,#95757,.T.); #216794=EDGE_CURVE('',#172353,#172356,#95758,.T.); #216795=EDGE_CURVE('',#172357,#172352,#95759,.T.); #216796=EDGE_CURVE('',#172349,#172355,#95760,.T.); #216797=EDGE_CURVE('',#172356,#172351,#95761,.T.); #216798=EDGE_CURVE('',#172344,#172350,#95762,.T.); #216799=EDGE_CURVE('',#172351,#172343,#95763,.T.); #216800=EDGE_CURVE('',#172350,#172338,#95764,.T.); #216801=EDGE_CURVE('',#172339,#172349,#95765,.T.); #216802=EDGE_CURVE('',#172337,#172346,#95766,.T.); #216803=EDGE_CURVE('',#172347,#172339,#95767,.T.); #216804=EDGE_CURVE('',#172335,#172344,#95768,.T.); #216805=EDGE_CURVE('',#172345,#172334,#95769,.T.); #216806=EDGE_CURVE('',#172334,#172340,#95770,.T.); #216807=EDGE_CURVE('',#172341,#172336,#95771,.T.); #216808=EDGE_CURVE('',#172338,#172335,#95772,.T.); #216809=EDGE_CURVE('',#172336,#172337,#95773,.T.); #216810=EDGE_CURVE('',#172358,#172359,#95774,.T.); #216811=EDGE_CURVE('',#172358,#172360,#95775,.T.); #216812=EDGE_CURVE('',#172361,#172360,#95776,.T.); #216813=EDGE_CURVE('',#172359,#172361,#95777,.T.); #216814=EDGE_CURVE('',#172362,#172359,#95778,.T.); #216815=EDGE_CURVE('',#172363,#172361,#95779,.T.); #216816=EDGE_CURVE('',#172362,#172363,#95780,.T.); #216817=EDGE_CURVE('',#172364,#172362,#95781,.T.); #216818=EDGE_CURVE('',#172365,#172363,#95782,.T.); #216819=EDGE_CURVE('',#172364,#172365,#95783,.T.); #216820=EDGE_CURVE('',#172358,#172364,#95784,.T.); #216821=EDGE_CURVE('',#172360,#172365,#95785,.T.); #216822=EDGE_CURVE('',#172366,#172366,#143794,.T.); #216823=EDGE_CURVE('',#172366,#172367,#95786,.T.); #216824=EDGE_CURVE('',#172367,#172367,#143795,.T.); #216825=EDGE_CURVE('',#172368,#172368,#143796,.T.); #216826=EDGE_CURVE('',#172369,#172369,#143797,.T.); #216827=EDGE_CURVE('',#172369,#172368,#143798,.T.); #216828=EDGE_CURVE('',#172370,#172370,#143799,.T.); #216829=EDGE_CURVE('',#172370,#172369,#95787,.T.); #216830=EDGE_CURVE('',#172371,#172371,#143800,.T.); #216831=EDGE_CURVE('',#172371,#172370,#143801,.T.); #216832=EDGE_CURVE('',#172371,#172372,#143802,.T.); #216833=EDGE_CURVE('',#172372,#172372,#143803,.T.); #216834=EDGE_CURVE('',#172373,#172373,#143804,.T.); #216835=EDGE_CURVE('',#172373,#172372,#143805,.T.); #216836=EDGE_CURVE('',#172374,#172374,#143806,.T.); #216837=EDGE_CURVE('',#172374,#172373,#95788,.T.); #216838=EDGE_CURVE('',#172375,#172375,#143807,.T.); #216839=EDGE_CURVE('',#172375,#172374,#143808,.T.); #216840=EDGE_CURVE('',#172376,#172377,#143809,.T.); #216841=EDGE_CURVE('',#172377,#172378,#143810,.T.); #216842=EDGE_CURVE('',#172378,#172379,#143811,.T.); #216843=EDGE_CURVE('',#172379,#172380,#95789,.T.); #216844=EDGE_CURVE('',#172380,#172381,#143812,.T.); #216845=EDGE_CURVE('',#172381,#172382,#95790,.T.); #216846=EDGE_CURVE('',#172382,#172383,#143813,.T.); #216847=EDGE_CURVE('',#172383,#172384,#95791,.T.); #216848=EDGE_CURVE('',#172384,#172385,#143814,.T.); #216849=EDGE_CURVE('',#172385,#172386,#143815,.T.); #216850=EDGE_CURVE('',#172386,#172387,#143816,.T.); #216851=EDGE_CURVE('',#172387,#172388,#95792,.T.); #216852=EDGE_CURVE('',#172388,#172389,#143817,.T.); #216853=EDGE_CURVE('',#172389,#172390,#95793,.T.); #216854=EDGE_CURVE('',#172390,#172391,#143818,.T.); #216855=EDGE_CURVE('',#172391,#172376,#95794,.T.); #216856=EDGE_CURVE('',#172392,#172377,#143819,.T.); #216857=EDGE_CURVE('',#172393,#172392,#143820,.T.); #216858=EDGE_CURVE('',#172378,#172393,#143821,.T.); #216859=EDGE_CURVE('',#172394,#172393,#143822,.T.); #216860=EDGE_CURVE('',#172379,#172394,#143823,.T.); #216861=EDGE_CURVE('',#172395,#172394,#95795,.T.); #216862=EDGE_CURVE('',#172380,#172395,#143824,.T.); #216863=EDGE_CURVE('',#172396,#172395,#143825,.T.); #216864=EDGE_CURVE('',#172381,#172396,#143826,.T.); #216865=EDGE_CURVE('',#172397,#172396,#95796,.T.); #216866=EDGE_CURVE('',#172382,#172397,#143827,.T.); #216867=EDGE_CURVE('',#172398,#172397,#143828,.T.); #216868=EDGE_CURVE('',#172383,#172398,#143829,.T.); #216869=EDGE_CURVE('',#172399,#172398,#95797,.T.); #216870=EDGE_CURVE('',#172384,#172399,#143830,.T.); #216871=EDGE_CURVE('',#172400,#172399,#143831,.T.); #216872=EDGE_CURVE('',#172385,#172400,#143832,.T.); #216873=EDGE_CURVE('',#172401,#172400,#143833,.T.); #216874=EDGE_CURVE('',#172386,#172401,#143834,.T.); #216875=EDGE_CURVE('',#172402,#172401,#143835,.T.); #216876=EDGE_CURVE('',#172387,#172402,#143836,.T.); #216877=EDGE_CURVE('',#172403,#172402,#95798,.T.); #216878=EDGE_CURVE('',#172388,#172403,#143837,.T.); #216879=EDGE_CURVE('',#172404,#172403,#143838,.T.); #216880=EDGE_CURVE('',#172389,#172404,#143839,.T.); #216881=EDGE_CURVE('',#172405,#172404,#95799,.T.); #216882=EDGE_CURVE('',#172390,#172405,#143840,.T.); #216883=EDGE_CURVE('',#172406,#172405,#143841,.T.); #216884=EDGE_CURVE('',#172391,#172406,#143842,.T.); #216885=EDGE_CURVE('',#172407,#172406,#95800,.T.); #216886=EDGE_CURVE('',#172376,#172407,#143843,.T.); #216887=EDGE_CURVE('',#172392,#172407,#143844,.T.); #216888=EDGE_CURVE('',#172408,#172408,#143845,.T.); #216889=EDGE_CURVE('',#172408,#172409,#95801,.T.); #216890=EDGE_CURVE('',#172409,#172409,#143846,.T.); #216891=EDGE_CURVE('',#172410,#172410,#143847,.T.); #216892=EDGE_CURVE('',#172410,#172411,#95802,.T.); #216893=EDGE_CURVE('',#172411,#172411,#143848,.T.); #216894=EDGE_CURVE('',#172412,#172413,#95803,.T.); #216895=EDGE_CURVE('',#172413,#172414,#95804,.T.); #216896=EDGE_CURVE('',#172414,#172415,#95805,.T.); #216897=EDGE_CURVE('',#172415,#172412,#95806,.T.); #216898=EDGE_CURVE('',#172416,#172413,#143849,.T.); #216899=EDGE_CURVE('',#172417,#172416,#95807,.T.); #216900=EDGE_CURVE('',#172414,#172417,#143850,.T.); #216901=EDGE_CURVE('',#172418,#172417,#95808,.T.); #216902=EDGE_CURVE('',#172415,#172418,#143851,.T.); #216903=EDGE_CURVE('',#172419,#172418,#95809,.T.); #216904=EDGE_CURVE('',#172412,#172419,#143852,.T.); #216905=EDGE_CURVE('',#172416,#172419,#95810,.T.); #216906=EDGE_CURVE('',#172420,#172421,#95811,.T.); #216907=EDGE_CURVE('',#172421,#172422,#95812,.T.); #216908=EDGE_CURVE('',#172422,#172423,#95813,.T.); #216909=EDGE_CURVE('',#172423,#172420,#95814,.T.); #216910=EDGE_CURVE('',#172424,#172421,#143853,.T.); #216911=EDGE_CURVE('',#172425,#172424,#95815,.T.); #216912=EDGE_CURVE('',#172422,#172425,#143854,.T.); #216913=EDGE_CURVE('',#172426,#172425,#95816,.T.); #216914=EDGE_CURVE('',#172423,#172426,#143855,.T.); #216915=EDGE_CURVE('',#172427,#172426,#95817,.T.); #216916=EDGE_CURVE('',#172420,#172427,#143856,.T.); #216917=EDGE_CURVE('',#172424,#172427,#95818,.T.); #216918=EDGE_CURVE('',#172428,#172429,#95819,.T.); #216919=EDGE_CURVE('',#172429,#172430,#95820,.T.); #216920=EDGE_CURVE('',#172430,#172431,#95821,.T.); #216921=EDGE_CURVE('',#172431,#172428,#95822,.T.); #216922=EDGE_CURVE('',#172432,#172429,#143857,.T.); #216923=EDGE_CURVE('',#172433,#172432,#95823,.T.); #216924=EDGE_CURVE('',#172430,#172433,#143858,.T.); #216925=EDGE_CURVE('',#172434,#172433,#95824,.T.); #216926=EDGE_CURVE('',#172431,#172434,#143859,.T.); #216927=EDGE_CURVE('',#172435,#172434,#95825,.T.); #216928=EDGE_CURVE('',#172428,#172435,#143860,.T.); #216929=EDGE_CURVE('',#172432,#172435,#95826,.T.); #216930=EDGE_CURVE('',#172436,#172437,#95827,.T.); #216931=EDGE_CURVE('',#172437,#172438,#95828,.T.); #216932=EDGE_CURVE('',#172438,#172439,#95829,.T.); #216933=EDGE_CURVE('',#172439,#172436,#95830,.T.); #216934=EDGE_CURVE('',#172440,#172437,#143861,.T.); #216935=EDGE_CURVE('',#172441,#172440,#95831,.T.); #216936=EDGE_CURVE('',#172438,#172441,#143862,.T.); #216937=EDGE_CURVE('',#172442,#172441,#95832,.T.); #216938=EDGE_CURVE('',#172439,#172442,#143863,.T.); #216939=EDGE_CURVE('',#172443,#172442,#95833,.T.); #216940=EDGE_CURVE('',#172436,#172443,#143864,.T.); #216941=EDGE_CURVE('',#172440,#172443,#95834,.T.); #216942=EDGE_CURVE('',#172444,#172445,#95835,.T.); #216943=EDGE_CURVE('',#172445,#172446,#95836,.T.); #216944=EDGE_CURVE('',#172446,#172447,#95837,.T.); #216945=EDGE_CURVE('',#172447,#172444,#95838,.T.); #216946=EDGE_CURVE('',#172448,#172445,#143865,.T.); #216947=EDGE_CURVE('',#172449,#172448,#95839,.T.); #216948=EDGE_CURVE('',#172446,#172449,#143866,.T.); #216949=EDGE_CURVE('',#172450,#172449,#95840,.T.); #216950=EDGE_CURVE('',#172447,#172450,#143867,.T.); #216951=EDGE_CURVE('',#172451,#172450,#95841,.T.); #216952=EDGE_CURVE('',#172444,#172451,#143868,.T.); #216953=EDGE_CURVE('',#172448,#172451,#95842,.T.); #216954=EDGE_CURVE('',#172452,#172453,#95843,.T.); #216955=EDGE_CURVE('',#172453,#172454,#95844,.T.); #216956=EDGE_CURVE('',#172454,#172455,#95845,.T.); #216957=EDGE_CURVE('',#172455,#172452,#95846,.T.); #216958=EDGE_CURVE('',#172456,#172453,#143869,.T.); #216959=EDGE_CURVE('',#172457,#172456,#95847,.T.); #216960=EDGE_CURVE('',#172454,#172457,#143870,.T.); #216961=EDGE_CURVE('',#172458,#172457,#95848,.T.); #216962=EDGE_CURVE('',#172455,#172458,#143871,.T.); #216963=EDGE_CURVE('',#172459,#172458,#95849,.T.); #216964=EDGE_CURVE('',#172452,#172459,#143872,.T.); #216965=EDGE_CURVE('',#172456,#172459,#95850,.T.); #216966=EDGE_CURVE('',#172460,#172461,#95851,.T.); #216967=EDGE_CURVE('',#172461,#172462,#95852,.T.); #216968=EDGE_CURVE('',#172462,#172463,#95853,.T.); #216969=EDGE_CURVE('',#172463,#172460,#95854,.T.); #216970=EDGE_CURVE('',#172464,#172461,#143873,.T.); #216971=EDGE_CURVE('',#172465,#172464,#95855,.T.); #216972=EDGE_CURVE('',#172462,#172465,#143874,.T.); #216973=EDGE_CURVE('',#172466,#172465,#95856,.T.); #216974=EDGE_CURVE('',#172463,#172466,#143875,.T.); #216975=EDGE_CURVE('',#172467,#172466,#95857,.T.); #216976=EDGE_CURVE('',#172460,#172467,#143876,.T.); #216977=EDGE_CURVE('',#172464,#172467,#95858,.T.); #216978=EDGE_CURVE('',#172468,#172469,#95859,.T.); #216979=EDGE_CURVE('',#172469,#172470,#95860,.T.); #216980=EDGE_CURVE('',#172470,#172471,#95861,.T.); #216981=EDGE_CURVE('',#172471,#172468,#95862,.T.); #216982=EDGE_CURVE('',#172472,#172469,#143877,.T.); #216983=EDGE_CURVE('',#172473,#172472,#95863,.T.); #216984=EDGE_CURVE('',#172470,#172473,#143878,.T.); #216985=EDGE_CURVE('',#172474,#172473,#95864,.T.); #216986=EDGE_CURVE('',#172471,#172474,#143879,.T.); #216987=EDGE_CURVE('',#172475,#172474,#95865,.T.); #216988=EDGE_CURVE('',#172468,#172475,#143880,.T.); #216989=EDGE_CURVE('',#172472,#172475,#95866,.T.); #216990=EDGE_CURVE('',#172476,#172477,#95867,.T.); #216991=EDGE_CURVE('',#172477,#172478,#95868,.T.); #216992=EDGE_CURVE('',#172478,#172479,#95869,.T.); #216993=EDGE_CURVE('',#172479,#172476,#95870,.T.); #216994=EDGE_CURVE('',#172480,#172477,#143881,.T.); #216995=EDGE_CURVE('',#172481,#172480,#95871,.T.); #216996=EDGE_CURVE('',#172478,#172481,#143882,.T.); #216997=EDGE_CURVE('',#172482,#172481,#95872,.T.); #216998=EDGE_CURVE('',#172479,#172482,#143883,.T.); #216999=EDGE_CURVE('',#172483,#172482,#95873,.T.); #217000=EDGE_CURVE('',#172476,#172483,#143884,.T.); #217001=EDGE_CURVE('',#172480,#172483,#95874,.T.); #217002=EDGE_CURVE('',#172484,#172484,#143885,.T.); #217003=EDGE_CURVE('',#172484,#172485,#95875,.T.); #217004=EDGE_CURVE('',#172485,#172485,#143886,.T.); #217005=EDGE_CURVE('',#172486,#172487,#95876,.T.); #217006=EDGE_CURVE('',#172487,#172488,#95877,.T.); #217007=EDGE_CURVE('',#172488,#172489,#95878,.T.); #217008=EDGE_CURVE('',#172489,#172486,#95879,.T.); #217009=EDGE_CURVE('',#172490,#172488,#95880,.T.); #217010=EDGE_CURVE('',#172487,#172491,#95881,.T.); #217011=EDGE_CURVE('',#172490,#172491,#95882,.T.); #217012=EDGE_CURVE('',#172492,#172486,#95883,.T.); #217013=EDGE_CURVE('',#172489,#172493,#95884,.T.); #217014=EDGE_CURVE('',#172493,#172492,#95885,.T.); #217015=EDGE_CURVE('',#172491,#172492,#95886,.T.); #217016=EDGE_CURVE('',#172493,#172490,#95887,.T.); #217017=EDGE_CURVE('',#172489,#172494,#95888,.T.); #217018=EDGE_CURVE('',#172495,#172494,#95889,.T.); #217019=EDGE_CURVE('',#172493,#172495,#95890,.T.); #217020=EDGE_CURVE('',#172488,#172496,#95891,.T.); #217021=EDGE_CURVE('',#172494,#172496,#95892,.T.); #217022=EDGE_CURVE('',#172497,#172495,#95893,.T.); #217023=EDGE_CURVE('',#172490,#172497,#95894,.T.); #217024=EDGE_CURVE('',#172496,#172497,#95895,.T.); #217025=EDGE_CURVE('',#172498,#172499,#95896,.T.); #217026=EDGE_CURVE('',#172499,#172500,#95897,.T.); #217027=EDGE_CURVE('',#172501,#172500,#95898,.T.); #217028=EDGE_CURVE('',#172498,#172501,#95899,.T.); #217029=EDGE_CURVE('',#172502,#172498,#95900,.T.); #217030=EDGE_CURVE('',#172503,#172501,#95901,.T.); #217031=EDGE_CURVE('',#172502,#172503,#95902,.T.); #217032=EDGE_CURVE('',#172504,#172502,#95903,.T.); #217033=EDGE_CURVE('',#172505,#172503,#95904,.T.); #217034=EDGE_CURVE('',#172504,#172505,#95905,.T.); #217035=EDGE_CURVE('',#172499,#172504,#95906,.T.); #217036=EDGE_CURVE('',#172500,#172505,#95907,.T.); #217037=EDGE_CURVE('',#172506,#172507,#95908,.T.); #217038=EDGE_CURVE('',#172506,#172508,#95909,.T.); #217039=EDGE_CURVE('',#172509,#172508,#95910,.T.); #217040=EDGE_CURVE('',#172507,#172509,#95911,.T.); #217041=EDGE_CURVE('',#172510,#172507,#95912,.T.); #217042=EDGE_CURVE('',#172511,#172509,#95913,.T.); #217043=EDGE_CURVE('',#172510,#172511,#95914,.T.); #217044=EDGE_CURVE('',#172512,#172510,#143887,.T.); #217045=EDGE_CURVE('',#172513,#172511,#143888,.T.); #217046=EDGE_CURVE('',#172512,#172513,#95915,.T.); #217047=EDGE_CURVE('',#172514,#172512,#95916,.T.); #217048=EDGE_CURVE('',#172515,#172513,#95917,.T.); #217049=EDGE_CURVE('',#172514,#172515,#95918,.T.); #217050=EDGE_CURVE('',#172516,#172514,#143889,.T.); #217051=EDGE_CURVE('',#172517,#172515,#143890,.T.); #217052=EDGE_CURVE('',#172516,#172517,#95919,.T.); #217053=EDGE_CURVE('',#172506,#172516,#95920,.T.); #217054=EDGE_CURVE('',#172508,#172517,#95921,.T.); #217055=EDGE_CURVE('',#172518,#172519,#95922,.T.); #217056=EDGE_CURVE('',#172519,#172520,#95923,.T.); #217057=EDGE_CURVE('',#172520,#172521,#95924,.T.); #217058=EDGE_CURVE('',#172518,#172521,#95925,.T.); #217059=EDGE_CURVE('',#172522,#172519,#95926,.T.); #217060=EDGE_CURVE('',#172522,#172523,#95927,.T.); #217061=EDGE_CURVE('',#172523,#172520,#95928,.T.); #217062=EDGE_CURVE('',#172524,#172522,#143891,.T.); #217063=EDGE_CURVE('',#172524,#172525,#95929,.T.); #217064=EDGE_CURVE('',#172525,#172523,#143892,.T.); #217065=EDGE_CURVE('',#172526,#172524,#95930,.T.); #217066=EDGE_CURVE('',#172526,#172527,#95931,.T.); #217067=EDGE_CURVE('',#172527,#172525,#95932,.T.); #217068=EDGE_CURVE('',#172528,#172526,#143893,.T.); #217069=EDGE_CURVE('',#172528,#172529,#95933,.T.); #217070=EDGE_CURVE('',#172529,#172527,#143894,.T.); #217071=EDGE_CURVE('',#172518,#172528,#95934,.T.); #217072=EDGE_CURVE('',#172521,#172529,#95935,.T.); #217073=EDGE_CURVE('',#172530,#172531,#95936,.T.); #217074=EDGE_CURVE('',#172530,#172532,#95937,.T.); #217075=EDGE_CURVE('',#172533,#172532,#95938,.T.); #217076=EDGE_CURVE('',#172531,#172533,#95939,.T.); #217077=EDGE_CURVE('',#172534,#172531,#95940,.T.); #217078=EDGE_CURVE('',#172535,#172533,#95941,.T.); #217079=EDGE_CURVE('',#172534,#172535,#95942,.T.); #217080=EDGE_CURVE('',#172536,#172534,#143895,.T.); #217081=EDGE_CURVE('',#172537,#172535,#143896,.T.); #217082=EDGE_CURVE('',#172536,#172537,#95943,.T.); #217083=EDGE_CURVE('',#172538,#172536,#95944,.T.); #217084=EDGE_CURVE('',#172539,#172537,#95945,.T.); #217085=EDGE_CURVE('',#172538,#172539,#95946,.T.); #217086=EDGE_CURVE('',#172540,#172538,#143897,.T.); #217087=EDGE_CURVE('',#172541,#172539,#143898,.T.); #217088=EDGE_CURVE('',#172540,#172541,#95947,.T.); #217089=EDGE_CURVE('',#172530,#172540,#95948,.T.); #217090=EDGE_CURVE('',#172532,#172541,#95949,.T.); #217091=EDGE_CURVE('',#172542,#172543,#95950,.T.); #217092=EDGE_CURVE('',#172542,#172544,#95951,.T.); #217093=EDGE_CURVE('',#172545,#172544,#95952,.T.); #217094=EDGE_CURVE('',#172543,#172545,#95953,.T.); #217095=EDGE_CURVE('',#172546,#172543,#95954,.T.); #217096=EDGE_CURVE('',#172547,#172545,#95955,.T.); #217097=EDGE_CURVE('',#172546,#172547,#95956,.T.); #217098=EDGE_CURVE('',#172548,#172546,#143899,.T.); #217099=EDGE_CURVE('',#172549,#172547,#143900,.T.); #217100=EDGE_CURVE('',#172548,#172549,#95957,.T.); #217101=EDGE_CURVE('',#172550,#172548,#95958,.T.); #217102=EDGE_CURVE('',#172551,#172549,#95959,.T.); #217103=EDGE_CURVE('',#172550,#172551,#95960,.T.); #217104=EDGE_CURVE('',#172552,#172550,#143901,.T.); #217105=EDGE_CURVE('',#172553,#172551,#143902,.T.); #217106=EDGE_CURVE('',#172552,#172553,#95961,.T.); #217107=EDGE_CURVE('',#172542,#172552,#95962,.T.); #217108=EDGE_CURVE('',#172544,#172553,#95963,.T.); #217109=EDGE_CURVE('',#172554,#172555,#95964,.T.); #217110=EDGE_CURVE('',#172554,#172556,#95965,.T.); #217111=EDGE_CURVE('',#172557,#172556,#95966,.T.); #217112=EDGE_CURVE('',#172555,#172557,#95967,.T.); #217113=EDGE_CURVE('',#172558,#172555,#95968,.T.); #217114=EDGE_CURVE('',#172559,#172557,#95969,.T.); #217115=EDGE_CURVE('',#172558,#172559,#95970,.T.); #217116=EDGE_CURVE('',#172560,#172558,#143903,.T.); #217117=EDGE_CURVE('',#172561,#172559,#143904,.T.); #217118=EDGE_CURVE('',#172560,#172561,#95971,.T.); #217119=EDGE_CURVE('',#172562,#172560,#95972,.T.); #217120=EDGE_CURVE('',#172563,#172561,#95973,.T.); #217121=EDGE_CURVE('',#172562,#172563,#95974,.T.); #217122=EDGE_CURVE('',#172564,#172562,#143905,.T.); #217123=EDGE_CURVE('',#172565,#172563,#143906,.T.); #217124=EDGE_CURVE('',#172564,#172565,#95975,.T.); #217125=EDGE_CURVE('',#172554,#172564,#95976,.T.); #217126=EDGE_CURVE('',#172556,#172565,#95977,.T.); #217127=EDGE_CURVE('',#172566,#172567,#95978,.T.); #217128=EDGE_CURVE('',#172567,#172568,#95979,.T.); #217129=EDGE_CURVE('',#172568,#172569,#95980,.T.); #217130=EDGE_CURVE('',#172566,#172569,#95981,.T.); #217131=EDGE_CURVE('',#172570,#172567,#95982,.T.); #217132=EDGE_CURVE('',#172570,#172571,#95983,.T.); #217133=EDGE_CURVE('',#172571,#172568,#95984,.T.); #217134=EDGE_CURVE('',#172572,#172570,#143907,.T.); #217135=EDGE_CURVE('',#172572,#172573,#95985,.T.); #217136=EDGE_CURVE('',#172573,#172571,#143908,.T.); #217137=EDGE_CURVE('',#172574,#172572,#95986,.T.); #217138=EDGE_CURVE('',#172574,#172575,#95987,.T.); #217139=EDGE_CURVE('',#172575,#172573,#95988,.T.); #217140=EDGE_CURVE('',#172576,#172574,#143909,.T.); #217141=EDGE_CURVE('',#172576,#172577,#95989,.T.); #217142=EDGE_CURVE('',#172577,#172575,#143910,.T.); #217143=EDGE_CURVE('',#172566,#172576,#95990,.T.); #217144=EDGE_CURVE('',#172569,#172577,#95991,.T.); #217145=EDGE_CURVE('',#172578,#172579,#95992,.T.); #217146=EDGE_CURVE('',#172579,#172580,#95993,.T.); #217147=EDGE_CURVE('',#172580,#172581,#95994,.T.); #217148=EDGE_CURVE('',#172578,#172581,#95995,.T.); #217149=EDGE_CURVE('',#172582,#172579,#95996,.T.); #217150=EDGE_CURVE('',#172582,#172583,#95997,.T.); #217151=EDGE_CURVE('',#172583,#172580,#95998,.T.); #217152=EDGE_CURVE('',#172584,#172582,#143911,.T.); #217153=EDGE_CURVE('',#172584,#172585,#95999,.T.); #217154=EDGE_CURVE('',#172585,#172583,#143912,.T.); #217155=EDGE_CURVE('',#172586,#172584,#96000,.T.); #217156=EDGE_CURVE('',#172586,#172587,#96001,.T.); #217157=EDGE_CURVE('',#172587,#172585,#96002,.T.); #217158=EDGE_CURVE('',#172588,#172586,#143913,.T.); #217159=EDGE_CURVE('',#172588,#172589,#96003,.T.); #217160=EDGE_CURVE('',#172589,#172587,#143914,.T.); #217161=EDGE_CURVE('',#172578,#172588,#96004,.T.); #217162=EDGE_CURVE('',#172581,#172589,#96005,.T.); #217163=EDGE_CURVE('',#172590,#172591,#96006,.T.); #217164=EDGE_CURVE('',#172591,#172592,#96007,.T.); #217165=EDGE_CURVE('',#172592,#172593,#96008,.T.); #217166=EDGE_CURVE('',#172590,#172593,#96009,.T.); #217167=EDGE_CURVE('',#172594,#172591,#96010,.T.); #217168=EDGE_CURVE('',#172594,#172595,#96011,.T.); #217169=EDGE_CURVE('',#172595,#172592,#96012,.T.); #217170=EDGE_CURVE('',#172596,#172594,#143915,.T.); #217171=EDGE_CURVE('',#172596,#172597,#96013,.T.); #217172=EDGE_CURVE('',#172597,#172595,#143916,.T.); #217173=EDGE_CURVE('',#172598,#172596,#96014,.T.); #217174=EDGE_CURVE('',#172598,#172599,#96015,.T.); #217175=EDGE_CURVE('',#172599,#172597,#96016,.T.); #217176=EDGE_CURVE('',#172600,#172598,#143917,.T.); #217177=EDGE_CURVE('',#172600,#172601,#96017,.T.); #217178=EDGE_CURVE('',#172601,#172599,#143918,.T.); #217179=EDGE_CURVE('',#172590,#172600,#96018,.T.); #217180=EDGE_CURVE('',#172593,#172601,#96019,.T.); #217181=EDGE_CURVE('',#172602,#172603,#96020,.T.); #217182=EDGE_CURVE('',#172602,#172604,#96021,.T.); #217183=EDGE_CURVE('',#172604,#172605,#96022,.T.); #217184=EDGE_CURVE('',#172603,#172605,#96023,.T.); #217185=EDGE_CURVE('',#172606,#172602,#96024,.T.); #217186=EDGE_CURVE('',#172606,#172607,#96025,.T.); #217187=EDGE_CURVE('',#172607,#172604,#96026,.T.); #217188=EDGE_CURVE('',#172608,#172606,#96027,.T.); #217189=EDGE_CURVE('',#172608,#172609,#96028,.T.); #217190=EDGE_CURVE('',#172609,#172607,#96029,.T.); #217191=EDGE_CURVE('',#172603,#172608,#96030,.T.); #217192=EDGE_CURVE('',#172605,#172609,#96031,.T.); #217193=EDGE_CURVE('',#172610,#172611,#96032,.T.); #217194=EDGE_CURVE('',#172611,#172612,#96033,.T.); #217195=EDGE_CURVE('',#172613,#172612,#96034,.T.); #217196=EDGE_CURVE('',#172610,#172613,#96035,.T.); #217197=EDGE_CURVE('',#172614,#172610,#96036,.T.); #217198=EDGE_CURVE('',#172615,#172613,#96037,.T.); #217199=EDGE_CURVE('',#172614,#172615,#96038,.T.); #217200=EDGE_CURVE('',#172616,#172614,#96039,.T.); #217201=EDGE_CURVE('',#172617,#172615,#96040,.T.); #217202=EDGE_CURVE('',#172616,#172617,#96041,.T.); #217203=EDGE_CURVE('',#172611,#172616,#96042,.T.); #217204=EDGE_CURVE('',#172612,#172617,#96043,.T.); #217205=EDGE_CURVE('',#172618,#172619,#96044,.T.); #217206=EDGE_CURVE('',#172619,#172620,#96045,.T.); #217207=EDGE_CURVE('',#172621,#172620,#96046,.T.); #217208=EDGE_CURVE('',#172618,#172621,#96047,.T.); #217209=EDGE_CURVE('',#172622,#172618,#96048,.T.); #217210=EDGE_CURVE('',#172623,#172621,#96049,.T.); #217211=EDGE_CURVE('',#172622,#172623,#96050,.T.); #217212=EDGE_CURVE('',#172624,#172622,#96051,.T.); #217213=EDGE_CURVE('',#172625,#172623,#96052,.T.); #217214=EDGE_CURVE('',#172624,#172625,#96053,.T.); #217215=EDGE_CURVE('',#172619,#172624,#96054,.T.); #217216=EDGE_CURVE('',#172620,#172625,#96055,.T.); #217217=EDGE_CURVE('',#172626,#172627,#96056,.T.); #217218=EDGE_CURVE('',#172627,#172628,#96057,.T.); #217219=EDGE_CURVE('',#172629,#172628,#96058,.T.); #217220=EDGE_CURVE('',#172626,#172629,#96059,.T.); #217221=EDGE_CURVE('',#172630,#172626,#96060,.T.); #217222=EDGE_CURVE('',#172631,#172629,#96061,.T.); #217223=EDGE_CURVE('',#172630,#172631,#96062,.T.); #217224=EDGE_CURVE('',#172632,#172630,#96063,.T.); #217225=EDGE_CURVE('',#172633,#172631,#96064,.T.); #217226=EDGE_CURVE('',#172632,#172633,#96065,.T.); #217227=EDGE_CURVE('',#172627,#172632,#96066,.T.); #217228=EDGE_CURVE('',#172628,#172633,#96067,.T.); #217229=EDGE_CURVE('',#172634,#172635,#96068,.T.); #217230=EDGE_CURVE('',#172634,#172636,#96069,.T.); #217231=EDGE_CURVE('',#172636,#172637,#96070,.T.); #217232=EDGE_CURVE('',#172635,#172637,#96071,.T.); #217233=EDGE_CURVE('',#172638,#172634,#96072,.T.); #217234=EDGE_CURVE('',#172638,#172639,#96073,.T.); #217235=EDGE_CURVE('',#172639,#172636,#96074,.T.); #217236=EDGE_CURVE('',#172640,#172638,#96075,.T.); #217237=EDGE_CURVE('',#172640,#172641,#96076,.T.); #217238=EDGE_CURVE('',#172641,#172639,#96077,.T.); #217239=EDGE_CURVE('',#172635,#172640,#96078,.T.); #217240=EDGE_CURVE('',#172637,#172641,#96079,.T.); #217241=EDGE_CURVE('',#172642,#172643,#96080,.T.); #217242=EDGE_CURVE('',#172642,#172644,#96081,.T.); #217243=EDGE_CURVE('',#172644,#172645,#96082,.T.); #217244=EDGE_CURVE('',#172643,#172645,#96083,.T.); #217245=EDGE_CURVE('',#172646,#172642,#96084,.T.); #217246=EDGE_CURVE('',#172646,#172647,#96085,.T.); #217247=EDGE_CURVE('',#172647,#172644,#96086,.T.); #217248=EDGE_CURVE('',#172648,#172646,#96087,.T.); #217249=EDGE_CURVE('',#172648,#172649,#96088,.T.); #217250=EDGE_CURVE('',#172649,#172647,#96089,.T.); #217251=EDGE_CURVE('',#172643,#172648,#96090,.T.); #217252=EDGE_CURVE('',#172645,#172649,#96091,.T.); #217253=EDGE_CURVE('',#172650,#172651,#96092,.T.); #217254=EDGE_CURVE('',#172650,#172652,#96093,.T.); #217255=EDGE_CURVE('',#172652,#172653,#96094,.T.); #217256=EDGE_CURVE('',#172651,#172653,#96095,.T.); #217257=EDGE_CURVE('',#172654,#172650,#96096,.T.); #217258=EDGE_CURVE('',#172654,#172655,#96097,.T.); #217259=EDGE_CURVE('',#172655,#172652,#96098,.T.); #217260=EDGE_CURVE('',#172656,#172654,#96099,.T.); #217261=EDGE_CURVE('',#172656,#172657,#96100,.T.); #217262=EDGE_CURVE('',#172657,#172655,#96101,.T.); #217263=EDGE_CURVE('',#172651,#172656,#96102,.T.); #217264=EDGE_CURVE('',#172653,#172657,#96103,.T.); #217265=EDGE_CURVE('',#172658,#172659,#96104,.T.); #217266=EDGE_CURVE('',#172659,#172660,#96105,.T.); #217267=EDGE_CURVE('',#172661,#172660,#96106,.T.); #217268=EDGE_CURVE('',#172658,#172661,#96107,.T.); #217269=EDGE_CURVE('',#172662,#172658,#96108,.T.); #217270=EDGE_CURVE('',#172663,#172661,#96109,.T.); #217271=EDGE_CURVE('',#172662,#172663,#96110,.T.); #217272=EDGE_CURVE('',#172664,#172662,#96111,.T.); #217273=EDGE_CURVE('',#172665,#172663,#96112,.T.); #217274=EDGE_CURVE('',#172664,#172665,#96113,.T.); #217275=EDGE_CURVE('',#172659,#172664,#96114,.T.); #217276=EDGE_CURVE('',#172660,#172665,#96115,.T.); #217277=EDGE_CURVE('',#172666,#172666,#143919,.T.); #217278=EDGE_CURVE('',#172666,#172667,#96116,.T.); #217279=EDGE_CURVE('',#172667,#172667,#143920,.T.); #217280=EDGE_CURVE('',#172668,#172669,#96117,.T.); #217281=EDGE_CURVE('',#172669,#172670,#96118,.T.); #217282=EDGE_CURVE('',#172670,#172671,#96119,.T.); #217283=EDGE_CURVE('',#172671,#172668,#96120,.T.); #217284=EDGE_CURVE('',#172672,#172670,#96121,.T.); #217285=EDGE_CURVE('',#172669,#172673,#96122,.T.); #217286=EDGE_CURVE('',#172672,#172673,#96123,.T.); #217287=EDGE_CURVE('',#172674,#172668,#96124,.T.); #217288=EDGE_CURVE('',#172671,#172675,#96125,.T.); #217289=EDGE_CURVE('',#172675,#172674,#96126,.T.); #217290=EDGE_CURVE('',#172673,#172674,#96127,.T.); #217291=EDGE_CURVE('',#172675,#172672,#96128,.T.); #217292=EDGE_CURVE('',#172671,#172676,#96129,.T.); #217293=EDGE_CURVE('',#172677,#172676,#96130,.T.); #217294=EDGE_CURVE('',#172675,#172677,#96131,.T.); #217295=EDGE_CURVE('',#172670,#172678,#96132,.T.); #217296=EDGE_CURVE('',#172676,#172678,#96133,.T.); #217297=EDGE_CURVE('',#172679,#172677,#96134,.T.); #217298=EDGE_CURVE('',#172672,#172679,#96135,.T.); #217299=EDGE_CURVE('',#172678,#172679,#96136,.T.); #217300=EDGE_CURVE('',#172680,#172681,#96137,.T.); #217301=EDGE_CURVE('',#172681,#172682,#96138,.T.); #217302=EDGE_CURVE('',#172683,#172682,#96139,.T.); #217303=EDGE_CURVE('',#172680,#172683,#96140,.T.); #217304=EDGE_CURVE('',#172684,#172680,#96141,.T.); #217305=EDGE_CURVE('',#172685,#172683,#96142,.T.); #217306=EDGE_CURVE('',#172684,#172685,#96143,.T.); #217307=EDGE_CURVE('',#172686,#172684,#96144,.T.); #217308=EDGE_CURVE('',#172687,#172685,#96145,.T.); #217309=EDGE_CURVE('',#172686,#172687,#96146,.T.); #217310=EDGE_CURVE('',#172681,#172686,#96147,.T.); #217311=EDGE_CURVE('',#172682,#172687,#96148,.T.); #217312=EDGE_CURVE('',#172688,#172689,#96149,.T.); #217313=EDGE_CURVE('',#172688,#172690,#96150,.T.); #217314=EDGE_CURVE('',#172691,#172690,#96151,.T.); #217315=EDGE_CURVE('',#172689,#172691,#96152,.T.); #217316=EDGE_CURVE('',#172692,#172689,#96153,.T.); #217317=EDGE_CURVE('',#172693,#172691,#96154,.T.); #217318=EDGE_CURVE('',#172692,#172693,#96155,.T.); #217319=EDGE_CURVE('',#172694,#172692,#143921,.T.); #217320=EDGE_CURVE('',#172695,#172693,#143922,.T.); #217321=EDGE_CURVE('',#172694,#172695,#96156,.T.); #217322=EDGE_CURVE('',#172696,#172694,#96157,.T.); #217323=EDGE_CURVE('',#172697,#172695,#96158,.T.); #217324=EDGE_CURVE('',#172696,#172697,#96159,.T.); #217325=EDGE_CURVE('',#172698,#172696,#143923,.T.); #217326=EDGE_CURVE('',#172699,#172697,#143924,.T.); #217327=EDGE_CURVE('',#172698,#172699,#96160,.T.); #217328=EDGE_CURVE('',#172688,#172698,#96161,.T.); #217329=EDGE_CURVE('',#172690,#172699,#96162,.T.); #217330=EDGE_CURVE('',#172700,#172701,#96163,.T.); #217331=EDGE_CURVE('',#172701,#172702,#96164,.T.); #217332=EDGE_CURVE('',#172702,#172703,#96165,.T.); #217333=EDGE_CURVE('',#172700,#172703,#96166,.T.); #217334=EDGE_CURVE('',#172704,#172701,#96167,.T.); #217335=EDGE_CURVE('',#172704,#172705,#96168,.T.); #217336=EDGE_CURVE('',#172705,#172702,#96169,.T.); #217337=EDGE_CURVE('',#172706,#172704,#143925,.T.); #217338=EDGE_CURVE('',#172706,#172707,#96170,.T.); #217339=EDGE_CURVE('',#172707,#172705,#143926,.T.); #217340=EDGE_CURVE('',#172708,#172706,#96171,.T.); #217341=EDGE_CURVE('',#172708,#172709,#96172,.T.); #217342=EDGE_CURVE('',#172709,#172707,#96173,.T.); #217343=EDGE_CURVE('',#172710,#172708,#143927,.T.); #217344=EDGE_CURVE('',#172710,#172711,#96174,.T.); #217345=EDGE_CURVE('',#172711,#172709,#143928,.T.); #217346=EDGE_CURVE('',#172700,#172710,#96175,.T.); #217347=EDGE_CURVE('',#172703,#172711,#96176,.T.); #217348=EDGE_CURVE('',#172712,#172713,#96177,.T.); #217349=EDGE_CURVE('',#172712,#172714,#96178,.T.); #217350=EDGE_CURVE('',#172715,#172714,#96179,.T.); #217351=EDGE_CURVE('',#172713,#172715,#96180,.T.); #217352=EDGE_CURVE('',#172716,#172713,#96181,.T.); #217353=EDGE_CURVE('',#172717,#172715,#96182,.T.); #217354=EDGE_CURVE('',#172716,#172717,#96183,.T.); #217355=EDGE_CURVE('',#172718,#172716,#143929,.T.); #217356=EDGE_CURVE('',#172719,#172717,#143930,.T.); #217357=EDGE_CURVE('',#172718,#172719,#96184,.T.); #217358=EDGE_CURVE('',#172720,#172718,#96185,.T.); #217359=EDGE_CURVE('',#172721,#172719,#96186,.T.); #217360=EDGE_CURVE('',#172720,#172721,#96187,.T.); #217361=EDGE_CURVE('',#172722,#172720,#143931,.T.); #217362=EDGE_CURVE('',#172723,#172721,#143932,.T.); #217363=EDGE_CURVE('',#172722,#172723,#96188,.T.); #217364=EDGE_CURVE('',#172712,#172722,#96189,.T.); #217365=EDGE_CURVE('',#172714,#172723,#96190,.T.); #217366=EDGE_CURVE('',#172724,#172725,#96191,.T.); #217367=EDGE_CURVE('',#172724,#172726,#96192,.T.); #217368=EDGE_CURVE('',#172727,#172726,#96193,.T.); #217369=EDGE_CURVE('',#172725,#172727,#96194,.T.); #217370=EDGE_CURVE('',#172728,#172725,#96195,.T.); #217371=EDGE_CURVE('',#172729,#172727,#96196,.T.); #217372=EDGE_CURVE('',#172728,#172729,#96197,.T.); #217373=EDGE_CURVE('',#172730,#172728,#143933,.T.); #217374=EDGE_CURVE('',#172731,#172729,#143934,.T.); #217375=EDGE_CURVE('',#172730,#172731,#96198,.T.); #217376=EDGE_CURVE('',#172732,#172730,#96199,.T.); #217377=EDGE_CURVE('',#172733,#172731,#96200,.T.); #217378=EDGE_CURVE('',#172732,#172733,#96201,.T.); #217379=EDGE_CURVE('',#172734,#172732,#143935,.T.); #217380=EDGE_CURVE('',#172735,#172733,#143936,.T.); #217381=EDGE_CURVE('',#172734,#172735,#96202,.T.); #217382=EDGE_CURVE('',#172724,#172734,#96203,.T.); #217383=EDGE_CURVE('',#172726,#172735,#96204,.T.); #217384=EDGE_CURVE('',#172736,#172737,#96205,.T.); #217385=EDGE_CURVE('',#172736,#172738,#96206,.T.); #217386=EDGE_CURVE('',#172739,#172738,#96207,.T.); #217387=EDGE_CURVE('',#172737,#172739,#96208,.T.); #217388=EDGE_CURVE('',#172740,#172737,#96209,.T.); #217389=EDGE_CURVE('',#172741,#172739,#96210,.T.); #217390=EDGE_CURVE('',#172740,#172741,#96211,.T.); #217391=EDGE_CURVE('',#172742,#172740,#143937,.T.); #217392=EDGE_CURVE('',#172743,#172741,#143938,.T.); #217393=EDGE_CURVE('',#172742,#172743,#96212,.T.); #217394=EDGE_CURVE('',#172744,#172742,#96213,.T.); #217395=EDGE_CURVE('',#172745,#172743,#96214,.T.); #217396=EDGE_CURVE('',#172744,#172745,#96215,.T.); #217397=EDGE_CURVE('',#172746,#172744,#143939,.T.); #217398=EDGE_CURVE('',#172747,#172745,#143940,.T.); #217399=EDGE_CURVE('',#172746,#172747,#96216,.T.); #217400=EDGE_CURVE('',#172736,#172746,#96217,.T.); #217401=EDGE_CURVE('',#172738,#172747,#96218,.T.); #217402=EDGE_CURVE('',#172748,#172749,#96219,.T.); #217403=EDGE_CURVE('',#172749,#172750,#96220,.T.); #217404=EDGE_CURVE('',#172750,#172751,#96221,.T.); #217405=EDGE_CURVE('',#172748,#172751,#96222,.T.); #217406=EDGE_CURVE('',#172752,#172749,#96223,.T.); #217407=EDGE_CURVE('',#172752,#172753,#96224,.T.); #217408=EDGE_CURVE('',#172753,#172750,#96225,.T.); #217409=EDGE_CURVE('',#172754,#172752,#143941,.T.); #217410=EDGE_CURVE('',#172754,#172755,#96226,.T.); #217411=EDGE_CURVE('',#172755,#172753,#143942,.T.); #217412=EDGE_CURVE('',#172756,#172754,#96227,.T.); #217413=EDGE_CURVE('',#172756,#172757,#96228,.T.); #217414=EDGE_CURVE('',#172757,#172755,#96229,.T.); #217415=EDGE_CURVE('',#172758,#172756,#143943,.T.); #217416=EDGE_CURVE('',#172758,#172759,#96230,.T.); #217417=EDGE_CURVE('',#172759,#172757,#143944,.T.); #217418=EDGE_CURVE('',#172748,#172758,#96231,.T.); #217419=EDGE_CURVE('',#172751,#172759,#96232,.T.); #217420=EDGE_CURVE('',#172760,#172761,#96233,.T.); #217421=EDGE_CURVE('',#172761,#172762,#96234,.T.); #217422=EDGE_CURVE('',#172762,#172763,#96235,.T.); #217423=EDGE_CURVE('',#172760,#172763,#96236,.T.); #217424=EDGE_CURVE('',#172764,#172761,#96237,.T.); #217425=EDGE_CURVE('',#172764,#172765,#96238,.T.); #217426=EDGE_CURVE('',#172765,#172762,#96239,.T.); #217427=EDGE_CURVE('',#172766,#172764,#143945,.T.); #217428=EDGE_CURVE('',#172766,#172767,#96240,.T.); #217429=EDGE_CURVE('',#172767,#172765,#143946,.T.); #217430=EDGE_CURVE('',#172768,#172766,#96241,.T.); #217431=EDGE_CURVE('',#172768,#172769,#96242,.T.); #217432=EDGE_CURVE('',#172769,#172767,#96243,.T.); #217433=EDGE_CURVE('',#172770,#172768,#143947,.T.); #217434=EDGE_CURVE('',#172770,#172771,#96244,.T.); #217435=EDGE_CURVE('',#172771,#172769,#143948,.T.); #217436=EDGE_CURVE('',#172760,#172770,#96245,.T.); #217437=EDGE_CURVE('',#172763,#172771,#96246,.T.); #217438=EDGE_CURVE('',#172772,#172773,#96247,.T.); #217439=EDGE_CURVE('',#172773,#172774,#96248,.T.); #217440=EDGE_CURVE('',#172774,#172775,#96249,.T.); #217441=EDGE_CURVE('',#172772,#172775,#96250,.T.); #217442=EDGE_CURVE('',#172776,#172773,#96251,.T.); #217443=EDGE_CURVE('',#172776,#172777,#96252,.T.); #217444=EDGE_CURVE('',#172777,#172774,#96253,.T.); #217445=EDGE_CURVE('',#172778,#172776,#143949,.T.); #217446=EDGE_CURVE('',#172778,#172779,#96254,.T.); #217447=EDGE_CURVE('',#172779,#172777,#143950,.T.); #217448=EDGE_CURVE('',#172780,#172778,#96255,.T.); #217449=EDGE_CURVE('',#172780,#172781,#96256,.T.); #217450=EDGE_CURVE('',#172781,#172779,#96257,.T.); #217451=EDGE_CURVE('',#172782,#172780,#143951,.T.); #217452=EDGE_CURVE('',#172782,#172783,#96258,.T.); #217453=EDGE_CURVE('',#172783,#172781,#143952,.T.); #217454=EDGE_CURVE('',#172772,#172782,#96259,.T.); #217455=EDGE_CURVE('',#172775,#172783,#96260,.T.); #217456=EDGE_CURVE('',#172784,#172785,#96261,.T.); #217457=EDGE_CURVE('',#172784,#172786,#96262,.T.); #217458=EDGE_CURVE('',#172786,#172787,#96263,.T.); #217459=EDGE_CURVE('',#172785,#172787,#96264,.T.); #217460=EDGE_CURVE('',#172788,#172784,#96265,.T.); #217461=EDGE_CURVE('',#172788,#172789,#96266,.T.); #217462=EDGE_CURVE('',#172789,#172786,#96267,.T.); #217463=EDGE_CURVE('',#172790,#172788,#96268,.T.); #217464=EDGE_CURVE('',#172790,#172791,#96269,.T.); #217465=EDGE_CURVE('',#172791,#172789,#96270,.T.); #217466=EDGE_CURVE('',#172785,#172790,#96271,.T.); #217467=EDGE_CURVE('',#172787,#172791,#96272,.T.); #217468=EDGE_CURVE('',#172792,#172793,#96273,.T.); #217469=EDGE_CURVE('',#172793,#172794,#96274,.T.); #217470=EDGE_CURVE('',#172795,#172794,#96275,.T.); #217471=EDGE_CURVE('',#172792,#172795,#96276,.T.); #217472=EDGE_CURVE('',#172796,#172792,#96277,.T.); #217473=EDGE_CURVE('',#172797,#172795,#96278,.T.); #217474=EDGE_CURVE('',#172796,#172797,#96279,.T.); #217475=EDGE_CURVE('',#172798,#172796,#96280,.T.); #217476=EDGE_CURVE('',#172799,#172797,#96281,.T.); #217477=EDGE_CURVE('',#172798,#172799,#96282,.T.); #217478=EDGE_CURVE('',#172793,#172798,#96283,.T.); #217479=EDGE_CURVE('',#172794,#172799,#96284,.T.); #217480=EDGE_CURVE('',#172800,#172801,#96285,.T.); #217481=EDGE_CURVE('',#172801,#172802,#96286,.T.); #217482=EDGE_CURVE('',#172803,#172802,#96287,.T.); #217483=EDGE_CURVE('',#172800,#172803,#96288,.T.); #217484=EDGE_CURVE('',#172804,#172800,#96289,.T.); #217485=EDGE_CURVE('',#172805,#172803,#96290,.T.); #217486=EDGE_CURVE('',#172804,#172805,#96291,.T.); #217487=EDGE_CURVE('',#172806,#172804,#96292,.T.); #217488=EDGE_CURVE('',#172807,#172805,#96293,.T.); #217489=EDGE_CURVE('',#172806,#172807,#96294,.T.); #217490=EDGE_CURVE('',#172801,#172806,#96295,.T.); #217491=EDGE_CURVE('',#172802,#172807,#96296,.T.); #217492=EDGE_CURVE('',#172808,#172809,#96297,.T.); #217493=EDGE_CURVE('',#172809,#172810,#96298,.T.); #217494=EDGE_CURVE('',#172811,#172810,#96299,.T.); #217495=EDGE_CURVE('',#172808,#172811,#96300,.T.); #217496=EDGE_CURVE('',#172812,#172808,#96301,.T.); #217497=EDGE_CURVE('',#172813,#172811,#96302,.T.); #217498=EDGE_CURVE('',#172812,#172813,#96303,.T.); #217499=EDGE_CURVE('',#172814,#172812,#96304,.T.); #217500=EDGE_CURVE('',#172815,#172813,#96305,.T.); #217501=EDGE_CURVE('',#172814,#172815,#96306,.T.); #217502=EDGE_CURVE('',#172809,#172814,#96307,.T.); #217503=EDGE_CURVE('',#172810,#172815,#96308,.T.); #217504=EDGE_CURVE('',#172816,#172817,#96309,.T.); #217505=EDGE_CURVE('',#172816,#172818,#96310,.T.); #217506=EDGE_CURVE('',#172818,#172819,#96311,.T.); #217507=EDGE_CURVE('',#172817,#172819,#96312,.T.); #217508=EDGE_CURVE('',#172820,#172816,#96313,.T.); #217509=EDGE_CURVE('',#172820,#172821,#96314,.T.); #217510=EDGE_CURVE('',#172821,#172818,#96315,.T.); #217511=EDGE_CURVE('',#172822,#172820,#96316,.T.); #217512=EDGE_CURVE('',#172822,#172823,#96317,.T.); #217513=EDGE_CURVE('',#172823,#172821,#96318,.T.); #217514=EDGE_CURVE('',#172817,#172822,#96319,.T.); #217515=EDGE_CURVE('',#172819,#172823,#96320,.T.); #217516=EDGE_CURVE('',#172824,#172825,#96321,.T.); #217517=EDGE_CURVE('',#172824,#172826,#96322,.T.); #217518=EDGE_CURVE('',#172826,#172827,#96323,.T.); #217519=EDGE_CURVE('',#172825,#172827,#96324,.T.); #217520=EDGE_CURVE('',#172828,#172824,#96325,.T.); #217521=EDGE_CURVE('',#172828,#172829,#96326,.T.); #217522=EDGE_CURVE('',#172829,#172826,#96327,.T.); #217523=EDGE_CURVE('',#172830,#172828,#96328,.T.); #217524=EDGE_CURVE('',#172830,#172831,#96329,.T.); #217525=EDGE_CURVE('',#172831,#172829,#96330,.T.); #217526=EDGE_CURVE('',#172825,#172830,#96331,.T.); #217527=EDGE_CURVE('',#172827,#172831,#96332,.T.); #217528=EDGE_CURVE('',#172832,#172833,#96333,.T.); #217529=EDGE_CURVE('',#172832,#172834,#96334,.T.); #217530=EDGE_CURVE('',#172834,#172835,#96335,.T.); #217531=EDGE_CURVE('',#172833,#172835,#96336,.T.); #217532=EDGE_CURVE('',#172836,#172832,#96337,.T.); #217533=EDGE_CURVE('',#172836,#172837,#96338,.T.); #217534=EDGE_CURVE('',#172837,#172834,#96339,.T.); #217535=EDGE_CURVE('',#172838,#172836,#96340,.T.); #217536=EDGE_CURVE('',#172838,#172839,#96341,.T.); #217537=EDGE_CURVE('',#172839,#172837,#96342,.T.); #217538=EDGE_CURVE('',#172833,#172838,#96343,.T.); #217539=EDGE_CURVE('',#172835,#172839,#96344,.T.); #217540=EDGE_CURVE('',#172840,#172841,#96345,.T.); #217541=EDGE_CURVE('',#172841,#172842,#96346,.T.); #217542=EDGE_CURVE('',#172843,#172842,#96347,.T.); #217543=EDGE_CURVE('',#172840,#172843,#96348,.T.); #217544=EDGE_CURVE('',#172844,#172840,#96349,.T.); #217545=EDGE_CURVE('',#172845,#172843,#96350,.T.); #217546=EDGE_CURVE('',#172844,#172845,#96351,.T.); #217547=EDGE_CURVE('',#172846,#172844,#96352,.T.); #217548=EDGE_CURVE('',#172847,#172845,#96353,.T.); #217549=EDGE_CURVE('',#172846,#172847,#96354,.T.); #217550=EDGE_CURVE('',#172841,#172846,#96355,.T.); #217551=EDGE_CURVE('',#172842,#172847,#96356,.T.); #217552=EDGE_CURVE('',#172848,#172849,#96357,.T.); #217553=EDGE_CURVE('',#172849,#172850,#96358,.T.); #217554=EDGE_CURVE('',#172850,#172851,#96359,.T.); #217555=EDGE_CURVE('',#172848,#172851,#96360,.T.); #217556=EDGE_CURVE('',#172849,#172852,#96361,.T.); #217557=EDGE_CURVE('',#172852,#172853,#96362,.T.); #217558=EDGE_CURVE('',#172853,#172850,#96363,.T.); #217559=EDGE_CURVE('',#172852,#172854,#96364,.T.); #217560=EDGE_CURVE('',#172854,#172855,#96365,.T.); #217561=EDGE_CURVE('',#172855,#172853,#96366,.T.); #217562=EDGE_CURVE('',#172851,#172855,#96367,.T.); #217563=EDGE_CURVE('',#172854,#172848,#96368,.T.); #217564=EDGE_CURVE('',#172856,#172851,#96369,.T.); #217565=EDGE_CURVE('',#172856,#172857,#96370,.T.); #217566=EDGE_CURVE('',#172857,#172855,#96371,.T.); #217567=EDGE_CURVE('',#172858,#172850,#96372,.T.); #217568=EDGE_CURVE('',#172858,#172856,#96373,.T.); #217569=EDGE_CURVE('',#172859,#172853,#96374,.T.); #217570=EDGE_CURVE('',#172859,#172858,#96375,.T.); #217571=EDGE_CURVE('',#172857,#172859,#96376,.T.); #217572=EDGE_CURVE('',#172860,#172861,#96377,.T.); #217573=EDGE_CURVE('',#172860,#172862,#96378,.T.); #217574=EDGE_CURVE('',#172863,#172862,#96379,.T.); #217575=EDGE_CURVE('',#172861,#172863,#96380,.T.); #217576=EDGE_CURVE('',#172864,#172861,#96381,.T.); #217577=EDGE_CURVE('',#172865,#172863,#96382,.T.); #217578=EDGE_CURVE('',#172864,#172865,#96383,.T.); #217579=EDGE_CURVE('',#172866,#172864,#96384,.T.); #217580=EDGE_CURVE('',#172867,#172865,#96385,.T.); #217581=EDGE_CURVE('',#172866,#172867,#96386,.T.); #217582=EDGE_CURVE('',#172860,#172866,#96387,.T.); #217583=EDGE_CURVE('',#172862,#172867,#96388,.T.); #217584=EDGE_CURVE('',#172868,#172869,#96389,.T.); #217585=EDGE_CURVE('',#172869,#172870,#96390,.T.); #217586=EDGE_CURVE('',#172871,#172870,#96391,.T.); #217587=EDGE_CURVE('',#172868,#172871,#96392,.T.); #217588=EDGE_CURVE('',#172872,#172868,#96393,.T.); #217589=EDGE_CURVE('',#172873,#172871,#96394,.T.); #217590=EDGE_CURVE('',#172872,#172873,#96395,.T.); #217591=EDGE_CURVE('',#172874,#172872,#96396,.T.); #217592=EDGE_CURVE('',#172875,#172873,#96397,.T.); #217593=EDGE_CURVE('',#172874,#172875,#96398,.T.); #217594=EDGE_CURVE('',#172869,#172874,#96399,.T.); #217595=EDGE_CURVE('',#172870,#172875,#96400,.T.); #217596=EDGE_CURVE('',#172876,#172877,#96401,.T.); #217597=EDGE_CURVE('',#172876,#172878,#96402,.T.); #217598=EDGE_CURVE('',#172879,#172878,#96403,.T.); #217599=EDGE_CURVE('',#172877,#172879,#96404,.T.); #217600=EDGE_CURVE('',#172877,#172880,#96405,.T.); #217601=EDGE_CURVE('',#172881,#172879,#96406,.T.); #217602=EDGE_CURVE('',#172880,#172881,#96407,.T.); #217603=EDGE_CURVE('',#172880,#172882,#96408,.T.); #217604=EDGE_CURVE('',#172883,#172881,#96409,.T.); #217605=EDGE_CURVE('',#172882,#172883,#96410,.T.); #217606=EDGE_CURVE('',#172882,#172876,#96411,.T.); #217607=EDGE_CURVE('',#172878,#172883,#96412,.T.); #217608=EDGE_CURVE('',#172884,#172885,#96413,.T.); #217609=EDGE_CURVE('',#172884,#172886,#96414,.T.); #217610=EDGE_CURVE('',#172887,#172886,#96415,.T.); #217611=EDGE_CURVE('',#172885,#172887,#96416,.T.); #217612=EDGE_CURVE('',#172885,#172888,#96417,.T.); #217613=EDGE_CURVE('',#172889,#172887,#96418,.T.); #217614=EDGE_CURVE('',#172888,#172889,#96419,.T.); #217615=EDGE_CURVE('',#172888,#172890,#96420,.T.); #217616=EDGE_CURVE('',#172891,#172889,#96421,.T.); #217617=EDGE_CURVE('',#172890,#172891,#96422,.T.); #217618=EDGE_CURVE('',#172884,#172890,#96423,.T.); #217619=EDGE_CURVE('',#172886,#172891,#96424,.T.); #217620=EDGE_CURVE('',#172892,#172892,#143953,.T.); #217621=EDGE_CURVE('',#172892,#172893,#96425,.T.); #217622=EDGE_CURVE('',#172893,#172893,#143954,.T.); #217623=EDGE_CURVE('',#172894,#172894,#143955,.T.); #217624=EDGE_CURVE('',#172894,#172895,#96426,.T.); #217625=EDGE_CURVE('',#172895,#172895,#143956,.T.); #217626=EDGE_CURVE('',#172896,#172897,#96427,.T.); #217627=EDGE_CURVE('',#172897,#172898,#146,.T.); #217628=EDGE_CURVE('',#172899,#172898,#147,.T.); #217629=EDGE_CURVE('',#172900,#172899,#148,.T.); #217630=EDGE_CURVE('',#172900,#172896,#149,.T.); #217631=EDGE_CURVE('',#172901,#172902,#96428,.T.); #217632=EDGE_CURVE('',#172902,#172897,#150,.T.); #217633=EDGE_CURVE('',#172896,#172901,#151,.T.); #217634=EDGE_CURVE('',#172903,#172904,#96429,.T.); #217635=EDGE_CURVE('',#172903,#172905,#152,.T.); #217636=EDGE_CURVE('',#172906,#172905,#143957,.T.); #217637=EDGE_CURVE('',#172906,#172904,#153,.T.); #217638=EDGE_CURVE('',#172907,#172908,#96430,.T.); #217639=EDGE_CURVE('',#172908,#172909,#154,.F.); #217640=EDGE_CURVE('',#172909,#172910,#143958,.T.); #217641=EDGE_CURVE('',#172910,#172907,#155,.T.); #217642=EDGE_CURVE('',#172911,#172912,#96431,.T.); #217643=EDGE_CURVE('',#172912,#172908,#156,.F.); #217644=EDGE_CURVE('',#172907,#172911,#157,.T.); #217645=EDGE_CURVE('',#172913,#172914,#96432,.T.); #217646=EDGE_CURVE('',#172913,#172915,#158,.T.); #217647=EDGE_CURVE('',#172916,#172915,#159,.T.); #217648=EDGE_CURVE('',#172917,#172916,#160,.T.); #217649=EDGE_CURVE('',#172917,#172914,#161,.F.); #217650=EDGE_CURVE('',#172905,#172910,#162,.T.); #217651=EDGE_CURVE('',#172901,#172903,#163,.T.); #217652=EDGE_CURVE('',#172915,#172900,#164,.T.); #217653=EDGE_CURVE('',#172911,#172913,#165,.T.); #217654=EDGE_CURVE('',#172898,#172917,#166,.T.); #217655=EDGE_CURVE('',#172904,#172902,#167,.T.); #217656=EDGE_CURVE('',#172909,#172906,#168,.T.); #217657=EDGE_CURVE('',#172914,#172912,#169,.F.); #217658=EDGE_CURVE('',#172916,#172918,#18,.T.); #217659=EDGE_CURVE('',#172919,#172918,#170,.T.); #217660=EDGE_CURVE('',#172920,#172919,#171,.T.); #217661=EDGE_CURVE('',#172921,#172920,#172,.T.); #217662=EDGE_CURVE('',#172899,#172921,#173,.T.); #217663=EDGE_CURVE('',#172922,#172921,#174,.T.); #217664=EDGE_CURVE('',#172923,#172922,#175,.T.); #217665=EDGE_CURVE('',#172918,#172923,#176,.T.); #217666=EDGE_CURVE('',#172924,#172925,#96433,.T.); #217667=EDGE_CURVE('',#172926,#172924,#96434,.T.); #217668=EDGE_CURVE('',#172927,#172926,#96435,.T.); #217669=EDGE_CURVE('',#172928,#172927,#96436,.T.); #217670=EDGE_CURVE('',#172929,#172928,#96437,.T.); #217671=EDGE_CURVE('',#172925,#172929,#96438,.T.); #217672=EDGE_CURVE('',#172919,#172925,#96439,.T.); #217673=EDGE_CURVE('',#172918,#172924,#96440,.T.); #217674=EDGE_CURVE('',#172920,#172929,#96441,.T.); #217675=EDGE_CURVE('',#172921,#172928,#96442,.T.); #217676=EDGE_CURVE('',#172922,#172927,#96443,.T.); #217677=EDGE_CURVE('',#172923,#172926,#96444,.T.); #217678=EDGE_CURVE('',#172930,#172931,#96445,.T.); #217679=EDGE_CURVE('',#172930,#172932,#177,.T.); #217680=EDGE_CURVE('',#172933,#172932,#143959,.F.); #217681=EDGE_CURVE('',#172933,#172931,#178,.T.); #217682=EDGE_CURVE('',#172934,#172935,#96446,.T.); #217683=EDGE_CURVE('',#172934,#172930,#179,.T.); #217684=EDGE_CURVE('',#172931,#172935,#180,.T.); #217685=EDGE_CURVE('',#172936,#172937,#96447,.T.); #217686=EDGE_CURVE('',#172936,#172934,#181,.T.); #217687=EDGE_CURVE('',#172935,#172937,#182,.T.); #217688=EDGE_CURVE('',#172938,#172939,#96448,.T.); #217689=EDGE_CURVE('',#172938,#172936,#183,.T.); #217690=EDGE_CURVE('',#172937,#172939,#184,.T.); #217691=EDGE_CURVE('',#172940,#172941,#96449,.T.); #217692=EDGE_CURVE('',#172940,#172938,#185,.T.); #217693=EDGE_CURVE('',#172939,#172941,#186,.T.); #217694=EDGE_CURVE('',#172942,#172943,#96450,.T.); #217695=EDGE_CURVE('',#172942,#172940,#187,.T.); #217696=EDGE_CURVE('',#172941,#172943,#188,.T.); #217697=EDGE_CURVE('',#172944,#172945,#96451,.T.); #217698=EDGE_CURVE('',#172944,#172942,#189,.T.); #217699=EDGE_CURVE('',#172943,#172945,#190,.T.); #217700=EDGE_CURVE('',#172946,#172947,#96452,.T.); #217701=EDGE_CURVE('',#172946,#172948,#191,.T.); #217702=EDGE_CURVE('',#172947,#172948,#143960,.T.); #217703=EDGE_CURVE('',#172949,#172950,#96453,.T.); #217704=EDGE_CURVE('',#172949,#172946,#192,.T.); #217705=EDGE_CURVE('',#172951,#172947,#143961,.T.); #217706=EDGE_CURVE('',#172950,#172951,#193,.T.); #217707=EDGE_CURVE('',#172952,#172953,#96454,.T.); #217708=EDGE_CURVE('',#172952,#172949,#194,.T.); #217709=EDGE_CURVE('',#172953,#172950,#195,.T.); #217710=EDGE_CURVE('',#172954,#172955,#96455,.T.); #217711=EDGE_CURVE('',#172954,#172952,#196,.T.); #217712=EDGE_CURVE('',#172955,#172953,#197,.T.); #217713=EDGE_CURVE('',#172956,#172957,#96456,.T.); #217714=EDGE_CURVE('',#172956,#172954,#198,.T.); #217715=EDGE_CURVE('',#172957,#172955,#199,.T.); #217716=EDGE_CURVE('',#172958,#172959,#96457,.T.); #217717=EDGE_CURVE('',#172958,#172956,#200,.T.); #217718=EDGE_CURVE('',#172959,#172957,#201,.T.); #217719=EDGE_CURVE('',#172960,#172961,#96458,.T.); #217720=EDGE_CURVE('',#172960,#172958,#202,.T.); #217721=EDGE_CURVE('',#172961,#172959,#203,.T.); #217722=EDGE_CURVE('',#172962,#172963,#96459,.T.); #217723=EDGE_CURVE('',#172964,#172963,#96460,.T.); #217724=EDGE_CURVE('',#172965,#172964,#96461,.T.); #217725=EDGE_CURVE('',#172965,#172962,#96462,.T.); #217726=EDGE_CURVE('',#172966,#172967,#96463,.T.); #217727=EDGE_CURVE('',#172968,#172966,#96464,.T.); #217728=EDGE_CURVE('',#172968,#172969,#96465,.T.); #217729=EDGE_CURVE('',#172969,#172967,#96466,.T.); #217730=EDGE_CURVE('',#172970,#172971,#96467,.T.); #217731=EDGE_CURVE('',#172971,#172972,#96468,.T.); #217732=EDGE_CURVE('',#172972,#172973,#96469,.T.); #217733=EDGE_CURVE('',#172970,#172973,#96470,.T.); #217734=EDGE_CURVE('',#172974,#172975,#96471,.T.); #217735=EDGE_CURVE('',#172976,#172975,#96472,.T.); #217736=EDGE_CURVE('',#172977,#172976,#96473,.T.); #217737=EDGE_CURVE('',#172977,#172974,#96474,.T.); #217738=EDGE_CURVE('',#172978,#172979,#96475,.T.); #217739=EDGE_CURVE('',#172980,#172979,#96476,.T.); #217740=EDGE_CURVE('',#172981,#172980,#96477,.T.); #217741=EDGE_CURVE('',#172981,#172978,#96478,.T.); #217742=EDGE_CURVE('',#172982,#172983,#96479,.T.); #217743=EDGE_CURVE('',#172983,#172984,#96480,.T.); #217744=EDGE_CURVE('',#172984,#172985,#96481,.T.); #217745=EDGE_CURVE('',#172982,#172985,#96482,.T.); #217746=EDGE_CURVE('',#172986,#172987,#96483,.T.); #217747=EDGE_CURVE('',#172988,#172987,#96484,.T.); #217748=EDGE_CURVE('',#172989,#172988,#96485,.T.); #217749=EDGE_CURVE('',#172986,#172989,#96486,.T.); #217750=EDGE_CURVE('',#172990,#172986,#204,.T.); #217751=EDGE_CURVE('',#172991,#172989,#205,.T.); #217752=EDGE_CURVE('',#172990,#172991,#96487,.T.); #217753=EDGE_CURVE('',#172992,#172993,#206,.T.); #217754=EDGE_CURVE('',#172993,#172994,#96488,.T.); #217755=EDGE_CURVE('',#172995,#172994,#207,.T.); #217756=EDGE_CURVE('',#172992,#172995,#96489,.T.); #217757=EDGE_CURVE('',#172996,#172992,#96490,.T.); #217758=EDGE_CURVE('',#172997,#172995,#96491,.T.); #217759=EDGE_CURVE('',#172996,#172997,#96492,.T.); #217760=EDGE_CURVE('',#172997,#172988,#96493,.T.); #217761=EDGE_CURVE('',#172994,#172991,#96494,.T.); #217762=EDGE_CURVE('',#172987,#172998,#96495,.T.); #217763=EDGE_CURVE('',#172998,#172999,#143962,.T.); #217764=EDGE_CURVE('',#172999,#173000,#143963,.T.); #217765=EDGE_CURVE('',#173000,#173001,#96496,.T.); #217766=EDGE_CURVE('',#173001,#173002,#96497,.T.); #217767=EDGE_CURVE('',#173002,#173003,#96498,.T.); #217768=EDGE_CURVE('',#173003,#173004,#96499,.T.); #217769=EDGE_CURVE('',#173004,#173005,#96500,.T.); #217770=EDGE_CURVE('',#173005,#173006,#143964,.T.); #217771=EDGE_CURVE('',#173006,#172996,#96501,.T.); #217772=EDGE_CURVE('',#173007,#173004,#96502,.T.); #217773=EDGE_CURVE('',#173008,#173003,#96503,.T.); #217774=EDGE_CURVE('',#173007,#173008,#96504,.T.); #217775=EDGE_CURVE('',#173009,#173007,#208,.T.); #217776=EDGE_CURVE('',#173010,#173008,#209,.T.); #217777=EDGE_CURVE('',#173009,#173010,#96505,.T.); #217778=EDGE_CURVE('',#173011,#173012,#210,.T.); #217779=EDGE_CURVE('',#173012,#173013,#96506,.T.); #217780=EDGE_CURVE('',#173014,#173013,#211,.T.); #217781=EDGE_CURVE('',#173011,#173014,#96507,.T.); #217782=EDGE_CURVE('',#173001,#173011,#96508,.T.); #217783=EDGE_CURVE('',#173002,#173014,#96509,.T.); #217784=EDGE_CURVE('',#173013,#173010,#96510,.T.); #217785=EDGE_CURVE('',#173015,#173016,#96511,.T.); #217786=EDGE_CURVE('',#173015,#173017,#96512,.T.); #217787=EDGE_CURVE('',#173017,#173018,#19,.T.); #217788=EDGE_CURVE('',#173016,#173018,#96513,.T.); #217789=EDGE_CURVE('',#173019,#173015,#96514,.T.); #217790=EDGE_CURVE('',#173019,#173020,#96515,.T.); #217791=EDGE_CURVE('',#173020,#173017,#20,.T.); #217792=EDGE_CURVE('',#173021,#173022,#96516,.T.); #217793=EDGE_CURVE('',#173021,#173023,#96517,.T.); #217794=EDGE_CURVE('',#173023,#173024,#21,.T.); #217795=EDGE_CURVE('',#173022,#173024,#96518,.T.); #217796=EDGE_CURVE('',#173025,#173021,#96519,.T.); #217797=EDGE_CURVE('',#173025,#173026,#96520,.T.); #217798=EDGE_CURVE('',#173026,#173023,#22,.T.); #217799=EDGE_CURVE('',#173027,#173028,#96521,.T.); #217800=EDGE_CURVE('',#173027,#173029,#96522,.T.); #217801=EDGE_CURVE('',#173029,#173030,#23,.T.); #217802=EDGE_CURVE('',#173028,#173030,#96523,.T.); #217803=EDGE_CURVE('',#173031,#173027,#96524,.T.); #217804=EDGE_CURVE('',#173031,#173032,#96525,.T.); #217805=EDGE_CURVE('',#173032,#173029,#24,.T.); #217806=EDGE_CURVE('',#172986,#173033,#25,.T.); #217807=EDGE_CURVE('',#172998,#173033,#96526,.T.); #217808=EDGE_CURVE('',#173006,#173034,#96527,.T.); #217809=EDGE_CURVE('',#173034,#172992,#26,.T.); #217810=EDGE_CURVE('',#173035,#173036,#96528,.T.); #217811=EDGE_CURVE('',#173035,#173037,#96529,.T.); #217812=EDGE_CURVE('',#173037,#173038,#27,.T.); #217813=EDGE_CURVE('',#173036,#173038,#96530,.T.); #217814=EDGE_CURVE('',#173039,#173035,#96531,.T.); #217815=EDGE_CURVE('',#173039,#173040,#96532,.T.); #217816=EDGE_CURVE('',#173040,#173037,#28,.T.); #217817=EDGE_CURVE('',#173041,#173042,#96533,.T.); #217818=EDGE_CURVE('',#173041,#173043,#96534,.T.); #217819=EDGE_CURVE('',#173043,#173044,#29,.T.); #217820=EDGE_CURVE('',#173042,#173044,#96535,.T.); #217821=EDGE_CURVE('',#173045,#173041,#96536,.T.); #217822=EDGE_CURVE('',#173045,#173046,#96537,.T.); #217823=EDGE_CURVE('',#173046,#173043,#30,.T.); #217824=EDGE_CURVE('',#173047,#173048,#96538,.T.); #217825=EDGE_CURVE('',#173047,#173049,#96539,.T.); #217826=EDGE_CURVE('',#173049,#173050,#31,.T.); #217827=EDGE_CURVE('',#173048,#173050,#96540,.T.); #217828=EDGE_CURVE('',#173051,#173047,#96541,.T.); #217829=EDGE_CURVE('',#173051,#173052,#96542,.T.); #217830=EDGE_CURVE('',#173052,#173049,#32,.T.); #217831=EDGE_CURVE('',#173053,#173054,#96543,.T.); #217832=EDGE_CURVE('',#173053,#173055,#96544,.T.); #217833=EDGE_CURVE('',#173055,#173056,#33,.T.); #217834=EDGE_CURVE('',#173054,#173056,#96545,.T.); #217835=EDGE_CURVE('',#173057,#173053,#96546,.T.); #217836=EDGE_CURVE('',#173057,#173058,#96547,.T.); #217837=EDGE_CURVE('',#173058,#173055,#34,.T.); #217838=EDGE_CURVE('',#172993,#173055,#143965,.T.); #217839=EDGE_CURVE('',#173056,#173034,#143966,.T.); #217840=EDGE_CURVE('',#173055,#173049,#143967,.T.); #217841=EDGE_CURVE('',#173049,#173043,#143968,.T.); #217842=EDGE_CURVE('',#173043,#173037,#143969,.T.); #217843=EDGE_CURVE('',#173037,#173017,#143970,.T.); #217844=EDGE_CURVE('',#173017,#173023,#143971,.T.); #217845=EDGE_CURVE('',#173023,#173029,#143972,.T.); #217846=EDGE_CURVE('',#173029,#172990,#143973,.T.); #217847=EDGE_CURVE('',#173050,#173058,#143974,.T.); #217848=EDGE_CURVE('',#173044,#173052,#143975,.T.); #217849=EDGE_CURVE('',#173038,#173046,#143976,.T.); #217850=EDGE_CURVE('',#173018,#173040,#143977,.T.); #217851=EDGE_CURVE('',#173024,#173020,#143978,.T.); #217852=EDGE_CURVE('',#173030,#173026,#143979,.T.); #217853=EDGE_CURVE('',#173033,#173032,#143980,.T.); #217854=EDGE_CURVE('',#173048,#173051,#143981,.T.); #217855=EDGE_CURVE('',#173042,#173045,#143982,.T.); #217856=EDGE_CURVE('',#173054,#173057,#143983,.T.); #217857=EDGE_CURVE('',#173036,#173039,#143984,.T.); #217858=EDGE_CURVE('',#173016,#173019,#143985,.T.); #217859=EDGE_CURVE('',#173028,#173031,#143986,.T.); #217860=EDGE_CURVE('',#173059,#173060,#96548,.T.); #217861=EDGE_CURVE('',#173059,#173061,#96549,.T.); #217862=EDGE_CURVE('',#173061,#173062,#35,.T.); #217863=EDGE_CURVE('',#173060,#173062,#96550,.T.); #217864=EDGE_CURVE('',#173063,#173059,#96551,.T.); #217865=EDGE_CURVE('',#173063,#173064,#96552,.T.); #217866=EDGE_CURVE('',#173064,#173061,#36,.T.); #217867=EDGE_CURVE('',#173065,#173066,#96553,.T.); #217868=EDGE_CURVE('',#173065,#173067,#96554,.T.); #217869=EDGE_CURVE('',#173067,#173068,#37,.T.); #217870=EDGE_CURVE('',#173066,#173068,#96555,.T.); #217871=EDGE_CURVE('',#173069,#173065,#96556,.T.); #217872=EDGE_CURVE('',#173069,#173070,#96557,.T.); #217873=EDGE_CURVE('',#173070,#173067,#38,.T.); #217874=EDGE_CURVE('',#173071,#173072,#96558,.T.); #217875=EDGE_CURVE('',#173071,#173073,#96559,.T.); #217876=EDGE_CURVE('',#173073,#173074,#39,.T.); #217877=EDGE_CURVE('',#173072,#173074,#96560,.T.); #217878=EDGE_CURVE('',#173075,#173071,#96561,.T.); #217879=EDGE_CURVE('',#173075,#173076,#96562,.T.); #217880=EDGE_CURVE('',#173076,#173073,#40,.T.); #217881=EDGE_CURVE('',#173077,#173078,#96563,.T.); #217882=EDGE_CURVE('',#173077,#173079,#96564,.T.); #217883=EDGE_CURVE('',#173079,#173080,#41,.T.); #217884=EDGE_CURVE('',#173078,#173080,#96565,.T.); #217885=EDGE_CURVE('',#173081,#173077,#96566,.T.); #217886=EDGE_CURVE('',#173081,#173082,#96567,.T.); #217887=EDGE_CURVE('',#173082,#173079,#42,.T.); #217888=EDGE_CURVE('',#173007,#173083,#43,.T.); #217889=EDGE_CURVE('',#173079,#173009,#143987,.T.); #217890=EDGE_CURVE('',#173083,#173082,#143988,.T.); #217891=EDGE_CURVE('',#173073,#173079,#143989,.T.); #217892=EDGE_CURVE('',#173080,#173076,#143990,.T.); #217893=EDGE_CURVE('',#173067,#173073,#143991,.T.); #217894=EDGE_CURVE('',#173074,#173070,#143992,.T.); #217895=EDGE_CURVE('',#173084,#173085,#44,.T.); #217896=EDGE_CURVE('',#173062,#173084,#143993,.T.); #217897=EDGE_CURVE('',#173085,#173061,#143994,.T.); #217898=EDGE_CURVE('',#173085,#173086,#45,.T.); #217899=EDGE_CURVE('',#173087,#173085,#143995,.T.); #217900=EDGE_CURVE('',#173088,#173087,#46,.T.); #217901=EDGE_CURVE('',#173086,#173088,#143996,.T.); #217902=EDGE_CURVE('',#173087,#173089,#47,.T.); #217903=EDGE_CURVE('',#173090,#173087,#143997,.T.); #217904=EDGE_CURVE('',#173091,#173090,#48,.T.); #217905=EDGE_CURVE('',#173089,#173091,#143998,.T.); #217906=EDGE_CURVE('',#173061,#173067,#143999,.T.); #217907=EDGE_CURVE('',#173068,#173064,#144000,.T.); #217908=EDGE_CURVE('',#173012,#173090,#144001,.T.); #217909=EDGE_CURVE('',#173090,#173092,#49,.T.); #217910=EDGE_CURVE('',#173093,#173011,#50,.T.); #217911=EDGE_CURVE('',#173092,#173093,#144002,.T.); #217912=EDGE_CURVE('',#173005,#173083,#96568,.T.); #217913=EDGE_CURVE('',#173000,#173093,#96569,.T.); #217914=EDGE_CURVE('',#173094,#173095,#96570,.T.); #217915=EDGE_CURVE('',#173094,#173091,#96571,.T.); #217916=EDGE_CURVE('',#173095,#173090,#96572,.T.); #217917=EDGE_CURVE('',#173095,#173096,#96573,.T.); #217918=EDGE_CURVE('',#173096,#173092,#96574,.T.); #217919=EDGE_CURVE('',#173097,#173098,#96575,.T.); #217920=EDGE_CURVE('',#173097,#173088,#96576,.T.); #217921=EDGE_CURVE('',#173098,#173087,#96577,.T.); #217922=EDGE_CURVE('',#173098,#173099,#96578,.T.); #217923=EDGE_CURVE('',#173099,#173089,#96579,.T.); #217924=EDGE_CURVE('',#173100,#173101,#96580,.T.); #217925=EDGE_CURVE('',#173100,#173084,#96581,.T.); #217926=EDGE_CURVE('',#173101,#173085,#96582,.T.); #217927=EDGE_CURVE('',#173101,#173102,#96583,.T.); #217928=EDGE_CURVE('',#173102,#173086,#96584,.T.); #217929=EDGE_CURVE('',#173102,#173100,#144003,.T.); #217930=EDGE_CURVE('',#173099,#173097,#144004,.T.); #217931=EDGE_CURVE('',#173096,#173094,#144005,.T.); #217932=EDGE_CURVE('',#173022,#173025,#144006,.T.); #217933=EDGE_CURVE('',#173078,#173081,#144007,.T.); #217934=EDGE_CURVE('',#173072,#173075,#144008,.T.); #217935=EDGE_CURVE('',#173066,#173069,#144009,.T.); #217936=EDGE_CURVE('',#173060,#173063,#144010,.T.); #217937=EDGE_CURVE('',#173103,#173103,#144011,.T.); #217938=EDGE_CURVE('',#173103,#172999,#96585,.T.); #217939=EDGE_CURVE('',#173104,#173105,#96586,.T.); #217940=EDGE_CURVE('',#173106,#173104,#96587,.T.); #217941=EDGE_CURVE('',#173106,#173107,#96588,.T.); #217942=EDGE_CURVE('',#173107,#173105,#96589,.T.); #217943=EDGE_CURVE('',#173108,#173109,#96590,.T.); #217944=EDGE_CURVE('',#173108,#173110,#96591,.T.); #217945=EDGE_CURVE('',#173111,#173110,#96592,.T.); #217946=EDGE_CURVE('',#173111,#173109,#96593,.T.); #217947=EDGE_CURVE('',#173110,#173112,#144012,.T.); #217948=EDGE_CURVE('',#173113,#173112,#96594,.T.); #217949=EDGE_CURVE('',#173111,#173113,#144013,.T.); #217950=EDGE_CURVE('',#173114,#173107,#144014,.T.); #217951=EDGE_CURVE('',#173115,#173106,#144015,.T.); #217952=EDGE_CURVE('',#173115,#173114,#96595,.T.); #217953=EDGE_CURVE('',#173112,#173116,#96596,.T.); #217954=EDGE_CURVE('',#173117,#173116,#144016,.T.); #217955=EDGE_CURVE('',#173117,#173118,#96597,.T.); #217956=EDGE_CURVE('',#173118,#173119,#96598,.T.); #217957=EDGE_CURVE('',#173120,#173119,#144017,.T.); #217958=EDGE_CURVE('',#173120,#173121,#96599,.T.); #217959=EDGE_CURVE('',#173122,#173121,#144018,.T.); #217960=EDGE_CURVE('',#173122,#173123,#96600,.T.); #217961=EDGE_CURVE('',#173123,#173124,#96601,.T.); #217962=EDGE_CURVE('',#173125,#173124,#144019,.T.); #217963=EDGE_CURVE('',#173125,#173113,#96602,.T.); #217964=EDGE_CURVE('',#173126,#173114,#96603,.T.); #217965=EDGE_CURVE('',#173116,#173126,#96604,.T.); #217966=EDGE_CURVE('',#173105,#173108,#96605,.T.); #217967=EDGE_CURVE('',#173119,#173127,#96606,.T.); #217968=EDGE_CURVE('',#173118,#173128,#96607,.T.); #217969=EDGE_CURVE('',#173127,#173128,#96608,.T.); #217970=EDGE_CURVE('',#173124,#173129,#96609,.T.); #217971=EDGE_CURVE('',#173130,#173123,#96610,.T.); #217972=EDGE_CURVE('',#173129,#173130,#96611,.T.); #217973=EDGE_CURVE('',#173131,#173122,#96612,.T.); #217974=EDGE_CURVE('',#173130,#173131,#96613,.T.); #217975=EDGE_CURVE('',#173129,#173132,#144020,.T.); #217976=EDGE_CURVE('',#173132,#173125,#96614,.T.); #217977=EDGE_CURVE('',#173133,#173117,#96615,.T.); #217978=EDGE_CURVE('',#173128,#173133,#96616,.T.); #217979=EDGE_CURVE('',#173126,#173133,#144021,.T.); #217980=EDGE_CURVE('',#173127,#173134,#144022,.T.); #217981=EDGE_CURVE('',#173134,#173120,#96617,.T.); #217982=EDGE_CURVE('',#173121,#173135,#96618,.T.); #217983=EDGE_CURVE('',#173135,#173134,#96619,.T.); #217984=EDGE_CURVE('',#173132,#173115,#96620,.T.); #217985=EDGE_CURVE('',#173135,#173131,#144023,.T.); #217986=EDGE_CURVE('',#173109,#173104,#96621,.T.); #217987=EDGE_CURVE('',#173136,#173137,#96622,.T.); #217988=EDGE_CURVE('',#173138,#173136,#96623,.T.); #217989=EDGE_CURVE('',#173139,#173138,#144024,.T.); #217990=EDGE_CURVE('',#173139,#173140,#96624,.T.); #217991=EDGE_CURVE('',#173140,#173141,#96625,.T.); #217992=EDGE_CURVE('',#173142,#173141,#144025,.T.); #217993=EDGE_CURVE('',#173142,#173143,#96626,.T.); #217994=EDGE_CURVE('',#173144,#173143,#144026,.T.); #217995=EDGE_CURVE('',#173144,#173145,#96627,.T.); #217996=EDGE_CURVE('',#173145,#173146,#96628,.T.); #217997=EDGE_CURVE('',#173147,#173146,#144027,.T.); #217998=EDGE_CURVE('',#173147,#173137,#96629,.T.); #217999=EDGE_CURVE('',#173148,#173136,#96630,.T.); #218000=EDGE_CURVE('',#173148,#173149,#96631,.T.); #218001=EDGE_CURVE('',#173138,#173149,#96632,.T.); #218002=EDGE_CURVE('',#173150,#173148,#96633,.T.); #218003=EDGE_CURVE('',#173150,#173151,#96634,.T.); #218004=EDGE_CURVE('',#173152,#173151,#144028,.T.); #218005=EDGE_CURVE('',#173152,#173153,#96635,.T.); #218006=EDGE_CURVE('',#173153,#173154,#96636,.T.); #218007=EDGE_CURVE('',#173155,#173154,#144029,.T.); #218008=EDGE_CURVE('',#173155,#173156,#96637,.T.); #218009=EDGE_CURVE('',#173157,#173156,#144030,.T.); #218010=EDGE_CURVE('',#173157,#173158,#96638,.T.); #218011=EDGE_CURVE('',#173158,#173159,#96639,.T.); #218012=EDGE_CURVE('',#173149,#173159,#144031,.T.); #218013=EDGE_CURVE('',#173141,#173157,#96640,.T.); #218014=EDGE_CURVE('',#173156,#173142,#96641,.T.); #218015=EDGE_CURVE('',#173143,#173155,#96642,.T.); #218016=EDGE_CURVE('',#173154,#173144,#96643,.T.); #218017=EDGE_CURVE('',#173151,#173147,#96644,.T.); #218018=EDGE_CURVE('',#173146,#173152,#96645,.T.); #218019=EDGE_CURVE('',#173153,#173145,#96646,.T.); #218020=EDGE_CURVE('',#173159,#173139,#96647,.T.); #218021=EDGE_CURVE('',#173140,#173158,#96648,.T.); #218022=EDGE_CURVE('',#173137,#173150,#96649,.T.); #218023=EDGE_CURVE('',#173160,#173161,#96650,.T.); #218024=EDGE_CURVE('',#173160,#173162,#96651,.T.); #218025=EDGE_CURVE('',#173162,#173163,#96652,.T.); #218026=EDGE_CURVE('',#173161,#173163,#96653,.T.); #218027=EDGE_CURVE('',#173164,#173162,#144032,.T.); #218028=EDGE_CURVE('',#173165,#173160,#144033,.T.); #218029=EDGE_CURVE('',#173165,#173164,#96654,.T.); #218030=EDGE_CURVE('',#173166,#173167,#96655,.T.); #218031=EDGE_CURVE('',#173167,#173168,#144034,.T.); #218032=EDGE_CURVE('',#173169,#173168,#96656,.T.); #218033=EDGE_CURVE('',#173166,#173169,#144035,.T.); #218034=EDGE_CURVE('',#173170,#173167,#96657,.T.); #218035=EDGE_CURVE('',#173166,#173171,#96658,.T.); #218036=EDGE_CURVE('',#173170,#173171,#96659,.T.); #218037=EDGE_CURVE('',#173168,#173172,#96660,.T.); #218038=EDGE_CURVE('',#173173,#173172,#144036,.T.); #218039=EDGE_CURVE('',#173173,#173174,#96661,.T.); #218040=EDGE_CURVE('',#173174,#173175,#96662,.T.); #218041=EDGE_CURVE('',#173176,#173175,#144037,.T.); #218042=EDGE_CURVE('',#173176,#173177,#96663,.T.); #218043=EDGE_CURVE('',#173178,#173177,#144038,.T.); #218044=EDGE_CURVE('',#173178,#173179,#96664,.T.); #218045=EDGE_CURVE('',#173179,#173180,#96665,.T.); #218046=EDGE_CURVE('',#173181,#173180,#144039,.T.); #218047=EDGE_CURVE('',#173181,#173169,#96666,.T.); #218048=EDGE_CURVE('',#173182,#173164,#96667,.T.); #218049=EDGE_CURVE('',#173172,#173182,#96668,.T.); #218050=EDGE_CURVE('',#173163,#173170,#96669,.T.); #218051=EDGE_CURVE('',#173183,#173184,#144040,.T.); #218052=EDGE_CURVE('',#173184,#173178,#96670,.T.); #218053=EDGE_CURVE('',#173177,#173183,#96671,.T.); #218054=EDGE_CURVE('',#173185,#173165,#96672,.T.); #218055=EDGE_CURVE('',#173186,#173185,#144041,.T.); #218056=EDGE_CURVE('',#173186,#173187,#96673,.T.); #218057=EDGE_CURVE('',#173187,#173184,#96674,.T.); #218058=EDGE_CURVE('',#173183,#173188,#96675,.T.); #218059=EDGE_CURVE('',#173189,#173188,#144042,.T.); #218060=EDGE_CURVE('',#173189,#173190,#96676,.T.); #218061=EDGE_CURVE('',#173190,#173191,#96677,.T.); #218062=EDGE_CURVE('',#173182,#173191,#144043,.T.); #218063=EDGE_CURVE('',#173188,#173176,#96678,.T.); #218064=EDGE_CURVE('',#173175,#173189,#96679,.T.); #218065=EDGE_CURVE('',#173191,#173173,#96680,.T.); #218066=EDGE_CURVE('',#173174,#173190,#96681,.T.); #218067=EDGE_CURVE('',#173185,#173181,#96682,.T.); #218068=EDGE_CURVE('',#173180,#173186,#96683,.T.); #218069=EDGE_CURVE('',#173171,#173161,#96684,.T.); #218070=EDGE_CURVE('',#173187,#173179,#96685,.T.); #218071=EDGE_CURVE('',#173192,#173193,#96686,.T.); #218072=EDGE_CURVE('',#173194,#173192,#96687,.T.); #218073=EDGE_CURVE('',#173194,#173195,#96688,.T.); #218074=EDGE_CURVE('',#173195,#173193,#96689,.T.); #218075=EDGE_CURVE('',#173196,#173197,#96690,.T.); #218076=EDGE_CURVE('',#173196,#173198,#96691,.T.); #218077=EDGE_CURVE('',#173199,#173198,#96692,.T.); #218078=EDGE_CURVE('',#173199,#173197,#96693,.T.); #218079=EDGE_CURVE('',#173198,#173200,#144044,.T.); #218080=EDGE_CURVE('',#173201,#173200,#96694,.T.); #218081=EDGE_CURVE('',#173199,#173201,#144045,.T.); #218082=EDGE_CURVE('',#173202,#173195,#144046,.T.); #218083=EDGE_CURVE('',#173203,#173194,#144047,.T.); #218084=EDGE_CURVE('',#173203,#173202,#96695,.T.); #218085=EDGE_CURVE('',#173200,#173204,#96696,.T.); #218086=EDGE_CURVE('',#173205,#173204,#144048,.T.); #218087=EDGE_CURVE('',#173205,#173206,#96697,.T.); #218088=EDGE_CURVE('',#173206,#173207,#96698,.T.); #218089=EDGE_CURVE('',#173208,#173207,#144049,.T.); #218090=EDGE_CURVE('',#173208,#173209,#96699,.T.); #218091=EDGE_CURVE('',#173210,#173209,#144050,.T.); #218092=EDGE_CURVE('',#173210,#173211,#96700,.T.); #218093=EDGE_CURVE('',#173211,#173212,#96701,.T.); #218094=EDGE_CURVE('',#173213,#173212,#144051,.T.); #218095=EDGE_CURVE('',#173213,#173201,#96702,.T.); #218096=EDGE_CURVE('',#173214,#173202,#96703,.T.); #218097=EDGE_CURVE('',#173204,#173214,#96704,.T.); #218098=EDGE_CURVE('',#173193,#173196,#96705,.T.); #218099=EDGE_CURVE('',#173207,#173215,#96706,.T.); #218100=EDGE_CURVE('',#173206,#173216,#96707,.T.); #218101=EDGE_CURVE('',#173215,#173216,#96708,.T.); #218102=EDGE_CURVE('',#173212,#173217,#96709,.T.); #218103=EDGE_CURVE('',#173218,#173211,#96710,.T.); #218104=EDGE_CURVE('',#173217,#173218,#96711,.T.); #218105=EDGE_CURVE('',#173219,#173210,#96712,.T.); #218106=EDGE_CURVE('',#173218,#173219,#96713,.T.); #218107=EDGE_CURVE('',#173217,#173220,#144052,.T.); #218108=EDGE_CURVE('',#173220,#173213,#96714,.T.); #218109=EDGE_CURVE('',#173221,#173205,#96715,.T.); #218110=EDGE_CURVE('',#173216,#173221,#96716,.T.); #218111=EDGE_CURVE('',#173214,#173221,#144053,.T.); #218112=EDGE_CURVE('',#173215,#173222,#144054,.T.); #218113=EDGE_CURVE('',#173222,#173208,#96717,.T.); #218114=EDGE_CURVE('',#173209,#173223,#96718,.T.); #218115=EDGE_CURVE('',#173223,#173222,#96719,.T.); #218116=EDGE_CURVE('',#173220,#173203,#96720,.T.); #218117=EDGE_CURVE('',#173223,#173219,#144055,.T.); #218118=EDGE_CURVE('',#173197,#173192,#96721,.T.); #218119=EDGE_CURVE('',#173224,#173225,#96722,.T.); #218120=EDGE_CURVE('',#173226,#173224,#96723,.T.); #218121=EDGE_CURVE('',#173227,#173226,#144056,.T.); #218122=EDGE_CURVE('',#173227,#173228,#96724,.T.); #218123=EDGE_CURVE('',#173228,#173229,#96725,.T.); #218124=EDGE_CURVE('',#173230,#173229,#144057,.T.); #218125=EDGE_CURVE('',#173230,#173231,#96726,.T.); #218126=EDGE_CURVE('',#173232,#173231,#144058,.T.); #218127=EDGE_CURVE('',#173232,#173233,#96727,.T.); #218128=EDGE_CURVE('',#173233,#173234,#96728,.T.); #218129=EDGE_CURVE('',#173235,#173234,#144059,.T.); #218130=EDGE_CURVE('',#173235,#173225,#96729,.T.); #218131=EDGE_CURVE('',#173236,#173224,#96730,.T.); #218132=EDGE_CURVE('',#173236,#173237,#96731,.T.); #218133=EDGE_CURVE('',#173226,#173237,#96732,.T.); #218134=EDGE_CURVE('',#173238,#173236,#96733,.T.); #218135=EDGE_CURVE('',#173238,#173239,#96734,.T.); #218136=EDGE_CURVE('',#173240,#173239,#144060,.T.); #218137=EDGE_CURVE('',#173240,#173241,#96735,.T.); #218138=EDGE_CURVE('',#173241,#173242,#96736,.T.); #218139=EDGE_CURVE('',#173243,#173242,#144061,.T.); #218140=EDGE_CURVE('',#173243,#173244,#96737,.T.); #218141=EDGE_CURVE('',#173245,#173244,#144062,.T.); #218142=EDGE_CURVE('',#173245,#173246,#96738,.T.); #218143=EDGE_CURVE('',#173246,#173247,#96739,.T.); #218144=EDGE_CURVE('',#173237,#173247,#144063,.T.); #218145=EDGE_CURVE('',#173225,#173238,#96740,.T.); #218146=EDGE_CURVE('',#173239,#173235,#96741,.T.); #218147=EDGE_CURVE('',#173242,#173232,#96742,.T.); #218148=EDGE_CURVE('',#173241,#173233,#96743,.T.); #218149=EDGE_CURVE('',#173247,#173227,#96744,.T.); #218150=EDGE_CURVE('',#173228,#173246,#96745,.T.); #218151=EDGE_CURVE('',#173229,#173245,#96746,.T.); #218152=EDGE_CURVE('',#173234,#173240,#96747,.T.); #218153=EDGE_CURVE('',#173231,#173243,#96748,.T.); #218154=EDGE_CURVE('',#173244,#173230,#96749,.T.); #218155=EDGE_CURVE('',#172973,#173248,#96750,.T.); #218156=EDGE_CURVE('',#173248,#173249,#212,.T.); #218157=EDGE_CURVE('',#173250,#173249,#144064,.T.); #218158=EDGE_CURVE('',#173251,#173250,#96751,.T.); #218159=EDGE_CURVE('',#173252,#173251,#144065,.T.); #218160=EDGE_CURVE('',#173252,#173253,#96752,.T.); #218161=EDGE_CURVE('',#173253,#172973,#96753,.T.); #218162=EDGE_CURVE('',#173254,#172967,#96754,.T.); #218163=EDGE_CURVE('',#173255,#173254,#96755,.T.); #218164=EDGE_CURVE('',#173255,#173256,#96756,.T.); #218165=EDGE_CURVE('',#173256,#173257,#96757,.T.); #218166=EDGE_CURVE('',#173258,#173257,#96758,.T.); #218167=EDGE_CURVE('',#173259,#173258,#96759,.T.); #218168=EDGE_CURVE('',#173259,#172965,#96760,.T.); #218169=EDGE_CURVE('',#172965,#172967,#96761,.T.); #218170=EDGE_CURVE('',#172977,#173260,#96762,.T.); #218171=EDGE_CURVE('',#172977,#173261,#96763,.T.); #218172=EDGE_CURVE('',#173261,#173262,#96764,.T.); #218173=EDGE_CURVE('',#173263,#173262,#144066,.T.); #218174=EDGE_CURVE('',#173264,#173263,#96765,.T.); #218175=EDGE_CURVE('',#173264,#173265,#213,.T.); #218176=EDGE_CURVE('',#173260,#173265,#144067,.T.); #218177=EDGE_CURVE('',#173266,#173267,#96766,.T.); #218178=EDGE_CURVE('',#173268,#173267,#144068,.T.); #218179=EDGE_CURVE('',#173268,#173269,#96767,.T.); #218180=EDGE_CURVE('',#173270,#173269,#144069,.T.); #218181=EDGE_CURVE('',#172981,#173270,#96768,.T.); #218182=EDGE_CURVE('',#172981,#173266,#96769,.T.); #218183=EDGE_CURVE('',#172982,#173271,#144070,.T.); #218184=EDGE_CURVE('',#172982,#173272,#96770,.T.); #218185=EDGE_CURVE('',#173273,#173272,#144071,.T.); #218186=EDGE_CURVE('',#173274,#173273,#96771,.T.); #218187=EDGE_CURVE('',#173275,#173274,#144072,.T.); #218188=EDGE_CURVE('',#173275,#172976,#96772,.T.); #218189=EDGE_CURVE('',#173276,#172976,#144073,.T.); #218190=EDGE_CURVE('',#173276,#173277,#96773,.T.); #218191=EDGE_CURVE('',#173278,#173277,#96774,.T.); #218192=EDGE_CURVE('',#173279,#173278,#96775,.T.); #218193=EDGE_CURVE('',#173279,#173280,#96776,.T.); #218194=EDGE_CURVE('',#173280,#173281,#96777,.T.); #218195=EDGE_CURVE('',#173282,#173281,#96778,.T.); #218196=EDGE_CURVE('',#173283,#173282,#96779,.T.); #218197=EDGE_CURVE('',#173283,#173284,#96780,.T.); #218198=EDGE_CURVE('',#173284,#173271,#96781,.T.); #218199=EDGE_CURVE('',#173285,#172951,#214,.T.); #218200=EDGE_CURVE('',#172945,#173285,#215,.T.); #218201=EDGE_CURVE('',#173286,#172933,#216,.T.); #218202=EDGE_CURVE('',#173286,#172961,#217,.T.); #218203=EDGE_CURVE('',#173287,#173286,#144074,.T.); #218204=EDGE_CURVE('',#173287,#172960,#218,.T.); #218205=EDGE_CURVE('',#172948,#173288,#219,.T.); #218206=EDGE_CURVE('',#172932,#173287,#220,.T.); #218207=EDGE_CURVE('',#173288,#172944,#221,.T.); #218208=EDGE_CURVE('',#173285,#173288,#144075,.T.); #218209=EDGE_CURVE('',#173289,#173290,#96782,.T.); #218210=EDGE_CURVE('',#173291,#173289,#96783,.T.); #218211=EDGE_CURVE('',#173291,#173292,#96784,.T.); #218212=EDGE_CURVE('',#173293,#173292,#96785,.T.); #218213=EDGE_CURVE('',#173294,#173293,#96786,.T.); #218214=EDGE_CURVE('',#173290,#173294,#96787,.T.); #218215=EDGE_CURVE('',#173295,#173291,#96788,.T.); #218216=EDGE_CURVE('',#173296,#173295,#96789,.T.); #218217=EDGE_CURVE('',#173292,#173296,#96790,.T.); #218218=EDGE_CURVE('',#173290,#173297,#96791,.T.); #218219=EDGE_CURVE('',#173294,#173298,#96792,.T.); #218220=EDGE_CURVE('',#173298,#173297,#96793,.T.); #218221=EDGE_CURVE('',#173299,#173293,#96794,.T.); #218222=EDGE_CURVE('',#173298,#173299,#96795,.T.); #218223=EDGE_CURVE('',#173297,#173300,#96796,.T.); #218224=EDGE_CURVE('',#173296,#173299,#96797,.T.); #218225=EDGE_CURVE('',#173300,#173295,#96798,.T.); #218226=EDGE_CURVE('',#173301,#173300,#96799,.T.); #218227=EDGE_CURVE('',#173302,#173301,#96800,.T.); #218228=EDGE_CURVE('',#173303,#173302,#96801,.T.); #218229=EDGE_CURVE('',#173304,#173303,#96802,.T.); #218230=EDGE_CURVE('',#173305,#173304,#96803,.T.); #218231=EDGE_CURVE('',#173306,#173305,#96804,.T.); #218232=EDGE_CURVE('',#173307,#173306,#96805,.T.); #218233=EDGE_CURVE('',#173307,#173308,#96806,.T.); #218234=EDGE_CURVE('',#173289,#173308,#96807,.T.); #218235=EDGE_CURVE('',#173309,#173310,#96808,.T.); #218236=EDGE_CURVE('',#173310,#173311,#96809,.T.); #218237=EDGE_CURVE('',#173311,#173312,#96810,.T.); #218238=EDGE_CURVE('',#173312,#173309,#96811,.T.); #218239=EDGE_CURVE('',#173310,#173313,#96812,.T.); #218240=EDGE_CURVE('',#173313,#173314,#96813,.T.); #218241=EDGE_CURVE('',#173314,#173311,#96814,.T.); #218242=EDGE_CURVE('',#173315,#173316,#96815,.T.); #218243=EDGE_CURVE('',#173316,#173317,#96816,.T.); #218244=EDGE_CURVE('',#173317,#173318,#96817,.T.); #218245=EDGE_CURVE('',#173318,#173315,#96818,.T.); #218246=EDGE_CURVE('',#173319,#173320,#96819,.T.); #218247=EDGE_CURVE('',#173320,#173321,#96820,.T.); #218248=EDGE_CURVE('',#173321,#173322,#96821,.T.); #218249=EDGE_CURVE('',#173319,#173322,#96822,.T.); #218250=EDGE_CURVE('',#173323,#173319,#96823,.T.); #218251=EDGE_CURVE('',#173322,#173324,#96824,.T.); #218252=EDGE_CURVE('',#173324,#173323,#96825,.T.); #218253=EDGE_CURVE('',#173325,#173326,#96826,.T.); #218254=EDGE_CURVE('',#173326,#173327,#96827,.T.); #218255=EDGE_CURVE('',#173328,#173327,#96828,.T.); #218256=EDGE_CURVE('',#173325,#173328,#96829,.T.); #218257=EDGE_CURVE('',#173327,#173329,#144076,.F.); #218258=EDGE_CURVE('',#173330,#173329,#96830,.F.); #218259=EDGE_CURVE('',#173328,#173330,#144077,.T.); #218260=EDGE_CURVE('',#173331,#173332,#96831,.T.); #218261=EDGE_CURVE('',#173330,#173332,#96832,.T.); #218262=EDGE_CURVE('',#173329,#173331,#96833,.T.); #218263=EDGE_CURVE('',#173303,#173333,#144078,.T.); #218264=EDGE_CURVE('',#173302,#173334,#96834,.T.); #218265=EDGE_CURVE('',#173334,#173335,#96835,.F.); #218266=EDGE_CURVE('',#173335,#173336,#144079,.T.); #218267=EDGE_CURVE('',#173336,#173337,#96836,.T.); #218268=EDGE_CURVE('',#173337,#173333,#96837,.T.); #218269=EDGE_CURVE('',#173338,#173337,#96838,.T.); #218270=EDGE_CURVE('',#173336,#173339,#96839,.F.); #218271=EDGE_CURVE('',#173339,#173338,#96840,.T.); #218272=EDGE_CURVE('',#173301,#173340,#96841,.T.); #218273=EDGE_CURVE('',#173334,#173340,#96842,.F.); #218274=EDGE_CURVE('',#173340,#173341,#96843,.T.); #218275=EDGE_CURVE('',#173335,#173341,#96844,.T.); #218276=EDGE_CURVE('',#173341,#173339,#144080,.F.); #218277=EDGE_CURVE('',#173342,#173343,#96845,.T.); #218278=EDGE_CURVE('',#173343,#173344,#96846,.T.); #218279=EDGE_CURVE('',#173345,#173344,#96847,.T.); #218280=EDGE_CURVE('',#173342,#173345,#96848,.T.); #218281=EDGE_CURVE('',#173344,#173346,#144081,.F.); #218282=EDGE_CURVE('',#173347,#173346,#96849,.F.); #218283=EDGE_CURVE('',#173345,#173347,#144082,.T.); #218284=EDGE_CURVE('',#173348,#173349,#96850,.T.); #218285=EDGE_CURVE('',#173347,#173349,#96851,.T.); #218286=EDGE_CURVE('',#173346,#173348,#96852,.T.); #218287=EDGE_CURVE('',#173350,#173351,#96853,.T.); #218288=EDGE_CURVE('',#173352,#173351,#96854,.T.); #218289=EDGE_CURVE('',#173353,#173352,#96855,.F.); #218290=EDGE_CURVE('',#173353,#173350,#96856,.T.); #218291=EDGE_CURVE('',#173351,#173308,#96857,.T.); #218292=EDGE_CURVE('',#173352,#173307,#96858,.T.); #218293=EDGE_CURVE('',#173354,#173355,#96859,.T.); #218294=EDGE_CURVE('',#173355,#173356,#96860,.T.); #218295=EDGE_CURVE('',#173357,#173356,#96861,.T.); #218296=EDGE_CURVE('',#173354,#173357,#96862,.T.); #218297=EDGE_CURVE('',#173356,#173350,#144083,.F.); #218298=EDGE_CURVE('',#173357,#173353,#144084,.T.); #218299=EDGE_CURVE('',#173355,#173331,#96863,.T.); #218300=EDGE_CURVE('',#173326,#173348,#96864,.T.); #218301=EDGE_CURVE('',#173343,#173338,#96865,.T.); #218302=EDGE_CURVE('',#173358,#173359,#144085,.T.); #218303=EDGE_CURVE('',#173358,#173360,#96866,.T.); #218304=EDGE_CURVE('',#173360,#173361,#144086,.T.); #218305=EDGE_CURVE('',#173359,#173361,#96867,.T.); #218306=EDGE_CURVE('',#173362,#173363,#96868,.T.); #218307=EDGE_CURVE('',#173318,#173363,#96869,.T.); #218308=EDGE_CURVE('',#173364,#173317,#144087,.T.); #218309=EDGE_CURVE('',#173365,#173364,#96870,.T.); #218310=EDGE_CURVE('',#173366,#173365,#144088,.T.); #218311=EDGE_CURVE('',#173367,#173366,#96871,.T.); #218312=EDGE_CURVE('',#173368,#173367,#96872,.T.); #218313=EDGE_CURVE('',#173369,#173368,#96873,.T.); #218314=EDGE_CURVE('',#173370,#173369,#96874,.T.); #218315=EDGE_CURVE('',#173324,#173370,#96875,.T.); #218316=EDGE_CURVE('',#173371,#173321,#96876,.T.); #218317=EDGE_CURVE('',#173362,#173371,#96877,.T.); #218318=EDGE_CURVE('',#173372,#173373,#96878,.T.); #218319=EDGE_CURVE('',#173373,#173370,#96879,.T.); #218320=EDGE_CURVE('',#173372,#173369,#96880,.T.); #218321=EDGE_CURVE('',#173374,#173372,#96881,.T.); #218322=EDGE_CURVE('',#173361,#173368,#96882,.T.); #218323=EDGE_CURVE('',#173374,#173360,#96883,.T.); #218324=EDGE_CURVE('',#173375,#173376,#144089,.T.); #218325=EDGE_CURVE('',#173375,#173377,#96884,.T.); #218326=EDGE_CURVE('',#173378,#173377,#144090,.T.); #218327=EDGE_CURVE('',#173378,#173323,#96885,.T.); #218328=EDGE_CURVE('',#173373,#173379,#96886,.T.); #218329=EDGE_CURVE('',#173380,#173379,#96887,.T.); #218330=EDGE_CURVE('',#173380,#173376,#96888,.T.); #218331=EDGE_CURVE('',#173313,#173380,#96889,.T.); #218332=EDGE_CURVE('',#173379,#173314,#96890,.T.); #218333=EDGE_CURVE('',#173381,#173382,#144091,.T.); #218334=EDGE_CURVE('',#173381,#173309,#96891,.T.); #218335=EDGE_CURVE('',#173312,#173383,#96892,.T.); #218336=EDGE_CURVE('',#173383,#173362,#96893,.T.); #218337=EDGE_CURVE('',#173384,#173371,#96894,.T.); #218338=EDGE_CURVE('',#173384,#173385,#96895,.T.); #218339=EDGE_CURVE('',#173386,#173385,#144092,.T.); #218340=EDGE_CURVE('',#173386,#173382,#96896,.T.); #218341=EDGE_CURVE('',#173320,#173384,#96897,.T.); #218342=EDGE_CURVE('',#173387,#173388,#96898,.T.); #218343=EDGE_CURVE('',#173389,#173388,#96899,.T.); #218344=EDGE_CURVE('',#173389,#173390,#96900,.T.); #218345=EDGE_CURVE('',#173387,#173390,#96901,.T.); #218346=EDGE_CURVE('',#173391,#173387,#144093,.T.); #218347=EDGE_CURVE('',#173390,#173392,#144094,.T.); #218348=EDGE_CURVE('',#173391,#173392,#96902,.T.); #218349=EDGE_CURVE('',#173393,#173391,#96903,.T.); #218350=EDGE_CURVE('',#173392,#173394,#96904,.T.); #218351=EDGE_CURVE('',#173393,#173394,#96905,.T.); #218352=EDGE_CURVE('',#173395,#173393,#144095,.T.); #218353=EDGE_CURVE('',#173394,#173396,#144096,.T.); #218354=EDGE_CURVE('',#173395,#173396,#96906,.T.); #218355=EDGE_CURVE('',#173397,#173395,#96907,.T.); #218356=EDGE_CURVE('',#173396,#173398,#96908,.T.); #218357=EDGE_CURVE('',#173398,#173397,#96909,.T.); #218358=EDGE_CURVE('',#173399,#173397,#96910,.T.); #218359=EDGE_CURVE('',#173398,#173374,#96911,.T.); #218360=EDGE_CURVE('',#173399,#173374,#96912,.T.); #218361=EDGE_CURVE('',#173400,#173399,#96913,.T.); #218362=EDGE_CURVE('',#173400,#173358,#96914,.T.); #218363=EDGE_CURVE('',#173401,#173402,#96915,.T.); #218364=EDGE_CURVE('',#173359,#173402,#96916,.T.); #218365=EDGE_CURVE('',#173368,#173401,#96917,.T.); #218366=EDGE_CURVE('',#173403,#173401,#96918,.T.); #218367=EDGE_CURVE('',#173367,#173403,#96919,.T.); #218368=EDGE_CURVE('',#173404,#173403,#96920,.T.); #218369=EDGE_CURVE('',#173366,#173404,#96921,.T.); #218370=EDGE_CURVE('',#173405,#173404,#144097,.T.); #218371=EDGE_CURVE('',#173405,#173365,#96922,.T.); #218372=EDGE_CURVE('',#173406,#173405,#96923,.T.); #218373=EDGE_CURVE('',#173406,#173364,#96924,.T.); #218374=EDGE_CURVE('',#173316,#173406,#144098,.T.); #218375=EDGE_CURVE('',#173407,#173383,#96925,.T.); #218376=EDGE_CURVE('',#173407,#173389,#96926,.T.); #218377=EDGE_CURVE('',#173363,#173407,#96927,.T.); #218378=EDGE_CURVE('',#173408,#173409,#144099,.T.); #218379=EDGE_CURVE('',#173408,#173342,#96928,.T.); #218380=EDGE_CURVE('',#173349,#173409,#96929,.T.); #218381=EDGE_CURVE('',#173272,#173378,#96930,.T.); #218382=EDGE_CURVE('',#173377,#173273,#96931,.T.); #218383=EDGE_CURVE('',#173410,#173411,#96932,.T.); #218384=EDGE_CURVE('',#173332,#173410,#96933,.T.); #218385=EDGE_CURVE('',#173412,#173354,#96934,.T.); #218386=EDGE_CURVE('',#173413,#173412,#96935,.T.); #218387=EDGE_CURVE('',#173402,#173413,#96936,.T.); #218388=EDGE_CURVE('',#173411,#173315,#96937,.T.); #218389=EDGE_CURVE('',#173412,#173306,#144100,.T.); #218390=EDGE_CURVE('',#173305,#173413,#144101,.T.); #218391=EDGE_CURVE('',#173414,#173410,#144102,.T.); #218392=EDGE_CURVE('',#173414,#173325,#96938,.T.); #218393=EDGE_CURVE('',#173411,#173415,#144103,.T.); #218394=EDGE_CURVE('',#173415,#173414,#96939,.T.); #218395=EDGE_CURVE('',#173385,#172980,#96940,.T.); #218396=EDGE_CURVE('',#172966,#172980,#144104,.T.); #218397=EDGE_CURVE('',#172966,#173386,#96941,.T.); #218398=EDGE_CURVE('',#173274,#173375,#96942,.T.); #218399=EDGE_CURVE('',#173376,#173275,#96943,.T.); #218400=EDGE_CURVE('',#173416,#173408,#96944,.T.); #218401=EDGE_CURVE('',#173388,#173416,#96945,.T.); #218402=EDGE_CURVE('',#173417,#173400,#96946,.T.); #218403=EDGE_CURVE('',#173333,#173417,#96947,.T.); #218404=EDGE_CURVE('',#173417,#173304,#144105,.T.); #218405=EDGE_CURVE('',#173409,#173418,#96948,.T.); #218406=EDGE_CURVE('',#173418,#173415,#96949,.T.); #218407=EDGE_CURVE('',#173418,#173416,#144106,.T.); #218408=EDGE_CURVE('',#173419,#173420,#96950,.T.); #218409=EDGE_CURVE('',#173420,#172985,#96951,.T.); #218410=EDGE_CURVE('',#172985,#173421,#96952,.T.); #218411=EDGE_CURVE('',#173422,#173421,#144107,.T.); #218412=EDGE_CURVE('',#173423,#173422,#96953,.T.); #218413=EDGE_CURVE('',#173419,#173423,#144108,.T.); #218414=EDGE_CURVE('',#172970,#172964,#144109,.T.); #218415=EDGE_CURVE('',#172970,#173381,#96954,.T.); #218416=EDGE_CURVE('',#173382,#172964,#96955,.T.); #218417=EDGE_CURVE('',#173261,#173253,#96956,.T.); #218418=EDGE_CURVE('',#173253,#173424,#96957,.T.); #218419=EDGE_CURVE('',#173424,#173425,#96958,.T.); #218420=EDGE_CURVE('',#173425,#173261,#96959,.T.); #218421=EDGE_CURVE('',#172963,#172971,#144110,.T.); #218422=EDGE_CURVE('',#173426,#172983,#144111,.T.); #218423=EDGE_CURVE('',#173426,#173427,#96960,.T.); #218424=EDGE_CURVE('',#173428,#173427,#96961,.T.); #218425=EDGE_CURVE('',#173429,#173428,#96962,.T.); #218426=EDGE_CURVE('',#173429,#173430,#96963,.T.); #218427=EDGE_CURVE('',#173431,#173430,#96964,.T.); #218428=EDGE_CURVE('',#173431,#173432,#96965,.T.); #218429=EDGE_CURVE('',#173433,#173432,#96966,.T.); #218430=EDGE_CURVE('',#173433,#173434,#96967,.T.); #218431=EDGE_CURVE('',#173434,#173435,#96968,.T.); #218432=EDGE_CURVE('',#172975,#173435,#144112,.T.); #218433=EDGE_CURVE('',#173436,#172974,#96969,.T.); #218434=EDGE_CURVE('',#173436,#173437,#144113,.T.); #218435=EDGE_CURVE('',#173438,#173437,#222,.F.); #218436=EDGE_CURVE('',#173438,#173439,#96970,.T.); #218437=EDGE_CURVE('',#173440,#173439,#144114,.T.); #218438=EDGE_CURVE('',#173440,#173441,#96971,.T.); #218439=EDGE_CURVE('',#173442,#173441,#96972,.T.); #218440=EDGE_CURVE('',#173442,#173443,#96973,.T.); #218441=EDGE_CURVE('',#173444,#173443,#144115,.T.); #218442=EDGE_CURVE('',#173444,#173445,#96974,.T.); #218443=EDGE_CURVE('',#173445,#173446,#144116,.T.); #218444=EDGE_CURVE('',#173447,#173446,#223,.F.); #218445=EDGE_CURVE('',#173447,#172972,#96975,.T.); #218446=EDGE_CURVE('',#173448,#172962,#96976,.T.); #218447=EDGE_CURVE('',#173449,#173448,#96977,.T.); #218448=EDGE_CURVE('',#173449,#173450,#96978,.T.); #218449=EDGE_CURVE('',#173451,#173450,#96979,.T.); #218450=EDGE_CURVE('',#173452,#173451,#96980,.T.); #218451=EDGE_CURVE('',#173453,#173452,#96981,.T.); #218452=EDGE_CURVE('',#173453,#172969,#96982,.T.); #218453=EDGE_CURVE('',#172979,#172968,#144117,.T.); #218454=EDGE_CURVE('',#173454,#172978,#96983,.T.); #218455=EDGE_CURVE('',#173454,#173455,#144118,.T.); #218456=EDGE_CURVE('',#173455,#173456,#96984,.T.); #218457=EDGE_CURVE('',#173457,#173456,#144119,.T.); #218458=EDGE_CURVE('',#173457,#173458,#96985,.T.); #218459=EDGE_CURVE('',#173459,#173458,#96986,.T.); #218460=EDGE_CURVE('',#173459,#173460,#96987,.T.); #218461=EDGE_CURVE('',#173461,#173460,#144120,.T.); #218462=EDGE_CURVE('',#173461,#173462,#96988,.T.); #218463=EDGE_CURVE('',#173462,#173463,#144121,.T.); #218464=EDGE_CURVE('',#173463,#172984,#96989,.T.); #218465=EDGE_CURVE('',#173435,#173276,#96990,.T.); #218466=EDGE_CURVE('',#173277,#173434,#96991,.T.); #218467=EDGE_CURVE('',#173271,#173426,#96992,.T.); #218468=EDGE_CURVE('',#173266,#173420,#96993,.T.); #218469=EDGE_CURVE('',#173254,#173453,#96994,.T.); #218470=EDGE_CURVE('',#173427,#173284,#96995,.T.); #218471=EDGE_CURVE('',#173428,#173283,#96996,.T.); #218472=EDGE_CURVE('',#173278,#173433,#96997,.T.); #218473=EDGE_CURVE('',#173448,#173259,#96998,.T.); #218474=EDGE_CURVE('',#173258,#173449,#96999,.T.); #218475=EDGE_CURVE('',#173450,#173257,#97000,.T.); #218476=EDGE_CURVE('',#173464,#173465,#97001,.T.); #218477=EDGE_CURVE('',#173464,#173466,#97002,.T.); #218478=EDGE_CURVE('',#173466,#173467,#97003,.T.); #218479=EDGE_CURVE('',#173465,#173467,#97004,.T.); #218480=EDGE_CURVE('',#173468,#173469,#97005,.T.); #218481=EDGE_CURVE('',#173470,#173468,#97006,.T.); #218482=EDGE_CURVE('',#173471,#173470,#97007,.T.); #218483=EDGE_CURVE('',#173471,#173469,#97008,.T.); #218484=EDGE_CURVE('',#173471,#173451,#144122,.T.); #218485=EDGE_CURVE('',#173450,#173466,#144123,.T.); #218486=EDGE_CURVE('',#173466,#173471,#97009,.T.); #218487=EDGE_CURVE('',#173470,#173256,#144124,.T.); #218488=EDGE_CURVE('',#173470,#173467,#97010,.T.); #218489=EDGE_CURVE('',#173257,#173467,#144125,.T.); #218490=EDGE_CURVE('',#173451,#173256,#97011,.T.); #218491=EDGE_CURVE('',#173452,#173255,#97012,.T.); #218492=EDGE_CURVE('',#173472,#173464,#144126,.T.); #218493=EDGE_CURVE('',#173472,#173473,#97013,.T.); #218494=EDGE_CURVE('',#173469,#173473,#144127,.T.); #218495=EDGE_CURVE('',#173474,#173468,#144128,.T.); #218496=EDGE_CURVE('',#173473,#173474,#97014,.T.); #218497=EDGE_CURVE('',#173465,#173475,#144129,.T.); #218498=EDGE_CURVE('',#173474,#173475,#97015,.T.); #218499=EDGE_CURVE('',#173475,#173472,#97016,.T.); #218500=EDGE_CURVE('',#173282,#173429,#97017,.T.); #218501=EDGE_CURVE('',#173432,#173279,#97018,.T.); #218502=EDGE_CURVE('',#173431,#173280,#97019,.T.); #218503=EDGE_CURVE('',#173476,#173477,#97020,.T.); #218504=EDGE_CURVE('',#173478,#173476,#97021,.T.); #218505=EDGE_CURVE('',#173478,#173479,#97022,.T.); #218506=EDGE_CURVE('',#173479,#173477,#97023,.T.); #218507=EDGE_CURVE('',#173480,#173481,#97024,.T.); #218508=EDGE_CURVE('',#173480,#173482,#97025,.T.); #218509=EDGE_CURVE('',#173483,#173482,#97026,.T.); #218510=EDGE_CURVE('',#173481,#173483,#97027,.T.); #218511=EDGE_CURVE('',#173483,#173431,#144130,.T.); #218512=EDGE_CURVE('',#173430,#173478,#144131,.T.); #218513=EDGE_CURVE('',#173478,#173483,#97028,.T.); #218514=EDGE_CURVE('',#173482,#173280,#144132,.T.); #218515=EDGE_CURVE('',#173482,#173479,#97029,.T.); #218516=EDGE_CURVE('',#173281,#173479,#144133,.T.); #218517=EDGE_CURVE('',#173430,#173281,#97030,.T.); #218518=EDGE_CURVE('',#173484,#173476,#144134,.T.); #218519=EDGE_CURVE('',#173484,#173485,#97031,.T.); #218520=EDGE_CURVE('',#173481,#173485,#144135,.T.); #218521=EDGE_CURVE('',#173486,#173480,#144136,.T.); #218522=EDGE_CURVE('',#173485,#173486,#97032,.T.); #218523=EDGE_CURVE('',#173477,#173487,#144137,.T.); #218524=EDGE_CURVE('',#173486,#173487,#97033,.T.); #218525=EDGE_CURVE('',#173487,#173484,#97034,.T.); #218526=EDGE_CURVE('',#173421,#173463,#97035,.T.); #218527=EDGE_CURVE('',#173248,#173447,#97036,.T.); #218528=EDGE_CURVE('',#173262,#173440,#97037,.T.); #218529=EDGE_CURVE('',#173439,#173263,#97038,.T.); #218530=EDGE_CURVE('',#173488,#173425,#144138,.T.); #218531=EDGE_CURVE('',#173489,#173488,#97039,.T.); #218532=EDGE_CURVE('',#173490,#173489,#97040,.T.); #218533=EDGE_CURVE('',#173491,#173490,#97041,.T.); #218534=EDGE_CURVE('',#173441,#173491,#144139,.T.); #218535=EDGE_CURVE('',#173489,#173492,#144140,.T.); #218536=EDGE_CURVE('',#173492,#173493,#97042,.T.); #218537=EDGE_CURVE('',#173493,#173490,#144141,.T.); #218538=EDGE_CURVE('',#173494,#173492,#97043,.T.); #218539=EDGE_CURVE('',#173494,#173495,#97044,.T.); #218540=EDGE_CURVE('',#173493,#173495,#97045,.T.); #218541=EDGE_CURVE('',#173496,#173488,#97046,.T.); #218542=EDGE_CURVE('',#173496,#173497,#97047,.T.); #218543=EDGE_CURVE('',#173498,#173497,#144142,.T.); #218544=EDGE_CURVE('',#173498,#173499,#97048,.T.); #218545=EDGE_CURVE('',#173499,#173494,#97049,.T.); #218546=EDGE_CURVE('',#173443,#173252,#97050,.T.); #218547=EDGE_CURVE('',#173251,#173444,#97051,.T.); #218548=EDGE_CURVE('',#173500,#173442,#144143,.T.); #218549=EDGE_CURVE('',#173501,#173500,#97052,.T.); #218550=EDGE_CURVE('',#173497,#173501,#97053,.T.); #218551=EDGE_CURVE('',#173424,#173496,#144144,.T.); #218552=EDGE_CURVE('',#173501,#173502,#144145,.T.); #218553=EDGE_CURVE('',#173502,#173498,#97054,.T.); #218554=EDGE_CURVE('',#173495,#173503,#97055,.T.); #218555=EDGE_CURVE('',#173503,#173502,#97056,.T.); #218556=EDGE_CURVE('',#173491,#173500,#97057,.T.); #218557=EDGE_CURVE('',#173504,#173505,#144146,.T.); #218558=EDGE_CURVE('',#173505,#173506,#97058,.T.); #218559=EDGE_CURVE('',#173506,#173507,#144147,.T.); #218560=EDGE_CURVE('',#173507,#173504,#97059,.T.); #218561=EDGE_CURVE('',#173504,#173508,#97060,.T.); #218562=EDGE_CURVE('',#173509,#173508,#97061,.T.); #218563=EDGE_CURVE('',#173509,#173510,#97062,.T.); #218564=EDGE_CURVE('',#173511,#173510,#144148,.T.); #218565=EDGE_CURVE('',#173511,#173512,#97063,.T.); #218566=EDGE_CURVE('',#173512,#173513,#97064,.T.); #218567=EDGE_CURVE('',#173513,#173505,#97065,.T.); #218568=EDGE_CURVE('',#173514,#173507,#97066,.T.); #218569=EDGE_CURVE('',#173515,#173514,#144149,.T.); #218570=EDGE_CURVE('',#173420,#173515,#97067,.T.); #218571=EDGE_CURVE('',#173460,#173419,#97068,.T.); #218572=EDGE_CURVE('',#173508,#173459,#144150,.T.); #218573=EDGE_CURVE('',#173423,#173461,#97069,.T.); #218574=EDGE_CURVE('',#173516,#173517,#144151,.T.); #218575=EDGE_CURVE('',#173517,#173511,#97070,.T.); #218576=EDGE_CURVE('',#173510,#173516,#97071,.T.); #218577=EDGE_CURVE('',#173506,#173518,#97072,.T.); #218578=EDGE_CURVE('',#173518,#173519,#97073,.T.); #218579=EDGE_CURVE('',#173519,#173517,#97074,.T.); #218580=EDGE_CURVE('',#173516,#173520,#97075,.T.); #218581=EDGE_CURVE('',#173514,#173520,#97076,.T.); #218582=EDGE_CURVE('',#173458,#173509,#144152,.T.); #218583=EDGE_CURVE('',#173267,#173457,#97077,.T.); #218584=EDGE_CURVE('',#173521,#173266,#97078,.T.); #218585=EDGE_CURVE('',#173520,#173521,#144153,.T.); #218586=EDGE_CURVE('',#173456,#173268,#97079,.T.); #218587=EDGE_CURVE('',#173515,#173521,#97080,.T.); #218588=EDGE_CURVE('',#173513,#173518,#97081,.T.); #218589=EDGE_CURVE('',#173422,#173462,#97082,.T.); #218590=EDGE_CURVE('',#173269,#173455,#97083,.T.); #218591=EDGE_CURVE('',#173503,#173499,#97084,.T.); #218592=EDGE_CURVE('',#173264,#173438,#97085,.T.); #218593=EDGE_CURVE('',#173250,#173445,#97086,.T.); #218594=EDGE_CURVE('',#173519,#173512,#97087,.T.); #218595=EDGE_CURVE('',#173270,#173454,#97088,.T.); #218596=EDGE_CURVE('',#173260,#173436,#97089,.T.); #218597=EDGE_CURVE('',#173249,#173446,#97090,.T.); #218598=EDGE_CURVE('',#173265,#173437,#97091,.T.); #218599=EDGE_CURVE('',#173522,#173523,#144154,.T.); #218600=EDGE_CURVE('',#173524,#173522,#97092,.T.); #218601=EDGE_CURVE('',#173525,#173524,#144155,.T.); #218602=EDGE_CURVE('',#173523,#173525,#97093,.T.); #218603=EDGE_CURVE('',#173526,#173527,#144156,.T.); #218604=EDGE_CURVE('',#173528,#173526,#97094,.T.); #218605=EDGE_CURVE('',#173529,#173528,#144157,.T.); #218606=EDGE_CURVE('',#173527,#173529,#97095,.T.); #218607=EDGE_CURVE('',#173530,#173531,#97096,.T.); #218608=EDGE_CURVE('',#173530,#173532,#144158,.T.); #218609=EDGE_CURVE('',#173533,#173532,#97097,.T.); #218610=EDGE_CURVE('',#173531,#173533,#144159,.T.); #218611=EDGE_CURVE('',#173534,#173535,#97098,.T.); #218612=EDGE_CURVE('',#173536,#173534,#144160,.T.); #218613=EDGE_CURVE('',#173537,#173536,#97099,.T.); #218614=EDGE_CURVE('',#173537,#173535,#144161,.T.); #218615=EDGE_CURVE('',#173538,#173539,#97100,.T.); #218616=EDGE_CURVE('',#173538,#173503,#144162,.T.); #218617=EDGE_CURVE('',#173495,#173539,#144163,.T.); #218618=EDGE_CURVE('',#173540,#173499,#144164,.T.); #218619=EDGE_CURVE('',#173540,#173541,#97101,.T.); #218620=EDGE_CURVE('',#173494,#173541,#144165,.T.); #218621=EDGE_CURVE('',#173529,#173537,#97102,.T.); #218622=EDGE_CURVE('',#173525,#173528,#97103,.T.); #218623=EDGE_CURVE('',#173532,#173524,#97104,.T.); #218624=EDGE_CURVE('',#173532,#173537,#97105,.T.); #218625=EDGE_CURVE('',#173530,#173535,#97106,.T.); #218626=EDGE_CURVE('',#173542,#173530,#97107,.T.); #218627=EDGE_CURVE('',#173542,#173543,#97108,.T.); #218628=EDGE_CURVE('',#173535,#173543,#97109,.T.); #218629=EDGE_CURVE('',#173544,#173542,#144166,.T.); #218630=EDGE_CURVE('',#173544,#173545,#97110,.T.); #218631=EDGE_CURVE('',#173543,#173545,#144167,.T.); #218632=EDGE_CURVE('',#173544,#173538,#97111,.T.); #218633=EDGE_CURVE('',#173539,#173545,#97112,.T.); #218634=EDGE_CURVE('',#173523,#173526,#97113,.T.); #218635=EDGE_CURVE('',#173527,#173536,#97114,.T.); #218636=EDGE_CURVE('',#173533,#173522,#97115,.T.); #218637=EDGE_CURVE('',#173533,#173536,#97116,.T.); #218638=EDGE_CURVE('',#173531,#173534,#97117,.T.); #218639=EDGE_CURVE('',#173546,#173547,#97118,.T.); #218640=EDGE_CURVE('',#173546,#173531,#97119,.T.); #218641=EDGE_CURVE('',#173534,#173547,#97120,.T.); #218642=EDGE_CURVE('',#173548,#173549,#97121,.T.); #218643=EDGE_CURVE('',#173548,#173546,#144168,.T.); #218644=EDGE_CURVE('',#173547,#173549,#144169,.T.); #218645=EDGE_CURVE('',#173548,#173540,#97122,.T.); #218646=EDGE_CURVE('',#173541,#173549,#97123,.T.); #218647=EDGE_CURVE('',#173543,#173547,#97124,.T.); #218648=EDGE_CURVE('',#173549,#173545,#97125,.T.); #218649=EDGE_CURVE('',#173539,#173541,#97126,.T.); #218650=EDGE_CURVE('',#173546,#173542,#97127,.T.); #218651=EDGE_CURVE('',#173544,#173548,#97128,.T.); #218652=EDGE_CURVE('',#173540,#173538,#97129,.T.); #218653=EDGE_CURVE('',#173550,#173551,#144170,.T.); #218654=EDGE_CURVE('',#173552,#173550,#97130,.T.); #218655=EDGE_CURVE('',#173553,#173552,#144171,.T.); #218656=EDGE_CURVE('',#173551,#173553,#97131,.T.); #218657=EDGE_CURVE('',#173554,#173555,#144172,.T.); #218658=EDGE_CURVE('',#173556,#173554,#97132,.T.); #218659=EDGE_CURVE('',#173557,#173556,#144173,.T.); #218660=EDGE_CURVE('',#173555,#173557,#97133,.T.); #218661=EDGE_CURVE('',#173558,#173559,#97134,.T.); #218662=EDGE_CURVE('',#173558,#173560,#144174,.T.); #218663=EDGE_CURVE('',#173561,#173560,#97135,.T.); #218664=EDGE_CURVE('',#173559,#173561,#144175,.T.); #218665=EDGE_CURVE('',#173562,#173563,#97136,.T.); #218666=EDGE_CURVE('',#173564,#173562,#144176,.T.); #218667=EDGE_CURVE('',#173565,#173564,#97137,.T.); #218668=EDGE_CURVE('',#173565,#173563,#144177,.T.); #218669=EDGE_CURVE('',#173566,#173512,#144178,.T.); #218670=EDGE_CURVE('',#173566,#173567,#97138,.T.); #218671=EDGE_CURVE('',#173513,#173567,#144179,.T.); #218672=EDGE_CURVE('',#173518,#173568,#144180,.T.); #218673=EDGE_CURVE('',#173569,#173568,#97139,.T.); #218674=EDGE_CURVE('',#173569,#173519,#144181,.T.); #218675=EDGE_CURVE('',#173551,#173554,#97140,.T.); #218676=EDGE_CURVE('',#173555,#173564,#97141,.T.); #218677=EDGE_CURVE('',#173561,#173564,#97142,.T.); #218678=EDGE_CURVE('',#173561,#173550,#97143,.T.); #218679=EDGE_CURVE('',#173559,#173562,#97144,.T.); #218680=EDGE_CURVE('',#173570,#173571,#97145,.T.); #218681=EDGE_CURVE('',#173570,#173559,#97146,.T.); #218682=EDGE_CURVE('',#173562,#173571,#97147,.T.); #218683=EDGE_CURVE('',#173572,#173566,#97148,.T.); #218684=EDGE_CURVE('',#173572,#173573,#97149,.T.); #218685=EDGE_CURVE('',#173567,#173573,#97150,.T.); #218686=EDGE_CURVE('',#173553,#173556,#97151,.T.); #218687=EDGE_CURVE('',#173557,#173565,#97152,.T.); #218688=EDGE_CURVE('',#173560,#173552,#97153,.T.); #218689=EDGE_CURVE('',#173560,#173565,#97154,.T.); #218690=EDGE_CURVE('',#173558,#173563,#97155,.T.); #218691=EDGE_CURVE('',#173574,#173558,#97156,.T.); #218692=EDGE_CURVE('',#173574,#173575,#97157,.T.); #218693=EDGE_CURVE('',#173563,#173575,#97158,.T.); #218694=EDGE_CURVE('',#173576,#173577,#97159,.T.); #218695=EDGE_CURVE('',#173576,#173569,#97160,.T.); #218696=EDGE_CURVE('',#173568,#173577,#97161,.T.); #218697=EDGE_CURVE('',#173575,#173571,#97162,.T.); #218698=EDGE_CURVE('',#173573,#173577,#97163,.T.); #218699=EDGE_CURVE('',#173571,#173573,#144182,.T.); #218700=EDGE_CURVE('',#173575,#173577,#144183,.T.); #218701=EDGE_CURVE('',#173568,#173567,#97164,.T.); #218702=EDGE_CURVE('',#173570,#173574,#97165,.T.); #218703=EDGE_CURVE('',#173566,#173569,#97166,.T.); #218704=EDGE_CURVE('',#173576,#173572,#97167,.T.); #218705=EDGE_CURVE('',#173576,#173574,#144184,.T.); #218706=EDGE_CURVE('',#173572,#173570,#144185,.T.); #218707=EDGE_CURVE('',#173578,#173578,#144186,.T.); #218708=EDGE_CURVE('',#173578,#173579,#97168,.T.); #218709=EDGE_CURVE('',#173579,#173579,#144187,.T.); #218710=EDGE_CURVE('',#173580,#173581,#97169,.T.); #218711=EDGE_CURVE('',#173581,#173582,#97170,.T.); #218712=EDGE_CURVE('',#173582,#173583,#97171,.T.); #218713=EDGE_CURVE('',#173583,#173580,#97172,.T.); #218714=EDGE_CURVE('',#173584,#173582,#97173,.T.); #218715=EDGE_CURVE('',#173581,#173585,#97174,.T.); #218716=EDGE_CURVE('',#173584,#173585,#97175,.T.); #218717=EDGE_CURVE('',#173586,#173580,#97176,.T.); #218718=EDGE_CURVE('',#173583,#173587,#97177,.T.); #218719=EDGE_CURVE('',#173587,#173586,#97178,.T.); #218720=EDGE_CURVE('',#173585,#173586,#97179,.T.); #218721=EDGE_CURVE('',#173587,#173584,#97180,.T.); #218722=EDGE_CURVE('',#173583,#173588,#97181,.T.); #218723=EDGE_CURVE('',#173589,#173588,#97182,.T.); #218724=EDGE_CURVE('',#173587,#173589,#97183,.T.); #218725=EDGE_CURVE('',#173582,#173590,#97184,.T.); #218726=EDGE_CURVE('',#173588,#173590,#97185,.T.); #218727=EDGE_CURVE('',#173591,#173589,#97186,.T.); #218728=EDGE_CURVE('',#173584,#173591,#97187,.T.); #218729=EDGE_CURVE('',#173590,#173591,#97188,.T.); #218730=EDGE_CURVE('',#173592,#173593,#144188,.T.); #218731=EDGE_CURVE('',#173592,#173594,#97189,.T.); #218732=EDGE_CURVE('',#173594,#173595,#97190,.T.); #218733=EDGE_CURVE('',#173593,#173595,#97191,.T.); #218734=EDGE_CURVE('',#173593,#173596,#97192,.T.); #218735=EDGE_CURVE('',#173596,#173597,#144189,.T.); #218736=EDGE_CURVE('',#173597,#173592,#97193,.T.); #218737=EDGE_CURVE('',#173598,#173599,#97194,.T.); #218738=EDGE_CURVE('',#173599,#173600,#97195,.T.); #218739=EDGE_CURVE('',#173601,#173600,#97196,.T.); #218740=EDGE_CURVE('',#173598,#173601,#97197,.T.); #218741=EDGE_CURVE('',#173594,#173598,#97198,.T.); #218742=EDGE_CURVE('',#173595,#173601,#97199,.T.); #218743=EDGE_CURVE('',#173602,#173596,#97200,.T.); #218744=EDGE_CURVE('',#173603,#173602,#97201,.T.); #218745=EDGE_CURVE('',#173603,#173597,#97202,.T.); #218746=EDGE_CURVE('',#173599,#173603,#97203,.T.); #218747=EDGE_CURVE('',#173600,#173602,#97204,.T.); #218748=EDGE_CURVE('',#173604,#173605,#144190,.T.); #218749=EDGE_CURVE('',#173605,#173606,#97205,.T.); #218750=EDGE_CURVE('',#173607,#173606,#97206,.T.); #218751=EDGE_CURVE('',#173604,#173607,#97207,.T.); #218752=EDGE_CURVE('',#173608,#173604,#97208,.T.); #218753=EDGE_CURVE('',#173609,#173608,#144191,.T.); #218754=EDGE_CURVE('',#173605,#173609,#97209,.T.); #218755=EDGE_CURVE('',#173610,#173611,#97210,.T.); #218756=EDGE_CURVE('',#173610,#173612,#97211,.T.); #218757=EDGE_CURVE('',#173612,#173613,#97212,.T.); #218758=EDGE_CURVE('',#173611,#173613,#97213,.T.); #218759=EDGE_CURVE('',#173607,#173610,#97214,.T.); #218760=EDGE_CURVE('',#173606,#173612,#97215,.T.); #218761=EDGE_CURVE('',#173614,#173608,#97216,.T.); #218762=EDGE_CURVE('',#173614,#173615,#97217,.T.); #218763=EDGE_CURVE('',#173615,#173609,#97218,.T.); #218764=EDGE_CURVE('',#173611,#173614,#97219,.T.); #218765=EDGE_CURVE('',#173613,#173615,#97220,.T.); #218766=EDGE_CURVE('',#173616,#173617,#144192,.T.); #218767=EDGE_CURVE('',#173616,#173618,#97221,.T.); #218768=EDGE_CURVE('',#173618,#173619,#97222,.T.); #218769=EDGE_CURVE('',#173617,#173619,#97223,.T.); #218770=EDGE_CURVE('',#173617,#173620,#97224,.T.); #218771=EDGE_CURVE('',#173620,#173621,#144193,.T.); #218772=EDGE_CURVE('',#173621,#173616,#97225,.T.); #218773=EDGE_CURVE('',#173622,#173623,#97226,.T.); #218774=EDGE_CURVE('',#173623,#173624,#97227,.T.); #218775=EDGE_CURVE('',#173625,#173624,#97228,.T.); #218776=EDGE_CURVE('',#173622,#173625,#97229,.T.); #218777=EDGE_CURVE('',#173618,#173622,#97230,.T.); #218778=EDGE_CURVE('',#173619,#173625,#97231,.T.); #218779=EDGE_CURVE('',#173626,#173620,#97232,.T.); #218780=EDGE_CURVE('',#173627,#173626,#97233,.T.); #218781=EDGE_CURVE('',#173627,#173621,#97234,.T.); #218782=EDGE_CURVE('',#173623,#173627,#97235,.T.); #218783=EDGE_CURVE('',#173624,#173626,#97236,.T.); #218784=EDGE_CURVE('',#173628,#173629,#144194,.T.); #218785=EDGE_CURVE('',#173628,#173630,#97237,.T.); #218786=EDGE_CURVE('',#173630,#173631,#97238,.T.); #218787=EDGE_CURVE('',#173629,#173631,#97239,.T.); #218788=EDGE_CURVE('',#173629,#173632,#97240,.T.); #218789=EDGE_CURVE('',#173632,#173633,#144195,.T.); #218790=EDGE_CURVE('',#173633,#173628,#97241,.T.); #218791=EDGE_CURVE('',#173634,#173635,#97242,.T.); #218792=EDGE_CURVE('',#173635,#173636,#97243,.T.); #218793=EDGE_CURVE('',#173637,#173636,#97244,.T.); #218794=EDGE_CURVE('',#173634,#173637,#97245,.T.); #218795=EDGE_CURVE('',#173630,#173634,#97246,.T.); #218796=EDGE_CURVE('',#173631,#173637,#97247,.T.); #218797=EDGE_CURVE('',#173638,#173632,#97248,.T.); #218798=EDGE_CURVE('',#173639,#173638,#97249,.T.); #218799=EDGE_CURVE('',#173639,#173633,#97250,.T.); #218800=EDGE_CURVE('',#173635,#173639,#97251,.T.); #218801=EDGE_CURVE('',#173636,#173638,#97252,.T.); #218802=EDGE_CURVE('',#173640,#173641,#144196,.T.); #218803=EDGE_CURVE('',#173640,#173642,#97253,.T.); #218804=EDGE_CURVE('',#173642,#173643,#97254,.T.); #218805=EDGE_CURVE('',#173641,#173643,#97255,.T.); #218806=EDGE_CURVE('',#173641,#173644,#97256,.T.); #218807=EDGE_CURVE('',#173644,#173645,#144197,.T.); #218808=EDGE_CURVE('',#173645,#173640,#97257,.T.); #218809=EDGE_CURVE('',#173646,#173647,#97258,.T.); #218810=EDGE_CURVE('',#173647,#173648,#97259,.T.); #218811=EDGE_CURVE('',#173649,#173648,#97260,.T.); #218812=EDGE_CURVE('',#173646,#173649,#97261,.T.); #218813=EDGE_CURVE('',#173642,#173646,#97262,.T.); #218814=EDGE_CURVE('',#173643,#173649,#97263,.T.); #218815=EDGE_CURVE('',#173650,#173644,#97264,.T.); #218816=EDGE_CURVE('',#173651,#173650,#97265,.T.); #218817=EDGE_CURVE('',#173651,#173645,#97266,.T.); #218818=EDGE_CURVE('',#173647,#173651,#97267,.T.); #218819=EDGE_CURVE('',#173648,#173650,#97268,.T.); #218820=EDGE_CURVE('',#173652,#173653,#144198,.T.); #218821=EDGE_CURVE('',#173652,#173654,#97269,.T.); #218822=EDGE_CURVE('',#173654,#173655,#97270,.T.); #218823=EDGE_CURVE('',#173653,#173655,#97271,.T.); #218824=EDGE_CURVE('',#173653,#173656,#97272,.T.); #218825=EDGE_CURVE('',#173656,#173657,#144199,.T.); #218826=EDGE_CURVE('',#173657,#173652,#97273,.T.); #218827=EDGE_CURVE('',#173658,#173659,#97274,.T.); #218828=EDGE_CURVE('',#173659,#173660,#97275,.T.); #218829=EDGE_CURVE('',#173661,#173660,#97276,.T.); #218830=EDGE_CURVE('',#173658,#173661,#97277,.T.); #218831=EDGE_CURVE('',#173654,#173658,#97278,.T.); #218832=EDGE_CURVE('',#173655,#173661,#97279,.T.); #218833=EDGE_CURVE('',#173662,#173656,#97280,.T.); #218834=EDGE_CURVE('',#173663,#173662,#97281,.T.); #218835=EDGE_CURVE('',#173663,#173657,#97282,.T.); #218836=EDGE_CURVE('',#173659,#173663,#97283,.T.); #218837=EDGE_CURVE('',#173660,#173662,#97284,.T.); #218838=EDGE_CURVE('',#173664,#173665,#144200,.T.); #218839=EDGE_CURVE('',#173664,#173666,#97285,.T.); #218840=EDGE_CURVE('',#173666,#173667,#97286,.T.); #218841=EDGE_CURVE('',#173665,#173667,#97287,.T.); #218842=EDGE_CURVE('',#173665,#173668,#97288,.T.); #218843=EDGE_CURVE('',#173668,#173669,#144201,.T.); #218844=EDGE_CURVE('',#173669,#173664,#97289,.T.); #218845=EDGE_CURVE('',#173670,#173671,#97290,.T.); #218846=EDGE_CURVE('',#173671,#173672,#97291,.T.); #218847=EDGE_CURVE('',#173673,#173672,#97292,.T.); #218848=EDGE_CURVE('',#173670,#173673,#97293,.T.); #218849=EDGE_CURVE('',#173666,#173670,#97294,.T.); #218850=EDGE_CURVE('',#173667,#173673,#97295,.T.); #218851=EDGE_CURVE('',#173674,#173668,#97296,.T.); #218852=EDGE_CURVE('',#173675,#173674,#97297,.T.); #218853=EDGE_CURVE('',#173675,#173669,#97298,.T.); #218854=EDGE_CURVE('',#173671,#173675,#97299,.T.); #218855=EDGE_CURVE('',#173672,#173674,#97300,.T.); #218856=EDGE_CURVE('',#173676,#173677,#144202,.T.); #218857=EDGE_CURVE('',#173676,#173678,#97301,.T.); #218858=EDGE_CURVE('',#173678,#173679,#97302,.T.); #218859=EDGE_CURVE('',#173677,#173679,#97303,.T.); #218860=EDGE_CURVE('',#173677,#173680,#97304,.T.); #218861=EDGE_CURVE('',#173680,#173681,#144203,.T.); #218862=EDGE_CURVE('',#173681,#173676,#97305,.T.); #218863=EDGE_CURVE('',#173682,#173683,#97306,.T.); #218864=EDGE_CURVE('',#173683,#173684,#97307,.T.); #218865=EDGE_CURVE('',#173685,#173684,#97308,.T.); #218866=EDGE_CURVE('',#173682,#173685,#97309,.T.); #218867=EDGE_CURVE('',#173678,#173682,#97310,.T.); #218868=EDGE_CURVE('',#173679,#173685,#97311,.T.); #218869=EDGE_CURVE('',#173686,#173680,#97312,.T.); #218870=EDGE_CURVE('',#173687,#173686,#97313,.T.); #218871=EDGE_CURVE('',#173687,#173681,#97314,.T.); #218872=EDGE_CURVE('',#173683,#173687,#97315,.T.); #218873=EDGE_CURVE('',#173684,#173686,#97316,.T.); #218874=EDGE_CURVE('',#173688,#173689,#144204,.T.); #218875=EDGE_CURVE('',#173688,#173690,#97317,.T.); #218876=EDGE_CURVE('',#173690,#173691,#97318,.T.); #218877=EDGE_CURVE('',#173689,#173691,#97319,.T.); #218878=EDGE_CURVE('',#173689,#173692,#97320,.T.); #218879=EDGE_CURVE('',#173692,#173693,#144205,.T.); #218880=EDGE_CURVE('',#173693,#173688,#97321,.T.); #218881=EDGE_CURVE('',#173694,#173695,#97322,.T.); #218882=EDGE_CURVE('',#173695,#173696,#97323,.T.); #218883=EDGE_CURVE('',#173697,#173696,#97324,.T.); #218884=EDGE_CURVE('',#173694,#173697,#97325,.T.); #218885=EDGE_CURVE('',#173690,#173694,#97326,.T.); #218886=EDGE_CURVE('',#173691,#173697,#97327,.T.); #218887=EDGE_CURVE('',#173698,#173692,#97328,.T.); #218888=EDGE_CURVE('',#173699,#173698,#97329,.T.); #218889=EDGE_CURVE('',#173699,#173693,#97330,.T.); #218890=EDGE_CURVE('',#173695,#173699,#97331,.T.); #218891=EDGE_CURVE('',#173696,#173698,#97332,.T.); #218892=EDGE_CURVE('',#173700,#173701,#144206,.T.); #218893=EDGE_CURVE('',#173700,#173702,#97333,.T.); #218894=EDGE_CURVE('',#173702,#173703,#97334,.T.); #218895=EDGE_CURVE('',#173701,#173703,#97335,.T.); #218896=EDGE_CURVE('',#173701,#173704,#97336,.T.); #218897=EDGE_CURVE('',#173704,#173705,#144207,.T.); #218898=EDGE_CURVE('',#173705,#173700,#97337,.T.); #218899=EDGE_CURVE('',#173706,#173707,#97338,.T.); #218900=EDGE_CURVE('',#173707,#173708,#97339,.T.); #218901=EDGE_CURVE('',#173709,#173708,#97340,.T.); #218902=EDGE_CURVE('',#173706,#173709,#97341,.T.); #218903=EDGE_CURVE('',#173702,#173706,#97342,.T.); #218904=EDGE_CURVE('',#173703,#173709,#97343,.T.); #218905=EDGE_CURVE('',#173710,#173704,#97344,.T.); #218906=EDGE_CURVE('',#173711,#173710,#97345,.T.); #218907=EDGE_CURVE('',#173711,#173705,#97346,.T.); #218908=EDGE_CURVE('',#173707,#173711,#97347,.T.); #218909=EDGE_CURVE('',#173708,#173710,#97348,.T.); #218910=EDGE_CURVE('',#173712,#173713,#144208,.T.); #218911=EDGE_CURVE('',#173712,#173714,#97349,.T.); #218912=EDGE_CURVE('',#173714,#173715,#97350,.T.); #218913=EDGE_CURVE('',#173713,#173715,#97351,.T.); #218914=EDGE_CURVE('',#173713,#173716,#97352,.T.); #218915=EDGE_CURVE('',#173716,#173717,#144209,.T.); #218916=EDGE_CURVE('',#173717,#173712,#97353,.T.); #218917=EDGE_CURVE('',#173718,#173719,#97354,.T.); #218918=EDGE_CURVE('',#173719,#173720,#97355,.T.); #218919=EDGE_CURVE('',#173721,#173720,#97356,.T.); #218920=EDGE_CURVE('',#173718,#173721,#97357,.T.); #218921=EDGE_CURVE('',#173714,#173718,#97358,.T.); #218922=EDGE_CURVE('',#173715,#173721,#97359,.T.); #218923=EDGE_CURVE('',#173722,#173716,#97360,.T.); #218924=EDGE_CURVE('',#173723,#173722,#97361,.T.); #218925=EDGE_CURVE('',#173723,#173717,#97362,.T.); #218926=EDGE_CURVE('',#173719,#173723,#97363,.T.); #218927=EDGE_CURVE('',#173720,#173722,#97364,.T.); #218928=EDGE_CURVE('',#173724,#173725,#144210,.T.); #218929=EDGE_CURVE('',#173724,#173726,#97365,.T.); #218930=EDGE_CURVE('',#173726,#173727,#97366,.T.); #218931=EDGE_CURVE('',#173725,#173727,#97367,.T.); #218932=EDGE_CURVE('',#173725,#173728,#97368,.T.); #218933=EDGE_CURVE('',#173728,#173729,#144211,.T.); #218934=EDGE_CURVE('',#173729,#173724,#97369,.T.); #218935=EDGE_CURVE('',#173730,#173731,#97370,.T.); #218936=EDGE_CURVE('',#173731,#173732,#97371,.T.); #218937=EDGE_CURVE('',#173733,#173732,#97372,.T.); #218938=EDGE_CURVE('',#173730,#173733,#97373,.T.); #218939=EDGE_CURVE('',#173726,#173730,#97374,.T.); #218940=EDGE_CURVE('',#173727,#173733,#97375,.T.); #218941=EDGE_CURVE('',#173734,#173728,#97376,.T.); #218942=EDGE_CURVE('',#173735,#173734,#97377,.T.); #218943=EDGE_CURVE('',#173735,#173729,#97378,.T.); #218944=EDGE_CURVE('',#173731,#173735,#97379,.T.); #218945=EDGE_CURVE('',#173732,#173734,#97380,.T.); #218946=EDGE_CURVE('',#173736,#173737,#144212,.T.); #218947=EDGE_CURVE('',#173736,#173738,#97381,.T.); #218948=EDGE_CURVE('',#173738,#173739,#97382,.T.); #218949=EDGE_CURVE('',#173737,#173739,#97383,.T.); #218950=EDGE_CURVE('',#173737,#173740,#97384,.T.); #218951=EDGE_CURVE('',#173740,#173741,#144213,.T.); #218952=EDGE_CURVE('',#173741,#173736,#97385,.T.); #218953=EDGE_CURVE('',#173742,#173743,#97386,.T.); #218954=EDGE_CURVE('',#173743,#173744,#97387,.T.); #218955=EDGE_CURVE('',#173745,#173744,#97388,.T.); #218956=EDGE_CURVE('',#173742,#173745,#97389,.T.); #218957=EDGE_CURVE('',#173738,#173742,#97390,.T.); #218958=EDGE_CURVE('',#173739,#173745,#97391,.T.); #218959=EDGE_CURVE('',#173746,#173740,#97392,.T.); #218960=EDGE_CURVE('',#173747,#173746,#97393,.T.); #218961=EDGE_CURVE('',#173747,#173741,#97394,.T.); #218962=EDGE_CURVE('',#173743,#173747,#97395,.T.); #218963=EDGE_CURVE('',#173744,#173746,#97396,.T.); #218964=EDGE_CURVE('',#173748,#173749,#144214,.T.); #218965=EDGE_CURVE('',#173749,#173750,#97397,.T.); #218966=EDGE_CURVE('',#173751,#173750,#97398,.T.); #218967=EDGE_CURVE('',#173748,#173751,#97399,.T.); #218968=EDGE_CURVE('',#173752,#173748,#97400,.T.); #218969=EDGE_CURVE('',#173753,#173752,#144215,.T.); #218970=EDGE_CURVE('',#173749,#173753,#97401,.T.); #218971=EDGE_CURVE('',#173754,#173755,#97402,.T.); #218972=EDGE_CURVE('',#173754,#173756,#97403,.T.); #218973=EDGE_CURVE('',#173756,#173757,#97404,.T.); #218974=EDGE_CURVE('',#173755,#173757,#97405,.T.); #218975=EDGE_CURVE('',#173751,#173754,#97406,.T.); #218976=EDGE_CURVE('',#173750,#173756,#97407,.T.); #218977=EDGE_CURVE('',#173758,#173752,#97408,.T.); #218978=EDGE_CURVE('',#173758,#173759,#97409,.T.); #218979=EDGE_CURVE('',#173759,#173753,#97410,.T.); #218980=EDGE_CURVE('',#173755,#173758,#97411,.T.); #218981=EDGE_CURVE('',#173757,#173759,#97412,.T.); #218982=EDGE_CURVE('',#173760,#173761,#144216,.T.); #218983=EDGE_CURVE('',#173761,#173762,#97413,.T.); #218984=EDGE_CURVE('',#173763,#173762,#97414,.T.); #218985=EDGE_CURVE('',#173760,#173763,#97415,.T.); #218986=EDGE_CURVE('',#173764,#173760,#97416,.T.); #218987=EDGE_CURVE('',#173765,#173764,#144217,.T.); #218988=EDGE_CURVE('',#173761,#173765,#97417,.T.); #218989=EDGE_CURVE('',#173766,#173767,#97418,.T.); #218990=EDGE_CURVE('',#173766,#173768,#97419,.T.); #218991=EDGE_CURVE('',#173768,#173769,#97420,.T.); #218992=EDGE_CURVE('',#173767,#173769,#97421,.T.); #218993=EDGE_CURVE('',#173763,#173766,#97422,.T.); #218994=EDGE_CURVE('',#173762,#173768,#97423,.T.); #218995=EDGE_CURVE('',#173770,#173764,#97424,.T.); #218996=EDGE_CURVE('',#173770,#173771,#97425,.T.); #218997=EDGE_CURVE('',#173771,#173765,#97426,.T.); #218998=EDGE_CURVE('',#173767,#173770,#97427,.T.); #218999=EDGE_CURVE('',#173769,#173771,#97428,.T.); #219000=EDGE_CURVE('',#173772,#173773,#144218,.T.); #219001=EDGE_CURVE('',#173773,#173774,#97429,.T.); #219002=EDGE_CURVE('',#173775,#173774,#97430,.T.); #219003=EDGE_CURVE('',#173772,#173775,#97431,.T.); #219004=EDGE_CURVE('',#173776,#173772,#97432,.T.); #219005=EDGE_CURVE('',#173777,#173776,#144219,.T.); #219006=EDGE_CURVE('',#173773,#173777,#97433,.T.); #219007=EDGE_CURVE('',#173778,#173779,#97434,.T.); #219008=EDGE_CURVE('',#173778,#173780,#97435,.T.); #219009=EDGE_CURVE('',#173780,#173781,#97436,.T.); #219010=EDGE_CURVE('',#173779,#173781,#97437,.T.); #219011=EDGE_CURVE('',#173775,#173778,#97438,.T.); #219012=EDGE_CURVE('',#173774,#173780,#97439,.T.); #219013=EDGE_CURVE('',#173782,#173776,#97440,.T.); #219014=EDGE_CURVE('',#173782,#173783,#97441,.T.); #219015=EDGE_CURVE('',#173783,#173777,#97442,.T.); #219016=EDGE_CURVE('',#173779,#173782,#97443,.T.); #219017=EDGE_CURVE('',#173781,#173783,#97444,.T.); #219018=EDGE_CURVE('',#173784,#173785,#144220,.T.); #219019=EDGE_CURVE('',#173785,#173786,#97445,.T.); #219020=EDGE_CURVE('',#173787,#173786,#97446,.T.); #219021=EDGE_CURVE('',#173784,#173787,#97447,.T.); #219022=EDGE_CURVE('',#173788,#173784,#97448,.T.); #219023=EDGE_CURVE('',#173789,#173788,#144221,.T.); #219024=EDGE_CURVE('',#173785,#173789,#97449,.T.); #219025=EDGE_CURVE('',#173790,#173791,#97450,.T.); #219026=EDGE_CURVE('',#173790,#173792,#97451,.T.); #219027=EDGE_CURVE('',#173792,#173793,#97452,.T.); #219028=EDGE_CURVE('',#173791,#173793,#97453,.T.); #219029=EDGE_CURVE('',#173787,#173790,#97454,.T.); #219030=EDGE_CURVE('',#173786,#173792,#97455,.T.); #219031=EDGE_CURVE('',#173794,#173788,#97456,.T.); #219032=EDGE_CURVE('',#173794,#173795,#97457,.T.); #219033=EDGE_CURVE('',#173795,#173789,#97458,.T.); #219034=EDGE_CURVE('',#173791,#173794,#97459,.T.); #219035=EDGE_CURVE('',#173793,#173795,#97460,.T.); #219036=EDGE_CURVE('',#173796,#173797,#144222,.T.); #219037=EDGE_CURVE('',#173797,#173798,#97461,.T.); #219038=EDGE_CURVE('',#173799,#173798,#97462,.T.); #219039=EDGE_CURVE('',#173796,#173799,#97463,.T.); #219040=EDGE_CURVE('',#173800,#173796,#97464,.T.); #219041=EDGE_CURVE('',#173801,#173800,#144223,.T.); #219042=EDGE_CURVE('',#173797,#173801,#97465,.T.); #219043=EDGE_CURVE('',#173802,#173803,#97466,.T.); #219044=EDGE_CURVE('',#173802,#173804,#97467,.T.); #219045=EDGE_CURVE('',#173804,#173805,#97468,.T.); #219046=EDGE_CURVE('',#173803,#173805,#97469,.T.); #219047=EDGE_CURVE('',#173799,#173802,#97470,.T.); #219048=EDGE_CURVE('',#173798,#173804,#97471,.T.); #219049=EDGE_CURVE('',#173806,#173800,#97472,.T.); #219050=EDGE_CURVE('',#173806,#173807,#97473,.T.); #219051=EDGE_CURVE('',#173807,#173801,#97474,.T.); #219052=EDGE_CURVE('',#173803,#173806,#97475,.T.); #219053=EDGE_CURVE('',#173805,#173807,#97476,.T.); #219054=EDGE_CURVE('',#173808,#173809,#144224,.T.); #219055=EDGE_CURVE('',#173809,#173810,#97477,.T.); #219056=EDGE_CURVE('',#173811,#173810,#97478,.T.); #219057=EDGE_CURVE('',#173808,#173811,#97479,.T.); #219058=EDGE_CURVE('',#173812,#173808,#97480,.T.); #219059=EDGE_CURVE('',#173813,#173812,#144225,.T.); #219060=EDGE_CURVE('',#173809,#173813,#97481,.T.); #219061=EDGE_CURVE('',#173814,#173815,#97482,.T.); #219062=EDGE_CURVE('',#173814,#173816,#97483,.T.); #219063=EDGE_CURVE('',#173816,#173817,#97484,.T.); #219064=EDGE_CURVE('',#173815,#173817,#97485,.T.); #219065=EDGE_CURVE('',#173811,#173814,#97486,.T.); #219066=EDGE_CURVE('',#173810,#173816,#97487,.T.); #219067=EDGE_CURVE('',#173818,#173812,#97488,.T.); #219068=EDGE_CURVE('',#173818,#173819,#97489,.T.); #219069=EDGE_CURVE('',#173819,#173813,#97490,.T.); #219070=EDGE_CURVE('',#173815,#173818,#97491,.T.); #219071=EDGE_CURVE('',#173817,#173819,#97492,.T.); #219072=EDGE_CURVE('',#173820,#173821,#144226,.T.); #219073=EDGE_CURVE('',#173821,#173822,#97493,.T.); #219074=EDGE_CURVE('',#173823,#173822,#97494,.T.); #219075=EDGE_CURVE('',#173820,#173823,#97495,.T.); #219076=EDGE_CURVE('',#173824,#173820,#97496,.T.); #219077=EDGE_CURVE('',#173825,#173824,#144227,.T.); #219078=EDGE_CURVE('',#173821,#173825,#97497,.T.); #219079=EDGE_CURVE('',#173826,#173827,#97498,.T.); #219080=EDGE_CURVE('',#173826,#173828,#97499,.T.); #219081=EDGE_CURVE('',#173828,#173829,#97500,.T.); #219082=EDGE_CURVE('',#173827,#173829,#97501,.T.); #219083=EDGE_CURVE('',#173823,#173826,#97502,.T.); #219084=EDGE_CURVE('',#173822,#173828,#97503,.T.); #219085=EDGE_CURVE('',#173830,#173824,#97504,.T.); #219086=EDGE_CURVE('',#173830,#173831,#97505,.T.); #219087=EDGE_CURVE('',#173831,#173825,#97506,.T.); #219088=EDGE_CURVE('',#173827,#173830,#97507,.T.); #219089=EDGE_CURVE('',#173829,#173831,#97508,.T.); #219090=EDGE_CURVE('',#173832,#173833,#144228,.T.); #219091=EDGE_CURVE('',#173833,#173834,#97509,.T.); #219092=EDGE_CURVE('',#173835,#173834,#97510,.T.); #219093=EDGE_CURVE('',#173832,#173835,#97511,.T.); #219094=EDGE_CURVE('',#173836,#173832,#97512,.T.); #219095=EDGE_CURVE('',#173837,#173836,#144229,.T.); #219096=EDGE_CURVE('',#173833,#173837,#97513,.T.); #219097=EDGE_CURVE('',#173838,#173839,#97514,.T.); #219098=EDGE_CURVE('',#173838,#173840,#97515,.T.); #219099=EDGE_CURVE('',#173840,#173841,#97516,.T.); #219100=EDGE_CURVE('',#173839,#173841,#97517,.T.); #219101=EDGE_CURVE('',#173835,#173838,#97518,.T.); #219102=EDGE_CURVE('',#173834,#173840,#97519,.T.); #219103=EDGE_CURVE('',#173842,#173836,#97520,.T.); #219104=EDGE_CURVE('',#173842,#173843,#97521,.T.); #219105=EDGE_CURVE('',#173843,#173837,#97522,.T.); #219106=EDGE_CURVE('',#173839,#173842,#97523,.T.); #219107=EDGE_CURVE('',#173841,#173843,#97524,.T.); #219108=EDGE_CURVE('',#173844,#173845,#144230,.T.); #219109=EDGE_CURVE('',#173845,#173846,#97525,.T.); #219110=EDGE_CURVE('',#173847,#173846,#97526,.T.); #219111=EDGE_CURVE('',#173844,#173847,#97527,.T.); #219112=EDGE_CURVE('',#173848,#173844,#97528,.T.); #219113=EDGE_CURVE('',#173849,#173848,#144231,.T.); #219114=EDGE_CURVE('',#173845,#173849,#97529,.T.); #219115=EDGE_CURVE('',#173850,#173851,#97530,.T.); #219116=EDGE_CURVE('',#173850,#173852,#97531,.T.); #219117=EDGE_CURVE('',#173852,#173853,#97532,.T.); #219118=EDGE_CURVE('',#173851,#173853,#97533,.T.); #219119=EDGE_CURVE('',#173847,#173850,#97534,.T.); #219120=EDGE_CURVE('',#173846,#173852,#97535,.T.); #219121=EDGE_CURVE('',#173854,#173848,#97536,.T.); #219122=EDGE_CURVE('',#173854,#173855,#97537,.T.); #219123=EDGE_CURVE('',#173855,#173849,#97538,.T.); #219124=EDGE_CURVE('',#173851,#173854,#97539,.T.); #219125=EDGE_CURVE('',#173853,#173855,#97540,.T.); #219126=EDGE_CURVE('',#173856,#173857,#144232,.T.); #219127=EDGE_CURVE('',#173857,#173858,#97541,.T.); #219128=EDGE_CURVE('',#173859,#173858,#97542,.T.); #219129=EDGE_CURVE('',#173856,#173859,#97543,.T.); #219130=EDGE_CURVE('',#173860,#173856,#97544,.T.); #219131=EDGE_CURVE('',#173861,#173860,#144233,.T.); #219132=EDGE_CURVE('',#173857,#173861,#97545,.T.); #219133=EDGE_CURVE('',#173862,#173863,#97546,.T.); #219134=EDGE_CURVE('',#173862,#173864,#97547,.T.); #219135=EDGE_CURVE('',#173864,#173865,#97548,.T.); #219136=EDGE_CURVE('',#173863,#173865,#97549,.T.); #219137=EDGE_CURVE('',#173859,#173862,#97550,.T.); #219138=EDGE_CURVE('',#173858,#173864,#97551,.T.); #219139=EDGE_CURVE('',#173866,#173860,#97552,.T.); #219140=EDGE_CURVE('',#173866,#173867,#97553,.T.); #219141=EDGE_CURVE('',#173867,#173861,#97554,.T.); #219142=EDGE_CURVE('',#173863,#173866,#97555,.T.); #219143=EDGE_CURVE('',#173865,#173867,#97556,.T.); #219144=EDGE_CURVE('',#173868,#173869,#144234,.T.); #219145=EDGE_CURVE('',#173869,#173870,#97557,.T.); #219146=EDGE_CURVE('',#173871,#173870,#97558,.T.); #219147=EDGE_CURVE('',#173868,#173871,#97559,.T.); #219148=EDGE_CURVE('',#173872,#173868,#97560,.T.); #219149=EDGE_CURVE('',#173873,#173872,#144235,.T.); #219150=EDGE_CURVE('',#173869,#173873,#97561,.T.); #219151=EDGE_CURVE('',#173874,#173875,#97562,.T.); #219152=EDGE_CURVE('',#173874,#173876,#97563,.T.); #219153=EDGE_CURVE('',#173876,#173877,#97564,.T.); #219154=EDGE_CURVE('',#173875,#173877,#97565,.T.); #219155=EDGE_CURVE('',#173871,#173874,#97566,.T.); #219156=EDGE_CURVE('',#173870,#173876,#97567,.T.); #219157=EDGE_CURVE('',#173878,#173872,#97568,.T.); #219158=EDGE_CURVE('',#173878,#173879,#97569,.T.); #219159=EDGE_CURVE('',#173879,#173873,#97570,.T.); #219160=EDGE_CURVE('',#173875,#173878,#97571,.T.); #219161=EDGE_CURVE('',#173877,#173879,#97572,.T.); #219162=EDGE_CURVE('',#173880,#173881,#144236,.T.); #219163=EDGE_CURVE('',#173882,#173880,#97573,.T.); #219164=EDGE_CURVE('',#173882,#173883,#97574,.T.); #219165=EDGE_CURVE('',#173881,#173883,#97575,.T.); #219166=EDGE_CURVE('',#173884,#173885,#144237,.T.); #219167=EDGE_CURVE('',#173885,#173880,#97576,.T.); #219168=EDGE_CURVE('',#173881,#173884,#97577,.T.); #219169=EDGE_CURVE('',#173886,#173884,#97578,.T.); #219170=EDGE_CURVE('',#173887,#173886,#97579,.T.); #219171=EDGE_CURVE('',#173885,#173887,#97580,.T.); #219172=EDGE_CURVE('',#173888,#173887,#97581,.T.); #219173=EDGE_CURVE('',#173889,#173886,#97582,.T.); #219174=EDGE_CURVE('',#173888,#173889,#97583,.T.); #219175=EDGE_CURVE('',#173890,#173888,#97584,.T.); #219176=EDGE_CURVE('',#173891,#173889,#97585,.T.); #219177=EDGE_CURVE('',#173890,#173891,#97586,.T.); #219178=EDGE_CURVE('',#173882,#173890,#97587,.T.); #219179=EDGE_CURVE('',#173883,#173891,#97588,.T.); #219180=EDGE_CURVE('',#173892,#173893,#144238,.T.); #219181=EDGE_CURVE('',#173893,#173894,#97589,.T.); #219182=EDGE_CURVE('',#173895,#173894,#97590,.T.); #219183=EDGE_CURVE('',#173895,#173892,#97591,.T.); #219184=EDGE_CURVE('',#173896,#173897,#144239,.T.); #219185=EDGE_CURVE('',#173893,#173896,#97592,.T.); #219186=EDGE_CURVE('',#173897,#173892,#97593,.T.); #219187=EDGE_CURVE('',#173897,#173898,#97594,.T.); #219188=EDGE_CURVE('',#173898,#173899,#97595,.T.); #219189=EDGE_CURVE('',#173899,#173896,#97596,.T.); #219190=EDGE_CURVE('',#173900,#173898,#97597,.T.); #219191=EDGE_CURVE('',#173900,#173901,#97598,.T.); #219192=EDGE_CURVE('',#173901,#173899,#97599,.T.); #219193=EDGE_CURVE('',#173902,#173900,#97600,.T.); #219194=EDGE_CURVE('',#173902,#173903,#97601,.T.); #219195=EDGE_CURVE('',#173903,#173901,#97602,.T.); #219196=EDGE_CURVE('',#173895,#173902,#97603,.T.); #219197=EDGE_CURVE('',#173894,#173903,#97604,.T.); #219198=EDGE_CURVE('',#173904,#173905,#144240,.T.); #219199=EDGE_CURVE('',#173906,#173904,#97605,.T.); #219200=EDGE_CURVE('',#173906,#173907,#97606,.T.); #219201=EDGE_CURVE('',#173905,#173907,#97607,.T.); #219202=EDGE_CURVE('',#173908,#173909,#144241,.T.); #219203=EDGE_CURVE('',#173909,#173904,#97608,.T.); #219204=EDGE_CURVE('',#173905,#173908,#97609,.T.); #219205=EDGE_CURVE('',#173910,#173908,#97610,.T.); #219206=EDGE_CURVE('',#173911,#173910,#97611,.T.); #219207=EDGE_CURVE('',#173909,#173911,#97612,.T.); #219208=EDGE_CURVE('',#173912,#173911,#97613,.T.); #219209=EDGE_CURVE('',#173913,#173910,#97614,.T.); #219210=EDGE_CURVE('',#173912,#173913,#97615,.T.); #219211=EDGE_CURVE('',#173914,#173912,#97616,.T.); #219212=EDGE_CURVE('',#173915,#173913,#97617,.T.); #219213=EDGE_CURVE('',#173914,#173915,#97618,.T.); #219214=EDGE_CURVE('',#173906,#173914,#97619,.T.); #219215=EDGE_CURVE('',#173907,#173915,#97620,.T.); #219216=EDGE_CURVE('',#173916,#173917,#144242,.T.); #219217=EDGE_CURVE('',#173918,#173916,#97621,.T.); #219218=EDGE_CURVE('',#173918,#173919,#97622,.T.); #219219=EDGE_CURVE('',#173917,#173919,#97623,.T.); #219220=EDGE_CURVE('',#173920,#173921,#144243,.T.); #219221=EDGE_CURVE('',#173921,#173916,#97624,.T.); #219222=EDGE_CURVE('',#173917,#173920,#97625,.T.); #219223=EDGE_CURVE('',#173922,#173920,#97626,.T.); #219224=EDGE_CURVE('',#173923,#173922,#97627,.T.); #219225=EDGE_CURVE('',#173921,#173923,#97628,.T.); #219226=EDGE_CURVE('',#173924,#173923,#97629,.T.); #219227=EDGE_CURVE('',#173925,#173922,#97630,.T.); #219228=EDGE_CURVE('',#173924,#173925,#97631,.T.); #219229=EDGE_CURVE('',#173926,#173924,#97632,.T.); #219230=EDGE_CURVE('',#173927,#173925,#97633,.T.); #219231=EDGE_CURVE('',#173926,#173927,#97634,.T.); #219232=EDGE_CURVE('',#173918,#173926,#97635,.T.); #219233=EDGE_CURVE('',#173919,#173927,#97636,.T.); #219234=EDGE_CURVE('',#173928,#173929,#144244,.T.); #219235=EDGE_CURVE('',#173930,#173928,#97637,.T.); #219236=EDGE_CURVE('',#173930,#173931,#97638,.T.); #219237=EDGE_CURVE('',#173929,#173931,#97639,.T.); #219238=EDGE_CURVE('',#173932,#173933,#144245,.T.); #219239=EDGE_CURVE('',#173933,#173928,#97640,.T.); #219240=EDGE_CURVE('',#173929,#173932,#97641,.T.); #219241=EDGE_CURVE('',#173934,#173932,#97642,.T.); #219242=EDGE_CURVE('',#173935,#173934,#97643,.T.); #219243=EDGE_CURVE('',#173933,#173935,#97644,.T.); #219244=EDGE_CURVE('',#173936,#173935,#97645,.T.); #219245=EDGE_CURVE('',#173937,#173934,#97646,.T.); #219246=EDGE_CURVE('',#173936,#173937,#97647,.T.); #219247=EDGE_CURVE('',#173938,#173936,#97648,.T.); #219248=EDGE_CURVE('',#173939,#173937,#97649,.T.); #219249=EDGE_CURVE('',#173938,#173939,#97650,.T.); #219250=EDGE_CURVE('',#173930,#173938,#97651,.T.); #219251=EDGE_CURVE('',#173931,#173939,#97652,.T.); #219252=EDGE_CURVE('',#173940,#173941,#144246,.T.); #219253=EDGE_CURVE('',#173942,#173940,#97653,.T.); #219254=EDGE_CURVE('',#173942,#173943,#97654,.T.); #219255=EDGE_CURVE('',#173941,#173943,#97655,.T.); #219256=EDGE_CURVE('',#173944,#173945,#144247,.T.); #219257=EDGE_CURVE('',#173945,#173940,#97656,.T.); #219258=EDGE_CURVE('',#173941,#173944,#97657,.T.); #219259=EDGE_CURVE('',#173946,#173944,#97658,.T.); #219260=EDGE_CURVE('',#173947,#173946,#97659,.T.); #219261=EDGE_CURVE('',#173945,#173947,#97660,.T.); #219262=EDGE_CURVE('',#173948,#173947,#97661,.T.); #219263=EDGE_CURVE('',#173949,#173946,#97662,.T.); #219264=EDGE_CURVE('',#173948,#173949,#97663,.T.); #219265=EDGE_CURVE('',#173950,#173948,#97664,.T.); #219266=EDGE_CURVE('',#173951,#173949,#97665,.T.); #219267=EDGE_CURVE('',#173950,#173951,#97666,.T.); #219268=EDGE_CURVE('',#173942,#173950,#97667,.T.); #219269=EDGE_CURVE('',#173943,#173951,#97668,.T.); #219270=EDGE_CURVE('',#173952,#173953,#144248,.T.); #219271=EDGE_CURVE('',#173954,#173952,#97669,.T.); #219272=EDGE_CURVE('',#173954,#173955,#97670,.T.); #219273=EDGE_CURVE('',#173953,#173955,#97671,.T.); #219274=EDGE_CURVE('',#173956,#173957,#144249,.T.); #219275=EDGE_CURVE('',#173957,#173952,#97672,.T.); #219276=EDGE_CURVE('',#173953,#173956,#97673,.T.); #219277=EDGE_CURVE('',#173958,#173956,#97674,.T.); #219278=EDGE_CURVE('',#173959,#173958,#97675,.T.); #219279=EDGE_CURVE('',#173957,#173959,#97676,.T.); #219280=EDGE_CURVE('',#173960,#173959,#97677,.T.); #219281=EDGE_CURVE('',#173961,#173958,#97678,.T.); #219282=EDGE_CURVE('',#173960,#173961,#97679,.T.); #219283=EDGE_CURVE('',#173962,#173960,#97680,.T.); #219284=EDGE_CURVE('',#173963,#173961,#97681,.T.); #219285=EDGE_CURVE('',#173962,#173963,#97682,.T.); #219286=EDGE_CURVE('',#173954,#173962,#97683,.T.); #219287=EDGE_CURVE('',#173955,#173963,#97684,.T.); #219288=EDGE_CURVE('',#173964,#173965,#144250,.T.); #219289=EDGE_CURVE('',#173966,#173964,#97685,.T.); #219290=EDGE_CURVE('',#173966,#173967,#97686,.T.); #219291=EDGE_CURVE('',#173965,#173967,#97687,.T.); #219292=EDGE_CURVE('',#173968,#173969,#144251,.T.); #219293=EDGE_CURVE('',#173969,#173964,#97688,.T.); #219294=EDGE_CURVE('',#173965,#173968,#97689,.T.); #219295=EDGE_CURVE('',#173970,#173968,#97690,.T.); #219296=EDGE_CURVE('',#173971,#173970,#97691,.T.); #219297=EDGE_CURVE('',#173969,#173971,#97692,.T.); #219298=EDGE_CURVE('',#173972,#173971,#97693,.T.); #219299=EDGE_CURVE('',#173973,#173970,#97694,.T.); #219300=EDGE_CURVE('',#173972,#173973,#97695,.T.); #219301=EDGE_CURVE('',#173974,#173972,#97696,.T.); #219302=EDGE_CURVE('',#173975,#173973,#97697,.T.); #219303=EDGE_CURVE('',#173974,#173975,#97698,.T.); #219304=EDGE_CURVE('',#173966,#173974,#97699,.T.); #219305=EDGE_CURVE('',#173967,#173975,#97700,.T.); #219306=EDGE_CURVE('',#173976,#173977,#144252,.T.); #219307=EDGE_CURVE('',#173978,#173976,#97701,.T.); #219308=EDGE_CURVE('',#173978,#173979,#97702,.T.); #219309=EDGE_CURVE('',#173977,#173979,#97703,.T.); #219310=EDGE_CURVE('',#173980,#173981,#144253,.T.); #219311=EDGE_CURVE('',#173981,#173976,#97704,.T.); #219312=EDGE_CURVE('',#173977,#173980,#97705,.T.); #219313=EDGE_CURVE('',#173982,#173980,#97706,.T.); #219314=EDGE_CURVE('',#173983,#173982,#97707,.T.); #219315=EDGE_CURVE('',#173981,#173983,#97708,.T.); #219316=EDGE_CURVE('',#173984,#173983,#97709,.T.); #219317=EDGE_CURVE('',#173985,#173982,#97710,.T.); #219318=EDGE_CURVE('',#173984,#173985,#97711,.T.); #219319=EDGE_CURVE('',#173986,#173984,#97712,.T.); #219320=EDGE_CURVE('',#173987,#173985,#97713,.T.); #219321=EDGE_CURVE('',#173986,#173987,#97714,.T.); #219322=EDGE_CURVE('',#173978,#173986,#97715,.T.); #219323=EDGE_CURVE('',#173979,#173987,#97716,.T.); #219324=EDGE_CURVE('',#173988,#173989,#144254,.T.); #219325=EDGE_CURVE('',#173990,#173988,#97717,.T.); #219326=EDGE_CURVE('',#173990,#173991,#97718,.T.); #219327=EDGE_CURVE('',#173989,#173991,#97719,.T.); #219328=EDGE_CURVE('',#173992,#173993,#144255,.T.); #219329=EDGE_CURVE('',#173993,#173988,#97720,.T.); #219330=EDGE_CURVE('',#173989,#173992,#97721,.T.); #219331=EDGE_CURVE('',#173994,#173992,#97722,.T.); #219332=EDGE_CURVE('',#173995,#173994,#97723,.T.); #219333=EDGE_CURVE('',#173993,#173995,#97724,.T.); #219334=EDGE_CURVE('',#173996,#173995,#97725,.T.); #219335=EDGE_CURVE('',#173997,#173994,#97726,.T.); #219336=EDGE_CURVE('',#173996,#173997,#97727,.T.); #219337=EDGE_CURVE('',#173998,#173996,#97728,.T.); #219338=EDGE_CURVE('',#173999,#173997,#97729,.T.); #219339=EDGE_CURVE('',#173998,#173999,#97730,.T.); #219340=EDGE_CURVE('',#173990,#173998,#97731,.T.); #219341=EDGE_CURVE('',#173991,#173999,#97732,.T.); #219342=EDGE_CURVE('',#174000,#174001,#144256,.T.); #219343=EDGE_CURVE('',#174002,#174000,#97733,.T.); #219344=EDGE_CURVE('',#174002,#174003,#97734,.T.); #219345=EDGE_CURVE('',#174001,#174003,#97735,.T.); #219346=EDGE_CURVE('',#174004,#174005,#144257,.T.); #219347=EDGE_CURVE('',#174005,#174000,#97736,.T.); #219348=EDGE_CURVE('',#174001,#174004,#97737,.T.); #219349=EDGE_CURVE('',#174006,#174004,#97738,.T.); #219350=EDGE_CURVE('',#174007,#174006,#97739,.T.); #219351=EDGE_CURVE('',#174005,#174007,#97740,.T.); #219352=EDGE_CURVE('',#174008,#174007,#97741,.T.); #219353=EDGE_CURVE('',#174009,#174006,#97742,.T.); #219354=EDGE_CURVE('',#174008,#174009,#97743,.T.); #219355=EDGE_CURVE('',#174010,#174008,#97744,.T.); #219356=EDGE_CURVE('',#174011,#174009,#97745,.T.); #219357=EDGE_CURVE('',#174010,#174011,#97746,.T.); #219358=EDGE_CURVE('',#174002,#174010,#97747,.T.); #219359=EDGE_CURVE('',#174003,#174011,#97748,.T.); #219360=EDGE_CURVE('',#174012,#174013,#144258,.T.); #219361=EDGE_CURVE('',#174014,#174012,#97749,.T.); #219362=EDGE_CURVE('',#174014,#174015,#97750,.T.); #219363=EDGE_CURVE('',#174013,#174015,#97751,.T.); #219364=EDGE_CURVE('',#174016,#174017,#144259,.T.); #219365=EDGE_CURVE('',#174017,#174012,#97752,.T.); #219366=EDGE_CURVE('',#174013,#174016,#97753,.T.); #219367=EDGE_CURVE('',#174018,#174016,#97754,.T.); #219368=EDGE_CURVE('',#174019,#174018,#97755,.T.); #219369=EDGE_CURVE('',#174017,#174019,#97756,.T.); #219370=EDGE_CURVE('',#174020,#174019,#97757,.T.); #219371=EDGE_CURVE('',#174021,#174018,#97758,.T.); #219372=EDGE_CURVE('',#174020,#174021,#97759,.T.); #219373=EDGE_CURVE('',#174022,#174020,#97760,.T.); #219374=EDGE_CURVE('',#174023,#174021,#97761,.T.); #219375=EDGE_CURVE('',#174022,#174023,#97762,.T.); #219376=EDGE_CURVE('',#174014,#174022,#97763,.T.); #219377=EDGE_CURVE('',#174015,#174023,#97764,.T.); #219378=EDGE_CURVE('',#174024,#174025,#144260,.T.); #219379=EDGE_CURVE('',#174026,#174024,#97765,.T.); #219380=EDGE_CURVE('',#174026,#174027,#97766,.T.); #219381=EDGE_CURVE('',#174025,#174027,#97767,.T.); #219382=EDGE_CURVE('',#174028,#174029,#144261,.T.); #219383=EDGE_CURVE('',#174029,#174024,#97768,.T.); #219384=EDGE_CURVE('',#174025,#174028,#97769,.T.); #219385=EDGE_CURVE('',#174030,#174028,#97770,.T.); #219386=EDGE_CURVE('',#174031,#174030,#97771,.T.); #219387=EDGE_CURVE('',#174029,#174031,#97772,.T.); #219388=EDGE_CURVE('',#174032,#174031,#97773,.T.); #219389=EDGE_CURVE('',#174033,#174030,#97774,.T.); #219390=EDGE_CURVE('',#174032,#174033,#97775,.T.); #219391=EDGE_CURVE('',#174034,#174032,#97776,.T.); #219392=EDGE_CURVE('',#174035,#174033,#97777,.T.); #219393=EDGE_CURVE('',#174034,#174035,#97778,.T.); #219394=EDGE_CURVE('',#174026,#174034,#97779,.T.); #219395=EDGE_CURVE('',#174027,#174035,#97780,.T.); #219396=EDGE_CURVE('',#174036,#174037,#144262,.T.); #219397=EDGE_CURVE('',#174037,#174038,#97781,.T.); #219398=EDGE_CURVE('',#174039,#174038,#97782,.T.); #219399=EDGE_CURVE('',#174039,#174036,#97783,.T.); #219400=EDGE_CURVE('',#174040,#174041,#144263,.T.); #219401=EDGE_CURVE('',#174037,#174040,#97784,.T.); #219402=EDGE_CURVE('',#174041,#174036,#97785,.T.); #219403=EDGE_CURVE('',#174041,#174042,#97786,.T.); #219404=EDGE_CURVE('',#174042,#174043,#97787,.T.); #219405=EDGE_CURVE('',#174043,#174040,#97788,.T.); #219406=EDGE_CURVE('',#174044,#174042,#97789,.T.); #219407=EDGE_CURVE('',#174044,#174045,#97790,.T.); #219408=EDGE_CURVE('',#174045,#174043,#97791,.T.); #219409=EDGE_CURVE('',#174046,#174044,#97792,.T.); #219410=EDGE_CURVE('',#174046,#174047,#97793,.T.); #219411=EDGE_CURVE('',#174047,#174045,#97794,.T.); #219412=EDGE_CURVE('',#174039,#174046,#97795,.T.); #219413=EDGE_CURVE('',#174038,#174047,#97796,.T.); #219414=EDGE_CURVE('',#174048,#174049,#144264,.T.); #219415=EDGE_CURVE('',#174049,#174050,#97797,.T.); #219416=EDGE_CURVE('',#174051,#174050,#97798,.T.); #219417=EDGE_CURVE('',#174051,#174048,#97799,.T.); #219418=EDGE_CURVE('',#174052,#174053,#144265,.T.); #219419=EDGE_CURVE('',#174049,#174052,#97800,.T.); #219420=EDGE_CURVE('',#174053,#174048,#97801,.T.); #219421=EDGE_CURVE('',#174053,#174054,#97802,.T.); #219422=EDGE_CURVE('',#174054,#174055,#97803,.T.); #219423=EDGE_CURVE('',#174055,#174052,#97804,.T.); #219424=EDGE_CURVE('',#174056,#174054,#97805,.T.); #219425=EDGE_CURVE('',#174056,#174057,#97806,.T.); #219426=EDGE_CURVE('',#174057,#174055,#97807,.T.); #219427=EDGE_CURVE('',#174058,#174056,#97808,.T.); #219428=EDGE_CURVE('',#174058,#174059,#97809,.T.); #219429=EDGE_CURVE('',#174059,#174057,#97810,.T.); #219430=EDGE_CURVE('',#174051,#174058,#97811,.T.); #219431=EDGE_CURVE('',#174050,#174059,#97812,.T.); #219432=EDGE_CURVE('',#174060,#174061,#144266,.T.); #219433=EDGE_CURVE('',#174061,#174062,#97813,.T.); #219434=EDGE_CURVE('',#174063,#174062,#97814,.T.); #219435=EDGE_CURVE('',#174063,#174060,#97815,.T.); #219436=EDGE_CURVE('',#174064,#174065,#144267,.T.); #219437=EDGE_CURVE('',#174061,#174064,#97816,.T.); #219438=EDGE_CURVE('',#174065,#174060,#97817,.T.); #219439=EDGE_CURVE('',#174065,#174066,#97818,.T.); #219440=EDGE_CURVE('',#174066,#174067,#97819,.T.); #219441=EDGE_CURVE('',#174067,#174064,#97820,.T.); #219442=EDGE_CURVE('',#174068,#174066,#97821,.T.); #219443=EDGE_CURVE('',#174068,#174069,#97822,.T.); #219444=EDGE_CURVE('',#174069,#174067,#97823,.T.); #219445=EDGE_CURVE('',#174070,#174068,#97824,.T.); #219446=EDGE_CURVE('',#174070,#174071,#97825,.T.); #219447=EDGE_CURVE('',#174071,#174069,#97826,.T.); #219448=EDGE_CURVE('',#174063,#174070,#97827,.T.); #219449=EDGE_CURVE('',#174062,#174071,#97828,.T.); #219450=EDGE_CURVE('',#174072,#174073,#144268,.T.); #219451=EDGE_CURVE('',#174073,#174074,#97829,.T.); #219452=EDGE_CURVE('',#174075,#174074,#97830,.T.); #219453=EDGE_CURVE('',#174075,#174072,#97831,.T.); #219454=EDGE_CURVE('',#174076,#174077,#144269,.T.); #219455=EDGE_CURVE('',#174073,#174076,#97832,.T.); #219456=EDGE_CURVE('',#174077,#174072,#97833,.T.); #219457=EDGE_CURVE('',#174077,#174078,#97834,.T.); #219458=EDGE_CURVE('',#174078,#174079,#97835,.T.); #219459=EDGE_CURVE('',#174079,#174076,#97836,.T.); #219460=EDGE_CURVE('',#174080,#174078,#97837,.T.); #219461=EDGE_CURVE('',#174080,#174081,#97838,.T.); #219462=EDGE_CURVE('',#174081,#174079,#97839,.T.); #219463=EDGE_CURVE('',#174082,#174080,#97840,.T.); #219464=EDGE_CURVE('',#174082,#174083,#97841,.T.); #219465=EDGE_CURVE('',#174083,#174081,#97842,.T.); #219466=EDGE_CURVE('',#174075,#174082,#97843,.T.); #219467=EDGE_CURVE('',#174074,#174083,#97844,.T.); #219468=EDGE_CURVE('',#174084,#174085,#144270,.T.); #219469=EDGE_CURVE('',#174085,#174086,#97845,.T.); #219470=EDGE_CURVE('',#174087,#174086,#97846,.T.); #219471=EDGE_CURVE('',#174087,#174084,#97847,.T.); #219472=EDGE_CURVE('',#174088,#174089,#144271,.T.); #219473=EDGE_CURVE('',#174085,#174088,#97848,.T.); #219474=EDGE_CURVE('',#174089,#174084,#97849,.T.); #219475=EDGE_CURVE('',#174089,#174090,#97850,.T.); #219476=EDGE_CURVE('',#174090,#174091,#97851,.T.); #219477=EDGE_CURVE('',#174091,#174088,#97852,.T.); #219478=EDGE_CURVE('',#174092,#174090,#97853,.T.); #219479=EDGE_CURVE('',#174092,#174093,#97854,.T.); #219480=EDGE_CURVE('',#174093,#174091,#97855,.T.); #219481=EDGE_CURVE('',#174094,#174092,#97856,.T.); #219482=EDGE_CURVE('',#174094,#174095,#97857,.T.); #219483=EDGE_CURVE('',#174095,#174093,#97858,.T.); #219484=EDGE_CURVE('',#174087,#174094,#97859,.T.); #219485=EDGE_CURVE('',#174086,#174095,#97860,.T.); #219486=EDGE_CURVE('',#174096,#174097,#144272,.T.); #219487=EDGE_CURVE('',#174097,#174098,#97861,.T.); #219488=EDGE_CURVE('',#174099,#174098,#97862,.T.); #219489=EDGE_CURVE('',#174099,#174096,#97863,.T.); #219490=EDGE_CURVE('',#174100,#174101,#144273,.T.); #219491=EDGE_CURVE('',#174097,#174100,#97864,.T.); #219492=EDGE_CURVE('',#174101,#174096,#97865,.T.); #219493=EDGE_CURVE('',#174101,#174102,#97866,.T.); #219494=EDGE_CURVE('',#174102,#174103,#97867,.T.); #219495=EDGE_CURVE('',#174103,#174100,#97868,.T.); #219496=EDGE_CURVE('',#174104,#174102,#97869,.T.); #219497=EDGE_CURVE('',#174104,#174105,#97870,.T.); #219498=EDGE_CURVE('',#174105,#174103,#97871,.T.); #219499=EDGE_CURVE('',#174106,#174104,#97872,.T.); #219500=EDGE_CURVE('',#174106,#174107,#97873,.T.); #219501=EDGE_CURVE('',#174107,#174105,#97874,.T.); #219502=EDGE_CURVE('',#174099,#174106,#97875,.T.); #219503=EDGE_CURVE('',#174098,#174107,#97876,.T.); #219504=EDGE_CURVE('',#174108,#174109,#144274,.T.); #219505=EDGE_CURVE('',#174109,#174110,#97877,.T.); #219506=EDGE_CURVE('',#174111,#174110,#97878,.T.); #219507=EDGE_CURVE('',#174111,#174108,#97879,.T.); #219508=EDGE_CURVE('',#174112,#174113,#144275,.T.); #219509=EDGE_CURVE('',#174109,#174112,#97880,.T.); #219510=EDGE_CURVE('',#174113,#174108,#97881,.T.); #219511=EDGE_CURVE('',#174113,#174114,#97882,.T.); #219512=EDGE_CURVE('',#174114,#174115,#97883,.T.); #219513=EDGE_CURVE('',#174115,#174112,#97884,.T.); #219514=EDGE_CURVE('',#174116,#174114,#97885,.T.); #219515=EDGE_CURVE('',#174116,#174117,#97886,.T.); #219516=EDGE_CURVE('',#174117,#174115,#97887,.T.); #219517=EDGE_CURVE('',#174118,#174116,#97888,.T.); #219518=EDGE_CURVE('',#174118,#174119,#97889,.T.); #219519=EDGE_CURVE('',#174119,#174117,#97890,.T.); #219520=EDGE_CURVE('',#174111,#174118,#97891,.T.); #219521=EDGE_CURVE('',#174110,#174119,#97892,.T.); #219522=EDGE_CURVE('',#174120,#174121,#144276,.T.); #219523=EDGE_CURVE('',#174121,#174122,#97893,.T.); #219524=EDGE_CURVE('',#174123,#174122,#97894,.T.); #219525=EDGE_CURVE('',#174123,#174120,#97895,.T.); #219526=EDGE_CURVE('',#174124,#174125,#144277,.T.); #219527=EDGE_CURVE('',#174121,#174124,#97896,.T.); #219528=EDGE_CURVE('',#174125,#174120,#97897,.T.); #219529=EDGE_CURVE('',#174125,#174126,#97898,.T.); #219530=EDGE_CURVE('',#174126,#174127,#97899,.T.); #219531=EDGE_CURVE('',#174127,#174124,#97900,.T.); #219532=EDGE_CURVE('',#174128,#174126,#97901,.T.); #219533=EDGE_CURVE('',#174128,#174129,#97902,.T.); #219534=EDGE_CURVE('',#174129,#174127,#97903,.T.); #219535=EDGE_CURVE('',#174130,#174128,#97904,.T.); #219536=EDGE_CURVE('',#174130,#174131,#97905,.T.); #219537=EDGE_CURVE('',#174131,#174129,#97906,.T.); #219538=EDGE_CURVE('',#174123,#174130,#97907,.T.); #219539=EDGE_CURVE('',#174122,#174131,#97908,.T.); #219540=EDGE_CURVE('',#174132,#174133,#144278,.T.); #219541=EDGE_CURVE('',#174133,#174134,#97909,.T.); #219542=EDGE_CURVE('',#174135,#174134,#97910,.T.); #219543=EDGE_CURVE('',#174135,#174132,#97911,.T.); #219544=EDGE_CURVE('',#174136,#174137,#144279,.T.); #219545=EDGE_CURVE('',#174133,#174136,#97912,.T.); #219546=EDGE_CURVE('',#174137,#174132,#97913,.T.); #219547=EDGE_CURVE('',#174137,#174138,#97914,.T.); #219548=EDGE_CURVE('',#174138,#174139,#97915,.T.); #219549=EDGE_CURVE('',#174139,#174136,#97916,.T.); #219550=EDGE_CURVE('',#174140,#174138,#97917,.T.); #219551=EDGE_CURVE('',#174140,#174141,#97918,.T.); #219552=EDGE_CURVE('',#174141,#174139,#97919,.T.); #219553=EDGE_CURVE('',#174142,#174140,#97920,.T.); #219554=EDGE_CURVE('',#174142,#174143,#97921,.T.); #219555=EDGE_CURVE('',#174143,#174141,#97922,.T.); #219556=EDGE_CURVE('',#174135,#174142,#97923,.T.); #219557=EDGE_CURVE('',#174134,#174143,#97924,.T.); #219558=EDGE_CURVE('',#174144,#174145,#144280,.T.); #219559=EDGE_CURVE('',#174145,#174146,#97925,.T.); #219560=EDGE_CURVE('',#174147,#174146,#97926,.T.); #219561=EDGE_CURVE('',#174147,#174144,#97927,.T.); #219562=EDGE_CURVE('',#174148,#174149,#144281,.T.); #219563=EDGE_CURVE('',#174145,#174148,#97928,.T.); #219564=EDGE_CURVE('',#174149,#174144,#97929,.T.); #219565=EDGE_CURVE('',#174149,#174150,#97930,.T.); #219566=EDGE_CURVE('',#174150,#174151,#97931,.T.); #219567=EDGE_CURVE('',#174151,#174148,#97932,.T.); #219568=EDGE_CURVE('',#174152,#174150,#97933,.T.); #219569=EDGE_CURVE('',#174152,#174153,#97934,.T.); #219570=EDGE_CURVE('',#174153,#174151,#97935,.T.); #219571=EDGE_CURVE('',#174154,#174152,#97936,.T.); #219572=EDGE_CURVE('',#174154,#174155,#97937,.T.); #219573=EDGE_CURVE('',#174155,#174153,#97938,.T.); #219574=EDGE_CURVE('',#174147,#174154,#97939,.T.); #219575=EDGE_CURVE('',#174146,#174155,#97940,.T.); #219576=EDGE_CURVE('',#174156,#174157,#144282,.T.); #219577=EDGE_CURVE('',#174157,#174158,#97941,.T.); #219578=EDGE_CURVE('',#174159,#174158,#97942,.T.); #219579=EDGE_CURVE('',#174159,#174156,#97943,.T.); #219580=EDGE_CURVE('',#174160,#174161,#144283,.T.); #219581=EDGE_CURVE('',#174157,#174160,#97944,.T.); #219582=EDGE_CURVE('',#174161,#174156,#97945,.T.); #219583=EDGE_CURVE('',#174161,#174162,#97946,.T.); #219584=EDGE_CURVE('',#174162,#174163,#97947,.T.); #219585=EDGE_CURVE('',#174163,#174160,#97948,.T.); #219586=EDGE_CURVE('',#174164,#174162,#97949,.T.); #219587=EDGE_CURVE('',#174164,#174165,#97950,.T.); #219588=EDGE_CURVE('',#174165,#174163,#97951,.T.); #219589=EDGE_CURVE('',#174166,#174164,#97952,.T.); #219590=EDGE_CURVE('',#174166,#174167,#97953,.T.); #219591=EDGE_CURVE('',#174167,#174165,#97954,.T.); #219592=EDGE_CURVE('',#174159,#174166,#97955,.T.); #219593=EDGE_CURVE('',#174158,#174167,#97956,.T.); #219594=EDGE_CURVE('',#174168,#174169,#97957,.T.); #219595=EDGE_CURVE('',#174169,#174170,#97958,.T.); #219596=EDGE_CURVE('',#174171,#174170,#97959,.T.); #219597=EDGE_CURVE('',#174168,#174171,#97960,.T.); #219598=EDGE_CURVE('',#174172,#174168,#97961,.T.); #219599=EDGE_CURVE('',#174173,#174171,#97962,.T.); #219600=EDGE_CURVE('',#174172,#174173,#97963,.T.); #219601=EDGE_CURVE('',#174174,#174172,#97964,.T.); #219602=EDGE_CURVE('',#174175,#174173,#97965,.T.); #219603=EDGE_CURVE('',#174174,#174175,#97966,.T.); #219604=EDGE_CURVE('',#174169,#174174,#97967,.T.); #219605=EDGE_CURVE('',#174170,#174175,#97968,.T.); #219606=EDGE_CURVE('',#174176,#174177,#144284,.F.); #219607=EDGE_CURVE('',#174178,#174176,#144285,.F.); #219608=EDGE_CURVE('',#174177,#174178,#144286,.F.); #219609=EDGE_CURVE('',#174179,#174180,#144287,.F.); #219610=EDGE_CURVE('',#174181,#174179,#144288,.F.); #219611=EDGE_CURVE('',#174180,#174181,#144289,.F.); #219612=EDGE_CURVE('',#174182,#174183,#144290,.F.); #219613=EDGE_CURVE('',#174184,#174182,#144291,.F.); #219614=EDGE_CURVE('',#174183,#174184,#144292,.F.); #219615=EDGE_CURVE('',#174185,#174186,#144293,.F.); #219616=EDGE_CURVE('',#174187,#174185,#144294,.F.); #219617=EDGE_CURVE('',#174186,#174187,#144295,.F.); #219618=EDGE_CURVE('',#174188,#174189,#144296,.F.); #219619=EDGE_CURVE('',#174190,#174188,#144297,.F.); #219620=EDGE_CURVE('',#174189,#174190,#144298,.F.); #219621=EDGE_CURVE('',#174188,#174183,#97969,.T.); #219622=EDGE_CURVE('',#174184,#174190,#97970,.T.); #219623=EDGE_CURVE('',#174191,#174192,#144299,.F.); #219624=EDGE_CURVE('',#174193,#174191,#144300,.F.); #219625=EDGE_CURVE('',#174192,#174193,#144301,.F.); #219626=EDGE_CURVE('',#174194,#174195,#144302,.F.); #219627=EDGE_CURVE('',#174196,#174194,#144303,.F.); #219628=EDGE_CURVE('',#174195,#174196,#144304,.F.); #219629=EDGE_CURVE('',#174182,#174196,#97971,.T.); #219630=EDGE_CURVE('',#174194,#174184,#97972,.T.); #219631=EDGE_CURVE('',#174185,#174195,#97973,.T.); #219632=EDGE_CURVE('',#174196,#174187,#97974,.T.); #219633=EDGE_CURVE('',#174197,#174198,#144305,.F.); #219634=EDGE_CURVE('',#174199,#174197,#144306,.F.); #219635=EDGE_CURVE('',#174198,#174199,#144307,.F.); #219636=EDGE_CURVE('',#174190,#174199,#97975,.T.); #219637=EDGE_CURVE('',#174197,#174189,#97976,.T.); #219638=EDGE_CURVE('',#174195,#174198,#97977,.T.); #219639=EDGE_CURVE('',#174199,#174194,#97978,.T.); #219640=EDGE_CURVE('',#174191,#174197,#97979,.T.); #219641=EDGE_CURVE('',#174198,#174193,#97980,.T.); #219642=EDGE_CURVE('',#174186,#174192,#97981,.T.); #219643=EDGE_CURVE('',#174193,#174185,#97982,.T.); #219644=EDGE_CURVE('',#174192,#174180,#97983,.T.); #219645=EDGE_CURVE('',#174181,#174191,#97984,.T.); #219646=EDGE_CURVE('',#174179,#174188,#97985,.T.); #219647=EDGE_CURVE('',#174189,#174181,#97986,.T.); #219648=EDGE_CURVE('',#174177,#174186,#97987,.T.); #219649=EDGE_CURVE('',#174187,#174176,#97988,.T.); #219650=EDGE_CURVE('',#174176,#174182,#97989,.T.); #219651=EDGE_CURVE('',#174183,#174178,#97990,.T.); #219652=EDGE_CURVE('',#174180,#174177,#97991,.T.); #219653=EDGE_CURVE('',#174178,#174179,#97992,.T.); #219654=EDGE_CURVE('',#174200,#174201,#144308,.F.); #219655=EDGE_CURVE('',#174202,#174200,#144309,.F.); #219656=EDGE_CURVE('',#174201,#174202,#144310,.F.); #219657=EDGE_CURVE('',#174203,#174204,#144311,.F.); #219658=EDGE_CURVE('',#174205,#174203,#144312,.F.); #219659=EDGE_CURVE('',#174204,#174205,#144313,.F.); #219660=EDGE_CURVE('',#174206,#174207,#144314,.F.); #219661=EDGE_CURVE('',#174208,#174206,#144315,.F.); #219662=EDGE_CURVE('',#174207,#174208,#144316,.F.); #219663=EDGE_CURVE('',#174209,#174210,#144317,.F.); #219664=EDGE_CURVE('',#174211,#174209,#144318,.F.); #219665=EDGE_CURVE('',#174210,#174211,#144319,.F.); #219666=EDGE_CURVE('',#174212,#174213,#144320,.F.); #219667=EDGE_CURVE('',#174214,#174212,#144321,.F.); #219668=EDGE_CURVE('',#174213,#174214,#144322,.F.); #219669=EDGE_CURVE('',#174212,#174207,#97993,.T.); #219670=EDGE_CURVE('',#174208,#174214,#97994,.T.); #219671=EDGE_CURVE('',#174215,#174216,#144323,.F.); #219672=EDGE_CURVE('',#174217,#174215,#144324,.F.); #219673=EDGE_CURVE('',#174216,#174217,#144325,.F.); #219674=EDGE_CURVE('',#174218,#174219,#144326,.F.); #219675=EDGE_CURVE('',#174220,#174218,#144327,.F.); #219676=EDGE_CURVE('',#174219,#174220,#144328,.F.); #219677=EDGE_CURVE('',#174206,#174220,#97995,.T.); #219678=EDGE_CURVE('',#174218,#174208,#97996,.T.); #219679=EDGE_CURVE('',#174209,#174219,#97997,.T.); #219680=EDGE_CURVE('',#174220,#174211,#97998,.T.); #219681=EDGE_CURVE('',#174221,#174222,#144329,.F.); #219682=EDGE_CURVE('',#174223,#174221,#144330,.F.); #219683=EDGE_CURVE('',#174222,#174223,#144331,.F.); #219684=EDGE_CURVE('',#174221,#174213,#97999,.T.); #219685=EDGE_CURVE('',#174214,#174223,#98000,.T.); #219686=EDGE_CURVE('',#174219,#174222,#98001,.T.); #219687=EDGE_CURVE('',#174223,#174218,#98002,.T.); #219688=EDGE_CURVE('',#174215,#174221,#98003,.T.); #219689=EDGE_CURVE('',#174222,#174217,#98004,.T.); #219690=EDGE_CURVE('',#174210,#174216,#98005,.T.); #219691=EDGE_CURVE('',#174217,#174209,#98006,.T.); #219692=EDGE_CURVE('',#174216,#174204,#98007,.T.); #219693=EDGE_CURVE('',#174205,#174215,#98008,.T.); #219694=EDGE_CURVE('',#174203,#174212,#98009,.T.); #219695=EDGE_CURVE('',#174213,#174205,#98010,.T.); #219696=EDGE_CURVE('',#174201,#174210,#98011,.T.); #219697=EDGE_CURVE('',#174211,#174200,#98012,.T.); #219698=EDGE_CURVE('',#174200,#174206,#98013,.T.); #219699=EDGE_CURVE('',#174207,#174202,#98014,.T.); #219700=EDGE_CURVE('',#174204,#174201,#98015,.T.); #219701=EDGE_CURVE('',#174202,#174203,#98016,.T.); #219702=EDGE_CURVE('',#174224,#174225,#98017,.T.); #219703=EDGE_CURVE('',#174224,#174226,#98018,.T.); #219704=EDGE_CURVE('',#174227,#174226,#98019,.T.); #219705=EDGE_CURVE('',#174225,#174227,#98020,.T.); #219706=EDGE_CURVE('',#174228,#174225,#98021,.T.); #219707=EDGE_CURVE('',#174229,#174227,#98022,.T.); #219708=EDGE_CURVE('',#174228,#174229,#98023,.T.); #219709=EDGE_CURVE('',#174230,#174228,#98024,.T.); #219710=EDGE_CURVE('',#174231,#174229,#98025,.T.); #219711=EDGE_CURVE('',#174230,#174231,#98026,.T.); #219712=EDGE_CURVE('',#174224,#174230,#98027,.T.); #219713=EDGE_CURVE('',#174226,#174231,#98028,.T.); #219714=EDGE_CURVE('',#174232,#174233,#98029,.T.); #219715=EDGE_CURVE('',#174233,#174234,#98030,.T.); #219716=EDGE_CURVE('',#174234,#174235,#98031,.T.); #219717=EDGE_CURVE('',#174232,#174235,#98032,.T.); #219718=EDGE_CURVE('',#174233,#174236,#98033,.T.); #219719=EDGE_CURVE('',#174236,#174237,#98034,.T.); #219720=EDGE_CURVE('',#174237,#174234,#98035,.T.); #219721=EDGE_CURVE('',#174236,#174238,#98036,.T.); #219722=EDGE_CURVE('',#174238,#174239,#98037,.T.); #219723=EDGE_CURVE('',#174239,#174237,#98038,.T.); #219724=EDGE_CURVE('',#174235,#174239,#98039,.T.); #219725=EDGE_CURVE('',#174238,#174232,#98040,.T.); #219726=EDGE_CURVE('',#174240,#174235,#98041,.T.); #219727=EDGE_CURVE('',#174240,#174241,#98042,.T.); #219728=EDGE_CURVE('',#174241,#174239,#98043,.T.); #219729=EDGE_CURVE('',#174242,#174234,#98044,.T.); #219730=EDGE_CURVE('',#174242,#174240,#98045,.T.); #219731=EDGE_CURVE('',#174243,#174237,#98046,.T.); #219732=EDGE_CURVE('',#174243,#174242,#98047,.T.); #219733=EDGE_CURVE('',#174241,#174243,#98048,.T.); #219734=EDGE_CURVE('',#174244,#174245,#98049,.T.); #219735=EDGE_CURVE('',#174244,#174246,#98050,.T.); #219736=EDGE_CURVE('',#174247,#174246,#98051,.T.); #219737=EDGE_CURVE('',#174245,#174247,#98052,.T.); #219738=EDGE_CURVE('',#174248,#174245,#98053,.T.); #219739=EDGE_CURVE('',#174249,#174247,#98054,.T.); #219740=EDGE_CURVE('',#174248,#174249,#98055,.T.); #219741=EDGE_CURVE('',#174250,#174248,#98056,.T.); #219742=EDGE_CURVE('',#174251,#174249,#98057,.T.); #219743=EDGE_CURVE('',#174250,#174251,#98058,.T.); #219744=EDGE_CURVE('',#174244,#174250,#98059,.T.); #219745=EDGE_CURVE('',#174246,#174251,#98060,.T.); #219746=EDGE_CURVE('',#174252,#174253,#98061,.T.); #219747=EDGE_CURVE('',#174253,#174254,#98062,.T.); #219748=EDGE_CURVE('',#174255,#174254,#98063,.T.); #219749=EDGE_CURVE('',#174252,#174255,#98064,.T.); #219750=EDGE_CURVE('',#174256,#174252,#98065,.T.); #219751=EDGE_CURVE('',#174257,#174255,#98066,.T.); #219752=EDGE_CURVE('',#174256,#174257,#98067,.T.); #219753=EDGE_CURVE('',#174258,#174256,#98068,.T.); #219754=EDGE_CURVE('',#174259,#174257,#98069,.T.); #219755=EDGE_CURVE('',#174258,#174259,#98070,.T.); #219756=EDGE_CURVE('',#174253,#174258,#98071,.T.); #219757=EDGE_CURVE('',#174254,#174259,#98072,.T.); #219758=EDGE_CURVE('',#174260,#174261,#98073,.T.); #219759=EDGE_CURVE('',#174260,#174262,#98074,.T.); #219760=EDGE_CURVE('',#174263,#174262,#98075,.T.); #219761=EDGE_CURVE('',#174261,#174263,#98076,.T.); #219762=EDGE_CURVE('',#174261,#174264,#98077,.T.); #219763=EDGE_CURVE('',#174265,#174263,#98078,.T.); #219764=EDGE_CURVE('',#174264,#174265,#98079,.T.); #219765=EDGE_CURVE('',#174264,#174266,#98080,.T.); #219766=EDGE_CURVE('',#174267,#174265,#98081,.T.); #219767=EDGE_CURVE('',#174266,#174267,#98082,.T.); #219768=EDGE_CURVE('',#174266,#174260,#98083,.T.); #219769=EDGE_CURVE('',#174262,#174267,#98084,.T.); #219770=EDGE_CURVE('',#174268,#174269,#98085,.T.); #219771=EDGE_CURVE('',#174268,#174270,#98086,.T.); #219772=EDGE_CURVE('',#174271,#174270,#98087,.T.); #219773=EDGE_CURVE('',#174269,#174271,#98088,.T.); #219774=EDGE_CURVE('',#174269,#174272,#98089,.T.); #219775=EDGE_CURVE('',#174273,#174271,#98090,.T.); #219776=EDGE_CURVE('',#174272,#174273,#98091,.T.); #219777=EDGE_CURVE('',#174272,#174274,#98092,.T.); #219778=EDGE_CURVE('',#174275,#174273,#98093,.T.); #219779=EDGE_CURVE('',#174274,#174275,#98094,.T.); #219780=EDGE_CURVE('',#174268,#174274,#98095,.T.); #219781=EDGE_CURVE('',#174270,#174275,#98096,.T.); #219782=EDGE_CURVE('',#174276,#174277,#98097,.T.); #219783=EDGE_CURVE('',#174276,#174278,#98098,.T.); #219784=EDGE_CURVE('',#174279,#174278,#98099,.T.); #219785=EDGE_CURVE('',#174277,#174279,#98100,.T.); #219786=EDGE_CURVE('',#174280,#174277,#98101,.T.); #219787=EDGE_CURVE('',#174281,#174279,#98102,.T.); #219788=EDGE_CURVE('',#174280,#174281,#98103,.T.); #219789=EDGE_CURVE('',#174282,#174280,#98104,.T.); #219790=EDGE_CURVE('',#174283,#174281,#98105,.T.); #219791=EDGE_CURVE('',#174282,#174283,#98106,.T.); #219792=EDGE_CURVE('',#174276,#174282,#98107,.T.); #219793=EDGE_CURVE('',#174278,#174283,#98108,.T.); #219794=EDGE_CURVE('',#174284,#174284,#144332,.T.); #219795=EDGE_CURVE('',#174284,#174285,#98109,.T.); #219796=EDGE_CURVE('',#174285,#174285,#144333,.T.); #219797=EDGE_CURVE('',#174286,#174287,#98110,.T.); #219798=EDGE_CURVE('',#174287,#174288,#98111,.T.); #219799=EDGE_CURVE('',#174288,#174289,#98112,.T.); #219800=EDGE_CURVE('',#174286,#174289,#98113,.T.); #219801=EDGE_CURVE('',#174287,#174290,#98114,.T.); #219802=EDGE_CURVE('',#174290,#174291,#98115,.T.); #219803=EDGE_CURVE('',#174291,#174288,#98116,.T.); #219804=EDGE_CURVE('',#174290,#174292,#98117,.T.); #219805=EDGE_CURVE('',#174292,#174293,#98118,.T.); #219806=EDGE_CURVE('',#174293,#174291,#98119,.T.); #219807=EDGE_CURVE('',#174289,#174293,#98120,.T.); #219808=EDGE_CURVE('',#174292,#174286,#98121,.T.); #219809=EDGE_CURVE('',#174294,#174289,#98122,.T.); #219810=EDGE_CURVE('',#174295,#174294,#98123,.T.); #219811=EDGE_CURVE('',#174295,#174293,#98124,.T.); #219812=EDGE_CURVE('',#174296,#174288,#98125,.T.); #219813=EDGE_CURVE('',#174294,#174296,#98126,.T.); #219814=EDGE_CURVE('',#174297,#174295,#98127,.T.); #219815=EDGE_CURVE('',#174295,#174298,#98128,.T.); #219816=EDGE_CURVE('',#174298,#174299,#98129,.T.); #219817=EDGE_CURVE('',#174299,#174297,#98130,.T.); #219818=EDGE_CURVE('',#174300,#174298,#98131,.T.); #219819=EDGE_CURVE('',#174300,#174294,#98132,.T.); #219820=EDGE_CURVE('',#174296,#174297,#98133,.T.); #219821=EDGE_CURVE('',#174299,#174301,#98134,.T.); #219822=EDGE_CURVE('',#174301,#174296,#98135,.T.); #219823=EDGE_CURVE('',#174301,#174300,#98136,.T.); #219824=EDGE_CURVE('',#174297,#174291,#98137,.T.); #219825=EDGE_CURVE('',#174302,#174303,#144334,.T.); #219826=EDGE_CURVE('',#174303,#174304,#98138,.T.); #219827=EDGE_CURVE('',#174304,#174305,#144335,.T.); #219828=EDGE_CURVE('',#174305,#174306,#98139,.T.); #219829=EDGE_CURVE('',#174306,#174307,#98140,.T.); #219830=EDGE_CURVE('',#174307,#174308,#98141,.T.); #219831=EDGE_CURVE('',#174308,#174309,#144336,.T.); #219832=EDGE_CURVE('',#174309,#174310,#98142,.T.); #219833=EDGE_CURVE('',#174310,#174311,#144337,.T.); #219834=EDGE_CURVE('',#174311,#174312,#98143,.T.); #219835=EDGE_CURVE('',#174312,#174313,#98144,.T.); #219836=EDGE_CURVE('',#174313,#174302,#98145,.T.); #219837=EDGE_CURVE('',#174314,#174303,#98146,.T.); #219838=EDGE_CURVE('',#174315,#174314,#98147,.T.); #219839=EDGE_CURVE('',#174304,#174315,#98148,.T.); #219840=EDGE_CURVE('',#174316,#174315,#144338,.T.); #219841=EDGE_CURVE('',#174305,#174316,#98149,.T.); #219842=EDGE_CURVE('',#174317,#174316,#98150,.T.); #219843=EDGE_CURVE('',#174306,#174317,#98151,.T.); #219844=EDGE_CURVE('',#174318,#174317,#98152,.T.); #219845=EDGE_CURVE('',#174307,#174318,#98153,.T.); #219846=EDGE_CURVE('',#174319,#174318,#98154,.T.); #219847=EDGE_CURVE('',#174308,#174319,#98155,.T.); #219848=EDGE_CURVE('',#174320,#174319,#144339,.T.); #219849=EDGE_CURVE('',#174309,#174320,#98156,.T.); #219850=EDGE_CURVE('',#174321,#174320,#98157,.T.); #219851=EDGE_CURVE('',#174310,#174321,#98158,.T.); #219852=EDGE_CURVE('',#174322,#174321,#144340,.T.); #219853=EDGE_CURVE('',#174311,#174322,#98159,.T.); #219854=EDGE_CURVE('',#174323,#174322,#98160,.T.); #219855=EDGE_CURVE('',#174312,#174323,#98161,.T.); #219856=EDGE_CURVE('',#174324,#174323,#98162,.T.); #219857=EDGE_CURVE('',#174313,#174324,#98163,.T.); #219858=EDGE_CURVE('',#174325,#174324,#98164,.T.); #219859=EDGE_CURVE('',#174302,#174325,#98165,.T.); #219860=EDGE_CURVE('',#174314,#174325,#144341,.T.); #219861=EDGE_CURVE('',#174326,#174327,#144342,.T.); #219862=EDGE_CURVE('',#174328,#174326,#98166,.T.); #219863=EDGE_CURVE('',#174329,#174328,#98167,.T.); #219864=EDGE_CURVE('',#174330,#174329,#98168,.T.); #219865=EDGE_CURVE('',#174331,#174330,#144343,.T.); #219866=EDGE_CURVE('',#174332,#174331,#98169,.T.); #219867=EDGE_CURVE('',#174333,#174332,#144344,.T.); #219868=EDGE_CURVE('',#174334,#174333,#98170,.T.); #219869=EDGE_CURVE('',#174335,#174334,#98171,.T.); #219870=EDGE_CURVE('',#174336,#174335,#98172,.T.); #219871=EDGE_CURVE('',#174337,#174336,#144345,.T.); #219872=EDGE_CURVE('',#174327,#174337,#98173,.T.); #219873=EDGE_CURVE('',#174338,#174327,#98174,.T.); #219874=EDGE_CURVE('',#174337,#174339,#98175,.T.); #219875=EDGE_CURVE('',#174339,#174338,#98176,.T.); #219876=EDGE_CURVE('',#174336,#174340,#98177,.T.); #219877=EDGE_CURVE('',#174340,#174339,#144346,.T.); #219878=EDGE_CURVE('',#174335,#174341,#98178,.T.); #219879=EDGE_CURVE('',#174341,#174340,#98179,.T.); #219880=EDGE_CURVE('',#174334,#174342,#98180,.T.); #219881=EDGE_CURVE('',#174342,#174341,#98181,.T.); #219882=EDGE_CURVE('',#174333,#174343,#98182,.T.); #219883=EDGE_CURVE('',#174343,#174342,#98183,.T.); #219884=EDGE_CURVE('',#174332,#174344,#98184,.T.); #219885=EDGE_CURVE('',#174344,#174343,#144347,.T.); #219886=EDGE_CURVE('',#174331,#174345,#98185,.T.); #219887=EDGE_CURVE('',#174345,#174344,#98186,.T.); #219888=EDGE_CURVE('',#174330,#174346,#98187,.T.); #219889=EDGE_CURVE('',#174346,#174345,#144348,.T.); #219890=EDGE_CURVE('',#174329,#174347,#98188,.T.); #219891=EDGE_CURVE('',#174347,#174346,#98189,.T.); #219892=EDGE_CURVE('',#174328,#174348,#98190,.T.); #219893=EDGE_CURVE('',#174348,#174347,#98191,.T.); #219894=EDGE_CURVE('',#174326,#174349,#98192,.T.); #219895=EDGE_CURVE('',#174349,#174348,#98193,.T.); #219896=EDGE_CURVE('',#174338,#174349,#144349,.T.); #219897=EDGE_CURVE('',#174350,#174351,#144350,.T.); #219898=EDGE_CURVE('',#174351,#174352,#98194,.T.); #219899=EDGE_CURVE('',#174352,#174353,#144351,.T.); #219900=EDGE_CURVE('',#174353,#174354,#98195,.T.); #219901=EDGE_CURVE('',#174354,#174355,#98196,.T.); #219902=EDGE_CURVE('',#174355,#174356,#98197,.T.); #219903=EDGE_CURVE('',#174356,#174357,#144352,.T.); #219904=EDGE_CURVE('',#174357,#174358,#98198,.T.); #219905=EDGE_CURVE('',#174358,#174359,#144353,.T.); #219906=EDGE_CURVE('',#174359,#174360,#98199,.T.); #219907=EDGE_CURVE('',#174360,#174361,#98200,.T.); #219908=EDGE_CURVE('',#174361,#174350,#98201,.T.); #219909=EDGE_CURVE('',#174362,#174351,#98202,.T.); #219910=EDGE_CURVE('',#174363,#174362,#98203,.T.); #219911=EDGE_CURVE('',#174352,#174363,#98204,.T.); #219912=EDGE_CURVE('',#174364,#174363,#144354,.T.); #219913=EDGE_CURVE('',#174353,#174364,#98205,.T.); #219914=EDGE_CURVE('',#174365,#174364,#98206,.T.); #219915=EDGE_CURVE('',#174354,#174365,#98207,.T.); #219916=EDGE_CURVE('',#174366,#174365,#98208,.T.); #219917=EDGE_CURVE('',#174355,#174366,#98209,.T.); #219918=EDGE_CURVE('',#174367,#174366,#98210,.T.); #219919=EDGE_CURVE('',#174356,#174367,#98211,.T.); #219920=EDGE_CURVE('',#174368,#174367,#144355,.T.); #219921=EDGE_CURVE('',#174357,#174368,#98212,.T.); #219922=EDGE_CURVE('',#174369,#174368,#98213,.T.); #219923=EDGE_CURVE('',#174358,#174369,#98214,.T.); #219924=EDGE_CURVE('',#174370,#174369,#144356,.T.); #219925=EDGE_CURVE('',#174359,#174370,#98215,.T.); #219926=EDGE_CURVE('',#174371,#174370,#98216,.T.); #219927=EDGE_CURVE('',#174360,#174371,#98217,.T.); #219928=EDGE_CURVE('',#174372,#174371,#98218,.T.); #219929=EDGE_CURVE('',#174361,#174372,#98219,.T.); #219930=EDGE_CURVE('',#174373,#174372,#98220,.T.); #219931=EDGE_CURVE('',#174350,#174373,#98221,.T.); #219932=EDGE_CURVE('',#174362,#174373,#144357,.T.); #219933=EDGE_CURVE('',#174374,#174375,#144358,.T.); #219934=EDGE_CURVE('',#174375,#174376,#98222,.T.); #219935=EDGE_CURVE('',#174376,#174377,#144359,.T.); #219936=EDGE_CURVE('',#174377,#174378,#98223,.T.); #219937=EDGE_CURVE('',#174378,#174379,#98224,.T.); #219938=EDGE_CURVE('',#174379,#174380,#98225,.T.); #219939=EDGE_CURVE('',#174380,#174381,#144360,.T.); #219940=EDGE_CURVE('',#174381,#174382,#98226,.T.); #219941=EDGE_CURVE('',#174382,#174383,#144361,.T.); #219942=EDGE_CURVE('',#174383,#174384,#98227,.T.); #219943=EDGE_CURVE('',#174384,#174385,#98228,.T.); #219944=EDGE_CURVE('',#174385,#174374,#98229,.T.); #219945=EDGE_CURVE('',#174386,#174375,#98230,.T.); #219946=EDGE_CURVE('',#174387,#174386,#98231,.T.); #219947=EDGE_CURVE('',#174376,#174387,#98232,.T.); #219948=EDGE_CURVE('',#174388,#174387,#144362,.T.); #219949=EDGE_CURVE('',#174377,#174388,#98233,.T.); #219950=EDGE_CURVE('',#174389,#174388,#98234,.T.); #219951=EDGE_CURVE('',#174378,#174389,#98235,.T.); #219952=EDGE_CURVE('',#174390,#174389,#98236,.T.); #219953=EDGE_CURVE('',#174379,#174390,#98237,.T.); #219954=EDGE_CURVE('',#174391,#174390,#98238,.T.); #219955=EDGE_CURVE('',#174380,#174391,#98239,.T.); #219956=EDGE_CURVE('',#174392,#174391,#144363,.T.); #219957=EDGE_CURVE('',#174381,#174392,#98240,.T.); #219958=EDGE_CURVE('',#174393,#174392,#98241,.T.); #219959=EDGE_CURVE('',#174382,#174393,#98242,.T.); #219960=EDGE_CURVE('',#174394,#174393,#144364,.T.); #219961=EDGE_CURVE('',#174383,#174394,#98243,.T.); #219962=EDGE_CURVE('',#174395,#174394,#98244,.T.); #219963=EDGE_CURVE('',#174384,#174395,#98245,.T.); #219964=EDGE_CURVE('',#174396,#174395,#98246,.T.); #219965=EDGE_CURVE('',#174385,#174396,#98247,.T.); #219966=EDGE_CURVE('',#174397,#174396,#98248,.T.); #219967=EDGE_CURVE('',#174374,#174397,#98249,.T.); #219968=EDGE_CURVE('',#174386,#174397,#144365,.T.); #219969=EDGE_CURVE('',#174398,#174399,#144366,.T.); #219970=EDGE_CURVE('',#174399,#174400,#98250,.T.); #219971=EDGE_CURVE('',#174400,#174401,#144367,.T.); #219972=EDGE_CURVE('',#174401,#174402,#98251,.T.); #219973=EDGE_CURVE('',#174402,#174403,#98252,.T.); #219974=EDGE_CURVE('',#174403,#174404,#98253,.T.); #219975=EDGE_CURVE('',#174404,#174405,#144368,.T.); #219976=EDGE_CURVE('',#174405,#174406,#98254,.T.); #219977=EDGE_CURVE('',#174406,#174407,#144369,.T.); #219978=EDGE_CURVE('',#174407,#174408,#98255,.T.); #219979=EDGE_CURVE('',#174408,#174409,#98256,.T.); #219980=EDGE_CURVE('',#174409,#174398,#98257,.T.); #219981=EDGE_CURVE('',#174410,#174399,#98258,.T.); #219982=EDGE_CURVE('',#174411,#174410,#98259,.T.); #219983=EDGE_CURVE('',#174400,#174411,#98260,.T.); #219984=EDGE_CURVE('',#174412,#174411,#144370,.T.); #219985=EDGE_CURVE('',#174401,#174412,#98261,.T.); #219986=EDGE_CURVE('',#174413,#174412,#98262,.T.); #219987=EDGE_CURVE('',#174402,#174413,#98263,.T.); #219988=EDGE_CURVE('',#174414,#174413,#98264,.T.); #219989=EDGE_CURVE('',#174403,#174414,#98265,.T.); #219990=EDGE_CURVE('',#174415,#174414,#98266,.T.); #219991=EDGE_CURVE('',#174404,#174415,#98267,.T.); #219992=EDGE_CURVE('',#174416,#174415,#144371,.T.); #219993=EDGE_CURVE('',#174405,#174416,#98268,.T.); #219994=EDGE_CURVE('',#174417,#174416,#98269,.T.); #219995=EDGE_CURVE('',#174406,#174417,#98270,.T.); #219996=EDGE_CURVE('',#174418,#174417,#144372,.T.); #219997=EDGE_CURVE('',#174407,#174418,#98271,.T.); #219998=EDGE_CURVE('',#174419,#174418,#98272,.T.); #219999=EDGE_CURVE('',#174408,#174419,#98273,.T.); #220000=EDGE_CURVE('',#174420,#174419,#98274,.T.); #220001=EDGE_CURVE('',#174409,#174420,#98275,.T.); #220002=EDGE_CURVE('',#174421,#174420,#98276,.T.); #220003=EDGE_CURVE('',#174398,#174421,#98277,.T.); #220004=EDGE_CURVE('',#174410,#174421,#144373,.T.); #220005=EDGE_CURVE('',#174422,#174423,#144374,.T.); #220006=EDGE_CURVE('',#174424,#174422,#98278,.T.); #220007=EDGE_CURVE('',#174425,#174424,#98279,.T.); #220008=EDGE_CURVE('',#174426,#174425,#98280,.T.); #220009=EDGE_CURVE('',#174427,#174426,#144375,.T.); #220010=EDGE_CURVE('',#174428,#174427,#98281,.T.); #220011=EDGE_CURVE('',#174429,#174428,#144376,.T.); #220012=EDGE_CURVE('',#174430,#174429,#98282,.T.); #220013=EDGE_CURVE('',#174431,#174430,#98283,.T.); #220014=EDGE_CURVE('',#174432,#174431,#98284,.T.); #220015=EDGE_CURVE('',#174433,#174432,#144377,.T.); #220016=EDGE_CURVE('',#174423,#174433,#98285,.T.); #220017=EDGE_CURVE('',#174434,#174423,#98286,.T.); #220018=EDGE_CURVE('',#174433,#174435,#98287,.T.); #220019=EDGE_CURVE('',#174435,#174434,#98288,.T.); #220020=EDGE_CURVE('',#174432,#174436,#98289,.T.); #220021=EDGE_CURVE('',#174436,#174435,#144378,.T.); #220022=EDGE_CURVE('',#174431,#174437,#98290,.T.); #220023=EDGE_CURVE('',#174437,#174436,#98291,.T.); #220024=EDGE_CURVE('',#174430,#174438,#98292,.T.); #220025=EDGE_CURVE('',#174438,#174437,#98293,.T.); #220026=EDGE_CURVE('',#174429,#174439,#98294,.T.); #220027=EDGE_CURVE('',#174439,#174438,#98295,.T.); #220028=EDGE_CURVE('',#174428,#174440,#98296,.T.); #220029=EDGE_CURVE('',#174440,#174439,#144379,.T.); #220030=EDGE_CURVE('',#174427,#174441,#98297,.T.); #220031=EDGE_CURVE('',#174441,#174440,#98298,.T.); #220032=EDGE_CURVE('',#174426,#174442,#98299,.T.); #220033=EDGE_CURVE('',#174442,#174441,#144380,.T.); #220034=EDGE_CURVE('',#174425,#174443,#98300,.T.); #220035=EDGE_CURVE('',#174443,#174442,#98301,.T.); #220036=EDGE_CURVE('',#174424,#174444,#98302,.T.); #220037=EDGE_CURVE('',#174444,#174443,#98303,.T.); #220038=EDGE_CURVE('',#174422,#174445,#98304,.T.); #220039=EDGE_CURVE('',#174445,#174444,#98305,.T.); #220040=EDGE_CURVE('',#174434,#174445,#144381,.T.); #220041=EDGE_CURVE('',#174446,#174447,#144382,.T.); #220042=EDGE_CURVE('',#174448,#174446,#98306,.T.); #220043=EDGE_CURVE('',#174449,#174448,#98307,.T.); #220044=EDGE_CURVE('',#174450,#174449,#98308,.T.); #220045=EDGE_CURVE('',#174451,#174450,#144383,.T.); #220046=EDGE_CURVE('',#174452,#174451,#98309,.T.); #220047=EDGE_CURVE('',#174453,#174452,#144384,.T.); #220048=EDGE_CURVE('',#174454,#174453,#98310,.T.); #220049=EDGE_CURVE('',#174455,#174454,#98311,.T.); #220050=EDGE_CURVE('',#174456,#174455,#98312,.T.); #220051=EDGE_CURVE('',#174457,#174456,#144385,.T.); #220052=EDGE_CURVE('',#174447,#174457,#98313,.T.); #220053=EDGE_CURVE('',#174458,#174447,#98314,.T.); #220054=EDGE_CURVE('',#174457,#174459,#98315,.T.); #220055=EDGE_CURVE('',#174459,#174458,#98316,.T.); #220056=EDGE_CURVE('',#174456,#174460,#98317,.T.); #220057=EDGE_CURVE('',#174460,#174459,#144386,.T.); #220058=EDGE_CURVE('',#174455,#174461,#98318,.T.); #220059=EDGE_CURVE('',#174461,#174460,#98319,.T.); #220060=EDGE_CURVE('',#174454,#174462,#98320,.T.); #220061=EDGE_CURVE('',#174462,#174461,#98321,.T.); #220062=EDGE_CURVE('',#174453,#174463,#98322,.T.); #220063=EDGE_CURVE('',#174463,#174462,#98323,.T.); #220064=EDGE_CURVE('',#174452,#174464,#98324,.T.); #220065=EDGE_CURVE('',#174464,#174463,#144387,.T.); #220066=EDGE_CURVE('',#174451,#174465,#98325,.T.); #220067=EDGE_CURVE('',#174465,#174464,#98326,.T.); #220068=EDGE_CURVE('',#174450,#174466,#98327,.T.); #220069=EDGE_CURVE('',#174466,#174465,#144388,.T.); #220070=EDGE_CURVE('',#174449,#174467,#98328,.T.); #220071=EDGE_CURVE('',#174467,#174466,#98329,.T.); #220072=EDGE_CURVE('',#174448,#174468,#98330,.T.); #220073=EDGE_CURVE('',#174468,#174467,#98331,.T.); #220074=EDGE_CURVE('',#174446,#174469,#98332,.T.); #220075=EDGE_CURVE('',#174469,#174468,#98333,.T.); #220076=EDGE_CURVE('',#174458,#174469,#144389,.T.); #220077=EDGE_CURVE('',#174470,#174471,#144390,.T.); #220078=EDGE_CURVE('',#174472,#174470,#98334,.T.); #220079=EDGE_CURVE('',#174473,#174472,#98335,.T.); #220080=EDGE_CURVE('',#174474,#174473,#98336,.T.); #220081=EDGE_CURVE('',#174475,#174474,#144391,.T.); #220082=EDGE_CURVE('',#174476,#174475,#98337,.T.); #220083=EDGE_CURVE('',#174477,#174476,#144392,.T.); #220084=EDGE_CURVE('',#174478,#174477,#98338,.T.); #220085=EDGE_CURVE('',#174479,#174478,#98339,.T.); #220086=EDGE_CURVE('',#174480,#174479,#98340,.T.); #220087=EDGE_CURVE('',#174481,#174480,#144393,.T.); #220088=EDGE_CURVE('',#174471,#174481,#98341,.T.); #220089=EDGE_CURVE('',#174482,#174471,#98342,.T.); #220090=EDGE_CURVE('',#174481,#174483,#98343,.T.); #220091=EDGE_CURVE('',#174483,#174482,#98344,.T.); #220092=EDGE_CURVE('',#174480,#174484,#98345,.T.); #220093=EDGE_CURVE('',#174484,#174483,#144394,.T.); #220094=EDGE_CURVE('',#174479,#174485,#98346,.T.); #220095=EDGE_CURVE('',#174485,#174484,#98347,.T.); #220096=EDGE_CURVE('',#174478,#174486,#98348,.T.); #220097=EDGE_CURVE('',#174486,#174485,#98349,.T.); #220098=EDGE_CURVE('',#174477,#174487,#98350,.T.); #220099=EDGE_CURVE('',#174487,#174486,#98351,.T.); #220100=EDGE_CURVE('',#174476,#174488,#98352,.T.); #220101=EDGE_CURVE('',#174488,#174487,#144395,.T.); #220102=EDGE_CURVE('',#174475,#174489,#98353,.T.); #220103=EDGE_CURVE('',#174489,#174488,#98354,.T.); #220104=EDGE_CURVE('',#174474,#174490,#98355,.T.); #220105=EDGE_CURVE('',#174490,#174489,#144396,.T.); #220106=EDGE_CURVE('',#174473,#174491,#98356,.T.); #220107=EDGE_CURVE('',#174491,#174490,#98357,.T.); #220108=EDGE_CURVE('',#174472,#174492,#98358,.T.); #220109=EDGE_CURVE('',#174492,#174491,#98359,.T.); #220110=EDGE_CURVE('',#174470,#174493,#98360,.T.); #220111=EDGE_CURVE('',#174493,#174492,#98361,.T.); #220112=EDGE_CURVE('',#174482,#174493,#144397,.T.); #220113=EDGE_CURVE('',#174494,#174494,#144398,.T.); #220114=EDGE_CURVE('',#174494,#174495,#98362,.T.); #220115=EDGE_CURVE('',#174495,#174495,#144399,.T.); #220116=EDGE_CURVE('',#174496,#174497,#98363,.T.); #220117=EDGE_CURVE('',#174497,#174498,#98364,.T.); #220118=EDGE_CURVE('',#174498,#174499,#98365,.T.); #220119=EDGE_CURVE('',#174496,#174499,#98366,.T.); #220120=EDGE_CURVE('',#174497,#174500,#98367,.T.); #220121=EDGE_CURVE('',#174500,#174501,#98368,.T.); #220122=EDGE_CURVE('',#174501,#174498,#98369,.T.); #220123=EDGE_CURVE('',#174500,#174502,#98370,.T.); #220124=EDGE_CURVE('',#174502,#174503,#98371,.T.); #220125=EDGE_CURVE('',#174503,#174501,#98372,.T.); #220126=EDGE_CURVE('',#174499,#174503,#98373,.T.); #220127=EDGE_CURVE('',#174502,#174496,#98374,.T.); #220128=EDGE_CURVE('',#174504,#174499,#98375,.T.); #220129=EDGE_CURVE('',#174505,#174504,#98376,.T.); #220130=EDGE_CURVE('',#174505,#174503,#98377,.T.); #220131=EDGE_CURVE('',#174506,#174498,#98378,.T.); #220132=EDGE_CURVE('',#174504,#174506,#98379,.T.); #220133=EDGE_CURVE('',#174507,#174505,#98380,.T.); #220134=EDGE_CURVE('',#174505,#174508,#98381,.T.); #220135=EDGE_CURVE('',#174508,#174509,#98382,.T.); #220136=EDGE_CURVE('',#174509,#174507,#98383,.T.); #220137=EDGE_CURVE('',#174510,#174508,#98384,.T.); #220138=EDGE_CURVE('',#174510,#174504,#98385,.T.); #220139=EDGE_CURVE('',#174506,#174507,#98386,.T.); #220140=EDGE_CURVE('',#174509,#174511,#98387,.T.); #220141=EDGE_CURVE('',#174511,#174506,#98388,.T.); #220142=EDGE_CURVE('',#174511,#174510,#98389,.T.); #220143=EDGE_CURVE('',#174507,#174501,#98390,.T.); #220144=EDGE_CURVE('',#174512,#174513,#144400,.T.); #220145=EDGE_CURVE('',#174513,#174514,#98391,.T.); #220146=EDGE_CURVE('',#174514,#174515,#98392,.T.); #220147=EDGE_CURVE('',#174515,#174516,#98393,.T.); #220148=EDGE_CURVE('',#174516,#174517,#144401,.T.); #220149=EDGE_CURVE('',#174517,#174518,#98394,.T.); #220150=EDGE_CURVE('',#174518,#174519,#144402,.T.); #220151=EDGE_CURVE('',#174519,#174520,#98395,.T.); #220152=EDGE_CURVE('',#174520,#174521,#98396,.T.); #220153=EDGE_CURVE('',#174521,#174522,#98397,.T.); #220154=EDGE_CURVE('',#174522,#174523,#144403,.T.); #220155=EDGE_CURVE('',#174523,#174512,#98398,.T.); #220156=EDGE_CURVE('',#174524,#174513,#98399,.T.); #220157=EDGE_CURVE('',#174525,#174524,#98400,.T.); #220158=EDGE_CURVE('',#174525,#174514,#98401,.T.); #220159=EDGE_CURVE('',#174526,#174525,#98402,.T.); #220160=EDGE_CURVE('',#174526,#174515,#98403,.T.); #220161=EDGE_CURVE('',#174527,#174526,#98404,.T.); #220162=EDGE_CURVE('',#174527,#174516,#98405,.T.); #220163=EDGE_CURVE('',#174528,#174527,#144404,.T.); #220164=EDGE_CURVE('',#174528,#174517,#98406,.T.); #220165=EDGE_CURVE('',#174529,#174528,#98407,.T.); #220166=EDGE_CURVE('',#174529,#174518,#98408,.T.); #220167=EDGE_CURVE('',#174530,#174529,#144405,.T.); #220168=EDGE_CURVE('',#174530,#174519,#98409,.T.); #220169=EDGE_CURVE('',#174531,#174530,#98410,.T.); #220170=EDGE_CURVE('',#174531,#174520,#98411,.T.); #220171=EDGE_CURVE('',#174532,#174531,#98412,.T.); #220172=EDGE_CURVE('',#174532,#174521,#98413,.T.); #220173=EDGE_CURVE('',#174533,#174532,#98414,.T.); #220174=EDGE_CURVE('',#174533,#174522,#98415,.T.); #220175=EDGE_CURVE('',#174534,#174533,#144406,.T.); #220176=EDGE_CURVE('',#174534,#174523,#98416,.T.); #220177=EDGE_CURVE('',#174535,#174534,#98417,.T.); #220178=EDGE_CURVE('',#174512,#174535,#98418,.T.); #220179=EDGE_CURVE('',#174524,#174535,#144407,.T.); #220180=EDGE_CURVE('',#174536,#174537,#144408,.T.); #220181=EDGE_CURVE('',#174537,#174538,#98419,.T.); #220182=EDGE_CURVE('',#174538,#174539,#98420,.T.); #220183=EDGE_CURVE('',#174539,#174540,#98421,.T.); #220184=EDGE_CURVE('',#174540,#174541,#144409,.T.); #220185=EDGE_CURVE('',#174541,#174542,#98422,.T.); #220186=EDGE_CURVE('',#174542,#174543,#144410,.T.); #220187=EDGE_CURVE('',#174543,#174544,#98423,.T.); #220188=EDGE_CURVE('',#174544,#174545,#98424,.T.); #220189=EDGE_CURVE('',#174545,#174546,#98425,.T.); #220190=EDGE_CURVE('',#174546,#174547,#144411,.T.); #220191=EDGE_CURVE('',#174547,#174536,#98426,.T.); #220192=EDGE_CURVE('',#174548,#174537,#98427,.T.); #220193=EDGE_CURVE('',#174549,#174548,#98428,.T.); #220194=EDGE_CURVE('',#174549,#174538,#98429,.T.); #220195=EDGE_CURVE('',#174550,#174549,#98430,.T.); #220196=EDGE_CURVE('',#174550,#174539,#98431,.T.); #220197=EDGE_CURVE('',#174551,#174550,#98432,.T.); #220198=EDGE_CURVE('',#174551,#174540,#98433,.T.); #220199=EDGE_CURVE('',#174552,#174551,#144412,.T.); #220200=EDGE_CURVE('',#174552,#174541,#98434,.T.); #220201=EDGE_CURVE('',#174553,#174552,#98435,.T.); #220202=EDGE_CURVE('',#174553,#174542,#98436,.T.); #220203=EDGE_CURVE('',#174554,#174553,#144413,.T.); #220204=EDGE_CURVE('',#174554,#174543,#98437,.T.); #220205=EDGE_CURVE('',#174555,#174554,#98438,.T.); #220206=EDGE_CURVE('',#174555,#174544,#98439,.T.); #220207=EDGE_CURVE('',#174556,#174555,#98440,.T.); #220208=EDGE_CURVE('',#174556,#174545,#98441,.T.); #220209=EDGE_CURVE('',#174557,#174556,#98442,.T.); #220210=EDGE_CURVE('',#174557,#174546,#98443,.T.); #220211=EDGE_CURVE('',#174558,#174557,#144414,.T.); #220212=EDGE_CURVE('',#174558,#174547,#98444,.T.); #220213=EDGE_CURVE('',#174559,#174558,#98445,.T.); #220214=EDGE_CURVE('',#174536,#174559,#98446,.T.); #220215=EDGE_CURVE('',#174548,#174559,#144415,.T.); #220216=EDGE_CURVE('',#174560,#174561,#144416,.T.); #220217=EDGE_CURVE('',#174561,#174562,#98447,.T.); #220218=EDGE_CURVE('',#174562,#174563,#98448,.T.); #220219=EDGE_CURVE('',#174563,#174564,#98449,.T.); #220220=EDGE_CURVE('',#174564,#174565,#144417,.T.); #220221=EDGE_CURVE('',#174565,#174566,#98450,.T.); #220222=EDGE_CURVE('',#174566,#174567,#144418,.T.); #220223=EDGE_CURVE('',#174567,#174568,#98451,.T.); #220224=EDGE_CURVE('',#174568,#174569,#98452,.T.); #220225=EDGE_CURVE('',#174569,#174570,#98453,.T.); #220226=EDGE_CURVE('',#174570,#174571,#144419,.T.); #220227=EDGE_CURVE('',#174571,#174560,#98454,.T.); #220228=EDGE_CURVE('',#174572,#174561,#98455,.T.); #220229=EDGE_CURVE('',#174573,#174572,#98456,.T.); #220230=EDGE_CURVE('',#174573,#174562,#98457,.T.); #220231=EDGE_CURVE('',#174574,#174573,#98458,.T.); #220232=EDGE_CURVE('',#174574,#174563,#98459,.T.); #220233=EDGE_CURVE('',#174575,#174574,#98460,.T.); #220234=EDGE_CURVE('',#174575,#174564,#98461,.T.); #220235=EDGE_CURVE('',#174576,#174575,#144420,.T.); #220236=EDGE_CURVE('',#174576,#174565,#98462,.T.); #220237=EDGE_CURVE('',#174577,#174576,#98463,.T.); #220238=EDGE_CURVE('',#174577,#174566,#98464,.T.); #220239=EDGE_CURVE('',#174578,#174577,#144421,.T.); #220240=EDGE_CURVE('',#174578,#174567,#98465,.T.); #220241=EDGE_CURVE('',#174579,#174578,#98466,.T.); #220242=EDGE_CURVE('',#174579,#174568,#98467,.T.); #220243=EDGE_CURVE('',#174580,#174579,#98468,.T.); #220244=EDGE_CURVE('',#174580,#174569,#98469,.T.); #220245=EDGE_CURVE('',#174581,#174580,#98470,.T.); #220246=EDGE_CURVE('',#174581,#174570,#98471,.T.); #220247=EDGE_CURVE('',#174582,#174581,#144422,.T.); #220248=EDGE_CURVE('',#174582,#174571,#98472,.T.); #220249=EDGE_CURVE('',#174583,#174582,#98473,.T.); #220250=EDGE_CURVE('',#174560,#174583,#98474,.T.); #220251=EDGE_CURVE('',#174572,#174583,#144423,.T.); #220252=EDGE_CURVE('',#174584,#174585,#144424,.T.); #220253=EDGE_CURVE('',#174585,#174586,#98475,.T.); #220254=EDGE_CURVE('',#174586,#174587,#98476,.T.); #220255=EDGE_CURVE('',#174587,#174588,#98477,.T.); #220256=EDGE_CURVE('',#174588,#174589,#144425,.T.); #220257=EDGE_CURVE('',#174589,#174590,#98478,.T.); #220258=EDGE_CURVE('',#174590,#174591,#144426,.T.); #220259=EDGE_CURVE('',#174591,#174592,#98479,.T.); #220260=EDGE_CURVE('',#174592,#174593,#98480,.T.); #220261=EDGE_CURVE('',#174593,#174594,#98481,.T.); #220262=EDGE_CURVE('',#174594,#174595,#144427,.T.); #220263=EDGE_CURVE('',#174595,#174584,#98482,.T.); #220264=EDGE_CURVE('',#174596,#174585,#98483,.T.); #220265=EDGE_CURVE('',#174597,#174596,#98484,.T.); #220266=EDGE_CURVE('',#174597,#174586,#98485,.T.); #220267=EDGE_CURVE('',#174598,#174597,#98486,.T.); #220268=EDGE_CURVE('',#174598,#174587,#98487,.T.); #220269=EDGE_CURVE('',#174599,#174598,#98488,.T.); #220270=EDGE_CURVE('',#174599,#174588,#98489,.T.); #220271=EDGE_CURVE('',#174600,#174599,#144428,.T.); #220272=EDGE_CURVE('',#174600,#174589,#98490,.T.); #220273=EDGE_CURVE('',#174601,#174600,#98491,.T.); #220274=EDGE_CURVE('',#174601,#174590,#98492,.T.); #220275=EDGE_CURVE('',#174602,#174601,#144429,.T.); #220276=EDGE_CURVE('',#174602,#174591,#98493,.T.); #220277=EDGE_CURVE('',#174603,#174602,#98494,.T.); #220278=EDGE_CURVE('',#174603,#174592,#98495,.T.); #220279=EDGE_CURVE('',#174604,#174603,#98496,.T.); #220280=EDGE_CURVE('',#174604,#174593,#98497,.T.); #220281=EDGE_CURVE('',#174605,#174604,#98498,.T.); #220282=EDGE_CURVE('',#174605,#174594,#98499,.T.); #220283=EDGE_CURVE('',#174606,#174605,#144430,.T.); #220284=EDGE_CURVE('',#174606,#174595,#98500,.T.); #220285=EDGE_CURVE('',#174607,#174606,#98501,.T.); #220286=EDGE_CURVE('',#174584,#174607,#98502,.T.); #220287=EDGE_CURVE('',#174596,#174607,#144431,.T.); #220288=EDGE_CURVE('',#174608,#174609,#144432,.T.); #220289=EDGE_CURVE('',#174609,#174610,#98503,.T.); #220290=EDGE_CURVE('',#174610,#174611,#98504,.T.); #220291=EDGE_CURVE('',#174611,#174612,#98505,.T.); #220292=EDGE_CURVE('',#174612,#174613,#144433,.T.); #220293=EDGE_CURVE('',#174613,#174614,#98506,.T.); #220294=EDGE_CURVE('',#174614,#174615,#144434,.T.); #220295=EDGE_CURVE('',#174615,#174616,#98507,.T.); #220296=EDGE_CURVE('',#174616,#174617,#98508,.T.); #220297=EDGE_CURVE('',#174617,#174618,#98509,.T.); #220298=EDGE_CURVE('',#174618,#174619,#144435,.T.); #220299=EDGE_CURVE('',#174619,#174608,#98510,.T.); #220300=EDGE_CURVE('',#174620,#174609,#98511,.T.); #220301=EDGE_CURVE('',#174621,#174620,#98512,.T.); #220302=EDGE_CURVE('',#174621,#174610,#98513,.T.); #220303=EDGE_CURVE('',#174622,#174621,#98514,.T.); #220304=EDGE_CURVE('',#174622,#174611,#98515,.T.); #220305=EDGE_CURVE('',#174623,#174622,#98516,.T.); #220306=EDGE_CURVE('',#174623,#174612,#98517,.T.); #220307=EDGE_CURVE('',#174624,#174623,#144436,.T.); #220308=EDGE_CURVE('',#174624,#174613,#98518,.T.); #220309=EDGE_CURVE('',#174625,#174624,#98519,.T.); #220310=EDGE_CURVE('',#174625,#174614,#98520,.T.); #220311=EDGE_CURVE('',#174626,#174625,#144437,.T.); #220312=EDGE_CURVE('',#174626,#174615,#98521,.T.); #220313=EDGE_CURVE('',#174627,#174626,#98522,.T.); #220314=EDGE_CURVE('',#174627,#174616,#98523,.T.); #220315=EDGE_CURVE('',#174628,#174627,#98524,.T.); #220316=EDGE_CURVE('',#174628,#174617,#98525,.T.); #220317=EDGE_CURVE('',#174629,#174628,#98526,.T.); #220318=EDGE_CURVE('',#174629,#174618,#98527,.T.); #220319=EDGE_CURVE('',#174630,#174629,#144438,.T.); #220320=EDGE_CURVE('',#174630,#174619,#98528,.T.); #220321=EDGE_CURVE('',#174631,#174630,#98529,.T.); #220322=EDGE_CURVE('',#174608,#174631,#98530,.T.); #220323=EDGE_CURVE('',#174620,#174631,#144439,.T.); #220324=EDGE_CURVE('',#174632,#174633,#144440,.F.); #220325=EDGE_CURVE('',#174634,#174632,#144441,.F.); #220326=EDGE_CURVE('',#174633,#174634,#144442,.F.); #220327=EDGE_CURVE('',#174635,#174636,#144443,.F.); #220328=EDGE_CURVE('',#174637,#174635,#144444,.F.); #220329=EDGE_CURVE('',#174636,#174637,#144445,.F.); #220330=EDGE_CURVE('',#174638,#174639,#144446,.F.); #220331=EDGE_CURVE('',#174640,#174638,#144447,.F.); #220332=EDGE_CURVE('',#174639,#174640,#144448,.F.); #220333=EDGE_CURVE('',#174641,#174642,#144449,.F.); #220334=EDGE_CURVE('',#174643,#174641,#144450,.F.); #220335=EDGE_CURVE('',#174642,#174643,#144451,.F.); #220336=EDGE_CURVE('',#174644,#174645,#144452,.F.); #220337=EDGE_CURVE('',#174646,#174644,#144453,.F.); #220338=EDGE_CURVE('',#174645,#174646,#144454,.F.); #220339=EDGE_CURVE('',#174644,#174639,#98531,.T.); #220340=EDGE_CURVE('',#174640,#174646,#98532,.T.); #220341=EDGE_CURVE('',#174647,#174648,#144455,.F.); #220342=EDGE_CURVE('',#174649,#174647,#144456,.F.); #220343=EDGE_CURVE('',#174648,#174649,#144457,.F.); #220344=EDGE_CURVE('',#174650,#174651,#144458,.F.); #220345=EDGE_CURVE('',#174652,#174650,#144459,.F.); #220346=EDGE_CURVE('',#174651,#174652,#144460,.F.); #220347=EDGE_CURVE('',#174638,#174652,#98533,.T.); #220348=EDGE_CURVE('',#174650,#174640,#98534,.T.); #220349=EDGE_CURVE('',#174641,#174651,#98535,.T.); #220350=EDGE_CURVE('',#174652,#174643,#98536,.T.); #220351=EDGE_CURVE('',#174653,#174654,#144461,.F.); #220352=EDGE_CURVE('',#174655,#174653,#144462,.F.); #220353=EDGE_CURVE('',#174654,#174655,#144463,.F.); #220354=EDGE_CURVE('',#174646,#174655,#98537,.T.); #220355=EDGE_CURVE('',#174653,#174645,#98538,.T.); #220356=EDGE_CURVE('',#174651,#174654,#98539,.T.); #220357=EDGE_CURVE('',#174655,#174650,#98540,.T.); #220358=EDGE_CURVE('',#174647,#174653,#98541,.T.); #220359=EDGE_CURVE('',#174654,#174649,#98542,.T.); #220360=EDGE_CURVE('',#174642,#174648,#98543,.T.); #220361=EDGE_CURVE('',#174649,#174641,#98544,.T.); #220362=EDGE_CURVE('',#174648,#174636,#98545,.T.); #220363=EDGE_CURVE('',#174637,#174647,#98546,.T.); #220364=EDGE_CURVE('',#174635,#174644,#98547,.T.); #220365=EDGE_CURVE('',#174645,#174637,#98548,.T.); #220366=EDGE_CURVE('',#174633,#174642,#98549,.T.); #220367=EDGE_CURVE('',#174643,#174632,#98550,.T.); #220368=EDGE_CURVE('',#174632,#174638,#98551,.T.); #220369=EDGE_CURVE('',#174639,#174634,#98552,.T.); #220370=EDGE_CURVE('',#174636,#174633,#98553,.T.); #220371=EDGE_CURVE('',#174634,#174635,#98554,.T.); #220372=EDGE_CURVE('',#174656,#174657,#144464,.F.); #220373=EDGE_CURVE('',#174658,#174656,#144465,.F.); #220374=EDGE_CURVE('',#174657,#174658,#144466,.F.); #220375=EDGE_CURVE('',#174659,#174660,#144467,.F.); #220376=EDGE_CURVE('',#174661,#174659,#144468,.F.); #220377=EDGE_CURVE('',#174660,#174661,#144469,.F.); #220378=EDGE_CURVE('',#174662,#174663,#144470,.F.); #220379=EDGE_CURVE('',#174664,#174662,#144471,.F.); #220380=EDGE_CURVE('',#174663,#174664,#144472,.F.); #220381=EDGE_CURVE('',#174665,#174666,#144473,.F.); #220382=EDGE_CURVE('',#174667,#174665,#144474,.F.); #220383=EDGE_CURVE('',#174666,#174667,#144475,.F.); #220384=EDGE_CURVE('',#174668,#174669,#144476,.F.); #220385=EDGE_CURVE('',#174670,#174668,#144477,.F.); #220386=EDGE_CURVE('',#174669,#174670,#144478,.F.); #220387=EDGE_CURVE('',#174668,#174663,#98555,.T.); #220388=EDGE_CURVE('',#174664,#174670,#98556,.T.); #220389=EDGE_CURVE('',#174671,#174672,#144479,.F.); #220390=EDGE_CURVE('',#174673,#174671,#144480,.F.); #220391=EDGE_CURVE('',#174672,#174673,#144481,.F.); #220392=EDGE_CURVE('',#174674,#174675,#144482,.F.); #220393=EDGE_CURVE('',#174676,#174674,#144483,.F.); #220394=EDGE_CURVE('',#174675,#174676,#144484,.F.); #220395=EDGE_CURVE('',#174662,#174676,#98557,.T.); #220396=EDGE_CURVE('',#174674,#174664,#98558,.T.); #220397=EDGE_CURVE('',#174665,#174675,#98559,.T.); #220398=EDGE_CURVE('',#174676,#174667,#98560,.T.); #220399=EDGE_CURVE('',#174677,#174678,#144485,.F.); #220400=EDGE_CURVE('',#174679,#174677,#144486,.F.); #220401=EDGE_CURVE('',#174678,#174679,#144487,.F.); #220402=EDGE_CURVE('',#174677,#174669,#98561,.T.); #220403=EDGE_CURVE('',#174670,#174679,#98562,.T.); #220404=EDGE_CURVE('',#174675,#174678,#98563,.T.); #220405=EDGE_CURVE('',#174679,#174674,#98564,.T.); #220406=EDGE_CURVE('',#174671,#174677,#98565,.T.); #220407=EDGE_CURVE('',#174678,#174673,#98566,.T.); #220408=EDGE_CURVE('',#174666,#174672,#98567,.T.); #220409=EDGE_CURVE('',#174673,#174665,#98568,.T.); #220410=EDGE_CURVE('',#174672,#174660,#98569,.T.); #220411=EDGE_CURVE('',#174661,#174671,#98570,.T.); #220412=EDGE_CURVE('',#174659,#174668,#98571,.T.); #220413=EDGE_CURVE('',#174669,#174661,#98572,.T.); #220414=EDGE_CURVE('',#174657,#174666,#98573,.T.); #220415=EDGE_CURVE('',#174667,#174656,#98574,.T.); #220416=EDGE_CURVE('',#174656,#174662,#98575,.T.); #220417=EDGE_CURVE('',#174663,#174658,#98576,.T.); #220418=EDGE_CURVE('',#174660,#174657,#98577,.T.); #220419=EDGE_CURVE('',#174658,#174659,#98578,.T.); #220420=EDGE_CURVE('',#174680,#174681,#98579,.T.); #220421=EDGE_CURVE('',#174680,#174682,#98580,.T.); #220422=EDGE_CURVE('',#174683,#174682,#98581,.T.); #220423=EDGE_CURVE('',#174681,#174683,#98582,.T.); #220424=EDGE_CURVE('',#174684,#174681,#98583,.T.); #220425=EDGE_CURVE('',#174685,#174683,#98584,.T.); #220426=EDGE_CURVE('',#174684,#174685,#98585,.T.); #220427=EDGE_CURVE('',#174686,#174684,#98586,.T.); #220428=EDGE_CURVE('',#174687,#174685,#98587,.T.); #220429=EDGE_CURVE('',#174686,#174687,#98588,.T.); #220430=EDGE_CURVE('',#174680,#174686,#98589,.T.); #220431=EDGE_CURVE('',#174682,#174687,#98590,.T.); #220432=EDGE_CURVE('',#174688,#174689,#98591,.T.); #220433=EDGE_CURVE('',#174689,#174690,#98592,.T.); #220434=EDGE_CURVE('',#174690,#174691,#98593,.T.); #220435=EDGE_CURVE('',#174688,#174691,#98594,.T.); #220436=EDGE_CURVE('',#174689,#174692,#98595,.T.); #220437=EDGE_CURVE('',#174692,#174693,#98596,.T.); #220438=EDGE_CURVE('',#174693,#174690,#98597,.T.); #220439=EDGE_CURVE('',#174692,#174694,#98598,.T.); #220440=EDGE_CURVE('',#174694,#174695,#98599,.T.); #220441=EDGE_CURVE('',#174695,#174693,#98600,.T.); #220442=EDGE_CURVE('',#174691,#174695,#98601,.T.); #220443=EDGE_CURVE('',#174694,#174688,#98602,.T.); #220444=EDGE_CURVE('',#174696,#174691,#98603,.T.); #220445=EDGE_CURVE('',#174697,#174696,#98604,.T.); #220446=EDGE_CURVE('',#174697,#174695,#98605,.T.); #220447=EDGE_CURVE('',#174698,#174690,#98606,.T.); #220448=EDGE_CURVE('',#174696,#174698,#98607,.T.); #220449=EDGE_CURVE('',#174699,#174700,#98608,.T.); #220450=EDGE_CURVE('',#174700,#174701,#98609,.T.); #220451=EDGE_CURVE('',#174701,#174702,#98610,.T.); #220452=EDGE_CURVE('',#174699,#174702,#98611,.T.); #220453=EDGE_CURVE('',#174700,#174703,#98612,.T.); #220454=EDGE_CURVE('',#174703,#174704,#98613,.T.); #220455=EDGE_CURVE('',#174704,#174701,#98614,.T.); #220456=EDGE_CURVE('',#174703,#174705,#98615,.T.); #220457=EDGE_CURVE('',#174705,#174706,#98616,.T.); #220458=EDGE_CURVE('',#174706,#174704,#98617,.T.); #220459=EDGE_CURVE('',#174702,#174706,#98618,.T.); #220460=EDGE_CURVE('',#174705,#174699,#98619,.T.); #220461=EDGE_CURVE('',#174707,#174702,#98620,.T.); #220462=EDGE_CURVE('',#174708,#174707,#98621,.T.); #220463=EDGE_CURVE('',#174708,#174706,#98622,.T.); #220464=EDGE_CURVE('',#174709,#174701,#98623,.T.); #220465=EDGE_CURVE('',#174707,#174709,#98624,.T.); #220466=EDGE_CURVE('',#174710,#174697,#98625,.T.); #220467=EDGE_CURVE('',#174710,#174693,#98626,.T.); #220468=EDGE_CURVE('',#174698,#174710,#98627,.T.); #220469=EDGE_CURVE('',#174711,#174704,#98628,.T.); #220470=EDGE_CURVE('',#174709,#174711,#98629,.T.); #220471=EDGE_CURVE('',#174711,#174708,#98630,.T.); #220472=EDGE_CURVE('',#174712,#174713,#98631,.T.); #220473=EDGE_CURVE('',#174713,#174714,#98632,.T.); #220474=EDGE_CURVE('',#174714,#174715,#98633,.T.); #220475=EDGE_CURVE('',#174715,#174712,#98634,.T.); #220476=EDGE_CURVE('',#174716,#174715,#98635,.T.); #220477=EDGE_CURVE('',#174717,#174716,#98636,.T.); #220478=EDGE_CURVE('',#174717,#174712,#98637,.T.); #220479=EDGE_CURVE('',#174718,#174719,#98638,.T.); #220480=EDGE_CURVE('',#174719,#174720,#98639,.T.); #220481=EDGE_CURVE('',#174720,#174721,#98640,.T.); #220482=EDGE_CURVE('',#174718,#174721,#98641,.T.); #220483=EDGE_CURVE('',#174722,#174723,#98642,.T.); #220484=EDGE_CURVE('',#174724,#174723,#98643,.T.); #220485=EDGE_CURVE('',#174724,#174725,#98644,.T.); #220486=EDGE_CURVE('',#174722,#174725,#98645,.T.); #220487=EDGE_CURVE('',#174726,#174717,#98646,.T.); #220488=EDGE_CURVE('',#174716,#174727,#98647,.T.); #220489=EDGE_CURVE('',#174726,#174727,#98648,.T.); #220490=EDGE_CURVE('',#174713,#174720,#98649,.T.); #220491=EDGE_CURVE('',#174719,#174714,#98650,.T.); #220492=EDGE_CURVE('',#174728,#174729,#98651,.T.); #220493=EDGE_CURVE('',#174729,#174730,#98652,.T.); #220494=EDGE_CURVE('',#174730,#174731,#98653,.T.); #220495=EDGE_CURVE('',#174728,#174731,#98654,.T.); #220496=EDGE_CURVE('',#174729,#174732,#98655,.T.); #220497=EDGE_CURVE('',#174732,#174733,#98656,.T.); #220498=EDGE_CURVE('',#174733,#174730,#98657,.T.); #220499=EDGE_CURVE('',#174732,#174734,#98658,.T.); #220500=EDGE_CURVE('',#174734,#174735,#98659,.T.); #220501=EDGE_CURVE('',#174735,#174733,#98660,.T.); #220502=EDGE_CURVE('',#174731,#174735,#98661,.T.); #220503=EDGE_CURVE('',#174734,#174728,#98662,.T.); #220504=EDGE_CURVE('',#174736,#174731,#98663,.T.); #220505=EDGE_CURVE('',#174737,#174736,#98664,.T.); #220506=EDGE_CURVE('',#174737,#174735,#98665,.T.); #220507=EDGE_CURVE('',#174738,#174730,#98666,.T.); #220508=EDGE_CURVE('',#174736,#174738,#98667,.T.); #220509=EDGE_CURVE('',#174739,#174740,#98668,.T.); #220510=EDGE_CURVE('',#174740,#174741,#98669,.T.); #220511=EDGE_CURVE('',#174741,#174742,#98670,.T.); #220512=EDGE_CURVE('',#174739,#174742,#98671,.T.); #220513=EDGE_CURVE('',#174740,#174743,#98672,.T.); #220514=EDGE_CURVE('',#174743,#174744,#98673,.T.); #220515=EDGE_CURVE('',#174744,#174741,#98674,.T.); #220516=EDGE_CURVE('',#174743,#174745,#98675,.T.); #220517=EDGE_CURVE('',#174745,#174746,#98676,.T.); #220518=EDGE_CURVE('',#174746,#174744,#98677,.T.); #220519=EDGE_CURVE('',#174742,#174746,#98678,.T.); #220520=EDGE_CURVE('',#174745,#174739,#98679,.T.); #220521=EDGE_CURVE('',#174747,#174742,#98680,.T.); #220522=EDGE_CURVE('',#174748,#174747,#98681,.T.); #220523=EDGE_CURVE('',#174748,#174746,#98682,.T.); #220524=EDGE_CURVE('',#174749,#174741,#98683,.T.); #220525=EDGE_CURVE('',#174747,#174749,#98684,.T.); #220526=EDGE_CURVE('',#174750,#174737,#98685,.T.); #220527=EDGE_CURVE('',#174750,#174733,#98686,.T.); #220528=EDGE_CURVE('',#174738,#174750,#98687,.T.); #220529=EDGE_CURVE('',#174751,#174744,#98688,.T.); #220530=EDGE_CURVE('',#174749,#174751,#98689,.T.); #220531=EDGE_CURVE('',#174751,#174748,#98690,.T.); #220532=EDGE_CURVE('',#174721,#174752,#98691,.T.); #220533=EDGE_CURVE('',#174752,#174753,#98692,.T.); #220534=EDGE_CURVE('',#174753,#174718,#98693,.T.); #220535=EDGE_CURVE('',#174754,#174755,#98694,.T.); #220536=EDGE_CURVE('',#174755,#174756,#98695,.T.); #220537=EDGE_CURVE('',#174756,#174757,#98696,.T.); #220538=EDGE_CURVE('',#174757,#174754,#98697,.T.); #220539=EDGE_CURVE('',#174758,#174757,#98698,.T.); #220540=EDGE_CURVE('',#174759,#174758,#98699,.T.); #220541=EDGE_CURVE('',#174759,#174754,#98700,.T.); #220542=EDGE_CURVE('',#174760,#174759,#98701,.T.); #220543=EDGE_CURVE('',#174758,#174761,#98702,.T.); #220544=EDGE_CURVE('',#174760,#174761,#98703,.T.); #220545=EDGE_CURVE('',#174762,#174724,#98704,.T.); #220546=EDGE_CURVE('',#174723,#174763,#98705,.T.); #220547=EDGE_CURVE('',#174762,#174763,#98706,.T.); #220548=EDGE_CURVE('',#174752,#174756,#98707,.T.); #220549=EDGE_CURVE('',#174755,#174753,#98708,.T.); #220550=EDGE_CURVE('',#174764,#174765,#98709,.T.); #220551=EDGE_CURVE('',#174765,#174766,#98710,.T.); #220552=EDGE_CURVE('',#174766,#174767,#98711,.T.); #220553=EDGE_CURVE('',#174764,#174767,#98712,.T.); #220554=EDGE_CURVE('',#174765,#174768,#98713,.T.); #220555=EDGE_CURVE('',#174768,#174769,#98714,.T.); #220556=EDGE_CURVE('',#174769,#174766,#98715,.T.); #220557=EDGE_CURVE('',#174768,#174770,#98716,.T.); #220558=EDGE_CURVE('',#174770,#174771,#98717,.T.); #220559=EDGE_CURVE('',#174771,#174769,#98718,.T.); #220560=EDGE_CURVE('',#174767,#174771,#98719,.T.); #220561=EDGE_CURVE('',#174770,#174764,#98720,.T.); #220562=EDGE_CURVE('',#174772,#174767,#98721,.T.); #220563=EDGE_CURVE('',#174773,#174772,#98722,.T.); #220564=EDGE_CURVE('',#174773,#174771,#98723,.T.); #220565=EDGE_CURVE('',#174774,#174766,#98724,.T.); #220566=EDGE_CURVE('',#174772,#174774,#98725,.T.); #220567=EDGE_CURVE('',#174775,#174776,#98726,.T.); #220568=EDGE_CURVE('',#174776,#174777,#98727,.T.); #220569=EDGE_CURVE('',#174777,#174778,#98728,.T.); #220570=EDGE_CURVE('',#174775,#174778,#98729,.T.); #220571=EDGE_CURVE('',#174776,#174779,#98730,.T.); #220572=EDGE_CURVE('',#174779,#174780,#98731,.T.); #220573=EDGE_CURVE('',#174780,#174777,#98732,.T.); #220574=EDGE_CURVE('',#174779,#174781,#98733,.T.); #220575=EDGE_CURVE('',#174781,#174782,#98734,.T.); #220576=EDGE_CURVE('',#174782,#174780,#98735,.T.); #220577=EDGE_CURVE('',#174778,#174782,#98736,.T.); #220578=EDGE_CURVE('',#174781,#174775,#98737,.T.); #220579=EDGE_CURVE('',#174783,#174778,#98738,.T.); #220580=EDGE_CURVE('',#174784,#174783,#98739,.T.); #220581=EDGE_CURVE('',#174784,#174782,#98740,.T.); #220582=EDGE_CURVE('',#174785,#174777,#98741,.T.); #220583=EDGE_CURVE('',#174783,#174785,#98742,.T.); #220584=EDGE_CURVE('',#174786,#174773,#98743,.T.); #220585=EDGE_CURVE('',#174786,#174769,#98744,.T.); #220586=EDGE_CURVE('',#174774,#174786,#98745,.T.); #220587=EDGE_CURVE('',#174787,#174780,#98746,.T.); #220588=EDGE_CURVE('',#174785,#174787,#98747,.T.); #220589=EDGE_CURVE('',#174787,#174784,#98748,.T.); #220590=EDGE_CURVE('',#174788,#174726,#98749,.T.); #220591=EDGE_CURVE('',#174727,#174789,#98750,.T.); #220592=EDGE_CURVE('',#174789,#174788,#98751,.T.); #220593=EDGE_CURVE('',#174790,#174789,#98752,.T.); #220594=EDGE_CURVE('',#174791,#174790,#98753,.T.); #220595=EDGE_CURVE('',#174791,#174788,#98754,.T.); #220596=EDGE_CURVE('',#174792,#174722,#98755,.T.); #220597=EDGE_CURVE('',#174725,#174793,#98756,.T.); #220598=EDGE_CURVE('',#174792,#174793,#98757,.T.); #220599=EDGE_CURVE('',#174794,#174795,#98758,.T.); #220600=EDGE_CURVE('',#174796,#174795,#98759,.T.); #220601=EDGE_CURVE('',#174796,#174797,#98760,.T.); #220602=EDGE_CURVE('',#174797,#174794,#98761,.T.); #220603=EDGE_CURVE('',#174798,#174791,#98762,.T.); #220604=EDGE_CURVE('',#174790,#174799,#98763,.T.); #220605=EDGE_CURVE('',#174799,#174798,#98764,.T.); #220606=EDGE_CURVE('',#174798,#174797,#98765,.T.); #220607=EDGE_CURVE('',#174794,#174799,#98766,.T.); #220608=EDGE_CURVE('',#174800,#174801,#98767,.T.); #220609=EDGE_CURVE('',#174801,#174802,#98768,.T.); #220610=EDGE_CURVE('',#174802,#174803,#98769,.T.); #220611=EDGE_CURVE('',#174800,#174803,#98770,.T.); #220612=EDGE_CURVE('',#174801,#174804,#98771,.T.); #220613=EDGE_CURVE('',#174804,#174805,#98772,.T.); #220614=EDGE_CURVE('',#174805,#174802,#98773,.T.); #220615=EDGE_CURVE('',#174804,#174806,#98774,.T.); #220616=EDGE_CURVE('',#174806,#174807,#98775,.T.); #220617=EDGE_CURVE('',#174807,#174805,#98776,.T.); #220618=EDGE_CURVE('',#174803,#174807,#98777,.T.); #220619=EDGE_CURVE('',#174806,#174800,#98778,.T.); #220620=EDGE_CURVE('',#174808,#174803,#98779,.T.); #220621=EDGE_CURVE('',#174809,#174808,#98780,.T.); #220622=EDGE_CURVE('',#174809,#174807,#98781,.T.); #220623=EDGE_CURVE('',#174810,#174802,#98782,.T.); #220624=EDGE_CURVE('',#174808,#174810,#98783,.T.); #220625=EDGE_CURVE('',#174811,#174812,#98784,.T.); #220626=EDGE_CURVE('',#174812,#174813,#98785,.T.); #220627=EDGE_CURVE('',#174813,#174814,#98786,.T.); #220628=EDGE_CURVE('',#174811,#174814,#98787,.T.); #220629=EDGE_CURVE('',#174812,#174815,#98788,.T.); #220630=EDGE_CURVE('',#174815,#174816,#98789,.T.); #220631=EDGE_CURVE('',#174816,#174813,#98790,.T.); #220632=EDGE_CURVE('',#174815,#174817,#98791,.T.); #220633=EDGE_CURVE('',#174817,#174818,#98792,.T.); #220634=EDGE_CURVE('',#174818,#174816,#98793,.T.); #220635=EDGE_CURVE('',#174814,#174818,#98794,.T.); #220636=EDGE_CURVE('',#174817,#174811,#98795,.T.); #220637=EDGE_CURVE('',#174819,#174814,#98796,.T.); #220638=EDGE_CURVE('',#174820,#174819,#98797,.T.); #220639=EDGE_CURVE('',#174820,#174818,#98798,.T.); #220640=EDGE_CURVE('',#174821,#174813,#98799,.T.); #220641=EDGE_CURVE('',#174819,#174821,#98800,.T.); #220642=EDGE_CURVE('',#174822,#174809,#98801,.T.); #220643=EDGE_CURVE('',#174822,#174805,#98802,.T.); #220644=EDGE_CURVE('',#174810,#174822,#98803,.T.); #220645=EDGE_CURVE('',#174823,#174816,#98804,.T.); #220646=EDGE_CURVE('',#174821,#174823,#98805,.T.); #220647=EDGE_CURVE('',#174823,#174820,#98806,.T.); #220648=EDGE_CURVE('',#174793,#174762,#98807,.T.); #220649=EDGE_CURVE('',#174763,#174792,#98808,.T.); #220650=EDGE_CURVE('',#174824,#174760,#98809,.T.); #220651=EDGE_CURVE('',#174825,#174824,#98810,.T.); #220652=EDGE_CURVE('',#174826,#174825,#98811,.T.); #220653=EDGE_CURVE('',#174826,#174827,#98812,.T.); #220654=EDGE_CURVE('',#174795,#174827,#98813,.T.); #220655=EDGE_CURVE('',#174761,#174828,#98814,.T.); #220656=EDGE_CURVE('',#174828,#174824,#98815,.T.); #220657=EDGE_CURVE('',#174829,#174828,#98816,.T.); #220658=EDGE_CURVE('',#174825,#174829,#98817,.T.); #220659=EDGE_CURVE('',#174829,#174830,#98818,.T.); #220660=EDGE_CURVE('',#174830,#174826,#98819,.T.); #220661=EDGE_CURVE('',#174831,#174796,#98820,.T.); #220662=EDGE_CURVE('',#174827,#174831,#98821,.T.); #220663=EDGE_CURVE('',#174831,#174830,#98822,.T.); #220664=EDGE_CURVE('',#174832,#174832,#144488,.T.); #220665=EDGE_CURVE('',#174832,#174833,#98823,.T.); #220666=EDGE_CURVE('',#174833,#174833,#144489,.T.); #220667=EDGE_CURVE('',#174834,#174834,#144490,.T.); #220668=EDGE_CURVE('',#174834,#174835,#98824,.T.); #220669=EDGE_CURVE('',#174835,#174835,#144491,.T.); #220670=EDGE_CURVE('',#174836,#174836,#144492,.T.); #220671=EDGE_CURVE('',#174836,#174837,#98825,.T.); #220672=EDGE_CURVE('',#174837,#174837,#144493,.T.); #220673=EDGE_CURVE('',#174838,#174838,#144494,.T.); #220674=EDGE_CURVE('',#174838,#174839,#98826,.T.); #220675=EDGE_CURVE('',#174839,#174839,#144495,.T.); #220676=EDGE_CURVE('',#174840,#174840,#144496,.T.); #220677=EDGE_CURVE('',#174840,#174841,#98827,.T.); #220678=EDGE_CURVE('',#174841,#174841,#144497,.T.); #220679=EDGE_CURVE('',#174842,#174842,#144498,.T.); #220680=EDGE_CURVE('',#174842,#174843,#98828,.T.); #220681=EDGE_CURVE('',#174843,#174843,#144499,.T.); #220682=ORIENTED_EDGE('',*,*,#174844,.F.); #220683=ORIENTED_EDGE('',*,*,#174845,.T.); #220684=ORIENTED_EDGE('',*,*,#174846,.F.); #220685=ORIENTED_EDGE('',*,*,#174845,.F.); #220686=ORIENTED_EDGE('',*,*,#174847,.F.); #220687=ORIENTED_EDGE('',*,*,#174848,.T.); #220688=ORIENTED_EDGE('',*,*,#174849,.F.); #220689=ORIENTED_EDGE('',*,*,#174848,.F.); #220690=ORIENTED_EDGE('',*,*,#174850,.F.); #220691=ORIENTED_EDGE('',*,*,#174851,.T.); #220692=ORIENTED_EDGE('',*,*,#174852,.F.); #220693=ORIENTED_EDGE('',*,*,#174851,.F.); #220694=ORIENTED_EDGE('',*,*,#174853,.F.); #220695=ORIENTED_EDGE('',*,*,#174854,.T.); #220696=ORIENTED_EDGE('',*,*,#174855,.F.); #220697=ORIENTED_EDGE('',*,*,#174854,.F.); #220698=ORIENTED_EDGE('',*,*,#174856,.F.); #220699=ORIENTED_EDGE('',*,*,#174857,.T.); #220700=ORIENTED_EDGE('',*,*,#174858,.F.); #220701=ORIENTED_EDGE('',*,*,#174857,.F.); #220702=ORIENTED_EDGE('',*,*,#174859,.F.); #220703=ORIENTED_EDGE('',*,*,#174860,.T.); #220704=ORIENTED_EDGE('',*,*,#174861,.F.); #220705=ORIENTED_EDGE('',*,*,#174860,.F.); #220706=ORIENTED_EDGE('',*,*,#174862,.F.); #220707=ORIENTED_EDGE('',*,*,#174863,.T.); #220708=ORIENTED_EDGE('',*,*,#174864,.F.); #220709=ORIENTED_EDGE('',*,*,#174863,.F.); #220710=ORIENTED_EDGE('',*,*,#174865,.F.); #220711=ORIENTED_EDGE('',*,*,#174866,.T.); #220712=ORIENTED_EDGE('',*,*,#174867,.F.); #220713=ORIENTED_EDGE('',*,*,#174866,.F.); #220714=ORIENTED_EDGE('',*,*,#174868,.F.); #220715=ORIENTED_EDGE('',*,*,#174869,.T.); #220716=ORIENTED_EDGE('',*,*,#174870,.F.); #220717=ORIENTED_EDGE('',*,*,#174869,.F.); #220718=ORIENTED_EDGE('',*,*,#174871,.F.); #220719=ORIENTED_EDGE('',*,*,#174872,.T.); #220720=ORIENTED_EDGE('',*,*,#174873,.F.); #220721=ORIENTED_EDGE('',*,*,#174872,.F.); #220722=ORIENTED_EDGE('',*,*,#174874,.F.); #220723=ORIENTED_EDGE('',*,*,#174875,.T.); #220724=ORIENTED_EDGE('',*,*,#174876,.F.); #220725=ORIENTED_EDGE('',*,*,#174875,.F.); #220726=ORIENTED_EDGE('',*,*,#174877,.F.); #220727=ORIENTED_EDGE('',*,*,#174878,.T.); #220728=ORIENTED_EDGE('',*,*,#174879,.F.); #220729=ORIENTED_EDGE('',*,*,#174878,.F.); #220730=ORIENTED_EDGE('',*,*,#174880,.F.); #220731=ORIENTED_EDGE('',*,*,#174881,.T.); #220732=ORIENTED_EDGE('',*,*,#174882,.F.); #220733=ORIENTED_EDGE('',*,*,#174881,.F.); #220734=ORIENTED_EDGE('',*,*,#174883,.F.); #220735=ORIENTED_EDGE('',*,*,#174884,.T.); #220736=ORIENTED_EDGE('',*,*,#174885,.F.); #220737=ORIENTED_EDGE('',*,*,#174884,.F.); #220738=ORIENTED_EDGE('',*,*,#174886,.F.); #220739=ORIENTED_EDGE('',*,*,#174887,.T.); #220740=ORIENTED_EDGE('',*,*,#174888,.F.); #220741=ORIENTED_EDGE('',*,*,#174887,.F.); #220742=ORIENTED_EDGE('',*,*,#174889,.F.); #220743=ORIENTED_EDGE('',*,*,#174890,.T.); #220744=ORIENTED_EDGE('',*,*,#174891,.F.); #220745=ORIENTED_EDGE('',*,*,#174890,.F.); #220746=ORIENTED_EDGE('',*,*,#174892,.F.); #220747=ORIENTED_EDGE('',*,*,#174893,.T.); #220748=ORIENTED_EDGE('',*,*,#174894,.F.); #220749=ORIENTED_EDGE('',*,*,#174893,.F.); #220750=ORIENTED_EDGE('',*,*,#174895,.F.); #220751=ORIENTED_EDGE('',*,*,#174896,.T.); #220752=ORIENTED_EDGE('',*,*,#174897,.F.); #220753=ORIENTED_EDGE('',*,*,#174896,.F.); #220754=ORIENTED_EDGE('',*,*,#174898,.F.); #220755=ORIENTED_EDGE('',*,*,#174899,.T.); #220756=ORIENTED_EDGE('',*,*,#174900,.F.); #220757=ORIENTED_EDGE('',*,*,#174899,.F.); #220758=ORIENTED_EDGE('',*,*,#174901,.F.); #220759=ORIENTED_EDGE('',*,*,#174902,.T.); #220760=ORIENTED_EDGE('',*,*,#174903,.F.); #220761=ORIENTED_EDGE('',*,*,#174902,.F.); #220762=ORIENTED_EDGE('',*,*,#174904,.F.); #220763=ORIENTED_EDGE('',*,*,#174905,.T.); #220764=ORIENTED_EDGE('',*,*,#174906,.F.); #220765=ORIENTED_EDGE('',*,*,#174905,.F.); #220766=ORIENTED_EDGE('',*,*,#174907,.F.); #220767=ORIENTED_EDGE('',*,*,#174908,.T.); #220768=ORIENTED_EDGE('',*,*,#174909,.F.); #220769=ORIENTED_EDGE('',*,*,#174908,.F.); #220770=ORIENTED_EDGE('',*,*,#174910,.F.); #220771=ORIENTED_EDGE('',*,*,#174911,.T.); #220772=ORIENTED_EDGE('',*,*,#174912,.F.); #220773=ORIENTED_EDGE('',*,*,#174911,.F.); #220774=ORIENTED_EDGE('',*,*,#174913,.F.); #220775=ORIENTED_EDGE('',*,*,#174914,.T.); #220776=ORIENTED_EDGE('',*,*,#174915,.F.); #220777=ORIENTED_EDGE('',*,*,#174914,.F.); #220778=ORIENTED_EDGE('',*,*,#174916,.F.); #220779=ORIENTED_EDGE('',*,*,#174917,.T.); #220780=ORIENTED_EDGE('',*,*,#174918,.F.); #220781=ORIENTED_EDGE('',*,*,#174917,.F.); #220782=ORIENTED_EDGE('',*,*,#174919,.F.); #220783=ORIENTED_EDGE('',*,*,#174920,.T.); #220784=ORIENTED_EDGE('',*,*,#174921,.F.); #220785=ORIENTED_EDGE('',*,*,#174920,.F.); #220786=ORIENTED_EDGE('',*,*,#174922,.F.); #220787=ORIENTED_EDGE('',*,*,#174923,.T.); #220788=ORIENTED_EDGE('',*,*,#174924,.F.); #220789=ORIENTED_EDGE('',*,*,#174923,.F.); #220790=ORIENTED_EDGE('',*,*,#174925,.F.); #220791=ORIENTED_EDGE('',*,*,#174926,.T.); #220792=ORIENTED_EDGE('',*,*,#174927,.F.); #220793=ORIENTED_EDGE('',*,*,#174926,.F.); #220794=ORIENTED_EDGE('',*,*,#174928,.F.); #220795=ORIENTED_EDGE('',*,*,#174929,.T.); #220796=ORIENTED_EDGE('',*,*,#174930,.F.); #220797=ORIENTED_EDGE('',*,*,#174929,.F.); #220798=ORIENTED_EDGE('',*,*,#174931,.F.); #220799=ORIENTED_EDGE('',*,*,#174932,.T.); #220800=ORIENTED_EDGE('',*,*,#174933,.F.); #220801=ORIENTED_EDGE('',*,*,#174932,.F.); #220802=ORIENTED_EDGE('',*,*,#174934,.F.); #220803=ORIENTED_EDGE('',*,*,#174935,.T.); #220804=ORIENTED_EDGE('',*,*,#174936,.F.); #220805=ORIENTED_EDGE('',*,*,#174935,.F.); #220806=ORIENTED_EDGE('',*,*,#174937,.F.); #220807=ORIENTED_EDGE('',*,*,#174938,.T.); #220808=ORIENTED_EDGE('',*,*,#174939,.F.); #220809=ORIENTED_EDGE('',*,*,#174938,.F.); #220810=ORIENTED_EDGE('',*,*,#174940,.F.); #220811=ORIENTED_EDGE('',*,*,#174941,.T.); #220812=ORIENTED_EDGE('',*,*,#174942,.F.); #220813=ORIENTED_EDGE('',*,*,#174941,.F.); #220814=ORIENTED_EDGE('',*,*,#174943,.F.); #220815=ORIENTED_EDGE('',*,*,#174944,.T.); #220816=ORIENTED_EDGE('',*,*,#174945,.F.); #220817=ORIENTED_EDGE('',*,*,#174944,.F.); #220818=ORIENTED_EDGE('',*,*,#174946,.F.); #220819=ORIENTED_EDGE('',*,*,#174947,.T.); #220820=ORIENTED_EDGE('',*,*,#174948,.F.); #220821=ORIENTED_EDGE('',*,*,#174947,.F.); #220822=ORIENTED_EDGE('',*,*,#174949,.F.); #220823=ORIENTED_EDGE('',*,*,#174950,.T.); #220824=ORIENTED_EDGE('',*,*,#174951,.F.); #220825=ORIENTED_EDGE('',*,*,#174950,.F.); #220826=ORIENTED_EDGE('',*,*,#174952,.F.); #220827=ORIENTED_EDGE('',*,*,#174953,.T.); #220828=ORIENTED_EDGE('',*,*,#174954,.F.); #220829=ORIENTED_EDGE('',*,*,#174953,.F.); #220830=ORIENTED_EDGE('',*,*,#174955,.F.); #220831=ORIENTED_EDGE('',*,*,#174956,.T.); #220832=ORIENTED_EDGE('',*,*,#174957,.F.); #220833=ORIENTED_EDGE('',*,*,#174956,.F.); #220834=ORIENTED_EDGE('',*,*,#174958,.F.); #220835=ORIENTED_EDGE('',*,*,#174959,.T.); #220836=ORIENTED_EDGE('',*,*,#174960,.F.); #220837=ORIENTED_EDGE('',*,*,#174959,.F.); #220838=ORIENTED_EDGE('',*,*,#174961,.F.); #220839=ORIENTED_EDGE('',*,*,#174962,.F.); #220840=ORIENTED_EDGE('',*,*,#174963,.F.); #220841=ORIENTED_EDGE('',*,*,#174964,.T.); #220842=ORIENTED_EDGE('',*,*,#174965,.F.); #220843=ORIENTED_EDGE('',*,*,#174964,.F.); #220844=ORIENTED_EDGE('',*,*,#174966,.F.); #220845=ORIENTED_EDGE('',*,*,#174967,.T.); #220846=ORIENTED_EDGE('',*,*,#174968,.F.); #220847=ORIENTED_EDGE('',*,*,#174967,.F.); #220848=ORIENTED_EDGE('',*,*,#174969,.F.); #220849=ORIENTED_EDGE('',*,*,#174970,.T.); #220850=ORIENTED_EDGE('',*,*,#174971,.F.); #220851=ORIENTED_EDGE('',*,*,#174970,.F.); #220852=ORIENTED_EDGE('',*,*,#174972,.F.); #220853=ORIENTED_EDGE('',*,*,#174962,.T.); #220854=ORIENTED_EDGE('',*,*,#174973,.F.); #220855=ORIENTED_EDGE('',*,*,#174974,.T.); #220856=ORIENTED_EDGE('',*,*,#174975,.F.); #220857=ORIENTED_EDGE('',*,*,#174974,.F.); #220858=ORIENTED_EDGE('',*,*,#174976,.F.); #220859=ORIENTED_EDGE('',*,*,#174977,.F.); #220860=ORIENTED_EDGE('',*,*,#174978,.F.); #220861=ORIENTED_EDGE('',*,*,#174979,.T.); #220862=ORIENTED_EDGE('',*,*,#174980,.F.); #220863=ORIENTED_EDGE('',*,*,#174979,.F.); #220864=ORIENTED_EDGE('',*,*,#174981,.F.); #220865=ORIENTED_EDGE('',*,*,#174982,.T.); #220866=ORIENTED_EDGE('',*,*,#174983,.F.); #220867=ORIENTED_EDGE('',*,*,#174982,.F.); #220868=ORIENTED_EDGE('',*,*,#174984,.F.); #220869=ORIENTED_EDGE('',*,*,#174985,.T.); #220870=ORIENTED_EDGE('',*,*,#174986,.F.); #220871=ORIENTED_EDGE('',*,*,#174985,.F.); #220872=ORIENTED_EDGE('',*,*,#174987,.F.); #220873=ORIENTED_EDGE('',*,*,#174977,.T.); #220874=ORIENTED_EDGE('',*,*,#174988,.F.); #220875=ORIENTED_EDGE('',*,*,#174989,.T.); #220876=ORIENTED_EDGE('',*,*,#174990,.F.); #220877=ORIENTED_EDGE('',*,*,#174989,.F.); #220878=ORIENTED_EDGE('',*,*,#174991,.F.); #220879=ORIENTED_EDGE('',*,*,#174992,.T.); #220880=ORIENTED_EDGE('',*,*,#174993,.F.); #220881=ORIENTED_EDGE('',*,*,#174992,.F.); #220882=ORIENTED_EDGE('',*,*,#174994,.F.); #220883=ORIENTED_EDGE('',*,*,#174995,.T.); #220884=ORIENTED_EDGE('',*,*,#174996,.F.); #220885=ORIENTED_EDGE('',*,*,#174995,.F.); #220886=ORIENTED_EDGE('',*,*,#174997,.F.); #220887=ORIENTED_EDGE('',*,*,#174998,.T.); #220888=ORIENTED_EDGE('',*,*,#174999,.F.); #220889=ORIENTED_EDGE('',*,*,#174998,.F.); #220890=ORIENTED_EDGE('',*,*,#175000,.F.); #220891=ORIENTED_EDGE('',*,*,#175001,.T.); #220892=ORIENTED_EDGE('',*,*,#175002,.F.); #220893=ORIENTED_EDGE('',*,*,#175001,.F.); #220894=ORIENTED_EDGE('',*,*,#175003,.F.); #220895=ORIENTED_EDGE('',*,*,#175004,.T.); #220896=ORIENTED_EDGE('',*,*,#175005,.F.); #220897=ORIENTED_EDGE('',*,*,#175004,.F.); #220898=ORIENTED_EDGE('',*,*,#175006,.F.); #220899=ORIENTED_EDGE('',*,*,#175007,.T.); #220900=ORIENTED_EDGE('',*,*,#175008,.F.); #220901=ORIENTED_EDGE('',*,*,#175007,.F.); #220902=ORIENTED_EDGE('',*,*,#175009,.F.); #220903=ORIENTED_EDGE('',*,*,#175010,.T.); #220904=ORIENTED_EDGE('',*,*,#175011,.F.); #220905=ORIENTED_EDGE('',*,*,#175010,.F.); #220906=ORIENTED_EDGE('',*,*,#175012,.F.); #220907=ORIENTED_EDGE('',*,*,#175013,.T.); #220908=ORIENTED_EDGE('',*,*,#175014,.F.); #220909=ORIENTED_EDGE('',*,*,#175013,.F.); #220910=ORIENTED_EDGE('',*,*,#175015,.F.); #220911=ORIENTED_EDGE('',*,*,#175016,.T.); #220912=ORIENTED_EDGE('',*,*,#175017,.F.); #220913=ORIENTED_EDGE('',*,*,#175016,.F.); #220914=ORIENTED_EDGE('',*,*,#175018,.F.); #220915=ORIENTED_EDGE('',*,*,#175019,.T.); #220916=ORIENTED_EDGE('',*,*,#175020,.F.); #220917=ORIENTED_EDGE('',*,*,#175019,.F.); #220918=ORIENTED_EDGE('',*,*,#175021,.F.); #220919=ORIENTED_EDGE('',*,*,#175022,.F.); #220920=ORIENTED_EDGE('',*,*,#175023,.F.); #220921=ORIENTED_EDGE('',*,*,#175024,.T.); #220922=ORIENTED_EDGE('',*,*,#175025,.F.); #220923=ORIENTED_EDGE('',*,*,#175024,.F.); #220924=ORIENTED_EDGE('',*,*,#175026,.F.); #220925=ORIENTED_EDGE('',*,*,#175027,.T.); #220926=ORIENTED_EDGE('',*,*,#175028,.F.); #220927=ORIENTED_EDGE('',*,*,#175027,.F.); #220928=ORIENTED_EDGE('',*,*,#175029,.F.); #220929=ORIENTED_EDGE('',*,*,#175030,.T.); #220930=ORIENTED_EDGE('',*,*,#175031,.F.); #220931=ORIENTED_EDGE('',*,*,#175030,.F.); #220932=ORIENTED_EDGE('',*,*,#175032,.F.); #220933=ORIENTED_EDGE('',*,*,#175022,.T.); #220934=ORIENTED_EDGE('',*,*,#175033,.F.); #220935=ORIENTED_EDGE('',*,*,#175034,.T.); #220936=ORIENTED_EDGE('',*,*,#175035,.F.); #220937=ORIENTED_EDGE('',*,*,#175034,.F.); #220938=ORIENTED_EDGE('',*,*,#175036,.F.); #220939=ORIENTED_EDGE('',*,*,#175037,.F.); #220940=ORIENTED_EDGE('',*,*,#175038,.F.); #220941=ORIENTED_EDGE('',*,*,#175039,.T.); #220942=ORIENTED_EDGE('',*,*,#175040,.F.); #220943=ORIENTED_EDGE('',*,*,#175039,.F.); #220944=ORIENTED_EDGE('',*,*,#175041,.F.); #220945=ORIENTED_EDGE('',*,*,#175042,.T.); #220946=ORIENTED_EDGE('',*,*,#175043,.F.); #220947=ORIENTED_EDGE('',*,*,#175042,.F.); #220948=ORIENTED_EDGE('',*,*,#175044,.F.); #220949=ORIENTED_EDGE('',*,*,#175045,.T.); #220950=ORIENTED_EDGE('',*,*,#175046,.F.); #220951=ORIENTED_EDGE('',*,*,#175045,.F.); #220952=ORIENTED_EDGE('',*,*,#175047,.F.); #220953=ORIENTED_EDGE('',*,*,#175037,.T.); #220954=ORIENTED_EDGE('',*,*,#175048,.F.); #220955=ORIENTED_EDGE('',*,*,#175049,.T.); #220956=ORIENTED_EDGE('',*,*,#175050,.F.); #220957=ORIENTED_EDGE('',*,*,#175049,.F.); #220958=ORIENTED_EDGE('',*,*,#175051,.F.); #220959=ORIENTED_EDGE('',*,*,#175052,.F.); #220960=ORIENTED_EDGE('',*,*,#175053,.F.); #220961=ORIENTED_EDGE('',*,*,#175054,.T.); #220962=ORIENTED_EDGE('',*,*,#175055,.F.); #220963=ORIENTED_EDGE('',*,*,#175054,.F.); #220964=ORIENTED_EDGE('',*,*,#175056,.F.); #220965=ORIENTED_EDGE('',*,*,#175057,.T.); #220966=ORIENTED_EDGE('',*,*,#175058,.F.); #220967=ORIENTED_EDGE('',*,*,#175057,.F.); #220968=ORIENTED_EDGE('',*,*,#175059,.F.); #220969=ORIENTED_EDGE('',*,*,#175060,.T.); #220970=ORIENTED_EDGE('',*,*,#175061,.F.); #220971=ORIENTED_EDGE('',*,*,#175060,.F.); #220972=ORIENTED_EDGE('',*,*,#175062,.F.); #220973=ORIENTED_EDGE('',*,*,#175052,.T.); #220974=ORIENTED_EDGE('',*,*,#175063,.F.); #220975=ORIENTED_EDGE('',*,*,#175064,.T.); #220976=ORIENTED_EDGE('',*,*,#175065,.F.); #220977=ORIENTED_EDGE('',*,*,#175064,.F.); #220978=ORIENTED_EDGE('',*,*,#175066,.F.); #220979=ORIENTED_EDGE('',*,*,#175067,.F.); #220980=ORIENTED_EDGE('',*,*,#175068,.F.); #220981=ORIENTED_EDGE('',*,*,#175069,.T.); #220982=ORIENTED_EDGE('',*,*,#175070,.F.); #220983=ORIENTED_EDGE('',*,*,#175069,.F.); #220984=ORIENTED_EDGE('',*,*,#175071,.F.); #220985=ORIENTED_EDGE('',*,*,#175072,.T.); #220986=ORIENTED_EDGE('',*,*,#175073,.F.); #220987=ORIENTED_EDGE('',*,*,#175072,.F.); #220988=ORIENTED_EDGE('',*,*,#175074,.F.); #220989=ORIENTED_EDGE('',*,*,#175075,.T.); #220990=ORIENTED_EDGE('',*,*,#175076,.F.); #220991=ORIENTED_EDGE('',*,*,#175075,.F.); #220992=ORIENTED_EDGE('',*,*,#175077,.F.); #220993=ORIENTED_EDGE('',*,*,#175067,.T.); #220994=ORIENTED_EDGE('',*,*,#175078,.F.); #220995=ORIENTED_EDGE('',*,*,#175079,.T.); #220996=ORIENTED_EDGE('',*,*,#175080,.F.); #220997=ORIENTED_EDGE('',*,*,#175079,.F.); #220998=ORIENTED_EDGE('',*,*,#175081,.F.); #220999=ORIENTED_EDGE('',*,*,#175082,.T.); #221000=ORIENTED_EDGE('',*,*,#175083,.F.); #221001=ORIENTED_EDGE('',*,*,#175082,.F.); #221002=ORIENTED_EDGE('',*,*,#175084,.F.); #221003=ORIENTED_EDGE('',*,*,#175085,.T.); #221004=ORIENTED_EDGE('',*,*,#175086,.F.); #221005=ORIENTED_EDGE('',*,*,#175085,.F.); #221006=ORIENTED_EDGE('',*,*,#175087,.F.); #221007=ORIENTED_EDGE('',*,*,#175088,.T.); #221008=ORIENTED_EDGE('',*,*,#175089,.F.); #221009=ORIENTED_EDGE('',*,*,#175088,.F.); #221010=ORIENTED_EDGE('',*,*,#175090,.F.); #221011=ORIENTED_EDGE('',*,*,#175091,.T.); #221012=ORIENTED_EDGE('',*,*,#175092,.F.); #221013=ORIENTED_EDGE('',*,*,#175091,.F.); #221014=ORIENTED_EDGE('',*,*,#175093,.F.); #221015=ORIENTED_EDGE('',*,*,#175094,.T.); #221016=ORIENTED_EDGE('',*,*,#175095,.F.); #221017=ORIENTED_EDGE('',*,*,#175094,.F.); #221018=ORIENTED_EDGE('',*,*,#175096,.F.); #221019=ORIENTED_EDGE('',*,*,#175097,.T.); #221020=ORIENTED_EDGE('',*,*,#175098,.F.); #221021=ORIENTED_EDGE('',*,*,#175097,.F.); #221022=ORIENTED_EDGE('',*,*,#175099,.F.); #221023=ORIENTED_EDGE('',*,*,#175100,.T.); #221024=ORIENTED_EDGE('',*,*,#175101,.F.); #221025=ORIENTED_EDGE('',*,*,#175100,.F.); #221026=ORIENTED_EDGE('',*,*,#175102,.F.); #221027=ORIENTED_EDGE('',*,*,#175103,.T.); #221028=ORIENTED_EDGE('',*,*,#175104,.F.); #221029=ORIENTED_EDGE('',*,*,#175103,.F.); #221030=ORIENTED_EDGE('',*,*,#175105,.F.); #221031=ORIENTED_EDGE('',*,*,#175106,.T.); #221032=ORIENTED_EDGE('',*,*,#175107,.F.); #221033=ORIENTED_EDGE('',*,*,#175106,.F.); #221034=ORIENTED_EDGE('',*,*,#175108,.F.); #221035=ORIENTED_EDGE('',*,*,#175109,.T.); #221036=ORIENTED_EDGE('',*,*,#175110,.F.); #221037=ORIENTED_EDGE('',*,*,#175109,.F.); #221038=ORIENTED_EDGE('',*,*,#175111,.F.); #221039=ORIENTED_EDGE('',*,*,#175112,.T.); #221040=ORIENTED_EDGE('',*,*,#175113,.F.); #221041=ORIENTED_EDGE('',*,*,#175112,.F.); #221042=ORIENTED_EDGE('',*,*,#175114,.F.); #221043=ORIENTED_EDGE('',*,*,#175115,.T.); #221044=ORIENTED_EDGE('',*,*,#175116,.F.); #221045=ORIENTED_EDGE('',*,*,#175115,.F.); #221046=ORIENTED_EDGE('',*,*,#175117,.F.); #221047=ORIENTED_EDGE('',*,*,#175118,.T.); #221048=ORIENTED_EDGE('',*,*,#175119,.F.); #221049=ORIENTED_EDGE('',*,*,#175118,.F.); #221050=ORIENTED_EDGE('',*,*,#175120,.F.); #221051=ORIENTED_EDGE('',*,*,#175121,.T.); #221052=ORIENTED_EDGE('',*,*,#175122,.F.); #221053=ORIENTED_EDGE('',*,*,#175121,.F.); #221054=ORIENTED_EDGE('',*,*,#175123,.F.); #221055=ORIENTED_EDGE('',*,*,#175124,.T.); #221056=ORIENTED_EDGE('',*,*,#175125,.F.); #221057=ORIENTED_EDGE('',*,*,#175124,.F.); #221058=ORIENTED_EDGE('',*,*,#175126,.F.); #221059=ORIENTED_EDGE('',*,*,#175127,.T.); #221060=ORIENTED_EDGE('',*,*,#175128,.F.); #221061=ORIENTED_EDGE('',*,*,#175127,.F.); #221062=ORIENTED_EDGE('',*,*,#175129,.F.); #221063=ORIENTED_EDGE('',*,*,#175130,.T.); #221064=ORIENTED_EDGE('',*,*,#175131,.F.); #221065=ORIENTED_EDGE('',*,*,#175130,.F.); #221066=ORIENTED_EDGE('',*,*,#175132,.F.); #221067=ORIENTED_EDGE('',*,*,#175133,.T.); #221068=ORIENTED_EDGE('',*,*,#175134,.F.); #221069=ORIENTED_EDGE('',*,*,#175133,.F.); #221070=ORIENTED_EDGE('',*,*,#175135,.F.); #221071=ORIENTED_EDGE('',*,*,#175136,.T.); #221072=ORIENTED_EDGE('',*,*,#175137,.F.); #221073=ORIENTED_EDGE('',*,*,#175136,.F.); #221074=ORIENTED_EDGE('',*,*,#175138,.F.); #221075=ORIENTED_EDGE('',*,*,#175139,.T.); #221076=ORIENTED_EDGE('',*,*,#175140,.F.); #221077=ORIENTED_EDGE('',*,*,#175139,.F.); #221078=ORIENTED_EDGE('',*,*,#175141,.F.); #221079=ORIENTED_EDGE('',*,*,#175142,.T.); #221080=ORIENTED_EDGE('',*,*,#175143,.F.); #221081=ORIENTED_EDGE('',*,*,#175142,.F.); #221082=ORIENTED_EDGE('',*,*,#175144,.F.); #221083=ORIENTED_EDGE('',*,*,#175145,.T.); #221084=ORIENTED_EDGE('',*,*,#175146,.F.); #221085=ORIENTED_EDGE('',*,*,#175145,.F.); #221086=ORIENTED_EDGE('',*,*,#175147,.F.); #221087=ORIENTED_EDGE('',*,*,#175148,.T.); #221088=ORIENTED_EDGE('',*,*,#175149,.F.); #221089=ORIENTED_EDGE('',*,*,#175148,.F.); #221090=ORIENTED_EDGE('',*,*,#175150,.F.); #221091=ORIENTED_EDGE('',*,*,#175151,.T.); #221092=ORIENTED_EDGE('',*,*,#175152,.F.); #221093=ORIENTED_EDGE('',*,*,#175151,.F.); #221094=ORIENTED_EDGE('',*,*,#175153,.F.); #221095=ORIENTED_EDGE('',*,*,#175154,.T.); #221096=ORIENTED_EDGE('',*,*,#175155,.F.); #221097=ORIENTED_EDGE('',*,*,#175154,.F.); #221098=ORIENTED_EDGE('',*,*,#175156,.F.); #221099=ORIENTED_EDGE('',*,*,#175157,.T.); #221100=ORIENTED_EDGE('',*,*,#175158,.F.); #221101=ORIENTED_EDGE('',*,*,#175157,.F.); #221102=ORIENTED_EDGE('',*,*,#175159,.F.); #221103=ORIENTED_EDGE('',*,*,#175160,.T.); #221104=ORIENTED_EDGE('',*,*,#175161,.F.); #221105=ORIENTED_EDGE('',*,*,#175160,.F.); #221106=ORIENTED_EDGE('',*,*,#175162,.F.); #221107=ORIENTED_EDGE('',*,*,#175163,.T.); #221108=ORIENTED_EDGE('',*,*,#175164,.F.); #221109=ORIENTED_EDGE('',*,*,#175163,.F.); #221110=ORIENTED_EDGE('',*,*,#175165,.F.); #221111=ORIENTED_EDGE('',*,*,#175166,.T.); #221112=ORIENTED_EDGE('',*,*,#175167,.F.); #221113=ORIENTED_EDGE('',*,*,#175166,.F.); #221114=ORIENTED_EDGE('',*,*,#175168,.F.); #221115=ORIENTED_EDGE('',*,*,#175169,.T.); #221116=ORIENTED_EDGE('',*,*,#175170,.F.); #221117=ORIENTED_EDGE('',*,*,#175169,.F.); #221118=ORIENTED_EDGE('',*,*,#175171,.F.); #221119=ORIENTED_EDGE('',*,*,#175172,.T.); #221120=ORIENTED_EDGE('',*,*,#175173,.F.); #221121=ORIENTED_EDGE('',*,*,#175172,.F.); #221122=ORIENTED_EDGE('',*,*,#175174,.F.); #221123=ORIENTED_EDGE('',*,*,#175175,.T.); #221124=ORIENTED_EDGE('',*,*,#175176,.F.); #221125=ORIENTED_EDGE('',*,*,#175175,.F.); #221126=ORIENTED_EDGE('',*,*,#175177,.F.); #221127=ORIENTED_EDGE('',*,*,#175178,.T.); #221128=ORIENTED_EDGE('',*,*,#175179,.F.); #221129=ORIENTED_EDGE('',*,*,#175178,.F.); #221130=ORIENTED_EDGE('',*,*,#175180,.F.); #221131=ORIENTED_EDGE('',*,*,#175181,.T.); #221132=ORIENTED_EDGE('',*,*,#175182,.F.); #221133=ORIENTED_EDGE('',*,*,#175181,.F.); #221134=ORIENTED_EDGE('',*,*,#175183,.F.); #221135=ORIENTED_EDGE('',*,*,#175184,.T.); #221136=ORIENTED_EDGE('',*,*,#175185,.F.); #221137=ORIENTED_EDGE('',*,*,#175184,.F.); #221138=ORIENTED_EDGE('',*,*,#175186,.F.); #221139=ORIENTED_EDGE('',*,*,#175187,.T.); #221140=ORIENTED_EDGE('',*,*,#175188,.F.); #221141=ORIENTED_EDGE('',*,*,#175187,.F.); #221142=ORIENTED_EDGE('',*,*,#175189,.F.); #221143=ORIENTED_EDGE('',*,*,#175190,.T.); #221144=ORIENTED_EDGE('',*,*,#175191,.F.); #221145=ORIENTED_EDGE('',*,*,#175190,.F.); #221146=ORIENTED_EDGE('',*,*,#175192,.F.); #221147=ORIENTED_EDGE('',*,*,#175193,.T.); #221148=ORIENTED_EDGE('',*,*,#175194,.F.); #221149=ORIENTED_EDGE('',*,*,#175193,.F.); #221150=ORIENTED_EDGE('',*,*,#175195,.F.); #221151=ORIENTED_EDGE('',*,*,#175196,.T.); #221152=ORIENTED_EDGE('',*,*,#175197,.F.); #221153=ORIENTED_EDGE('',*,*,#175196,.F.); #221154=ORIENTED_EDGE('',*,*,#175198,.F.); #221155=ORIENTED_EDGE('',*,*,#175199,.T.); #221156=ORIENTED_EDGE('',*,*,#175200,.F.); #221157=ORIENTED_EDGE('',*,*,#175199,.F.); #221158=ORIENTED_EDGE('',*,*,#175201,.F.); #221159=ORIENTED_EDGE('',*,*,#175202,.T.); #221160=ORIENTED_EDGE('',*,*,#175203,.F.); #221161=ORIENTED_EDGE('',*,*,#175202,.F.); #221162=ORIENTED_EDGE('',*,*,#175204,.F.); #221163=ORIENTED_EDGE('',*,*,#175205,.T.); #221164=ORIENTED_EDGE('',*,*,#175206,.F.); #221165=ORIENTED_EDGE('',*,*,#175205,.F.); #221166=ORIENTED_EDGE('',*,*,#175207,.F.); #221167=ORIENTED_EDGE('',*,*,#175208,.T.); #221168=ORIENTED_EDGE('',*,*,#175209,.F.); #221169=ORIENTED_EDGE('',*,*,#175208,.F.); #221170=ORIENTED_EDGE('',*,*,#175210,.F.); #221171=ORIENTED_EDGE('',*,*,#175211,.T.); #221172=ORIENTED_EDGE('',*,*,#175212,.F.); #221173=ORIENTED_EDGE('',*,*,#175211,.F.); #221174=ORIENTED_EDGE('',*,*,#175213,.F.); #221175=ORIENTED_EDGE('',*,*,#175214,.T.); #221176=ORIENTED_EDGE('',*,*,#175215,.F.); #221177=ORIENTED_EDGE('',*,*,#175214,.F.); #221178=ORIENTED_EDGE('',*,*,#175216,.F.); #221179=ORIENTED_EDGE('',*,*,#175217,.T.); #221180=ORIENTED_EDGE('',*,*,#175218,.F.); #221181=ORIENTED_EDGE('',*,*,#175217,.F.); #221182=ORIENTED_EDGE('',*,*,#175219,.F.); #221183=ORIENTED_EDGE('',*,*,#175220,.T.); #221184=ORIENTED_EDGE('',*,*,#175221,.F.); #221185=ORIENTED_EDGE('',*,*,#175220,.F.); #221186=ORIENTED_EDGE('',*,*,#175222,.F.); #221187=ORIENTED_EDGE('',*,*,#175223,.T.); #221188=ORIENTED_EDGE('',*,*,#175224,.F.); #221189=ORIENTED_EDGE('',*,*,#175223,.F.); #221190=ORIENTED_EDGE('',*,*,#175225,.F.); #221191=ORIENTED_EDGE('',*,*,#175226,.T.); #221192=ORIENTED_EDGE('',*,*,#175227,.F.); #221193=ORIENTED_EDGE('',*,*,#175226,.F.); #221194=ORIENTED_EDGE('',*,*,#175228,.F.); #221195=ORIENTED_EDGE('',*,*,#175229,.T.); #221196=ORIENTED_EDGE('',*,*,#175230,.F.); #221197=ORIENTED_EDGE('',*,*,#175229,.F.); #221198=ORIENTED_EDGE('',*,*,#175231,.F.); #221199=ORIENTED_EDGE('',*,*,#175232,.T.); #221200=ORIENTED_EDGE('',*,*,#175233,.F.); #221201=ORIENTED_EDGE('',*,*,#175232,.F.); #221202=ORIENTED_EDGE('',*,*,#175234,.F.); #221203=ORIENTED_EDGE('',*,*,#175235,.T.); #221204=ORIENTED_EDGE('',*,*,#175236,.F.); #221205=ORIENTED_EDGE('',*,*,#175235,.F.); #221206=ORIENTED_EDGE('',*,*,#175237,.F.); #221207=ORIENTED_EDGE('',*,*,#175238,.T.); #221208=ORIENTED_EDGE('',*,*,#175239,.F.); #221209=ORIENTED_EDGE('',*,*,#175238,.F.); #221210=ORIENTED_EDGE('',*,*,#175240,.F.); #221211=ORIENTED_EDGE('',*,*,#175241,.T.); #221212=ORIENTED_EDGE('',*,*,#175242,.F.); #221213=ORIENTED_EDGE('',*,*,#175241,.F.); #221214=ORIENTED_EDGE('',*,*,#175243,.F.); #221215=ORIENTED_EDGE('',*,*,#175244,.T.); #221216=ORIENTED_EDGE('',*,*,#175245,.F.); #221217=ORIENTED_EDGE('',*,*,#175244,.F.); #221218=ORIENTED_EDGE('',*,*,#175246,.F.); #221219=ORIENTED_EDGE('',*,*,#175247,.T.); #221220=ORIENTED_EDGE('',*,*,#175248,.F.); #221221=ORIENTED_EDGE('',*,*,#175247,.F.); #221222=ORIENTED_EDGE('',*,*,#175249,.F.); #221223=ORIENTED_EDGE('',*,*,#175250,.T.); #221224=ORIENTED_EDGE('',*,*,#175251,.F.); #221225=ORIENTED_EDGE('',*,*,#175250,.F.); #221226=ORIENTED_EDGE('',*,*,#175252,.F.); #221227=ORIENTED_EDGE('',*,*,#175253,.T.); #221228=ORIENTED_EDGE('',*,*,#175254,.F.); #221229=ORIENTED_EDGE('',*,*,#175253,.F.); #221230=ORIENTED_EDGE('',*,*,#175255,.F.); #221231=ORIENTED_EDGE('',*,*,#175256,.T.); #221232=ORIENTED_EDGE('',*,*,#175257,.F.); #221233=ORIENTED_EDGE('',*,*,#175256,.F.); #221234=ORIENTED_EDGE('',*,*,#175258,.F.); #221235=ORIENTED_EDGE('',*,*,#175259,.T.); #221236=ORIENTED_EDGE('',*,*,#175260,.F.); #221237=ORIENTED_EDGE('',*,*,#175259,.F.); #221238=ORIENTED_EDGE('',*,*,#175261,.F.); #221239=ORIENTED_EDGE('',*,*,#175262,.T.); #221240=ORIENTED_EDGE('',*,*,#175263,.F.); #221241=ORIENTED_EDGE('',*,*,#175262,.F.); #221242=ORIENTED_EDGE('',*,*,#175264,.F.); #221243=ORIENTED_EDGE('',*,*,#175265,.T.); #221244=ORIENTED_EDGE('',*,*,#175266,.F.); #221245=ORIENTED_EDGE('',*,*,#175265,.F.); #221246=ORIENTED_EDGE('',*,*,#175267,.F.); #221247=ORIENTED_EDGE('',*,*,#175268,.T.); #221248=ORIENTED_EDGE('',*,*,#175269,.F.); #221249=ORIENTED_EDGE('',*,*,#175268,.F.); #221250=ORIENTED_EDGE('',*,*,#175270,.F.); #221251=ORIENTED_EDGE('',*,*,#175271,.T.); #221252=ORIENTED_EDGE('',*,*,#175272,.F.); #221253=ORIENTED_EDGE('',*,*,#175271,.F.); #221254=ORIENTED_EDGE('',*,*,#175273,.F.); #221255=ORIENTED_EDGE('',*,*,#175274,.T.); #221256=ORIENTED_EDGE('',*,*,#175275,.F.); #221257=ORIENTED_EDGE('',*,*,#175274,.F.); #221258=ORIENTED_EDGE('',*,*,#175276,.F.); #221259=ORIENTED_EDGE('',*,*,#175277,.T.); #221260=ORIENTED_EDGE('',*,*,#175278,.F.); #221261=ORIENTED_EDGE('',*,*,#175277,.F.); #221262=ORIENTED_EDGE('',*,*,#175279,.F.); #221263=ORIENTED_EDGE('',*,*,#175280,.T.); #221264=ORIENTED_EDGE('',*,*,#175281,.F.); #221265=ORIENTED_EDGE('',*,*,#175280,.F.); #221266=ORIENTED_EDGE('',*,*,#175282,.F.); #221267=ORIENTED_EDGE('',*,*,#175283,.T.); #221268=ORIENTED_EDGE('',*,*,#175284,.F.); #221269=ORIENTED_EDGE('',*,*,#175283,.F.); #221270=ORIENTED_EDGE('',*,*,#175285,.F.); #221271=ORIENTED_EDGE('',*,*,#175286,.T.); #221272=ORIENTED_EDGE('',*,*,#175287,.F.); #221273=ORIENTED_EDGE('',*,*,#175286,.F.); #221274=ORIENTED_EDGE('',*,*,#175288,.F.); #221275=ORIENTED_EDGE('',*,*,#175289,.T.); #221276=ORIENTED_EDGE('',*,*,#175290,.F.); #221277=ORIENTED_EDGE('',*,*,#175289,.F.); #221278=ORIENTED_EDGE('',*,*,#175291,.F.); #221279=ORIENTED_EDGE('',*,*,#175292,.T.); #221280=ORIENTED_EDGE('',*,*,#175293,.F.); #221281=ORIENTED_EDGE('',*,*,#175292,.F.); #221282=ORIENTED_EDGE('',*,*,#175294,.F.); #221283=ORIENTED_EDGE('',*,*,#175295,.T.); #221284=ORIENTED_EDGE('',*,*,#175296,.F.); #221285=ORIENTED_EDGE('',*,*,#175295,.F.); #221286=ORIENTED_EDGE('',*,*,#175297,.F.); #221287=ORIENTED_EDGE('',*,*,#175298,.T.); #221288=ORIENTED_EDGE('',*,*,#175299,.F.); #221289=ORIENTED_EDGE('',*,*,#175298,.F.); #221290=ORIENTED_EDGE('',*,*,#175300,.F.); #221291=ORIENTED_EDGE('',*,*,#175301,.T.); #221292=ORIENTED_EDGE('',*,*,#175302,.F.); #221293=ORIENTED_EDGE('',*,*,#175301,.F.); #221294=ORIENTED_EDGE('',*,*,#175303,.F.); #221295=ORIENTED_EDGE('',*,*,#175304,.T.); #221296=ORIENTED_EDGE('',*,*,#175305,.F.); #221297=ORIENTED_EDGE('',*,*,#175304,.F.); #221298=ORIENTED_EDGE('',*,*,#175306,.F.); #221299=ORIENTED_EDGE('',*,*,#175307,.T.); #221300=ORIENTED_EDGE('',*,*,#175308,.F.); #221301=ORIENTED_EDGE('',*,*,#175307,.F.); #221302=ORIENTED_EDGE('',*,*,#175309,.F.); #221303=ORIENTED_EDGE('',*,*,#175310,.T.); #221304=ORIENTED_EDGE('',*,*,#175311,.F.); #221305=ORIENTED_EDGE('',*,*,#175310,.F.); #221306=ORIENTED_EDGE('',*,*,#175312,.F.); #221307=ORIENTED_EDGE('',*,*,#175313,.T.); #221308=ORIENTED_EDGE('',*,*,#175314,.F.); #221309=ORIENTED_EDGE('',*,*,#175313,.F.); #221310=ORIENTED_EDGE('',*,*,#175315,.F.); #221311=ORIENTED_EDGE('',*,*,#175316,.T.); #221312=ORIENTED_EDGE('',*,*,#175317,.F.); #221313=ORIENTED_EDGE('',*,*,#175316,.F.); #221314=ORIENTED_EDGE('',*,*,#175318,.F.); #221315=ORIENTED_EDGE('',*,*,#175319,.T.); #221316=ORIENTED_EDGE('',*,*,#175320,.F.); #221317=ORIENTED_EDGE('',*,*,#175319,.F.); #221318=ORIENTED_EDGE('',*,*,#175321,.F.); #221319=ORIENTED_EDGE('',*,*,#175322,.T.); #221320=ORIENTED_EDGE('',*,*,#175323,.F.); #221321=ORIENTED_EDGE('',*,*,#175322,.F.); #221322=ORIENTED_EDGE('',*,*,#175324,.F.); #221323=ORIENTED_EDGE('',*,*,#175325,.T.); #221324=ORIENTED_EDGE('',*,*,#175326,.F.); #221325=ORIENTED_EDGE('',*,*,#175325,.F.); #221326=ORIENTED_EDGE('',*,*,#175327,.F.); #221327=ORIENTED_EDGE('',*,*,#175328,.T.); #221328=ORIENTED_EDGE('',*,*,#175329,.F.); #221329=ORIENTED_EDGE('',*,*,#175328,.F.); #221330=ORIENTED_EDGE('',*,*,#175330,.F.); #221331=ORIENTED_EDGE('',*,*,#175331,.T.); #221332=ORIENTED_EDGE('',*,*,#175332,.F.); #221333=ORIENTED_EDGE('',*,*,#175331,.F.); #221334=ORIENTED_EDGE('',*,*,#175333,.F.); #221335=ORIENTED_EDGE('',*,*,#175334,.T.); #221336=ORIENTED_EDGE('',*,*,#175335,.F.); #221337=ORIENTED_EDGE('',*,*,#175334,.F.); #221338=ORIENTED_EDGE('',*,*,#175336,.F.); #221339=ORIENTED_EDGE('',*,*,#175337,.T.); #221340=ORIENTED_EDGE('',*,*,#175338,.F.); #221341=ORIENTED_EDGE('',*,*,#175337,.F.); #221342=ORIENTED_EDGE('',*,*,#175339,.F.); #221343=ORIENTED_EDGE('',*,*,#175340,.T.); #221344=ORIENTED_EDGE('',*,*,#175341,.F.); #221345=ORIENTED_EDGE('',*,*,#175340,.F.); #221346=ORIENTED_EDGE('',*,*,#175342,.F.); #221347=ORIENTED_EDGE('',*,*,#175343,.T.); #221348=ORIENTED_EDGE('',*,*,#175344,.F.); #221349=ORIENTED_EDGE('',*,*,#175343,.F.); #221350=ORIENTED_EDGE('',*,*,#175345,.F.); #221351=ORIENTED_EDGE('',*,*,#175346,.T.); #221352=ORIENTED_EDGE('',*,*,#175347,.F.); #221353=ORIENTED_EDGE('',*,*,#175346,.F.); #221354=ORIENTED_EDGE('',*,*,#175348,.F.); #221355=ORIENTED_EDGE('',*,*,#175349,.T.); #221356=ORIENTED_EDGE('',*,*,#175350,.F.); #221357=ORIENTED_EDGE('',*,*,#175349,.F.); #221358=ORIENTED_EDGE('',*,*,#175351,.F.); #221359=ORIENTED_EDGE('',*,*,#175352,.T.); #221360=ORIENTED_EDGE('',*,*,#175353,.F.); #221361=ORIENTED_EDGE('',*,*,#175352,.F.); #221362=ORIENTED_EDGE('',*,*,#175354,.F.); #221363=ORIENTED_EDGE('',*,*,#175355,.T.); #221364=ORIENTED_EDGE('',*,*,#175356,.F.); #221365=ORIENTED_EDGE('',*,*,#175355,.F.); #221366=ORIENTED_EDGE('',*,*,#175357,.F.); #221367=ORIENTED_EDGE('',*,*,#175358,.T.); #221368=ORIENTED_EDGE('',*,*,#175359,.F.); #221369=ORIENTED_EDGE('',*,*,#175358,.F.); #221370=ORIENTED_EDGE('',*,*,#175360,.F.); #221371=ORIENTED_EDGE('',*,*,#175361,.T.); #221372=ORIENTED_EDGE('',*,*,#175362,.F.); #221373=ORIENTED_EDGE('',*,*,#175361,.F.); #221374=ORIENTED_EDGE('',*,*,#175363,.F.); #221375=ORIENTED_EDGE('',*,*,#175364,.T.); #221376=ORIENTED_EDGE('',*,*,#175365,.F.); #221377=ORIENTED_EDGE('',*,*,#175364,.F.); #221378=ORIENTED_EDGE('',*,*,#175366,.F.); #221379=ORIENTED_EDGE('',*,*,#175367,.T.); #221380=ORIENTED_EDGE('',*,*,#175368,.F.); #221381=ORIENTED_EDGE('',*,*,#175367,.F.); #221382=ORIENTED_EDGE('',*,*,#175369,.F.); #221383=ORIENTED_EDGE('',*,*,#175370,.T.); #221384=ORIENTED_EDGE('',*,*,#175371,.F.); #221385=ORIENTED_EDGE('',*,*,#175370,.F.); #221386=ORIENTED_EDGE('',*,*,#175372,.F.); #221387=ORIENTED_EDGE('',*,*,#175373,.T.); #221388=ORIENTED_EDGE('',*,*,#175374,.F.); #221389=ORIENTED_EDGE('',*,*,#175373,.F.); #221390=ORIENTED_EDGE('',*,*,#175375,.F.); #221391=ORIENTED_EDGE('',*,*,#175376,.T.); #221392=ORIENTED_EDGE('',*,*,#175377,.F.); #221393=ORIENTED_EDGE('',*,*,#175376,.F.); #221394=ORIENTED_EDGE('',*,*,#175378,.F.); #221395=ORIENTED_EDGE('',*,*,#175379,.T.); #221396=ORIENTED_EDGE('',*,*,#175380,.F.); #221397=ORIENTED_EDGE('',*,*,#175379,.F.); #221398=ORIENTED_EDGE('',*,*,#175381,.F.); #221399=ORIENTED_EDGE('',*,*,#175382,.T.); #221400=ORIENTED_EDGE('',*,*,#175383,.F.); #221401=ORIENTED_EDGE('',*,*,#175382,.F.); #221402=ORIENTED_EDGE('',*,*,#175384,.F.); #221403=ORIENTED_EDGE('',*,*,#175385,.T.); #221404=ORIENTED_EDGE('',*,*,#175386,.F.); #221405=ORIENTED_EDGE('',*,*,#175385,.F.); #221406=ORIENTED_EDGE('',*,*,#175387,.F.); #221407=ORIENTED_EDGE('',*,*,#175388,.T.); #221408=ORIENTED_EDGE('',*,*,#175389,.F.); #221409=ORIENTED_EDGE('',*,*,#175388,.F.); #221410=ORIENTED_EDGE('',*,*,#175390,.F.); #221411=ORIENTED_EDGE('',*,*,#175391,.T.); #221412=ORIENTED_EDGE('',*,*,#175392,.F.); #221413=ORIENTED_EDGE('',*,*,#175391,.F.); #221414=ORIENTED_EDGE('',*,*,#175393,.F.); #221415=ORIENTED_EDGE('',*,*,#175394,.T.); #221416=ORIENTED_EDGE('',*,*,#175395,.F.); #221417=ORIENTED_EDGE('',*,*,#175394,.F.); #221418=ORIENTED_EDGE('',*,*,#175396,.F.); #221419=ORIENTED_EDGE('',*,*,#175397,.T.); #221420=ORIENTED_EDGE('',*,*,#175398,.F.); #221421=ORIENTED_EDGE('',*,*,#175397,.F.); #221422=ORIENTED_EDGE('',*,*,#175399,.F.); #221423=ORIENTED_EDGE('',*,*,#175400,.T.); #221424=ORIENTED_EDGE('',*,*,#175401,.F.); #221425=ORIENTED_EDGE('',*,*,#175400,.F.); #221426=ORIENTED_EDGE('',*,*,#175402,.F.); #221427=ORIENTED_EDGE('',*,*,#175403,.T.); #221428=ORIENTED_EDGE('',*,*,#175404,.F.); #221429=ORIENTED_EDGE('',*,*,#175403,.F.); #221430=ORIENTED_EDGE('',*,*,#175405,.F.); #221431=ORIENTED_EDGE('',*,*,#175406,.T.); #221432=ORIENTED_EDGE('',*,*,#175407,.F.); #221433=ORIENTED_EDGE('',*,*,#175406,.F.); #221434=ORIENTED_EDGE('',*,*,#175408,.F.); #221435=ORIENTED_EDGE('',*,*,#175409,.T.); #221436=ORIENTED_EDGE('',*,*,#175410,.F.); #221437=ORIENTED_EDGE('',*,*,#175409,.F.); #221438=ORIENTED_EDGE('',*,*,#175411,.F.); #221439=ORIENTED_EDGE('',*,*,#175412,.T.); #221440=ORIENTED_EDGE('',*,*,#175413,.F.); #221441=ORIENTED_EDGE('',*,*,#175412,.F.); #221442=ORIENTED_EDGE('',*,*,#175414,.F.); #221443=ORIENTED_EDGE('',*,*,#175415,.T.); #221444=ORIENTED_EDGE('',*,*,#175416,.F.); #221445=ORIENTED_EDGE('',*,*,#175415,.F.); #221446=ORIENTED_EDGE('',*,*,#175417,.F.); #221447=ORIENTED_EDGE('',*,*,#175418,.T.); #221448=ORIENTED_EDGE('',*,*,#175419,.F.); #221449=ORIENTED_EDGE('',*,*,#175418,.F.); #221450=ORIENTED_EDGE('',*,*,#175420,.F.); #221451=ORIENTED_EDGE('',*,*,#175421,.T.); #221452=ORIENTED_EDGE('',*,*,#175422,.F.); #221453=ORIENTED_EDGE('',*,*,#175421,.F.); #221454=ORIENTED_EDGE('',*,*,#175423,.F.); #221455=ORIENTED_EDGE('',*,*,#175424,.T.); #221456=ORIENTED_EDGE('',*,*,#175425,.F.); #221457=ORIENTED_EDGE('',*,*,#175424,.F.); #221458=ORIENTED_EDGE('',*,*,#175426,.F.); #221459=ORIENTED_EDGE('',*,*,#175427,.T.); #221460=ORIENTED_EDGE('',*,*,#175428,.F.); #221461=ORIENTED_EDGE('',*,*,#175427,.F.); #221462=ORIENTED_EDGE('',*,*,#175429,.F.); #221463=ORIENTED_EDGE('',*,*,#175430,.T.); #221464=ORIENTED_EDGE('',*,*,#175431,.F.); #221465=ORIENTED_EDGE('',*,*,#175430,.F.); #221466=ORIENTED_EDGE('',*,*,#175432,.F.); #221467=ORIENTED_EDGE('',*,*,#175433,.T.); #221468=ORIENTED_EDGE('',*,*,#175434,.F.); #221469=ORIENTED_EDGE('',*,*,#175433,.F.); #221470=ORIENTED_EDGE('',*,*,#175435,.F.); #221471=ORIENTED_EDGE('',*,*,#175436,.T.); #221472=ORIENTED_EDGE('',*,*,#175437,.F.); #221473=ORIENTED_EDGE('',*,*,#175436,.F.); #221474=ORIENTED_EDGE('',*,*,#175438,.F.); #221475=ORIENTED_EDGE('',*,*,#175439,.T.); #221476=ORIENTED_EDGE('',*,*,#175440,.F.); #221477=ORIENTED_EDGE('',*,*,#175439,.F.); #221478=ORIENTED_EDGE('',*,*,#175441,.F.); #221479=ORIENTED_EDGE('',*,*,#175442,.T.); #221480=ORIENTED_EDGE('',*,*,#175443,.F.); #221481=ORIENTED_EDGE('',*,*,#175442,.F.); #221482=ORIENTED_EDGE('',*,*,#175444,.F.); #221483=ORIENTED_EDGE('',*,*,#175445,.T.); #221484=ORIENTED_EDGE('',*,*,#175446,.F.); #221485=ORIENTED_EDGE('',*,*,#175445,.F.); #221486=ORIENTED_EDGE('',*,*,#175447,.F.); #221487=ORIENTED_EDGE('',*,*,#175448,.T.); #221488=ORIENTED_EDGE('',*,*,#175449,.F.); #221489=ORIENTED_EDGE('',*,*,#175448,.F.); #221490=ORIENTED_EDGE('',*,*,#175450,.F.); #221491=ORIENTED_EDGE('',*,*,#175451,.T.); #221492=ORIENTED_EDGE('',*,*,#175452,.F.); #221493=ORIENTED_EDGE('',*,*,#175451,.F.); #221494=ORIENTED_EDGE('',*,*,#175453,.F.); #221495=ORIENTED_EDGE('',*,*,#175454,.T.); #221496=ORIENTED_EDGE('',*,*,#175455,.F.); #221497=ORIENTED_EDGE('',*,*,#175454,.F.); #221498=ORIENTED_EDGE('',*,*,#175456,.F.); #221499=ORIENTED_EDGE('',*,*,#175457,.T.); #221500=ORIENTED_EDGE('',*,*,#175458,.F.); #221501=ORIENTED_EDGE('',*,*,#175457,.F.); #221502=ORIENTED_EDGE('',*,*,#175459,.F.); #221503=ORIENTED_EDGE('',*,*,#175460,.T.); #221504=ORIENTED_EDGE('',*,*,#175461,.F.); #221505=ORIENTED_EDGE('',*,*,#175460,.F.); #221506=ORIENTED_EDGE('',*,*,#175462,.F.); #221507=ORIENTED_EDGE('',*,*,#175463,.T.); #221508=ORIENTED_EDGE('',*,*,#175464,.F.); #221509=ORIENTED_EDGE('',*,*,#175463,.F.); #221510=ORIENTED_EDGE('',*,*,#175465,.F.); #221511=ORIENTED_EDGE('',*,*,#175466,.T.); #221512=ORIENTED_EDGE('',*,*,#175467,.F.); #221513=ORIENTED_EDGE('',*,*,#175466,.F.); #221514=ORIENTED_EDGE('',*,*,#175468,.F.); #221515=ORIENTED_EDGE('',*,*,#175469,.T.); #221516=ORIENTED_EDGE('',*,*,#175470,.F.); #221517=ORIENTED_EDGE('',*,*,#175469,.F.); #221518=ORIENTED_EDGE('',*,*,#175471,.F.); #221519=ORIENTED_EDGE('',*,*,#175472,.T.); #221520=ORIENTED_EDGE('',*,*,#175473,.F.); #221521=ORIENTED_EDGE('',*,*,#175472,.F.); #221522=ORIENTED_EDGE('',*,*,#175474,.F.); #221523=ORIENTED_EDGE('',*,*,#175475,.T.); #221524=ORIENTED_EDGE('',*,*,#175476,.F.); #221525=ORIENTED_EDGE('',*,*,#175475,.F.); #221526=ORIENTED_EDGE('',*,*,#175477,.F.); #221527=ORIENTED_EDGE('',*,*,#175478,.T.); #221528=ORIENTED_EDGE('',*,*,#175479,.F.); #221529=ORIENTED_EDGE('',*,*,#175478,.F.); #221530=ORIENTED_EDGE('',*,*,#175480,.F.); #221531=ORIENTED_EDGE('',*,*,#175481,.T.); #221532=ORIENTED_EDGE('',*,*,#175482,.F.); #221533=ORIENTED_EDGE('',*,*,#175481,.F.); #221534=ORIENTED_EDGE('',*,*,#175483,.F.); #221535=ORIENTED_EDGE('',*,*,#175484,.T.); #221536=ORIENTED_EDGE('',*,*,#175485,.F.); #221537=ORIENTED_EDGE('',*,*,#175484,.F.); #221538=ORIENTED_EDGE('',*,*,#175486,.F.); #221539=ORIENTED_EDGE('',*,*,#175487,.T.); #221540=ORIENTED_EDGE('',*,*,#175488,.F.); #221541=ORIENTED_EDGE('',*,*,#175487,.F.); #221542=ORIENTED_EDGE('',*,*,#175489,.F.); #221543=ORIENTED_EDGE('',*,*,#175490,.T.); #221544=ORIENTED_EDGE('',*,*,#175491,.F.); #221545=ORIENTED_EDGE('',*,*,#175490,.F.); #221546=ORIENTED_EDGE('',*,*,#175492,.F.); #221547=ORIENTED_EDGE('',*,*,#175493,.T.); #221548=ORIENTED_EDGE('',*,*,#175494,.F.); #221549=ORIENTED_EDGE('',*,*,#175493,.F.); #221550=ORIENTED_EDGE('',*,*,#175495,.F.); #221551=ORIENTED_EDGE('',*,*,#175496,.T.); #221552=ORIENTED_EDGE('',*,*,#175497,.F.); #221553=ORIENTED_EDGE('',*,*,#175496,.F.); #221554=ORIENTED_EDGE('',*,*,#175498,.F.); #221555=ORIENTED_EDGE('',*,*,#175499,.T.); #221556=ORIENTED_EDGE('',*,*,#175500,.F.); #221557=ORIENTED_EDGE('',*,*,#175499,.F.); #221558=ORIENTED_EDGE('',*,*,#175501,.F.); #221559=ORIENTED_EDGE('',*,*,#175502,.T.); #221560=ORIENTED_EDGE('',*,*,#175503,.F.); #221561=ORIENTED_EDGE('',*,*,#175502,.F.); #221562=ORIENTED_EDGE('',*,*,#175504,.F.); #221563=ORIENTED_EDGE('',*,*,#175505,.T.); #221564=ORIENTED_EDGE('',*,*,#175506,.F.); #221565=ORIENTED_EDGE('',*,*,#175505,.F.); #221566=ORIENTED_EDGE('',*,*,#175507,.F.); #221567=ORIENTED_EDGE('',*,*,#175508,.T.); #221568=ORIENTED_EDGE('',*,*,#175509,.F.); #221569=ORIENTED_EDGE('',*,*,#175508,.F.); #221570=ORIENTED_EDGE('',*,*,#175510,.F.); #221571=ORIENTED_EDGE('',*,*,#175511,.T.); #221572=ORIENTED_EDGE('',*,*,#175512,.F.); #221573=ORIENTED_EDGE('',*,*,#175511,.F.); #221574=ORIENTED_EDGE('',*,*,#175513,.F.); #221575=ORIENTED_EDGE('',*,*,#175514,.T.); #221576=ORIENTED_EDGE('',*,*,#175515,.F.); #221577=ORIENTED_EDGE('',*,*,#175514,.F.); #221578=ORIENTED_EDGE('',*,*,#175516,.F.); #221579=ORIENTED_EDGE('',*,*,#175517,.T.); #221580=ORIENTED_EDGE('',*,*,#175518,.F.); #221581=ORIENTED_EDGE('',*,*,#175517,.F.); #221582=ORIENTED_EDGE('',*,*,#175519,.F.); #221583=ORIENTED_EDGE('',*,*,#175520,.T.); #221584=ORIENTED_EDGE('',*,*,#175521,.F.); #221585=ORIENTED_EDGE('',*,*,#175520,.F.); #221586=ORIENTED_EDGE('',*,*,#175522,.F.); #221587=ORIENTED_EDGE('',*,*,#175523,.T.); #221588=ORIENTED_EDGE('',*,*,#175524,.F.); #221589=ORIENTED_EDGE('',*,*,#175523,.F.); #221590=ORIENTED_EDGE('',*,*,#175525,.F.); #221591=ORIENTED_EDGE('',*,*,#175526,.T.); #221592=ORIENTED_EDGE('',*,*,#175527,.F.); #221593=ORIENTED_EDGE('',*,*,#175526,.F.); #221594=ORIENTED_EDGE('',*,*,#175528,.F.); #221595=ORIENTED_EDGE('',*,*,#175529,.T.); #221596=ORIENTED_EDGE('',*,*,#175530,.F.); #221597=ORIENTED_EDGE('',*,*,#175529,.F.); #221598=ORIENTED_EDGE('',*,*,#175531,.F.); #221599=ORIENTED_EDGE('',*,*,#175532,.T.); #221600=ORIENTED_EDGE('',*,*,#175533,.F.); #221601=ORIENTED_EDGE('',*,*,#175532,.F.); #221602=ORIENTED_EDGE('',*,*,#175534,.F.); #221603=ORIENTED_EDGE('',*,*,#175535,.T.); #221604=ORIENTED_EDGE('',*,*,#175536,.F.); #221605=ORIENTED_EDGE('',*,*,#175535,.F.); #221606=ORIENTED_EDGE('',*,*,#175537,.F.); #221607=ORIENTED_EDGE('',*,*,#175538,.T.); #221608=ORIENTED_EDGE('',*,*,#175539,.F.); #221609=ORIENTED_EDGE('',*,*,#175538,.F.); #221610=ORIENTED_EDGE('',*,*,#175540,.F.); #221611=ORIENTED_EDGE('',*,*,#175541,.T.); #221612=ORIENTED_EDGE('',*,*,#175542,.F.); #221613=ORIENTED_EDGE('',*,*,#175541,.F.); #221614=ORIENTED_EDGE('',*,*,#175543,.F.); #221615=ORIENTED_EDGE('',*,*,#175544,.T.); #221616=ORIENTED_EDGE('',*,*,#175545,.F.); #221617=ORIENTED_EDGE('',*,*,#175544,.F.); #221618=ORIENTED_EDGE('',*,*,#175546,.F.); #221619=ORIENTED_EDGE('',*,*,#175547,.T.); #221620=ORIENTED_EDGE('',*,*,#175548,.F.); #221621=ORIENTED_EDGE('',*,*,#175547,.F.); #221622=ORIENTED_EDGE('',*,*,#175549,.F.); #221623=ORIENTED_EDGE('',*,*,#175550,.T.); #221624=ORIENTED_EDGE('',*,*,#175551,.F.); #221625=ORIENTED_EDGE('',*,*,#175550,.F.); #221626=ORIENTED_EDGE('',*,*,#175552,.F.); #221627=ORIENTED_EDGE('',*,*,#175553,.T.); #221628=ORIENTED_EDGE('',*,*,#175554,.F.); #221629=ORIENTED_EDGE('',*,*,#175553,.F.); #221630=ORIENTED_EDGE('',*,*,#175555,.F.); #221631=ORIENTED_EDGE('',*,*,#175556,.T.); #221632=ORIENTED_EDGE('',*,*,#175557,.F.); #221633=ORIENTED_EDGE('',*,*,#175556,.F.); #221634=ORIENTED_EDGE('',*,*,#175558,.F.); #221635=ORIENTED_EDGE('',*,*,#175559,.T.); #221636=ORIENTED_EDGE('',*,*,#175560,.F.); #221637=ORIENTED_EDGE('',*,*,#175559,.F.); #221638=ORIENTED_EDGE('',*,*,#175561,.F.); #221639=ORIENTED_EDGE('',*,*,#175562,.T.); #221640=ORIENTED_EDGE('',*,*,#175563,.F.); #221641=ORIENTED_EDGE('',*,*,#175562,.F.); #221642=ORIENTED_EDGE('',*,*,#175564,.F.); #221643=ORIENTED_EDGE('',*,*,#175565,.T.); #221644=ORIENTED_EDGE('',*,*,#175566,.F.); #221645=ORIENTED_EDGE('',*,*,#175565,.F.); #221646=ORIENTED_EDGE('',*,*,#175567,.F.); #221647=ORIENTED_EDGE('',*,*,#175568,.T.); #221648=ORIENTED_EDGE('',*,*,#175569,.F.); #221649=ORIENTED_EDGE('',*,*,#175568,.F.); #221650=ORIENTED_EDGE('',*,*,#175570,.F.); #221651=ORIENTED_EDGE('',*,*,#175571,.T.); #221652=ORIENTED_EDGE('',*,*,#175572,.F.); #221653=ORIENTED_EDGE('',*,*,#175571,.F.); #221654=ORIENTED_EDGE('',*,*,#175573,.F.); #221655=ORIENTED_EDGE('',*,*,#175574,.T.); #221656=ORIENTED_EDGE('',*,*,#175575,.F.); #221657=ORIENTED_EDGE('',*,*,#175574,.F.); #221658=ORIENTED_EDGE('',*,*,#175576,.F.); #221659=ORIENTED_EDGE('',*,*,#175577,.T.); #221660=ORIENTED_EDGE('',*,*,#175578,.F.); #221661=ORIENTED_EDGE('',*,*,#175577,.F.); #221662=ORIENTED_EDGE('',*,*,#175579,.F.); #221663=ORIENTED_EDGE('',*,*,#175580,.T.); #221664=ORIENTED_EDGE('',*,*,#175581,.F.); #221665=ORIENTED_EDGE('',*,*,#175580,.F.); #221666=ORIENTED_EDGE('',*,*,#175582,.F.); #221667=ORIENTED_EDGE('',*,*,#175583,.T.); #221668=ORIENTED_EDGE('',*,*,#175584,.F.); #221669=ORIENTED_EDGE('',*,*,#175583,.F.); #221670=ORIENTED_EDGE('',*,*,#175585,.F.); #221671=ORIENTED_EDGE('',*,*,#175586,.T.); #221672=ORIENTED_EDGE('',*,*,#175587,.F.); #221673=ORIENTED_EDGE('',*,*,#175586,.F.); #221674=ORIENTED_EDGE('',*,*,#175588,.F.); #221675=ORIENTED_EDGE('',*,*,#175589,.T.); #221676=ORIENTED_EDGE('',*,*,#175590,.F.); #221677=ORIENTED_EDGE('',*,*,#175589,.F.); #221678=ORIENTED_EDGE('',*,*,#175591,.F.); #221679=ORIENTED_EDGE('',*,*,#175592,.T.); #221680=ORIENTED_EDGE('',*,*,#175593,.F.); #221681=ORIENTED_EDGE('',*,*,#175592,.F.); #221682=ORIENTED_EDGE('',*,*,#175594,.F.); #221683=ORIENTED_EDGE('',*,*,#175595,.T.); #221684=ORIENTED_EDGE('',*,*,#175596,.F.); #221685=ORIENTED_EDGE('',*,*,#175595,.F.); #221686=ORIENTED_EDGE('',*,*,#175597,.F.); #221687=ORIENTED_EDGE('',*,*,#175598,.T.); #221688=ORIENTED_EDGE('',*,*,#175599,.F.); #221689=ORIENTED_EDGE('',*,*,#175598,.F.); #221690=ORIENTED_EDGE('',*,*,#175600,.F.); #221691=ORIENTED_EDGE('',*,*,#175601,.T.); #221692=ORIENTED_EDGE('',*,*,#175602,.F.); #221693=ORIENTED_EDGE('',*,*,#175601,.F.); #221694=ORIENTED_EDGE('',*,*,#175603,.F.); #221695=ORIENTED_EDGE('',*,*,#175604,.T.); #221696=ORIENTED_EDGE('',*,*,#175605,.F.); #221697=ORIENTED_EDGE('',*,*,#175604,.F.); #221698=ORIENTED_EDGE('',*,*,#175606,.F.); #221699=ORIENTED_EDGE('',*,*,#175607,.T.); #221700=ORIENTED_EDGE('',*,*,#175608,.F.); #221701=ORIENTED_EDGE('',*,*,#175607,.F.); #221702=ORIENTED_EDGE('',*,*,#175609,.F.); #221703=ORIENTED_EDGE('',*,*,#175610,.T.); #221704=ORIENTED_EDGE('',*,*,#175611,.F.); #221705=ORIENTED_EDGE('',*,*,#175610,.F.); #221706=ORIENTED_EDGE('',*,*,#175612,.F.); #221707=ORIENTED_EDGE('',*,*,#175613,.T.); #221708=ORIENTED_EDGE('',*,*,#175614,.F.); #221709=ORIENTED_EDGE('',*,*,#175613,.F.); #221710=ORIENTED_EDGE('',*,*,#175615,.F.); #221711=ORIENTED_EDGE('',*,*,#175616,.T.); #221712=ORIENTED_EDGE('',*,*,#175617,.F.); #221713=ORIENTED_EDGE('',*,*,#175616,.F.); #221714=ORIENTED_EDGE('',*,*,#175618,.F.); #221715=ORIENTED_EDGE('',*,*,#175619,.T.); #221716=ORIENTED_EDGE('',*,*,#175620,.F.); #221717=ORIENTED_EDGE('',*,*,#175619,.F.); #221718=ORIENTED_EDGE('',*,*,#175621,.F.); #221719=ORIENTED_EDGE('',*,*,#175622,.T.); #221720=ORIENTED_EDGE('',*,*,#175623,.F.); #221721=ORIENTED_EDGE('',*,*,#175622,.F.); #221722=ORIENTED_EDGE('',*,*,#175624,.F.); #221723=ORIENTED_EDGE('',*,*,#175625,.T.); #221724=ORIENTED_EDGE('',*,*,#175626,.F.); #221725=ORIENTED_EDGE('',*,*,#175625,.F.); #221726=ORIENTED_EDGE('',*,*,#175627,.F.); #221727=ORIENTED_EDGE('',*,*,#175628,.T.); #221728=ORIENTED_EDGE('',*,*,#175629,.F.); #221729=ORIENTED_EDGE('',*,*,#175628,.F.); #221730=ORIENTED_EDGE('',*,*,#175630,.F.); #221731=ORIENTED_EDGE('',*,*,#175631,.T.); #221732=ORIENTED_EDGE('',*,*,#175632,.F.); #221733=ORIENTED_EDGE('',*,*,#175631,.F.); #221734=ORIENTED_EDGE('',*,*,#175633,.F.); #221735=ORIENTED_EDGE('',*,*,#175634,.T.); #221736=ORIENTED_EDGE('',*,*,#175635,.F.); #221737=ORIENTED_EDGE('',*,*,#175634,.F.); #221738=ORIENTED_EDGE('',*,*,#175636,.F.); #221739=ORIENTED_EDGE('',*,*,#175637,.T.); #221740=ORIENTED_EDGE('',*,*,#175638,.F.); #221741=ORIENTED_EDGE('',*,*,#175637,.F.); #221742=ORIENTED_EDGE('',*,*,#175639,.F.); #221743=ORIENTED_EDGE('',*,*,#175640,.T.); #221744=ORIENTED_EDGE('',*,*,#175641,.F.); #221745=ORIENTED_EDGE('',*,*,#175640,.F.); #221746=ORIENTED_EDGE('',*,*,#175642,.F.); #221747=ORIENTED_EDGE('',*,*,#175643,.T.); #221748=ORIENTED_EDGE('',*,*,#175644,.F.); #221749=ORIENTED_EDGE('',*,*,#175643,.F.); #221750=ORIENTED_EDGE('',*,*,#175645,.F.); #221751=ORIENTED_EDGE('',*,*,#175646,.T.); #221752=ORIENTED_EDGE('',*,*,#175647,.F.); #221753=ORIENTED_EDGE('',*,*,#175646,.F.); #221754=ORIENTED_EDGE('',*,*,#175648,.F.); #221755=ORIENTED_EDGE('',*,*,#175649,.T.); #221756=ORIENTED_EDGE('',*,*,#175650,.F.); #221757=ORIENTED_EDGE('',*,*,#175649,.F.); #221758=ORIENTED_EDGE('',*,*,#175651,.F.); #221759=ORIENTED_EDGE('',*,*,#175652,.T.); #221760=ORIENTED_EDGE('',*,*,#175653,.F.); #221761=ORIENTED_EDGE('',*,*,#175652,.F.); #221762=ORIENTED_EDGE('',*,*,#175654,.F.); #221763=ORIENTED_EDGE('',*,*,#175655,.T.); #221764=ORIENTED_EDGE('',*,*,#175656,.F.); #221765=ORIENTED_EDGE('',*,*,#175655,.F.); #221766=ORIENTED_EDGE('',*,*,#175657,.F.); #221767=ORIENTED_EDGE('',*,*,#175658,.T.); #221768=ORIENTED_EDGE('',*,*,#175659,.F.); #221769=ORIENTED_EDGE('',*,*,#175658,.F.); #221770=ORIENTED_EDGE('',*,*,#175660,.F.); #221771=ORIENTED_EDGE('',*,*,#175661,.T.); #221772=ORIENTED_EDGE('',*,*,#175662,.F.); #221773=ORIENTED_EDGE('',*,*,#175661,.F.); #221774=ORIENTED_EDGE('',*,*,#175663,.F.); #221775=ORIENTED_EDGE('',*,*,#175664,.T.); #221776=ORIENTED_EDGE('',*,*,#175665,.F.); #221777=ORIENTED_EDGE('',*,*,#175664,.F.); #221778=ORIENTED_EDGE('',*,*,#175666,.F.); #221779=ORIENTED_EDGE('',*,*,#175667,.T.); #221780=ORIENTED_EDGE('',*,*,#175668,.F.); #221781=ORIENTED_EDGE('',*,*,#175667,.F.); #221782=ORIENTED_EDGE('',*,*,#175669,.F.); #221783=ORIENTED_EDGE('',*,*,#175670,.T.); #221784=ORIENTED_EDGE('',*,*,#175671,.F.); #221785=ORIENTED_EDGE('',*,*,#175670,.F.); #221786=ORIENTED_EDGE('',*,*,#175672,.F.); #221787=ORIENTED_EDGE('',*,*,#175673,.T.); #221788=ORIENTED_EDGE('',*,*,#175674,.F.); #221789=ORIENTED_EDGE('',*,*,#175673,.F.); #221790=ORIENTED_EDGE('',*,*,#175675,.F.); #221791=ORIENTED_EDGE('',*,*,#175676,.T.); #221792=ORIENTED_EDGE('',*,*,#175677,.F.); #221793=ORIENTED_EDGE('',*,*,#175676,.F.); #221794=ORIENTED_EDGE('',*,*,#175678,.F.); #221795=ORIENTED_EDGE('',*,*,#175679,.T.); #221796=ORIENTED_EDGE('',*,*,#175680,.F.); #221797=ORIENTED_EDGE('',*,*,#175679,.F.); #221798=ORIENTED_EDGE('',*,*,#175681,.F.); #221799=ORIENTED_EDGE('',*,*,#175682,.T.); #221800=ORIENTED_EDGE('',*,*,#175683,.F.); #221801=ORIENTED_EDGE('',*,*,#175682,.F.); #221802=ORIENTED_EDGE('',*,*,#175684,.F.); #221803=ORIENTED_EDGE('',*,*,#175685,.T.); #221804=ORIENTED_EDGE('',*,*,#175686,.F.); #221805=ORIENTED_EDGE('',*,*,#175685,.F.); #221806=ORIENTED_EDGE('',*,*,#175687,.F.); #221807=ORIENTED_EDGE('',*,*,#175688,.T.); #221808=ORIENTED_EDGE('',*,*,#175689,.F.); #221809=ORIENTED_EDGE('',*,*,#175688,.F.); #221810=ORIENTED_EDGE('',*,*,#175690,.F.); #221811=ORIENTED_EDGE('',*,*,#175691,.T.); #221812=ORIENTED_EDGE('',*,*,#175692,.F.); #221813=ORIENTED_EDGE('',*,*,#175691,.F.); #221814=ORIENTED_EDGE('',*,*,#175693,.F.); #221815=ORIENTED_EDGE('',*,*,#175694,.T.); #221816=ORIENTED_EDGE('',*,*,#175695,.F.); #221817=ORIENTED_EDGE('',*,*,#175694,.F.); #221818=ORIENTED_EDGE('',*,*,#175696,.F.); #221819=ORIENTED_EDGE('',*,*,#175697,.T.); #221820=ORIENTED_EDGE('',*,*,#175698,.F.); #221821=ORIENTED_EDGE('',*,*,#175697,.F.); #221822=ORIENTED_EDGE('',*,*,#175699,.F.); #221823=ORIENTED_EDGE('',*,*,#175700,.T.); #221824=ORIENTED_EDGE('',*,*,#175701,.F.); #221825=ORIENTED_EDGE('',*,*,#175700,.F.); #221826=ORIENTED_EDGE('',*,*,#175702,.F.); #221827=ORIENTED_EDGE('',*,*,#175703,.T.); #221828=ORIENTED_EDGE('',*,*,#175704,.F.); #221829=ORIENTED_EDGE('',*,*,#175703,.F.); #221830=ORIENTED_EDGE('',*,*,#175705,.F.); #221831=ORIENTED_EDGE('',*,*,#175706,.T.); #221832=ORIENTED_EDGE('',*,*,#175707,.F.); #221833=ORIENTED_EDGE('',*,*,#175706,.F.); #221834=ORIENTED_EDGE('',*,*,#175708,.F.); #221835=ORIENTED_EDGE('',*,*,#175709,.T.); #221836=ORIENTED_EDGE('',*,*,#175710,.F.); #221837=ORIENTED_EDGE('',*,*,#175709,.F.); #221838=ORIENTED_EDGE('',*,*,#175711,.F.); #221839=ORIENTED_EDGE('',*,*,#175712,.T.); #221840=ORIENTED_EDGE('',*,*,#175713,.F.); #221841=ORIENTED_EDGE('',*,*,#175712,.F.); #221842=ORIENTED_EDGE('',*,*,#175714,.F.); #221843=ORIENTED_EDGE('',*,*,#175715,.T.); #221844=ORIENTED_EDGE('',*,*,#175716,.F.); #221845=ORIENTED_EDGE('',*,*,#175715,.F.); #221846=ORIENTED_EDGE('',*,*,#175717,.F.); #221847=ORIENTED_EDGE('',*,*,#175718,.T.); #221848=ORIENTED_EDGE('',*,*,#175719,.F.); #221849=ORIENTED_EDGE('',*,*,#175718,.F.); #221850=ORIENTED_EDGE('',*,*,#175720,.F.); #221851=ORIENTED_EDGE('',*,*,#175721,.T.); #221852=ORIENTED_EDGE('',*,*,#175722,.F.); #221853=ORIENTED_EDGE('',*,*,#175721,.F.); #221854=ORIENTED_EDGE('',*,*,#175723,.F.); #221855=ORIENTED_EDGE('',*,*,#175724,.T.); #221856=ORIENTED_EDGE('',*,*,#175725,.F.); #221857=ORIENTED_EDGE('',*,*,#175724,.F.); #221858=ORIENTED_EDGE('',*,*,#175726,.F.); #221859=ORIENTED_EDGE('',*,*,#175727,.T.); #221860=ORIENTED_EDGE('',*,*,#175728,.F.); #221861=ORIENTED_EDGE('',*,*,#175727,.F.); #221862=ORIENTED_EDGE('',*,*,#175729,.F.); #221863=ORIENTED_EDGE('',*,*,#175730,.T.); #221864=ORIENTED_EDGE('',*,*,#175731,.F.); #221865=ORIENTED_EDGE('',*,*,#175730,.F.); #221866=ORIENTED_EDGE('',*,*,#175732,.F.); #221867=ORIENTED_EDGE('',*,*,#175733,.T.); #221868=ORIENTED_EDGE('',*,*,#175734,.F.); #221869=ORIENTED_EDGE('',*,*,#175733,.F.); #221870=ORIENTED_EDGE('',*,*,#175735,.F.); #221871=ORIENTED_EDGE('',*,*,#175736,.T.); #221872=ORIENTED_EDGE('',*,*,#175737,.F.); #221873=ORIENTED_EDGE('',*,*,#175736,.F.); #221874=ORIENTED_EDGE('',*,*,#175738,.F.); #221875=ORIENTED_EDGE('',*,*,#175739,.T.); #221876=ORIENTED_EDGE('',*,*,#175740,.F.); #221877=ORIENTED_EDGE('',*,*,#175739,.F.); #221878=ORIENTED_EDGE('',*,*,#175741,.F.); #221879=ORIENTED_EDGE('',*,*,#175742,.T.); #221880=ORIENTED_EDGE('',*,*,#175743,.F.); #221881=ORIENTED_EDGE('',*,*,#175742,.F.); #221882=ORIENTED_EDGE('',*,*,#175744,.F.); #221883=ORIENTED_EDGE('',*,*,#175745,.T.); #221884=ORIENTED_EDGE('',*,*,#175746,.F.); #221885=ORIENTED_EDGE('',*,*,#175745,.F.); #221886=ORIENTED_EDGE('',*,*,#175747,.F.); #221887=ORIENTED_EDGE('',*,*,#175748,.T.); #221888=ORIENTED_EDGE('',*,*,#175749,.F.); #221889=ORIENTED_EDGE('',*,*,#175748,.F.); #221890=ORIENTED_EDGE('',*,*,#175750,.F.); #221891=ORIENTED_EDGE('',*,*,#175751,.T.); #221892=ORIENTED_EDGE('',*,*,#175752,.F.); #221893=ORIENTED_EDGE('',*,*,#175751,.F.); #221894=ORIENTED_EDGE('',*,*,#175753,.F.); #221895=ORIENTED_EDGE('',*,*,#175754,.T.); #221896=ORIENTED_EDGE('',*,*,#175755,.F.); #221897=ORIENTED_EDGE('',*,*,#175754,.F.); #221898=ORIENTED_EDGE('',*,*,#175756,.F.); #221899=ORIENTED_EDGE('',*,*,#175757,.T.); #221900=ORIENTED_EDGE('',*,*,#175758,.F.); #221901=ORIENTED_EDGE('',*,*,#175757,.F.); #221902=ORIENTED_EDGE('',*,*,#175759,.F.); #221903=ORIENTED_EDGE('',*,*,#175760,.T.); #221904=ORIENTED_EDGE('',*,*,#175761,.F.); #221905=ORIENTED_EDGE('',*,*,#175760,.F.); #221906=ORIENTED_EDGE('',*,*,#175762,.F.); #221907=ORIENTED_EDGE('',*,*,#175763,.T.); #221908=ORIENTED_EDGE('',*,*,#175764,.F.); #221909=ORIENTED_EDGE('',*,*,#175763,.F.); #221910=ORIENTED_EDGE('',*,*,#175765,.F.); #221911=ORIENTED_EDGE('',*,*,#175766,.T.); #221912=ORIENTED_EDGE('',*,*,#175767,.F.); #221913=ORIENTED_EDGE('',*,*,#175766,.F.); #221914=ORIENTED_EDGE('',*,*,#175768,.F.); #221915=ORIENTED_EDGE('',*,*,#175769,.T.); #221916=ORIENTED_EDGE('',*,*,#175770,.F.); #221917=ORIENTED_EDGE('',*,*,#175769,.F.); #221918=ORIENTED_EDGE('',*,*,#175771,.F.); #221919=ORIENTED_EDGE('',*,*,#175772,.T.); #221920=ORIENTED_EDGE('',*,*,#175773,.F.); #221921=ORIENTED_EDGE('',*,*,#175772,.F.); #221922=ORIENTED_EDGE('',*,*,#175774,.F.); #221923=ORIENTED_EDGE('',*,*,#175775,.T.); #221924=ORIENTED_EDGE('',*,*,#175776,.F.); #221925=ORIENTED_EDGE('',*,*,#175775,.F.); #221926=ORIENTED_EDGE('',*,*,#175777,.F.); #221927=ORIENTED_EDGE('',*,*,#175778,.T.); #221928=ORIENTED_EDGE('',*,*,#175779,.F.); #221929=ORIENTED_EDGE('',*,*,#175778,.F.); #221930=ORIENTED_EDGE('',*,*,#175780,.F.); #221931=ORIENTED_EDGE('',*,*,#175781,.T.); #221932=ORIENTED_EDGE('',*,*,#175782,.F.); #221933=ORIENTED_EDGE('',*,*,#175781,.F.); #221934=ORIENTED_EDGE('',*,*,#175783,.F.); #221935=ORIENTED_EDGE('',*,*,#175784,.T.); #221936=ORIENTED_EDGE('',*,*,#175785,.F.); #221937=ORIENTED_EDGE('',*,*,#175784,.F.); #221938=ORIENTED_EDGE('',*,*,#175786,.F.); #221939=ORIENTED_EDGE('',*,*,#175787,.T.); #221940=ORIENTED_EDGE('',*,*,#175788,.F.); #221941=ORIENTED_EDGE('',*,*,#175787,.F.); #221942=ORIENTED_EDGE('',*,*,#175789,.F.); #221943=ORIENTED_EDGE('',*,*,#175790,.T.); #221944=ORIENTED_EDGE('',*,*,#175791,.F.); #221945=ORIENTED_EDGE('',*,*,#175790,.F.); #221946=ORIENTED_EDGE('',*,*,#175792,.F.); #221947=ORIENTED_EDGE('',*,*,#175793,.T.); #221948=ORIENTED_EDGE('',*,*,#175794,.F.); #221949=ORIENTED_EDGE('',*,*,#175793,.F.); #221950=ORIENTED_EDGE('',*,*,#175795,.F.); #221951=ORIENTED_EDGE('',*,*,#175796,.T.); #221952=ORIENTED_EDGE('',*,*,#175797,.F.); #221953=ORIENTED_EDGE('',*,*,#175796,.F.); #221954=ORIENTED_EDGE('',*,*,#175798,.F.); #221955=ORIENTED_EDGE('',*,*,#175799,.T.); #221956=ORIENTED_EDGE('',*,*,#175800,.F.); #221957=ORIENTED_EDGE('',*,*,#175799,.F.); #221958=ORIENTED_EDGE('',*,*,#175801,.F.); #221959=ORIENTED_EDGE('',*,*,#175802,.T.); #221960=ORIENTED_EDGE('',*,*,#175803,.F.); #221961=ORIENTED_EDGE('',*,*,#175802,.F.); #221962=ORIENTED_EDGE('',*,*,#175804,.F.); #221963=ORIENTED_EDGE('',*,*,#175805,.T.); #221964=ORIENTED_EDGE('',*,*,#175806,.F.); #221965=ORIENTED_EDGE('',*,*,#175805,.F.); #221966=ORIENTED_EDGE('',*,*,#175807,.F.); #221967=ORIENTED_EDGE('',*,*,#175808,.T.); #221968=ORIENTED_EDGE('',*,*,#175809,.F.); #221969=ORIENTED_EDGE('',*,*,#175808,.F.); #221970=ORIENTED_EDGE('',*,*,#175810,.F.); #221971=ORIENTED_EDGE('',*,*,#175811,.T.); #221972=ORIENTED_EDGE('',*,*,#175812,.F.); #221973=ORIENTED_EDGE('',*,*,#175811,.F.); #221974=ORIENTED_EDGE('',*,*,#175813,.F.); #221975=ORIENTED_EDGE('',*,*,#175814,.T.); #221976=ORIENTED_EDGE('',*,*,#175815,.F.); #221977=ORIENTED_EDGE('',*,*,#175814,.F.); #221978=ORIENTED_EDGE('',*,*,#175816,.F.); #221979=ORIENTED_EDGE('',*,*,#175817,.T.); #221980=ORIENTED_EDGE('',*,*,#175818,.F.); #221981=ORIENTED_EDGE('',*,*,#175817,.F.); #221982=ORIENTED_EDGE('',*,*,#175819,.F.); #221983=ORIENTED_EDGE('',*,*,#175820,.T.); #221984=ORIENTED_EDGE('',*,*,#175821,.F.); #221985=ORIENTED_EDGE('',*,*,#175820,.F.); #221986=ORIENTED_EDGE('',*,*,#175822,.F.); #221987=ORIENTED_EDGE('',*,*,#175823,.T.); #221988=ORIENTED_EDGE('',*,*,#175824,.F.); #221989=ORIENTED_EDGE('',*,*,#175823,.F.); #221990=ORIENTED_EDGE('',*,*,#175825,.F.); #221991=ORIENTED_EDGE('',*,*,#175826,.T.); #221992=ORIENTED_EDGE('',*,*,#175827,.F.); #221993=ORIENTED_EDGE('',*,*,#175826,.F.); #221994=ORIENTED_EDGE('',*,*,#175828,.F.); #221995=ORIENTED_EDGE('',*,*,#175829,.T.); #221996=ORIENTED_EDGE('',*,*,#175830,.F.); #221997=ORIENTED_EDGE('',*,*,#175829,.F.); #221998=ORIENTED_EDGE('',*,*,#175831,.F.); #221999=ORIENTED_EDGE('',*,*,#175832,.T.); #222000=ORIENTED_EDGE('',*,*,#175833,.F.); #222001=ORIENTED_EDGE('',*,*,#175832,.F.); #222002=ORIENTED_EDGE('',*,*,#175834,.F.); #222003=ORIENTED_EDGE('',*,*,#175835,.T.); #222004=ORIENTED_EDGE('',*,*,#175836,.F.); #222005=ORIENTED_EDGE('',*,*,#175835,.F.); #222006=ORIENTED_EDGE('',*,*,#175837,.F.); #222007=ORIENTED_EDGE('',*,*,#175838,.T.); #222008=ORIENTED_EDGE('',*,*,#175839,.F.); #222009=ORIENTED_EDGE('',*,*,#175838,.F.); #222010=ORIENTED_EDGE('',*,*,#175840,.F.); #222011=ORIENTED_EDGE('',*,*,#175841,.T.); #222012=ORIENTED_EDGE('',*,*,#175842,.F.); #222013=ORIENTED_EDGE('',*,*,#175841,.F.); #222014=ORIENTED_EDGE('',*,*,#175843,.F.); #222015=ORIENTED_EDGE('',*,*,#175844,.T.); #222016=ORIENTED_EDGE('',*,*,#175845,.F.); #222017=ORIENTED_EDGE('',*,*,#175844,.F.); #222018=ORIENTED_EDGE('',*,*,#175846,.F.); #222019=ORIENTED_EDGE('',*,*,#175847,.T.); #222020=ORIENTED_EDGE('',*,*,#175848,.F.); #222021=ORIENTED_EDGE('',*,*,#175847,.F.); #222022=ORIENTED_EDGE('',*,*,#175849,.F.); #222023=ORIENTED_EDGE('',*,*,#175850,.T.); #222024=ORIENTED_EDGE('',*,*,#175851,.F.); #222025=ORIENTED_EDGE('',*,*,#175850,.F.); #222026=ORIENTED_EDGE('',*,*,#175852,.F.); #222027=ORIENTED_EDGE('',*,*,#175853,.T.); #222028=ORIENTED_EDGE('',*,*,#175854,.F.); #222029=ORIENTED_EDGE('',*,*,#175853,.F.); #222030=ORIENTED_EDGE('',*,*,#175855,.F.); #222031=ORIENTED_EDGE('',*,*,#175856,.T.); #222032=ORIENTED_EDGE('',*,*,#175857,.F.); #222033=ORIENTED_EDGE('',*,*,#175856,.F.); #222034=ORIENTED_EDGE('',*,*,#175858,.F.); #222035=ORIENTED_EDGE('',*,*,#175859,.T.); #222036=ORIENTED_EDGE('',*,*,#175860,.F.); #222037=ORIENTED_EDGE('',*,*,#175859,.F.); #222038=ORIENTED_EDGE('',*,*,#175861,.F.); #222039=ORIENTED_EDGE('',*,*,#175862,.T.); #222040=ORIENTED_EDGE('',*,*,#175863,.F.); #222041=ORIENTED_EDGE('',*,*,#175862,.F.); #222042=ORIENTED_EDGE('',*,*,#175864,.F.); #222043=ORIENTED_EDGE('',*,*,#175865,.T.); #222044=ORIENTED_EDGE('',*,*,#175866,.F.); #222045=ORIENTED_EDGE('',*,*,#175865,.F.); #222046=ORIENTED_EDGE('',*,*,#175867,.F.); #222047=ORIENTED_EDGE('',*,*,#175868,.T.); #222048=ORIENTED_EDGE('',*,*,#175869,.F.); #222049=ORIENTED_EDGE('',*,*,#175868,.F.); #222050=ORIENTED_EDGE('',*,*,#175870,.F.); #222051=ORIENTED_EDGE('',*,*,#175871,.T.); #222052=ORIENTED_EDGE('',*,*,#175872,.F.); #222053=ORIENTED_EDGE('',*,*,#175871,.F.); #222054=ORIENTED_EDGE('',*,*,#175873,.F.); #222055=ORIENTED_EDGE('',*,*,#175874,.T.); #222056=ORIENTED_EDGE('',*,*,#175875,.F.); #222057=ORIENTED_EDGE('',*,*,#175874,.F.); #222058=ORIENTED_EDGE('',*,*,#175876,.F.); #222059=ORIENTED_EDGE('',*,*,#175877,.T.); #222060=ORIENTED_EDGE('',*,*,#175878,.F.); #222061=ORIENTED_EDGE('',*,*,#175877,.F.); #222062=ORIENTED_EDGE('',*,*,#175879,.F.); #222063=ORIENTED_EDGE('',*,*,#175880,.T.); #222064=ORIENTED_EDGE('',*,*,#175881,.F.); #222065=ORIENTED_EDGE('',*,*,#175880,.F.); #222066=ORIENTED_EDGE('',*,*,#175882,.F.); #222067=ORIENTED_EDGE('',*,*,#175883,.T.); #222068=ORIENTED_EDGE('',*,*,#175884,.F.); #222069=ORIENTED_EDGE('',*,*,#175883,.F.); #222070=ORIENTED_EDGE('',*,*,#175885,.F.); #222071=ORIENTED_EDGE('',*,*,#175886,.T.); #222072=ORIENTED_EDGE('',*,*,#175887,.F.); #222073=ORIENTED_EDGE('',*,*,#175886,.F.); #222074=ORIENTED_EDGE('',*,*,#175888,.F.); #222075=ORIENTED_EDGE('',*,*,#175889,.T.); #222076=ORIENTED_EDGE('',*,*,#175890,.F.); #222077=ORIENTED_EDGE('',*,*,#175889,.F.); #222078=ORIENTED_EDGE('',*,*,#175891,.F.); #222079=ORIENTED_EDGE('',*,*,#175892,.T.); #222080=ORIENTED_EDGE('',*,*,#175893,.F.); #222081=ORIENTED_EDGE('',*,*,#175892,.F.); #222082=ORIENTED_EDGE('',*,*,#175894,.F.); #222083=ORIENTED_EDGE('',*,*,#175895,.T.); #222084=ORIENTED_EDGE('',*,*,#175896,.T.); #222085=ORIENTED_EDGE('',*,*,#175895,.F.); #222086=ORIENTED_EDGE('',*,*,#175894,.T.); #222087=ORIENTED_EDGE('',*,*,#174844,.T.); #222088=ORIENTED_EDGE('',*,*,#174847,.T.); #222089=ORIENTED_EDGE('',*,*,#174852,.T.); #222090=ORIENTED_EDGE('',*,*,#174855,.T.); #222091=ORIENTED_EDGE('',*,*,#174858,.T.); #222092=ORIENTED_EDGE('',*,*,#174861,.T.); #222093=ORIENTED_EDGE('',*,*,#174864,.T.); #222094=ORIENTED_EDGE('',*,*,#174867,.T.); #222095=ORIENTED_EDGE('',*,*,#174870,.T.); #222096=ORIENTED_EDGE('',*,*,#174873,.T.); #222097=ORIENTED_EDGE('',*,*,#174876,.T.); #222098=ORIENTED_EDGE('',*,*,#174879,.T.); #222099=ORIENTED_EDGE('',*,*,#174882,.T.); #222100=ORIENTED_EDGE('',*,*,#174885,.T.); #222101=ORIENTED_EDGE('',*,*,#174888,.T.); #222102=ORIENTED_EDGE('',*,*,#174891,.T.); #222103=ORIENTED_EDGE('',*,*,#174894,.T.); #222104=ORIENTED_EDGE('',*,*,#174897,.T.); #222105=ORIENTED_EDGE('',*,*,#174900,.T.); #222106=ORIENTED_EDGE('',*,*,#174903,.T.); #222107=ORIENTED_EDGE('',*,*,#174906,.T.); #222108=ORIENTED_EDGE('',*,*,#174909,.T.); #222109=ORIENTED_EDGE('',*,*,#174912,.T.); #222110=ORIENTED_EDGE('',*,*,#174915,.T.); #222111=ORIENTED_EDGE('',*,*,#174918,.T.); #222112=ORIENTED_EDGE('',*,*,#174921,.T.); #222113=ORIENTED_EDGE('',*,*,#174924,.T.); #222114=ORIENTED_EDGE('',*,*,#174927,.T.); #222115=ORIENTED_EDGE('',*,*,#174930,.T.); #222116=ORIENTED_EDGE('',*,*,#174933,.T.); #222117=ORIENTED_EDGE('',*,*,#174936,.T.); #222118=ORIENTED_EDGE('',*,*,#174939,.T.); #222119=ORIENTED_EDGE('',*,*,#174942,.T.); #222120=ORIENTED_EDGE('',*,*,#174945,.T.); #222121=ORIENTED_EDGE('',*,*,#174948,.T.); #222122=ORIENTED_EDGE('',*,*,#174951,.T.); #222123=ORIENTED_EDGE('',*,*,#174954,.T.); #222124=ORIENTED_EDGE('',*,*,#174957,.T.); #222125=ORIENTED_EDGE('',*,*,#174960,.T.); #222126=ORIENTED_EDGE('',*,*,#174968,.T.); #222127=ORIENTED_EDGE('',*,*,#174971,.T.); #222128=ORIENTED_EDGE('',*,*,#174961,.T.); #222129=ORIENTED_EDGE('',*,*,#174965,.T.); #222130=ORIENTED_EDGE('',*,*,#174975,.T.); #222131=ORIENTED_EDGE('',*,*,#174983,.T.); #222132=ORIENTED_EDGE('',*,*,#174986,.T.); #222133=ORIENTED_EDGE('',*,*,#174976,.T.); #222134=ORIENTED_EDGE('',*,*,#174980,.T.); #222135=ORIENTED_EDGE('',*,*,#174990,.T.); #222136=ORIENTED_EDGE('',*,*,#174993,.T.); #222137=ORIENTED_EDGE('',*,*,#174996,.T.); #222138=ORIENTED_EDGE('',*,*,#174999,.T.); #222139=ORIENTED_EDGE('',*,*,#175002,.T.); #222140=ORIENTED_EDGE('',*,*,#175005,.T.); #222141=ORIENTED_EDGE('',*,*,#175008,.T.); #222142=ORIENTED_EDGE('',*,*,#175011,.T.); #222143=ORIENTED_EDGE('',*,*,#175014,.T.); #222144=ORIENTED_EDGE('',*,*,#175017,.T.); #222145=ORIENTED_EDGE('',*,*,#175020,.T.); #222146=ORIENTED_EDGE('',*,*,#175028,.T.); #222147=ORIENTED_EDGE('',*,*,#175031,.T.); #222148=ORIENTED_EDGE('',*,*,#175021,.T.); #222149=ORIENTED_EDGE('',*,*,#175025,.T.); #222150=ORIENTED_EDGE('',*,*,#175035,.T.); #222151=ORIENTED_EDGE('',*,*,#175043,.T.); #222152=ORIENTED_EDGE('',*,*,#175046,.T.); #222153=ORIENTED_EDGE('',*,*,#175036,.T.); #222154=ORIENTED_EDGE('',*,*,#175040,.T.); #222155=ORIENTED_EDGE('',*,*,#175050,.T.); #222156=ORIENTED_EDGE('',*,*,#175058,.T.); #222157=ORIENTED_EDGE('',*,*,#175061,.T.); #222158=ORIENTED_EDGE('',*,*,#175051,.T.); #222159=ORIENTED_EDGE('',*,*,#175055,.T.); #222160=ORIENTED_EDGE('',*,*,#175065,.T.); #222161=ORIENTED_EDGE('',*,*,#175073,.T.); #222162=ORIENTED_EDGE('',*,*,#175076,.T.); #222163=ORIENTED_EDGE('',*,*,#175066,.T.); #222164=ORIENTED_EDGE('',*,*,#175070,.T.); #222165=ORIENTED_EDGE('',*,*,#175080,.T.); #222166=ORIENTED_EDGE('',*,*,#175083,.T.); #222167=ORIENTED_EDGE('',*,*,#175086,.T.); #222168=ORIENTED_EDGE('',*,*,#175089,.T.); #222169=ORIENTED_EDGE('',*,*,#175092,.T.); #222170=ORIENTED_EDGE('',*,*,#175095,.T.); #222171=ORIENTED_EDGE('',*,*,#175098,.T.); #222172=ORIENTED_EDGE('',*,*,#175101,.T.); #222173=ORIENTED_EDGE('',*,*,#175104,.T.); #222174=ORIENTED_EDGE('',*,*,#175107,.T.); #222175=ORIENTED_EDGE('',*,*,#175110,.T.); #222176=ORIENTED_EDGE('',*,*,#175113,.T.); #222177=ORIENTED_EDGE('',*,*,#175116,.T.); #222178=ORIENTED_EDGE('',*,*,#175119,.T.); #222179=ORIENTED_EDGE('',*,*,#175122,.T.); #222180=ORIENTED_EDGE('',*,*,#175125,.T.); #222181=ORIENTED_EDGE('',*,*,#175128,.T.); #222182=ORIENTED_EDGE('',*,*,#175131,.T.); #222183=ORIENTED_EDGE('',*,*,#175134,.T.); #222184=ORIENTED_EDGE('',*,*,#175137,.T.); #222185=ORIENTED_EDGE('',*,*,#175140,.T.); #222186=ORIENTED_EDGE('',*,*,#175143,.T.); #222187=ORIENTED_EDGE('',*,*,#175146,.T.); #222188=ORIENTED_EDGE('',*,*,#175149,.T.); #222189=ORIENTED_EDGE('',*,*,#175152,.T.); #222190=ORIENTED_EDGE('',*,*,#175155,.T.); #222191=ORIENTED_EDGE('',*,*,#175158,.T.); #222192=ORIENTED_EDGE('',*,*,#175161,.T.); #222193=ORIENTED_EDGE('',*,*,#175164,.T.); #222194=ORIENTED_EDGE('',*,*,#175167,.T.); #222195=ORIENTED_EDGE('',*,*,#175170,.T.); #222196=ORIENTED_EDGE('',*,*,#175173,.T.); #222197=ORIENTED_EDGE('',*,*,#175176,.T.); #222198=ORIENTED_EDGE('',*,*,#175179,.T.); #222199=ORIENTED_EDGE('',*,*,#175182,.T.); #222200=ORIENTED_EDGE('',*,*,#175185,.T.); #222201=ORIENTED_EDGE('',*,*,#175188,.T.); #222202=ORIENTED_EDGE('',*,*,#175191,.T.); #222203=ORIENTED_EDGE('',*,*,#175194,.T.); #222204=ORIENTED_EDGE('',*,*,#175197,.T.); #222205=ORIENTED_EDGE('',*,*,#175200,.T.); #222206=ORIENTED_EDGE('',*,*,#175203,.T.); #222207=ORIENTED_EDGE('',*,*,#175206,.T.); #222208=ORIENTED_EDGE('',*,*,#175209,.T.); #222209=ORIENTED_EDGE('',*,*,#175212,.T.); #222210=ORIENTED_EDGE('',*,*,#175215,.T.); #222211=ORIENTED_EDGE('',*,*,#175218,.T.); #222212=ORIENTED_EDGE('',*,*,#175221,.T.); #222213=ORIENTED_EDGE('',*,*,#175224,.T.); #222214=ORIENTED_EDGE('',*,*,#175227,.T.); #222215=ORIENTED_EDGE('',*,*,#175230,.T.); #222216=ORIENTED_EDGE('',*,*,#175233,.T.); #222217=ORIENTED_EDGE('',*,*,#175236,.T.); #222218=ORIENTED_EDGE('',*,*,#175239,.T.); #222219=ORIENTED_EDGE('',*,*,#175242,.T.); #222220=ORIENTED_EDGE('',*,*,#175245,.T.); #222221=ORIENTED_EDGE('',*,*,#175248,.T.); #222222=ORIENTED_EDGE('',*,*,#175251,.T.); #222223=ORIENTED_EDGE('',*,*,#175254,.T.); #222224=ORIENTED_EDGE('',*,*,#175257,.T.); #222225=ORIENTED_EDGE('',*,*,#175260,.T.); #222226=ORIENTED_EDGE('',*,*,#175263,.T.); #222227=ORIENTED_EDGE('',*,*,#175266,.T.); #222228=ORIENTED_EDGE('',*,*,#175269,.T.); #222229=ORIENTED_EDGE('',*,*,#175272,.T.); #222230=ORIENTED_EDGE('',*,*,#175275,.T.); #222231=ORIENTED_EDGE('',*,*,#175278,.T.); #222232=ORIENTED_EDGE('',*,*,#175281,.T.); #222233=ORIENTED_EDGE('',*,*,#175284,.T.); #222234=ORIENTED_EDGE('',*,*,#175287,.T.); #222235=ORIENTED_EDGE('',*,*,#175290,.T.); #222236=ORIENTED_EDGE('',*,*,#175293,.T.); #222237=ORIENTED_EDGE('',*,*,#175296,.T.); #222238=ORIENTED_EDGE('',*,*,#175299,.T.); #222239=ORIENTED_EDGE('',*,*,#175302,.T.); #222240=ORIENTED_EDGE('',*,*,#175305,.T.); #222241=ORIENTED_EDGE('',*,*,#175308,.T.); #222242=ORIENTED_EDGE('',*,*,#175311,.T.); #222243=ORIENTED_EDGE('',*,*,#175314,.T.); #222244=ORIENTED_EDGE('',*,*,#175317,.T.); #222245=ORIENTED_EDGE('',*,*,#175320,.T.); #222246=ORIENTED_EDGE('',*,*,#175323,.T.); #222247=ORIENTED_EDGE('',*,*,#175326,.T.); #222248=ORIENTED_EDGE('',*,*,#175329,.T.); #222249=ORIENTED_EDGE('',*,*,#175332,.T.); #222250=ORIENTED_EDGE('',*,*,#175335,.T.); #222251=ORIENTED_EDGE('',*,*,#175338,.T.); #222252=ORIENTED_EDGE('',*,*,#175341,.T.); #222253=ORIENTED_EDGE('',*,*,#175344,.T.); #222254=ORIENTED_EDGE('',*,*,#175347,.T.); #222255=ORIENTED_EDGE('',*,*,#175350,.T.); #222256=ORIENTED_EDGE('',*,*,#175353,.T.); #222257=ORIENTED_EDGE('',*,*,#175356,.T.); #222258=ORIENTED_EDGE('',*,*,#175359,.T.); #222259=ORIENTED_EDGE('',*,*,#175362,.T.); #222260=ORIENTED_EDGE('',*,*,#175365,.T.); #222261=ORIENTED_EDGE('',*,*,#175368,.T.); #222262=ORIENTED_EDGE('',*,*,#175371,.T.); #222263=ORIENTED_EDGE('',*,*,#175374,.T.); #222264=ORIENTED_EDGE('',*,*,#175377,.T.); #222265=ORIENTED_EDGE('',*,*,#175380,.T.); #222266=ORIENTED_EDGE('',*,*,#175383,.T.); #222267=ORIENTED_EDGE('',*,*,#175386,.T.); #222268=ORIENTED_EDGE('',*,*,#175389,.T.); #222269=ORIENTED_EDGE('',*,*,#175392,.T.); #222270=ORIENTED_EDGE('',*,*,#175395,.T.); #222271=ORIENTED_EDGE('',*,*,#175398,.T.); #222272=ORIENTED_EDGE('',*,*,#175401,.T.); #222273=ORIENTED_EDGE('',*,*,#175404,.T.); #222274=ORIENTED_EDGE('',*,*,#175407,.T.); #222275=ORIENTED_EDGE('',*,*,#175410,.T.); #222276=ORIENTED_EDGE('',*,*,#175413,.T.); #222277=ORIENTED_EDGE('',*,*,#175416,.T.); #222278=ORIENTED_EDGE('',*,*,#175419,.T.); #222279=ORIENTED_EDGE('',*,*,#175422,.T.); #222280=ORIENTED_EDGE('',*,*,#175425,.T.); #222281=ORIENTED_EDGE('',*,*,#175428,.T.); #222282=ORIENTED_EDGE('',*,*,#175431,.T.); #222283=ORIENTED_EDGE('',*,*,#175434,.T.); #222284=ORIENTED_EDGE('',*,*,#175437,.T.); #222285=ORIENTED_EDGE('',*,*,#175440,.T.); #222286=ORIENTED_EDGE('',*,*,#175443,.T.); #222287=ORIENTED_EDGE('',*,*,#175446,.T.); #222288=ORIENTED_EDGE('',*,*,#175449,.T.); #222289=ORIENTED_EDGE('',*,*,#175452,.T.); #222290=ORIENTED_EDGE('',*,*,#175455,.T.); #222291=ORIENTED_EDGE('',*,*,#175458,.T.); #222292=ORIENTED_EDGE('',*,*,#175461,.T.); #222293=ORIENTED_EDGE('',*,*,#175464,.T.); #222294=ORIENTED_EDGE('',*,*,#175467,.T.); #222295=ORIENTED_EDGE('',*,*,#175470,.T.); #222296=ORIENTED_EDGE('',*,*,#175473,.T.); #222297=ORIENTED_EDGE('',*,*,#175476,.T.); #222298=ORIENTED_EDGE('',*,*,#175479,.T.); #222299=ORIENTED_EDGE('',*,*,#175482,.T.); #222300=ORIENTED_EDGE('',*,*,#175485,.T.); #222301=ORIENTED_EDGE('',*,*,#175488,.T.); #222302=ORIENTED_EDGE('',*,*,#175491,.T.); #222303=ORIENTED_EDGE('',*,*,#175494,.T.); #222304=ORIENTED_EDGE('',*,*,#175497,.T.); #222305=ORIENTED_EDGE('',*,*,#175500,.T.); #222306=ORIENTED_EDGE('',*,*,#175503,.T.); #222307=ORIENTED_EDGE('',*,*,#175506,.T.); #222308=ORIENTED_EDGE('',*,*,#175509,.T.); #222309=ORIENTED_EDGE('',*,*,#175512,.T.); #222310=ORIENTED_EDGE('',*,*,#175515,.T.); #222311=ORIENTED_EDGE('',*,*,#175518,.T.); #222312=ORIENTED_EDGE('',*,*,#175521,.T.); #222313=ORIENTED_EDGE('',*,*,#175524,.T.); #222314=ORIENTED_EDGE('',*,*,#175527,.T.); #222315=ORIENTED_EDGE('',*,*,#175530,.T.); #222316=ORIENTED_EDGE('',*,*,#175533,.T.); #222317=ORIENTED_EDGE('',*,*,#175536,.T.); #222318=ORIENTED_EDGE('',*,*,#175539,.T.); #222319=ORIENTED_EDGE('',*,*,#175542,.T.); #222320=ORIENTED_EDGE('',*,*,#175545,.T.); #222321=ORIENTED_EDGE('',*,*,#175548,.T.); #222322=ORIENTED_EDGE('',*,*,#175551,.T.); #222323=ORIENTED_EDGE('',*,*,#175554,.T.); #222324=ORIENTED_EDGE('',*,*,#175557,.T.); #222325=ORIENTED_EDGE('',*,*,#175560,.T.); #222326=ORIENTED_EDGE('',*,*,#175563,.T.); #222327=ORIENTED_EDGE('',*,*,#175566,.T.); #222328=ORIENTED_EDGE('',*,*,#175569,.T.); #222329=ORIENTED_EDGE('',*,*,#175572,.T.); #222330=ORIENTED_EDGE('',*,*,#175575,.T.); #222331=ORIENTED_EDGE('',*,*,#175578,.T.); #222332=ORIENTED_EDGE('',*,*,#175581,.T.); #222333=ORIENTED_EDGE('',*,*,#175584,.T.); #222334=ORIENTED_EDGE('',*,*,#175587,.T.); #222335=ORIENTED_EDGE('',*,*,#175590,.T.); #222336=ORIENTED_EDGE('',*,*,#175593,.T.); #222337=ORIENTED_EDGE('',*,*,#175596,.T.); #222338=ORIENTED_EDGE('',*,*,#175599,.T.); #222339=ORIENTED_EDGE('',*,*,#175602,.T.); #222340=ORIENTED_EDGE('',*,*,#175605,.T.); #222341=ORIENTED_EDGE('',*,*,#175608,.T.); #222342=ORIENTED_EDGE('',*,*,#175611,.T.); #222343=ORIENTED_EDGE('',*,*,#175614,.T.); #222344=ORIENTED_EDGE('',*,*,#175617,.T.); #222345=ORIENTED_EDGE('',*,*,#175620,.T.); #222346=ORIENTED_EDGE('',*,*,#175623,.T.); #222347=ORIENTED_EDGE('',*,*,#175626,.T.); #222348=ORIENTED_EDGE('',*,*,#175629,.T.); #222349=ORIENTED_EDGE('',*,*,#175632,.T.); #222350=ORIENTED_EDGE('',*,*,#175635,.T.); #222351=ORIENTED_EDGE('',*,*,#175638,.T.); #222352=ORIENTED_EDGE('',*,*,#175641,.T.); #222353=ORIENTED_EDGE('',*,*,#175644,.T.); #222354=ORIENTED_EDGE('',*,*,#175647,.T.); #222355=ORIENTED_EDGE('',*,*,#175650,.T.); #222356=ORIENTED_EDGE('',*,*,#175653,.T.); #222357=ORIENTED_EDGE('',*,*,#175656,.T.); #222358=ORIENTED_EDGE('',*,*,#175659,.T.); #222359=ORIENTED_EDGE('',*,*,#175662,.T.); #222360=ORIENTED_EDGE('',*,*,#175665,.T.); #222361=ORIENTED_EDGE('',*,*,#175668,.T.); #222362=ORIENTED_EDGE('',*,*,#175671,.T.); #222363=ORIENTED_EDGE('',*,*,#175674,.T.); #222364=ORIENTED_EDGE('',*,*,#175677,.T.); #222365=ORIENTED_EDGE('',*,*,#175680,.T.); #222366=ORIENTED_EDGE('',*,*,#175683,.T.); #222367=ORIENTED_EDGE('',*,*,#175686,.T.); #222368=ORIENTED_EDGE('',*,*,#175689,.T.); #222369=ORIENTED_EDGE('',*,*,#175692,.T.); #222370=ORIENTED_EDGE('',*,*,#175695,.T.); #222371=ORIENTED_EDGE('',*,*,#175698,.T.); #222372=ORIENTED_EDGE('',*,*,#175701,.T.); #222373=ORIENTED_EDGE('',*,*,#175704,.T.); #222374=ORIENTED_EDGE('',*,*,#175707,.T.); #222375=ORIENTED_EDGE('',*,*,#175710,.T.); #222376=ORIENTED_EDGE('',*,*,#175713,.T.); #222377=ORIENTED_EDGE('',*,*,#175716,.T.); #222378=ORIENTED_EDGE('',*,*,#175719,.T.); #222379=ORIENTED_EDGE('',*,*,#175722,.T.); #222380=ORIENTED_EDGE('',*,*,#175725,.T.); #222381=ORIENTED_EDGE('',*,*,#175728,.T.); #222382=ORIENTED_EDGE('',*,*,#175731,.T.); #222383=ORIENTED_EDGE('',*,*,#175734,.T.); #222384=ORIENTED_EDGE('',*,*,#175737,.T.); #222385=ORIENTED_EDGE('',*,*,#175740,.T.); #222386=ORIENTED_EDGE('',*,*,#175743,.T.); #222387=ORIENTED_EDGE('',*,*,#175746,.T.); #222388=ORIENTED_EDGE('',*,*,#175749,.T.); #222389=ORIENTED_EDGE('',*,*,#175752,.T.); #222390=ORIENTED_EDGE('',*,*,#175755,.T.); #222391=ORIENTED_EDGE('',*,*,#175758,.T.); #222392=ORIENTED_EDGE('',*,*,#175761,.T.); #222393=ORIENTED_EDGE('',*,*,#175764,.T.); #222394=ORIENTED_EDGE('',*,*,#175767,.T.); #222395=ORIENTED_EDGE('',*,*,#175770,.T.); #222396=ORIENTED_EDGE('',*,*,#175773,.T.); #222397=ORIENTED_EDGE('',*,*,#175776,.T.); #222398=ORIENTED_EDGE('',*,*,#175779,.T.); #222399=ORIENTED_EDGE('',*,*,#175782,.T.); #222400=ORIENTED_EDGE('',*,*,#175785,.T.); #222401=ORIENTED_EDGE('',*,*,#175788,.T.); #222402=ORIENTED_EDGE('',*,*,#175791,.T.); #222403=ORIENTED_EDGE('',*,*,#175794,.T.); #222404=ORIENTED_EDGE('',*,*,#175797,.T.); #222405=ORIENTED_EDGE('',*,*,#175800,.T.); #222406=ORIENTED_EDGE('',*,*,#175803,.T.); #222407=ORIENTED_EDGE('',*,*,#175806,.T.); #222408=ORIENTED_EDGE('',*,*,#175809,.T.); #222409=ORIENTED_EDGE('',*,*,#175812,.T.); #222410=ORIENTED_EDGE('',*,*,#175815,.T.); #222411=ORIENTED_EDGE('',*,*,#175818,.T.); #222412=ORIENTED_EDGE('',*,*,#175821,.T.); #222413=ORIENTED_EDGE('',*,*,#175824,.T.); #222414=ORIENTED_EDGE('',*,*,#175827,.T.); #222415=ORIENTED_EDGE('',*,*,#175830,.T.); #222416=ORIENTED_EDGE('',*,*,#175833,.T.); #222417=ORIENTED_EDGE('',*,*,#175836,.T.); #222418=ORIENTED_EDGE('',*,*,#175839,.T.); #222419=ORIENTED_EDGE('',*,*,#175842,.T.); #222420=ORIENTED_EDGE('',*,*,#175845,.T.); #222421=ORIENTED_EDGE('',*,*,#175848,.T.); #222422=ORIENTED_EDGE('',*,*,#175851,.T.); #222423=ORIENTED_EDGE('',*,*,#175854,.T.); #222424=ORIENTED_EDGE('',*,*,#175857,.T.); #222425=ORIENTED_EDGE('',*,*,#175860,.T.); #222426=ORIENTED_EDGE('',*,*,#175863,.T.); #222427=ORIENTED_EDGE('',*,*,#175866,.T.); #222428=ORIENTED_EDGE('',*,*,#175869,.T.); #222429=ORIENTED_EDGE('',*,*,#175872,.T.); #222430=ORIENTED_EDGE('',*,*,#175875,.T.); #222431=ORIENTED_EDGE('',*,*,#175878,.T.); #222432=ORIENTED_EDGE('',*,*,#175881,.T.); #222433=ORIENTED_EDGE('',*,*,#175884,.T.); #222434=ORIENTED_EDGE('',*,*,#175887,.T.); #222435=ORIENTED_EDGE('',*,*,#175890,.T.); #222436=ORIENTED_EDGE('',*,*,#175893,.T.); #222437=ORIENTED_EDGE('',*,*,#175896,.F.); #222438=ORIENTED_EDGE('',*,*,#174846,.T.); #222439=ORIENTED_EDGE('',*,*,#174849,.T.); #222440=ORIENTED_EDGE('',*,*,#174850,.T.); #222441=ORIENTED_EDGE('',*,*,#174853,.T.); #222442=ORIENTED_EDGE('',*,*,#174856,.T.); #222443=ORIENTED_EDGE('',*,*,#174859,.T.); #222444=ORIENTED_EDGE('',*,*,#174862,.T.); #222445=ORIENTED_EDGE('',*,*,#174865,.T.); #222446=ORIENTED_EDGE('',*,*,#174868,.T.); #222447=ORIENTED_EDGE('',*,*,#174871,.T.); #222448=ORIENTED_EDGE('',*,*,#174874,.T.); #222449=ORIENTED_EDGE('',*,*,#174877,.T.); #222450=ORIENTED_EDGE('',*,*,#174880,.T.); #222451=ORIENTED_EDGE('',*,*,#174883,.T.); #222452=ORIENTED_EDGE('',*,*,#174886,.T.); #222453=ORIENTED_EDGE('',*,*,#174889,.T.); #222454=ORIENTED_EDGE('',*,*,#174892,.T.); #222455=ORIENTED_EDGE('',*,*,#174895,.T.); #222456=ORIENTED_EDGE('',*,*,#174898,.T.); #222457=ORIENTED_EDGE('',*,*,#174901,.T.); #222458=ORIENTED_EDGE('',*,*,#174904,.T.); #222459=ORIENTED_EDGE('',*,*,#174907,.T.); #222460=ORIENTED_EDGE('',*,*,#174910,.T.); #222461=ORIENTED_EDGE('',*,*,#174913,.T.); #222462=ORIENTED_EDGE('',*,*,#174916,.T.); #222463=ORIENTED_EDGE('',*,*,#174919,.T.); #222464=ORIENTED_EDGE('',*,*,#174922,.T.); #222465=ORIENTED_EDGE('',*,*,#174925,.T.); #222466=ORIENTED_EDGE('',*,*,#174928,.T.); #222467=ORIENTED_EDGE('',*,*,#174931,.T.); #222468=ORIENTED_EDGE('',*,*,#174934,.T.); #222469=ORIENTED_EDGE('',*,*,#174937,.T.); #222470=ORIENTED_EDGE('',*,*,#174940,.T.); #222471=ORIENTED_EDGE('',*,*,#174943,.T.); #222472=ORIENTED_EDGE('',*,*,#174946,.T.); #222473=ORIENTED_EDGE('',*,*,#174949,.T.); #222474=ORIENTED_EDGE('',*,*,#174952,.T.); #222475=ORIENTED_EDGE('',*,*,#174955,.T.); #222476=ORIENTED_EDGE('',*,*,#174958,.T.); #222477=ORIENTED_EDGE('',*,*,#174969,.T.); #222478=ORIENTED_EDGE('',*,*,#174966,.T.); #222479=ORIENTED_EDGE('',*,*,#174963,.T.); #222480=ORIENTED_EDGE('',*,*,#174972,.T.); #222481=ORIENTED_EDGE('',*,*,#174973,.T.); #222482=ORIENTED_EDGE('',*,*,#174984,.T.); #222483=ORIENTED_EDGE('',*,*,#174981,.T.); #222484=ORIENTED_EDGE('',*,*,#174978,.T.); #222485=ORIENTED_EDGE('',*,*,#174987,.T.); #222486=ORIENTED_EDGE('',*,*,#174988,.T.); #222487=ORIENTED_EDGE('',*,*,#174991,.T.); #222488=ORIENTED_EDGE('',*,*,#174994,.T.); #222489=ORIENTED_EDGE('',*,*,#174997,.T.); #222490=ORIENTED_EDGE('',*,*,#175000,.T.); #222491=ORIENTED_EDGE('',*,*,#175003,.T.); #222492=ORIENTED_EDGE('',*,*,#175006,.T.); #222493=ORIENTED_EDGE('',*,*,#175009,.T.); #222494=ORIENTED_EDGE('',*,*,#175012,.T.); #222495=ORIENTED_EDGE('',*,*,#175015,.T.); #222496=ORIENTED_EDGE('',*,*,#175018,.T.); #222497=ORIENTED_EDGE('',*,*,#175029,.T.); #222498=ORIENTED_EDGE('',*,*,#175026,.T.); #222499=ORIENTED_EDGE('',*,*,#175023,.T.); #222500=ORIENTED_EDGE('',*,*,#175032,.T.); #222501=ORIENTED_EDGE('',*,*,#175033,.T.); #222502=ORIENTED_EDGE('',*,*,#175044,.T.); #222503=ORIENTED_EDGE('',*,*,#175041,.T.); #222504=ORIENTED_EDGE('',*,*,#175038,.T.); #222505=ORIENTED_EDGE('',*,*,#175047,.T.); #222506=ORIENTED_EDGE('',*,*,#175048,.T.); #222507=ORIENTED_EDGE('',*,*,#175059,.T.); #222508=ORIENTED_EDGE('',*,*,#175056,.T.); #222509=ORIENTED_EDGE('',*,*,#175053,.T.); #222510=ORIENTED_EDGE('',*,*,#175062,.T.); #222511=ORIENTED_EDGE('',*,*,#175063,.T.); #222512=ORIENTED_EDGE('',*,*,#175074,.T.); #222513=ORIENTED_EDGE('',*,*,#175071,.T.); #222514=ORIENTED_EDGE('',*,*,#175068,.T.); #222515=ORIENTED_EDGE('',*,*,#175077,.T.); #222516=ORIENTED_EDGE('',*,*,#175078,.T.); #222517=ORIENTED_EDGE('',*,*,#175081,.T.); #222518=ORIENTED_EDGE('',*,*,#175084,.T.); #222519=ORIENTED_EDGE('',*,*,#175087,.T.); #222520=ORIENTED_EDGE('',*,*,#175090,.T.); #222521=ORIENTED_EDGE('',*,*,#175093,.T.); #222522=ORIENTED_EDGE('',*,*,#175096,.T.); #222523=ORIENTED_EDGE('',*,*,#175099,.T.); #222524=ORIENTED_EDGE('',*,*,#175102,.T.); #222525=ORIENTED_EDGE('',*,*,#175105,.T.); #222526=ORIENTED_EDGE('',*,*,#175108,.T.); #222527=ORIENTED_EDGE('',*,*,#175111,.T.); #222528=ORIENTED_EDGE('',*,*,#175114,.T.); #222529=ORIENTED_EDGE('',*,*,#175117,.T.); #222530=ORIENTED_EDGE('',*,*,#175120,.T.); #222531=ORIENTED_EDGE('',*,*,#175123,.T.); #222532=ORIENTED_EDGE('',*,*,#175126,.T.); #222533=ORIENTED_EDGE('',*,*,#175129,.T.); #222534=ORIENTED_EDGE('',*,*,#175132,.T.); #222535=ORIENTED_EDGE('',*,*,#175135,.T.); #222536=ORIENTED_EDGE('',*,*,#175138,.T.); #222537=ORIENTED_EDGE('',*,*,#175141,.T.); #222538=ORIENTED_EDGE('',*,*,#175144,.T.); #222539=ORIENTED_EDGE('',*,*,#175147,.T.); #222540=ORIENTED_EDGE('',*,*,#175150,.T.); #222541=ORIENTED_EDGE('',*,*,#175153,.T.); #222542=ORIENTED_EDGE('',*,*,#175156,.T.); #222543=ORIENTED_EDGE('',*,*,#175159,.T.); #222544=ORIENTED_EDGE('',*,*,#175162,.T.); #222545=ORIENTED_EDGE('',*,*,#175165,.T.); #222546=ORIENTED_EDGE('',*,*,#175168,.T.); #222547=ORIENTED_EDGE('',*,*,#175171,.T.); #222548=ORIENTED_EDGE('',*,*,#175174,.T.); #222549=ORIENTED_EDGE('',*,*,#175177,.T.); #222550=ORIENTED_EDGE('',*,*,#175180,.T.); #222551=ORIENTED_EDGE('',*,*,#175183,.T.); #222552=ORIENTED_EDGE('',*,*,#175186,.T.); #222553=ORIENTED_EDGE('',*,*,#175189,.T.); #222554=ORIENTED_EDGE('',*,*,#175192,.T.); #222555=ORIENTED_EDGE('',*,*,#175195,.T.); #222556=ORIENTED_EDGE('',*,*,#175198,.T.); #222557=ORIENTED_EDGE('',*,*,#175201,.T.); #222558=ORIENTED_EDGE('',*,*,#175204,.T.); #222559=ORIENTED_EDGE('',*,*,#175207,.T.); #222560=ORIENTED_EDGE('',*,*,#175210,.T.); #222561=ORIENTED_EDGE('',*,*,#175213,.T.); #222562=ORIENTED_EDGE('',*,*,#175216,.T.); #222563=ORIENTED_EDGE('',*,*,#175219,.T.); #222564=ORIENTED_EDGE('',*,*,#175222,.T.); #222565=ORIENTED_EDGE('',*,*,#175225,.T.); #222566=ORIENTED_EDGE('',*,*,#175228,.T.); #222567=ORIENTED_EDGE('',*,*,#175231,.T.); #222568=ORIENTED_EDGE('',*,*,#175234,.T.); #222569=ORIENTED_EDGE('',*,*,#175237,.T.); #222570=ORIENTED_EDGE('',*,*,#175240,.T.); #222571=ORIENTED_EDGE('',*,*,#175243,.T.); #222572=ORIENTED_EDGE('',*,*,#175246,.T.); #222573=ORIENTED_EDGE('',*,*,#175249,.T.); #222574=ORIENTED_EDGE('',*,*,#175252,.T.); #222575=ORIENTED_EDGE('',*,*,#175255,.T.); #222576=ORIENTED_EDGE('',*,*,#175258,.T.); #222577=ORIENTED_EDGE('',*,*,#175261,.T.); #222578=ORIENTED_EDGE('',*,*,#175264,.T.); #222579=ORIENTED_EDGE('',*,*,#175267,.T.); #222580=ORIENTED_EDGE('',*,*,#175270,.T.); #222581=ORIENTED_EDGE('',*,*,#175273,.T.); #222582=ORIENTED_EDGE('',*,*,#175276,.T.); #222583=ORIENTED_EDGE('',*,*,#175279,.T.); #222584=ORIENTED_EDGE('',*,*,#175282,.T.); #222585=ORIENTED_EDGE('',*,*,#175285,.T.); #222586=ORIENTED_EDGE('',*,*,#175288,.T.); #222587=ORIENTED_EDGE('',*,*,#175291,.T.); #222588=ORIENTED_EDGE('',*,*,#175294,.T.); #222589=ORIENTED_EDGE('',*,*,#175297,.T.); #222590=ORIENTED_EDGE('',*,*,#175300,.T.); #222591=ORIENTED_EDGE('',*,*,#175303,.T.); #222592=ORIENTED_EDGE('',*,*,#175306,.T.); #222593=ORIENTED_EDGE('',*,*,#175309,.T.); #222594=ORIENTED_EDGE('',*,*,#175312,.T.); #222595=ORIENTED_EDGE('',*,*,#175315,.T.); #222596=ORIENTED_EDGE('',*,*,#175318,.T.); #222597=ORIENTED_EDGE('',*,*,#175321,.T.); #222598=ORIENTED_EDGE('',*,*,#175324,.T.); #222599=ORIENTED_EDGE('',*,*,#175327,.T.); #222600=ORIENTED_EDGE('',*,*,#175330,.T.); #222601=ORIENTED_EDGE('',*,*,#175333,.T.); #222602=ORIENTED_EDGE('',*,*,#175336,.T.); #222603=ORIENTED_EDGE('',*,*,#175339,.T.); #222604=ORIENTED_EDGE('',*,*,#175342,.T.); #222605=ORIENTED_EDGE('',*,*,#175345,.T.); #222606=ORIENTED_EDGE('',*,*,#175348,.T.); #222607=ORIENTED_EDGE('',*,*,#175351,.T.); #222608=ORIENTED_EDGE('',*,*,#175354,.T.); #222609=ORIENTED_EDGE('',*,*,#175357,.T.); #222610=ORIENTED_EDGE('',*,*,#175360,.T.); #222611=ORIENTED_EDGE('',*,*,#175363,.T.); #222612=ORIENTED_EDGE('',*,*,#175366,.T.); #222613=ORIENTED_EDGE('',*,*,#175369,.T.); #222614=ORIENTED_EDGE('',*,*,#175372,.T.); #222615=ORIENTED_EDGE('',*,*,#175375,.T.); #222616=ORIENTED_EDGE('',*,*,#175378,.T.); #222617=ORIENTED_EDGE('',*,*,#175381,.T.); #222618=ORIENTED_EDGE('',*,*,#175384,.T.); #222619=ORIENTED_EDGE('',*,*,#175387,.T.); #222620=ORIENTED_EDGE('',*,*,#175390,.T.); #222621=ORIENTED_EDGE('',*,*,#175393,.T.); #222622=ORIENTED_EDGE('',*,*,#175396,.T.); #222623=ORIENTED_EDGE('',*,*,#175399,.T.); #222624=ORIENTED_EDGE('',*,*,#175402,.T.); #222625=ORIENTED_EDGE('',*,*,#175405,.T.); #222626=ORIENTED_EDGE('',*,*,#175408,.T.); #222627=ORIENTED_EDGE('',*,*,#175411,.T.); #222628=ORIENTED_EDGE('',*,*,#175414,.T.); #222629=ORIENTED_EDGE('',*,*,#175417,.T.); #222630=ORIENTED_EDGE('',*,*,#175420,.T.); #222631=ORIENTED_EDGE('',*,*,#175423,.T.); #222632=ORIENTED_EDGE('',*,*,#175426,.T.); #222633=ORIENTED_EDGE('',*,*,#175429,.T.); #222634=ORIENTED_EDGE('',*,*,#175432,.T.); #222635=ORIENTED_EDGE('',*,*,#175435,.T.); #222636=ORIENTED_EDGE('',*,*,#175438,.T.); #222637=ORIENTED_EDGE('',*,*,#175441,.T.); #222638=ORIENTED_EDGE('',*,*,#175444,.T.); #222639=ORIENTED_EDGE('',*,*,#175447,.T.); #222640=ORIENTED_EDGE('',*,*,#175450,.T.); #222641=ORIENTED_EDGE('',*,*,#175453,.T.); #222642=ORIENTED_EDGE('',*,*,#175456,.T.); #222643=ORIENTED_EDGE('',*,*,#175459,.T.); #222644=ORIENTED_EDGE('',*,*,#175462,.T.); #222645=ORIENTED_EDGE('',*,*,#175465,.T.); #222646=ORIENTED_EDGE('',*,*,#175468,.T.); #222647=ORIENTED_EDGE('',*,*,#175471,.T.); #222648=ORIENTED_EDGE('',*,*,#175474,.T.); #222649=ORIENTED_EDGE('',*,*,#175477,.T.); #222650=ORIENTED_EDGE('',*,*,#175480,.T.); #222651=ORIENTED_EDGE('',*,*,#175483,.T.); #222652=ORIENTED_EDGE('',*,*,#175486,.T.); #222653=ORIENTED_EDGE('',*,*,#175489,.T.); #222654=ORIENTED_EDGE('',*,*,#175492,.T.); #222655=ORIENTED_EDGE('',*,*,#175495,.T.); #222656=ORIENTED_EDGE('',*,*,#175498,.T.); #222657=ORIENTED_EDGE('',*,*,#175501,.T.); #222658=ORIENTED_EDGE('',*,*,#175504,.T.); #222659=ORIENTED_EDGE('',*,*,#175507,.T.); #222660=ORIENTED_EDGE('',*,*,#175510,.T.); #222661=ORIENTED_EDGE('',*,*,#175513,.T.); #222662=ORIENTED_EDGE('',*,*,#175516,.T.); #222663=ORIENTED_EDGE('',*,*,#175519,.T.); #222664=ORIENTED_EDGE('',*,*,#175522,.T.); #222665=ORIENTED_EDGE('',*,*,#175525,.T.); #222666=ORIENTED_EDGE('',*,*,#175528,.T.); #222667=ORIENTED_EDGE('',*,*,#175531,.T.); #222668=ORIENTED_EDGE('',*,*,#175534,.T.); #222669=ORIENTED_EDGE('',*,*,#175537,.T.); #222670=ORIENTED_EDGE('',*,*,#175540,.T.); #222671=ORIENTED_EDGE('',*,*,#175543,.T.); #222672=ORIENTED_EDGE('',*,*,#175546,.T.); #222673=ORIENTED_EDGE('',*,*,#175549,.T.); #222674=ORIENTED_EDGE('',*,*,#175552,.T.); #222675=ORIENTED_EDGE('',*,*,#175555,.T.); #222676=ORIENTED_EDGE('',*,*,#175558,.T.); #222677=ORIENTED_EDGE('',*,*,#175561,.T.); #222678=ORIENTED_EDGE('',*,*,#175564,.T.); #222679=ORIENTED_EDGE('',*,*,#175567,.T.); #222680=ORIENTED_EDGE('',*,*,#175570,.T.); #222681=ORIENTED_EDGE('',*,*,#175573,.T.); #222682=ORIENTED_EDGE('',*,*,#175576,.T.); #222683=ORIENTED_EDGE('',*,*,#175579,.T.); #222684=ORIENTED_EDGE('',*,*,#175582,.T.); #222685=ORIENTED_EDGE('',*,*,#175585,.T.); #222686=ORIENTED_EDGE('',*,*,#175588,.T.); #222687=ORIENTED_EDGE('',*,*,#175591,.T.); #222688=ORIENTED_EDGE('',*,*,#175594,.T.); #222689=ORIENTED_EDGE('',*,*,#175597,.T.); #222690=ORIENTED_EDGE('',*,*,#175600,.T.); #222691=ORIENTED_EDGE('',*,*,#175603,.T.); #222692=ORIENTED_EDGE('',*,*,#175606,.T.); #222693=ORIENTED_EDGE('',*,*,#175609,.T.); #222694=ORIENTED_EDGE('',*,*,#175612,.T.); #222695=ORIENTED_EDGE('',*,*,#175615,.T.); #222696=ORIENTED_EDGE('',*,*,#175618,.T.); #222697=ORIENTED_EDGE('',*,*,#175621,.T.); #222698=ORIENTED_EDGE('',*,*,#175624,.T.); #222699=ORIENTED_EDGE('',*,*,#175627,.T.); #222700=ORIENTED_EDGE('',*,*,#175630,.T.); #222701=ORIENTED_EDGE('',*,*,#175633,.T.); #222702=ORIENTED_EDGE('',*,*,#175636,.T.); #222703=ORIENTED_EDGE('',*,*,#175639,.T.); #222704=ORIENTED_EDGE('',*,*,#175642,.T.); #222705=ORIENTED_EDGE('',*,*,#175645,.T.); #222706=ORIENTED_EDGE('',*,*,#175648,.T.); #222707=ORIENTED_EDGE('',*,*,#175651,.T.); #222708=ORIENTED_EDGE('',*,*,#175654,.T.); #222709=ORIENTED_EDGE('',*,*,#175657,.T.); #222710=ORIENTED_EDGE('',*,*,#175660,.T.); #222711=ORIENTED_EDGE('',*,*,#175663,.T.); #222712=ORIENTED_EDGE('',*,*,#175666,.T.); #222713=ORIENTED_EDGE('',*,*,#175669,.T.); #222714=ORIENTED_EDGE('',*,*,#175672,.T.); #222715=ORIENTED_EDGE('',*,*,#175675,.T.); #222716=ORIENTED_EDGE('',*,*,#175678,.T.); #222717=ORIENTED_EDGE('',*,*,#175681,.T.); #222718=ORIENTED_EDGE('',*,*,#175684,.T.); #222719=ORIENTED_EDGE('',*,*,#175687,.T.); #222720=ORIENTED_EDGE('',*,*,#175690,.T.); #222721=ORIENTED_EDGE('',*,*,#175693,.T.); #222722=ORIENTED_EDGE('',*,*,#175696,.T.); #222723=ORIENTED_EDGE('',*,*,#175699,.T.); #222724=ORIENTED_EDGE('',*,*,#175702,.T.); #222725=ORIENTED_EDGE('',*,*,#175705,.T.); #222726=ORIENTED_EDGE('',*,*,#175708,.T.); #222727=ORIENTED_EDGE('',*,*,#175711,.T.); #222728=ORIENTED_EDGE('',*,*,#175714,.T.); #222729=ORIENTED_EDGE('',*,*,#175717,.T.); #222730=ORIENTED_EDGE('',*,*,#175720,.T.); #222731=ORIENTED_EDGE('',*,*,#175723,.T.); #222732=ORIENTED_EDGE('',*,*,#175726,.T.); #222733=ORIENTED_EDGE('',*,*,#175729,.T.); #222734=ORIENTED_EDGE('',*,*,#175732,.T.); #222735=ORIENTED_EDGE('',*,*,#175735,.T.); #222736=ORIENTED_EDGE('',*,*,#175738,.T.); #222737=ORIENTED_EDGE('',*,*,#175741,.T.); #222738=ORIENTED_EDGE('',*,*,#175744,.T.); #222739=ORIENTED_EDGE('',*,*,#175747,.T.); #222740=ORIENTED_EDGE('',*,*,#175750,.T.); #222741=ORIENTED_EDGE('',*,*,#175753,.T.); #222742=ORIENTED_EDGE('',*,*,#175756,.T.); #222743=ORIENTED_EDGE('',*,*,#175759,.T.); #222744=ORIENTED_EDGE('',*,*,#175762,.T.); #222745=ORIENTED_EDGE('',*,*,#175765,.T.); #222746=ORIENTED_EDGE('',*,*,#175768,.T.); #222747=ORIENTED_EDGE('',*,*,#175771,.T.); #222748=ORIENTED_EDGE('',*,*,#175774,.T.); #222749=ORIENTED_EDGE('',*,*,#175777,.T.); #222750=ORIENTED_EDGE('',*,*,#175780,.T.); #222751=ORIENTED_EDGE('',*,*,#175783,.T.); #222752=ORIENTED_EDGE('',*,*,#175786,.T.); #222753=ORIENTED_EDGE('',*,*,#175789,.T.); #222754=ORIENTED_EDGE('',*,*,#175792,.T.); #222755=ORIENTED_EDGE('',*,*,#175795,.T.); #222756=ORIENTED_EDGE('',*,*,#175798,.T.); #222757=ORIENTED_EDGE('',*,*,#175801,.T.); #222758=ORIENTED_EDGE('',*,*,#175804,.T.); #222759=ORIENTED_EDGE('',*,*,#175807,.T.); #222760=ORIENTED_EDGE('',*,*,#175810,.T.); #222761=ORIENTED_EDGE('',*,*,#175813,.T.); #222762=ORIENTED_EDGE('',*,*,#175816,.T.); #222763=ORIENTED_EDGE('',*,*,#175819,.T.); #222764=ORIENTED_EDGE('',*,*,#175822,.T.); #222765=ORIENTED_EDGE('',*,*,#175825,.T.); #222766=ORIENTED_EDGE('',*,*,#175828,.T.); #222767=ORIENTED_EDGE('',*,*,#175831,.T.); #222768=ORIENTED_EDGE('',*,*,#175834,.T.); #222769=ORIENTED_EDGE('',*,*,#175837,.T.); #222770=ORIENTED_EDGE('',*,*,#175840,.T.); #222771=ORIENTED_EDGE('',*,*,#175843,.T.); #222772=ORIENTED_EDGE('',*,*,#175846,.T.); #222773=ORIENTED_EDGE('',*,*,#175849,.T.); #222774=ORIENTED_EDGE('',*,*,#175852,.T.); #222775=ORIENTED_EDGE('',*,*,#175855,.T.); #222776=ORIENTED_EDGE('',*,*,#175858,.T.); #222777=ORIENTED_EDGE('',*,*,#175861,.T.); #222778=ORIENTED_EDGE('',*,*,#175864,.T.); #222779=ORIENTED_EDGE('',*,*,#175867,.T.); #222780=ORIENTED_EDGE('',*,*,#175870,.T.); #222781=ORIENTED_EDGE('',*,*,#175873,.T.); #222782=ORIENTED_EDGE('',*,*,#175876,.T.); #222783=ORIENTED_EDGE('',*,*,#175879,.T.); #222784=ORIENTED_EDGE('',*,*,#175882,.T.); #222785=ORIENTED_EDGE('',*,*,#175885,.T.); #222786=ORIENTED_EDGE('',*,*,#175888,.T.); #222787=ORIENTED_EDGE('',*,*,#175891,.T.); #222788=ORIENTED_EDGE('',*,*,#175897,.F.); #222789=ORIENTED_EDGE('',*,*,#175898,.T.); #222790=ORIENTED_EDGE('',*,*,#175899,.F.); #222791=ORIENTED_EDGE('',*,*,#175898,.F.); #222792=ORIENTED_EDGE('',*,*,#175900,.F.); #222793=ORIENTED_EDGE('',*,*,#175901,.T.); #222794=ORIENTED_EDGE('',*,*,#175902,.T.); #222795=ORIENTED_EDGE('',*,*,#175901,.F.); #222796=ORIENTED_EDGE('',*,*,#175900,.T.); #222797=ORIENTED_EDGE('',*,*,#175897,.T.); #222798=ORIENTED_EDGE('',*,*,#175902,.F.); #222799=ORIENTED_EDGE('',*,*,#175899,.T.); #222800=ORIENTED_EDGE('',*,*,#175903,.F.); #222801=ORIENTED_EDGE('',*,*,#175904,.T.); #222802=ORIENTED_EDGE('',*,*,#175905,.F.); #222803=ORIENTED_EDGE('',*,*,#175904,.F.); #222804=ORIENTED_EDGE('',*,*,#175906,.F.); #222805=ORIENTED_EDGE('',*,*,#175907,.T.); #222806=ORIENTED_EDGE('',*,*,#175908,.T.); #222807=ORIENTED_EDGE('',*,*,#175907,.F.); #222808=ORIENTED_EDGE('',*,*,#175906,.T.); #222809=ORIENTED_EDGE('',*,*,#175903,.T.); #222810=ORIENTED_EDGE('',*,*,#175908,.F.); #222811=ORIENTED_EDGE('',*,*,#175905,.T.); #222812=ORIENTED_EDGE('',*,*,#175909,.F.); #222813=ORIENTED_EDGE('',*,*,#175910,.T.); #222814=ORIENTED_EDGE('',*,*,#175911,.F.); #222815=ORIENTED_EDGE('',*,*,#175910,.F.); #222816=ORIENTED_EDGE('',*,*,#175912,.F.); #222817=ORIENTED_EDGE('',*,*,#175913,.T.); #222818=ORIENTED_EDGE('',*,*,#175914,.T.); #222819=ORIENTED_EDGE('',*,*,#175913,.F.); #222820=ORIENTED_EDGE('',*,*,#175912,.T.); #222821=ORIENTED_EDGE('',*,*,#175909,.T.); #222822=ORIENTED_EDGE('',*,*,#175914,.F.); #222823=ORIENTED_EDGE('',*,*,#175911,.T.); #222824=ORIENTED_EDGE('',*,*,#175915,.F.); #222825=ORIENTED_EDGE('',*,*,#175916,.T.); #222826=ORIENTED_EDGE('',*,*,#175917,.F.); #222827=ORIENTED_EDGE('',*,*,#175916,.F.); #222828=ORIENTED_EDGE('',*,*,#175918,.F.); #222829=ORIENTED_EDGE('',*,*,#175919,.T.); #222830=ORIENTED_EDGE('',*,*,#175920,.T.); #222831=ORIENTED_EDGE('',*,*,#175919,.F.); #222832=ORIENTED_EDGE('',*,*,#175918,.T.); #222833=ORIENTED_EDGE('',*,*,#175915,.T.); #222834=ORIENTED_EDGE('',*,*,#175920,.F.); #222835=ORIENTED_EDGE('',*,*,#175917,.T.); #222836=ORIENTED_EDGE('',*,*,#175921,.F.); #222837=ORIENTED_EDGE('',*,*,#175922,.T.); #222838=ORIENTED_EDGE('',*,*,#175923,.F.); #222839=ORIENTED_EDGE('',*,*,#175922,.F.); #222840=ORIENTED_EDGE('',*,*,#175924,.F.); #222841=ORIENTED_EDGE('',*,*,#175925,.T.); #222842=ORIENTED_EDGE('',*,*,#175926,.T.); #222843=ORIENTED_EDGE('',*,*,#175925,.F.); #222844=ORIENTED_EDGE('',*,*,#175924,.T.); #222845=ORIENTED_EDGE('',*,*,#175921,.T.); #222846=ORIENTED_EDGE('',*,*,#175926,.F.); #222847=ORIENTED_EDGE('',*,*,#175923,.T.); #222848=ORIENTED_EDGE('',*,*,#175927,.F.); #222849=ORIENTED_EDGE('',*,*,#175928,.T.); #222850=ORIENTED_EDGE('',*,*,#175929,.F.); #222851=ORIENTED_EDGE('',*,*,#175928,.F.); #222852=ORIENTED_EDGE('',*,*,#175930,.F.); #222853=ORIENTED_EDGE('',*,*,#175931,.T.); #222854=ORIENTED_EDGE('',*,*,#175932,.T.); #222855=ORIENTED_EDGE('',*,*,#175931,.F.); #222856=ORIENTED_EDGE('',*,*,#175930,.T.); #222857=ORIENTED_EDGE('',*,*,#175927,.T.); #222858=ORIENTED_EDGE('',*,*,#175932,.F.); #222859=ORIENTED_EDGE('',*,*,#175929,.T.); #222860=ORIENTED_EDGE('',*,*,#175933,.F.); #222861=ORIENTED_EDGE('',*,*,#175934,.T.); #222862=ORIENTED_EDGE('',*,*,#175935,.F.); #222863=ORIENTED_EDGE('',*,*,#175934,.F.); #222864=ORIENTED_EDGE('',*,*,#175936,.F.); #222865=ORIENTED_EDGE('',*,*,#175937,.T.); #222866=ORIENTED_EDGE('',*,*,#175938,.T.); #222867=ORIENTED_EDGE('',*,*,#175937,.F.); #222868=ORIENTED_EDGE('',*,*,#175936,.T.); #222869=ORIENTED_EDGE('',*,*,#175933,.T.); #222870=ORIENTED_EDGE('',*,*,#175938,.F.); #222871=ORIENTED_EDGE('',*,*,#175935,.T.); #222872=ORIENTED_EDGE('',*,*,#175939,.F.); #222873=ORIENTED_EDGE('',*,*,#175940,.T.); #222874=ORIENTED_EDGE('',*,*,#175941,.F.); #222875=ORIENTED_EDGE('',*,*,#175940,.F.); #222876=ORIENTED_EDGE('',*,*,#175942,.F.); #222877=ORIENTED_EDGE('',*,*,#175943,.T.); #222878=ORIENTED_EDGE('',*,*,#175944,.T.); #222879=ORIENTED_EDGE('',*,*,#175943,.F.); #222880=ORIENTED_EDGE('',*,*,#175942,.T.); #222881=ORIENTED_EDGE('',*,*,#175939,.T.); #222882=ORIENTED_EDGE('',*,*,#175944,.F.); #222883=ORIENTED_EDGE('',*,*,#175941,.T.); #222884=ORIENTED_EDGE('',*,*,#175945,.F.); #222885=ORIENTED_EDGE('',*,*,#175946,.T.); #222886=ORIENTED_EDGE('',*,*,#175947,.F.); #222887=ORIENTED_EDGE('',*,*,#175946,.F.); #222888=ORIENTED_EDGE('',*,*,#175948,.F.); #222889=ORIENTED_EDGE('',*,*,#175949,.T.); #222890=ORIENTED_EDGE('',*,*,#175950,.T.); #222891=ORIENTED_EDGE('',*,*,#175949,.F.); #222892=ORIENTED_EDGE('',*,*,#175948,.T.); #222893=ORIENTED_EDGE('',*,*,#175945,.T.); #222894=ORIENTED_EDGE('',*,*,#175950,.F.); #222895=ORIENTED_EDGE('',*,*,#175947,.T.); #222896=ORIENTED_EDGE('',*,*,#175951,.F.); #222897=ORIENTED_EDGE('',*,*,#175952,.T.); #222898=ORIENTED_EDGE('',*,*,#175953,.F.); #222899=ORIENTED_EDGE('',*,*,#175952,.F.); #222900=ORIENTED_EDGE('',*,*,#175954,.F.); #222901=ORIENTED_EDGE('',*,*,#175955,.T.); #222902=ORIENTED_EDGE('',*,*,#175956,.T.); #222903=ORIENTED_EDGE('',*,*,#175955,.F.); #222904=ORIENTED_EDGE('',*,*,#175954,.T.); #222905=ORIENTED_EDGE('',*,*,#175951,.T.); #222906=ORIENTED_EDGE('',*,*,#175956,.F.); #222907=ORIENTED_EDGE('',*,*,#175953,.T.); #222908=ORIENTED_EDGE('',*,*,#175957,.F.); #222909=ORIENTED_EDGE('',*,*,#175958,.T.); #222910=ORIENTED_EDGE('',*,*,#175959,.F.); #222911=ORIENTED_EDGE('',*,*,#175958,.F.); #222912=ORIENTED_EDGE('',*,*,#175960,.F.); #222913=ORIENTED_EDGE('',*,*,#175961,.T.); #222914=ORIENTED_EDGE('',*,*,#175962,.T.); #222915=ORIENTED_EDGE('',*,*,#175961,.F.); #222916=ORIENTED_EDGE('',*,*,#175960,.T.); #222917=ORIENTED_EDGE('',*,*,#175957,.T.); #222918=ORIENTED_EDGE('',*,*,#175962,.F.); #222919=ORIENTED_EDGE('',*,*,#175959,.T.); #222920=ORIENTED_EDGE('',*,*,#175963,.F.); #222921=ORIENTED_EDGE('',*,*,#175964,.T.); #222922=ORIENTED_EDGE('',*,*,#175965,.F.); #222923=ORIENTED_EDGE('',*,*,#175964,.F.); #222924=ORIENTED_EDGE('',*,*,#175966,.F.); #222925=ORIENTED_EDGE('',*,*,#175967,.T.); #222926=ORIENTED_EDGE('',*,*,#175968,.T.); #222927=ORIENTED_EDGE('',*,*,#175967,.F.); #222928=ORIENTED_EDGE('',*,*,#175966,.T.); #222929=ORIENTED_EDGE('',*,*,#175963,.T.); #222930=ORIENTED_EDGE('',*,*,#175968,.F.); #222931=ORIENTED_EDGE('',*,*,#175965,.T.); #222932=ORIENTED_EDGE('',*,*,#175969,.F.); #222933=ORIENTED_EDGE('',*,*,#175970,.T.); #222934=ORIENTED_EDGE('',*,*,#175971,.F.); #222935=ORIENTED_EDGE('',*,*,#175970,.F.); #222936=ORIENTED_EDGE('',*,*,#175972,.F.); #222937=ORIENTED_EDGE('',*,*,#175973,.T.); #222938=ORIENTED_EDGE('',*,*,#175974,.T.); #222939=ORIENTED_EDGE('',*,*,#175973,.F.); #222940=ORIENTED_EDGE('',*,*,#175972,.T.); #222941=ORIENTED_EDGE('',*,*,#175969,.T.); #222942=ORIENTED_EDGE('',*,*,#175974,.F.); #222943=ORIENTED_EDGE('',*,*,#175971,.T.); #222944=ORIENTED_EDGE('',*,*,#175975,.F.); #222945=ORIENTED_EDGE('',*,*,#175976,.T.); #222946=ORIENTED_EDGE('',*,*,#175977,.F.); #222947=ORIENTED_EDGE('',*,*,#175976,.F.); #222948=ORIENTED_EDGE('',*,*,#175978,.F.); #222949=ORIENTED_EDGE('',*,*,#175979,.T.); #222950=ORIENTED_EDGE('',*,*,#175980,.T.); #222951=ORIENTED_EDGE('',*,*,#175979,.F.); #222952=ORIENTED_EDGE('',*,*,#175978,.T.); #222953=ORIENTED_EDGE('',*,*,#175975,.T.); #222954=ORIENTED_EDGE('',*,*,#175980,.F.); #222955=ORIENTED_EDGE('',*,*,#175977,.T.); #222956=ORIENTED_EDGE('',*,*,#175981,.F.); #222957=ORIENTED_EDGE('',*,*,#175982,.T.); #222958=ORIENTED_EDGE('',*,*,#175983,.F.); #222959=ORIENTED_EDGE('',*,*,#175982,.F.); #222960=ORIENTED_EDGE('',*,*,#175984,.F.); #222961=ORIENTED_EDGE('',*,*,#175985,.T.); #222962=ORIENTED_EDGE('',*,*,#175986,.T.); #222963=ORIENTED_EDGE('',*,*,#175985,.F.); #222964=ORIENTED_EDGE('',*,*,#175984,.T.); #222965=ORIENTED_EDGE('',*,*,#175981,.T.); #222966=ORIENTED_EDGE('',*,*,#175986,.F.); #222967=ORIENTED_EDGE('',*,*,#175983,.T.); #222968=ORIENTED_EDGE('',*,*,#175987,.F.); #222969=ORIENTED_EDGE('',*,*,#175988,.T.); #222970=ORIENTED_EDGE('',*,*,#175989,.F.); #222971=ORIENTED_EDGE('',*,*,#175988,.F.); #222972=ORIENTED_EDGE('',*,*,#175990,.F.); #222973=ORIENTED_EDGE('',*,*,#175991,.T.); #222974=ORIENTED_EDGE('',*,*,#175992,.T.); #222975=ORIENTED_EDGE('',*,*,#175991,.F.); #222976=ORIENTED_EDGE('',*,*,#175990,.T.); #222977=ORIENTED_EDGE('',*,*,#175987,.T.); #222978=ORIENTED_EDGE('',*,*,#175992,.F.); #222979=ORIENTED_EDGE('',*,*,#175989,.T.); #222980=ORIENTED_EDGE('',*,*,#175993,.F.); #222981=ORIENTED_EDGE('',*,*,#175994,.T.); #222982=ORIENTED_EDGE('',*,*,#175995,.F.); #222983=ORIENTED_EDGE('',*,*,#175994,.F.); #222984=ORIENTED_EDGE('',*,*,#175996,.F.); #222985=ORIENTED_EDGE('',*,*,#175997,.T.); #222986=ORIENTED_EDGE('',*,*,#175998,.T.); #222987=ORIENTED_EDGE('',*,*,#175997,.F.); #222988=ORIENTED_EDGE('',*,*,#175996,.T.); #222989=ORIENTED_EDGE('',*,*,#175993,.T.); #222990=ORIENTED_EDGE('',*,*,#175998,.F.); #222991=ORIENTED_EDGE('',*,*,#175995,.T.); #222992=ORIENTED_EDGE('',*,*,#175999,.F.); #222993=ORIENTED_EDGE('',*,*,#176000,.T.); #222994=ORIENTED_EDGE('',*,*,#176001,.F.); #222995=ORIENTED_EDGE('',*,*,#176000,.F.); #222996=ORIENTED_EDGE('',*,*,#176002,.F.); #222997=ORIENTED_EDGE('',*,*,#176003,.T.); #222998=ORIENTED_EDGE('',*,*,#176004,.T.); #222999=ORIENTED_EDGE('',*,*,#176003,.F.); #223000=ORIENTED_EDGE('',*,*,#176002,.T.); #223001=ORIENTED_EDGE('',*,*,#175999,.T.); #223002=ORIENTED_EDGE('',*,*,#176004,.F.); #223003=ORIENTED_EDGE('',*,*,#176001,.T.); #223004=ORIENTED_EDGE('',*,*,#176005,.F.); #223005=ORIENTED_EDGE('',*,*,#176006,.T.); #223006=ORIENTED_EDGE('',*,*,#176007,.F.); #223007=ORIENTED_EDGE('',*,*,#176006,.F.); #223008=ORIENTED_EDGE('',*,*,#176008,.F.); #223009=ORIENTED_EDGE('',*,*,#176009,.T.); #223010=ORIENTED_EDGE('',*,*,#176010,.T.); #223011=ORIENTED_EDGE('',*,*,#176009,.F.); #223012=ORIENTED_EDGE('',*,*,#176008,.T.); #223013=ORIENTED_EDGE('',*,*,#176005,.T.); #223014=ORIENTED_EDGE('',*,*,#176010,.F.); #223015=ORIENTED_EDGE('',*,*,#176007,.T.); #223016=ORIENTED_EDGE('',*,*,#176011,.F.); #223017=ORIENTED_EDGE('',*,*,#176012,.T.); #223018=ORIENTED_EDGE('',*,*,#176013,.F.); #223019=ORIENTED_EDGE('',*,*,#176012,.F.); #223020=ORIENTED_EDGE('',*,*,#176014,.F.); #223021=ORIENTED_EDGE('',*,*,#176015,.T.); #223022=ORIENTED_EDGE('',*,*,#176016,.T.); #223023=ORIENTED_EDGE('',*,*,#176015,.F.); #223024=ORIENTED_EDGE('',*,*,#176014,.T.); #223025=ORIENTED_EDGE('',*,*,#176011,.T.); #223026=ORIENTED_EDGE('',*,*,#176016,.F.); #223027=ORIENTED_EDGE('',*,*,#176013,.T.); #223028=ORIENTED_EDGE('',*,*,#176017,.F.); #223029=ORIENTED_EDGE('',*,*,#176018,.T.); #223030=ORIENTED_EDGE('',*,*,#176019,.F.); #223031=ORIENTED_EDGE('',*,*,#176018,.F.); #223032=ORIENTED_EDGE('',*,*,#176020,.F.); #223033=ORIENTED_EDGE('',*,*,#176021,.T.); #223034=ORIENTED_EDGE('',*,*,#176022,.T.); #223035=ORIENTED_EDGE('',*,*,#176021,.F.); #223036=ORIENTED_EDGE('',*,*,#176020,.T.); #223037=ORIENTED_EDGE('',*,*,#176017,.T.); #223038=ORIENTED_EDGE('',*,*,#176022,.F.); #223039=ORIENTED_EDGE('',*,*,#176019,.T.); #223040=ORIENTED_EDGE('',*,*,#176023,.F.); #223041=ORIENTED_EDGE('',*,*,#176024,.T.); #223042=ORIENTED_EDGE('',*,*,#176025,.F.); #223043=ORIENTED_EDGE('',*,*,#176024,.F.); #223044=ORIENTED_EDGE('',*,*,#176026,.F.); #223045=ORIENTED_EDGE('',*,*,#176027,.T.); #223046=ORIENTED_EDGE('',*,*,#176028,.T.); #223047=ORIENTED_EDGE('',*,*,#176027,.F.); #223048=ORIENTED_EDGE('',*,*,#176026,.T.); #223049=ORIENTED_EDGE('',*,*,#176023,.T.); #223050=ORIENTED_EDGE('',*,*,#176028,.F.); #223051=ORIENTED_EDGE('',*,*,#176025,.T.); #223052=ORIENTED_EDGE('',*,*,#176029,.F.); #223053=ORIENTED_EDGE('',*,*,#176030,.T.); #223054=ORIENTED_EDGE('',*,*,#176031,.F.); #223055=ORIENTED_EDGE('',*,*,#176030,.F.); #223056=ORIENTED_EDGE('',*,*,#176032,.F.); #223057=ORIENTED_EDGE('',*,*,#176033,.T.); #223058=ORIENTED_EDGE('',*,*,#176034,.T.); #223059=ORIENTED_EDGE('',*,*,#176033,.F.); #223060=ORIENTED_EDGE('',*,*,#176032,.T.); #223061=ORIENTED_EDGE('',*,*,#176029,.T.); #223062=ORIENTED_EDGE('',*,*,#176034,.F.); #223063=ORIENTED_EDGE('',*,*,#176031,.T.); #223064=ORIENTED_EDGE('',*,*,#176035,.F.); #223065=ORIENTED_EDGE('',*,*,#176036,.T.); #223066=ORIENTED_EDGE('',*,*,#176037,.F.); #223067=ORIENTED_EDGE('',*,*,#176036,.F.); #223068=ORIENTED_EDGE('',*,*,#176038,.F.); #223069=ORIENTED_EDGE('',*,*,#176039,.T.); #223070=ORIENTED_EDGE('',*,*,#176040,.T.); #223071=ORIENTED_EDGE('',*,*,#176039,.F.); #223072=ORIENTED_EDGE('',*,*,#176038,.T.); #223073=ORIENTED_EDGE('',*,*,#176035,.T.); #223074=ORIENTED_EDGE('',*,*,#176040,.F.); #223075=ORIENTED_EDGE('',*,*,#176037,.T.); #223076=ORIENTED_EDGE('',*,*,#176041,.F.); #223077=ORIENTED_EDGE('',*,*,#176042,.T.); #223078=ORIENTED_EDGE('',*,*,#176043,.F.); #223079=ORIENTED_EDGE('',*,*,#176042,.F.); #223080=ORIENTED_EDGE('',*,*,#176044,.F.); #223081=ORIENTED_EDGE('',*,*,#176045,.T.); #223082=ORIENTED_EDGE('',*,*,#176046,.T.); #223083=ORIENTED_EDGE('',*,*,#176045,.F.); #223084=ORIENTED_EDGE('',*,*,#176044,.T.); #223085=ORIENTED_EDGE('',*,*,#176041,.T.); #223086=ORIENTED_EDGE('',*,*,#176046,.F.); #223087=ORIENTED_EDGE('',*,*,#176043,.T.); #223088=ORIENTED_EDGE('',*,*,#176047,.F.); #223089=ORIENTED_EDGE('',*,*,#176048,.T.); #223090=ORIENTED_EDGE('',*,*,#176049,.F.); #223091=ORIENTED_EDGE('',*,*,#176048,.F.); #223092=ORIENTED_EDGE('',*,*,#176050,.F.); #223093=ORIENTED_EDGE('',*,*,#176051,.T.); #223094=ORIENTED_EDGE('',*,*,#176052,.T.); #223095=ORIENTED_EDGE('',*,*,#176051,.F.); #223096=ORIENTED_EDGE('',*,*,#176050,.T.); #223097=ORIENTED_EDGE('',*,*,#176047,.T.); #223098=ORIENTED_EDGE('',*,*,#176052,.F.); #223099=ORIENTED_EDGE('',*,*,#176049,.T.); #223100=ORIENTED_EDGE('',*,*,#176053,.F.); #223101=ORIENTED_EDGE('',*,*,#176054,.T.); #223102=ORIENTED_EDGE('',*,*,#176055,.F.); #223103=ORIENTED_EDGE('',*,*,#176054,.F.); #223104=ORIENTED_EDGE('',*,*,#176056,.F.); #223105=ORIENTED_EDGE('',*,*,#176057,.T.); #223106=ORIENTED_EDGE('',*,*,#176058,.T.); #223107=ORIENTED_EDGE('',*,*,#176057,.F.); #223108=ORIENTED_EDGE('',*,*,#176056,.T.); #223109=ORIENTED_EDGE('',*,*,#176053,.T.); #223110=ORIENTED_EDGE('',*,*,#176058,.F.); #223111=ORIENTED_EDGE('',*,*,#176055,.T.); #223112=ORIENTED_EDGE('',*,*,#176059,.F.); #223113=ORIENTED_EDGE('',*,*,#176060,.T.); #223114=ORIENTED_EDGE('',*,*,#176061,.F.); #223115=ORIENTED_EDGE('',*,*,#176060,.F.); #223116=ORIENTED_EDGE('',*,*,#176062,.F.); #223117=ORIENTED_EDGE('',*,*,#176063,.T.); #223118=ORIENTED_EDGE('',*,*,#176064,.T.); #223119=ORIENTED_EDGE('',*,*,#176063,.F.); #223120=ORIENTED_EDGE('',*,*,#176062,.T.); #223121=ORIENTED_EDGE('',*,*,#176059,.T.); #223122=ORIENTED_EDGE('',*,*,#176064,.F.); #223123=ORIENTED_EDGE('',*,*,#176061,.T.); #223124=ORIENTED_EDGE('',*,*,#176065,.F.); #223125=ORIENTED_EDGE('',*,*,#176066,.T.); #223126=ORIENTED_EDGE('',*,*,#176067,.F.); #223127=ORIENTED_EDGE('',*,*,#176066,.F.); #223128=ORIENTED_EDGE('',*,*,#176068,.F.); #223129=ORIENTED_EDGE('',*,*,#176069,.T.); #223130=ORIENTED_EDGE('',*,*,#176070,.T.); #223131=ORIENTED_EDGE('',*,*,#176069,.F.); #223132=ORIENTED_EDGE('',*,*,#176068,.T.); #223133=ORIENTED_EDGE('',*,*,#176065,.T.); #223134=ORIENTED_EDGE('',*,*,#176070,.F.); #223135=ORIENTED_EDGE('',*,*,#176067,.T.); #223136=ORIENTED_EDGE('',*,*,#176071,.F.); #223137=ORIENTED_EDGE('',*,*,#176072,.T.); #223138=ORIENTED_EDGE('',*,*,#176073,.F.); #223139=ORIENTED_EDGE('',*,*,#176072,.F.); #223140=ORIENTED_EDGE('',*,*,#176074,.F.); #223141=ORIENTED_EDGE('',*,*,#176075,.T.); #223142=ORIENTED_EDGE('',*,*,#176076,.T.); #223143=ORIENTED_EDGE('',*,*,#176075,.F.); #223144=ORIENTED_EDGE('',*,*,#176074,.T.); #223145=ORIENTED_EDGE('',*,*,#176071,.T.); #223146=ORIENTED_EDGE('',*,*,#176076,.F.); #223147=ORIENTED_EDGE('',*,*,#176073,.T.); #223148=ORIENTED_EDGE('',*,*,#176077,.F.); #223149=ORIENTED_EDGE('',*,*,#176078,.T.); #223150=ORIENTED_EDGE('',*,*,#176079,.F.); #223151=ORIENTED_EDGE('',*,*,#176078,.F.); #223152=ORIENTED_EDGE('',*,*,#176080,.F.); #223153=ORIENTED_EDGE('',*,*,#176081,.T.); #223154=ORIENTED_EDGE('',*,*,#176082,.T.); #223155=ORIENTED_EDGE('',*,*,#176081,.F.); #223156=ORIENTED_EDGE('',*,*,#176080,.T.); #223157=ORIENTED_EDGE('',*,*,#176077,.T.); #223158=ORIENTED_EDGE('',*,*,#176082,.F.); #223159=ORIENTED_EDGE('',*,*,#176079,.T.); #223160=ORIENTED_EDGE('',*,*,#176083,.F.); #223161=ORIENTED_EDGE('',*,*,#176084,.T.); #223162=ORIENTED_EDGE('',*,*,#176085,.F.); #223163=ORIENTED_EDGE('',*,*,#176084,.F.); #223164=ORIENTED_EDGE('',*,*,#176086,.F.); #223165=ORIENTED_EDGE('',*,*,#176087,.T.); #223166=ORIENTED_EDGE('',*,*,#176088,.T.); #223167=ORIENTED_EDGE('',*,*,#176087,.F.); #223168=ORIENTED_EDGE('',*,*,#176086,.T.); #223169=ORIENTED_EDGE('',*,*,#176083,.T.); #223170=ORIENTED_EDGE('',*,*,#176088,.F.); #223171=ORIENTED_EDGE('',*,*,#176085,.T.); #223172=ORIENTED_EDGE('',*,*,#176089,.F.); #223173=ORIENTED_EDGE('',*,*,#176090,.T.); #223174=ORIENTED_EDGE('',*,*,#176091,.F.); #223175=ORIENTED_EDGE('',*,*,#176090,.F.); #223176=ORIENTED_EDGE('',*,*,#176092,.F.); #223177=ORIENTED_EDGE('',*,*,#176093,.T.); #223178=ORIENTED_EDGE('',*,*,#176094,.T.); #223179=ORIENTED_EDGE('',*,*,#176093,.F.); #223180=ORIENTED_EDGE('',*,*,#176092,.T.); #223181=ORIENTED_EDGE('',*,*,#176089,.T.); #223182=ORIENTED_EDGE('',*,*,#176094,.F.); #223183=ORIENTED_EDGE('',*,*,#176091,.T.); #223184=ORIENTED_EDGE('',*,*,#176095,.F.); #223185=ORIENTED_EDGE('',*,*,#176096,.T.); #223186=ORIENTED_EDGE('',*,*,#176097,.F.); #223187=ORIENTED_EDGE('',*,*,#176096,.F.); #223188=ORIENTED_EDGE('',*,*,#176098,.F.); #223189=ORIENTED_EDGE('',*,*,#176099,.T.); #223190=ORIENTED_EDGE('',*,*,#176100,.T.); #223191=ORIENTED_EDGE('',*,*,#176099,.F.); #223192=ORIENTED_EDGE('',*,*,#176098,.T.); #223193=ORIENTED_EDGE('',*,*,#176095,.T.); #223194=ORIENTED_EDGE('',*,*,#176100,.F.); #223195=ORIENTED_EDGE('',*,*,#176097,.T.); #223196=ORIENTED_EDGE('',*,*,#176101,.F.); #223197=ORIENTED_EDGE('',*,*,#176102,.T.); #223198=ORIENTED_EDGE('',*,*,#176103,.F.); #223199=ORIENTED_EDGE('',*,*,#176102,.F.); #223200=ORIENTED_EDGE('',*,*,#176104,.F.); #223201=ORIENTED_EDGE('',*,*,#176105,.T.); #223202=ORIENTED_EDGE('',*,*,#176106,.T.); #223203=ORIENTED_EDGE('',*,*,#176105,.F.); #223204=ORIENTED_EDGE('',*,*,#176104,.T.); #223205=ORIENTED_EDGE('',*,*,#176101,.T.); #223206=ORIENTED_EDGE('',*,*,#176106,.F.); #223207=ORIENTED_EDGE('',*,*,#176103,.T.); #223208=ORIENTED_EDGE('',*,*,#176107,.F.); #223209=ORIENTED_EDGE('',*,*,#176108,.T.); #223210=ORIENTED_EDGE('',*,*,#176109,.F.); #223211=ORIENTED_EDGE('',*,*,#176108,.F.); #223212=ORIENTED_EDGE('',*,*,#176110,.F.); #223213=ORIENTED_EDGE('',*,*,#176111,.T.); #223214=ORIENTED_EDGE('',*,*,#176112,.T.); #223215=ORIENTED_EDGE('',*,*,#176111,.F.); #223216=ORIENTED_EDGE('',*,*,#176110,.T.); #223217=ORIENTED_EDGE('',*,*,#176107,.T.); #223218=ORIENTED_EDGE('',*,*,#176112,.F.); #223219=ORIENTED_EDGE('',*,*,#176109,.T.); #223220=ORIENTED_EDGE('',*,*,#176113,.F.); #223221=ORIENTED_EDGE('',*,*,#176114,.T.); #223222=ORIENTED_EDGE('',*,*,#176115,.F.); #223223=ORIENTED_EDGE('',*,*,#176114,.F.); #223224=ORIENTED_EDGE('',*,*,#176116,.F.); #223225=ORIENTED_EDGE('',*,*,#176117,.T.); #223226=ORIENTED_EDGE('',*,*,#176118,.T.); #223227=ORIENTED_EDGE('',*,*,#176117,.F.); #223228=ORIENTED_EDGE('',*,*,#176116,.T.); #223229=ORIENTED_EDGE('',*,*,#176113,.T.); #223230=ORIENTED_EDGE('',*,*,#176118,.F.); #223231=ORIENTED_EDGE('',*,*,#176115,.T.); #223232=ORIENTED_EDGE('',*,*,#176119,.F.); #223233=ORIENTED_EDGE('',*,*,#176120,.T.); #223234=ORIENTED_EDGE('',*,*,#176121,.F.); #223235=ORIENTED_EDGE('',*,*,#176120,.F.); #223236=ORIENTED_EDGE('',*,*,#176122,.F.); #223237=ORIENTED_EDGE('',*,*,#176123,.T.); #223238=ORIENTED_EDGE('',*,*,#176124,.T.); #223239=ORIENTED_EDGE('',*,*,#176123,.F.); #223240=ORIENTED_EDGE('',*,*,#176122,.T.); #223241=ORIENTED_EDGE('',*,*,#176119,.T.); #223242=ORIENTED_EDGE('',*,*,#176124,.F.); #223243=ORIENTED_EDGE('',*,*,#176121,.T.); #223244=ORIENTED_EDGE('',*,*,#176125,.F.); #223245=ORIENTED_EDGE('',*,*,#176126,.T.); #223246=ORIENTED_EDGE('',*,*,#176127,.F.); #223247=ORIENTED_EDGE('',*,*,#176126,.F.); #223248=ORIENTED_EDGE('',*,*,#176128,.F.); #223249=ORIENTED_EDGE('',*,*,#176129,.T.); #223250=ORIENTED_EDGE('',*,*,#176130,.T.); #223251=ORIENTED_EDGE('',*,*,#176129,.F.); #223252=ORIENTED_EDGE('',*,*,#176128,.T.); #223253=ORIENTED_EDGE('',*,*,#176125,.T.); #223254=ORIENTED_EDGE('',*,*,#176130,.F.); #223255=ORIENTED_EDGE('',*,*,#176127,.T.); #223256=ORIENTED_EDGE('',*,*,#176131,.F.); #223257=ORIENTED_EDGE('',*,*,#176132,.T.); #223258=ORIENTED_EDGE('',*,*,#176133,.F.); #223259=ORIENTED_EDGE('',*,*,#176132,.F.); #223260=ORIENTED_EDGE('',*,*,#176134,.F.); #223261=ORIENTED_EDGE('',*,*,#176135,.T.); #223262=ORIENTED_EDGE('',*,*,#176136,.T.); #223263=ORIENTED_EDGE('',*,*,#176135,.F.); #223264=ORIENTED_EDGE('',*,*,#176134,.T.); #223265=ORIENTED_EDGE('',*,*,#176131,.T.); #223266=ORIENTED_EDGE('',*,*,#176136,.F.); #223267=ORIENTED_EDGE('',*,*,#176133,.T.); #223268=ORIENTED_EDGE('',*,*,#176137,.F.); #223269=ORIENTED_EDGE('',*,*,#176138,.T.); #223270=ORIENTED_EDGE('',*,*,#176139,.F.); #223271=ORIENTED_EDGE('',*,*,#176138,.F.); #223272=ORIENTED_EDGE('',*,*,#176140,.F.); #223273=ORIENTED_EDGE('',*,*,#176141,.T.); #223274=ORIENTED_EDGE('',*,*,#176142,.T.); #223275=ORIENTED_EDGE('',*,*,#176141,.F.); #223276=ORIENTED_EDGE('',*,*,#176140,.T.); #223277=ORIENTED_EDGE('',*,*,#176137,.T.); #223278=ORIENTED_EDGE('',*,*,#176142,.F.); #223279=ORIENTED_EDGE('',*,*,#176139,.T.); #223280=ORIENTED_EDGE('',*,*,#176143,.F.); #223281=ORIENTED_EDGE('',*,*,#176144,.T.); #223282=ORIENTED_EDGE('',*,*,#176145,.F.); #223283=ORIENTED_EDGE('',*,*,#176144,.F.); #223284=ORIENTED_EDGE('',*,*,#176146,.F.); #223285=ORIENTED_EDGE('',*,*,#176147,.T.); #223286=ORIENTED_EDGE('',*,*,#176148,.T.); #223287=ORIENTED_EDGE('',*,*,#176147,.F.); #223288=ORIENTED_EDGE('',*,*,#176146,.T.); #223289=ORIENTED_EDGE('',*,*,#176143,.T.); #223290=ORIENTED_EDGE('',*,*,#176148,.F.); #223291=ORIENTED_EDGE('',*,*,#176145,.T.); #223292=ORIENTED_EDGE('',*,*,#176149,.F.); #223293=ORIENTED_EDGE('',*,*,#176150,.T.); #223294=ORIENTED_EDGE('',*,*,#176151,.F.); #223295=ORIENTED_EDGE('',*,*,#176150,.F.); #223296=ORIENTED_EDGE('',*,*,#176152,.F.); #223297=ORIENTED_EDGE('',*,*,#176153,.T.); #223298=ORIENTED_EDGE('',*,*,#176154,.T.); #223299=ORIENTED_EDGE('',*,*,#176153,.F.); #223300=ORIENTED_EDGE('',*,*,#176152,.T.); #223301=ORIENTED_EDGE('',*,*,#176149,.T.); #223302=ORIENTED_EDGE('',*,*,#176154,.F.); #223303=ORIENTED_EDGE('',*,*,#176151,.T.); #223304=ORIENTED_EDGE('',*,*,#176155,.F.); #223305=ORIENTED_EDGE('',*,*,#176156,.T.); #223306=ORIENTED_EDGE('',*,*,#176157,.F.); #223307=ORIENTED_EDGE('',*,*,#176156,.F.); #223308=ORIENTED_EDGE('',*,*,#176158,.F.); #223309=ORIENTED_EDGE('',*,*,#176159,.T.); #223310=ORIENTED_EDGE('',*,*,#176160,.T.); #223311=ORIENTED_EDGE('',*,*,#176159,.F.); #223312=ORIENTED_EDGE('',*,*,#176158,.T.); #223313=ORIENTED_EDGE('',*,*,#176155,.T.); #223314=ORIENTED_EDGE('',*,*,#176160,.F.); #223315=ORIENTED_EDGE('',*,*,#176157,.T.); #223316=ORIENTED_EDGE('',*,*,#176161,.F.); #223317=ORIENTED_EDGE('',*,*,#176162,.T.); #223318=ORIENTED_EDGE('',*,*,#176163,.F.); #223319=ORIENTED_EDGE('',*,*,#176162,.F.); #223320=ORIENTED_EDGE('',*,*,#176164,.F.); #223321=ORIENTED_EDGE('',*,*,#176165,.T.); #223322=ORIENTED_EDGE('',*,*,#176166,.T.); #223323=ORIENTED_EDGE('',*,*,#176165,.F.); #223324=ORIENTED_EDGE('',*,*,#176164,.T.); #223325=ORIENTED_EDGE('',*,*,#176161,.T.); #223326=ORIENTED_EDGE('',*,*,#176166,.F.); #223327=ORIENTED_EDGE('',*,*,#176163,.T.); #223328=ORIENTED_EDGE('',*,*,#176167,.F.); #223329=ORIENTED_EDGE('',*,*,#176168,.T.); #223330=ORIENTED_EDGE('',*,*,#176169,.F.); #223331=ORIENTED_EDGE('',*,*,#176168,.F.); #223332=ORIENTED_EDGE('',*,*,#176170,.F.); #223333=ORIENTED_EDGE('',*,*,#176171,.T.); #223334=ORIENTED_EDGE('',*,*,#176172,.T.); #223335=ORIENTED_EDGE('',*,*,#176171,.F.); #223336=ORIENTED_EDGE('',*,*,#176170,.T.); #223337=ORIENTED_EDGE('',*,*,#176167,.T.); #223338=ORIENTED_EDGE('',*,*,#176172,.F.); #223339=ORIENTED_EDGE('',*,*,#176169,.T.); #223340=ORIENTED_EDGE('',*,*,#176173,.F.); #223341=ORIENTED_EDGE('',*,*,#176174,.T.); #223342=ORIENTED_EDGE('',*,*,#176175,.F.); #223343=ORIENTED_EDGE('',*,*,#176174,.F.); #223344=ORIENTED_EDGE('',*,*,#176176,.F.); #223345=ORIENTED_EDGE('',*,*,#176177,.T.); #223346=ORIENTED_EDGE('',*,*,#176178,.T.); #223347=ORIENTED_EDGE('',*,*,#176177,.F.); #223348=ORIENTED_EDGE('',*,*,#176176,.T.); #223349=ORIENTED_EDGE('',*,*,#176173,.T.); #223350=ORIENTED_EDGE('',*,*,#176178,.F.); #223351=ORIENTED_EDGE('',*,*,#176175,.T.); #223352=ORIENTED_EDGE('',*,*,#176179,.F.); #223353=ORIENTED_EDGE('',*,*,#176180,.T.); #223354=ORIENTED_EDGE('',*,*,#176181,.F.); #223355=ORIENTED_EDGE('',*,*,#176180,.F.); #223356=ORIENTED_EDGE('',*,*,#176182,.F.); #223357=ORIENTED_EDGE('',*,*,#176183,.T.); #223358=ORIENTED_EDGE('',*,*,#176184,.T.); #223359=ORIENTED_EDGE('',*,*,#176183,.F.); #223360=ORIENTED_EDGE('',*,*,#176182,.T.); #223361=ORIENTED_EDGE('',*,*,#176179,.T.); #223362=ORIENTED_EDGE('',*,*,#176184,.F.); #223363=ORIENTED_EDGE('',*,*,#176181,.T.); #223364=ORIENTED_EDGE('',*,*,#176185,.F.); #223365=ORIENTED_EDGE('',*,*,#176186,.T.); #223366=ORIENTED_EDGE('',*,*,#176187,.F.); #223367=ORIENTED_EDGE('',*,*,#176186,.F.); #223368=ORIENTED_EDGE('',*,*,#176188,.F.); #223369=ORIENTED_EDGE('',*,*,#176189,.T.); #223370=ORIENTED_EDGE('',*,*,#176190,.T.); #223371=ORIENTED_EDGE('',*,*,#176189,.F.); #223372=ORIENTED_EDGE('',*,*,#176188,.T.); #223373=ORIENTED_EDGE('',*,*,#176185,.T.); #223374=ORIENTED_EDGE('',*,*,#176190,.F.); #223375=ORIENTED_EDGE('',*,*,#176187,.T.); #223376=ORIENTED_EDGE('',*,*,#176191,.F.); #223377=ORIENTED_EDGE('',*,*,#176192,.T.); #223378=ORIENTED_EDGE('',*,*,#176193,.F.); #223379=ORIENTED_EDGE('',*,*,#176192,.F.); #223380=ORIENTED_EDGE('',*,*,#176194,.F.); #223381=ORIENTED_EDGE('',*,*,#176195,.T.); #223382=ORIENTED_EDGE('',*,*,#176196,.T.); #223383=ORIENTED_EDGE('',*,*,#176195,.F.); #223384=ORIENTED_EDGE('',*,*,#176194,.T.); #223385=ORIENTED_EDGE('',*,*,#176191,.T.); #223386=ORIENTED_EDGE('',*,*,#176196,.F.); #223387=ORIENTED_EDGE('',*,*,#176193,.T.); #223388=ORIENTED_EDGE('',*,*,#176197,.F.); #223389=ORIENTED_EDGE('',*,*,#176198,.T.); #223390=ORIENTED_EDGE('',*,*,#176199,.F.); #223391=ORIENTED_EDGE('',*,*,#176198,.F.); #223392=ORIENTED_EDGE('',*,*,#176200,.F.); #223393=ORIENTED_EDGE('',*,*,#176201,.T.); #223394=ORIENTED_EDGE('',*,*,#176202,.T.); #223395=ORIENTED_EDGE('',*,*,#176201,.F.); #223396=ORIENTED_EDGE('',*,*,#176200,.T.); #223397=ORIENTED_EDGE('',*,*,#176197,.T.); #223398=ORIENTED_EDGE('',*,*,#176202,.F.); #223399=ORIENTED_EDGE('',*,*,#176199,.T.); #223400=ORIENTED_EDGE('',*,*,#176203,.F.); #223401=ORIENTED_EDGE('',*,*,#176204,.T.); #223402=ORIENTED_EDGE('',*,*,#176205,.F.); #223403=ORIENTED_EDGE('',*,*,#176204,.F.); #223404=ORIENTED_EDGE('',*,*,#176206,.F.); #223405=ORIENTED_EDGE('',*,*,#176207,.T.); #223406=ORIENTED_EDGE('',*,*,#176208,.T.); #223407=ORIENTED_EDGE('',*,*,#176207,.F.); #223408=ORIENTED_EDGE('',*,*,#176206,.T.); #223409=ORIENTED_EDGE('',*,*,#176203,.T.); #223410=ORIENTED_EDGE('',*,*,#176208,.F.); #223411=ORIENTED_EDGE('',*,*,#176205,.T.); #223412=ORIENTED_EDGE('',*,*,#176209,.F.); #223413=ORIENTED_EDGE('',*,*,#176210,.T.); #223414=ORIENTED_EDGE('',*,*,#176211,.F.); #223415=ORIENTED_EDGE('',*,*,#176210,.F.); #223416=ORIENTED_EDGE('',*,*,#176212,.F.); #223417=ORIENTED_EDGE('',*,*,#176213,.T.); #223418=ORIENTED_EDGE('',*,*,#176214,.T.); #223419=ORIENTED_EDGE('',*,*,#176213,.F.); #223420=ORIENTED_EDGE('',*,*,#176212,.T.); #223421=ORIENTED_EDGE('',*,*,#176209,.T.); #223422=ORIENTED_EDGE('',*,*,#176214,.F.); #223423=ORIENTED_EDGE('',*,*,#176211,.T.); #223424=ORIENTED_EDGE('',*,*,#176215,.F.); #223425=ORIENTED_EDGE('',*,*,#176216,.T.); #223426=ORIENTED_EDGE('',*,*,#176217,.F.); #223427=ORIENTED_EDGE('',*,*,#176216,.F.); #223428=ORIENTED_EDGE('',*,*,#176218,.F.); #223429=ORIENTED_EDGE('',*,*,#176219,.T.); #223430=ORIENTED_EDGE('',*,*,#176220,.T.); #223431=ORIENTED_EDGE('',*,*,#176219,.F.); #223432=ORIENTED_EDGE('',*,*,#176218,.T.); #223433=ORIENTED_EDGE('',*,*,#176215,.T.); #223434=ORIENTED_EDGE('',*,*,#176220,.F.); #223435=ORIENTED_EDGE('',*,*,#176217,.T.); #223436=ORIENTED_EDGE('',*,*,#176221,.F.); #223437=ORIENTED_EDGE('',*,*,#176222,.T.); #223438=ORIENTED_EDGE('',*,*,#176223,.F.); #223439=ORIENTED_EDGE('',*,*,#176222,.F.); #223440=ORIENTED_EDGE('',*,*,#176224,.F.); #223441=ORIENTED_EDGE('',*,*,#176225,.T.); #223442=ORIENTED_EDGE('',*,*,#176226,.T.); #223443=ORIENTED_EDGE('',*,*,#176225,.F.); #223444=ORIENTED_EDGE('',*,*,#176224,.T.); #223445=ORIENTED_EDGE('',*,*,#176221,.T.); #223446=ORIENTED_EDGE('',*,*,#176226,.F.); #223447=ORIENTED_EDGE('',*,*,#176223,.T.); #223448=ORIENTED_EDGE('',*,*,#176227,.F.); #223449=ORIENTED_EDGE('',*,*,#176228,.T.); #223450=ORIENTED_EDGE('',*,*,#176229,.F.); #223451=ORIENTED_EDGE('',*,*,#176228,.F.); #223452=ORIENTED_EDGE('',*,*,#176230,.F.); #223453=ORIENTED_EDGE('',*,*,#176231,.T.); #223454=ORIENTED_EDGE('',*,*,#176232,.T.); #223455=ORIENTED_EDGE('',*,*,#176231,.F.); #223456=ORIENTED_EDGE('',*,*,#176230,.T.); #223457=ORIENTED_EDGE('',*,*,#176227,.T.); #223458=ORIENTED_EDGE('',*,*,#176232,.F.); #223459=ORIENTED_EDGE('',*,*,#176229,.T.); #223460=ORIENTED_EDGE('',*,*,#176233,.F.); #223461=ORIENTED_EDGE('',*,*,#176234,.T.); #223462=ORIENTED_EDGE('',*,*,#176235,.F.); #223463=ORIENTED_EDGE('',*,*,#176234,.F.); #223464=ORIENTED_EDGE('',*,*,#176236,.F.); #223465=ORIENTED_EDGE('',*,*,#176237,.T.); #223466=ORIENTED_EDGE('',*,*,#176238,.T.); #223467=ORIENTED_EDGE('',*,*,#176237,.F.); #223468=ORIENTED_EDGE('',*,*,#176236,.T.); #223469=ORIENTED_EDGE('',*,*,#176233,.T.); #223470=ORIENTED_EDGE('',*,*,#176238,.F.); #223471=ORIENTED_EDGE('',*,*,#176235,.T.); #223472=ORIENTED_EDGE('',*,*,#176239,.F.); #223473=ORIENTED_EDGE('',*,*,#176240,.T.); #223474=ORIENTED_EDGE('',*,*,#176241,.F.); #223475=ORIENTED_EDGE('',*,*,#176240,.F.); #223476=ORIENTED_EDGE('',*,*,#176242,.F.); #223477=ORIENTED_EDGE('',*,*,#176243,.T.); #223478=ORIENTED_EDGE('',*,*,#176244,.T.); #223479=ORIENTED_EDGE('',*,*,#176243,.F.); #223480=ORIENTED_EDGE('',*,*,#176242,.T.); #223481=ORIENTED_EDGE('',*,*,#176239,.T.); #223482=ORIENTED_EDGE('',*,*,#176244,.F.); #223483=ORIENTED_EDGE('',*,*,#176241,.T.); #223484=ORIENTED_EDGE('',*,*,#176245,.F.); #223485=ORIENTED_EDGE('',*,*,#176246,.T.); #223486=ORIENTED_EDGE('',*,*,#176247,.F.); #223487=ORIENTED_EDGE('',*,*,#176246,.F.); #223488=ORIENTED_EDGE('',*,*,#176248,.F.); #223489=ORIENTED_EDGE('',*,*,#176249,.T.); #223490=ORIENTED_EDGE('',*,*,#176250,.T.); #223491=ORIENTED_EDGE('',*,*,#176249,.F.); #223492=ORIENTED_EDGE('',*,*,#176248,.T.); #223493=ORIENTED_EDGE('',*,*,#176245,.T.); #223494=ORIENTED_EDGE('',*,*,#176250,.F.); #223495=ORIENTED_EDGE('',*,*,#176247,.T.); #223496=ORIENTED_EDGE('',*,*,#176251,.F.); #223497=ORIENTED_EDGE('',*,*,#176252,.T.); #223498=ORIENTED_EDGE('',*,*,#176253,.F.); #223499=ORIENTED_EDGE('',*,*,#176252,.F.); #223500=ORIENTED_EDGE('',*,*,#176254,.F.); #223501=ORIENTED_EDGE('',*,*,#176255,.T.); #223502=ORIENTED_EDGE('',*,*,#176256,.T.); #223503=ORIENTED_EDGE('',*,*,#176255,.F.); #223504=ORIENTED_EDGE('',*,*,#176254,.T.); #223505=ORIENTED_EDGE('',*,*,#176251,.T.); #223506=ORIENTED_EDGE('',*,*,#176256,.F.); #223507=ORIENTED_EDGE('',*,*,#176253,.T.); #223508=ORIENTED_EDGE('',*,*,#176257,.F.); #223509=ORIENTED_EDGE('',*,*,#176258,.T.); #223510=ORIENTED_EDGE('',*,*,#176259,.F.); #223511=ORIENTED_EDGE('',*,*,#176258,.F.); #223512=ORIENTED_EDGE('',*,*,#176260,.F.); #223513=ORIENTED_EDGE('',*,*,#176261,.T.); #223514=ORIENTED_EDGE('',*,*,#176262,.T.); #223515=ORIENTED_EDGE('',*,*,#176261,.F.); #223516=ORIENTED_EDGE('',*,*,#176260,.T.); #223517=ORIENTED_EDGE('',*,*,#176257,.T.); #223518=ORIENTED_EDGE('',*,*,#176262,.F.); #223519=ORIENTED_EDGE('',*,*,#176259,.T.); #223520=ORIENTED_EDGE('',*,*,#176263,.F.); #223521=ORIENTED_EDGE('',*,*,#176264,.T.); #223522=ORIENTED_EDGE('',*,*,#176265,.F.); #223523=ORIENTED_EDGE('',*,*,#176264,.F.); #223524=ORIENTED_EDGE('',*,*,#176266,.F.); #223525=ORIENTED_EDGE('',*,*,#176267,.T.); #223526=ORIENTED_EDGE('',*,*,#176268,.T.); #223527=ORIENTED_EDGE('',*,*,#176267,.F.); #223528=ORIENTED_EDGE('',*,*,#176266,.T.); #223529=ORIENTED_EDGE('',*,*,#176263,.T.); #223530=ORIENTED_EDGE('',*,*,#176268,.F.); #223531=ORIENTED_EDGE('',*,*,#176265,.T.); #223532=ORIENTED_EDGE('',*,*,#176269,.F.); #223533=ORIENTED_EDGE('',*,*,#176270,.T.); #223534=ORIENTED_EDGE('',*,*,#176271,.F.); #223535=ORIENTED_EDGE('',*,*,#176270,.F.); #223536=ORIENTED_EDGE('',*,*,#176272,.F.); #223537=ORIENTED_EDGE('',*,*,#176273,.T.); #223538=ORIENTED_EDGE('',*,*,#176274,.T.); #223539=ORIENTED_EDGE('',*,*,#176273,.F.); #223540=ORIENTED_EDGE('',*,*,#176272,.T.); #223541=ORIENTED_EDGE('',*,*,#176269,.T.); #223542=ORIENTED_EDGE('',*,*,#176274,.F.); #223543=ORIENTED_EDGE('',*,*,#176271,.T.); #223544=ORIENTED_EDGE('',*,*,#176275,.F.); #223545=ORIENTED_EDGE('',*,*,#176276,.T.); #223546=ORIENTED_EDGE('',*,*,#176277,.F.); #223547=ORIENTED_EDGE('',*,*,#176276,.F.); #223548=ORIENTED_EDGE('',*,*,#176278,.F.); #223549=ORIENTED_EDGE('',*,*,#176279,.T.); #223550=ORIENTED_EDGE('',*,*,#176280,.T.); #223551=ORIENTED_EDGE('',*,*,#176279,.F.); #223552=ORIENTED_EDGE('',*,*,#176278,.T.); #223553=ORIENTED_EDGE('',*,*,#176275,.T.); #223554=ORIENTED_EDGE('',*,*,#176280,.F.); #223555=ORIENTED_EDGE('',*,*,#176277,.T.); #223556=ORIENTED_EDGE('',*,*,#176281,.F.); #223557=ORIENTED_EDGE('',*,*,#176282,.T.); #223558=ORIENTED_EDGE('',*,*,#176283,.F.); #223559=ORIENTED_EDGE('',*,*,#176282,.F.); #223560=ORIENTED_EDGE('',*,*,#176284,.F.); #223561=ORIENTED_EDGE('',*,*,#176285,.T.); #223562=ORIENTED_EDGE('',*,*,#176286,.T.); #223563=ORIENTED_EDGE('',*,*,#176285,.F.); #223564=ORIENTED_EDGE('',*,*,#176284,.T.); #223565=ORIENTED_EDGE('',*,*,#176281,.T.); #223566=ORIENTED_EDGE('',*,*,#176286,.F.); #223567=ORIENTED_EDGE('',*,*,#176283,.T.); #223568=ORIENTED_EDGE('',*,*,#176287,.F.); #223569=ORIENTED_EDGE('',*,*,#176288,.T.); #223570=ORIENTED_EDGE('',*,*,#176289,.F.); #223571=ORIENTED_EDGE('',*,*,#176288,.F.); #223572=ORIENTED_EDGE('',*,*,#176290,.F.); #223573=ORIENTED_EDGE('',*,*,#176291,.T.); #223574=ORIENTED_EDGE('',*,*,#176292,.T.); #223575=ORIENTED_EDGE('',*,*,#176291,.F.); #223576=ORIENTED_EDGE('',*,*,#176290,.T.); #223577=ORIENTED_EDGE('',*,*,#176287,.T.); #223578=ORIENTED_EDGE('',*,*,#176292,.F.); #223579=ORIENTED_EDGE('',*,*,#176289,.T.); #223580=ORIENTED_EDGE('',*,*,#176293,.F.); #223581=ORIENTED_EDGE('',*,*,#176294,.T.); #223582=ORIENTED_EDGE('',*,*,#176295,.F.); #223583=ORIENTED_EDGE('',*,*,#176294,.F.); #223584=ORIENTED_EDGE('',*,*,#176296,.F.); #223585=ORIENTED_EDGE('',*,*,#176297,.T.); #223586=ORIENTED_EDGE('',*,*,#176298,.T.); #223587=ORIENTED_EDGE('',*,*,#176297,.F.); #223588=ORIENTED_EDGE('',*,*,#176296,.T.); #223589=ORIENTED_EDGE('',*,*,#176293,.T.); #223590=ORIENTED_EDGE('',*,*,#176298,.F.); #223591=ORIENTED_EDGE('',*,*,#176295,.T.); #223592=ORIENTED_EDGE('',*,*,#176299,.F.); #223593=ORIENTED_EDGE('',*,*,#176300,.T.); #223594=ORIENTED_EDGE('',*,*,#176301,.F.); #223595=ORIENTED_EDGE('',*,*,#176300,.F.); #223596=ORIENTED_EDGE('',*,*,#176302,.F.); #223597=ORIENTED_EDGE('',*,*,#176303,.T.); #223598=ORIENTED_EDGE('',*,*,#176304,.T.); #223599=ORIENTED_EDGE('',*,*,#176303,.F.); #223600=ORIENTED_EDGE('',*,*,#176302,.T.); #223601=ORIENTED_EDGE('',*,*,#176299,.T.); #223602=ORIENTED_EDGE('',*,*,#176304,.F.); #223603=ORIENTED_EDGE('',*,*,#176301,.T.); #223604=ORIENTED_EDGE('',*,*,#176305,.F.); #223605=ORIENTED_EDGE('',*,*,#176306,.T.); #223606=ORIENTED_EDGE('',*,*,#176307,.F.); #223607=ORIENTED_EDGE('',*,*,#176306,.F.); #223608=ORIENTED_EDGE('',*,*,#176308,.F.); #223609=ORIENTED_EDGE('',*,*,#176309,.T.); #223610=ORIENTED_EDGE('',*,*,#176310,.T.); #223611=ORIENTED_EDGE('',*,*,#176309,.F.); #223612=ORIENTED_EDGE('',*,*,#176308,.T.); #223613=ORIENTED_EDGE('',*,*,#176305,.T.); #223614=ORIENTED_EDGE('',*,*,#176310,.F.); #223615=ORIENTED_EDGE('',*,*,#176307,.T.); #223616=ORIENTED_EDGE('',*,*,#176311,.F.); #223617=ORIENTED_EDGE('',*,*,#176312,.T.); #223618=ORIENTED_EDGE('',*,*,#176313,.F.); #223619=ORIENTED_EDGE('',*,*,#176312,.F.); #223620=ORIENTED_EDGE('',*,*,#176314,.F.); #223621=ORIENTED_EDGE('',*,*,#176315,.T.); #223622=ORIENTED_EDGE('',*,*,#176316,.T.); #223623=ORIENTED_EDGE('',*,*,#176315,.F.); #223624=ORIENTED_EDGE('',*,*,#176314,.T.); #223625=ORIENTED_EDGE('',*,*,#176311,.T.); #223626=ORIENTED_EDGE('',*,*,#176316,.F.); #223627=ORIENTED_EDGE('',*,*,#176313,.T.); #223628=ORIENTED_EDGE('',*,*,#176317,.F.); #223629=ORIENTED_EDGE('',*,*,#176318,.T.); #223630=ORIENTED_EDGE('',*,*,#176319,.F.); #223631=ORIENTED_EDGE('',*,*,#176318,.F.); #223632=ORIENTED_EDGE('',*,*,#176320,.F.); #223633=ORIENTED_EDGE('',*,*,#176321,.T.); #223634=ORIENTED_EDGE('',*,*,#176322,.T.); #223635=ORIENTED_EDGE('',*,*,#176321,.F.); #223636=ORIENTED_EDGE('',*,*,#176320,.T.); #223637=ORIENTED_EDGE('',*,*,#176317,.T.); #223638=ORIENTED_EDGE('',*,*,#176322,.F.); #223639=ORIENTED_EDGE('',*,*,#176319,.T.); #223640=ORIENTED_EDGE('',*,*,#176323,.F.); #223641=ORIENTED_EDGE('',*,*,#176324,.T.); #223642=ORIENTED_EDGE('',*,*,#176325,.F.); #223643=ORIENTED_EDGE('',*,*,#176324,.F.); #223644=ORIENTED_EDGE('',*,*,#176326,.F.); #223645=ORIENTED_EDGE('',*,*,#176327,.T.); #223646=ORIENTED_EDGE('',*,*,#176328,.T.); #223647=ORIENTED_EDGE('',*,*,#176327,.F.); #223648=ORIENTED_EDGE('',*,*,#176326,.T.); #223649=ORIENTED_EDGE('',*,*,#176323,.T.); #223650=ORIENTED_EDGE('',*,*,#176328,.F.); #223651=ORIENTED_EDGE('',*,*,#176325,.T.); #223652=ORIENTED_EDGE('',*,*,#176329,.F.); #223653=ORIENTED_EDGE('',*,*,#176330,.T.); #223654=ORIENTED_EDGE('',*,*,#176331,.F.); #223655=ORIENTED_EDGE('',*,*,#176330,.F.); #223656=ORIENTED_EDGE('',*,*,#176332,.F.); #223657=ORIENTED_EDGE('',*,*,#176333,.T.); #223658=ORIENTED_EDGE('',*,*,#176334,.T.); #223659=ORIENTED_EDGE('',*,*,#176333,.F.); #223660=ORIENTED_EDGE('',*,*,#176332,.T.); #223661=ORIENTED_EDGE('',*,*,#176329,.T.); #223662=ORIENTED_EDGE('',*,*,#176334,.F.); #223663=ORIENTED_EDGE('',*,*,#176331,.T.); #223664=ORIENTED_EDGE('',*,*,#176335,.F.); #223665=ORIENTED_EDGE('',*,*,#176336,.T.); #223666=ORIENTED_EDGE('',*,*,#176337,.F.); #223667=ORIENTED_EDGE('',*,*,#176336,.F.); #223668=ORIENTED_EDGE('',*,*,#176338,.F.); #223669=ORIENTED_EDGE('',*,*,#176339,.T.); #223670=ORIENTED_EDGE('',*,*,#176340,.T.); #223671=ORIENTED_EDGE('',*,*,#176339,.F.); #223672=ORIENTED_EDGE('',*,*,#176338,.T.); #223673=ORIENTED_EDGE('',*,*,#176335,.T.); #223674=ORIENTED_EDGE('',*,*,#176340,.F.); #223675=ORIENTED_EDGE('',*,*,#176337,.T.); #223676=ORIENTED_EDGE('',*,*,#176341,.F.); #223677=ORIENTED_EDGE('',*,*,#176342,.T.); #223678=ORIENTED_EDGE('',*,*,#176343,.F.); #223679=ORIENTED_EDGE('',*,*,#176342,.F.); #223680=ORIENTED_EDGE('',*,*,#176344,.F.); #223681=ORIENTED_EDGE('',*,*,#176345,.T.); #223682=ORIENTED_EDGE('',*,*,#176346,.T.); #223683=ORIENTED_EDGE('',*,*,#176345,.F.); #223684=ORIENTED_EDGE('',*,*,#176344,.T.); #223685=ORIENTED_EDGE('',*,*,#176341,.T.); #223686=ORIENTED_EDGE('',*,*,#176346,.F.); #223687=ORIENTED_EDGE('',*,*,#176343,.T.); #223688=ORIENTED_EDGE('',*,*,#176347,.F.); #223689=ORIENTED_EDGE('',*,*,#176348,.T.); #223690=ORIENTED_EDGE('',*,*,#176349,.F.); #223691=ORIENTED_EDGE('',*,*,#176348,.F.); #223692=ORIENTED_EDGE('',*,*,#176350,.F.); #223693=ORIENTED_EDGE('',*,*,#176351,.T.); #223694=ORIENTED_EDGE('',*,*,#176352,.T.); #223695=ORIENTED_EDGE('',*,*,#176351,.F.); #223696=ORIENTED_EDGE('',*,*,#176350,.T.); #223697=ORIENTED_EDGE('',*,*,#176347,.T.); #223698=ORIENTED_EDGE('',*,*,#176352,.F.); #223699=ORIENTED_EDGE('',*,*,#176349,.T.); #223700=ORIENTED_EDGE('',*,*,#176353,.F.); #223701=ORIENTED_EDGE('',*,*,#176354,.T.); #223702=ORIENTED_EDGE('',*,*,#176355,.F.); #223703=ORIENTED_EDGE('',*,*,#176354,.F.); #223704=ORIENTED_EDGE('',*,*,#176356,.F.); #223705=ORIENTED_EDGE('',*,*,#176357,.T.); #223706=ORIENTED_EDGE('',*,*,#176358,.T.); #223707=ORIENTED_EDGE('',*,*,#176357,.F.); #223708=ORIENTED_EDGE('',*,*,#176356,.T.); #223709=ORIENTED_EDGE('',*,*,#176353,.T.); #223710=ORIENTED_EDGE('',*,*,#176358,.F.); #223711=ORIENTED_EDGE('',*,*,#176355,.T.); #223712=ORIENTED_EDGE('',*,*,#176359,.F.); #223713=ORIENTED_EDGE('',*,*,#176360,.T.); #223714=ORIENTED_EDGE('',*,*,#176361,.F.); #223715=ORIENTED_EDGE('',*,*,#176360,.F.); #223716=ORIENTED_EDGE('',*,*,#176362,.F.); #223717=ORIENTED_EDGE('',*,*,#176363,.T.); #223718=ORIENTED_EDGE('',*,*,#176364,.T.); #223719=ORIENTED_EDGE('',*,*,#176363,.F.); #223720=ORIENTED_EDGE('',*,*,#176362,.T.); #223721=ORIENTED_EDGE('',*,*,#176359,.T.); #223722=ORIENTED_EDGE('',*,*,#176364,.F.); #223723=ORIENTED_EDGE('',*,*,#176361,.T.); #223724=ORIENTED_EDGE('',*,*,#176365,.F.); #223725=ORIENTED_EDGE('',*,*,#176366,.T.); #223726=ORIENTED_EDGE('',*,*,#176367,.F.); #223727=ORIENTED_EDGE('',*,*,#176366,.F.); #223728=ORIENTED_EDGE('',*,*,#176368,.F.); #223729=ORIENTED_EDGE('',*,*,#176369,.T.); #223730=ORIENTED_EDGE('',*,*,#176370,.T.); #223731=ORIENTED_EDGE('',*,*,#176369,.F.); #223732=ORIENTED_EDGE('',*,*,#176368,.T.); #223733=ORIENTED_EDGE('',*,*,#176365,.T.); #223734=ORIENTED_EDGE('',*,*,#176370,.F.); #223735=ORIENTED_EDGE('',*,*,#176367,.T.); #223736=ORIENTED_EDGE('',*,*,#176371,.F.); #223737=ORIENTED_EDGE('',*,*,#176372,.T.); #223738=ORIENTED_EDGE('',*,*,#176373,.F.); #223739=ORIENTED_EDGE('',*,*,#176372,.F.); #223740=ORIENTED_EDGE('',*,*,#176374,.F.); #223741=ORIENTED_EDGE('',*,*,#176375,.T.); #223742=ORIENTED_EDGE('',*,*,#176376,.T.); #223743=ORIENTED_EDGE('',*,*,#176375,.F.); #223744=ORIENTED_EDGE('',*,*,#176374,.T.); #223745=ORIENTED_EDGE('',*,*,#176371,.T.); #223746=ORIENTED_EDGE('',*,*,#176376,.F.); #223747=ORIENTED_EDGE('',*,*,#176373,.T.); #223748=ORIENTED_EDGE('',*,*,#176377,.F.); #223749=ORIENTED_EDGE('',*,*,#176378,.T.); #223750=ORIENTED_EDGE('',*,*,#176379,.F.); #223751=ORIENTED_EDGE('',*,*,#176378,.F.); #223752=ORIENTED_EDGE('',*,*,#176380,.F.); #223753=ORIENTED_EDGE('',*,*,#176381,.T.); #223754=ORIENTED_EDGE('',*,*,#176382,.T.); #223755=ORIENTED_EDGE('',*,*,#176381,.F.); #223756=ORIENTED_EDGE('',*,*,#176380,.T.); #223757=ORIENTED_EDGE('',*,*,#176377,.T.); #223758=ORIENTED_EDGE('',*,*,#176382,.F.); #223759=ORIENTED_EDGE('',*,*,#176379,.T.); #223760=ORIENTED_EDGE('',*,*,#176383,.F.); #223761=ORIENTED_EDGE('',*,*,#176384,.T.); #223762=ORIENTED_EDGE('',*,*,#176385,.F.); #223763=ORIENTED_EDGE('',*,*,#176384,.F.); #223764=ORIENTED_EDGE('',*,*,#176386,.F.); #223765=ORIENTED_EDGE('',*,*,#176387,.T.); #223766=ORIENTED_EDGE('',*,*,#176388,.T.); #223767=ORIENTED_EDGE('',*,*,#176387,.F.); #223768=ORIENTED_EDGE('',*,*,#176386,.T.); #223769=ORIENTED_EDGE('',*,*,#176383,.T.); #223770=ORIENTED_EDGE('',*,*,#176388,.F.); #223771=ORIENTED_EDGE('',*,*,#176385,.T.); #223772=ORIENTED_EDGE('',*,*,#176389,.F.); #223773=ORIENTED_EDGE('',*,*,#176390,.T.); #223774=ORIENTED_EDGE('',*,*,#176391,.F.); #223775=ORIENTED_EDGE('',*,*,#176390,.F.); #223776=ORIENTED_EDGE('',*,*,#176392,.F.); #223777=ORIENTED_EDGE('',*,*,#176393,.T.); #223778=ORIENTED_EDGE('',*,*,#176394,.T.); #223779=ORIENTED_EDGE('',*,*,#176393,.F.); #223780=ORIENTED_EDGE('',*,*,#176392,.T.); #223781=ORIENTED_EDGE('',*,*,#176389,.T.); #223782=ORIENTED_EDGE('',*,*,#176394,.F.); #223783=ORIENTED_EDGE('',*,*,#176391,.T.); #223784=ORIENTED_EDGE('',*,*,#176395,.F.); #223785=ORIENTED_EDGE('',*,*,#176396,.T.); #223786=ORIENTED_EDGE('',*,*,#176397,.F.); #223787=ORIENTED_EDGE('',*,*,#176396,.F.); #223788=ORIENTED_EDGE('',*,*,#176398,.F.); #223789=ORIENTED_EDGE('',*,*,#176399,.T.); #223790=ORIENTED_EDGE('',*,*,#176400,.T.); #223791=ORIENTED_EDGE('',*,*,#176399,.F.); #223792=ORIENTED_EDGE('',*,*,#176398,.T.); #223793=ORIENTED_EDGE('',*,*,#176395,.T.); #223794=ORIENTED_EDGE('',*,*,#176400,.F.); #223795=ORIENTED_EDGE('',*,*,#176397,.T.); #223796=ORIENTED_EDGE('',*,*,#176401,.F.); #223797=ORIENTED_EDGE('',*,*,#176402,.T.); #223798=ORIENTED_EDGE('',*,*,#176403,.F.); #223799=ORIENTED_EDGE('',*,*,#176402,.F.); #223800=ORIENTED_EDGE('',*,*,#176404,.F.); #223801=ORIENTED_EDGE('',*,*,#176405,.T.); #223802=ORIENTED_EDGE('',*,*,#176406,.T.); #223803=ORIENTED_EDGE('',*,*,#176405,.F.); #223804=ORIENTED_EDGE('',*,*,#176404,.T.); #223805=ORIENTED_EDGE('',*,*,#176401,.T.); #223806=ORIENTED_EDGE('',*,*,#176406,.F.); #223807=ORIENTED_EDGE('',*,*,#176403,.T.); #223808=ORIENTED_EDGE('',*,*,#176407,.F.); #223809=ORIENTED_EDGE('',*,*,#176408,.T.); #223810=ORIENTED_EDGE('',*,*,#176409,.F.); #223811=ORIENTED_EDGE('',*,*,#176408,.F.); #223812=ORIENTED_EDGE('',*,*,#176410,.F.); #223813=ORIENTED_EDGE('',*,*,#176411,.T.); #223814=ORIENTED_EDGE('',*,*,#176412,.T.); #223815=ORIENTED_EDGE('',*,*,#176411,.F.); #223816=ORIENTED_EDGE('',*,*,#176410,.T.); #223817=ORIENTED_EDGE('',*,*,#176407,.T.); #223818=ORIENTED_EDGE('',*,*,#176412,.F.); #223819=ORIENTED_EDGE('',*,*,#176409,.T.); #223820=ORIENTED_EDGE('',*,*,#176413,.F.); #223821=ORIENTED_EDGE('',*,*,#176414,.T.); #223822=ORIENTED_EDGE('',*,*,#176415,.F.); #223823=ORIENTED_EDGE('',*,*,#176414,.F.); #223824=ORIENTED_EDGE('',*,*,#176416,.F.); #223825=ORIENTED_EDGE('',*,*,#176417,.T.); #223826=ORIENTED_EDGE('',*,*,#176418,.T.); #223827=ORIENTED_EDGE('',*,*,#176417,.F.); #223828=ORIENTED_EDGE('',*,*,#176416,.T.); #223829=ORIENTED_EDGE('',*,*,#176413,.T.); #223830=ORIENTED_EDGE('',*,*,#176418,.F.); #223831=ORIENTED_EDGE('',*,*,#176415,.T.); #223832=ORIENTED_EDGE('',*,*,#176419,.F.); #223833=ORIENTED_EDGE('',*,*,#176420,.T.); #223834=ORIENTED_EDGE('',*,*,#176421,.F.); #223835=ORIENTED_EDGE('',*,*,#176420,.F.); #223836=ORIENTED_EDGE('',*,*,#176422,.F.); #223837=ORIENTED_EDGE('',*,*,#176423,.T.); #223838=ORIENTED_EDGE('',*,*,#176424,.T.); #223839=ORIENTED_EDGE('',*,*,#176423,.F.); #223840=ORIENTED_EDGE('',*,*,#176422,.T.); #223841=ORIENTED_EDGE('',*,*,#176419,.T.); #223842=ORIENTED_EDGE('',*,*,#176424,.F.); #223843=ORIENTED_EDGE('',*,*,#176421,.T.); #223844=ORIENTED_EDGE('',*,*,#176425,.F.); #223845=ORIENTED_EDGE('',*,*,#176426,.T.); #223846=ORIENTED_EDGE('',*,*,#176427,.F.); #223847=ORIENTED_EDGE('',*,*,#176426,.F.); #223848=ORIENTED_EDGE('',*,*,#176428,.F.); #223849=ORIENTED_EDGE('',*,*,#176429,.T.); #223850=ORIENTED_EDGE('',*,*,#176430,.T.); #223851=ORIENTED_EDGE('',*,*,#176429,.F.); #223852=ORIENTED_EDGE('',*,*,#176428,.T.); #223853=ORIENTED_EDGE('',*,*,#176425,.T.); #223854=ORIENTED_EDGE('',*,*,#176430,.F.); #223855=ORIENTED_EDGE('',*,*,#176427,.T.); #223856=ORIENTED_EDGE('',*,*,#176431,.F.); #223857=ORIENTED_EDGE('',*,*,#176432,.T.); #223858=ORIENTED_EDGE('',*,*,#176433,.F.); #223859=ORIENTED_EDGE('',*,*,#176432,.F.); #223860=ORIENTED_EDGE('',*,*,#176434,.F.); #223861=ORIENTED_EDGE('',*,*,#176435,.T.); #223862=ORIENTED_EDGE('',*,*,#176436,.T.); #223863=ORIENTED_EDGE('',*,*,#176435,.F.); #223864=ORIENTED_EDGE('',*,*,#176434,.T.); #223865=ORIENTED_EDGE('',*,*,#176431,.T.); #223866=ORIENTED_EDGE('',*,*,#176436,.F.); #223867=ORIENTED_EDGE('',*,*,#176433,.T.); #223868=ORIENTED_EDGE('',*,*,#176437,.F.); #223869=ORIENTED_EDGE('',*,*,#176438,.T.); #223870=ORIENTED_EDGE('',*,*,#176439,.F.); #223871=ORIENTED_EDGE('',*,*,#176438,.F.); #223872=ORIENTED_EDGE('',*,*,#176440,.F.); #223873=ORIENTED_EDGE('',*,*,#176441,.T.); #223874=ORIENTED_EDGE('',*,*,#176442,.T.); #223875=ORIENTED_EDGE('',*,*,#176441,.F.); #223876=ORIENTED_EDGE('',*,*,#176440,.T.); #223877=ORIENTED_EDGE('',*,*,#176437,.T.); #223878=ORIENTED_EDGE('',*,*,#176442,.F.); #223879=ORIENTED_EDGE('',*,*,#176439,.T.); #223880=ORIENTED_EDGE('',*,*,#176443,.F.); #223881=ORIENTED_EDGE('',*,*,#176444,.T.); #223882=ORIENTED_EDGE('',*,*,#176445,.F.); #223883=ORIENTED_EDGE('',*,*,#176444,.F.); #223884=ORIENTED_EDGE('',*,*,#176446,.F.); #223885=ORIENTED_EDGE('',*,*,#176447,.T.); #223886=ORIENTED_EDGE('',*,*,#176448,.T.); #223887=ORIENTED_EDGE('',*,*,#176447,.F.); #223888=ORIENTED_EDGE('',*,*,#176446,.T.); #223889=ORIENTED_EDGE('',*,*,#176443,.T.); #223890=ORIENTED_EDGE('',*,*,#176448,.F.); #223891=ORIENTED_EDGE('',*,*,#176445,.T.); #223892=ORIENTED_EDGE('',*,*,#176449,.F.); #223893=ORIENTED_EDGE('',*,*,#176450,.T.); #223894=ORIENTED_EDGE('',*,*,#176451,.F.); #223895=ORIENTED_EDGE('',*,*,#176450,.F.); #223896=ORIENTED_EDGE('',*,*,#176452,.F.); #223897=ORIENTED_EDGE('',*,*,#176453,.T.); #223898=ORIENTED_EDGE('',*,*,#176454,.T.); #223899=ORIENTED_EDGE('',*,*,#176453,.F.); #223900=ORIENTED_EDGE('',*,*,#176452,.T.); #223901=ORIENTED_EDGE('',*,*,#176449,.T.); #223902=ORIENTED_EDGE('',*,*,#176454,.F.); #223903=ORIENTED_EDGE('',*,*,#176451,.T.); #223904=ORIENTED_EDGE('',*,*,#176455,.F.); #223905=ORIENTED_EDGE('',*,*,#176456,.T.); #223906=ORIENTED_EDGE('',*,*,#176457,.F.); #223907=ORIENTED_EDGE('',*,*,#176456,.F.); #223908=ORIENTED_EDGE('',*,*,#176458,.F.); #223909=ORIENTED_EDGE('',*,*,#176459,.T.); #223910=ORIENTED_EDGE('',*,*,#176460,.T.); #223911=ORIENTED_EDGE('',*,*,#176459,.F.); #223912=ORIENTED_EDGE('',*,*,#176458,.T.); #223913=ORIENTED_EDGE('',*,*,#176455,.T.); #223914=ORIENTED_EDGE('',*,*,#176460,.F.); #223915=ORIENTED_EDGE('',*,*,#176457,.T.); #223916=ORIENTED_EDGE('',*,*,#176461,.F.); #223917=ORIENTED_EDGE('',*,*,#176462,.T.); #223918=ORIENTED_EDGE('',*,*,#176463,.F.); #223919=ORIENTED_EDGE('',*,*,#176462,.F.); #223920=ORIENTED_EDGE('',*,*,#176464,.F.); #223921=ORIENTED_EDGE('',*,*,#176465,.T.); #223922=ORIENTED_EDGE('',*,*,#176466,.T.); #223923=ORIENTED_EDGE('',*,*,#176465,.F.); #223924=ORIENTED_EDGE('',*,*,#176464,.T.); #223925=ORIENTED_EDGE('',*,*,#176461,.T.); #223926=ORIENTED_EDGE('',*,*,#176466,.F.); #223927=ORIENTED_EDGE('',*,*,#176463,.T.); #223928=ORIENTED_EDGE('',*,*,#176467,.F.); #223929=ORIENTED_EDGE('',*,*,#176468,.T.); #223930=ORIENTED_EDGE('',*,*,#176469,.F.); #223931=ORIENTED_EDGE('',*,*,#176468,.F.); #223932=ORIENTED_EDGE('',*,*,#176470,.F.); #223933=ORIENTED_EDGE('',*,*,#176471,.T.); #223934=ORIENTED_EDGE('',*,*,#176472,.T.); #223935=ORIENTED_EDGE('',*,*,#176471,.F.); #223936=ORIENTED_EDGE('',*,*,#176470,.T.); #223937=ORIENTED_EDGE('',*,*,#176467,.T.); #223938=ORIENTED_EDGE('',*,*,#176472,.F.); #223939=ORIENTED_EDGE('',*,*,#176469,.T.); #223940=ORIENTED_EDGE('',*,*,#176473,.F.); #223941=ORIENTED_EDGE('',*,*,#176474,.T.); #223942=ORIENTED_EDGE('',*,*,#176475,.F.); #223943=ORIENTED_EDGE('',*,*,#176474,.F.); #223944=ORIENTED_EDGE('',*,*,#176476,.F.); #223945=ORIENTED_EDGE('',*,*,#176477,.T.); #223946=ORIENTED_EDGE('',*,*,#176478,.T.); #223947=ORIENTED_EDGE('',*,*,#176477,.F.); #223948=ORIENTED_EDGE('',*,*,#176476,.T.); #223949=ORIENTED_EDGE('',*,*,#176473,.T.); #223950=ORIENTED_EDGE('',*,*,#176478,.F.); #223951=ORIENTED_EDGE('',*,*,#176475,.T.); #223952=ORIENTED_EDGE('',*,*,#176479,.F.); #223953=ORIENTED_EDGE('',*,*,#176480,.T.); #223954=ORIENTED_EDGE('',*,*,#176481,.F.); #223955=ORIENTED_EDGE('',*,*,#176480,.F.); #223956=ORIENTED_EDGE('',*,*,#176482,.F.); #223957=ORIENTED_EDGE('',*,*,#176483,.T.); #223958=ORIENTED_EDGE('',*,*,#176484,.T.); #223959=ORIENTED_EDGE('',*,*,#176483,.F.); #223960=ORIENTED_EDGE('',*,*,#176482,.T.); #223961=ORIENTED_EDGE('',*,*,#176479,.T.); #223962=ORIENTED_EDGE('',*,*,#176484,.F.); #223963=ORIENTED_EDGE('',*,*,#176481,.T.); #223964=ORIENTED_EDGE('',*,*,#176485,.F.); #223965=ORIENTED_EDGE('',*,*,#176486,.T.); #223966=ORIENTED_EDGE('',*,*,#176487,.F.); #223967=ORIENTED_EDGE('',*,*,#176486,.F.); #223968=ORIENTED_EDGE('',*,*,#176488,.F.); #223969=ORIENTED_EDGE('',*,*,#176489,.T.); #223970=ORIENTED_EDGE('',*,*,#176490,.T.); #223971=ORIENTED_EDGE('',*,*,#176489,.F.); #223972=ORIENTED_EDGE('',*,*,#176488,.T.); #223973=ORIENTED_EDGE('',*,*,#176485,.T.); #223974=ORIENTED_EDGE('',*,*,#176490,.F.); #223975=ORIENTED_EDGE('',*,*,#176487,.T.); #223976=ORIENTED_EDGE('',*,*,#176491,.F.); #223977=ORIENTED_EDGE('',*,*,#176492,.T.); #223978=ORIENTED_EDGE('',*,*,#176493,.F.); #223979=ORIENTED_EDGE('',*,*,#176492,.F.); #223980=ORIENTED_EDGE('',*,*,#176494,.F.); #223981=ORIENTED_EDGE('',*,*,#176495,.T.); #223982=ORIENTED_EDGE('',*,*,#176496,.T.); #223983=ORIENTED_EDGE('',*,*,#176495,.F.); #223984=ORIENTED_EDGE('',*,*,#176494,.T.); #223985=ORIENTED_EDGE('',*,*,#176491,.T.); #223986=ORIENTED_EDGE('',*,*,#176496,.F.); #223987=ORIENTED_EDGE('',*,*,#176493,.T.); #223988=ORIENTED_EDGE('',*,*,#176497,.F.); #223989=ORIENTED_EDGE('',*,*,#176498,.T.); #223990=ORIENTED_EDGE('',*,*,#176499,.F.); #223991=ORIENTED_EDGE('',*,*,#176498,.F.); #223992=ORIENTED_EDGE('',*,*,#176500,.F.); #223993=ORIENTED_EDGE('',*,*,#176501,.T.); #223994=ORIENTED_EDGE('',*,*,#176502,.T.); #223995=ORIENTED_EDGE('',*,*,#176501,.F.); #223996=ORIENTED_EDGE('',*,*,#176500,.T.); #223997=ORIENTED_EDGE('',*,*,#176497,.T.); #223998=ORIENTED_EDGE('',*,*,#176502,.F.); #223999=ORIENTED_EDGE('',*,*,#176499,.T.); #224000=ORIENTED_EDGE('',*,*,#176503,.F.); #224001=ORIENTED_EDGE('',*,*,#176504,.T.); #224002=ORIENTED_EDGE('',*,*,#176505,.F.); #224003=ORIENTED_EDGE('',*,*,#176504,.F.); #224004=ORIENTED_EDGE('',*,*,#176506,.F.); #224005=ORIENTED_EDGE('',*,*,#176507,.T.); #224006=ORIENTED_EDGE('',*,*,#176508,.T.); #224007=ORIENTED_EDGE('',*,*,#176507,.F.); #224008=ORIENTED_EDGE('',*,*,#176506,.T.); #224009=ORIENTED_EDGE('',*,*,#176503,.T.); #224010=ORIENTED_EDGE('',*,*,#176508,.F.); #224011=ORIENTED_EDGE('',*,*,#176505,.T.); #224012=ORIENTED_EDGE('',*,*,#176509,.F.); #224013=ORIENTED_EDGE('',*,*,#176510,.T.); #224014=ORIENTED_EDGE('',*,*,#176511,.F.); #224015=ORIENTED_EDGE('',*,*,#176510,.F.); #224016=ORIENTED_EDGE('',*,*,#176512,.F.); #224017=ORIENTED_EDGE('',*,*,#176513,.T.); #224018=ORIENTED_EDGE('',*,*,#176514,.T.); #224019=ORIENTED_EDGE('',*,*,#176513,.F.); #224020=ORIENTED_EDGE('',*,*,#176512,.T.); #224021=ORIENTED_EDGE('',*,*,#176509,.T.); #224022=ORIENTED_EDGE('',*,*,#176514,.F.); #224023=ORIENTED_EDGE('',*,*,#176511,.T.); #224024=ORIENTED_EDGE('',*,*,#176515,.F.); #224025=ORIENTED_EDGE('',*,*,#176516,.T.); #224026=ORIENTED_EDGE('',*,*,#176517,.F.); #224027=ORIENTED_EDGE('',*,*,#176516,.F.); #224028=ORIENTED_EDGE('',*,*,#176518,.F.); #224029=ORIENTED_EDGE('',*,*,#176519,.T.); #224030=ORIENTED_EDGE('',*,*,#176520,.T.); #224031=ORIENTED_EDGE('',*,*,#176519,.F.); #224032=ORIENTED_EDGE('',*,*,#176518,.T.); #224033=ORIENTED_EDGE('',*,*,#176515,.T.); #224034=ORIENTED_EDGE('',*,*,#176520,.F.); #224035=ORIENTED_EDGE('',*,*,#176517,.T.); #224036=ORIENTED_EDGE('',*,*,#176521,.F.); #224037=ORIENTED_EDGE('',*,*,#176522,.T.); #224038=ORIENTED_EDGE('',*,*,#176523,.F.); #224039=ORIENTED_EDGE('',*,*,#176522,.F.); #224040=ORIENTED_EDGE('',*,*,#176524,.F.); #224041=ORIENTED_EDGE('',*,*,#176525,.T.); #224042=ORIENTED_EDGE('',*,*,#176526,.T.); #224043=ORIENTED_EDGE('',*,*,#176525,.F.); #224044=ORIENTED_EDGE('',*,*,#176524,.T.); #224045=ORIENTED_EDGE('',*,*,#176521,.T.); #224046=ORIENTED_EDGE('',*,*,#176526,.F.); #224047=ORIENTED_EDGE('',*,*,#176523,.T.); #224048=ORIENTED_EDGE('',*,*,#176527,.F.); #224049=ORIENTED_EDGE('',*,*,#176528,.T.); #224050=ORIENTED_EDGE('',*,*,#176529,.F.); #224051=ORIENTED_EDGE('',*,*,#176528,.F.); #224052=ORIENTED_EDGE('',*,*,#176530,.F.); #224053=ORIENTED_EDGE('',*,*,#176531,.T.); #224054=ORIENTED_EDGE('',*,*,#176532,.T.); #224055=ORIENTED_EDGE('',*,*,#176531,.F.); #224056=ORIENTED_EDGE('',*,*,#176530,.T.); #224057=ORIENTED_EDGE('',*,*,#176527,.T.); #224058=ORIENTED_EDGE('',*,*,#176532,.F.); #224059=ORIENTED_EDGE('',*,*,#176529,.T.); #224060=ORIENTED_EDGE('',*,*,#176533,.F.); #224061=ORIENTED_EDGE('',*,*,#176534,.T.); #224062=ORIENTED_EDGE('',*,*,#176535,.F.); #224063=ORIENTED_EDGE('',*,*,#176534,.F.); #224064=ORIENTED_EDGE('',*,*,#176536,.F.); #224065=ORIENTED_EDGE('',*,*,#176537,.T.); #224066=ORIENTED_EDGE('',*,*,#176538,.T.); #224067=ORIENTED_EDGE('',*,*,#176537,.F.); #224068=ORIENTED_EDGE('',*,*,#176536,.T.); #224069=ORIENTED_EDGE('',*,*,#176533,.T.); #224070=ORIENTED_EDGE('',*,*,#176538,.F.); #224071=ORIENTED_EDGE('',*,*,#176535,.T.); #224072=ORIENTED_EDGE('',*,*,#176539,.F.); #224073=ORIENTED_EDGE('',*,*,#176540,.T.); #224074=ORIENTED_EDGE('',*,*,#176541,.F.); #224075=ORIENTED_EDGE('',*,*,#176540,.F.); #224076=ORIENTED_EDGE('',*,*,#176542,.F.); #224077=ORIENTED_EDGE('',*,*,#176543,.T.); #224078=ORIENTED_EDGE('',*,*,#176544,.T.); #224079=ORIENTED_EDGE('',*,*,#176543,.F.); #224080=ORIENTED_EDGE('',*,*,#176542,.T.); #224081=ORIENTED_EDGE('',*,*,#176539,.T.); #224082=ORIENTED_EDGE('',*,*,#176544,.F.); #224083=ORIENTED_EDGE('',*,*,#176541,.T.); #224084=ORIENTED_EDGE('',*,*,#176545,.F.); #224085=ORIENTED_EDGE('',*,*,#176546,.T.); #224086=ORIENTED_EDGE('',*,*,#176547,.F.); #224087=ORIENTED_EDGE('',*,*,#176546,.F.); #224088=ORIENTED_EDGE('',*,*,#176548,.F.); #224089=ORIENTED_EDGE('',*,*,#176549,.T.); #224090=ORIENTED_EDGE('',*,*,#176550,.T.); #224091=ORIENTED_EDGE('',*,*,#176549,.F.); #224092=ORIENTED_EDGE('',*,*,#176548,.T.); #224093=ORIENTED_EDGE('',*,*,#176545,.T.); #224094=ORIENTED_EDGE('',*,*,#176550,.F.); #224095=ORIENTED_EDGE('',*,*,#176547,.T.); #224096=ORIENTED_EDGE('',*,*,#176551,.F.); #224097=ORIENTED_EDGE('',*,*,#176552,.T.); #224098=ORIENTED_EDGE('',*,*,#176553,.F.); #224099=ORIENTED_EDGE('',*,*,#176552,.F.); #224100=ORIENTED_EDGE('',*,*,#176554,.F.); #224101=ORIENTED_EDGE('',*,*,#176555,.T.); #224102=ORIENTED_EDGE('',*,*,#176556,.T.); #224103=ORIENTED_EDGE('',*,*,#176555,.F.); #224104=ORIENTED_EDGE('',*,*,#176554,.T.); #224105=ORIENTED_EDGE('',*,*,#176551,.T.); #224106=ORIENTED_EDGE('',*,*,#176556,.F.); #224107=ORIENTED_EDGE('',*,*,#176553,.T.); #224108=ORIENTED_EDGE('',*,*,#176557,.F.); #224109=ORIENTED_EDGE('',*,*,#176558,.T.); #224110=ORIENTED_EDGE('',*,*,#176559,.F.); #224111=ORIENTED_EDGE('',*,*,#176558,.F.); #224112=ORIENTED_EDGE('',*,*,#176560,.F.); #224113=ORIENTED_EDGE('',*,*,#176561,.T.); #224114=ORIENTED_EDGE('',*,*,#176562,.T.); #224115=ORIENTED_EDGE('',*,*,#176561,.F.); #224116=ORIENTED_EDGE('',*,*,#176560,.T.); #224117=ORIENTED_EDGE('',*,*,#176557,.T.); #224118=ORIENTED_EDGE('',*,*,#176562,.F.); #224119=ORIENTED_EDGE('',*,*,#176559,.T.); #224120=ORIENTED_EDGE('',*,*,#176563,.F.); #224121=ORIENTED_EDGE('',*,*,#176564,.T.); #224122=ORIENTED_EDGE('',*,*,#176565,.F.); #224123=ORIENTED_EDGE('',*,*,#176564,.F.); #224124=ORIENTED_EDGE('',*,*,#176566,.F.); #224125=ORIENTED_EDGE('',*,*,#176567,.T.); #224126=ORIENTED_EDGE('',*,*,#176568,.T.); #224127=ORIENTED_EDGE('',*,*,#176567,.F.); #224128=ORIENTED_EDGE('',*,*,#176566,.T.); #224129=ORIENTED_EDGE('',*,*,#176563,.T.); #224130=ORIENTED_EDGE('',*,*,#176568,.F.); #224131=ORIENTED_EDGE('',*,*,#176565,.T.); #224132=ORIENTED_EDGE('',*,*,#176569,.F.); #224133=ORIENTED_EDGE('',*,*,#176570,.T.); #224134=ORIENTED_EDGE('',*,*,#176571,.F.); #224135=ORIENTED_EDGE('',*,*,#176570,.F.); #224136=ORIENTED_EDGE('',*,*,#176572,.F.); #224137=ORIENTED_EDGE('',*,*,#176573,.T.); #224138=ORIENTED_EDGE('',*,*,#176574,.T.); #224139=ORIENTED_EDGE('',*,*,#176573,.F.); #224140=ORIENTED_EDGE('',*,*,#176572,.T.); #224141=ORIENTED_EDGE('',*,*,#176569,.T.); #224142=ORIENTED_EDGE('',*,*,#176574,.F.); #224143=ORIENTED_EDGE('',*,*,#176571,.T.); #224144=ORIENTED_EDGE('',*,*,#176575,.F.); #224145=ORIENTED_EDGE('',*,*,#176576,.T.); #224146=ORIENTED_EDGE('',*,*,#176577,.F.); #224147=ORIENTED_EDGE('',*,*,#176576,.F.); #224148=ORIENTED_EDGE('',*,*,#176578,.F.); #224149=ORIENTED_EDGE('',*,*,#176579,.T.); #224150=ORIENTED_EDGE('',*,*,#176580,.T.); #224151=ORIENTED_EDGE('',*,*,#176579,.F.); #224152=ORIENTED_EDGE('',*,*,#176578,.T.); #224153=ORIENTED_EDGE('',*,*,#176575,.T.); #224154=ORIENTED_EDGE('',*,*,#176580,.F.); #224155=ORIENTED_EDGE('',*,*,#176577,.T.); #224156=ORIENTED_EDGE('',*,*,#176581,.F.); #224157=ORIENTED_EDGE('',*,*,#176582,.T.); #224158=ORIENTED_EDGE('',*,*,#176583,.F.); #224159=ORIENTED_EDGE('',*,*,#176582,.F.); #224160=ORIENTED_EDGE('',*,*,#176584,.F.); #224161=ORIENTED_EDGE('',*,*,#176585,.T.); #224162=ORIENTED_EDGE('',*,*,#176586,.T.); #224163=ORIENTED_EDGE('',*,*,#176585,.F.); #224164=ORIENTED_EDGE('',*,*,#176584,.T.); #224165=ORIENTED_EDGE('',*,*,#176581,.T.); #224166=ORIENTED_EDGE('',*,*,#176586,.F.); #224167=ORIENTED_EDGE('',*,*,#176583,.T.); #224168=ORIENTED_EDGE('',*,*,#176587,.F.); #224169=ORIENTED_EDGE('',*,*,#176588,.T.); #224170=ORIENTED_EDGE('',*,*,#176589,.F.); #224171=ORIENTED_EDGE('',*,*,#176588,.F.); #224172=ORIENTED_EDGE('',*,*,#176590,.F.); #224173=ORIENTED_EDGE('',*,*,#176591,.T.); #224174=ORIENTED_EDGE('',*,*,#176592,.T.); #224175=ORIENTED_EDGE('',*,*,#176591,.F.); #224176=ORIENTED_EDGE('',*,*,#176590,.T.); #224177=ORIENTED_EDGE('',*,*,#176587,.T.); #224178=ORIENTED_EDGE('',*,*,#176592,.F.); #224179=ORIENTED_EDGE('',*,*,#176589,.T.); #224180=ORIENTED_EDGE('',*,*,#176593,.F.); #224181=ORIENTED_EDGE('',*,*,#176594,.T.); #224182=ORIENTED_EDGE('',*,*,#176595,.F.); #224183=ORIENTED_EDGE('',*,*,#176594,.F.); #224184=ORIENTED_EDGE('',*,*,#176596,.F.); #224185=ORIENTED_EDGE('',*,*,#176597,.T.); #224186=ORIENTED_EDGE('',*,*,#176598,.T.); #224187=ORIENTED_EDGE('',*,*,#176597,.F.); #224188=ORIENTED_EDGE('',*,*,#176596,.T.); #224189=ORIENTED_EDGE('',*,*,#176593,.T.); #224190=ORIENTED_EDGE('',*,*,#176598,.F.); #224191=ORIENTED_EDGE('',*,*,#176595,.T.); #224192=ORIENTED_EDGE('',*,*,#176599,.F.); #224193=ORIENTED_EDGE('',*,*,#176600,.T.); #224194=ORIENTED_EDGE('',*,*,#176601,.F.); #224195=ORIENTED_EDGE('',*,*,#176600,.F.); #224196=ORIENTED_EDGE('',*,*,#176602,.F.); #224197=ORIENTED_EDGE('',*,*,#176603,.T.); #224198=ORIENTED_EDGE('',*,*,#176604,.T.); #224199=ORIENTED_EDGE('',*,*,#176603,.F.); #224200=ORIENTED_EDGE('',*,*,#176602,.T.); #224201=ORIENTED_EDGE('',*,*,#176599,.T.); #224202=ORIENTED_EDGE('',*,*,#176604,.F.); #224203=ORIENTED_EDGE('',*,*,#176601,.T.); #224204=ORIENTED_EDGE('',*,*,#176605,.F.); #224205=ORIENTED_EDGE('',*,*,#176606,.T.); #224206=ORIENTED_EDGE('',*,*,#176607,.F.); #224207=ORIENTED_EDGE('',*,*,#176606,.F.); #224208=ORIENTED_EDGE('',*,*,#176608,.F.); #224209=ORIENTED_EDGE('',*,*,#176609,.T.); #224210=ORIENTED_EDGE('',*,*,#176610,.T.); #224211=ORIENTED_EDGE('',*,*,#176609,.F.); #224212=ORIENTED_EDGE('',*,*,#176608,.T.); #224213=ORIENTED_EDGE('',*,*,#176605,.T.); #224214=ORIENTED_EDGE('',*,*,#176610,.F.); #224215=ORIENTED_EDGE('',*,*,#176607,.T.); #224216=ORIENTED_EDGE('',*,*,#176611,.F.); #224217=ORIENTED_EDGE('',*,*,#176612,.T.); #224218=ORIENTED_EDGE('',*,*,#176613,.F.); #224219=ORIENTED_EDGE('',*,*,#176612,.F.); #224220=ORIENTED_EDGE('',*,*,#176614,.F.); #224221=ORIENTED_EDGE('',*,*,#176615,.T.); #224222=ORIENTED_EDGE('',*,*,#176616,.T.); #224223=ORIENTED_EDGE('',*,*,#176615,.F.); #224224=ORIENTED_EDGE('',*,*,#176614,.T.); #224225=ORIENTED_EDGE('',*,*,#176611,.T.); #224226=ORIENTED_EDGE('',*,*,#176616,.F.); #224227=ORIENTED_EDGE('',*,*,#176613,.T.); #224228=ORIENTED_EDGE('',*,*,#176617,.F.); #224229=ORIENTED_EDGE('',*,*,#176618,.T.); #224230=ORIENTED_EDGE('',*,*,#176619,.F.); #224231=ORIENTED_EDGE('',*,*,#176618,.F.); #224232=ORIENTED_EDGE('',*,*,#176620,.F.); #224233=ORIENTED_EDGE('',*,*,#176621,.T.); #224234=ORIENTED_EDGE('',*,*,#176622,.T.); #224235=ORIENTED_EDGE('',*,*,#176621,.F.); #224236=ORIENTED_EDGE('',*,*,#176620,.T.); #224237=ORIENTED_EDGE('',*,*,#176617,.T.); #224238=ORIENTED_EDGE('',*,*,#176622,.F.); #224239=ORIENTED_EDGE('',*,*,#176619,.T.); #224240=ORIENTED_EDGE('',*,*,#176623,.F.); #224241=ORIENTED_EDGE('',*,*,#176624,.T.); #224242=ORIENTED_EDGE('',*,*,#176625,.F.); #224243=ORIENTED_EDGE('',*,*,#176624,.F.); #224244=ORIENTED_EDGE('',*,*,#176626,.F.); #224245=ORIENTED_EDGE('',*,*,#176627,.T.); #224246=ORIENTED_EDGE('',*,*,#176628,.T.); #224247=ORIENTED_EDGE('',*,*,#176627,.F.); #224248=ORIENTED_EDGE('',*,*,#176626,.T.); #224249=ORIENTED_EDGE('',*,*,#176623,.T.); #224250=ORIENTED_EDGE('',*,*,#176628,.F.); #224251=ORIENTED_EDGE('',*,*,#176625,.T.); #224252=ORIENTED_EDGE('',*,*,#176629,.F.); #224253=ORIENTED_EDGE('',*,*,#176630,.T.); #224254=ORIENTED_EDGE('',*,*,#176631,.F.); #224255=ORIENTED_EDGE('',*,*,#176630,.F.); #224256=ORIENTED_EDGE('',*,*,#176632,.F.); #224257=ORIENTED_EDGE('',*,*,#176633,.T.); #224258=ORIENTED_EDGE('',*,*,#176634,.T.); #224259=ORIENTED_EDGE('',*,*,#176633,.F.); #224260=ORIENTED_EDGE('',*,*,#176632,.T.); #224261=ORIENTED_EDGE('',*,*,#176629,.T.); #224262=ORIENTED_EDGE('',*,*,#176634,.F.); #224263=ORIENTED_EDGE('',*,*,#176631,.T.); #224264=ORIENTED_EDGE('',*,*,#176635,.F.); #224265=ORIENTED_EDGE('',*,*,#176636,.T.); #224266=ORIENTED_EDGE('',*,*,#176637,.F.); #224267=ORIENTED_EDGE('',*,*,#176636,.F.); #224268=ORIENTED_EDGE('',*,*,#176638,.F.); #224269=ORIENTED_EDGE('',*,*,#176639,.T.); #224270=ORIENTED_EDGE('',*,*,#176640,.T.); #224271=ORIENTED_EDGE('',*,*,#176639,.F.); #224272=ORIENTED_EDGE('',*,*,#176638,.T.); #224273=ORIENTED_EDGE('',*,*,#176635,.T.); #224274=ORIENTED_EDGE('',*,*,#176640,.F.); #224275=ORIENTED_EDGE('',*,*,#176637,.T.); #224276=ORIENTED_EDGE('',*,*,#176641,.F.); #224277=ORIENTED_EDGE('',*,*,#176642,.T.); #224278=ORIENTED_EDGE('',*,*,#176643,.F.); #224279=ORIENTED_EDGE('',*,*,#176642,.F.); #224280=ORIENTED_EDGE('',*,*,#176644,.F.); #224281=ORIENTED_EDGE('',*,*,#176645,.T.); #224282=ORIENTED_EDGE('',*,*,#176646,.T.); #224283=ORIENTED_EDGE('',*,*,#176645,.F.); #224284=ORIENTED_EDGE('',*,*,#176644,.T.); #224285=ORIENTED_EDGE('',*,*,#176641,.T.); #224286=ORIENTED_EDGE('',*,*,#176646,.F.); #224287=ORIENTED_EDGE('',*,*,#176643,.T.); #224288=ORIENTED_EDGE('',*,*,#176647,.F.); #224289=ORIENTED_EDGE('',*,*,#176648,.T.); #224290=ORIENTED_EDGE('',*,*,#176649,.F.); #224291=ORIENTED_EDGE('',*,*,#176648,.F.); #224292=ORIENTED_EDGE('',*,*,#176650,.F.); #224293=ORIENTED_EDGE('',*,*,#176651,.T.); #224294=ORIENTED_EDGE('',*,*,#176652,.T.); #224295=ORIENTED_EDGE('',*,*,#176651,.F.); #224296=ORIENTED_EDGE('',*,*,#176650,.T.); #224297=ORIENTED_EDGE('',*,*,#176647,.T.); #224298=ORIENTED_EDGE('',*,*,#176652,.F.); #224299=ORIENTED_EDGE('',*,*,#176649,.T.); #224300=ORIENTED_EDGE('',*,*,#176653,.F.); #224301=ORIENTED_EDGE('',*,*,#176654,.T.); #224302=ORIENTED_EDGE('',*,*,#176655,.F.); #224303=ORIENTED_EDGE('',*,*,#176654,.F.); #224304=ORIENTED_EDGE('',*,*,#176656,.F.); #224305=ORIENTED_EDGE('',*,*,#176657,.T.); #224306=ORIENTED_EDGE('',*,*,#176658,.T.); #224307=ORIENTED_EDGE('',*,*,#176657,.F.); #224308=ORIENTED_EDGE('',*,*,#176656,.T.); #224309=ORIENTED_EDGE('',*,*,#176653,.T.); #224310=ORIENTED_EDGE('',*,*,#176658,.F.); #224311=ORIENTED_EDGE('',*,*,#176655,.T.); #224312=ORIENTED_EDGE('',*,*,#176659,.F.); #224313=ORIENTED_EDGE('',*,*,#176660,.T.); #224314=ORIENTED_EDGE('',*,*,#176661,.F.); #224315=ORIENTED_EDGE('',*,*,#176660,.F.); #224316=ORIENTED_EDGE('',*,*,#176662,.F.); #224317=ORIENTED_EDGE('',*,*,#176663,.T.); #224318=ORIENTED_EDGE('',*,*,#176664,.T.); #224319=ORIENTED_EDGE('',*,*,#176663,.F.); #224320=ORIENTED_EDGE('',*,*,#176662,.T.); #224321=ORIENTED_EDGE('',*,*,#176659,.T.); #224322=ORIENTED_EDGE('',*,*,#176664,.F.); #224323=ORIENTED_EDGE('',*,*,#176661,.T.); #224324=ORIENTED_EDGE('',*,*,#176665,.F.); #224325=ORIENTED_EDGE('',*,*,#176666,.T.); #224326=ORIENTED_EDGE('',*,*,#176667,.F.); #224327=ORIENTED_EDGE('',*,*,#176666,.F.); #224328=ORIENTED_EDGE('',*,*,#176668,.F.); #224329=ORIENTED_EDGE('',*,*,#176669,.T.); #224330=ORIENTED_EDGE('',*,*,#176670,.T.); #224331=ORIENTED_EDGE('',*,*,#176669,.F.); #224332=ORIENTED_EDGE('',*,*,#176668,.T.); #224333=ORIENTED_EDGE('',*,*,#176665,.T.); #224334=ORIENTED_EDGE('',*,*,#176670,.F.); #224335=ORIENTED_EDGE('',*,*,#176667,.T.); #224336=ORIENTED_EDGE('',*,*,#176671,.F.); #224337=ORIENTED_EDGE('',*,*,#176672,.T.); #224338=ORIENTED_EDGE('',*,*,#176673,.F.); #224339=ORIENTED_EDGE('',*,*,#176672,.F.); #224340=ORIENTED_EDGE('',*,*,#176674,.F.); #224341=ORIENTED_EDGE('',*,*,#176675,.T.); #224342=ORIENTED_EDGE('',*,*,#176676,.T.); #224343=ORIENTED_EDGE('',*,*,#176675,.F.); #224344=ORIENTED_EDGE('',*,*,#176674,.T.); #224345=ORIENTED_EDGE('',*,*,#176671,.T.); #224346=ORIENTED_EDGE('',*,*,#176676,.F.); #224347=ORIENTED_EDGE('',*,*,#176673,.T.); #224348=ORIENTED_EDGE('',*,*,#176677,.F.); #224349=ORIENTED_EDGE('',*,*,#176678,.T.); #224350=ORIENTED_EDGE('',*,*,#176679,.F.); #224351=ORIENTED_EDGE('',*,*,#176678,.F.); #224352=ORIENTED_EDGE('',*,*,#176680,.F.); #224353=ORIENTED_EDGE('',*,*,#176681,.T.); #224354=ORIENTED_EDGE('',*,*,#176682,.T.); #224355=ORIENTED_EDGE('',*,*,#176681,.F.); #224356=ORIENTED_EDGE('',*,*,#176680,.T.); #224357=ORIENTED_EDGE('',*,*,#176677,.T.); #224358=ORIENTED_EDGE('',*,*,#176682,.F.); #224359=ORIENTED_EDGE('',*,*,#176679,.T.); #224360=ORIENTED_EDGE('',*,*,#176683,.F.); #224361=ORIENTED_EDGE('',*,*,#176684,.T.); #224362=ORIENTED_EDGE('',*,*,#176685,.F.); #224363=ORIENTED_EDGE('',*,*,#176684,.F.); #224364=ORIENTED_EDGE('',*,*,#176686,.F.); #224365=ORIENTED_EDGE('',*,*,#176687,.T.); #224366=ORIENTED_EDGE('',*,*,#176688,.T.); #224367=ORIENTED_EDGE('',*,*,#176687,.F.); #224368=ORIENTED_EDGE('',*,*,#176686,.T.); #224369=ORIENTED_EDGE('',*,*,#176683,.T.); #224370=ORIENTED_EDGE('',*,*,#176688,.F.); #224371=ORIENTED_EDGE('',*,*,#176685,.T.); #224372=ORIENTED_EDGE('',*,*,#176689,.F.); #224373=ORIENTED_EDGE('',*,*,#176690,.T.); #224374=ORIENTED_EDGE('',*,*,#176691,.F.); #224375=ORIENTED_EDGE('',*,*,#176690,.F.); #224376=ORIENTED_EDGE('',*,*,#176692,.F.); #224377=ORIENTED_EDGE('',*,*,#176693,.T.); #224378=ORIENTED_EDGE('',*,*,#176694,.T.); #224379=ORIENTED_EDGE('',*,*,#176693,.F.); #224380=ORIENTED_EDGE('',*,*,#176692,.T.); #224381=ORIENTED_EDGE('',*,*,#176689,.T.); #224382=ORIENTED_EDGE('',*,*,#176694,.F.); #224383=ORIENTED_EDGE('',*,*,#176691,.T.); #224384=ORIENTED_EDGE('',*,*,#176695,.F.); #224385=ORIENTED_EDGE('',*,*,#176696,.T.); #224386=ORIENTED_EDGE('',*,*,#176697,.F.); #224387=ORIENTED_EDGE('',*,*,#176696,.F.); #224388=ORIENTED_EDGE('',*,*,#176698,.F.); #224389=ORIENTED_EDGE('',*,*,#176699,.T.); #224390=ORIENTED_EDGE('',*,*,#176700,.T.); #224391=ORIENTED_EDGE('',*,*,#176699,.F.); #224392=ORIENTED_EDGE('',*,*,#176698,.T.); #224393=ORIENTED_EDGE('',*,*,#176695,.T.); #224394=ORIENTED_EDGE('',*,*,#176700,.F.); #224395=ORIENTED_EDGE('',*,*,#176697,.T.); #224396=ORIENTED_EDGE('',*,*,#176701,.F.); #224397=ORIENTED_EDGE('',*,*,#176702,.T.); #224398=ORIENTED_EDGE('',*,*,#176703,.F.); #224399=ORIENTED_EDGE('',*,*,#176702,.F.); #224400=ORIENTED_EDGE('',*,*,#176704,.F.); #224401=ORIENTED_EDGE('',*,*,#176705,.T.); #224402=ORIENTED_EDGE('',*,*,#176706,.T.); #224403=ORIENTED_EDGE('',*,*,#176705,.F.); #224404=ORIENTED_EDGE('',*,*,#176704,.T.); #224405=ORIENTED_EDGE('',*,*,#176701,.T.); #224406=ORIENTED_EDGE('',*,*,#176706,.F.); #224407=ORIENTED_EDGE('',*,*,#176703,.T.); #224408=ORIENTED_EDGE('',*,*,#176707,.F.); #224409=ORIENTED_EDGE('',*,*,#176708,.T.); #224410=ORIENTED_EDGE('',*,*,#176709,.F.); #224411=ORIENTED_EDGE('',*,*,#176708,.F.); #224412=ORIENTED_EDGE('',*,*,#176710,.F.); #224413=ORIENTED_EDGE('',*,*,#176711,.T.); #224414=ORIENTED_EDGE('',*,*,#176712,.T.); #224415=ORIENTED_EDGE('',*,*,#176711,.F.); #224416=ORIENTED_EDGE('',*,*,#176710,.T.); #224417=ORIENTED_EDGE('',*,*,#176707,.T.); #224418=ORIENTED_EDGE('',*,*,#176712,.F.); #224419=ORIENTED_EDGE('',*,*,#176709,.T.); #224420=ORIENTED_EDGE('',*,*,#176713,.F.); #224421=ORIENTED_EDGE('',*,*,#176714,.T.); #224422=ORIENTED_EDGE('',*,*,#176715,.F.); #224423=ORIENTED_EDGE('',*,*,#176714,.F.); #224424=ORIENTED_EDGE('',*,*,#176716,.F.); #224425=ORIENTED_EDGE('',*,*,#176717,.T.); #224426=ORIENTED_EDGE('',*,*,#176718,.T.); #224427=ORIENTED_EDGE('',*,*,#176717,.F.); #224428=ORIENTED_EDGE('',*,*,#176716,.T.); #224429=ORIENTED_EDGE('',*,*,#176713,.T.); #224430=ORIENTED_EDGE('',*,*,#176718,.F.); #224431=ORIENTED_EDGE('',*,*,#176715,.T.); #224432=ORIENTED_EDGE('',*,*,#176719,.F.); #224433=ORIENTED_EDGE('',*,*,#176720,.T.); #224434=ORIENTED_EDGE('',*,*,#176721,.F.); #224435=ORIENTED_EDGE('',*,*,#176720,.F.); #224436=ORIENTED_EDGE('',*,*,#176722,.F.); #224437=ORIENTED_EDGE('',*,*,#176723,.T.); #224438=ORIENTED_EDGE('',*,*,#176724,.T.); #224439=ORIENTED_EDGE('',*,*,#176723,.F.); #224440=ORIENTED_EDGE('',*,*,#176722,.T.); #224441=ORIENTED_EDGE('',*,*,#176719,.T.); #224442=ORIENTED_EDGE('',*,*,#176724,.F.); #224443=ORIENTED_EDGE('',*,*,#176721,.T.); #224444=ORIENTED_EDGE('',*,*,#176725,.F.); #224445=ORIENTED_EDGE('',*,*,#176726,.T.); #224446=ORIENTED_EDGE('',*,*,#176727,.F.); #224447=ORIENTED_EDGE('',*,*,#176726,.F.); #224448=ORIENTED_EDGE('',*,*,#176728,.F.); #224449=ORIENTED_EDGE('',*,*,#176729,.T.); #224450=ORIENTED_EDGE('',*,*,#176730,.T.); #224451=ORIENTED_EDGE('',*,*,#176729,.F.); #224452=ORIENTED_EDGE('',*,*,#176728,.T.); #224453=ORIENTED_EDGE('',*,*,#176725,.T.); #224454=ORIENTED_EDGE('',*,*,#176730,.F.); #224455=ORIENTED_EDGE('',*,*,#176727,.T.); #224456=ORIENTED_EDGE('',*,*,#176731,.F.); #224457=ORIENTED_EDGE('',*,*,#176732,.T.); #224458=ORIENTED_EDGE('',*,*,#176733,.F.); #224459=ORIENTED_EDGE('',*,*,#176732,.F.); #224460=ORIENTED_EDGE('',*,*,#176734,.F.); #224461=ORIENTED_EDGE('',*,*,#176735,.T.); #224462=ORIENTED_EDGE('',*,*,#176736,.T.); #224463=ORIENTED_EDGE('',*,*,#176735,.F.); #224464=ORIENTED_EDGE('',*,*,#176734,.T.); #224465=ORIENTED_EDGE('',*,*,#176731,.T.); #224466=ORIENTED_EDGE('',*,*,#176736,.F.); #224467=ORIENTED_EDGE('',*,*,#176733,.T.); #224468=ORIENTED_EDGE('',*,*,#176737,.F.); #224469=ORIENTED_EDGE('',*,*,#176738,.T.); #224470=ORIENTED_EDGE('',*,*,#176739,.F.); #224471=ORIENTED_EDGE('',*,*,#176738,.F.); #224472=ORIENTED_EDGE('',*,*,#176740,.F.); #224473=ORIENTED_EDGE('',*,*,#176741,.T.); #224474=ORIENTED_EDGE('',*,*,#176742,.T.); #224475=ORIENTED_EDGE('',*,*,#176741,.F.); #224476=ORIENTED_EDGE('',*,*,#176740,.T.); #224477=ORIENTED_EDGE('',*,*,#176737,.T.); #224478=ORIENTED_EDGE('',*,*,#176742,.F.); #224479=ORIENTED_EDGE('',*,*,#176739,.T.); #224480=ORIENTED_EDGE('',*,*,#176743,.F.); #224481=ORIENTED_EDGE('',*,*,#176744,.T.); #224482=ORIENTED_EDGE('',*,*,#176745,.F.); #224483=ORIENTED_EDGE('',*,*,#176744,.F.); #224484=ORIENTED_EDGE('',*,*,#176746,.F.); #224485=ORIENTED_EDGE('',*,*,#176747,.T.); #224486=ORIENTED_EDGE('',*,*,#176748,.T.); #224487=ORIENTED_EDGE('',*,*,#176747,.F.); #224488=ORIENTED_EDGE('',*,*,#176746,.T.); #224489=ORIENTED_EDGE('',*,*,#176743,.T.); #224490=ORIENTED_EDGE('',*,*,#176748,.F.); #224491=ORIENTED_EDGE('',*,*,#176745,.T.); #224492=ORIENTED_EDGE('',*,*,#176749,.F.); #224493=ORIENTED_EDGE('',*,*,#176750,.T.); #224494=ORIENTED_EDGE('',*,*,#176751,.F.); #224495=ORIENTED_EDGE('',*,*,#176750,.F.); #224496=ORIENTED_EDGE('',*,*,#176752,.F.); #224497=ORIENTED_EDGE('',*,*,#176753,.T.); #224498=ORIENTED_EDGE('',*,*,#176754,.T.); #224499=ORIENTED_EDGE('',*,*,#176753,.F.); #224500=ORIENTED_EDGE('',*,*,#176752,.T.); #224501=ORIENTED_EDGE('',*,*,#176749,.T.); #224502=ORIENTED_EDGE('',*,*,#176754,.F.); #224503=ORIENTED_EDGE('',*,*,#176751,.T.); #224504=ORIENTED_EDGE('',*,*,#176755,.F.); #224505=ORIENTED_EDGE('',*,*,#176756,.T.); #224506=ORIENTED_EDGE('',*,*,#176757,.F.); #224507=ORIENTED_EDGE('',*,*,#176756,.F.); #224508=ORIENTED_EDGE('',*,*,#176758,.F.); #224509=ORIENTED_EDGE('',*,*,#176759,.T.); #224510=ORIENTED_EDGE('',*,*,#176760,.T.); #224511=ORIENTED_EDGE('',*,*,#176759,.F.); #224512=ORIENTED_EDGE('',*,*,#176758,.T.); #224513=ORIENTED_EDGE('',*,*,#176755,.T.); #224514=ORIENTED_EDGE('',*,*,#176760,.F.); #224515=ORIENTED_EDGE('',*,*,#176757,.T.); #224516=ORIENTED_EDGE('',*,*,#176761,.F.); #224517=ORIENTED_EDGE('',*,*,#176762,.T.); #224518=ORIENTED_EDGE('',*,*,#176763,.F.); #224519=ORIENTED_EDGE('',*,*,#176762,.F.); #224520=ORIENTED_EDGE('',*,*,#176764,.F.); #224521=ORIENTED_EDGE('',*,*,#176765,.T.); #224522=ORIENTED_EDGE('',*,*,#176766,.T.); #224523=ORIENTED_EDGE('',*,*,#176765,.F.); #224524=ORIENTED_EDGE('',*,*,#176764,.T.); #224525=ORIENTED_EDGE('',*,*,#176761,.T.); #224526=ORIENTED_EDGE('',*,*,#176766,.F.); #224527=ORIENTED_EDGE('',*,*,#176763,.T.); #224528=ORIENTED_EDGE('',*,*,#176767,.F.); #224529=ORIENTED_EDGE('',*,*,#176768,.T.); #224530=ORIENTED_EDGE('',*,*,#176769,.F.); #224531=ORIENTED_EDGE('',*,*,#176768,.F.); #224532=ORIENTED_EDGE('',*,*,#176770,.F.); #224533=ORIENTED_EDGE('',*,*,#176771,.T.); #224534=ORIENTED_EDGE('',*,*,#176772,.T.); #224535=ORIENTED_EDGE('',*,*,#176771,.F.); #224536=ORIENTED_EDGE('',*,*,#176770,.T.); #224537=ORIENTED_EDGE('',*,*,#176767,.T.); #224538=ORIENTED_EDGE('',*,*,#176772,.F.); #224539=ORIENTED_EDGE('',*,*,#176769,.T.); #224540=ORIENTED_EDGE('',*,*,#176773,.F.); #224541=ORIENTED_EDGE('',*,*,#176774,.T.); #224542=ORIENTED_EDGE('',*,*,#176775,.F.); #224543=ORIENTED_EDGE('',*,*,#176774,.F.); #224544=ORIENTED_EDGE('',*,*,#176776,.F.); #224545=ORIENTED_EDGE('',*,*,#176777,.T.); #224546=ORIENTED_EDGE('',*,*,#176778,.T.); #224547=ORIENTED_EDGE('',*,*,#176777,.F.); #224548=ORIENTED_EDGE('',*,*,#176776,.T.); #224549=ORIENTED_EDGE('',*,*,#176773,.T.); #224550=ORIENTED_EDGE('',*,*,#176778,.F.); #224551=ORIENTED_EDGE('',*,*,#176775,.T.); #224552=ORIENTED_EDGE('',*,*,#176779,.F.); #224553=ORIENTED_EDGE('',*,*,#176780,.T.); #224554=ORIENTED_EDGE('',*,*,#176781,.F.); #224555=ORIENTED_EDGE('',*,*,#176780,.F.); #224556=ORIENTED_EDGE('',*,*,#176782,.F.); #224557=ORIENTED_EDGE('',*,*,#176783,.T.); #224558=ORIENTED_EDGE('',*,*,#176784,.T.); #224559=ORIENTED_EDGE('',*,*,#176783,.F.); #224560=ORIENTED_EDGE('',*,*,#176782,.T.); #224561=ORIENTED_EDGE('',*,*,#176779,.T.); #224562=ORIENTED_EDGE('',*,*,#176784,.F.); #224563=ORIENTED_EDGE('',*,*,#176781,.T.); #224564=ORIENTED_EDGE('',*,*,#176785,.F.); #224565=ORIENTED_EDGE('',*,*,#176786,.T.); #224566=ORIENTED_EDGE('',*,*,#176787,.F.); #224567=ORIENTED_EDGE('',*,*,#176786,.F.); #224568=ORIENTED_EDGE('',*,*,#176788,.F.); #224569=ORIENTED_EDGE('',*,*,#176789,.T.); #224570=ORIENTED_EDGE('',*,*,#176790,.T.); #224571=ORIENTED_EDGE('',*,*,#176789,.F.); #224572=ORIENTED_EDGE('',*,*,#176788,.T.); #224573=ORIENTED_EDGE('',*,*,#176785,.T.); #224574=ORIENTED_EDGE('',*,*,#176790,.F.); #224575=ORIENTED_EDGE('',*,*,#176787,.T.); #224576=ORIENTED_EDGE('',*,*,#176791,.F.); #224577=ORIENTED_EDGE('',*,*,#176792,.T.); #224578=ORIENTED_EDGE('',*,*,#176793,.F.); #224579=ORIENTED_EDGE('',*,*,#176792,.F.); #224580=ORIENTED_EDGE('',*,*,#176794,.F.); #224581=ORIENTED_EDGE('',*,*,#176795,.T.); #224582=ORIENTED_EDGE('',*,*,#176796,.T.); #224583=ORIENTED_EDGE('',*,*,#176795,.F.); #224584=ORIENTED_EDGE('',*,*,#176794,.T.); #224585=ORIENTED_EDGE('',*,*,#176791,.T.); #224586=ORIENTED_EDGE('',*,*,#176796,.F.); #224587=ORIENTED_EDGE('',*,*,#176793,.T.); #224588=ORIENTED_EDGE('',*,*,#176797,.F.); #224589=ORIENTED_EDGE('',*,*,#176798,.T.); #224590=ORIENTED_EDGE('',*,*,#176799,.F.); #224591=ORIENTED_EDGE('',*,*,#176798,.F.); #224592=ORIENTED_EDGE('',*,*,#176800,.F.); #224593=ORIENTED_EDGE('',*,*,#176801,.T.); #224594=ORIENTED_EDGE('',*,*,#176802,.T.); #224595=ORIENTED_EDGE('',*,*,#176801,.F.); #224596=ORIENTED_EDGE('',*,*,#176800,.T.); #224597=ORIENTED_EDGE('',*,*,#176797,.T.); #224598=ORIENTED_EDGE('',*,*,#176802,.F.); #224599=ORIENTED_EDGE('',*,*,#176799,.T.); #224600=ORIENTED_EDGE('',*,*,#176803,.F.); #224601=ORIENTED_EDGE('',*,*,#176804,.T.); #224602=ORIENTED_EDGE('',*,*,#176805,.F.); #224603=ORIENTED_EDGE('',*,*,#176804,.F.); #224604=ORIENTED_EDGE('',*,*,#176806,.F.); #224605=ORIENTED_EDGE('',*,*,#176807,.T.); #224606=ORIENTED_EDGE('',*,*,#176808,.T.); #224607=ORIENTED_EDGE('',*,*,#176807,.F.); #224608=ORIENTED_EDGE('',*,*,#176806,.T.); #224609=ORIENTED_EDGE('',*,*,#176803,.T.); #224610=ORIENTED_EDGE('',*,*,#176808,.F.); #224611=ORIENTED_EDGE('',*,*,#176805,.T.); #224612=ORIENTED_EDGE('',*,*,#176809,.F.); #224613=ORIENTED_EDGE('',*,*,#176810,.T.); #224614=ORIENTED_EDGE('',*,*,#176811,.F.); #224615=ORIENTED_EDGE('',*,*,#176810,.F.); #224616=ORIENTED_EDGE('',*,*,#176812,.F.); #224617=ORIENTED_EDGE('',*,*,#176813,.T.); #224618=ORIENTED_EDGE('',*,*,#176814,.T.); #224619=ORIENTED_EDGE('',*,*,#176813,.F.); #224620=ORIENTED_EDGE('',*,*,#176812,.T.); #224621=ORIENTED_EDGE('',*,*,#176809,.T.); #224622=ORIENTED_EDGE('',*,*,#176814,.F.); #224623=ORIENTED_EDGE('',*,*,#176811,.T.); #224624=ORIENTED_EDGE('',*,*,#176815,.F.); #224625=ORIENTED_EDGE('',*,*,#176816,.T.); #224626=ORIENTED_EDGE('',*,*,#176817,.F.); #224627=ORIENTED_EDGE('',*,*,#176816,.F.); #224628=ORIENTED_EDGE('',*,*,#176818,.F.); #224629=ORIENTED_EDGE('',*,*,#176819,.T.); #224630=ORIENTED_EDGE('',*,*,#176820,.T.); #224631=ORIENTED_EDGE('',*,*,#176819,.F.); #224632=ORIENTED_EDGE('',*,*,#176818,.T.); #224633=ORIENTED_EDGE('',*,*,#176815,.T.); #224634=ORIENTED_EDGE('',*,*,#176820,.F.); #224635=ORIENTED_EDGE('',*,*,#176817,.T.); #224636=ORIENTED_EDGE('',*,*,#176821,.F.); #224637=ORIENTED_EDGE('',*,*,#176822,.T.); #224638=ORIENTED_EDGE('',*,*,#176823,.F.); #224639=ORIENTED_EDGE('',*,*,#176822,.F.); #224640=ORIENTED_EDGE('',*,*,#176824,.F.); #224641=ORIENTED_EDGE('',*,*,#176825,.T.); #224642=ORIENTED_EDGE('',*,*,#176826,.T.); #224643=ORIENTED_EDGE('',*,*,#176825,.F.); #224644=ORIENTED_EDGE('',*,*,#176824,.T.); #224645=ORIENTED_EDGE('',*,*,#176821,.T.); #224646=ORIENTED_EDGE('',*,*,#176826,.F.); #224647=ORIENTED_EDGE('',*,*,#176823,.T.); #224648=ORIENTED_EDGE('',*,*,#176827,.F.); #224649=ORIENTED_EDGE('',*,*,#176828,.T.); #224650=ORIENTED_EDGE('',*,*,#176829,.F.); #224651=ORIENTED_EDGE('',*,*,#176828,.F.); #224652=ORIENTED_EDGE('',*,*,#176830,.F.); #224653=ORIENTED_EDGE('',*,*,#176831,.T.); #224654=ORIENTED_EDGE('',*,*,#176832,.T.); #224655=ORIENTED_EDGE('',*,*,#176831,.F.); #224656=ORIENTED_EDGE('',*,*,#176830,.T.); #224657=ORIENTED_EDGE('',*,*,#176827,.T.); #224658=ORIENTED_EDGE('',*,*,#176832,.F.); #224659=ORIENTED_EDGE('',*,*,#176829,.T.); #224660=ORIENTED_EDGE('',*,*,#176833,.F.); #224661=ORIENTED_EDGE('',*,*,#176834,.T.); #224662=ORIENTED_EDGE('',*,*,#176835,.F.); #224663=ORIENTED_EDGE('',*,*,#176834,.F.); #224664=ORIENTED_EDGE('',*,*,#176836,.F.); #224665=ORIENTED_EDGE('',*,*,#176837,.T.); #224666=ORIENTED_EDGE('',*,*,#176838,.T.); #224667=ORIENTED_EDGE('',*,*,#176837,.F.); #224668=ORIENTED_EDGE('',*,*,#176836,.T.); #224669=ORIENTED_EDGE('',*,*,#176833,.T.); #224670=ORIENTED_EDGE('',*,*,#176838,.F.); #224671=ORIENTED_EDGE('',*,*,#176835,.T.); #224672=ORIENTED_EDGE('',*,*,#176839,.F.); #224673=ORIENTED_EDGE('',*,*,#176840,.T.); #224674=ORIENTED_EDGE('',*,*,#176841,.F.); #224675=ORIENTED_EDGE('',*,*,#176840,.F.); #224676=ORIENTED_EDGE('',*,*,#176842,.F.); #224677=ORIENTED_EDGE('',*,*,#176843,.T.); #224678=ORIENTED_EDGE('',*,*,#176844,.T.); #224679=ORIENTED_EDGE('',*,*,#176843,.F.); #224680=ORIENTED_EDGE('',*,*,#176842,.T.); #224681=ORIENTED_EDGE('',*,*,#176839,.T.); #224682=ORIENTED_EDGE('',*,*,#176844,.F.); #224683=ORIENTED_EDGE('',*,*,#176841,.T.); #224684=ORIENTED_EDGE('',*,*,#176845,.F.); #224685=ORIENTED_EDGE('',*,*,#176846,.T.); #224686=ORIENTED_EDGE('',*,*,#176847,.F.); #224687=ORIENTED_EDGE('',*,*,#176846,.F.); #224688=ORIENTED_EDGE('',*,*,#176848,.F.); #224689=ORIENTED_EDGE('',*,*,#176849,.T.); #224690=ORIENTED_EDGE('',*,*,#176850,.T.); #224691=ORIENTED_EDGE('',*,*,#176849,.F.); #224692=ORIENTED_EDGE('',*,*,#176848,.T.); #224693=ORIENTED_EDGE('',*,*,#176845,.T.); #224694=ORIENTED_EDGE('',*,*,#176850,.F.); #224695=ORIENTED_EDGE('',*,*,#176847,.T.); #224696=ORIENTED_EDGE('',*,*,#176851,.F.); #224697=ORIENTED_EDGE('',*,*,#176852,.T.); #224698=ORIENTED_EDGE('',*,*,#176853,.F.); #224699=ORIENTED_EDGE('',*,*,#176852,.F.); #224700=ORIENTED_EDGE('',*,*,#176854,.F.); #224701=ORIENTED_EDGE('',*,*,#176855,.T.); #224702=ORIENTED_EDGE('',*,*,#176856,.T.); #224703=ORIENTED_EDGE('',*,*,#176855,.F.); #224704=ORIENTED_EDGE('',*,*,#176854,.T.); #224705=ORIENTED_EDGE('',*,*,#176851,.T.); #224706=ORIENTED_EDGE('',*,*,#176856,.F.); #224707=ORIENTED_EDGE('',*,*,#176853,.T.); #224708=ORIENTED_EDGE('',*,*,#176857,.F.); #224709=ORIENTED_EDGE('',*,*,#176858,.T.); #224710=ORIENTED_EDGE('',*,*,#176859,.F.); #224711=ORIENTED_EDGE('',*,*,#176858,.F.); #224712=ORIENTED_EDGE('',*,*,#176860,.F.); #224713=ORIENTED_EDGE('',*,*,#176861,.T.); #224714=ORIENTED_EDGE('',*,*,#176862,.T.); #224715=ORIENTED_EDGE('',*,*,#176861,.F.); #224716=ORIENTED_EDGE('',*,*,#176860,.T.); #224717=ORIENTED_EDGE('',*,*,#176857,.T.); #224718=ORIENTED_EDGE('',*,*,#176862,.F.); #224719=ORIENTED_EDGE('',*,*,#176859,.T.); #224720=ORIENTED_EDGE('',*,*,#176863,.F.); #224721=ORIENTED_EDGE('',*,*,#176864,.T.); #224722=ORIENTED_EDGE('',*,*,#176865,.F.); #224723=ORIENTED_EDGE('',*,*,#176864,.F.); #224724=ORIENTED_EDGE('',*,*,#176866,.F.); #224725=ORIENTED_EDGE('',*,*,#176867,.T.); #224726=ORIENTED_EDGE('',*,*,#176868,.T.); #224727=ORIENTED_EDGE('',*,*,#176867,.F.); #224728=ORIENTED_EDGE('',*,*,#176866,.T.); #224729=ORIENTED_EDGE('',*,*,#176863,.T.); #224730=ORIENTED_EDGE('',*,*,#176868,.F.); #224731=ORIENTED_EDGE('',*,*,#176865,.T.); #224732=ORIENTED_EDGE('',*,*,#176869,.F.); #224733=ORIENTED_EDGE('',*,*,#176870,.T.); #224734=ORIENTED_EDGE('',*,*,#176871,.F.); #224735=ORIENTED_EDGE('',*,*,#176870,.F.); #224736=ORIENTED_EDGE('',*,*,#176872,.F.); #224737=ORIENTED_EDGE('',*,*,#176873,.T.); #224738=ORIENTED_EDGE('',*,*,#176874,.T.); #224739=ORIENTED_EDGE('',*,*,#176873,.F.); #224740=ORIENTED_EDGE('',*,*,#176872,.T.); #224741=ORIENTED_EDGE('',*,*,#176869,.T.); #224742=ORIENTED_EDGE('',*,*,#176874,.F.); #224743=ORIENTED_EDGE('',*,*,#176871,.T.); #224744=ORIENTED_EDGE('',*,*,#176875,.F.); #224745=ORIENTED_EDGE('',*,*,#176876,.T.); #224746=ORIENTED_EDGE('',*,*,#176877,.F.); #224747=ORIENTED_EDGE('',*,*,#176876,.F.); #224748=ORIENTED_EDGE('',*,*,#176878,.F.); #224749=ORIENTED_EDGE('',*,*,#176879,.T.); #224750=ORIENTED_EDGE('',*,*,#176880,.T.); #224751=ORIENTED_EDGE('',*,*,#176879,.F.); #224752=ORIENTED_EDGE('',*,*,#176878,.T.); #224753=ORIENTED_EDGE('',*,*,#176875,.T.); #224754=ORIENTED_EDGE('',*,*,#176880,.F.); #224755=ORIENTED_EDGE('',*,*,#176877,.T.); #224756=ORIENTED_EDGE('',*,*,#176881,.F.); #224757=ORIENTED_EDGE('',*,*,#176882,.T.); #224758=ORIENTED_EDGE('',*,*,#176883,.F.); #224759=ORIENTED_EDGE('',*,*,#176882,.F.); #224760=ORIENTED_EDGE('',*,*,#176884,.F.); #224761=ORIENTED_EDGE('',*,*,#176885,.T.); #224762=ORIENTED_EDGE('',*,*,#176886,.T.); #224763=ORIENTED_EDGE('',*,*,#176885,.F.); #224764=ORIENTED_EDGE('',*,*,#176884,.T.); #224765=ORIENTED_EDGE('',*,*,#176881,.T.); #224766=ORIENTED_EDGE('',*,*,#176886,.F.); #224767=ORIENTED_EDGE('',*,*,#176883,.T.); #224768=ORIENTED_EDGE('',*,*,#176887,.F.); #224769=ORIENTED_EDGE('',*,*,#176888,.T.); #224770=ORIENTED_EDGE('',*,*,#176889,.F.); #224771=ORIENTED_EDGE('',*,*,#176888,.F.); #224772=ORIENTED_EDGE('',*,*,#176890,.F.); #224773=ORIENTED_EDGE('',*,*,#176891,.T.); #224774=ORIENTED_EDGE('',*,*,#176892,.T.); #224775=ORIENTED_EDGE('',*,*,#176891,.F.); #224776=ORIENTED_EDGE('',*,*,#176890,.T.); #224777=ORIENTED_EDGE('',*,*,#176887,.T.); #224778=ORIENTED_EDGE('',*,*,#176892,.F.); #224779=ORIENTED_EDGE('',*,*,#176889,.T.); #224780=ORIENTED_EDGE('',*,*,#176893,.F.); #224781=ORIENTED_EDGE('',*,*,#176894,.T.); #224782=ORIENTED_EDGE('',*,*,#176895,.F.); #224783=ORIENTED_EDGE('',*,*,#176894,.F.); #224784=ORIENTED_EDGE('',*,*,#176896,.F.); #224785=ORIENTED_EDGE('',*,*,#176897,.T.); #224786=ORIENTED_EDGE('',*,*,#176898,.T.); #224787=ORIENTED_EDGE('',*,*,#176897,.F.); #224788=ORIENTED_EDGE('',*,*,#176896,.T.); #224789=ORIENTED_EDGE('',*,*,#176893,.T.); #224790=ORIENTED_EDGE('',*,*,#176898,.F.); #224791=ORIENTED_EDGE('',*,*,#176895,.T.); #224792=ORIENTED_EDGE('',*,*,#176899,.F.); #224793=ORIENTED_EDGE('',*,*,#176900,.T.); #224794=ORIENTED_EDGE('',*,*,#176901,.F.); #224795=ORIENTED_EDGE('',*,*,#176900,.F.); #224796=ORIENTED_EDGE('',*,*,#176902,.F.); #224797=ORIENTED_EDGE('',*,*,#176903,.T.); #224798=ORIENTED_EDGE('',*,*,#176904,.T.); #224799=ORIENTED_EDGE('',*,*,#176903,.F.); #224800=ORIENTED_EDGE('',*,*,#176902,.T.); #224801=ORIENTED_EDGE('',*,*,#176899,.T.); #224802=ORIENTED_EDGE('',*,*,#176904,.F.); #224803=ORIENTED_EDGE('',*,*,#176901,.T.); #224804=ORIENTED_EDGE('',*,*,#176905,.F.); #224805=ORIENTED_EDGE('',*,*,#176906,.T.); #224806=ORIENTED_EDGE('',*,*,#176907,.F.); #224807=ORIENTED_EDGE('',*,*,#176906,.F.); #224808=ORIENTED_EDGE('',*,*,#176908,.F.); #224809=ORIENTED_EDGE('',*,*,#176909,.T.); #224810=ORIENTED_EDGE('',*,*,#176910,.T.); #224811=ORIENTED_EDGE('',*,*,#176909,.F.); #224812=ORIENTED_EDGE('',*,*,#176908,.T.); #224813=ORIENTED_EDGE('',*,*,#176905,.T.); #224814=ORIENTED_EDGE('',*,*,#176910,.F.); #224815=ORIENTED_EDGE('',*,*,#176907,.T.); #224816=ORIENTED_EDGE('',*,*,#176911,.F.); #224817=ORIENTED_EDGE('',*,*,#176912,.T.); #224818=ORIENTED_EDGE('',*,*,#176913,.F.); #224819=ORIENTED_EDGE('',*,*,#176912,.F.); #224820=ORIENTED_EDGE('',*,*,#176914,.F.); #224821=ORIENTED_EDGE('',*,*,#176915,.T.); #224822=ORIENTED_EDGE('',*,*,#176916,.T.); #224823=ORIENTED_EDGE('',*,*,#176915,.F.); #224824=ORIENTED_EDGE('',*,*,#176914,.T.); #224825=ORIENTED_EDGE('',*,*,#176911,.T.); #224826=ORIENTED_EDGE('',*,*,#176916,.F.); #224827=ORIENTED_EDGE('',*,*,#176913,.T.); #224828=ORIENTED_EDGE('',*,*,#176917,.F.); #224829=ORIENTED_EDGE('',*,*,#176918,.T.); #224830=ORIENTED_EDGE('',*,*,#176919,.F.); #224831=ORIENTED_EDGE('',*,*,#176918,.F.); #224832=ORIENTED_EDGE('',*,*,#176920,.F.); #224833=ORIENTED_EDGE('',*,*,#176921,.T.); #224834=ORIENTED_EDGE('',*,*,#176922,.T.); #224835=ORIENTED_EDGE('',*,*,#176921,.F.); #224836=ORIENTED_EDGE('',*,*,#176920,.T.); #224837=ORIENTED_EDGE('',*,*,#176917,.T.); #224838=ORIENTED_EDGE('',*,*,#176922,.F.); #224839=ORIENTED_EDGE('',*,*,#176919,.T.); #224840=ORIENTED_EDGE('',*,*,#176923,.F.); #224841=ORIENTED_EDGE('',*,*,#176924,.T.); #224842=ORIENTED_EDGE('',*,*,#176925,.F.); #224843=ORIENTED_EDGE('',*,*,#176924,.F.); #224844=ORIENTED_EDGE('',*,*,#176926,.F.); #224845=ORIENTED_EDGE('',*,*,#176927,.T.); #224846=ORIENTED_EDGE('',*,*,#176928,.T.); #224847=ORIENTED_EDGE('',*,*,#176927,.F.); #224848=ORIENTED_EDGE('',*,*,#176926,.T.); #224849=ORIENTED_EDGE('',*,*,#176923,.T.); #224850=ORIENTED_EDGE('',*,*,#176928,.F.); #224851=ORIENTED_EDGE('',*,*,#176925,.T.); #224852=ORIENTED_EDGE('',*,*,#176929,.F.); #224853=ORIENTED_EDGE('',*,*,#176930,.T.); #224854=ORIENTED_EDGE('',*,*,#176931,.F.); #224855=ORIENTED_EDGE('',*,*,#176930,.F.); #224856=ORIENTED_EDGE('',*,*,#176932,.F.); #224857=ORIENTED_EDGE('',*,*,#176933,.T.); #224858=ORIENTED_EDGE('',*,*,#176934,.T.); #224859=ORIENTED_EDGE('',*,*,#176933,.F.); #224860=ORIENTED_EDGE('',*,*,#176932,.T.); #224861=ORIENTED_EDGE('',*,*,#176929,.T.); #224862=ORIENTED_EDGE('',*,*,#176934,.F.); #224863=ORIENTED_EDGE('',*,*,#176931,.T.); #224864=ORIENTED_EDGE('',*,*,#176935,.F.); #224865=ORIENTED_EDGE('',*,*,#176936,.T.); #224866=ORIENTED_EDGE('',*,*,#176937,.F.); #224867=ORIENTED_EDGE('',*,*,#176936,.F.); #224868=ORIENTED_EDGE('',*,*,#176938,.F.); #224869=ORIENTED_EDGE('',*,*,#176939,.T.); #224870=ORIENTED_EDGE('',*,*,#176940,.T.); #224871=ORIENTED_EDGE('',*,*,#176939,.F.); #224872=ORIENTED_EDGE('',*,*,#176938,.T.); #224873=ORIENTED_EDGE('',*,*,#176935,.T.); #224874=ORIENTED_EDGE('',*,*,#176940,.F.); #224875=ORIENTED_EDGE('',*,*,#176937,.T.); #224876=ORIENTED_EDGE('',*,*,#176941,.F.); #224877=ORIENTED_EDGE('',*,*,#176942,.T.); #224878=ORIENTED_EDGE('',*,*,#176943,.F.); #224879=ORIENTED_EDGE('',*,*,#176942,.F.); #224880=ORIENTED_EDGE('',*,*,#176944,.F.); #224881=ORIENTED_EDGE('',*,*,#176945,.T.); #224882=ORIENTED_EDGE('',*,*,#176946,.T.); #224883=ORIENTED_EDGE('',*,*,#176945,.F.); #224884=ORIENTED_EDGE('',*,*,#176944,.T.); #224885=ORIENTED_EDGE('',*,*,#176941,.T.); #224886=ORIENTED_EDGE('',*,*,#176946,.F.); #224887=ORIENTED_EDGE('',*,*,#176943,.T.); #224888=ORIENTED_EDGE('',*,*,#176947,.F.); #224889=ORIENTED_EDGE('',*,*,#176948,.T.); #224890=ORIENTED_EDGE('',*,*,#176949,.F.); #224891=ORIENTED_EDGE('',*,*,#176948,.F.); #224892=ORIENTED_EDGE('',*,*,#176950,.F.); #224893=ORIENTED_EDGE('',*,*,#176951,.T.); #224894=ORIENTED_EDGE('',*,*,#176952,.T.); #224895=ORIENTED_EDGE('',*,*,#176951,.F.); #224896=ORIENTED_EDGE('',*,*,#176950,.T.); #224897=ORIENTED_EDGE('',*,*,#176947,.T.); #224898=ORIENTED_EDGE('',*,*,#176952,.F.); #224899=ORIENTED_EDGE('',*,*,#176949,.T.); #224900=ORIENTED_EDGE('',*,*,#176953,.F.); #224901=ORIENTED_EDGE('',*,*,#176954,.T.); #224902=ORIENTED_EDGE('',*,*,#176955,.F.); #224903=ORIENTED_EDGE('',*,*,#176954,.F.); #224904=ORIENTED_EDGE('',*,*,#176956,.F.); #224905=ORIENTED_EDGE('',*,*,#176957,.T.); #224906=ORIENTED_EDGE('',*,*,#176958,.T.); #224907=ORIENTED_EDGE('',*,*,#176957,.F.); #224908=ORIENTED_EDGE('',*,*,#176956,.T.); #224909=ORIENTED_EDGE('',*,*,#176953,.T.); #224910=ORIENTED_EDGE('',*,*,#176958,.F.); #224911=ORIENTED_EDGE('',*,*,#176955,.T.); #224912=ORIENTED_EDGE('',*,*,#176959,.F.); #224913=ORIENTED_EDGE('',*,*,#176960,.T.); #224914=ORIENTED_EDGE('',*,*,#176961,.F.); #224915=ORIENTED_EDGE('',*,*,#176960,.F.); #224916=ORIENTED_EDGE('',*,*,#176962,.F.); #224917=ORIENTED_EDGE('',*,*,#176963,.T.); #224918=ORIENTED_EDGE('',*,*,#176964,.T.); #224919=ORIENTED_EDGE('',*,*,#176963,.F.); #224920=ORIENTED_EDGE('',*,*,#176962,.T.); #224921=ORIENTED_EDGE('',*,*,#176959,.T.); #224922=ORIENTED_EDGE('',*,*,#176964,.F.); #224923=ORIENTED_EDGE('',*,*,#176961,.T.); #224924=ORIENTED_EDGE('',*,*,#176965,.F.); #224925=ORIENTED_EDGE('',*,*,#176966,.T.); #224926=ORIENTED_EDGE('',*,*,#176967,.F.); #224927=ORIENTED_EDGE('',*,*,#176966,.F.); #224928=ORIENTED_EDGE('',*,*,#176968,.F.); #224929=ORIENTED_EDGE('',*,*,#176969,.T.); #224930=ORIENTED_EDGE('',*,*,#176970,.T.); #224931=ORIENTED_EDGE('',*,*,#176969,.F.); #224932=ORIENTED_EDGE('',*,*,#176968,.T.); #224933=ORIENTED_EDGE('',*,*,#176965,.T.); #224934=ORIENTED_EDGE('',*,*,#176970,.F.); #224935=ORIENTED_EDGE('',*,*,#176967,.T.); #224936=ORIENTED_EDGE('',*,*,#176971,.F.); #224937=ORIENTED_EDGE('',*,*,#176972,.T.); #224938=ORIENTED_EDGE('',*,*,#176973,.F.); #224939=ORIENTED_EDGE('',*,*,#176972,.F.); #224940=ORIENTED_EDGE('',*,*,#176974,.F.); #224941=ORIENTED_EDGE('',*,*,#176975,.T.); #224942=ORIENTED_EDGE('',*,*,#176976,.T.); #224943=ORIENTED_EDGE('',*,*,#176975,.F.); #224944=ORIENTED_EDGE('',*,*,#176974,.T.); #224945=ORIENTED_EDGE('',*,*,#176971,.T.); #224946=ORIENTED_EDGE('',*,*,#176976,.F.); #224947=ORIENTED_EDGE('',*,*,#176973,.T.); #224948=ORIENTED_EDGE('',*,*,#176977,.F.); #224949=ORIENTED_EDGE('',*,*,#176978,.T.); #224950=ORIENTED_EDGE('',*,*,#176979,.F.); #224951=ORIENTED_EDGE('',*,*,#176978,.F.); #224952=ORIENTED_EDGE('',*,*,#176980,.F.); #224953=ORIENTED_EDGE('',*,*,#176981,.T.); #224954=ORIENTED_EDGE('',*,*,#176982,.T.); #224955=ORIENTED_EDGE('',*,*,#176981,.F.); #224956=ORIENTED_EDGE('',*,*,#176980,.T.); #224957=ORIENTED_EDGE('',*,*,#176977,.T.); #224958=ORIENTED_EDGE('',*,*,#176982,.F.); #224959=ORIENTED_EDGE('',*,*,#176979,.T.); #224960=ORIENTED_EDGE('',*,*,#176983,.F.); #224961=ORIENTED_EDGE('',*,*,#176984,.T.); #224962=ORIENTED_EDGE('',*,*,#176985,.F.); #224963=ORIENTED_EDGE('',*,*,#176984,.F.); #224964=ORIENTED_EDGE('',*,*,#176986,.F.); #224965=ORIENTED_EDGE('',*,*,#176987,.T.); #224966=ORIENTED_EDGE('',*,*,#176988,.T.); #224967=ORIENTED_EDGE('',*,*,#176987,.F.); #224968=ORIENTED_EDGE('',*,*,#176986,.T.); #224969=ORIENTED_EDGE('',*,*,#176983,.T.); #224970=ORIENTED_EDGE('',*,*,#176988,.F.); #224971=ORIENTED_EDGE('',*,*,#176985,.T.); #224972=ORIENTED_EDGE('',*,*,#176989,.F.); #224973=ORIENTED_EDGE('',*,*,#176990,.T.); #224974=ORIENTED_EDGE('',*,*,#176991,.F.); #224975=ORIENTED_EDGE('',*,*,#176990,.F.); #224976=ORIENTED_EDGE('',*,*,#176992,.F.); #224977=ORIENTED_EDGE('',*,*,#176993,.T.); #224978=ORIENTED_EDGE('',*,*,#176994,.T.); #224979=ORIENTED_EDGE('',*,*,#176993,.F.); #224980=ORIENTED_EDGE('',*,*,#176992,.T.); #224981=ORIENTED_EDGE('',*,*,#176989,.T.); #224982=ORIENTED_EDGE('',*,*,#176994,.F.); #224983=ORIENTED_EDGE('',*,*,#176991,.T.); #224984=ORIENTED_EDGE('',*,*,#176995,.F.); #224985=ORIENTED_EDGE('',*,*,#176996,.T.); #224986=ORIENTED_EDGE('',*,*,#176997,.F.); #224987=ORIENTED_EDGE('',*,*,#176996,.F.); #224988=ORIENTED_EDGE('',*,*,#176998,.F.); #224989=ORIENTED_EDGE('',*,*,#176999,.T.); #224990=ORIENTED_EDGE('',*,*,#177000,.T.); #224991=ORIENTED_EDGE('',*,*,#176999,.F.); #224992=ORIENTED_EDGE('',*,*,#176998,.T.); #224993=ORIENTED_EDGE('',*,*,#176995,.T.); #224994=ORIENTED_EDGE('',*,*,#177000,.F.); #224995=ORIENTED_EDGE('',*,*,#176997,.T.); #224996=ORIENTED_EDGE('',*,*,#177001,.F.); #224997=ORIENTED_EDGE('',*,*,#177002,.T.); #224998=ORIENTED_EDGE('',*,*,#177003,.F.); #224999=ORIENTED_EDGE('',*,*,#177002,.F.); #225000=ORIENTED_EDGE('',*,*,#177004,.F.); #225001=ORIENTED_EDGE('',*,*,#177005,.T.); #225002=ORIENTED_EDGE('',*,*,#177006,.T.); #225003=ORIENTED_EDGE('',*,*,#177005,.F.); #225004=ORIENTED_EDGE('',*,*,#177004,.T.); #225005=ORIENTED_EDGE('',*,*,#177001,.T.); #225006=ORIENTED_EDGE('',*,*,#177006,.F.); #225007=ORIENTED_EDGE('',*,*,#177003,.T.); #225008=ORIENTED_EDGE('',*,*,#177007,.F.); #225009=ORIENTED_EDGE('',*,*,#177008,.T.); #225010=ORIENTED_EDGE('',*,*,#177009,.F.); #225011=ORIENTED_EDGE('',*,*,#177008,.F.); #225012=ORIENTED_EDGE('',*,*,#177010,.F.); #225013=ORIENTED_EDGE('',*,*,#177011,.T.); #225014=ORIENTED_EDGE('',*,*,#177012,.T.); #225015=ORIENTED_EDGE('',*,*,#177011,.F.); #225016=ORIENTED_EDGE('',*,*,#177010,.T.); #225017=ORIENTED_EDGE('',*,*,#177007,.T.); #225018=ORIENTED_EDGE('',*,*,#177012,.F.); #225019=ORIENTED_EDGE('',*,*,#177009,.T.); #225020=ORIENTED_EDGE('',*,*,#177013,.F.); #225021=ORIENTED_EDGE('',*,*,#177014,.T.); #225022=ORIENTED_EDGE('',*,*,#177015,.F.); #225023=ORIENTED_EDGE('',*,*,#177014,.F.); #225024=ORIENTED_EDGE('',*,*,#177016,.F.); #225025=ORIENTED_EDGE('',*,*,#177017,.T.); #225026=ORIENTED_EDGE('',*,*,#177018,.T.); #225027=ORIENTED_EDGE('',*,*,#177017,.F.); #225028=ORIENTED_EDGE('',*,*,#177016,.T.); #225029=ORIENTED_EDGE('',*,*,#177013,.T.); #225030=ORIENTED_EDGE('',*,*,#177018,.F.); #225031=ORIENTED_EDGE('',*,*,#177015,.T.); #225032=ORIENTED_EDGE('',*,*,#177019,.F.); #225033=ORIENTED_EDGE('',*,*,#177020,.T.); #225034=ORIENTED_EDGE('',*,*,#177021,.F.); #225035=ORIENTED_EDGE('',*,*,#177020,.F.); #225036=ORIENTED_EDGE('',*,*,#177022,.F.); #225037=ORIENTED_EDGE('',*,*,#177023,.T.); #225038=ORIENTED_EDGE('',*,*,#177024,.T.); #225039=ORIENTED_EDGE('',*,*,#177023,.F.); #225040=ORIENTED_EDGE('',*,*,#177022,.T.); #225041=ORIENTED_EDGE('',*,*,#177019,.T.); #225042=ORIENTED_EDGE('',*,*,#177024,.F.); #225043=ORIENTED_EDGE('',*,*,#177021,.T.); #225044=ORIENTED_EDGE('',*,*,#177025,.F.); #225045=ORIENTED_EDGE('',*,*,#177026,.T.); #225046=ORIENTED_EDGE('',*,*,#177027,.F.); #225047=ORIENTED_EDGE('',*,*,#177026,.F.); #225048=ORIENTED_EDGE('',*,*,#177028,.F.); #225049=ORIENTED_EDGE('',*,*,#177029,.T.); #225050=ORIENTED_EDGE('',*,*,#177030,.T.); #225051=ORIENTED_EDGE('',*,*,#177029,.F.); #225052=ORIENTED_EDGE('',*,*,#177028,.T.); #225053=ORIENTED_EDGE('',*,*,#177025,.T.); #225054=ORIENTED_EDGE('',*,*,#177030,.F.); #225055=ORIENTED_EDGE('',*,*,#177027,.T.); #225056=ORIENTED_EDGE('',*,*,#177031,.F.); #225057=ORIENTED_EDGE('',*,*,#177032,.T.); #225058=ORIENTED_EDGE('',*,*,#177033,.F.); #225059=ORIENTED_EDGE('',*,*,#177032,.F.); #225060=ORIENTED_EDGE('',*,*,#177034,.F.); #225061=ORIENTED_EDGE('',*,*,#177035,.T.); #225062=ORIENTED_EDGE('',*,*,#177036,.T.); #225063=ORIENTED_EDGE('',*,*,#177035,.F.); #225064=ORIENTED_EDGE('',*,*,#177034,.T.); #225065=ORIENTED_EDGE('',*,*,#177031,.T.); #225066=ORIENTED_EDGE('',*,*,#177036,.F.); #225067=ORIENTED_EDGE('',*,*,#177033,.T.); #225068=ORIENTED_EDGE('',*,*,#177037,.F.); #225069=ORIENTED_EDGE('',*,*,#177038,.T.); #225070=ORIENTED_EDGE('',*,*,#177039,.F.); #225071=ORIENTED_EDGE('',*,*,#177038,.F.); #225072=ORIENTED_EDGE('',*,*,#177040,.F.); #225073=ORIENTED_EDGE('',*,*,#177041,.T.); #225074=ORIENTED_EDGE('',*,*,#177042,.T.); #225075=ORIENTED_EDGE('',*,*,#177041,.F.); #225076=ORIENTED_EDGE('',*,*,#177040,.T.); #225077=ORIENTED_EDGE('',*,*,#177037,.T.); #225078=ORIENTED_EDGE('',*,*,#177042,.F.); #225079=ORIENTED_EDGE('',*,*,#177039,.T.); #225080=ORIENTED_EDGE('',*,*,#177043,.F.); #225081=ORIENTED_EDGE('',*,*,#177044,.T.); #225082=ORIENTED_EDGE('',*,*,#177045,.F.); #225083=ORIENTED_EDGE('',*,*,#177044,.F.); #225084=ORIENTED_EDGE('',*,*,#177046,.F.); #225085=ORIENTED_EDGE('',*,*,#177047,.T.); #225086=ORIENTED_EDGE('',*,*,#177048,.T.); #225087=ORIENTED_EDGE('',*,*,#177047,.F.); #225088=ORIENTED_EDGE('',*,*,#177046,.T.); #225089=ORIENTED_EDGE('',*,*,#177043,.T.); #225090=ORIENTED_EDGE('',*,*,#177048,.F.); #225091=ORIENTED_EDGE('',*,*,#177045,.T.); #225092=ORIENTED_EDGE('',*,*,#177049,.F.); #225093=ORIENTED_EDGE('',*,*,#177050,.T.); #225094=ORIENTED_EDGE('',*,*,#177051,.F.); #225095=ORIENTED_EDGE('',*,*,#177050,.F.); #225096=ORIENTED_EDGE('',*,*,#177052,.F.); #225097=ORIENTED_EDGE('',*,*,#177053,.T.); #225098=ORIENTED_EDGE('',*,*,#177054,.T.); #225099=ORIENTED_EDGE('',*,*,#177053,.F.); #225100=ORIENTED_EDGE('',*,*,#177052,.T.); #225101=ORIENTED_EDGE('',*,*,#177049,.T.); #225102=ORIENTED_EDGE('',*,*,#177054,.F.); #225103=ORIENTED_EDGE('',*,*,#177051,.T.); #225104=ORIENTED_EDGE('',*,*,#177055,.F.); #225105=ORIENTED_EDGE('',*,*,#177056,.T.); #225106=ORIENTED_EDGE('',*,*,#177057,.F.); #225107=ORIENTED_EDGE('',*,*,#177056,.F.); #225108=ORIENTED_EDGE('',*,*,#177058,.F.); #225109=ORIENTED_EDGE('',*,*,#177059,.T.); #225110=ORIENTED_EDGE('',*,*,#177060,.T.); #225111=ORIENTED_EDGE('',*,*,#177059,.F.); #225112=ORIENTED_EDGE('',*,*,#177058,.T.); #225113=ORIENTED_EDGE('',*,*,#177055,.T.); #225114=ORIENTED_EDGE('',*,*,#177060,.F.); #225115=ORIENTED_EDGE('',*,*,#177057,.T.); #225116=ORIENTED_EDGE('',*,*,#177061,.F.); #225117=ORIENTED_EDGE('',*,*,#177062,.T.); #225118=ORIENTED_EDGE('',*,*,#177063,.F.); #225119=ORIENTED_EDGE('',*,*,#177062,.F.); #225120=ORIENTED_EDGE('',*,*,#177064,.F.); #225121=ORIENTED_EDGE('',*,*,#177065,.T.); #225122=ORIENTED_EDGE('',*,*,#177066,.T.); #225123=ORIENTED_EDGE('',*,*,#177065,.F.); #225124=ORIENTED_EDGE('',*,*,#177064,.T.); #225125=ORIENTED_EDGE('',*,*,#177061,.T.); #225126=ORIENTED_EDGE('',*,*,#177066,.F.); #225127=ORIENTED_EDGE('',*,*,#177063,.T.); #225128=ORIENTED_EDGE('',*,*,#177067,.F.); #225129=ORIENTED_EDGE('',*,*,#177068,.T.); #225130=ORIENTED_EDGE('',*,*,#177069,.F.); #225131=ORIENTED_EDGE('',*,*,#177068,.F.); #225132=ORIENTED_EDGE('',*,*,#177070,.F.); #225133=ORIENTED_EDGE('',*,*,#177071,.T.); #225134=ORIENTED_EDGE('',*,*,#177072,.T.); #225135=ORIENTED_EDGE('',*,*,#177071,.F.); #225136=ORIENTED_EDGE('',*,*,#177070,.T.); #225137=ORIENTED_EDGE('',*,*,#177067,.T.); #225138=ORIENTED_EDGE('',*,*,#177072,.F.); #225139=ORIENTED_EDGE('',*,*,#177069,.T.); #225140=ORIENTED_EDGE('',*,*,#177073,.F.); #225141=ORIENTED_EDGE('',*,*,#177074,.T.); #225142=ORIENTED_EDGE('',*,*,#177075,.F.); #225143=ORIENTED_EDGE('',*,*,#177074,.F.); #225144=ORIENTED_EDGE('',*,*,#177076,.F.); #225145=ORIENTED_EDGE('',*,*,#177077,.T.); #225146=ORIENTED_EDGE('',*,*,#177078,.T.); #225147=ORIENTED_EDGE('',*,*,#177077,.F.); #225148=ORIENTED_EDGE('',*,*,#177076,.T.); #225149=ORIENTED_EDGE('',*,*,#177073,.T.); #225150=ORIENTED_EDGE('',*,*,#177078,.F.); #225151=ORIENTED_EDGE('',*,*,#177075,.T.); #225152=ORIENTED_EDGE('',*,*,#177079,.F.); #225153=ORIENTED_EDGE('',*,*,#177080,.T.); #225154=ORIENTED_EDGE('',*,*,#177081,.F.); #225155=ORIENTED_EDGE('',*,*,#177080,.F.); #225156=ORIENTED_EDGE('',*,*,#177082,.F.); #225157=ORIENTED_EDGE('',*,*,#177083,.T.); #225158=ORIENTED_EDGE('',*,*,#177084,.T.); #225159=ORIENTED_EDGE('',*,*,#177083,.F.); #225160=ORIENTED_EDGE('',*,*,#177082,.T.); #225161=ORIENTED_EDGE('',*,*,#177079,.T.); #225162=ORIENTED_EDGE('',*,*,#177084,.F.); #225163=ORIENTED_EDGE('',*,*,#177081,.T.); #225164=ORIENTED_EDGE('',*,*,#177085,.F.); #225165=ORIENTED_EDGE('',*,*,#177086,.T.); #225166=ORIENTED_EDGE('',*,*,#177087,.F.); #225167=ORIENTED_EDGE('',*,*,#177086,.F.); #225168=ORIENTED_EDGE('',*,*,#177088,.F.); #225169=ORIENTED_EDGE('',*,*,#177089,.T.); #225170=ORIENTED_EDGE('',*,*,#177090,.T.); #225171=ORIENTED_EDGE('',*,*,#177089,.F.); #225172=ORIENTED_EDGE('',*,*,#177088,.T.); #225173=ORIENTED_EDGE('',*,*,#177085,.T.); #225174=ORIENTED_EDGE('',*,*,#177090,.F.); #225175=ORIENTED_EDGE('',*,*,#177087,.T.); #225176=ORIENTED_EDGE('',*,*,#177091,.F.); #225177=ORIENTED_EDGE('',*,*,#177092,.T.); #225178=ORIENTED_EDGE('',*,*,#177093,.F.); #225179=ORIENTED_EDGE('',*,*,#177092,.F.); #225180=ORIENTED_EDGE('',*,*,#177094,.F.); #225181=ORIENTED_EDGE('',*,*,#177095,.T.); #225182=ORIENTED_EDGE('',*,*,#177096,.T.); #225183=ORIENTED_EDGE('',*,*,#177095,.F.); #225184=ORIENTED_EDGE('',*,*,#177094,.T.); #225185=ORIENTED_EDGE('',*,*,#177091,.T.); #225186=ORIENTED_EDGE('',*,*,#177096,.F.); #225187=ORIENTED_EDGE('',*,*,#177093,.T.); #225188=ORIENTED_EDGE('',*,*,#177097,.F.); #225189=ORIENTED_EDGE('',*,*,#177098,.T.); #225190=ORIENTED_EDGE('',*,*,#177099,.F.); #225191=ORIENTED_EDGE('',*,*,#177098,.F.); #225192=ORIENTED_EDGE('',*,*,#177100,.F.); #225193=ORIENTED_EDGE('',*,*,#177101,.T.); #225194=ORIENTED_EDGE('',*,*,#177102,.T.); #225195=ORIENTED_EDGE('',*,*,#177101,.F.); #225196=ORIENTED_EDGE('',*,*,#177100,.T.); #225197=ORIENTED_EDGE('',*,*,#177097,.T.); #225198=ORIENTED_EDGE('',*,*,#177102,.F.); #225199=ORIENTED_EDGE('',*,*,#177099,.T.); #225200=ORIENTED_EDGE('',*,*,#177103,.F.); #225201=ORIENTED_EDGE('',*,*,#177104,.T.); #225202=ORIENTED_EDGE('',*,*,#177105,.F.); #225203=ORIENTED_EDGE('',*,*,#177104,.F.); #225204=ORIENTED_EDGE('',*,*,#177106,.F.); #225205=ORIENTED_EDGE('',*,*,#177107,.T.); #225206=ORIENTED_EDGE('',*,*,#177108,.T.); #225207=ORIENTED_EDGE('',*,*,#177107,.F.); #225208=ORIENTED_EDGE('',*,*,#177106,.T.); #225209=ORIENTED_EDGE('',*,*,#177103,.T.); #225210=ORIENTED_EDGE('',*,*,#177108,.F.); #225211=ORIENTED_EDGE('',*,*,#177105,.T.); #225212=ORIENTED_EDGE('',*,*,#177109,.F.); #225213=ORIENTED_EDGE('',*,*,#177110,.T.); #225214=ORIENTED_EDGE('',*,*,#177111,.F.); #225215=ORIENTED_EDGE('',*,*,#177110,.F.); #225216=ORIENTED_EDGE('',*,*,#177112,.F.); #225217=ORIENTED_EDGE('',*,*,#177113,.T.); #225218=ORIENTED_EDGE('',*,*,#177114,.T.); #225219=ORIENTED_EDGE('',*,*,#177113,.F.); #225220=ORIENTED_EDGE('',*,*,#177112,.T.); #225221=ORIENTED_EDGE('',*,*,#177109,.T.); #225222=ORIENTED_EDGE('',*,*,#177114,.F.); #225223=ORIENTED_EDGE('',*,*,#177111,.T.); #225224=ORIENTED_EDGE('',*,*,#177115,.F.); #225225=ORIENTED_EDGE('',*,*,#177116,.T.); #225226=ORIENTED_EDGE('',*,*,#177117,.F.); #225227=ORIENTED_EDGE('',*,*,#177116,.F.); #225228=ORIENTED_EDGE('',*,*,#177118,.F.); #225229=ORIENTED_EDGE('',*,*,#177119,.T.); #225230=ORIENTED_EDGE('',*,*,#177120,.T.); #225231=ORIENTED_EDGE('',*,*,#177119,.F.); #225232=ORIENTED_EDGE('',*,*,#177118,.T.); #225233=ORIENTED_EDGE('',*,*,#177115,.T.); #225234=ORIENTED_EDGE('',*,*,#177120,.F.); #225235=ORIENTED_EDGE('',*,*,#177117,.T.); #225236=ORIENTED_EDGE('',*,*,#177121,.F.); #225237=ORIENTED_EDGE('',*,*,#177122,.T.); #225238=ORIENTED_EDGE('',*,*,#177123,.F.); #225239=ORIENTED_EDGE('',*,*,#177122,.F.); #225240=ORIENTED_EDGE('',*,*,#177124,.F.); #225241=ORIENTED_EDGE('',*,*,#177125,.T.); #225242=ORIENTED_EDGE('',*,*,#177126,.T.); #225243=ORIENTED_EDGE('',*,*,#177125,.F.); #225244=ORIENTED_EDGE('',*,*,#177124,.T.); #225245=ORIENTED_EDGE('',*,*,#177121,.T.); #225246=ORIENTED_EDGE('',*,*,#177126,.F.); #225247=ORIENTED_EDGE('',*,*,#177123,.T.); #225248=ORIENTED_EDGE('',*,*,#177127,.F.); #225249=ORIENTED_EDGE('',*,*,#177128,.T.); #225250=ORIENTED_EDGE('',*,*,#177129,.F.); #225251=ORIENTED_EDGE('',*,*,#177128,.F.); #225252=ORIENTED_EDGE('',*,*,#177130,.F.); #225253=ORIENTED_EDGE('',*,*,#177131,.T.); #225254=ORIENTED_EDGE('',*,*,#177132,.T.); #225255=ORIENTED_EDGE('',*,*,#177131,.F.); #225256=ORIENTED_EDGE('',*,*,#177130,.T.); #225257=ORIENTED_EDGE('',*,*,#177127,.T.); #225258=ORIENTED_EDGE('',*,*,#177132,.F.); #225259=ORIENTED_EDGE('',*,*,#177129,.T.); #225260=ORIENTED_EDGE('',*,*,#177133,.F.); #225261=ORIENTED_EDGE('',*,*,#177134,.T.); #225262=ORIENTED_EDGE('',*,*,#177135,.F.); #225263=ORIENTED_EDGE('',*,*,#177134,.F.); #225264=ORIENTED_EDGE('',*,*,#177136,.F.); #225265=ORIENTED_EDGE('',*,*,#177137,.T.); #225266=ORIENTED_EDGE('',*,*,#177138,.T.); #225267=ORIENTED_EDGE('',*,*,#177137,.F.); #225268=ORIENTED_EDGE('',*,*,#177136,.T.); #225269=ORIENTED_EDGE('',*,*,#177133,.T.); #225270=ORIENTED_EDGE('',*,*,#177138,.F.); #225271=ORIENTED_EDGE('',*,*,#177135,.T.); #225272=ORIENTED_EDGE('',*,*,#177139,.F.); #225273=ORIENTED_EDGE('',*,*,#177140,.T.); #225274=ORIENTED_EDGE('',*,*,#177141,.F.); #225275=ORIENTED_EDGE('',*,*,#177140,.F.); #225276=ORIENTED_EDGE('',*,*,#177142,.F.); #225277=ORIENTED_EDGE('',*,*,#177143,.T.); #225278=ORIENTED_EDGE('',*,*,#177144,.T.); #225279=ORIENTED_EDGE('',*,*,#177143,.F.); #225280=ORIENTED_EDGE('',*,*,#177142,.T.); #225281=ORIENTED_EDGE('',*,*,#177139,.T.); #225282=ORIENTED_EDGE('',*,*,#177144,.F.); #225283=ORIENTED_EDGE('',*,*,#177141,.T.); #225284=ORIENTED_EDGE('',*,*,#177145,.F.); #225285=ORIENTED_EDGE('',*,*,#177146,.T.); #225286=ORIENTED_EDGE('',*,*,#177147,.F.); #225287=ORIENTED_EDGE('',*,*,#177146,.F.); #225288=ORIENTED_EDGE('',*,*,#177148,.F.); #225289=ORIENTED_EDGE('',*,*,#177149,.T.); #225290=ORIENTED_EDGE('',*,*,#177150,.T.); #225291=ORIENTED_EDGE('',*,*,#177149,.F.); #225292=ORIENTED_EDGE('',*,*,#177148,.T.); #225293=ORIENTED_EDGE('',*,*,#177145,.T.); #225294=ORIENTED_EDGE('',*,*,#177150,.F.); #225295=ORIENTED_EDGE('',*,*,#177147,.T.); #225296=ORIENTED_EDGE('',*,*,#177151,.F.); #225297=ORIENTED_EDGE('',*,*,#177152,.T.); #225298=ORIENTED_EDGE('',*,*,#177153,.F.); #225299=ORIENTED_EDGE('',*,*,#177152,.F.); #225300=ORIENTED_EDGE('',*,*,#177154,.F.); #225301=ORIENTED_EDGE('',*,*,#177155,.T.); #225302=ORIENTED_EDGE('',*,*,#177156,.T.); #225303=ORIENTED_EDGE('',*,*,#177155,.F.); #225304=ORIENTED_EDGE('',*,*,#177154,.T.); #225305=ORIENTED_EDGE('',*,*,#177151,.T.); #225306=ORIENTED_EDGE('',*,*,#177156,.F.); #225307=ORIENTED_EDGE('',*,*,#177153,.T.); #225308=ORIENTED_EDGE('',*,*,#177157,.F.); #225309=ORIENTED_EDGE('',*,*,#177158,.T.); #225310=ORIENTED_EDGE('',*,*,#177159,.F.); #225311=ORIENTED_EDGE('',*,*,#177158,.F.); #225312=ORIENTED_EDGE('',*,*,#177160,.F.); #225313=ORIENTED_EDGE('',*,*,#177161,.T.); #225314=ORIENTED_EDGE('',*,*,#177162,.T.); #225315=ORIENTED_EDGE('',*,*,#177161,.F.); #225316=ORIENTED_EDGE('',*,*,#177160,.T.); #225317=ORIENTED_EDGE('',*,*,#177157,.T.); #225318=ORIENTED_EDGE('',*,*,#177162,.F.); #225319=ORIENTED_EDGE('',*,*,#177159,.T.); #225320=ORIENTED_EDGE('',*,*,#177163,.F.); #225321=ORIENTED_EDGE('',*,*,#177164,.T.); #225322=ORIENTED_EDGE('',*,*,#177165,.F.); #225323=ORIENTED_EDGE('',*,*,#177164,.F.); #225324=ORIENTED_EDGE('',*,*,#177166,.F.); #225325=ORIENTED_EDGE('',*,*,#177167,.T.); #225326=ORIENTED_EDGE('',*,*,#177168,.T.); #225327=ORIENTED_EDGE('',*,*,#177167,.F.); #225328=ORIENTED_EDGE('',*,*,#177166,.T.); #225329=ORIENTED_EDGE('',*,*,#177163,.T.); #225330=ORIENTED_EDGE('',*,*,#177168,.F.); #225331=ORIENTED_EDGE('',*,*,#177165,.T.); #225332=ORIENTED_EDGE('',*,*,#177169,.F.); #225333=ORIENTED_EDGE('',*,*,#177170,.T.); #225334=ORIENTED_EDGE('',*,*,#177171,.F.); #225335=ORIENTED_EDGE('',*,*,#177170,.F.); #225336=ORIENTED_EDGE('',*,*,#177172,.F.); #225337=ORIENTED_EDGE('',*,*,#177173,.T.); #225338=ORIENTED_EDGE('',*,*,#177174,.T.); #225339=ORIENTED_EDGE('',*,*,#177173,.F.); #225340=ORIENTED_EDGE('',*,*,#177172,.T.); #225341=ORIENTED_EDGE('',*,*,#177169,.T.); #225342=ORIENTED_EDGE('',*,*,#177174,.F.); #225343=ORIENTED_EDGE('',*,*,#177171,.T.); #225344=ORIENTED_EDGE('',*,*,#177175,.F.); #225345=ORIENTED_EDGE('',*,*,#177176,.T.); #225346=ORIENTED_EDGE('',*,*,#177177,.F.); #225347=ORIENTED_EDGE('',*,*,#177176,.F.); #225348=ORIENTED_EDGE('',*,*,#177178,.F.); #225349=ORIENTED_EDGE('',*,*,#177179,.T.); #225350=ORIENTED_EDGE('',*,*,#177180,.T.); #225351=ORIENTED_EDGE('',*,*,#177179,.F.); #225352=ORIENTED_EDGE('',*,*,#177178,.T.); #225353=ORIENTED_EDGE('',*,*,#177175,.T.); #225354=ORIENTED_EDGE('',*,*,#177180,.F.); #225355=ORIENTED_EDGE('',*,*,#177177,.T.); #225356=ORIENTED_EDGE('',*,*,#177181,.F.); #225357=ORIENTED_EDGE('',*,*,#177182,.T.); #225358=ORIENTED_EDGE('',*,*,#177183,.F.); #225359=ORIENTED_EDGE('',*,*,#177182,.F.); #225360=ORIENTED_EDGE('',*,*,#177184,.F.); #225361=ORIENTED_EDGE('',*,*,#177185,.T.); #225362=ORIENTED_EDGE('',*,*,#177186,.T.); #225363=ORIENTED_EDGE('',*,*,#177185,.F.); #225364=ORIENTED_EDGE('',*,*,#177184,.T.); #225365=ORIENTED_EDGE('',*,*,#177181,.T.); #225366=ORIENTED_EDGE('',*,*,#177186,.F.); #225367=ORIENTED_EDGE('',*,*,#177183,.T.); #225368=ORIENTED_EDGE('',*,*,#177187,.F.); #225369=ORIENTED_EDGE('',*,*,#177188,.T.); #225370=ORIENTED_EDGE('',*,*,#177189,.F.); #225371=ORIENTED_EDGE('',*,*,#177188,.F.); #225372=ORIENTED_EDGE('',*,*,#177190,.F.); #225373=ORIENTED_EDGE('',*,*,#177191,.T.); #225374=ORIENTED_EDGE('',*,*,#177192,.T.); #225375=ORIENTED_EDGE('',*,*,#177191,.F.); #225376=ORIENTED_EDGE('',*,*,#177190,.T.); #225377=ORIENTED_EDGE('',*,*,#177187,.T.); #225378=ORIENTED_EDGE('',*,*,#177192,.F.); #225379=ORIENTED_EDGE('',*,*,#177189,.T.); #225380=ORIENTED_EDGE('',*,*,#177193,.F.); #225381=ORIENTED_EDGE('',*,*,#177194,.T.); #225382=ORIENTED_EDGE('',*,*,#177195,.F.); #225383=ORIENTED_EDGE('',*,*,#177194,.F.); #225384=ORIENTED_EDGE('',*,*,#177196,.F.); #225385=ORIENTED_EDGE('',*,*,#177197,.T.); #225386=ORIENTED_EDGE('',*,*,#177198,.T.); #225387=ORIENTED_EDGE('',*,*,#177197,.F.); #225388=ORIENTED_EDGE('',*,*,#177196,.T.); #225389=ORIENTED_EDGE('',*,*,#177193,.T.); #225390=ORIENTED_EDGE('',*,*,#177198,.F.); #225391=ORIENTED_EDGE('',*,*,#177195,.T.); #225392=ORIENTED_EDGE('',*,*,#177199,.F.); #225393=ORIENTED_EDGE('',*,*,#177200,.T.); #225394=ORIENTED_EDGE('',*,*,#177201,.F.); #225395=ORIENTED_EDGE('',*,*,#177200,.F.); #225396=ORIENTED_EDGE('',*,*,#177202,.F.); #225397=ORIENTED_EDGE('',*,*,#177203,.T.); #225398=ORIENTED_EDGE('',*,*,#177204,.T.); #225399=ORIENTED_EDGE('',*,*,#177203,.F.); #225400=ORIENTED_EDGE('',*,*,#177202,.T.); #225401=ORIENTED_EDGE('',*,*,#177199,.T.); #225402=ORIENTED_EDGE('',*,*,#177204,.F.); #225403=ORIENTED_EDGE('',*,*,#177201,.T.); #225404=ORIENTED_EDGE('',*,*,#177205,.F.); #225405=ORIENTED_EDGE('',*,*,#177206,.T.); #225406=ORIENTED_EDGE('',*,*,#177207,.F.); #225407=ORIENTED_EDGE('',*,*,#177206,.F.); #225408=ORIENTED_EDGE('',*,*,#177208,.F.); #225409=ORIENTED_EDGE('',*,*,#177209,.T.); #225410=ORIENTED_EDGE('',*,*,#177210,.T.); #225411=ORIENTED_EDGE('',*,*,#177209,.F.); #225412=ORIENTED_EDGE('',*,*,#177208,.T.); #225413=ORIENTED_EDGE('',*,*,#177205,.T.); #225414=ORIENTED_EDGE('',*,*,#177210,.F.); #225415=ORIENTED_EDGE('',*,*,#177207,.T.); #225416=ORIENTED_EDGE('',*,*,#177211,.F.); #225417=ORIENTED_EDGE('',*,*,#177212,.T.); #225418=ORIENTED_EDGE('',*,*,#177213,.F.); #225419=ORIENTED_EDGE('',*,*,#177212,.F.); #225420=ORIENTED_EDGE('',*,*,#177214,.F.); #225421=ORIENTED_EDGE('',*,*,#177215,.T.); #225422=ORIENTED_EDGE('',*,*,#177216,.T.); #225423=ORIENTED_EDGE('',*,*,#177215,.F.); #225424=ORIENTED_EDGE('',*,*,#177214,.T.); #225425=ORIENTED_EDGE('',*,*,#177211,.T.); #225426=ORIENTED_EDGE('',*,*,#177216,.F.); #225427=ORIENTED_EDGE('',*,*,#177213,.T.); #225428=ORIENTED_EDGE('',*,*,#177217,.F.); #225429=ORIENTED_EDGE('',*,*,#177218,.T.); #225430=ORIENTED_EDGE('',*,*,#177219,.F.); #225431=ORIENTED_EDGE('',*,*,#177218,.F.); #225432=ORIENTED_EDGE('',*,*,#177220,.F.); #225433=ORIENTED_EDGE('',*,*,#177221,.T.); #225434=ORIENTED_EDGE('',*,*,#177222,.T.); #225435=ORIENTED_EDGE('',*,*,#177221,.F.); #225436=ORIENTED_EDGE('',*,*,#177220,.T.); #225437=ORIENTED_EDGE('',*,*,#177217,.T.); #225438=ORIENTED_EDGE('',*,*,#177222,.F.); #225439=ORIENTED_EDGE('',*,*,#177219,.T.); #225440=ORIENTED_EDGE('',*,*,#177223,.F.); #225441=ORIENTED_EDGE('',*,*,#177224,.T.); #225442=ORIENTED_EDGE('',*,*,#177225,.F.); #225443=ORIENTED_EDGE('',*,*,#177224,.F.); #225444=ORIENTED_EDGE('',*,*,#177226,.F.); #225445=ORIENTED_EDGE('',*,*,#177227,.T.); #225446=ORIENTED_EDGE('',*,*,#177228,.T.); #225447=ORIENTED_EDGE('',*,*,#177227,.F.); #225448=ORIENTED_EDGE('',*,*,#177226,.T.); #225449=ORIENTED_EDGE('',*,*,#177223,.T.); #225450=ORIENTED_EDGE('',*,*,#177228,.F.); #225451=ORIENTED_EDGE('',*,*,#177225,.T.); #225452=ORIENTED_EDGE('',*,*,#177229,.F.); #225453=ORIENTED_EDGE('',*,*,#177230,.T.); #225454=ORIENTED_EDGE('',*,*,#177231,.F.); #225455=ORIENTED_EDGE('',*,*,#177230,.F.); #225456=ORIENTED_EDGE('',*,*,#177232,.F.); #225457=ORIENTED_EDGE('',*,*,#177233,.T.); #225458=ORIENTED_EDGE('',*,*,#177234,.T.); #225459=ORIENTED_EDGE('',*,*,#177233,.F.); #225460=ORIENTED_EDGE('',*,*,#177232,.T.); #225461=ORIENTED_EDGE('',*,*,#177229,.T.); #225462=ORIENTED_EDGE('',*,*,#177234,.F.); #225463=ORIENTED_EDGE('',*,*,#177231,.T.); #225464=ORIENTED_EDGE('',*,*,#177235,.F.); #225465=ORIENTED_EDGE('',*,*,#177236,.T.); #225466=ORIENTED_EDGE('',*,*,#177237,.F.); #225467=ORIENTED_EDGE('',*,*,#177236,.F.); #225468=ORIENTED_EDGE('',*,*,#177238,.F.); #225469=ORIENTED_EDGE('',*,*,#177239,.T.); #225470=ORIENTED_EDGE('',*,*,#177240,.T.); #225471=ORIENTED_EDGE('',*,*,#177239,.F.); #225472=ORIENTED_EDGE('',*,*,#177238,.T.); #225473=ORIENTED_EDGE('',*,*,#177235,.T.); #225474=ORIENTED_EDGE('',*,*,#177240,.F.); #225475=ORIENTED_EDGE('',*,*,#177237,.T.); #225476=ORIENTED_EDGE('',*,*,#177241,.F.); #225477=ORIENTED_EDGE('',*,*,#177242,.T.); #225478=ORIENTED_EDGE('',*,*,#177243,.F.); #225479=ORIENTED_EDGE('',*,*,#177242,.F.); #225480=ORIENTED_EDGE('',*,*,#177244,.F.); #225481=ORIENTED_EDGE('',*,*,#177245,.T.); #225482=ORIENTED_EDGE('',*,*,#177246,.T.); #225483=ORIENTED_EDGE('',*,*,#177245,.F.); #225484=ORIENTED_EDGE('',*,*,#177244,.T.); #225485=ORIENTED_EDGE('',*,*,#177241,.T.); #225486=ORIENTED_EDGE('',*,*,#177246,.F.); #225487=ORIENTED_EDGE('',*,*,#177243,.T.); #225488=ORIENTED_EDGE('',*,*,#177247,.F.); #225489=ORIENTED_EDGE('',*,*,#177248,.T.); #225490=ORIENTED_EDGE('',*,*,#177249,.F.); #225491=ORIENTED_EDGE('',*,*,#177248,.F.); #225492=ORIENTED_EDGE('',*,*,#177250,.F.); #225493=ORIENTED_EDGE('',*,*,#177251,.T.); #225494=ORIENTED_EDGE('',*,*,#177252,.T.); #225495=ORIENTED_EDGE('',*,*,#177251,.F.); #225496=ORIENTED_EDGE('',*,*,#177250,.T.); #225497=ORIENTED_EDGE('',*,*,#177247,.T.); #225498=ORIENTED_EDGE('',*,*,#177252,.F.); #225499=ORIENTED_EDGE('',*,*,#177249,.T.); #225500=ORIENTED_EDGE('',*,*,#177253,.F.); #225501=ORIENTED_EDGE('',*,*,#177254,.T.); #225502=ORIENTED_EDGE('',*,*,#177255,.F.); #225503=ORIENTED_EDGE('',*,*,#177254,.F.); #225504=ORIENTED_EDGE('',*,*,#177256,.F.); #225505=ORIENTED_EDGE('',*,*,#177257,.T.); #225506=ORIENTED_EDGE('',*,*,#177258,.T.); #225507=ORIENTED_EDGE('',*,*,#177257,.F.); #225508=ORIENTED_EDGE('',*,*,#177256,.T.); #225509=ORIENTED_EDGE('',*,*,#177253,.T.); #225510=ORIENTED_EDGE('',*,*,#177258,.F.); #225511=ORIENTED_EDGE('',*,*,#177255,.T.); #225512=ORIENTED_EDGE('',*,*,#177259,.F.); #225513=ORIENTED_EDGE('',*,*,#177260,.T.); #225514=ORIENTED_EDGE('',*,*,#177261,.F.); #225515=ORIENTED_EDGE('',*,*,#177260,.F.); #225516=ORIENTED_EDGE('',*,*,#177262,.F.); #225517=ORIENTED_EDGE('',*,*,#177263,.T.); #225518=ORIENTED_EDGE('',*,*,#177264,.T.); #225519=ORIENTED_EDGE('',*,*,#177263,.F.); #225520=ORIENTED_EDGE('',*,*,#177262,.T.); #225521=ORIENTED_EDGE('',*,*,#177259,.T.); #225522=ORIENTED_EDGE('',*,*,#177264,.F.); #225523=ORIENTED_EDGE('',*,*,#177261,.T.); #225524=ORIENTED_EDGE('',*,*,#177265,.F.); #225525=ORIENTED_EDGE('',*,*,#177266,.T.); #225526=ORIENTED_EDGE('',*,*,#177267,.F.); #225527=ORIENTED_EDGE('',*,*,#177266,.F.); #225528=ORIENTED_EDGE('',*,*,#177268,.F.); #225529=ORIENTED_EDGE('',*,*,#177269,.T.); #225530=ORIENTED_EDGE('',*,*,#177270,.T.); #225531=ORIENTED_EDGE('',*,*,#177269,.F.); #225532=ORIENTED_EDGE('',*,*,#177268,.T.); #225533=ORIENTED_EDGE('',*,*,#177265,.T.); #225534=ORIENTED_EDGE('',*,*,#177270,.F.); #225535=ORIENTED_EDGE('',*,*,#177267,.T.); #225536=ORIENTED_EDGE('',*,*,#177271,.F.); #225537=ORIENTED_EDGE('',*,*,#177272,.T.); #225538=ORIENTED_EDGE('',*,*,#177273,.F.); #225539=ORIENTED_EDGE('',*,*,#177272,.F.); #225540=ORIENTED_EDGE('',*,*,#177274,.F.); #225541=ORIENTED_EDGE('',*,*,#177275,.T.); #225542=ORIENTED_EDGE('',*,*,#177276,.T.); #225543=ORIENTED_EDGE('',*,*,#177275,.F.); #225544=ORIENTED_EDGE('',*,*,#177274,.T.); #225545=ORIENTED_EDGE('',*,*,#177271,.T.); #225546=ORIENTED_EDGE('',*,*,#177276,.F.); #225547=ORIENTED_EDGE('',*,*,#177273,.T.); #225548=ORIENTED_EDGE('',*,*,#177277,.F.); #225549=ORIENTED_EDGE('',*,*,#177278,.T.); #225550=ORIENTED_EDGE('',*,*,#177279,.F.); #225551=ORIENTED_EDGE('',*,*,#177278,.F.); #225552=ORIENTED_EDGE('',*,*,#177280,.F.); #225553=ORIENTED_EDGE('',*,*,#177281,.T.); #225554=ORIENTED_EDGE('',*,*,#177282,.T.); #225555=ORIENTED_EDGE('',*,*,#177281,.F.); #225556=ORIENTED_EDGE('',*,*,#177280,.T.); #225557=ORIENTED_EDGE('',*,*,#177277,.T.); #225558=ORIENTED_EDGE('',*,*,#177282,.F.); #225559=ORIENTED_EDGE('',*,*,#177279,.T.); #225560=ORIENTED_EDGE('',*,*,#177283,.F.); #225561=ORIENTED_EDGE('',*,*,#177284,.T.); #225562=ORIENTED_EDGE('',*,*,#177285,.F.); #225563=ORIENTED_EDGE('',*,*,#177284,.F.); #225564=ORIENTED_EDGE('',*,*,#177286,.F.); #225565=ORIENTED_EDGE('',*,*,#177287,.T.); #225566=ORIENTED_EDGE('',*,*,#177288,.T.); #225567=ORIENTED_EDGE('',*,*,#177287,.F.); #225568=ORIENTED_EDGE('',*,*,#177286,.T.); #225569=ORIENTED_EDGE('',*,*,#177283,.T.); #225570=ORIENTED_EDGE('',*,*,#177288,.F.); #225571=ORIENTED_EDGE('',*,*,#177285,.T.); #225572=ORIENTED_EDGE('',*,*,#177289,.F.); #225573=ORIENTED_EDGE('',*,*,#177290,.T.); #225574=ORIENTED_EDGE('',*,*,#177291,.F.); #225575=ORIENTED_EDGE('',*,*,#177290,.F.); #225576=ORIENTED_EDGE('',*,*,#177292,.F.); #225577=ORIENTED_EDGE('',*,*,#177293,.T.); #225578=ORIENTED_EDGE('',*,*,#177294,.T.); #225579=ORIENTED_EDGE('',*,*,#177293,.F.); #225580=ORIENTED_EDGE('',*,*,#177292,.T.); #225581=ORIENTED_EDGE('',*,*,#177289,.T.); #225582=ORIENTED_EDGE('',*,*,#177294,.F.); #225583=ORIENTED_EDGE('',*,*,#177291,.T.); #225584=ORIENTED_EDGE('',*,*,#177295,.F.); #225585=ORIENTED_EDGE('',*,*,#177296,.T.); #225586=ORIENTED_EDGE('',*,*,#177297,.F.); #225587=ORIENTED_EDGE('',*,*,#177296,.F.); #225588=ORIENTED_EDGE('',*,*,#177298,.F.); #225589=ORIENTED_EDGE('',*,*,#177299,.T.); #225590=ORIENTED_EDGE('',*,*,#177300,.T.); #225591=ORIENTED_EDGE('',*,*,#177299,.F.); #225592=ORIENTED_EDGE('',*,*,#177298,.T.); #225593=ORIENTED_EDGE('',*,*,#177295,.T.); #225594=ORIENTED_EDGE('',*,*,#177300,.F.); #225595=ORIENTED_EDGE('',*,*,#177297,.T.); #225596=ORIENTED_EDGE('',*,*,#177301,.F.); #225597=ORIENTED_EDGE('',*,*,#177302,.T.); #225598=ORIENTED_EDGE('',*,*,#177303,.F.); #225599=ORIENTED_EDGE('',*,*,#177302,.F.); #225600=ORIENTED_EDGE('',*,*,#177304,.F.); #225601=ORIENTED_EDGE('',*,*,#177305,.T.); #225602=ORIENTED_EDGE('',*,*,#177306,.T.); #225603=ORIENTED_EDGE('',*,*,#177305,.F.); #225604=ORIENTED_EDGE('',*,*,#177304,.T.); #225605=ORIENTED_EDGE('',*,*,#177301,.T.); #225606=ORIENTED_EDGE('',*,*,#177306,.F.); #225607=ORIENTED_EDGE('',*,*,#177303,.T.); #225608=ORIENTED_EDGE('',*,*,#177307,.F.); #225609=ORIENTED_EDGE('',*,*,#177308,.T.); #225610=ORIENTED_EDGE('',*,*,#177309,.F.); #225611=ORIENTED_EDGE('',*,*,#177308,.F.); #225612=ORIENTED_EDGE('',*,*,#177310,.F.); #225613=ORIENTED_EDGE('',*,*,#177311,.T.); #225614=ORIENTED_EDGE('',*,*,#177312,.T.); #225615=ORIENTED_EDGE('',*,*,#177311,.F.); #225616=ORIENTED_EDGE('',*,*,#177310,.T.); #225617=ORIENTED_EDGE('',*,*,#177307,.T.); #225618=ORIENTED_EDGE('',*,*,#177312,.F.); #225619=ORIENTED_EDGE('',*,*,#177309,.T.); #225620=ORIENTED_EDGE('',*,*,#177313,.F.); #225621=ORIENTED_EDGE('',*,*,#177314,.T.); #225622=ORIENTED_EDGE('',*,*,#177315,.F.); #225623=ORIENTED_EDGE('',*,*,#177314,.F.); #225624=ORIENTED_EDGE('',*,*,#177316,.F.); #225625=ORIENTED_EDGE('',*,*,#177317,.T.); #225626=ORIENTED_EDGE('',*,*,#177318,.T.); #225627=ORIENTED_EDGE('',*,*,#177317,.F.); #225628=ORIENTED_EDGE('',*,*,#177316,.T.); #225629=ORIENTED_EDGE('',*,*,#177313,.T.); #225630=ORIENTED_EDGE('',*,*,#177318,.F.); #225631=ORIENTED_EDGE('',*,*,#177315,.T.); #225632=ORIENTED_EDGE('',*,*,#177319,.F.); #225633=ORIENTED_EDGE('',*,*,#177320,.T.); #225634=ORIENTED_EDGE('',*,*,#177321,.F.); #225635=ORIENTED_EDGE('',*,*,#177320,.F.); #225636=ORIENTED_EDGE('',*,*,#177322,.F.); #225637=ORIENTED_EDGE('',*,*,#177323,.T.); #225638=ORIENTED_EDGE('',*,*,#177324,.T.); #225639=ORIENTED_EDGE('',*,*,#177323,.F.); #225640=ORIENTED_EDGE('',*,*,#177322,.T.); #225641=ORIENTED_EDGE('',*,*,#177319,.T.); #225642=ORIENTED_EDGE('',*,*,#177324,.F.); #225643=ORIENTED_EDGE('',*,*,#177321,.T.); #225644=ORIENTED_EDGE('',*,*,#177325,.F.); #225645=ORIENTED_EDGE('',*,*,#177326,.T.); #225646=ORIENTED_EDGE('',*,*,#177327,.F.); #225647=ORIENTED_EDGE('',*,*,#177326,.F.); #225648=ORIENTED_EDGE('',*,*,#177328,.F.); #225649=ORIENTED_EDGE('',*,*,#177329,.T.); #225650=ORIENTED_EDGE('',*,*,#177330,.T.); #225651=ORIENTED_EDGE('',*,*,#177329,.F.); #225652=ORIENTED_EDGE('',*,*,#177328,.T.); #225653=ORIENTED_EDGE('',*,*,#177325,.T.); #225654=ORIENTED_EDGE('',*,*,#177330,.F.); #225655=ORIENTED_EDGE('',*,*,#177327,.T.); #225656=ORIENTED_EDGE('',*,*,#177331,.F.); #225657=ORIENTED_EDGE('',*,*,#177332,.T.); #225658=ORIENTED_EDGE('',*,*,#177333,.F.); #225659=ORIENTED_EDGE('',*,*,#177332,.F.); #225660=ORIENTED_EDGE('',*,*,#177334,.F.); #225661=ORIENTED_EDGE('',*,*,#177335,.T.); #225662=ORIENTED_EDGE('',*,*,#177336,.T.); #225663=ORIENTED_EDGE('',*,*,#177335,.F.); #225664=ORIENTED_EDGE('',*,*,#177334,.T.); #225665=ORIENTED_EDGE('',*,*,#177331,.T.); #225666=ORIENTED_EDGE('',*,*,#177336,.F.); #225667=ORIENTED_EDGE('',*,*,#177333,.T.); #225668=ORIENTED_EDGE('',*,*,#177337,.F.); #225669=ORIENTED_EDGE('',*,*,#177338,.T.); #225670=ORIENTED_EDGE('',*,*,#177339,.F.); #225671=ORIENTED_EDGE('',*,*,#177338,.F.); #225672=ORIENTED_EDGE('',*,*,#177340,.F.); #225673=ORIENTED_EDGE('',*,*,#177341,.T.); #225674=ORIENTED_EDGE('',*,*,#177342,.T.); #225675=ORIENTED_EDGE('',*,*,#177341,.F.); #225676=ORIENTED_EDGE('',*,*,#177340,.T.); #225677=ORIENTED_EDGE('',*,*,#177337,.T.); #225678=ORIENTED_EDGE('',*,*,#177342,.F.); #225679=ORIENTED_EDGE('',*,*,#177339,.T.); #225680=ORIENTED_EDGE('',*,*,#177343,.F.); #225681=ORIENTED_EDGE('',*,*,#177344,.T.); #225682=ORIENTED_EDGE('',*,*,#177345,.F.); #225683=ORIENTED_EDGE('',*,*,#177344,.F.); #225684=ORIENTED_EDGE('',*,*,#177346,.F.); #225685=ORIENTED_EDGE('',*,*,#177347,.T.); #225686=ORIENTED_EDGE('',*,*,#177348,.T.); #225687=ORIENTED_EDGE('',*,*,#177347,.F.); #225688=ORIENTED_EDGE('',*,*,#177346,.T.); #225689=ORIENTED_EDGE('',*,*,#177343,.T.); #225690=ORIENTED_EDGE('',*,*,#177348,.F.); #225691=ORIENTED_EDGE('',*,*,#177345,.T.); #225692=ORIENTED_EDGE('',*,*,#177349,.F.); #225693=ORIENTED_EDGE('',*,*,#177350,.T.); #225694=ORIENTED_EDGE('',*,*,#177351,.F.); #225695=ORIENTED_EDGE('',*,*,#177350,.F.); #225696=ORIENTED_EDGE('',*,*,#177352,.F.); #225697=ORIENTED_EDGE('',*,*,#177353,.T.); #225698=ORIENTED_EDGE('',*,*,#177354,.T.); #225699=ORIENTED_EDGE('',*,*,#177353,.F.); #225700=ORIENTED_EDGE('',*,*,#177352,.T.); #225701=ORIENTED_EDGE('',*,*,#177349,.T.); #225702=ORIENTED_EDGE('',*,*,#177354,.F.); #225703=ORIENTED_EDGE('',*,*,#177351,.T.); #225704=ORIENTED_EDGE('',*,*,#177355,.F.); #225705=ORIENTED_EDGE('',*,*,#177356,.T.); #225706=ORIENTED_EDGE('',*,*,#177357,.F.); #225707=ORIENTED_EDGE('',*,*,#177356,.F.); #225708=ORIENTED_EDGE('',*,*,#177358,.F.); #225709=ORIENTED_EDGE('',*,*,#177359,.T.); #225710=ORIENTED_EDGE('',*,*,#177360,.T.); #225711=ORIENTED_EDGE('',*,*,#177359,.F.); #225712=ORIENTED_EDGE('',*,*,#177358,.T.); #225713=ORIENTED_EDGE('',*,*,#177355,.T.); #225714=ORIENTED_EDGE('',*,*,#177360,.F.); #225715=ORIENTED_EDGE('',*,*,#177357,.T.); #225716=ORIENTED_EDGE('',*,*,#177361,.F.); #225717=ORIENTED_EDGE('',*,*,#177362,.T.); #225718=ORIENTED_EDGE('',*,*,#177363,.F.); #225719=ORIENTED_EDGE('',*,*,#177362,.F.); #225720=ORIENTED_EDGE('',*,*,#177364,.F.); #225721=ORIENTED_EDGE('',*,*,#177365,.T.); #225722=ORIENTED_EDGE('',*,*,#177366,.T.); #225723=ORIENTED_EDGE('',*,*,#177365,.F.); #225724=ORIENTED_EDGE('',*,*,#177364,.T.); #225725=ORIENTED_EDGE('',*,*,#177361,.T.); #225726=ORIENTED_EDGE('',*,*,#177366,.F.); #225727=ORIENTED_EDGE('',*,*,#177363,.T.); #225728=ORIENTED_EDGE('',*,*,#177367,.F.); #225729=ORIENTED_EDGE('',*,*,#177368,.T.); #225730=ORIENTED_EDGE('',*,*,#177369,.F.); #225731=ORIENTED_EDGE('',*,*,#177368,.F.); #225732=ORIENTED_EDGE('',*,*,#177370,.F.); #225733=ORIENTED_EDGE('',*,*,#177371,.T.); #225734=ORIENTED_EDGE('',*,*,#177372,.T.); #225735=ORIENTED_EDGE('',*,*,#177371,.F.); #225736=ORIENTED_EDGE('',*,*,#177370,.T.); #225737=ORIENTED_EDGE('',*,*,#177367,.T.); #225738=ORIENTED_EDGE('',*,*,#177372,.F.); #225739=ORIENTED_EDGE('',*,*,#177369,.T.); #225740=ORIENTED_EDGE('',*,*,#177373,.F.); #225741=ORIENTED_EDGE('',*,*,#177374,.T.); #225742=ORIENTED_EDGE('',*,*,#177375,.F.); #225743=ORIENTED_EDGE('',*,*,#177374,.F.); #225744=ORIENTED_EDGE('',*,*,#177376,.F.); #225745=ORIENTED_EDGE('',*,*,#177377,.T.); #225746=ORIENTED_EDGE('',*,*,#177378,.T.); #225747=ORIENTED_EDGE('',*,*,#177377,.F.); #225748=ORIENTED_EDGE('',*,*,#177376,.T.); #225749=ORIENTED_EDGE('',*,*,#177373,.T.); #225750=ORIENTED_EDGE('',*,*,#177378,.F.); #225751=ORIENTED_EDGE('',*,*,#177375,.T.); #225752=ORIENTED_EDGE('',*,*,#177379,.F.); #225753=ORIENTED_EDGE('',*,*,#177380,.T.); #225754=ORIENTED_EDGE('',*,*,#177381,.F.); #225755=ORIENTED_EDGE('',*,*,#177380,.F.); #225756=ORIENTED_EDGE('',*,*,#177382,.F.); #225757=ORIENTED_EDGE('',*,*,#177383,.T.); #225758=ORIENTED_EDGE('',*,*,#177384,.T.); #225759=ORIENTED_EDGE('',*,*,#177383,.F.); #225760=ORIENTED_EDGE('',*,*,#177382,.T.); #225761=ORIENTED_EDGE('',*,*,#177379,.T.); #225762=ORIENTED_EDGE('',*,*,#177384,.F.); #225763=ORIENTED_EDGE('',*,*,#177381,.T.); #225764=ORIENTED_EDGE('',*,*,#177385,.F.); #225765=ORIENTED_EDGE('',*,*,#177386,.T.); #225766=ORIENTED_EDGE('',*,*,#177387,.F.); #225767=ORIENTED_EDGE('',*,*,#177386,.F.); #225768=ORIENTED_EDGE('',*,*,#177388,.F.); #225769=ORIENTED_EDGE('',*,*,#177389,.T.); #225770=ORIENTED_EDGE('',*,*,#177390,.T.); #225771=ORIENTED_EDGE('',*,*,#177389,.F.); #225772=ORIENTED_EDGE('',*,*,#177388,.T.); #225773=ORIENTED_EDGE('',*,*,#177385,.T.); #225774=ORIENTED_EDGE('',*,*,#177390,.F.); #225775=ORIENTED_EDGE('',*,*,#177387,.T.); #225776=ORIENTED_EDGE('',*,*,#177391,.F.); #225777=ORIENTED_EDGE('',*,*,#177392,.T.); #225778=ORIENTED_EDGE('',*,*,#177393,.F.); #225779=ORIENTED_EDGE('',*,*,#177392,.F.); #225780=ORIENTED_EDGE('',*,*,#177394,.F.); #225781=ORIENTED_EDGE('',*,*,#177395,.T.); #225782=ORIENTED_EDGE('',*,*,#177396,.T.); #225783=ORIENTED_EDGE('',*,*,#177395,.F.); #225784=ORIENTED_EDGE('',*,*,#177394,.T.); #225785=ORIENTED_EDGE('',*,*,#177391,.T.); #225786=ORIENTED_EDGE('',*,*,#177396,.F.); #225787=ORIENTED_EDGE('',*,*,#177393,.T.); #225788=ORIENTED_EDGE('',*,*,#177397,.F.); #225789=ORIENTED_EDGE('',*,*,#177398,.T.); #225790=ORIENTED_EDGE('',*,*,#177399,.F.); #225791=ORIENTED_EDGE('',*,*,#177398,.F.); #225792=ORIENTED_EDGE('',*,*,#177400,.F.); #225793=ORIENTED_EDGE('',*,*,#177401,.T.); #225794=ORIENTED_EDGE('',*,*,#177402,.T.); #225795=ORIENTED_EDGE('',*,*,#177401,.F.); #225796=ORIENTED_EDGE('',*,*,#177400,.T.); #225797=ORIENTED_EDGE('',*,*,#177397,.T.); #225798=ORIENTED_EDGE('',*,*,#177402,.F.); #225799=ORIENTED_EDGE('',*,*,#177399,.T.); #225800=ORIENTED_EDGE('',*,*,#177403,.F.); #225801=ORIENTED_EDGE('',*,*,#177404,.T.); #225802=ORIENTED_EDGE('',*,*,#177405,.F.); #225803=ORIENTED_EDGE('',*,*,#177404,.F.); #225804=ORIENTED_EDGE('',*,*,#177406,.F.); #225805=ORIENTED_EDGE('',*,*,#177407,.T.); #225806=ORIENTED_EDGE('',*,*,#177408,.T.); #225807=ORIENTED_EDGE('',*,*,#177407,.F.); #225808=ORIENTED_EDGE('',*,*,#177406,.T.); #225809=ORIENTED_EDGE('',*,*,#177403,.T.); #225810=ORIENTED_EDGE('',*,*,#177408,.F.); #225811=ORIENTED_EDGE('',*,*,#177405,.T.); #225812=ORIENTED_EDGE('',*,*,#177409,.F.); #225813=ORIENTED_EDGE('',*,*,#177410,.T.); #225814=ORIENTED_EDGE('',*,*,#177411,.F.); #225815=ORIENTED_EDGE('',*,*,#177410,.F.); #225816=ORIENTED_EDGE('',*,*,#177412,.F.); #225817=ORIENTED_EDGE('',*,*,#177413,.T.); #225818=ORIENTED_EDGE('',*,*,#177414,.T.); #225819=ORIENTED_EDGE('',*,*,#177413,.F.); #225820=ORIENTED_EDGE('',*,*,#177412,.T.); #225821=ORIENTED_EDGE('',*,*,#177409,.T.); #225822=ORIENTED_EDGE('',*,*,#177414,.F.); #225823=ORIENTED_EDGE('',*,*,#177411,.T.); #225824=ORIENTED_EDGE('',*,*,#177415,.F.); #225825=ORIENTED_EDGE('',*,*,#177416,.T.); #225826=ORIENTED_EDGE('',*,*,#177417,.F.); #225827=ORIENTED_EDGE('',*,*,#177416,.F.); #225828=ORIENTED_EDGE('',*,*,#177418,.F.); #225829=ORIENTED_EDGE('',*,*,#177419,.T.); #225830=ORIENTED_EDGE('',*,*,#177420,.T.); #225831=ORIENTED_EDGE('',*,*,#177419,.F.); #225832=ORIENTED_EDGE('',*,*,#177418,.T.); #225833=ORIENTED_EDGE('',*,*,#177415,.T.); #225834=ORIENTED_EDGE('',*,*,#177420,.F.); #225835=ORIENTED_EDGE('',*,*,#177417,.T.); #225836=ORIENTED_EDGE('',*,*,#177421,.F.); #225837=ORIENTED_EDGE('',*,*,#177422,.T.); #225838=ORIENTED_EDGE('',*,*,#177423,.F.); #225839=ORIENTED_EDGE('',*,*,#177422,.F.); #225840=ORIENTED_EDGE('',*,*,#177424,.F.); #225841=ORIENTED_EDGE('',*,*,#177425,.T.); #225842=ORIENTED_EDGE('',*,*,#177426,.T.); #225843=ORIENTED_EDGE('',*,*,#177425,.F.); #225844=ORIENTED_EDGE('',*,*,#177424,.T.); #225845=ORIENTED_EDGE('',*,*,#177421,.T.); #225846=ORIENTED_EDGE('',*,*,#177426,.F.); #225847=ORIENTED_EDGE('',*,*,#177423,.T.); #225848=ORIENTED_EDGE('',*,*,#177427,.F.); #225849=ORIENTED_EDGE('',*,*,#177428,.T.); #225850=ORIENTED_EDGE('',*,*,#177429,.F.); #225851=ORIENTED_EDGE('',*,*,#177428,.F.); #225852=ORIENTED_EDGE('',*,*,#177430,.F.); #225853=ORIENTED_EDGE('',*,*,#177431,.T.); #225854=ORIENTED_EDGE('',*,*,#177432,.T.); #225855=ORIENTED_EDGE('',*,*,#177431,.F.); #225856=ORIENTED_EDGE('',*,*,#177430,.T.); #225857=ORIENTED_EDGE('',*,*,#177427,.T.); #225858=ORIENTED_EDGE('',*,*,#177432,.F.); #225859=ORIENTED_EDGE('',*,*,#177429,.T.); #225860=ORIENTED_EDGE('',*,*,#177433,.F.); #225861=ORIENTED_EDGE('',*,*,#177434,.T.); #225862=ORIENTED_EDGE('',*,*,#177435,.F.); #225863=ORIENTED_EDGE('',*,*,#177434,.F.); #225864=ORIENTED_EDGE('',*,*,#177436,.F.); #225865=ORIENTED_EDGE('',*,*,#177437,.T.); #225866=ORIENTED_EDGE('',*,*,#177438,.T.); #225867=ORIENTED_EDGE('',*,*,#177437,.F.); #225868=ORIENTED_EDGE('',*,*,#177436,.T.); #225869=ORIENTED_EDGE('',*,*,#177433,.T.); #225870=ORIENTED_EDGE('',*,*,#177438,.F.); #225871=ORIENTED_EDGE('',*,*,#177435,.T.); #225872=ORIENTED_EDGE('',*,*,#177439,.F.); #225873=ORIENTED_EDGE('',*,*,#177440,.T.); #225874=ORIENTED_EDGE('',*,*,#177441,.F.); #225875=ORIENTED_EDGE('',*,*,#177440,.F.); #225876=ORIENTED_EDGE('',*,*,#177442,.F.); #225877=ORIENTED_EDGE('',*,*,#177443,.T.); #225878=ORIENTED_EDGE('',*,*,#177444,.T.); #225879=ORIENTED_EDGE('',*,*,#177443,.F.); #225880=ORIENTED_EDGE('',*,*,#177442,.T.); #225881=ORIENTED_EDGE('',*,*,#177439,.T.); #225882=ORIENTED_EDGE('',*,*,#177444,.F.); #225883=ORIENTED_EDGE('',*,*,#177441,.T.); #225884=ORIENTED_EDGE('',*,*,#177445,.F.); #225885=ORIENTED_EDGE('',*,*,#177446,.T.); #225886=ORIENTED_EDGE('',*,*,#177447,.F.); #225887=ORIENTED_EDGE('',*,*,#177446,.F.); #225888=ORIENTED_EDGE('',*,*,#177448,.F.); #225889=ORIENTED_EDGE('',*,*,#177449,.T.); #225890=ORIENTED_EDGE('',*,*,#177450,.T.); #225891=ORIENTED_EDGE('',*,*,#177449,.F.); #225892=ORIENTED_EDGE('',*,*,#177448,.T.); #225893=ORIENTED_EDGE('',*,*,#177445,.T.); #225894=ORIENTED_EDGE('',*,*,#177450,.F.); #225895=ORIENTED_EDGE('',*,*,#177447,.T.); #225896=ORIENTED_EDGE('',*,*,#177451,.F.); #225897=ORIENTED_EDGE('',*,*,#177452,.T.); #225898=ORIENTED_EDGE('',*,*,#177453,.F.); #225899=ORIENTED_EDGE('',*,*,#177452,.F.); #225900=ORIENTED_EDGE('',*,*,#177454,.F.); #225901=ORIENTED_EDGE('',*,*,#177455,.T.); #225902=ORIENTED_EDGE('',*,*,#177456,.T.); #225903=ORIENTED_EDGE('',*,*,#177455,.F.); #225904=ORIENTED_EDGE('',*,*,#177454,.T.); #225905=ORIENTED_EDGE('',*,*,#177451,.T.); #225906=ORIENTED_EDGE('',*,*,#177456,.F.); #225907=ORIENTED_EDGE('',*,*,#177453,.T.); #225908=ORIENTED_EDGE('',*,*,#177457,.F.); #225909=ORIENTED_EDGE('',*,*,#177458,.T.); #225910=ORIENTED_EDGE('',*,*,#177459,.F.); #225911=ORIENTED_EDGE('',*,*,#177458,.F.); #225912=ORIENTED_EDGE('',*,*,#177460,.F.); #225913=ORIENTED_EDGE('',*,*,#177461,.T.); #225914=ORIENTED_EDGE('',*,*,#177462,.T.); #225915=ORIENTED_EDGE('',*,*,#177461,.F.); #225916=ORIENTED_EDGE('',*,*,#177460,.T.); #225917=ORIENTED_EDGE('',*,*,#177457,.T.); #225918=ORIENTED_EDGE('',*,*,#177462,.F.); #225919=ORIENTED_EDGE('',*,*,#177459,.T.); #225920=ORIENTED_EDGE('',*,*,#177463,.F.); #225921=ORIENTED_EDGE('',*,*,#177464,.T.); #225922=ORIENTED_EDGE('',*,*,#177465,.F.); #225923=ORIENTED_EDGE('',*,*,#177464,.F.); #225924=ORIENTED_EDGE('',*,*,#177466,.F.); #225925=ORIENTED_EDGE('',*,*,#177467,.T.); #225926=ORIENTED_EDGE('',*,*,#177468,.T.); #225927=ORIENTED_EDGE('',*,*,#177467,.F.); #225928=ORIENTED_EDGE('',*,*,#177466,.T.); #225929=ORIENTED_EDGE('',*,*,#177463,.T.); #225930=ORIENTED_EDGE('',*,*,#177468,.F.); #225931=ORIENTED_EDGE('',*,*,#177465,.T.); #225932=ORIENTED_EDGE('',*,*,#177469,.F.); #225933=ORIENTED_EDGE('',*,*,#177470,.T.); #225934=ORIENTED_EDGE('',*,*,#177471,.F.); #225935=ORIENTED_EDGE('',*,*,#177470,.F.); #225936=ORIENTED_EDGE('',*,*,#177472,.F.); #225937=ORIENTED_EDGE('',*,*,#177473,.T.); #225938=ORIENTED_EDGE('',*,*,#177474,.T.); #225939=ORIENTED_EDGE('',*,*,#177473,.F.); #225940=ORIENTED_EDGE('',*,*,#177472,.T.); #225941=ORIENTED_EDGE('',*,*,#177469,.T.); #225942=ORIENTED_EDGE('',*,*,#177474,.F.); #225943=ORIENTED_EDGE('',*,*,#177471,.T.); #225944=ORIENTED_EDGE('',*,*,#177475,.F.); #225945=ORIENTED_EDGE('',*,*,#177476,.T.); #225946=ORIENTED_EDGE('',*,*,#177477,.F.); #225947=ORIENTED_EDGE('',*,*,#177476,.F.); #225948=ORIENTED_EDGE('',*,*,#177478,.F.); #225949=ORIENTED_EDGE('',*,*,#177479,.T.); #225950=ORIENTED_EDGE('',*,*,#177480,.T.); #225951=ORIENTED_EDGE('',*,*,#177479,.F.); #225952=ORIENTED_EDGE('',*,*,#177478,.T.); #225953=ORIENTED_EDGE('',*,*,#177475,.T.); #225954=ORIENTED_EDGE('',*,*,#177480,.F.); #225955=ORIENTED_EDGE('',*,*,#177477,.T.); #225956=ORIENTED_EDGE('',*,*,#177481,.F.); #225957=ORIENTED_EDGE('',*,*,#177482,.T.); #225958=ORIENTED_EDGE('',*,*,#177483,.F.); #225959=ORIENTED_EDGE('',*,*,#177482,.F.); #225960=ORIENTED_EDGE('',*,*,#177484,.F.); #225961=ORIENTED_EDGE('',*,*,#177485,.T.); #225962=ORIENTED_EDGE('',*,*,#177486,.T.); #225963=ORIENTED_EDGE('',*,*,#177485,.F.); #225964=ORIENTED_EDGE('',*,*,#177484,.T.); #225965=ORIENTED_EDGE('',*,*,#177481,.T.); #225966=ORIENTED_EDGE('',*,*,#177486,.F.); #225967=ORIENTED_EDGE('',*,*,#177483,.T.); #225968=ORIENTED_EDGE('',*,*,#177487,.F.); #225969=ORIENTED_EDGE('',*,*,#177488,.T.); #225970=ORIENTED_EDGE('',*,*,#177489,.F.); #225971=ORIENTED_EDGE('',*,*,#177488,.F.); #225972=ORIENTED_EDGE('',*,*,#177490,.F.); #225973=ORIENTED_EDGE('',*,*,#177491,.T.); #225974=ORIENTED_EDGE('',*,*,#177492,.T.); #225975=ORIENTED_EDGE('',*,*,#177491,.F.); #225976=ORIENTED_EDGE('',*,*,#177490,.T.); #225977=ORIENTED_EDGE('',*,*,#177487,.T.); #225978=ORIENTED_EDGE('',*,*,#177492,.F.); #225979=ORIENTED_EDGE('',*,*,#177489,.T.); #225980=ORIENTED_EDGE('',*,*,#177493,.F.); #225981=ORIENTED_EDGE('',*,*,#177494,.T.); #225982=ORIENTED_EDGE('',*,*,#177495,.F.); #225983=ORIENTED_EDGE('',*,*,#177494,.F.); #225984=ORIENTED_EDGE('',*,*,#177496,.F.); #225985=ORIENTED_EDGE('',*,*,#177497,.T.); #225986=ORIENTED_EDGE('',*,*,#177498,.T.); #225987=ORIENTED_EDGE('',*,*,#177497,.F.); #225988=ORIENTED_EDGE('',*,*,#177496,.T.); #225989=ORIENTED_EDGE('',*,*,#177493,.T.); #225990=ORIENTED_EDGE('',*,*,#177498,.F.); #225991=ORIENTED_EDGE('',*,*,#177495,.T.); #225992=ORIENTED_EDGE('',*,*,#177499,.F.); #225993=ORIENTED_EDGE('',*,*,#177500,.T.); #225994=ORIENTED_EDGE('',*,*,#177501,.F.); #225995=ORIENTED_EDGE('',*,*,#177500,.F.); #225996=ORIENTED_EDGE('',*,*,#177502,.F.); #225997=ORIENTED_EDGE('',*,*,#177503,.T.); #225998=ORIENTED_EDGE('',*,*,#177504,.T.); #225999=ORIENTED_EDGE('',*,*,#177503,.F.); #226000=ORIENTED_EDGE('',*,*,#177502,.T.); #226001=ORIENTED_EDGE('',*,*,#177499,.T.); #226002=ORIENTED_EDGE('',*,*,#177504,.F.); #226003=ORIENTED_EDGE('',*,*,#177501,.T.); #226004=ORIENTED_EDGE('',*,*,#177505,.F.); #226005=ORIENTED_EDGE('',*,*,#177506,.T.); #226006=ORIENTED_EDGE('',*,*,#177507,.F.); #226007=ORIENTED_EDGE('',*,*,#177506,.F.); #226008=ORIENTED_EDGE('',*,*,#177508,.F.); #226009=ORIENTED_EDGE('',*,*,#177509,.T.); #226010=ORIENTED_EDGE('',*,*,#177510,.T.); #226011=ORIENTED_EDGE('',*,*,#177509,.F.); #226012=ORIENTED_EDGE('',*,*,#177508,.T.); #226013=ORIENTED_EDGE('',*,*,#177505,.T.); #226014=ORIENTED_EDGE('',*,*,#177510,.F.); #226015=ORIENTED_EDGE('',*,*,#177507,.T.); #226016=ORIENTED_EDGE('',*,*,#177511,.F.); #226017=ORIENTED_EDGE('',*,*,#177512,.T.); #226018=ORIENTED_EDGE('',*,*,#177513,.F.); #226019=ORIENTED_EDGE('',*,*,#177512,.F.); #226020=ORIENTED_EDGE('',*,*,#177514,.F.); #226021=ORIENTED_EDGE('',*,*,#177515,.T.); #226022=ORIENTED_EDGE('',*,*,#177516,.T.); #226023=ORIENTED_EDGE('',*,*,#177515,.F.); #226024=ORIENTED_EDGE('',*,*,#177514,.T.); #226025=ORIENTED_EDGE('',*,*,#177511,.T.); #226026=ORIENTED_EDGE('',*,*,#177516,.F.); #226027=ORIENTED_EDGE('',*,*,#177513,.T.); #226028=ORIENTED_EDGE('',*,*,#177517,.F.); #226029=ORIENTED_EDGE('',*,*,#177518,.T.); #226030=ORIENTED_EDGE('',*,*,#177519,.F.); #226031=ORIENTED_EDGE('',*,*,#177518,.F.); #226032=ORIENTED_EDGE('',*,*,#177520,.F.); #226033=ORIENTED_EDGE('',*,*,#177521,.T.); #226034=ORIENTED_EDGE('',*,*,#177522,.T.); #226035=ORIENTED_EDGE('',*,*,#177521,.F.); #226036=ORIENTED_EDGE('',*,*,#177520,.T.); #226037=ORIENTED_EDGE('',*,*,#177517,.T.); #226038=ORIENTED_EDGE('',*,*,#177522,.F.); #226039=ORIENTED_EDGE('',*,*,#177519,.T.); #226040=ORIENTED_EDGE('',*,*,#177523,.F.); #226041=ORIENTED_EDGE('',*,*,#177524,.T.); #226042=ORIENTED_EDGE('',*,*,#177525,.F.); #226043=ORIENTED_EDGE('',*,*,#177524,.F.); #226044=ORIENTED_EDGE('',*,*,#177526,.F.); #226045=ORIENTED_EDGE('',*,*,#177527,.T.); #226046=ORIENTED_EDGE('',*,*,#177528,.T.); #226047=ORIENTED_EDGE('',*,*,#177527,.F.); #226048=ORIENTED_EDGE('',*,*,#177526,.T.); #226049=ORIENTED_EDGE('',*,*,#177523,.T.); #226050=ORIENTED_EDGE('',*,*,#177528,.F.); #226051=ORIENTED_EDGE('',*,*,#177525,.T.); #226052=ORIENTED_EDGE('',*,*,#177529,.T.); #226053=ORIENTED_EDGE('',*,*,#177530,.T.); #226054=ORIENTED_EDGE('',*,*,#177531,.F.); #226055=ORIENTED_EDGE('',*,*,#177532,.F.); #226056=ORIENTED_EDGE('',*,*,#177533,.F.); #226057=ORIENTED_EDGE('',*,*,#177532,.T.); #226058=ORIENTED_EDGE('',*,*,#177534,.F.); #226059=ORIENTED_EDGE('',*,*,#177535,.F.); #226060=ORIENTED_EDGE('',*,*,#177536,.T.); #226061=ORIENTED_EDGE('',*,*,#177535,.T.); #226062=ORIENTED_EDGE('',*,*,#177537,.F.); #226063=ORIENTED_EDGE('',*,*,#177538,.F.); #226064=ORIENTED_EDGE('',*,*,#177539,.F.); #226065=ORIENTED_EDGE('',*,*,#177538,.T.); #226066=ORIENTED_EDGE('',*,*,#177540,.F.); #226067=ORIENTED_EDGE('',*,*,#177530,.F.); #226068=ORIENTED_EDGE('',*,*,#177541,.F.); #226069=ORIENTED_EDGE('',*,*,#177542,.T.); #226070=ORIENTED_EDGE('',*,*,#177543,.F.); #226071=ORIENTED_EDGE('',*,*,#177544,.F.); #226072=ORIENTED_EDGE('',*,*,#177545,.T.); #226073=ORIENTED_EDGE('',*,*,#177544,.T.); #226074=ORIENTED_EDGE('',*,*,#177546,.F.); #226075=ORIENTED_EDGE('',*,*,#177547,.F.); #226076=ORIENTED_EDGE('',*,*,#177548,.F.); #226077=ORIENTED_EDGE('',*,*,#177547,.T.); #226078=ORIENTED_EDGE('',*,*,#177549,.F.); #226079=ORIENTED_EDGE('',*,*,#177550,.F.); #226080=ORIENTED_EDGE('',*,*,#177551,.T.); #226081=ORIENTED_EDGE('',*,*,#177550,.T.); #226082=ORIENTED_EDGE('',*,*,#177552,.F.); #226083=ORIENTED_EDGE('',*,*,#177542,.F.); #226084=ORIENTED_EDGE('',*,*,#177552,.T.); #226085=ORIENTED_EDGE('',*,*,#177549,.T.); #226086=ORIENTED_EDGE('',*,*,#177546,.T.); #226087=ORIENTED_EDGE('',*,*,#177543,.T.); #226088=ORIENTED_EDGE('',*,*,#177540,.T.); #226089=ORIENTED_EDGE('',*,*,#177537,.T.); #226090=ORIENTED_EDGE('',*,*,#177534,.T.); #226091=ORIENTED_EDGE('',*,*,#177531,.T.); #226092=ORIENTED_EDGE('',*,*,#177551,.F.); #226093=ORIENTED_EDGE('',*,*,#177541,.T.); #226094=ORIENTED_EDGE('',*,*,#177545,.F.); #226095=ORIENTED_EDGE('',*,*,#177548,.T.); #226096=ORIENTED_EDGE('',*,*,#177539,.T.); #226097=ORIENTED_EDGE('',*,*,#177529,.F.); #226098=ORIENTED_EDGE('',*,*,#177533,.T.); #226099=ORIENTED_EDGE('',*,*,#177536,.F.); #226100=ORIENTED_EDGE('',*,*,#177553,.F.); #226101=ORIENTED_EDGE('',*,*,#177554,.T.); #226102=ORIENTED_EDGE('',*,*,#177555,.F.); #226103=ORIENTED_EDGE('',*,*,#177554,.F.); #226104=ORIENTED_EDGE('',*,*,#177556,.F.); #226105=ORIENTED_EDGE('',*,*,#177557,.T.); #226106=ORIENTED_EDGE('',*,*,#177558,.T.); #226107=ORIENTED_EDGE('',*,*,#177557,.F.); #226108=ORIENTED_EDGE('',*,*,#177556,.T.); #226109=ORIENTED_EDGE('',*,*,#177553,.T.); #226110=ORIENTED_EDGE('',*,*,#177558,.F.); #226111=ORIENTED_EDGE('',*,*,#177555,.T.); #226112=ORIENTED_EDGE('',*,*,#177559,.T.); #226113=ORIENTED_EDGE('',*,*,#177560,.T.); #226114=ORIENTED_EDGE('',*,*,#177561,.F.); #226115=ORIENTED_EDGE('',*,*,#177562,.F.); #226116=ORIENTED_EDGE('',*,*,#177563,.F.); #226117=ORIENTED_EDGE('',*,*,#177562,.T.); #226118=ORIENTED_EDGE('',*,*,#177564,.F.); #226119=ORIENTED_EDGE('',*,*,#177565,.F.); #226120=ORIENTED_EDGE('',*,*,#177566,.T.); #226121=ORIENTED_EDGE('',*,*,#177565,.T.); #226122=ORIENTED_EDGE('',*,*,#177567,.F.); #226123=ORIENTED_EDGE('',*,*,#177568,.F.); #226124=ORIENTED_EDGE('',*,*,#177569,.F.); #226125=ORIENTED_EDGE('',*,*,#177568,.T.); #226126=ORIENTED_EDGE('',*,*,#177570,.F.); #226127=ORIENTED_EDGE('',*,*,#177560,.F.); #226128=ORIENTED_EDGE('',*,*,#177571,.F.); #226129=ORIENTED_EDGE('',*,*,#177572,.T.); #226130=ORIENTED_EDGE('',*,*,#177573,.F.); #226131=ORIENTED_EDGE('',*,*,#177574,.F.); #226132=ORIENTED_EDGE('',*,*,#177575,.T.); #226133=ORIENTED_EDGE('',*,*,#177574,.T.); #226134=ORIENTED_EDGE('',*,*,#177576,.F.); #226135=ORIENTED_EDGE('',*,*,#177577,.F.); #226136=ORIENTED_EDGE('',*,*,#177578,.F.); #226137=ORIENTED_EDGE('',*,*,#177577,.T.); #226138=ORIENTED_EDGE('',*,*,#177579,.F.); #226139=ORIENTED_EDGE('',*,*,#177580,.F.); #226140=ORIENTED_EDGE('',*,*,#177581,.T.); #226141=ORIENTED_EDGE('',*,*,#177580,.T.); #226142=ORIENTED_EDGE('',*,*,#177582,.F.); #226143=ORIENTED_EDGE('',*,*,#177572,.F.); #226144=ORIENTED_EDGE('',*,*,#177582,.T.); #226145=ORIENTED_EDGE('',*,*,#177579,.T.); #226146=ORIENTED_EDGE('',*,*,#177576,.T.); #226147=ORIENTED_EDGE('',*,*,#177573,.T.); #226148=ORIENTED_EDGE('',*,*,#177570,.T.); #226149=ORIENTED_EDGE('',*,*,#177567,.T.); #226150=ORIENTED_EDGE('',*,*,#177564,.T.); #226151=ORIENTED_EDGE('',*,*,#177561,.T.); #226152=ORIENTED_EDGE('',*,*,#177581,.F.); #226153=ORIENTED_EDGE('',*,*,#177571,.T.); #226154=ORIENTED_EDGE('',*,*,#177575,.F.); #226155=ORIENTED_EDGE('',*,*,#177578,.T.); #226156=ORIENTED_EDGE('',*,*,#177569,.T.); #226157=ORIENTED_EDGE('',*,*,#177559,.F.); #226158=ORIENTED_EDGE('',*,*,#177563,.T.); #226159=ORIENTED_EDGE('',*,*,#177566,.F.); #226160=ORIENTED_EDGE('',*,*,#177583,.F.); #226161=ORIENTED_EDGE('',*,*,#177584,.T.); #226162=ORIENTED_EDGE('',*,*,#177585,.F.); #226163=ORIENTED_EDGE('',*,*,#177584,.F.); #226164=ORIENTED_EDGE('',*,*,#177586,.F.); #226165=ORIENTED_EDGE('',*,*,#177587,.T.); #226166=ORIENTED_EDGE('',*,*,#177588,.T.); #226167=ORIENTED_EDGE('',*,*,#177587,.F.); #226168=ORIENTED_EDGE('',*,*,#177586,.T.); #226169=ORIENTED_EDGE('',*,*,#177583,.T.); #226170=ORIENTED_EDGE('',*,*,#177588,.F.); #226171=ORIENTED_EDGE('',*,*,#177585,.T.); #226172=ORIENTED_EDGE('',*,*,#177589,.T.); #226173=ORIENTED_EDGE('',*,*,#177590,.T.); #226174=ORIENTED_EDGE('',*,*,#177591,.F.); #226175=ORIENTED_EDGE('',*,*,#177592,.F.); #226176=ORIENTED_EDGE('',*,*,#177593,.F.); #226177=ORIENTED_EDGE('',*,*,#177592,.T.); #226178=ORIENTED_EDGE('',*,*,#177594,.F.); #226179=ORIENTED_EDGE('',*,*,#177595,.F.); #226180=ORIENTED_EDGE('',*,*,#177596,.T.); #226181=ORIENTED_EDGE('',*,*,#177595,.T.); #226182=ORIENTED_EDGE('',*,*,#177597,.F.); #226183=ORIENTED_EDGE('',*,*,#177598,.F.); #226184=ORIENTED_EDGE('',*,*,#177599,.F.); #226185=ORIENTED_EDGE('',*,*,#177598,.T.); #226186=ORIENTED_EDGE('',*,*,#177600,.F.); #226187=ORIENTED_EDGE('',*,*,#177590,.F.); #226188=ORIENTED_EDGE('',*,*,#177601,.F.); #226189=ORIENTED_EDGE('',*,*,#177602,.T.); #226190=ORIENTED_EDGE('',*,*,#177603,.F.); #226191=ORIENTED_EDGE('',*,*,#177604,.F.); #226192=ORIENTED_EDGE('',*,*,#177605,.T.); #226193=ORIENTED_EDGE('',*,*,#177604,.T.); #226194=ORIENTED_EDGE('',*,*,#177606,.F.); #226195=ORIENTED_EDGE('',*,*,#177607,.F.); #226196=ORIENTED_EDGE('',*,*,#177608,.F.); #226197=ORIENTED_EDGE('',*,*,#177607,.T.); #226198=ORIENTED_EDGE('',*,*,#177609,.F.); #226199=ORIENTED_EDGE('',*,*,#177610,.F.); #226200=ORIENTED_EDGE('',*,*,#177611,.T.); #226201=ORIENTED_EDGE('',*,*,#177610,.T.); #226202=ORIENTED_EDGE('',*,*,#177612,.F.); #226203=ORIENTED_EDGE('',*,*,#177602,.F.); #226204=ORIENTED_EDGE('',*,*,#177612,.T.); #226205=ORIENTED_EDGE('',*,*,#177609,.T.); #226206=ORIENTED_EDGE('',*,*,#177606,.T.); #226207=ORIENTED_EDGE('',*,*,#177603,.T.); #226208=ORIENTED_EDGE('',*,*,#177600,.T.); #226209=ORIENTED_EDGE('',*,*,#177597,.T.); #226210=ORIENTED_EDGE('',*,*,#177594,.T.); #226211=ORIENTED_EDGE('',*,*,#177591,.T.); #226212=ORIENTED_EDGE('',*,*,#177611,.F.); #226213=ORIENTED_EDGE('',*,*,#177601,.T.); #226214=ORIENTED_EDGE('',*,*,#177605,.F.); #226215=ORIENTED_EDGE('',*,*,#177608,.T.); #226216=ORIENTED_EDGE('',*,*,#177599,.T.); #226217=ORIENTED_EDGE('',*,*,#177589,.F.); #226218=ORIENTED_EDGE('',*,*,#177593,.T.); #226219=ORIENTED_EDGE('',*,*,#177596,.F.); #226220=ORIENTED_EDGE('',*,*,#177613,.F.); #226221=ORIENTED_EDGE('',*,*,#177614,.T.); #226222=ORIENTED_EDGE('',*,*,#177615,.F.); #226223=ORIENTED_EDGE('',*,*,#177614,.F.); #226224=ORIENTED_EDGE('',*,*,#177616,.F.); #226225=ORIENTED_EDGE('',*,*,#177617,.T.); #226226=ORIENTED_EDGE('',*,*,#177618,.T.); #226227=ORIENTED_EDGE('',*,*,#177617,.F.); #226228=ORIENTED_EDGE('',*,*,#177616,.T.); #226229=ORIENTED_EDGE('',*,*,#177613,.T.); #226230=ORIENTED_EDGE('',*,*,#177618,.F.); #226231=ORIENTED_EDGE('',*,*,#177615,.T.); #226232=ORIENTED_EDGE('',*,*,#177619,.T.); #226233=ORIENTED_EDGE('',*,*,#177620,.T.); #226234=ORIENTED_EDGE('',*,*,#177621,.F.); #226235=ORIENTED_EDGE('',*,*,#177622,.F.); #226236=ORIENTED_EDGE('',*,*,#177623,.F.); #226237=ORIENTED_EDGE('',*,*,#177622,.T.); #226238=ORIENTED_EDGE('',*,*,#177624,.F.); #226239=ORIENTED_EDGE('',*,*,#177625,.F.); #226240=ORIENTED_EDGE('',*,*,#177626,.T.); #226241=ORIENTED_EDGE('',*,*,#177625,.T.); #226242=ORIENTED_EDGE('',*,*,#177627,.F.); #226243=ORIENTED_EDGE('',*,*,#177628,.F.); #226244=ORIENTED_EDGE('',*,*,#177629,.F.); #226245=ORIENTED_EDGE('',*,*,#177628,.T.); #226246=ORIENTED_EDGE('',*,*,#177630,.F.); #226247=ORIENTED_EDGE('',*,*,#177620,.F.); #226248=ORIENTED_EDGE('',*,*,#177631,.F.); #226249=ORIENTED_EDGE('',*,*,#177632,.T.); #226250=ORIENTED_EDGE('',*,*,#177633,.F.); #226251=ORIENTED_EDGE('',*,*,#177634,.F.); #226252=ORIENTED_EDGE('',*,*,#177635,.T.); #226253=ORIENTED_EDGE('',*,*,#177634,.T.); #226254=ORIENTED_EDGE('',*,*,#177636,.F.); #226255=ORIENTED_EDGE('',*,*,#177637,.F.); #226256=ORIENTED_EDGE('',*,*,#177638,.F.); #226257=ORIENTED_EDGE('',*,*,#177637,.T.); #226258=ORIENTED_EDGE('',*,*,#177639,.F.); #226259=ORIENTED_EDGE('',*,*,#177640,.F.); #226260=ORIENTED_EDGE('',*,*,#177641,.T.); #226261=ORIENTED_EDGE('',*,*,#177640,.T.); #226262=ORIENTED_EDGE('',*,*,#177642,.F.); #226263=ORIENTED_EDGE('',*,*,#177632,.F.); #226264=ORIENTED_EDGE('',*,*,#177642,.T.); #226265=ORIENTED_EDGE('',*,*,#177639,.T.); #226266=ORIENTED_EDGE('',*,*,#177636,.T.); #226267=ORIENTED_EDGE('',*,*,#177633,.T.); #226268=ORIENTED_EDGE('',*,*,#177630,.T.); #226269=ORIENTED_EDGE('',*,*,#177627,.T.); #226270=ORIENTED_EDGE('',*,*,#177624,.T.); #226271=ORIENTED_EDGE('',*,*,#177621,.T.); #226272=ORIENTED_EDGE('',*,*,#177641,.F.); #226273=ORIENTED_EDGE('',*,*,#177631,.T.); #226274=ORIENTED_EDGE('',*,*,#177635,.F.); #226275=ORIENTED_EDGE('',*,*,#177638,.T.); #226276=ORIENTED_EDGE('',*,*,#177629,.T.); #226277=ORIENTED_EDGE('',*,*,#177619,.F.); #226278=ORIENTED_EDGE('',*,*,#177623,.T.); #226279=ORIENTED_EDGE('',*,*,#177626,.F.); #226280=ORIENTED_EDGE('',*,*,#177643,.F.); #226281=ORIENTED_EDGE('',*,*,#177644,.T.); #226282=ORIENTED_EDGE('',*,*,#177645,.F.); #226283=ORIENTED_EDGE('',*,*,#177644,.F.); #226284=ORIENTED_EDGE('',*,*,#177646,.F.); #226285=ORIENTED_EDGE('',*,*,#177647,.T.); #226286=ORIENTED_EDGE('',*,*,#177648,.T.); #226287=ORIENTED_EDGE('',*,*,#177647,.F.); #226288=ORIENTED_EDGE('',*,*,#177646,.T.); #226289=ORIENTED_EDGE('',*,*,#177643,.T.); #226290=ORIENTED_EDGE('',*,*,#177648,.F.); #226291=ORIENTED_EDGE('',*,*,#177645,.T.); #226292=ORIENTED_EDGE('',*,*,#177649,.F.); #226293=ORIENTED_EDGE('',*,*,#177650,.T.); #226294=ORIENTED_EDGE('',*,*,#177651,.F.); #226295=ORIENTED_EDGE('',*,*,#177650,.F.); #226296=ORIENTED_EDGE('',*,*,#177652,.F.); #226297=ORIENTED_EDGE('',*,*,#177653,.T.); #226298=ORIENTED_EDGE('',*,*,#177654,.T.); #226299=ORIENTED_EDGE('',*,*,#177653,.F.); #226300=ORIENTED_EDGE('',*,*,#177652,.T.); #226301=ORIENTED_EDGE('',*,*,#177649,.T.); #226302=ORIENTED_EDGE('',*,*,#177654,.F.); #226303=ORIENTED_EDGE('',*,*,#177651,.T.); #226304=ORIENTED_EDGE('',*,*,#177655,.F.); #226305=ORIENTED_EDGE('',*,*,#177656,.T.); #226306=ORIENTED_EDGE('',*,*,#177657,.F.); #226307=ORIENTED_EDGE('',*,*,#177656,.F.); #226308=ORIENTED_EDGE('',*,*,#177658,.F.); #226309=ORIENTED_EDGE('',*,*,#177659,.T.); #226310=ORIENTED_EDGE('',*,*,#177660,.T.); #226311=ORIENTED_EDGE('',*,*,#177659,.F.); #226312=ORIENTED_EDGE('',*,*,#177658,.T.); #226313=ORIENTED_EDGE('',*,*,#177655,.T.); #226314=ORIENTED_EDGE('',*,*,#177660,.F.); #226315=ORIENTED_EDGE('',*,*,#177657,.T.); #226316=ORIENTED_EDGE('',*,*,#177661,.F.); #226317=ORIENTED_EDGE('',*,*,#177662,.T.); #226318=ORIENTED_EDGE('',*,*,#177663,.F.); #226319=ORIENTED_EDGE('',*,*,#177662,.F.); #226320=ORIENTED_EDGE('',*,*,#177664,.F.); #226321=ORIENTED_EDGE('',*,*,#177665,.T.); #226322=ORIENTED_EDGE('',*,*,#177666,.T.); #226323=ORIENTED_EDGE('',*,*,#177665,.F.); #226324=ORIENTED_EDGE('',*,*,#177664,.T.); #226325=ORIENTED_EDGE('',*,*,#177661,.T.); #226326=ORIENTED_EDGE('',*,*,#177666,.F.); #226327=ORIENTED_EDGE('',*,*,#177663,.T.); #226328=ORIENTED_EDGE('',*,*,#177667,.F.); #226329=ORIENTED_EDGE('',*,*,#177668,.T.); #226330=ORIENTED_EDGE('',*,*,#177669,.F.); #226331=ORIENTED_EDGE('',*,*,#177668,.F.); #226332=ORIENTED_EDGE('',*,*,#177670,.F.); #226333=ORIENTED_EDGE('',*,*,#177671,.T.); #226334=ORIENTED_EDGE('',*,*,#177672,.T.); #226335=ORIENTED_EDGE('',*,*,#177671,.F.); #226336=ORIENTED_EDGE('',*,*,#177670,.T.); #226337=ORIENTED_EDGE('',*,*,#177667,.T.); #226338=ORIENTED_EDGE('',*,*,#177672,.F.); #226339=ORIENTED_EDGE('',*,*,#177669,.T.); #226340=ORIENTED_EDGE('',*,*,#177673,.F.); #226341=ORIENTED_EDGE('',*,*,#177674,.T.); #226342=ORIENTED_EDGE('',*,*,#177675,.F.); #226343=ORIENTED_EDGE('',*,*,#177674,.F.); #226344=ORIENTED_EDGE('',*,*,#177676,.F.); #226345=ORIENTED_EDGE('',*,*,#177677,.T.); #226346=ORIENTED_EDGE('',*,*,#177678,.T.); #226347=ORIENTED_EDGE('',*,*,#177677,.F.); #226348=ORIENTED_EDGE('',*,*,#177676,.T.); #226349=ORIENTED_EDGE('',*,*,#177673,.T.); #226350=ORIENTED_EDGE('',*,*,#177678,.F.); #226351=ORIENTED_EDGE('',*,*,#177675,.T.); #226352=ORIENTED_EDGE('',*,*,#177679,.F.); #226353=ORIENTED_EDGE('',*,*,#177680,.T.); #226354=ORIENTED_EDGE('',*,*,#177681,.F.); #226355=ORIENTED_EDGE('',*,*,#177680,.F.); #226356=ORIENTED_EDGE('',*,*,#177682,.F.); #226357=ORIENTED_EDGE('',*,*,#177683,.T.); #226358=ORIENTED_EDGE('',*,*,#177684,.T.); #226359=ORIENTED_EDGE('',*,*,#177683,.F.); #226360=ORIENTED_EDGE('',*,*,#177682,.T.); #226361=ORIENTED_EDGE('',*,*,#177679,.T.); #226362=ORIENTED_EDGE('',*,*,#177684,.F.); #226363=ORIENTED_EDGE('',*,*,#177681,.T.); #226364=ORIENTED_EDGE('',*,*,#177685,.F.); #226365=ORIENTED_EDGE('',*,*,#177686,.T.); #226366=ORIENTED_EDGE('',*,*,#177687,.F.); #226367=ORIENTED_EDGE('',*,*,#177686,.F.); #226368=ORIENTED_EDGE('',*,*,#177688,.F.); #226369=ORIENTED_EDGE('',*,*,#177689,.T.); #226370=ORIENTED_EDGE('',*,*,#177690,.T.); #226371=ORIENTED_EDGE('',*,*,#177689,.F.); #226372=ORIENTED_EDGE('',*,*,#177688,.T.); #226373=ORIENTED_EDGE('',*,*,#177685,.T.); #226374=ORIENTED_EDGE('',*,*,#177690,.F.); #226375=ORIENTED_EDGE('',*,*,#177687,.T.); #226376=ORIENTED_EDGE('',*,*,#177691,.F.); #226377=ORIENTED_EDGE('',*,*,#177692,.T.); #226378=ORIENTED_EDGE('',*,*,#177693,.F.); #226379=ORIENTED_EDGE('',*,*,#177692,.F.); #226380=ORIENTED_EDGE('',*,*,#177694,.F.); #226381=ORIENTED_EDGE('',*,*,#177695,.T.); #226382=ORIENTED_EDGE('',*,*,#177696,.T.); #226383=ORIENTED_EDGE('',*,*,#177695,.F.); #226384=ORIENTED_EDGE('',*,*,#177694,.T.); #226385=ORIENTED_EDGE('',*,*,#177691,.T.); #226386=ORIENTED_EDGE('',*,*,#177696,.F.); #226387=ORIENTED_EDGE('',*,*,#177693,.T.); #226388=ORIENTED_EDGE('',*,*,#177697,.F.); #226389=ORIENTED_EDGE('',*,*,#177698,.T.); #226390=ORIENTED_EDGE('',*,*,#177699,.F.); #226391=ORIENTED_EDGE('',*,*,#177698,.F.); #226392=ORIENTED_EDGE('',*,*,#177700,.F.); #226393=ORIENTED_EDGE('',*,*,#177701,.T.); #226394=ORIENTED_EDGE('',*,*,#177702,.T.); #226395=ORIENTED_EDGE('',*,*,#177701,.F.); #226396=ORIENTED_EDGE('',*,*,#177700,.T.); #226397=ORIENTED_EDGE('',*,*,#177697,.T.); #226398=ORIENTED_EDGE('',*,*,#177702,.F.); #226399=ORIENTED_EDGE('',*,*,#177699,.T.); #226400=ORIENTED_EDGE('',*,*,#177703,.F.); #226401=ORIENTED_EDGE('',*,*,#177704,.T.); #226402=ORIENTED_EDGE('',*,*,#177705,.F.); #226403=ORIENTED_EDGE('',*,*,#177704,.F.); #226404=ORIENTED_EDGE('',*,*,#177706,.F.); #226405=ORIENTED_EDGE('',*,*,#177707,.T.); #226406=ORIENTED_EDGE('',*,*,#177708,.T.); #226407=ORIENTED_EDGE('',*,*,#177707,.F.); #226408=ORIENTED_EDGE('',*,*,#177706,.T.); #226409=ORIENTED_EDGE('',*,*,#177703,.T.); #226410=ORIENTED_EDGE('',*,*,#177708,.F.); #226411=ORIENTED_EDGE('',*,*,#177705,.T.); #226412=ORIENTED_EDGE('',*,*,#177709,.T.); #226413=ORIENTED_EDGE('',*,*,#177710,.T.); #226414=ORIENTED_EDGE('',*,*,#177711,.F.); #226415=ORIENTED_EDGE('',*,*,#177712,.F.); #226416=ORIENTED_EDGE('',*,*,#177713,.F.); #226417=ORIENTED_EDGE('',*,*,#177712,.T.); #226418=ORIENTED_EDGE('',*,*,#177714,.F.); #226419=ORIENTED_EDGE('',*,*,#177715,.F.); #226420=ORIENTED_EDGE('',*,*,#177716,.T.); #226421=ORIENTED_EDGE('',*,*,#177715,.T.); #226422=ORIENTED_EDGE('',*,*,#177717,.F.); #226423=ORIENTED_EDGE('',*,*,#177718,.F.); #226424=ORIENTED_EDGE('',*,*,#177719,.F.); #226425=ORIENTED_EDGE('',*,*,#177718,.T.); #226426=ORIENTED_EDGE('',*,*,#177720,.F.); #226427=ORIENTED_EDGE('',*,*,#177710,.F.); #226428=ORIENTED_EDGE('',*,*,#177721,.F.); #226429=ORIENTED_EDGE('',*,*,#177722,.T.); #226430=ORIENTED_EDGE('',*,*,#177723,.F.); #226431=ORIENTED_EDGE('',*,*,#177724,.F.); #226432=ORIENTED_EDGE('',*,*,#177725,.T.); #226433=ORIENTED_EDGE('',*,*,#177724,.T.); #226434=ORIENTED_EDGE('',*,*,#177726,.F.); #226435=ORIENTED_EDGE('',*,*,#177727,.F.); #226436=ORIENTED_EDGE('',*,*,#177728,.F.); #226437=ORIENTED_EDGE('',*,*,#177727,.T.); #226438=ORIENTED_EDGE('',*,*,#177729,.F.); #226439=ORIENTED_EDGE('',*,*,#177730,.F.); #226440=ORIENTED_EDGE('',*,*,#177731,.T.); #226441=ORIENTED_EDGE('',*,*,#177730,.T.); #226442=ORIENTED_EDGE('',*,*,#177732,.F.); #226443=ORIENTED_EDGE('',*,*,#177722,.F.); #226444=ORIENTED_EDGE('',*,*,#177732,.T.); #226445=ORIENTED_EDGE('',*,*,#177729,.T.); #226446=ORIENTED_EDGE('',*,*,#177726,.T.); #226447=ORIENTED_EDGE('',*,*,#177723,.T.); #226448=ORIENTED_EDGE('',*,*,#177720,.T.); #226449=ORIENTED_EDGE('',*,*,#177717,.T.); #226450=ORIENTED_EDGE('',*,*,#177714,.T.); #226451=ORIENTED_EDGE('',*,*,#177711,.T.); #226452=ORIENTED_EDGE('',*,*,#177731,.F.); #226453=ORIENTED_EDGE('',*,*,#177721,.T.); #226454=ORIENTED_EDGE('',*,*,#177725,.F.); #226455=ORIENTED_EDGE('',*,*,#177728,.T.); #226456=ORIENTED_EDGE('',*,*,#177719,.T.); #226457=ORIENTED_EDGE('',*,*,#177709,.F.); #226458=ORIENTED_EDGE('',*,*,#177713,.T.); #226459=ORIENTED_EDGE('',*,*,#177716,.F.); #226460=ORIENTED_EDGE('',*,*,#177733,.F.); #226461=ORIENTED_EDGE('',*,*,#177734,.T.); #226462=ORIENTED_EDGE('',*,*,#177735,.F.); #226463=ORIENTED_EDGE('',*,*,#177734,.F.); #226464=ORIENTED_EDGE('',*,*,#177736,.F.); #226465=ORIENTED_EDGE('',*,*,#177737,.T.); #226466=ORIENTED_EDGE('',*,*,#177738,.T.); #226467=ORIENTED_EDGE('',*,*,#177737,.F.); #226468=ORIENTED_EDGE('',*,*,#177736,.T.); #226469=ORIENTED_EDGE('',*,*,#177733,.T.); #226470=ORIENTED_EDGE('',*,*,#177738,.F.); #226471=ORIENTED_EDGE('',*,*,#177735,.T.); #226472=ORIENTED_EDGE('',*,*,#177739,.T.); #226473=ORIENTED_EDGE('',*,*,#177740,.T.); #226474=ORIENTED_EDGE('',*,*,#177741,.F.); #226475=ORIENTED_EDGE('',*,*,#177742,.F.); #226476=ORIENTED_EDGE('',*,*,#177743,.F.); #226477=ORIENTED_EDGE('',*,*,#177742,.T.); #226478=ORIENTED_EDGE('',*,*,#177744,.F.); #226479=ORIENTED_EDGE('',*,*,#177745,.F.); #226480=ORIENTED_EDGE('',*,*,#177746,.T.); #226481=ORIENTED_EDGE('',*,*,#177745,.T.); #226482=ORIENTED_EDGE('',*,*,#177747,.F.); #226483=ORIENTED_EDGE('',*,*,#177748,.F.); #226484=ORIENTED_EDGE('',*,*,#177749,.F.); #226485=ORIENTED_EDGE('',*,*,#177748,.T.); #226486=ORIENTED_EDGE('',*,*,#177750,.F.); #226487=ORIENTED_EDGE('',*,*,#177740,.F.); #226488=ORIENTED_EDGE('',*,*,#177751,.F.); #226489=ORIENTED_EDGE('',*,*,#177752,.T.); #226490=ORIENTED_EDGE('',*,*,#177753,.F.); #226491=ORIENTED_EDGE('',*,*,#177754,.F.); #226492=ORIENTED_EDGE('',*,*,#177755,.T.); #226493=ORIENTED_EDGE('',*,*,#177754,.T.); #226494=ORIENTED_EDGE('',*,*,#177756,.F.); #226495=ORIENTED_EDGE('',*,*,#177757,.F.); #226496=ORIENTED_EDGE('',*,*,#177758,.F.); #226497=ORIENTED_EDGE('',*,*,#177757,.T.); #226498=ORIENTED_EDGE('',*,*,#177759,.F.); #226499=ORIENTED_EDGE('',*,*,#177760,.F.); #226500=ORIENTED_EDGE('',*,*,#177761,.T.); #226501=ORIENTED_EDGE('',*,*,#177760,.T.); #226502=ORIENTED_EDGE('',*,*,#177762,.F.); #226503=ORIENTED_EDGE('',*,*,#177752,.F.); #226504=ORIENTED_EDGE('',*,*,#177762,.T.); #226505=ORIENTED_EDGE('',*,*,#177759,.T.); #226506=ORIENTED_EDGE('',*,*,#177756,.T.); #226507=ORIENTED_EDGE('',*,*,#177753,.T.); #226508=ORIENTED_EDGE('',*,*,#177750,.T.); #226509=ORIENTED_EDGE('',*,*,#177747,.T.); #226510=ORIENTED_EDGE('',*,*,#177744,.T.); #226511=ORIENTED_EDGE('',*,*,#177741,.T.); #226512=ORIENTED_EDGE('',*,*,#177761,.F.); #226513=ORIENTED_EDGE('',*,*,#177751,.T.); #226514=ORIENTED_EDGE('',*,*,#177755,.F.); #226515=ORIENTED_EDGE('',*,*,#177758,.T.); #226516=ORIENTED_EDGE('',*,*,#177749,.T.); #226517=ORIENTED_EDGE('',*,*,#177739,.F.); #226518=ORIENTED_EDGE('',*,*,#177743,.T.); #226519=ORIENTED_EDGE('',*,*,#177746,.F.); #226520=ORIENTED_EDGE('',*,*,#177763,.F.); #226521=ORIENTED_EDGE('',*,*,#177764,.T.); #226522=ORIENTED_EDGE('',*,*,#177765,.F.); #226523=ORIENTED_EDGE('',*,*,#177764,.F.); #226524=ORIENTED_EDGE('',*,*,#177766,.F.); #226525=ORIENTED_EDGE('',*,*,#177767,.T.); #226526=ORIENTED_EDGE('',*,*,#177768,.T.); #226527=ORIENTED_EDGE('',*,*,#177767,.F.); #226528=ORIENTED_EDGE('',*,*,#177766,.T.); #226529=ORIENTED_EDGE('',*,*,#177763,.T.); #226530=ORIENTED_EDGE('',*,*,#177768,.F.); #226531=ORIENTED_EDGE('',*,*,#177765,.T.); #226532=ORIENTED_EDGE('',*,*,#177769,.F.); #226533=ORIENTED_EDGE('',*,*,#177770,.T.); #226534=ORIENTED_EDGE('',*,*,#177771,.F.); #226535=ORIENTED_EDGE('',*,*,#177770,.F.); #226536=ORIENTED_EDGE('',*,*,#177772,.F.); #226537=ORIENTED_EDGE('',*,*,#177773,.T.); #226538=ORIENTED_EDGE('',*,*,#177774,.T.); #226539=ORIENTED_EDGE('',*,*,#177773,.F.); #226540=ORIENTED_EDGE('',*,*,#177772,.T.); #226541=ORIENTED_EDGE('',*,*,#177769,.T.); #226542=ORIENTED_EDGE('',*,*,#177774,.F.); #226543=ORIENTED_EDGE('',*,*,#177771,.T.); #226544=ORIENTED_EDGE('',*,*,#177775,.F.); #226545=ORIENTED_EDGE('',*,*,#177776,.T.); #226546=ORIENTED_EDGE('',*,*,#177777,.F.); #226547=ORIENTED_EDGE('',*,*,#177776,.F.); #226548=ORIENTED_EDGE('',*,*,#177778,.F.); #226549=ORIENTED_EDGE('',*,*,#177779,.T.); #226550=ORIENTED_EDGE('',*,*,#177780,.T.); #226551=ORIENTED_EDGE('',*,*,#177779,.F.); #226552=ORIENTED_EDGE('',*,*,#177778,.T.); #226553=ORIENTED_EDGE('',*,*,#177775,.T.); #226554=ORIENTED_EDGE('',*,*,#177780,.F.); #226555=ORIENTED_EDGE('',*,*,#177777,.T.); #226556=ORIENTED_EDGE('',*,*,#177781,.F.); #226557=ORIENTED_EDGE('',*,*,#177782,.T.); #226558=ORIENTED_EDGE('',*,*,#177783,.F.); #226559=ORIENTED_EDGE('',*,*,#177782,.F.); #226560=ORIENTED_EDGE('',*,*,#177784,.F.); #226561=ORIENTED_EDGE('',*,*,#177785,.T.); #226562=ORIENTED_EDGE('',*,*,#177786,.T.); #226563=ORIENTED_EDGE('',*,*,#177785,.F.); #226564=ORIENTED_EDGE('',*,*,#177784,.T.); #226565=ORIENTED_EDGE('',*,*,#177781,.T.); #226566=ORIENTED_EDGE('',*,*,#177786,.F.); #226567=ORIENTED_EDGE('',*,*,#177783,.T.); #226568=ORIENTED_EDGE('',*,*,#177787,.F.); #226569=ORIENTED_EDGE('',*,*,#177788,.T.); #226570=ORIENTED_EDGE('',*,*,#177789,.F.); #226571=ORIENTED_EDGE('',*,*,#177788,.F.); #226572=ORIENTED_EDGE('',*,*,#177790,.F.); #226573=ORIENTED_EDGE('',*,*,#177791,.T.); #226574=ORIENTED_EDGE('',*,*,#177792,.T.); #226575=ORIENTED_EDGE('',*,*,#177791,.F.); #226576=ORIENTED_EDGE('',*,*,#177790,.T.); #226577=ORIENTED_EDGE('',*,*,#177787,.T.); #226578=ORIENTED_EDGE('',*,*,#177792,.F.); #226579=ORIENTED_EDGE('',*,*,#177789,.T.); #226580=ORIENTED_EDGE('',*,*,#177793,.F.); #226581=ORIENTED_EDGE('',*,*,#177794,.T.); #226582=ORIENTED_EDGE('',*,*,#177795,.F.); #226583=ORIENTED_EDGE('',*,*,#177794,.F.); #226584=ORIENTED_EDGE('',*,*,#177796,.F.); #226585=ORIENTED_EDGE('',*,*,#177797,.T.); #226586=ORIENTED_EDGE('',*,*,#177798,.T.); #226587=ORIENTED_EDGE('',*,*,#177797,.F.); #226588=ORIENTED_EDGE('',*,*,#177796,.T.); #226589=ORIENTED_EDGE('',*,*,#177793,.T.); #226590=ORIENTED_EDGE('',*,*,#177798,.F.); #226591=ORIENTED_EDGE('',*,*,#177795,.T.); #226592=ORIENTED_EDGE('',*,*,#177799,.F.); #226593=ORIENTED_EDGE('',*,*,#177800,.T.); #226594=ORIENTED_EDGE('',*,*,#177801,.F.); #226595=ORIENTED_EDGE('',*,*,#177800,.F.); #226596=ORIENTED_EDGE('',*,*,#177802,.F.); #226597=ORIENTED_EDGE('',*,*,#177803,.T.); #226598=ORIENTED_EDGE('',*,*,#177804,.T.); #226599=ORIENTED_EDGE('',*,*,#177803,.F.); #226600=ORIENTED_EDGE('',*,*,#177802,.T.); #226601=ORIENTED_EDGE('',*,*,#177799,.T.); #226602=ORIENTED_EDGE('',*,*,#177804,.F.); #226603=ORIENTED_EDGE('',*,*,#177801,.T.); #226604=ORIENTED_EDGE('',*,*,#177805,.F.); #226605=ORIENTED_EDGE('',*,*,#177806,.T.); #226606=ORIENTED_EDGE('',*,*,#177807,.F.); #226607=ORIENTED_EDGE('',*,*,#177806,.F.); #226608=ORIENTED_EDGE('',*,*,#177808,.F.); #226609=ORIENTED_EDGE('',*,*,#177809,.T.); #226610=ORIENTED_EDGE('',*,*,#177810,.T.); #226611=ORIENTED_EDGE('',*,*,#177809,.F.); #226612=ORIENTED_EDGE('',*,*,#177808,.T.); #226613=ORIENTED_EDGE('',*,*,#177805,.T.); #226614=ORIENTED_EDGE('',*,*,#177810,.F.); #226615=ORIENTED_EDGE('',*,*,#177807,.T.); #226616=ORIENTED_EDGE('',*,*,#177811,.F.); #226617=ORIENTED_EDGE('',*,*,#177812,.T.); #226618=ORIENTED_EDGE('',*,*,#177813,.F.); #226619=ORIENTED_EDGE('',*,*,#177812,.F.); #226620=ORIENTED_EDGE('',*,*,#177814,.F.); #226621=ORIENTED_EDGE('',*,*,#177815,.T.); #226622=ORIENTED_EDGE('',*,*,#177816,.T.); #226623=ORIENTED_EDGE('',*,*,#177815,.F.); #226624=ORIENTED_EDGE('',*,*,#177814,.T.); #226625=ORIENTED_EDGE('',*,*,#177811,.T.); #226626=ORIENTED_EDGE('',*,*,#177816,.F.); #226627=ORIENTED_EDGE('',*,*,#177813,.T.); #226628=ORIENTED_EDGE('',*,*,#177817,.F.); #226629=ORIENTED_EDGE('',*,*,#177818,.T.); #226630=ORIENTED_EDGE('',*,*,#177819,.F.); #226631=ORIENTED_EDGE('',*,*,#177818,.F.); #226632=ORIENTED_EDGE('',*,*,#177820,.F.); #226633=ORIENTED_EDGE('',*,*,#177821,.T.); #226634=ORIENTED_EDGE('',*,*,#177822,.T.); #226635=ORIENTED_EDGE('',*,*,#177821,.F.); #226636=ORIENTED_EDGE('',*,*,#177820,.T.); #226637=ORIENTED_EDGE('',*,*,#177817,.T.); #226638=ORIENTED_EDGE('',*,*,#177822,.F.); #226639=ORIENTED_EDGE('',*,*,#177819,.T.); #226640=ORIENTED_EDGE('',*,*,#177823,.F.); #226641=ORIENTED_EDGE('',*,*,#177824,.T.); #226642=ORIENTED_EDGE('',*,*,#177825,.F.); #226643=ORIENTED_EDGE('',*,*,#177824,.F.); #226644=ORIENTED_EDGE('',*,*,#177826,.F.); #226645=ORIENTED_EDGE('',*,*,#177827,.T.); #226646=ORIENTED_EDGE('',*,*,#177828,.T.); #226647=ORIENTED_EDGE('',*,*,#177827,.F.); #226648=ORIENTED_EDGE('',*,*,#177826,.T.); #226649=ORIENTED_EDGE('',*,*,#177823,.T.); #226650=ORIENTED_EDGE('',*,*,#177828,.F.); #226651=ORIENTED_EDGE('',*,*,#177825,.T.); #226652=ORIENTED_EDGE('',*,*,#177829,.F.); #226653=ORIENTED_EDGE('',*,*,#177830,.T.); #226654=ORIENTED_EDGE('',*,*,#177831,.F.); #226655=ORIENTED_EDGE('',*,*,#177830,.F.); #226656=ORIENTED_EDGE('',*,*,#177832,.F.); #226657=ORIENTED_EDGE('',*,*,#177833,.T.); #226658=ORIENTED_EDGE('',*,*,#177834,.T.); #226659=ORIENTED_EDGE('',*,*,#177833,.F.); #226660=ORIENTED_EDGE('',*,*,#177832,.T.); #226661=ORIENTED_EDGE('',*,*,#177829,.T.); #226662=ORIENTED_EDGE('',*,*,#177834,.F.); #226663=ORIENTED_EDGE('',*,*,#177831,.T.); #226664=ORIENTED_EDGE('',*,*,#177835,.F.); #226665=ORIENTED_EDGE('',*,*,#177836,.T.); #226666=ORIENTED_EDGE('',*,*,#177837,.F.); #226667=ORIENTED_EDGE('',*,*,#177836,.F.); #226668=ORIENTED_EDGE('',*,*,#177838,.F.); #226669=ORIENTED_EDGE('',*,*,#177839,.T.); #226670=ORIENTED_EDGE('',*,*,#177840,.T.); #226671=ORIENTED_EDGE('',*,*,#177839,.F.); #226672=ORIENTED_EDGE('',*,*,#177838,.T.); #226673=ORIENTED_EDGE('',*,*,#177835,.T.); #226674=ORIENTED_EDGE('',*,*,#177840,.F.); #226675=ORIENTED_EDGE('',*,*,#177837,.T.); #226676=ORIENTED_EDGE('',*,*,#177841,.F.); #226677=ORIENTED_EDGE('',*,*,#177842,.T.); #226678=ORIENTED_EDGE('',*,*,#177843,.F.); #226679=ORIENTED_EDGE('',*,*,#177842,.F.); #226680=ORIENTED_EDGE('',*,*,#177844,.F.); #226681=ORIENTED_EDGE('',*,*,#177845,.T.); #226682=ORIENTED_EDGE('',*,*,#177846,.T.); #226683=ORIENTED_EDGE('',*,*,#177845,.F.); #226684=ORIENTED_EDGE('',*,*,#177844,.T.); #226685=ORIENTED_EDGE('',*,*,#177841,.T.); #226686=ORIENTED_EDGE('',*,*,#177846,.F.); #226687=ORIENTED_EDGE('',*,*,#177843,.T.); #226688=ORIENTED_EDGE('',*,*,#177847,.F.); #226689=ORIENTED_EDGE('',*,*,#177848,.T.); #226690=ORIENTED_EDGE('',*,*,#177849,.F.); #226691=ORIENTED_EDGE('',*,*,#177848,.F.); #226692=ORIENTED_EDGE('',*,*,#177850,.F.); #226693=ORIENTED_EDGE('',*,*,#177851,.T.); #226694=ORIENTED_EDGE('',*,*,#177852,.T.); #226695=ORIENTED_EDGE('',*,*,#177851,.F.); #226696=ORIENTED_EDGE('',*,*,#177850,.T.); #226697=ORIENTED_EDGE('',*,*,#177847,.T.); #226698=ORIENTED_EDGE('',*,*,#177852,.F.); #226699=ORIENTED_EDGE('',*,*,#177849,.T.); #226700=ORIENTED_EDGE('',*,*,#177853,.F.); #226701=ORIENTED_EDGE('',*,*,#177854,.T.); #226702=ORIENTED_EDGE('',*,*,#177855,.F.); #226703=ORIENTED_EDGE('',*,*,#177854,.F.); #226704=ORIENTED_EDGE('',*,*,#177856,.F.); #226705=ORIENTED_EDGE('',*,*,#177857,.T.); #226706=ORIENTED_EDGE('',*,*,#177858,.T.); #226707=ORIENTED_EDGE('',*,*,#177857,.F.); #226708=ORIENTED_EDGE('',*,*,#177856,.T.); #226709=ORIENTED_EDGE('',*,*,#177853,.T.); #226710=ORIENTED_EDGE('',*,*,#177858,.F.); #226711=ORIENTED_EDGE('',*,*,#177855,.T.); #226712=ORIENTED_EDGE('',*,*,#177859,.F.); #226713=ORIENTED_EDGE('',*,*,#177860,.T.); #226714=ORIENTED_EDGE('',*,*,#177861,.F.); #226715=ORIENTED_EDGE('',*,*,#177860,.F.); #226716=ORIENTED_EDGE('',*,*,#177862,.F.); #226717=ORIENTED_EDGE('',*,*,#177863,.T.); #226718=ORIENTED_EDGE('',*,*,#177864,.T.); #226719=ORIENTED_EDGE('',*,*,#177863,.F.); #226720=ORIENTED_EDGE('',*,*,#177862,.T.); #226721=ORIENTED_EDGE('',*,*,#177859,.T.); #226722=ORIENTED_EDGE('',*,*,#177864,.F.); #226723=ORIENTED_EDGE('',*,*,#177861,.T.); #226724=ORIENTED_EDGE('',*,*,#177865,.F.); #226725=ORIENTED_EDGE('',*,*,#177866,.T.); #226726=ORIENTED_EDGE('',*,*,#177867,.F.); #226727=ORIENTED_EDGE('',*,*,#177866,.F.); #226728=ORIENTED_EDGE('',*,*,#177868,.F.); #226729=ORIENTED_EDGE('',*,*,#177869,.T.); #226730=ORIENTED_EDGE('',*,*,#177870,.T.); #226731=ORIENTED_EDGE('',*,*,#177869,.F.); #226732=ORIENTED_EDGE('',*,*,#177868,.T.); #226733=ORIENTED_EDGE('',*,*,#177865,.T.); #226734=ORIENTED_EDGE('',*,*,#177870,.F.); #226735=ORIENTED_EDGE('',*,*,#177867,.T.); #226736=ORIENTED_EDGE('',*,*,#177871,.F.); #226737=ORIENTED_EDGE('',*,*,#177872,.T.); #226738=ORIENTED_EDGE('',*,*,#177873,.F.); #226739=ORIENTED_EDGE('',*,*,#177872,.F.); #226740=ORIENTED_EDGE('',*,*,#177874,.F.); #226741=ORIENTED_EDGE('',*,*,#177875,.T.); #226742=ORIENTED_EDGE('',*,*,#177876,.T.); #226743=ORIENTED_EDGE('',*,*,#177875,.F.); #226744=ORIENTED_EDGE('',*,*,#177874,.T.); #226745=ORIENTED_EDGE('',*,*,#177871,.T.); #226746=ORIENTED_EDGE('',*,*,#177876,.F.); #226747=ORIENTED_EDGE('',*,*,#177873,.T.); #226748=ORIENTED_EDGE('',*,*,#177877,.F.); #226749=ORIENTED_EDGE('',*,*,#177878,.T.); #226750=ORIENTED_EDGE('',*,*,#177879,.F.); #226751=ORIENTED_EDGE('',*,*,#177878,.F.); #226752=ORIENTED_EDGE('',*,*,#177880,.F.); #226753=ORIENTED_EDGE('',*,*,#177881,.T.); #226754=ORIENTED_EDGE('',*,*,#177882,.T.); #226755=ORIENTED_EDGE('',*,*,#177881,.F.); #226756=ORIENTED_EDGE('',*,*,#177880,.T.); #226757=ORIENTED_EDGE('',*,*,#177877,.T.); #226758=ORIENTED_EDGE('',*,*,#177882,.F.); #226759=ORIENTED_EDGE('',*,*,#177879,.T.); #226760=ORIENTED_EDGE('',*,*,#177883,.F.); #226761=ORIENTED_EDGE('',*,*,#177884,.T.); #226762=ORIENTED_EDGE('',*,*,#177885,.F.); #226763=ORIENTED_EDGE('',*,*,#177884,.F.); #226764=ORIENTED_EDGE('',*,*,#177886,.F.); #226765=ORIENTED_EDGE('',*,*,#177887,.T.); #226766=ORIENTED_EDGE('',*,*,#177888,.T.); #226767=ORIENTED_EDGE('',*,*,#177887,.F.); #226768=ORIENTED_EDGE('',*,*,#177886,.T.); #226769=ORIENTED_EDGE('',*,*,#177883,.T.); #226770=ORIENTED_EDGE('',*,*,#177888,.F.); #226771=ORIENTED_EDGE('',*,*,#177885,.T.); #226772=ORIENTED_EDGE('',*,*,#177889,.F.); #226773=ORIENTED_EDGE('',*,*,#177890,.T.); #226774=ORIENTED_EDGE('',*,*,#177891,.F.); #226775=ORIENTED_EDGE('',*,*,#177890,.F.); #226776=ORIENTED_EDGE('',*,*,#177892,.F.); #226777=ORIENTED_EDGE('',*,*,#177893,.T.); #226778=ORIENTED_EDGE('',*,*,#177894,.T.); #226779=ORIENTED_EDGE('',*,*,#177893,.F.); #226780=ORIENTED_EDGE('',*,*,#177892,.T.); #226781=ORIENTED_EDGE('',*,*,#177889,.T.); #226782=ORIENTED_EDGE('',*,*,#177894,.F.); #226783=ORIENTED_EDGE('',*,*,#177891,.T.); #226784=ORIENTED_EDGE('',*,*,#177895,.F.); #226785=ORIENTED_EDGE('',*,*,#177896,.T.); #226786=ORIENTED_EDGE('',*,*,#177897,.F.); #226787=ORIENTED_EDGE('',*,*,#177896,.F.); #226788=ORIENTED_EDGE('',*,*,#177898,.F.); #226789=ORIENTED_EDGE('',*,*,#177899,.T.); #226790=ORIENTED_EDGE('',*,*,#177900,.T.); #226791=ORIENTED_EDGE('',*,*,#177899,.F.); #226792=ORIENTED_EDGE('',*,*,#177898,.T.); #226793=ORIENTED_EDGE('',*,*,#177895,.T.); #226794=ORIENTED_EDGE('',*,*,#177900,.F.); #226795=ORIENTED_EDGE('',*,*,#177897,.T.); #226796=ORIENTED_EDGE('',*,*,#177901,.F.); #226797=ORIENTED_EDGE('',*,*,#177902,.T.); #226798=ORIENTED_EDGE('',*,*,#177903,.F.); #226799=ORIENTED_EDGE('',*,*,#177902,.F.); #226800=ORIENTED_EDGE('',*,*,#177904,.F.); #226801=ORIENTED_EDGE('',*,*,#177905,.T.); #226802=ORIENTED_EDGE('',*,*,#177906,.T.); #226803=ORIENTED_EDGE('',*,*,#177905,.F.); #226804=ORIENTED_EDGE('',*,*,#177904,.T.); #226805=ORIENTED_EDGE('',*,*,#177901,.T.); #226806=ORIENTED_EDGE('',*,*,#177906,.F.); #226807=ORIENTED_EDGE('',*,*,#177903,.T.); #226808=ORIENTED_EDGE('',*,*,#177907,.F.); #226809=ORIENTED_EDGE('',*,*,#177908,.T.); #226810=ORIENTED_EDGE('',*,*,#177909,.F.); #226811=ORIENTED_EDGE('',*,*,#177908,.F.); #226812=ORIENTED_EDGE('',*,*,#177910,.F.); #226813=ORIENTED_EDGE('',*,*,#177911,.T.); #226814=ORIENTED_EDGE('',*,*,#177912,.T.); #226815=ORIENTED_EDGE('',*,*,#177911,.F.); #226816=ORIENTED_EDGE('',*,*,#177910,.T.); #226817=ORIENTED_EDGE('',*,*,#177907,.T.); #226818=ORIENTED_EDGE('',*,*,#177912,.F.); #226819=ORIENTED_EDGE('',*,*,#177909,.T.); #226820=ORIENTED_EDGE('',*,*,#177913,.F.); #226821=ORIENTED_EDGE('',*,*,#177914,.T.); #226822=ORIENTED_EDGE('',*,*,#177915,.F.); #226823=ORIENTED_EDGE('',*,*,#177914,.F.); #226824=ORIENTED_EDGE('',*,*,#177916,.F.); #226825=ORIENTED_EDGE('',*,*,#177917,.T.); #226826=ORIENTED_EDGE('',*,*,#177918,.T.); #226827=ORIENTED_EDGE('',*,*,#177917,.F.); #226828=ORIENTED_EDGE('',*,*,#177916,.T.); #226829=ORIENTED_EDGE('',*,*,#177913,.T.); #226830=ORIENTED_EDGE('',*,*,#177918,.F.); #226831=ORIENTED_EDGE('',*,*,#177915,.T.); #226832=ORIENTED_EDGE('',*,*,#177919,.F.); #226833=ORIENTED_EDGE('',*,*,#177920,.T.); #226834=ORIENTED_EDGE('',*,*,#177921,.F.); #226835=ORIENTED_EDGE('',*,*,#177920,.F.); #226836=ORIENTED_EDGE('',*,*,#177922,.F.); #226837=ORIENTED_EDGE('',*,*,#177923,.T.); #226838=ORIENTED_EDGE('',*,*,#177924,.T.); #226839=ORIENTED_EDGE('',*,*,#177923,.F.); #226840=ORIENTED_EDGE('',*,*,#177922,.T.); #226841=ORIENTED_EDGE('',*,*,#177919,.T.); #226842=ORIENTED_EDGE('',*,*,#177924,.F.); #226843=ORIENTED_EDGE('',*,*,#177921,.T.); #226844=ORIENTED_EDGE('',*,*,#177925,.F.); #226845=ORIENTED_EDGE('',*,*,#177926,.T.); #226846=ORIENTED_EDGE('',*,*,#177927,.F.); #226847=ORIENTED_EDGE('',*,*,#177926,.F.); #226848=ORIENTED_EDGE('',*,*,#177928,.F.); #226849=ORIENTED_EDGE('',*,*,#177929,.T.); #226850=ORIENTED_EDGE('',*,*,#177930,.T.); #226851=ORIENTED_EDGE('',*,*,#177929,.F.); #226852=ORIENTED_EDGE('',*,*,#177928,.T.); #226853=ORIENTED_EDGE('',*,*,#177925,.T.); #226854=ORIENTED_EDGE('',*,*,#177930,.F.); #226855=ORIENTED_EDGE('',*,*,#177927,.T.); #226856=ORIENTED_EDGE('',*,*,#177931,.F.); #226857=ORIENTED_EDGE('',*,*,#177932,.T.); #226858=ORIENTED_EDGE('',*,*,#177933,.F.); #226859=ORIENTED_EDGE('',*,*,#177932,.F.); #226860=ORIENTED_EDGE('',*,*,#177934,.F.); #226861=ORIENTED_EDGE('',*,*,#177935,.T.); #226862=ORIENTED_EDGE('',*,*,#177936,.T.); #226863=ORIENTED_EDGE('',*,*,#177935,.F.); #226864=ORIENTED_EDGE('',*,*,#177934,.T.); #226865=ORIENTED_EDGE('',*,*,#177931,.T.); #226866=ORIENTED_EDGE('',*,*,#177936,.F.); #226867=ORIENTED_EDGE('',*,*,#177933,.T.); #226868=ORIENTED_EDGE('',*,*,#177937,.F.); #226869=ORIENTED_EDGE('',*,*,#177938,.T.); #226870=ORIENTED_EDGE('',*,*,#177939,.F.); #226871=ORIENTED_EDGE('',*,*,#177938,.F.); #226872=ORIENTED_EDGE('',*,*,#177940,.F.); #226873=ORIENTED_EDGE('',*,*,#177941,.T.); #226874=ORIENTED_EDGE('',*,*,#177942,.T.); #226875=ORIENTED_EDGE('',*,*,#177941,.F.); #226876=ORIENTED_EDGE('',*,*,#177940,.T.); #226877=ORIENTED_EDGE('',*,*,#177937,.T.); #226878=ORIENTED_EDGE('',*,*,#177942,.F.); #226879=ORIENTED_EDGE('',*,*,#177939,.T.); #226880=ORIENTED_EDGE('',*,*,#177943,.F.); #226881=ORIENTED_EDGE('',*,*,#177944,.T.); #226882=ORIENTED_EDGE('',*,*,#177945,.F.); #226883=ORIENTED_EDGE('',*,*,#177944,.F.); #226884=ORIENTED_EDGE('',*,*,#177946,.F.); #226885=ORIENTED_EDGE('',*,*,#177947,.T.); #226886=ORIENTED_EDGE('',*,*,#177948,.T.); #226887=ORIENTED_EDGE('',*,*,#177947,.F.); #226888=ORIENTED_EDGE('',*,*,#177946,.T.); #226889=ORIENTED_EDGE('',*,*,#177943,.T.); #226890=ORIENTED_EDGE('',*,*,#177948,.F.); #226891=ORIENTED_EDGE('',*,*,#177945,.T.); #226892=ORIENTED_EDGE('',*,*,#177949,.F.); #226893=ORIENTED_EDGE('',*,*,#177950,.T.); #226894=ORIENTED_EDGE('',*,*,#177951,.F.); #226895=ORIENTED_EDGE('',*,*,#177950,.F.); #226896=ORIENTED_EDGE('',*,*,#177952,.F.); #226897=ORIENTED_EDGE('',*,*,#177953,.T.); #226898=ORIENTED_EDGE('',*,*,#177954,.T.); #226899=ORIENTED_EDGE('',*,*,#177953,.F.); #226900=ORIENTED_EDGE('',*,*,#177952,.T.); #226901=ORIENTED_EDGE('',*,*,#177949,.T.); #226902=ORIENTED_EDGE('',*,*,#177954,.F.); #226903=ORIENTED_EDGE('',*,*,#177951,.T.); #226904=ORIENTED_EDGE('',*,*,#177955,.F.); #226905=ORIENTED_EDGE('',*,*,#177956,.T.); #226906=ORIENTED_EDGE('',*,*,#177957,.F.); #226907=ORIENTED_EDGE('',*,*,#177956,.F.); #226908=ORIENTED_EDGE('',*,*,#177958,.F.); #226909=ORIENTED_EDGE('',*,*,#177959,.T.); #226910=ORIENTED_EDGE('',*,*,#177960,.T.); #226911=ORIENTED_EDGE('',*,*,#177959,.F.); #226912=ORIENTED_EDGE('',*,*,#177958,.T.); #226913=ORIENTED_EDGE('',*,*,#177955,.T.); #226914=ORIENTED_EDGE('',*,*,#177960,.F.); #226915=ORIENTED_EDGE('',*,*,#177957,.T.); #226916=ORIENTED_EDGE('',*,*,#177961,.F.); #226917=ORIENTED_EDGE('',*,*,#177962,.T.); #226918=ORIENTED_EDGE('',*,*,#177963,.F.); #226919=ORIENTED_EDGE('',*,*,#177962,.F.); #226920=ORIENTED_EDGE('',*,*,#177964,.F.); #226921=ORIENTED_EDGE('',*,*,#177965,.T.); #226922=ORIENTED_EDGE('',*,*,#177966,.T.); #226923=ORIENTED_EDGE('',*,*,#177965,.F.); #226924=ORIENTED_EDGE('',*,*,#177964,.T.); #226925=ORIENTED_EDGE('',*,*,#177961,.T.); #226926=ORIENTED_EDGE('',*,*,#177966,.F.); #226927=ORIENTED_EDGE('',*,*,#177963,.T.); #226928=ORIENTED_EDGE('',*,*,#177967,.F.); #226929=ORIENTED_EDGE('',*,*,#177968,.T.); #226930=ORIENTED_EDGE('',*,*,#177969,.F.); #226931=ORIENTED_EDGE('',*,*,#177968,.F.); #226932=ORIENTED_EDGE('',*,*,#177970,.F.); #226933=ORIENTED_EDGE('',*,*,#177971,.T.); #226934=ORIENTED_EDGE('',*,*,#177972,.T.); #226935=ORIENTED_EDGE('',*,*,#177971,.F.); #226936=ORIENTED_EDGE('',*,*,#177970,.T.); #226937=ORIENTED_EDGE('',*,*,#177967,.T.); #226938=ORIENTED_EDGE('',*,*,#177972,.F.); #226939=ORIENTED_EDGE('',*,*,#177969,.T.); #226940=ORIENTED_EDGE('',*,*,#177973,.F.); #226941=ORIENTED_EDGE('',*,*,#177974,.T.); #226942=ORIENTED_EDGE('',*,*,#177975,.F.); #226943=ORIENTED_EDGE('',*,*,#177974,.F.); #226944=ORIENTED_EDGE('',*,*,#177976,.F.); #226945=ORIENTED_EDGE('',*,*,#177977,.T.); #226946=ORIENTED_EDGE('',*,*,#177978,.T.); #226947=ORIENTED_EDGE('',*,*,#177977,.F.); #226948=ORIENTED_EDGE('',*,*,#177976,.T.); #226949=ORIENTED_EDGE('',*,*,#177973,.T.); #226950=ORIENTED_EDGE('',*,*,#177978,.F.); #226951=ORIENTED_EDGE('',*,*,#177975,.T.); #226952=ORIENTED_EDGE('',*,*,#177979,.F.); #226953=ORIENTED_EDGE('',*,*,#177980,.T.); #226954=ORIENTED_EDGE('',*,*,#177981,.F.); #226955=ORIENTED_EDGE('',*,*,#177980,.F.); #226956=ORIENTED_EDGE('',*,*,#177982,.F.); #226957=ORIENTED_EDGE('',*,*,#177983,.T.); #226958=ORIENTED_EDGE('',*,*,#177984,.T.); #226959=ORIENTED_EDGE('',*,*,#177983,.F.); #226960=ORIENTED_EDGE('',*,*,#177982,.T.); #226961=ORIENTED_EDGE('',*,*,#177979,.T.); #226962=ORIENTED_EDGE('',*,*,#177984,.F.); #226963=ORIENTED_EDGE('',*,*,#177981,.T.); #226964=ORIENTED_EDGE('',*,*,#177985,.F.); #226965=ORIENTED_EDGE('',*,*,#177986,.T.); #226966=ORIENTED_EDGE('',*,*,#177987,.F.); #226967=ORIENTED_EDGE('',*,*,#177986,.F.); #226968=ORIENTED_EDGE('',*,*,#177988,.T.); #226969=ORIENTED_EDGE('',*,*,#177989,.T.); #226970=ORIENTED_EDGE('',*,*,#177990,.F.); #226971=ORIENTED_EDGE('',*,*,#177991,.F.); #226972=ORIENTED_EDGE('',*,*,#177992,.T.); #226973=ORIENTED_EDGE('',*,*,#177991,.T.); #226974=ORIENTED_EDGE('',*,*,#177993,.F.); #226975=ORIENTED_EDGE('',*,*,#177994,.F.); #226976=ORIENTED_EDGE('',*,*,#177995,.T.); #226977=ORIENTED_EDGE('',*,*,#177994,.T.); #226978=ORIENTED_EDGE('',*,*,#177996,.F.); #226979=ORIENTED_EDGE('',*,*,#177989,.F.); #226980=ORIENTED_EDGE('',*,*,#177996,.T.); #226981=ORIENTED_EDGE('',*,*,#177993,.T.); #226982=ORIENTED_EDGE('',*,*,#177990,.T.); #226983=ORIENTED_EDGE('',*,*,#177987,.T.); #226984=ORIENTED_EDGE('',*,*,#177995,.F.); #226985=ORIENTED_EDGE('',*,*,#177988,.F.); #226986=ORIENTED_EDGE('',*,*,#177992,.F.); #226987=ORIENTED_EDGE('',*,*,#177985,.T.); #226988=ORIENTED_EDGE('',*,*,#177997,.T.); #226989=ORIENTED_EDGE('',*,*,#177998,.T.); #226990=ORIENTED_EDGE('',*,*,#177999,.F.); #226991=ORIENTED_EDGE('',*,*,#178000,.F.); #226992=ORIENTED_EDGE('',*,*,#178001,.T.); #226993=ORIENTED_EDGE('',*,*,#178000,.T.); #226994=ORIENTED_EDGE('',*,*,#178002,.F.); #226995=ORIENTED_EDGE('',*,*,#178003,.F.); #226996=ORIENTED_EDGE('',*,*,#178004,.T.); #226997=ORIENTED_EDGE('',*,*,#178003,.T.); #226998=ORIENTED_EDGE('',*,*,#178005,.F.); #226999=ORIENTED_EDGE('',*,*,#178006,.F.); #227000=ORIENTED_EDGE('',*,*,#178007,.T.); #227001=ORIENTED_EDGE('',*,*,#178006,.T.); #227002=ORIENTED_EDGE('',*,*,#178008,.F.); #227003=ORIENTED_EDGE('',*,*,#177998,.F.); #227004=ORIENTED_EDGE('',*,*,#178008,.T.); #227005=ORIENTED_EDGE('',*,*,#178005,.T.); #227006=ORIENTED_EDGE('',*,*,#178002,.T.); #227007=ORIENTED_EDGE('',*,*,#177999,.T.); #227008=ORIENTED_EDGE('',*,*,#178007,.F.); #227009=ORIENTED_EDGE('',*,*,#177997,.F.); #227010=ORIENTED_EDGE('',*,*,#178001,.F.); #227011=ORIENTED_EDGE('',*,*,#178004,.F.); #227012=ORIENTED_EDGE('',*,*,#178009,.T.); #227013=ORIENTED_EDGE('',*,*,#178010,.T.); #227014=ORIENTED_EDGE('',*,*,#178011,.F.); #227015=ORIENTED_EDGE('',*,*,#178012,.F.); #227016=ORIENTED_EDGE('',*,*,#178013,.T.); #227017=ORIENTED_EDGE('',*,*,#178012,.T.); #227018=ORIENTED_EDGE('',*,*,#178014,.F.); #227019=ORIENTED_EDGE('',*,*,#178015,.F.); #227020=ORIENTED_EDGE('',*,*,#178016,.T.); #227021=ORIENTED_EDGE('',*,*,#178015,.T.); #227022=ORIENTED_EDGE('',*,*,#178017,.F.); #227023=ORIENTED_EDGE('',*,*,#178018,.F.); #227024=ORIENTED_EDGE('',*,*,#178019,.T.); #227025=ORIENTED_EDGE('',*,*,#178018,.T.); #227026=ORIENTED_EDGE('',*,*,#178020,.F.); #227027=ORIENTED_EDGE('',*,*,#178010,.F.); #227028=ORIENTED_EDGE('',*,*,#178020,.T.); #227029=ORIENTED_EDGE('',*,*,#178017,.T.); #227030=ORIENTED_EDGE('',*,*,#178014,.T.); #227031=ORIENTED_EDGE('',*,*,#178011,.T.); #227032=ORIENTED_EDGE('',*,*,#178019,.F.); #227033=ORIENTED_EDGE('',*,*,#178009,.F.); #227034=ORIENTED_EDGE('',*,*,#178013,.F.); #227035=ORIENTED_EDGE('',*,*,#178016,.F.); #227036=ORIENTED_EDGE('',*,*,#178021,.T.); #227037=ORIENTED_EDGE('',*,*,#178022,.T.); #227038=ORIENTED_EDGE('',*,*,#178023,.F.); #227039=ORIENTED_EDGE('',*,*,#178024,.F.); #227040=ORIENTED_EDGE('',*,*,#178025,.T.); #227041=ORIENTED_EDGE('',*,*,#178024,.T.); #227042=ORIENTED_EDGE('',*,*,#178026,.F.); #227043=ORIENTED_EDGE('',*,*,#178027,.F.); #227044=ORIENTED_EDGE('',*,*,#178028,.T.); #227045=ORIENTED_EDGE('',*,*,#178027,.T.); #227046=ORIENTED_EDGE('',*,*,#178029,.F.); #227047=ORIENTED_EDGE('',*,*,#178030,.F.); #227048=ORIENTED_EDGE('',*,*,#178031,.T.); #227049=ORIENTED_EDGE('',*,*,#178030,.T.); #227050=ORIENTED_EDGE('',*,*,#178032,.F.); #227051=ORIENTED_EDGE('',*,*,#178022,.F.); #227052=ORIENTED_EDGE('',*,*,#178032,.T.); #227053=ORIENTED_EDGE('',*,*,#178029,.T.); #227054=ORIENTED_EDGE('',*,*,#178026,.T.); #227055=ORIENTED_EDGE('',*,*,#178023,.T.); #227056=ORIENTED_EDGE('',*,*,#178031,.F.); #227057=ORIENTED_EDGE('',*,*,#178021,.F.); #227058=ORIENTED_EDGE('',*,*,#178025,.F.); #227059=ORIENTED_EDGE('',*,*,#178028,.F.); #227060=ORIENTED_EDGE('',*,*,#178033,.T.); #227061=ORIENTED_EDGE('',*,*,#178034,.T.); #227062=ORIENTED_EDGE('',*,*,#178035,.F.); #227063=ORIENTED_EDGE('',*,*,#178036,.F.); #227064=ORIENTED_EDGE('',*,*,#178037,.T.); #227065=ORIENTED_EDGE('',*,*,#178036,.T.); #227066=ORIENTED_EDGE('',*,*,#178038,.F.); #227067=ORIENTED_EDGE('',*,*,#178039,.F.); #227068=ORIENTED_EDGE('',*,*,#178040,.T.); #227069=ORIENTED_EDGE('',*,*,#178039,.T.); #227070=ORIENTED_EDGE('',*,*,#178041,.F.); #227071=ORIENTED_EDGE('',*,*,#178042,.F.); #227072=ORIENTED_EDGE('',*,*,#178043,.T.); #227073=ORIENTED_EDGE('',*,*,#178042,.T.); #227074=ORIENTED_EDGE('',*,*,#178044,.F.); #227075=ORIENTED_EDGE('',*,*,#178034,.F.); #227076=ORIENTED_EDGE('',*,*,#178044,.T.); #227077=ORIENTED_EDGE('',*,*,#178041,.T.); #227078=ORIENTED_EDGE('',*,*,#178038,.T.); #227079=ORIENTED_EDGE('',*,*,#178035,.T.); #227080=ORIENTED_EDGE('',*,*,#178043,.F.); #227081=ORIENTED_EDGE('',*,*,#178033,.F.); #227082=ORIENTED_EDGE('',*,*,#178037,.F.); #227083=ORIENTED_EDGE('',*,*,#178040,.F.); #227084=ORIENTED_EDGE('',*,*,#178045,.T.); #227085=ORIENTED_EDGE('',*,*,#178046,.T.); #227086=ORIENTED_EDGE('',*,*,#178047,.F.); #227087=ORIENTED_EDGE('',*,*,#178048,.F.); #227088=ORIENTED_EDGE('',*,*,#178049,.T.); #227089=ORIENTED_EDGE('',*,*,#178048,.T.); #227090=ORIENTED_EDGE('',*,*,#178050,.F.); #227091=ORIENTED_EDGE('',*,*,#178051,.F.); #227092=ORIENTED_EDGE('',*,*,#178052,.T.); #227093=ORIENTED_EDGE('',*,*,#178051,.T.); #227094=ORIENTED_EDGE('',*,*,#178053,.F.); #227095=ORIENTED_EDGE('',*,*,#178054,.F.); #227096=ORIENTED_EDGE('',*,*,#178055,.T.); #227097=ORIENTED_EDGE('',*,*,#178054,.T.); #227098=ORIENTED_EDGE('',*,*,#178056,.F.); #227099=ORIENTED_EDGE('',*,*,#178046,.F.); #227100=ORIENTED_EDGE('',*,*,#178056,.T.); #227101=ORIENTED_EDGE('',*,*,#178053,.T.); #227102=ORIENTED_EDGE('',*,*,#178050,.T.); #227103=ORIENTED_EDGE('',*,*,#178047,.T.); #227104=ORIENTED_EDGE('',*,*,#178055,.F.); #227105=ORIENTED_EDGE('',*,*,#178045,.F.); #227106=ORIENTED_EDGE('',*,*,#178049,.F.); #227107=ORIENTED_EDGE('',*,*,#178052,.F.); #227108=ORIENTED_EDGE('',*,*,#178057,.T.); #227109=ORIENTED_EDGE('',*,*,#178058,.T.); #227110=ORIENTED_EDGE('',*,*,#178059,.F.); #227111=ORIENTED_EDGE('',*,*,#178060,.F.); #227112=ORIENTED_EDGE('',*,*,#178061,.T.); #227113=ORIENTED_EDGE('',*,*,#178060,.T.); #227114=ORIENTED_EDGE('',*,*,#178062,.F.); #227115=ORIENTED_EDGE('',*,*,#178063,.F.); #227116=ORIENTED_EDGE('',*,*,#178064,.T.); #227117=ORIENTED_EDGE('',*,*,#178063,.T.); #227118=ORIENTED_EDGE('',*,*,#178065,.F.); #227119=ORIENTED_EDGE('',*,*,#178066,.F.); #227120=ORIENTED_EDGE('',*,*,#178067,.T.); #227121=ORIENTED_EDGE('',*,*,#178066,.T.); #227122=ORIENTED_EDGE('',*,*,#178068,.F.); #227123=ORIENTED_EDGE('',*,*,#178058,.F.); #227124=ORIENTED_EDGE('',*,*,#178068,.T.); #227125=ORIENTED_EDGE('',*,*,#178065,.T.); #227126=ORIENTED_EDGE('',*,*,#178062,.T.); #227127=ORIENTED_EDGE('',*,*,#178059,.T.); #227128=ORIENTED_EDGE('',*,*,#178067,.F.); #227129=ORIENTED_EDGE('',*,*,#178057,.F.); #227130=ORIENTED_EDGE('',*,*,#178061,.F.); #227131=ORIENTED_EDGE('',*,*,#178064,.F.); #227132=ORIENTED_EDGE('',*,*,#178069,.T.); #227133=ORIENTED_EDGE('',*,*,#178070,.T.); #227134=ORIENTED_EDGE('',*,*,#178071,.F.); #227135=ORIENTED_EDGE('',*,*,#178072,.F.); #227136=ORIENTED_EDGE('',*,*,#178073,.T.); #227137=ORIENTED_EDGE('',*,*,#178072,.T.); #227138=ORIENTED_EDGE('',*,*,#178074,.F.); #227139=ORIENTED_EDGE('',*,*,#178075,.F.); #227140=ORIENTED_EDGE('',*,*,#178076,.T.); #227141=ORIENTED_EDGE('',*,*,#178075,.T.); #227142=ORIENTED_EDGE('',*,*,#178077,.F.); #227143=ORIENTED_EDGE('',*,*,#178078,.F.); #227144=ORIENTED_EDGE('',*,*,#178079,.T.); #227145=ORIENTED_EDGE('',*,*,#178078,.T.); #227146=ORIENTED_EDGE('',*,*,#178080,.F.); #227147=ORIENTED_EDGE('',*,*,#178070,.F.); #227148=ORIENTED_EDGE('',*,*,#178080,.T.); #227149=ORIENTED_EDGE('',*,*,#178077,.T.); #227150=ORIENTED_EDGE('',*,*,#178074,.T.); #227151=ORIENTED_EDGE('',*,*,#178071,.T.); #227152=ORIENTED_EDGE('',*,*,#178079,.F.); #227153=ORIENTED_EDGE('',*,*,#178069,.F.); #227154=ORIENTED_EDGE('',*,*,#178073,.F.); #227155=ORIENTED_EDGE('',*,*,#178076,.F.); #227156=ORIENTED_EDGE('',*,*,#178081,.T.); #227157=ORIENTED_EDGE('',*,*,#178082,.T.); #227158=ORIENTED_EDGE('',*,*,#178083,.F.); #227159=ORIENTED_EDGE('',*,*,#178084,.F.); #227160=ORIENTED_EDGE('',*,*,#178085,.T.); #227161=ORIENTED_EDGE('',*,*,#178084,.T.); #227162=ORIENTED_EDGE('',*,*,#178086,.F.); #227163=ORIENTED_EDGE('',*,*,#178087,.F.); #227164=ORIENTED_EDGE('',*,*,#178088,.T.); #227165=ORIENTED_EDGE('',*,*,#178087,.T.); #227166=ORIENTED_EDGE('',*,*,#178089,.F.); #227167=ORIENTED_EDGE('',*,*,#178090,.F.); #227168=ORIENTED_EDGE('',*,*,#178091,.T.); #227169=ORIENTED_EDGE('',*,*,#178090,.T.); #227170=ORIENTED_EDGE('',*,*,#178092,.F.); #227171=ORIENTED_EDGE('',*,*,#178082,.F.); #227172=ORIENTED_EDGE('',*,*,#178092,.T.); #227173=ORIENTED_EDGE('',*,*,#178089,.T.); #227174=ORIENTED_EDGE('',*,*,#178086,.T.); #227175=ORIENTED_EDGE('',*,*,#178083,.T.); #227176=ORIENTED_EDGE('',*,*,#178091,.F.); #227177=ORIENTED_EDGE('',*,*,#178081,.F.); #227178=ORIENTED_EDGE('',*,*,#178085,.F.); #227179=ORIENTED_EDGE('',*,*,#178088,.F.); #227180=ORIENTED_EDGE('',*,*,#178093,.T.); #227181=ORIENTED_EDGE('',*,*,#178094,.T.); #227182=ORIENTED_EDGE('',*,*,#178095,.F.); #227183=ORIENTED_EDGE('',*,*,#178096,.F.); #227184=ORIENTED_EDGE('',*,*,#178097,.T.); #227185=ORIENTED_EDGE('',*,*,#178096,.T.); #227186=ORIENTED_EDGE('',*,*,#178098,.F.); #227187=ORIENTED_EDGE('',*,*,#178099,.F.); #227188=ORIENTED_EDGE('',*,*,#178100,.T.); #227189=ORIENTED_EDGE('',*,*,#178099,.T.); #227190=ORIENTED_EDGE('',*,*,#178101,.F.); #227191=ORIENTED_EDGE('',*,*,#178102,.F.); #227192=ORIENTED_EDGE('',*,*,#178103,.T.); #227193=ORIENTED_EDGE('',*,*,#178102,.T.); #227194=ORIENTED_EDGE('',*,*,#178104,.F.); #227195=ORIENTED_EDGE('',*,*,#178094,.F.); #227196=ORIENTED_EDGE('',*,*,#178104,.T.); #227197=ORIENTED_EDGE('',*,*,#178101,.T.); #227198=ORIENTED_EDGE('',*,*,#178098,.T.); #227199=ORIENTED_EDGE('',*,*,#178095,.T.); #227200=ORIENTED_EDGE('',*,*,#178103,.F.); #227201=ORIENTED_EDGE('',*,*,#178093,.F.); #227202=ORIENTED_EDGE('',*,*,#178097,.F.); #227203=ORIENTED_EDGE('',*,*,#178100,.F.); #227204=ORIENTED_EDGE('',*,*,#178105,.T.); #227205=ORIENTED_EDGE('',*,*,#178106,.T.); #227206=ORIENTED_EDGE('',*,*,#178107,.F.); #227207=ORIENTED_EDGE('',*,*,#178108,.F.); #227208=ORIENTED_EDGE('',*,*,#178109,.T.); #227209=ORIENTED_EDGE('',*,*,#178108,.T.); #227210=ORIENTED_EDGE('',*,*,#178110,.F.); #227211=ORIENTED_EDGE('',*,*,#178111,.F.); #227212=ORIENTED_EDGE('',*,*,#178112,.T.); #227213=ORIENTED_EDGE('',*,*,#178111,.T.); #227214=ORIENTED_EDGE('',*,*,#178113,.F.); #227215=ORIENTED_EDGE('',*,*,#178114,.F.); #227216=ORIENTED_EDGE('',*,*,#178115,.T.); #227217=ORIENTED_EDGE('',*,*,#178114,.T.); #227218=ORIENTED_EDGE('',*,*,#178116,.F.); #227219=ORIENTED_EDGE('',*,*,#178106,.F.); #227220=ORIENTED_EDGE('',*,*,#178116,.T.); #227221=ORIENTED_EDGE('',*,*,#178113,.T.); #227222=ORIENTED_EDGE('',*,*,#178110,.T.); #227223=ORIENTED_EDGE('',*,*,#178107,.T.); #227224=ORIENTED_EDGE('',*,*,#178115,.F.); #227225=ORIENTED_EDGE('',*,*,#178105,.F.); #227226=ORIENTED_EDGE('',*,*,#178109,.F.); #227227=ORIENTED_EDGE('',*,*,#178112,.F.); #227228=ORIENTED_EDGE('',*,*,#178117,.T.); #227229=ORIENTED_EDGE('',*,*,#178118,.T.); #227230=ORIENTED_EDGE('',*,*,#178119,.F.); #227231=ORIENTED_EDGE('',*,*,#178120,.F.); #227232=ORIENTED_EDGE('',*,*,#178121,.T.); #227233=ORIENTED_EDGE('',*,*,#178120,.T.); #227234=ORIENTED_EDGE('',*,*,#178122,.F.); #227235=ORIENTED_EDGE('',*,*,#178123,.F.); #227236=ORIENTED_EDGE('',*,*,#178124,.T.); #227237=ORIENTED_EDGE('',*,*,#178123,.T.); #227238=ORIENTED_EDGE('',*,*,#178125,.F.); #227239=ORIENTED_EDGE('',*,*,#178126,.F.); #227240=ORIENTED_EDGE('',*,*,#178127,.T.); #227241=ORIENTED_EDGE('',*,*,#178126,.T.); #227242=ORIENTED_EDGE('',*,*,#178128,.F.); #227243=ORIENTED_EDGE('',*,*,#178118,.F.); #227244=ORIENTED_EDGE('',*,*,#178128,.T.); #227245=ORIENTED_EDGE('',*,*,#178125,.T.); #227246=ORIENTED_EDGE('',*,*,#178122,.T.); #227247=ORIENTED_EDGE('',*,*,#178119,.T.); #227248=ORIENTED_EDGE('',*,*,#178127,.F.); #227249=ORIENTED_EDGE('',*,*,#178117,.F.); #227250=ORIENTED_EDGE('',*,*,#178121,.F.); #227251=ORIENTED_EDGE('',*,*,#178124,.F.); #227252=ORIENTED_EDGE('',*,*,#178129,.F.); #227253=ORIENTED_EDGE('',*,*,#178130,.T.); #227254=ORIENTED_EDGE('',*,*,#178131,.F.); #227255=ORIENTED_EDGE('',*,*,#178130,.F.); #227256=ORIENTED_EDGE('',*,*,#178132,.T.); #227257=ORIENTED_EDGE('',*,*,#178133,.T.); #227258=ORIENTED_EDGE('',*,*,#178134,.F.); #227259=ORIENTED_EDGE('',*,*,#178135,.F.); #227260=ORIENTED_EDGE('',*,*,#178136,.T.); #227261=ORIENTED_EDGE('',*,*,#178135,.T.); #227262=ORIENTED_EDGE('',*,*,#178137,.F.); #227263=ORIENTED_EDGE('',*,*,#178138,.F.); #227264=ORIENTED_EDGE('',*,*,#178139,.T.); #227265=ORIENTED_EDGE('',*,*,#178138,.T.); #227266=ORIENTED_EDGE('',*,*,#178140,.F.); #227267=ORIENTED_EDGE('',*,*,#178141,.F.); #227268=ORIENTED_EDGE('',*,*,#178142,.T.); #227269=ORIENTED_EDGE('',*,*,#178141,.T.); #227270=ORIENTED_EDGE('',*,*,#178143,.F.); #227271=ORIENTED_EDGE('',*,*,#178144,.F.); #227272=ORIENTED_EDGE('',*,*,#178145,.T.); #227273=ORIENTED_EDGE('',*,*,#178144,.T.); #227274=ORIENTED_EDGE('',*,*,#178146,.F.); #227275=ORIENTED_EDGE('',*,*,#178147,.F.); #227276=ORIENTED_EDGE('',*,*,#178148,.T.); #227277=ORIENTED_EDGE('',*,*,#178147,.T.); #227278=ORIENTED_EDGE('',*,*,#178149,.F.); #227279=ORIENTED_EDGE('',*,*,#178150,.F.); #227280=ORIENTED_EDGE('',*,*,#178151,.T.); #227281=ORIENTED_EDGE('',*,*,#178150,.T.); #227282=ORIENTED_EDGE('',*,*,#178152,.F.); #227283=ORIENTED_EDGE('',*,*,#178153,.F.); #227284=ORIENTED_EDGE('',*,*,#178154,.T.); #227285=ORIENTED_EDGE('',*,*,#178153,.T.); #227286=ORIENTED_EDGE('',*,*,#178155,.F.); #227287=ORIENTED_EDGE('',*,*,#178156,.F.); #227288=ORIENTED_EDGE('',*,*,#178157,.T.); #227289=ORIENTED_EDGE('',*,*,#178156,.T.); #227290=ORIENTED_EDGE('',*,*,#178158,.F.); #227291=ORIENTED_EDGE('',*,*,#178159,.F.); #227292=ORIENTED_EDGE('',*,*,#178160,.T.); #227293=ORIENTED_EDGE('',*,*,#178159,.T.); #227294=ORIENTED_EDGE('',*,*,#178161,.F.); #227295=ORIENTED_EDGE('',*,*,#178162,.F.); #227296=ORIENTED_EDGE('',*,*,#178163,.T.); #227297=ORIENTED_EDGE('',*,*,#178162,.T.); #227298=ORIENTED_EDGE('',*,*,#178164,.F.); #227299=ORIENTED_EDGE('',*,*,#178165,.F.); #227300=ORIENTED_EDGE('',*,*,#178166,.T.); #227301=ORIENTED_EDGE('',*,*,#178165,.T.); #227302=ORIENTED_EDGE('',*,*,#178167,.F.); #227303=ORIENTED_EDGE('',*,*,#178168,.F.); #227304=ORIENTED_EDGE('',*,*,#178169,.T.); #227305=ORIENTED_EDGE('',*,*,#178168,.T.); #227306=ORIENTED_EDGE('',*,*,#178170,.F.); #227307=ORIENTED_EDGE('',*,*,#178133,.F.); #227308=ORIENTED_EDGE('',*,*,#178170,.T.); #227309=ORIENTED_EDGE('',*,*,#178167,.T.); #227310=ORIENTED_EDGE('',*,*,#178164,.T.); #227311=ORIENTED_EDGE('',*,*,#178161,.T.); #227312=ORIENTED_EDGE('',*,*,#178158,.T.); #227313=ORIENTED_EDGE('',*,*,#178155,.T.); #227314=ORIENTED_EDGE('',*,*,#178152,.T.); #227315=ORIENTED_EDGE('',*,*,#178149,.T.); #227316=ORIENTED_EDGE('',*,*,#178146,.T.); #227317=ORIENTED_EDGE('',*,*,#178143,.T.); #227318=ORIENTED_EDGE('',*,*,#178140,.T.); #227319=ORIENTED_EDGE('',*,*,#178137,.T.); #227320=ORIENTED_EDGE('',*,*,#178134,.T.); #227321=ORIENTED_EDGE('',*,*,#178131,.T.); #227322=ORIENTED_EDGE('',*,*,#178169,.F.); #227323=ORIENTED_EDGE('',*,*,#178132,.F.); #227324=ORIENTED_EDGE('',*,*,#178136,.F.); #227325=ORIENTED_EDGE('',*,*,#178139,.F.); #227326=ORIENTED_EDGE('',*,*,#178142,.F.); #227327=ORIENTED_EDGE('',*,*,#178145,.F.); #227328=ORIENTED_EDGE('',*,*,#178148,.F.); #227329=ORIENTED_EDGE('',*,*,#178151,.F.); #227330=ORIENTED_EDGE('',*,*,#178154,.F.); #227331=ORIENTED_EDGE('',*,*,#178157,.F.); #227332=ORIENTED_EDGE('',*,*,#178160,.F.); #227333=ORIENTED_EDGE('',*,*,#178163,.F.); #227334=ORIENTED_EDGE('',*,*,#178166,.F.); #227335=ORIENTED_EDGE('',*,*,#178129,.T.); #227336=ORIENTED_EDGE('',*,*,#178171,.F.); #227337=ORIENTED_EDGE('',*,*,#178172,.T.); #227338=ORIENTED_EDGE('',*,*,#178173,.F.); #227339=ORIENTED_EDGE('',*,*,#178172,.F.); #227340=ORIENTED_EDGE('',*,*,#178174,.T.); #227341=ORIENTED_EDGE('',*,*,#178175,.T.); #227342=ORIENTED_EDGE('',*,*,#178176,.F.); #227343=ORIENTED_EDGE('',*,*,#178177,.F.); #227344=ORIENTED_EDGE('',*,*,#178178,.T.); #227345=ORIENTED_EDGE('',*,*,#178177,.T.); #227346=ORIENTED_EDGE('',*,*,#178179,.F.); #227347=ORIENTED_EDGE('',*,*,#178180,.F.); #227348=ORIENTED_EDGE('',*,*,#178181,.T.); #227349=ORIENTED_EDGE('',*,*,#178180,.T.); #227350=ORIENTED_EDGE('',*,*,#178182,.F.); #227351=ORIENTED_EDGE('',*,*,#178183,.F.); #227352=ORIENTED_EDGE('',*,*,#178184,.T.); #227353=ORIENTED_EDGE('',*,*,#178183,.T.); #227354=ORIENTED_EDGE('',*,*,#178185,.F.); #227355=ORIENTED_EDGE('',*,*,#178186,.F.); #227356=ORIENTED_EDGE('',*,*,#178187,.T.); #227357=ORIENTED_EDGE('',*,*,#178186,.T.); #227358=ORIENTED_EDGE('',*,*,#178188,.F.); #227359=ORIENTED_EDGE('',*,*,#178189,.F.); #227360=ORIENTED_EDGE('',*,*,#178190,.T.); #227361=ORIENTED_EDGE('',*,*,#178189,.T.); #227362=ORIENTED_EDGE('',*,*,#178191,.F.); #227363=ORIENTED_EDGE('',*,*,#178192,.F.); #227364=ORIENTED_EDGE('',*,*,#178193,.T.); #227365=ORIENTED_EDGE('',*,*,#178192,.T.); #227366=ORIENTED_EDGE('',*,*,#178194,.F.); #227367=ORIENTED_EDGE('',*,*,#178195,.F.); #227368=ORIENTED_EDGE('',*,*,#178196,.T.); #227369=ORIENTED_EDGE('',*,*,#178195,.T.); #227370=ORIENTED_EDGE('',*,*,#178197,.F.); #227371=ORIENTED_EDGE('',*,*,#178198,.F.); #227372=ORIENTED_EDGE('',*,*,#178199,.T.); #227373=ORIENTED_EDGE('',*,*,#178198,.T.); #227374=ORIENTED_EDGE('',*,*,#178200,.F.); #227375=ORIENTED_EDGE('',*,*,#178201,.F.); #227376=ORIENTED_EDGE('',*,*,#178202,.T.); #227377=ORIENTED_EDGE('',*,*,#178201,.T.); #227378=ORIENTED_EDGE('',*,*,#178203,.F.); #227379=ORIENTED_EDGE('',*,*,#178175,.F.); #227380=ORIENTED_EDGE('',*,*,#178203,.T.); #227381=ORIENTED_EDGE('',*,*,#178200,.T.); #227382=ORIENTED_EDGE('',*,*,#178197,.T.); #227383=ORIENTED_EDGE('',*,*,#178194,.T.); #227384=ORIENTED_EDGE('',*,*,#178191,.T.); #227385=ORIENTED_EDGE('',*,*,#178188,.T.); #227386=ORIENTED_EDGE('',*,*,#178185,.T.); #227387=ORIENTED_EDGE('',*,*,#178182,.T.); #227388=ORIENTED_EDGE('',*,*,#178179,.T.); #227389=ORIENTED_EDGE('',*,*,#178176,.T.); #227390=ORIENTED_EDGE('',*,*,#178173,.T.); #227391=ORIENTED_EDGE('',*,*,#178202,.F.); #227392=ORIENTED_EDGE('',*,*,#178174,.F.); #227393=ORIENTED_EDGE('',*,*,#178178,.F.); #227394=ORIENTED_EDGE('',*,*,#178181,.F.); #227395=ORIENTED_EDGE('',*,*,#178184,.F.); #227396=ORIENTED_EDGE('',*,*,#178187,.F.); #227397=ORIENTED_EDGE('',*,*,#178190,.F.); #227398=ORIENTED_EDGE('',*,*,#178193,.F.); #227399=ORIENTED_EDGE('',*,*,#178196,.F.); #227400=ORIENTED_EDGE('',*,*,#178199,.F.); #227401=ORIENTED_EDGE('',*,*,#178171,.T.); #227402=ORIENTED_EDGE('',*,*,#178204,.T.); #227403=ORIENTED_EDGE('',*,*,#178205,.T.); #227404=ORIENTED_EDGE('',*,*,#178206,.F.); #227405=ORIENTED_EDGE('',*,*,#178207,.F.); #227406=ORIENTED_EDGE('',*,*,#178208,.T.); #227407=ORIENTED_EDGE('',*,*,#178207,.T.); #227408=ORIENTED_EDGE('',*,*,#178209,.F.); #227409=ORIENTED_EDGE('',*,*,#178210,.F.); #227410=ORIENTED_EDGE('',*,*,#178211,.T.); #227411=ORIENTED_EDGE('',*,*,#178210,.T.); #227412=ORIENTED_EDGE('',*,*,#178212,.F.); #227413=ORIENTED_EDGE('',*,*,#178213,.F.); #227414=ORIENTED_EDGE('',*,*,#178214,.T.); #227415=ORIENTED_EDGE('',*,*,#178213,.T.); #227416=ORIENTED_EDGE('',*,*,#178215,.F.); #227417=ORIENTED_EDGE('',*,*,#178216,.F.); #227418=ORIENTED_EDGE('',*,*,#178217,.T.); #227419=ORIENTED_EDGE('',*,*,#178216,.T.); #227420=ORIENTED_EDGE('',*,*,#178218,.F.); #227421=ORIENTED_EDGE('',*,*,#178219,.F.); #227422=ORIENTED_EDGE('',*,*,#178220,.T.); #227423=ORIENTED_EDGE('',*,*,#178219,.T.); #227424=ORIENTED_EDGE('',*,*,#178221,.F.); #227425=ORIENTED_EDGE('',*,*,#178222,.F.); #227426=ORIENTED_EDGE('',*,*,#178223,.T.); #227427=ORIENTED_EDGE('',*,*,#178222,.T.); #227428=ORIENTED_EDGE('',*,*,#178224,.F.); #227429=ORIENTED_EDGE('',*,*,#178225,.F.); #227430=ORIENTED_EDGE('',*,*,#178226,.T.); #227431=ORIENTED_EDGE('',*,*,#178225,.T.); #227432=ORIENTED_EDGE('',*,*,#178227,.F.); #227433=ORIENTED_EDGE('',*,*,#178228,.F.); #227434=ORIENTED_EDGE('',*,*,#178229,.T.); #227435=ORIENTED_EDGE('',*,*,#178228,.T.); #227436=ORIENTED_EDGE('',*,*,#178230,.F.); #227437=ORIENTED_EDGE('',*,*,#178231,.F.); #227438=ORIENTED_EDGE('',*,*,#178232,.T.); #227439=ORIENTED_EDGE('',*,*,#178231,.T.); #227440=ORIENTED_EDGE('',*,*,#178233,.F.); #227441=ORIENTED_EDGE('',*,*,#178234,.F.); #227442=ORIENTED_EDGE('',*,*,#178235,.T.); #227443=ORIENTED_EDGE('',*,*,#178234,.T.); #227444=ORIENTED_EDGE('',*,*,#178236,.F.); #227445=ORIENTED_EDGE('',*,*,#178237,.F.); #227446=ORIENTED_EDGE('',*,*,#178238,.T.); #227447=ORIENTED_EDGE('',*,*,#178237,.T.); #227448=ORIENTED_EDGE('',*,*,#178239,.F.); #227449=ORIENTED_EDGE('',*,*,#178240,.F.); #227450=ORIENTED_EDGE('',*,*,#178241,.T.); #227451=ORIENTED_EDGE('',*,*,#178240,.T.); #227452=ORIENTED_EDGE('',*,*,#178242,.F.); #227453=ORIENTED_EDGE('',*,*,#178243,.F.); #227454=ORIENTED_EDGE('',*,*,#178244,.T.); #227455=ORIENTED_EDGE('',*,*,#178243,.T.); #227456=ORIENTED_EDGE('',*,*,#178245,.F.); #227457=ORIENTED_EDGE('',*,*,#178246,.F.); #227458=ORIENTED_EDGE('',*,*,#178247,.T.); #227459=ORIENTED_EDGE('',*,*,#178246,.T.); #227460=ORIENTED_EDGE('',*,*,#178248,.F.); #227461=ORIENTED_EDGE('',*,*,#178249,.F.); #227462=ORIENTED_EDGE('',*,*,#178250,.T.); #227463=ORIENTED_EDGE('',*,*,#178249,.T.); #227464=ORIENTED_EDGE('',*,*,#178251,.F.); #227465=ORIENTED_EDGE('',*,*,#178252,.F.); #227466=ORIENTED_EDGE('',*,*,#178253,.T.); #227467=ORIENTED_EDGE('',*,*,#178252,.T.); #227468=ORIENTED_EDGE('',*,*,#178254,.F.); #227469=ORIENTED_EDGE('',*,*,#178255,.F.); #227470=ORIENTED_EDGE('',*,*,#178256,.T.); #227471=ORIENTED_EDGE('',*,*,#178255,.T.); #227472=ORIENTED_EDGE('',*,*,#178257,.F.); #227473=ORIENTED_EDGE('',*,*,#178258,.F.); #227474=ORIENTED_EDGE('',*,*,#178259,.T.); #227475=ORIENTED_EDGE('',*,*,#178258,.T.); #227476=ORIENTED_EDGE('',*,*,#178260,.F.); #227477=ORIENTED_EDGE('',*,*,#178261,.F.); #227478=ORIENTED_EDGE('',*,*,#178262,.T.); #227479=ORIENTED_EDGE('',*,*,#178261,.T.); #227480=ORIENTED_EDGE('',*,*,#178263,.F.); #227481=ORIENTED_EDGE('',*,*,#178205,.F.); #227482=ORIENTED_EDGE('',*,*,#178263,.T.); #227483=ORIENTED_EDGE('',*,*,#178260,.T.); #227484=ORIENTED_EDGE('',*,*,#178257,.T.); #227485=ORIENTED_EDGE('',*,*,#178254,.T.); #227486=ORIENTED_EDGE('',*,*,#178251,.T.); #227487=ORIENTED_EDGE('',*,*,#178248,.T.); #227488=ORIENTED_EDGE('',*,*,#178245,.T.); #227489=ORIENTED_EDGE('',*,*,#178242,.T.); #227490=ORIENTED_EDGE('',*,*,#178239,.T.); #227491=ORIENTED_EDGE('',*,*,#178236,.T.); #227492=ORIENTED_EDGE('',*,*,#178233,.T.); #227493=ORIENTED_EDGE('',*,*,#178230,.T.); #227494=ORIENTED_EDGE('',*,*,#178227,.T.); #227495=ORIENTED_EDGE('',*,*,#178224,.T.); #227496=ORIENTED_EDGE('',*,*,#178221,.T.); #227497=ORIENTED_EDGE('',*,*,#178218,.T.); #227498=ORIENTED_EDGE('',*,*,#178215,.T.); #227499=ORIENTED_EDGE('',*,*,#178212,.T.); #227500=ORIENTED_EDGE('',*,*,#178209,.T.); #227501=ORIENTED_EDGE('',*,*,#178206,.T.); #227502=ORIENTED_EDGE('',*,*,#178262,.F.); #227503=ORIENTED_EDGE('',*,*,#178204,.F.); #227504=ORIENTED_EDGE('',*,*,#178208,.F.); #227505=ORIENTED_EDGE('',*,*,#178211,.F.); #227506=ORIENTED_EDGE('',*,*,#178214,.F.); #227507=ORIENTED_EDGE('',*,*,#178217,.F.); #227508=ORIENTED_EDGE('',*,*,#178220,.F.); #227509=ORIENTED_EDGE('',*,*,#178223,.F.); #227510=ORIENTED_EDGE('',*,*,#178226,.F.); #227511=ORIENTED_EDGE('',*,*,#178229,.F.); #227512=ORIENTED_EDGE('',*,*,#178232,.F.); #227513=ORIENTED_EDGE('',*,*,#178235,.F.); #227514=ORIENTED_EDGE('',*,*,#178238,.F.); #227515=ORIENTED_EDGE('',*,*,#178241,.F.); #227516=ORIENTED_EDGE('',*,*,#178244,.F.); #227517=ORIENTED_EDGE('',*,*,#178247,.F.); #227518=ORIENTED_EDGE('',*,*,#178250,.F.); #227519=ORIENTED_EDGE('',*,*,#178253,.F.); #227520=ORIENTED_EDGE('',*,*,#178256,.F.); #227521=ORIENTED_EDGE('',*,*,#178259,.F.); #227522=ORIENTED_EDGE('',*,*,#178264,.T.); #227523=ORIENTED_EDGE('',*,*,#178265,.T.); #227524=ORIENTED_EDGE('',*,*,#178266,.F.); #227525=ORIENTED_EDGE('',*,*,#178267,.F.); #227526=ORIENTED_EDGE('',*,*,#178268,.T.); #227527=ORIENTED_EDGE('',*,*,#178267,.T.); #227528=ORIENTED_EDGE('',*,*,#178269,.F.); #227529=ORIENTED_EDGE('',*,*,#178270,.F.); #227530=ORIENTED_EDGE('',*,*,#178271,.T.); #227531=ORIENTED_EDGE('',*,*,#178270,.T.); #227532=ORIENTED_EDGE('',*,*,#178272,.F.); #227533=ORIENTED_EDGE('',*,*,#178273,.F.); #227534=ORIENTED_EDGE('',*,*,#178274,.T.); #227535=ORIENTED_EDGE('',*,*,#178273,.T.); #227536=ORIENTED_EDGE('',*,*,#178275,.F.); #227537=ORIENTED_EDGE('',*,*,#178276,.F.); #227538=ORIENTED_EDGE('',*,*,#178277,.T.); #227539=ORIENTED_EDGE('',*,*,#178276,.T.); #227540=ORIENTED_EDGE('',*,*,#178278,.F.); #227541=ORIENTED_EDGE('',*,*,#178279,.F.); #227542=ORIENTED_EDGE('',*,*,#178280,.T.); #227543=ORIENTED_EDGE('',*,*,#178279,.T.); #227544=ORIENTED_EDGE('',*,*,#178281,.F.); #227545=ORIENTED_EDGE('',*,*,#178282,.F.); #227546=ORIENTED_EDGE('',*,*,#178283,.T.); #227547=ORIENTED_EDGE('',*,*,#178282,.T.); #227548=ORIENTED_EDGE('',*,*,#178284,.F.); #227549=ORIENTED_EDGE('',*,*,#178285,.F.); #227550=ORIENTED_EDGE('',*,*,#178286,.T.); #227551=ORIENTED_EDGE('',*,*,#178285,.T.); #227552=ORIENTED_EDGE('',*,*,#178287,.F.); #227553=ORIENTED_EDGE('',*,*,#178288,.F.); #227554=ORIENTED_EDGE('',*,*,#178289,.T.); #227555=ORIENTED_EDGE('',*,*,#178288,.T.); #227556=ORIENTED_EDGE('',*,*,#178290,.F.); #227557=ORIENTED_EDGE('',*,*,#178291,.F.); #227558=ORIENTED_EDGE('',*,*,#178292,.T.); #227559=ORIENTED_EDGE('',*,*,#178291,.T.); #227560=ORIENTED_EDGE('',*,*,#178293,.F.); #227561=ORIENTED_EDGE('',*,*,#178294,.F.); #227562=ORIENTED_EDGE('',*,*,#178295,.T.); #227563=ORIENTED_EDGE('',*,*,#178294,.T.); #227564=ORIENTED_EDGE('',*,*,#178296,.F.); #227565=ORIENTED_EDGE('',*,*,#178297,.F.); #227566=ORIENTED_EDGE('',*,*,#178298,.T.); #227567=ORIENTED_EDGE('',*,*,#178297,.T.); #227568=ORIENTED_EDGE('',*,*,#178299,.F.); #227569=ORIENTED_EDGE('',*,*,#178300,.F.); #227570=ORIENTED_EDGE('',*,*,#178301,.T.); #227571=ORIENTED_EDGE('',*,*,#178300,.T.); #227572=ORIENTED_EDGE('',*,*,#178302,.F.); #227573=ORIENTED_EDGE('',*,*,#178303,.F.); #227574=ORIENTED_EDGE('',*,*,#178304,.T.); #227575=ORIENTED_EDGE('',*,*,#178303,.T.); #227576=ORIENTED_EDGE('',*,*,#178305,.F.); #227577=ORIENTED_EDGE('',*,*,#178306,.F.); #227578=ORIENTED_EDGE('',*,*,#178307,.T.); #227579=ORIENTED_EDGE('',*,*,#178306,.T.); #227580=ORIENTED_EDGE('',*,*,#178308,.F.); #227581=ORIENTED_EDGE('',*,*,#178309,.F.); #227582=ORIENTED_EDGE('',*,*,#178310,.T.); #227583=ORIENTED_EDGE('',*,*,#178309,.T.); #227584=ORIENTED_EDGE('',*,*,#178311,.F.); #227585=ORIENTED_EDGE('',*,*,#178312,.F.); #227586=ORIENTED_EDGE('',*,*,#178313,.T.); #227587=ORIENTED_EDGE('',*,*,#178312,.T.); #227588=ORIENTED_EDGE('',*,*,#178314,.F.); #227589=ORIENTED_EDGE('',*,*,#178315,.F.); #227590=ORIENTED_EDGE('',*,*,#178316,.T.); #227591=ORIENTED_EDGE('',*,*,#178315,.T.); #227592=ORIENTED_EDGE('',*,*,#178317,.F.); #227593=ORIENTED_EDGE('',*,*,#178318,.F.); #227594=ORIENTED_EDGE('',*,*,#178319,.T.); #227595=ORIENTED_EDGE('',*,*,#178318,.T.); #227596=ORIENTED_EDGE('',*,*,#178320,.F.); #227597=ORIENTED_EDGE('',*,*,#178321,.F.); #227598=ORIENTED_EDGE('',*,*,#178322,.T.); #227599=ORIENTED_EDGE('',*,*,#178321,.T.); #227600=ORIENTED_EDGE('',*,*,#178323,.F.); #227601=ORIENTED_EDGE('',*,*,#178324,.F.); #227602=ORIENTED_EDGE('',*,*,#178325,.T.); #227603=ORIENTED_EDGE('',*,*,#178324,.T.); #227604=ORIENTED_EDGE('',*,*,#178326,.F.); #227605=ORIENTED_EDGE('',*,*,#178327,.F.); #227606=ORIENTED_EDGE('',*,*,#178328,.T.); #227607=ORIENTED_EDGE('',*,*,#178327,.T.); #227608=ORIENTED_EDGE('',*,*,#178329,.F.); #227609=ORIENTED_EDGE('',*,*,#178330,.F.); #227610=ORIENTED_EDGE('',*,*,#178331,.T.); #227611=ORIENTED_EDGE('',*,*,#178330,.T.); #227612=ORIENTED_EDGE('',*,*,#178332,.F.); #227613=ORIENTED_EDGE('',*,*,#178333,.F.); #227614=ORIENTED_EDGE('',*,*,#178334,.T.); #227615=ORIENTED_EDGE('',*,*,#178333,.T.); #227616=ORIENTED_EDGE('',*,*,#178335,.F.); #227617=ORIENTED_EDGE('',*,*,#178336,.F.); #227618=ORIENTED_EDGE('',*,*,#178337,.T.); #227619=ORIENTED_EDGE('',*,*,#178336,.T.); #227620=ORIENTED_EDGE('',*,*,#178338,.F.); #227621=ORIENTED_EDGE('',*,*,#178339,.F.); #227622=ORIENTED_EDGE('',*,*,#178340,.T.); #227623=ORIENTED_EDGE('',*,*,#178339,.T.); #227624=ORIENTED_EDGE('',*,*,#178341,.F.); #227625=ORIENTED_EDGE('',*,*,#178342,.F.); #227626=ORIENTED_EDGE('',*,*,#178343,.T.); #227627=ORIENTED_EDGE('',*,*,#178342,.T.); #227628=ORIENTED_EDGE('',*,*,#178344,.F.); #227629=ORIENTED_EDGE('',*,*,#178345,.F.); #227630=ORIENTED_EDGE('',*,*,#178346,.T.); #227631=ORIENTED_EDGE('',*,*,#178345,.T.); #227632=ORIENTED_EDGE('',*,*,#178347,.F.); #227633=ORIENTED_EDGE('',*,*,#178348,.F.); #227634=ORIENTED_EDGE('',*,*,#178349,.T.); #227635=ORIENTED_EDGE('',*,*,#178348,.T.); #227636=ORIENTED_EDGE('',*,*,#178350,.F.); #227637=ORIENTED_EDGE('',*,*,#178351,.F.); #227638=ORIENTED_EDGE('',*,*,#178352,.T.); #227639=ORIENTED_EDGE('',*,*,#178351,.T.); #227640=ORIENTED_EDGE('',*,*,#178353,.F.); #227641=ORIENTED_EDGE('',*,*,#178354,.F.); #227642=ORIENTED_EDGE('',*,*,#178355,.T.); #227643=ORIENTED_EDGE('',*,*,#178354,.T.); #227644=ORIENTED_EDGE('',*,*,#178356,.F.); #227645=ORIENTED_EDGE('',*,*,#178357,.F.); #227646=ORIENTED_EDGE('',*,*,#178358,.T.); #227647=ORIENTED_EDGE('',*,*,#178357,.T.); #227648=ORIENTED_EDGE('',*,*,#178359,.F.); #227649=ORIENTED_EDGE('',*,*,#178360,.F.); #227650=ORIENTED_EDGE('',*,*,#178361,.T.); #227651=ORIENTED_EDGE('',*,*,#178360,.T.); #227652=ORIENTED_EDGE('',*,*,#178362,.F.); #227653=ORIENTED_EDGE('',*,*,#178363,.F.); #227654=ORIENTED_EDGE('',*,*,#178364,.T.); #227655=ORIENTED_EDGE('',*,*,#178363,.T.); #227656=ORIENTED_EDGE('',*,*,#178365,.F.); #227657=ORIENTED_EDGE('',*,*,#178366,.F.); #227658=ORIENTED_EDGE('',*,*,#178367,.T.); #227659=ORIENTED_EDGE('',*,*,#178366,.T.); #227660=ORIENTED_EDGE('',*,*,#178368,.F.); #227661=ORIENTED_EDGE('',*,*,#178369,.F.); #227662=ORIENTED_EDGE('',*,*,#178370,.T.); #227663=ORIENTED_EDGE('',*,*,#178369,.T.); #227664=ORIENTED_EDGE('',*,*,#178371,.F.); #227665=ORIENTED_EDGE('',*,*,#178265,.F.); #227666=ORIENTED_EDGE('',*,*,#178371,.T.); #227667=ORIENTED_EDGE('',*,*,#178368,.T.); #227668=ORIENTED_EDGE('',*,*,#178365,.T.); #227669=ORIENTED_EDGE('',*,*,#178362,.T.); #227670=ORIENTED_EDGE('',*,*,#178359,.T.); #227671=ORIENTED_EDGE('',*,*,#178356,.T.); #227672=ORIENTED_EDGE('',*,*,#178353,.T.); #227673=ORIENTED_EDGE('',*,*,#178350,.T.); #227674=ORIENTED_EDGE('',*,*,#178347,.T.); #227675=ORIENTED_EDGE('',*,*,#178344,.T.); #227676=ORIENTED_EDGE('',*,*,#178341,.T.); #227677=ORIENTED_EDGE('',*,*,#178338,.T.); #227678=ORIENTED_EDGE('',*,*,#178335,.T.); #227679=ORIENTED_EDGE('',*,*,#178332,.T.); #227680=ORIENTED_EDGE('',*,*,#178329,.T.); #227681=ORIENTED_EDGE('',*,*,#178326,.T.); #227682=ORIENTED_EDGE('',*,*,#178323,.T.); #227683=ORIENTED_EDGE('',*,*,#178320,.T.); #227684=ORIENTED_EDGE('',*,*,#178317,.T.); #227685=ORIENTED_EDGE('',*,*,#178314,.T.); #227686=ORIENTED_EDGE('',*,*,#178311,.T.); #227687=ORIENTED_EDGE('',*,*,#178308,.T.); #227688=ORIENTED_EDGE('',*,*,#178305,.T.); #227689=ORIENTED_EDGE('',*,*,#178302,.T.); #227690=ORIENTED_EDGE('',*,*,#178299,.T.); #227691=ORIENTED_EDGE('',*,*,#178296,.T.); #227692=ORIENTED_EDGE('',*,*,#178293,.T.); #227693=ORIENTED_EDGE('',*,*,#178290,.T.); #227694=ORIENTED_EDGE('',*,*,#178287,.T.); #227695=ORIENTED_EDGE('',*,*,#178284,.T.); #227696=ORIENTED_EDGE('',*,*,#178281,.T.); #227697=ORIENTED_EDGE('',*,*,#178278,.T.); #227698=ORIENTED_EDGE('',*,*,#178275,.T.); #227699=ORIENTED_EDGE('',*,*,#178272,.T.); #227700=ORIENTED_EDGE('',*,*,#178269,.T.); #227701=ORIENTED_EDGE('',*,*,#178266,.T.); #227702=ORIENTED_EDGE('',*,*,#178370,.F.); #227703=ORIENTED_EDGE('',*,*,#178264,.F.); #227704=ORIENTED_EDGE('',*,*,#178268,.F.); #227705=ORIENTED_EDGE('',*,*,#178271,.F.); #227706=ORIENTED_EDGE('',*,*,#178274,.F.); #227707=ORIENTED_EDGE('',*,*,#178277,.F.); #227708=ORIENTED_EDGE('',*,*,#178280,.F.); #227709=ORIENTED_EDGE('',*,*,#178283,.F.); #227710=ORIENTED_EDGE('',*,*,#178286,.F.); #227711=ORIENTED_EDGE('',*,*,#178289,.F.); #227712=ORIENTED_EDGE('',*,*,#178292,.F.); #227713=ORIENTED_EDGE('',*,*,#178295,.F.); #227714=ORIENTED_EDGE('',*,*,#178298,.F.); #227715=ORIENTED_EDGE('',*,*,#178301,.F.); #227716=ORIENTED_EDGE('',*,*,#178304,.F.); #227717=ORIENTED_EDGE('',*,*,#178307,.F.); #227718=ORIENTED_EDGE('',*,*,#178310,.F.); #227719=ORIENTED_EDGE('',*,*,#178313,.F.); #227720=ORIENTED_EDGE('',*,*,#178316,.F.); #227721=ORIENTED_EDGE('',*,*,#178319,.F.); #227722=ORIENTED_EDGE('',*,*,#178322,.F.); #227723=ORIENTED_EDGE('',*,*,#178325,.F.); #227724=ORIENTED_EDGE('',*,*,#178328,.F.); #227725=ORIENTED_EDGE('',*,*,#178331,.F.); #227726=ORIENTED_EDGE('',*,*,#178334,.F.); #227727=ORIENTED_EDGE('',*,*,#178337,.F.); #227728=ORIENTED_EDGE('',*,*,#178340,.F.); #227729=ORIENTED_EDGE('',*,*,#178343,.F.); #227730=ORIENTED_EDGE('',*,*,#178346,.F.); #227731=ORIENTED_EDGE('',*,*,#178349,.F.); #227732=ORIENTED_EDGE('',*,*,#178352,.F.); #227733=ORIENTED_EDGE('',*,*,#178355,.F.); #227734=ORIENTED_EDGE('',*,*,#178358,.F.); #227735=ORIENTED_EDGE('',*,*,#178361,.F.); #227736=ORIENTED_EDGE('',*,*,#178364,.F.); #227737=ORIENTED_EDGE('',*,*,#178367,.F.); #227738=ORIENTED_EDGE('',*,*,#178372,.T.); #227739=ORIENTED_EDGE('',*,*,#178373,.T.); #227740=ORIENTED_EDGE('',*,*,#178374,.F.); #227741=ORIENTED_EDGE('',*,*,#178375,.F.); #227742=ORIENTED_EDGE('',*,*,#178376,.T.); #227743=ORIENTED_EDGE('',*,*,#178375,.T.); #227744=ORIENTED_EDGE('',*,*,#178377,.F.); #227745=ORIENTED_EDGE('',*,*,#178378,.F.); #227746=ORIENTED_EDGE('',*,*,#178379,.T.); #227747=ORIENTED_EDGE('',*,*,#178378,.T.); #227748=ORIENTED_EDGE('',*,*,#178380,.F.); #227749=ORIENTED_EDGE('',*,*,#178381,.F.); #227750=ORIENTED_EDGE('',*,*,#178382,.T.); #227751=ORIENTED_EDGE('',*,*,#178381,.T.); #227752=ORIENTED_EDGE('',*,*,#178383,.F.); #227753=ORIENTED_EDGE('',*,*,#178384,.F.); #227754=ORIENTED_EDGE('',*,*,#178385,.T.); #227755=ORIENTED_EDGE('',*,*,#178384,.T.); #227756=ORIENTED_EDGE('',*,*,#178386,.F.); #227757=ORIENTED_EDGE('',*,*,#178387,.F.); #227758=ORIENTED_EDGE('',*,*,#178388,.T.); #227759=ORIENTED_EDGE('',*,*,#178387,.T.); #227760=ORIENTED_EDGE('',*,*,#178389,.F.); #227761=ORIENTED_EDGE('',*,*,#178390,.F.); #227762=ORIENTED_EDGE('',*,*,#178391,.T.); #227763=ORIENTED_EDGE('',*,*,#178390,.T.); #227764=ORIENTED_EDGE('',*,*,#178392,.F.); #227765=ORIENTED_EDGE('',*,*,#178393,.F.); #227766=ORIENTED_EDGE('',*,*,#178394,.T.); #227767=ORIENTED_EDGE('',*,*,#178393,.T.); #227768=ORIENTED_EDGE('',*,*,#178395,.F.); #227769=ORIENTED_EDGE('',*,*,#178396,.F.); #227770=ORIENTED_EDGE('',*,*,#178397,.T.); #227771=ORIENTED_EDGE('',*,*,#178396,.T.); #227772=ORIENTED_EDGE('',*,*,#178398,.F.); #227773=ORIENTED_EDGE('',*,*,#178399,.F.); #227774=ORIENTED_EDGE('',*,*,#178400,.T.); #227775=ORIENTED_EDGE('',*,*,#178399,.T.); #227776=ORIENTED_EDGE('',*,*,#178401,.F.); #227777=ORIENTED_EDGE('',*,*,#178402,.F.); #227778=ORIENTED_EDGE('',*,*,#178403,.T.); #227779=ORIENTED_EDGE('',*,*,#178402,.T.); #227780=ORIENTED_EDGE('',*,*,#178404,.F.); #227781=ORIENTED_EDGE('',*,*,#178405,.F.); #227782=ORIENTED_EDGE('',*,*,#178406,.T.); #227783=ORIENTED_EDGE('',*,*,#178405,.T.); #227784=ORIENTED_EDGE('',*,*,#178407,.F.); #227785=ORIENTED_EDGE('',*,*,#178408,.F.); #227786=ORIENTED_EDGE('',*,*,#178409,.T.); #227787=ORIENTED_EDGE('',*,*,#178408,.T.); #227788=ORIENTED_EDGE('',*,*,#178410,.F.); #227789=ORIENTED_EDGE('',*,*,#178411,.F.); #227790=ORIENTED_EDGE('',*,*,#178412,.T.); #227791=ORIENTED_EDGE('',*,*,#178411,.T.); #227792=ORIENTED_EDGE('',*,*,#178413,.F.); #227793=ORIENTED_EDGE('',*,*,#178414,.F.); #227794=ORIENTED_EDGE('',*,*,#178415,.T.); #227795=ORIENTED_EDGE('',*,*,#178414,.T.); #227796=ORIENTED_EDGE('',*,*,#178416,.F.); #227797=ORIENTED_EDGE('',*,*,#178417,.F.); #227798=ORIENTED_EDGE('',*,*,#178418,.T.); #227799=ORIENTED_EDGE('',*,*,#178417,.T.); #227800=ORIENTED_EDGE('',*,*,#178419,.F.); #227801=ORIENTED_EDGE('',*,*,#178420,.F.); #227802=ORIENTED_EDGE('',*,*,#178421,.T.); #227803=ORIENTED_EDGE('',*,*,#178420,.T.); #227804=ORIENTED_EDGE('',*,*,#178422,.F.); #227805=ORIENTED_EDGE('',*,*,#178423,.F.); #227806=ORIENTED_EDGE('',*,*,#178424,.T.); #227807=ORIENTED_EDGE('',*,*,#178423,.T.); #227808=ORIENTED_EDGE('',*,*,#178425,.F.); #227809=ORIENTED_EDGE('',*,*,#178426,.F.); #227810=ORIENTED_EDGE('',*,*,#178427,.T.); #227811=ORIENTED_EDGE('',*,*,#178426,.T.); #227812=ORIENTED_EDGE('',*,*,#178428,.F.); #227813=ORIENTED_EDGE('',*,*,#178429,.F.); #227814=ORIENTED_EDGE('',*,*,#178430,.T.); #227815=ORIENTED_EDGE('',*,*,#178429,.T.); #227816=ORIENTED_EDGE('',*,*,#178431,.F.); #227817=ORIENTED_EDGE('',*,*,#178432,.F.); #227818=ORIENTED_EDGE('',*,*,#178433,.T.); #227819=ORIENTED_EDGE('',*,*,#178432,.T.); #227820=ORIENTED_EDGE('',*,*,#178434,.F.); #227821=ORIENTED_EDGE('',*,*,#178435,.F.); #227822=ORIENTED_EDGE('',*,*,#178436,.T.); #227823=ORIENTED_EDGE('',*,*,#178435,.T.); #227824=ORIENTED_EDGE('',*,*,#178437,.F.); #227825=ORIENTED_EDGE('',*,*,#178438,.F.); #227826=ORIENTED_EDGE('',*,*,#178439,.T.); #227827=ORIENTED_EDGE('',*,*,#178438,.T.); #227828=ORIENTED_EDGE('',*,*,#178440,.F.); #227829=ORIENTED_EDGE('',*,*,#178441,.F.); #227830=ORIENTED_EDGE('',*,*,#178442,.T.); #227831=ORIENTED_EDGE('',*,*,#178441,.T.); #227832=ORIENTED_EDGE('',*,*,#178443,.F.); #227833=ORIENTED_EDGE('',*,*,#178444,.F.); #227834=ORIENTED_EDGE('',*,*,#178445,.T.); #227835=ORIENTED_EDGE('',*,*,#178444,.T.); #227836=ORIENTED_EDGE('',*,*,#178446,.F.); #227837=ORIENTED_EDGE('',*,*,#178447,.F.); #227838=ORIENTED_EDGE('',*,*,#178448,.T.); #227839=ORIENTED_EDGE('',*,*,#178447,.T.); #227840=ORIENTED_EDGE('',*,*,#178449,.F.); #227841=ORIENTED_EDGE('',*,*,#178450,.F.); #227842=ORIENTED_EDGE('',*,*,#178451,.T.); #227843=ORIENTED_EDGE('',*,*,#178450,.T.); #227844=ORIENTED_EDGE('',*,*,#178452,.F.); #227845=ORIENTED_EDGE('',*,*,#178453,.F.); #227846=ORIENTED_EDGE('',*,*,#178454,.T.); #227847=ORIENTED_EDGE('',*,*,#178453,.T.); #227848=ORIENTED_EDGE('',*,*,#178455,.F.); #227849=ORIENTED_EDGE('',*,*,#178456,.F.); #227850=ORIENTED_EDGE('',*,*,#178457,.T.); #227851=ORIENTED_EDGE('',*,*,#178456,.T.); #227852=ORIENTED_EDGE('',*,*,#178458,.F.); #227853=ORIENTED_EDGE('',*,*,#178459,.F.); #227854=ORIENTED_EDGE('',*,*,#178460,.T.); #227855=ORIENTED_EDGE('',*,*,#178459,.T.); #227856=ORIENTED_EDGE('',*,*,#178461,.F.); #227857=ORIENTED_EDGE('',*,*,#178462,.F.); #227858=ORIENTED_EDGE('',*,*,#178463,.T.); #227859=ORIENTED_EDGE('',*,*,#178462,.T.); #227860=ORIENTED_EDGE('',*,*,#178464,.F.); #227861=ORIENTED_EDGE('',*,*,#178465,.F.); #227862=ORIENTED_EDGE('',*,*,#178466,.T.); #227863=ORIENTED_EDGE('',*,*,#178465,.T.); #227864=ORIENTED_EDGE('',*,*,#178467,.F.); #227865=ORIENTED_EDGE('',*,*,#178468,.F.); #227866=ORIENTED_EDGE('',*,*,#178469,.T.); #227867=ORIENTED_EDGE('',*,*,#178468,.T.); #227868=ORIENTED_EDGE('',*,*,#178470,.F.); #227869=ORIENTED_EDGE('',*,*,#178471,.F.); #227870=ORIENTED_EDGE('',*,*,#178472,.T.); #227871=ORIENTED_EDGE('',*,*,#178471,.T.); #227872=ORIENTED_EDGE('',*,*,#178473,.F.); #227873=ORIENTED_EDGE('',*,*,#178474,.F.); #227874=ORIENTED_EDGE('',*,*,#178475,.T.); #227875=ORIENTED_EDGE('',*,*,#178474,.T.); #227876=ORIENTED_EDGE('',*,*,#178476,.F.); #227877=ORIENTED_EDGE('',*,*,#178477,.F.); #227878=ORIENTED_EDGE('',*,*,#178478,.T.); #227879=ORIENTED_EDGE('',*,*,#178477,.T.); #227880=ORIENTED_EDGE('',*,*,#178479,.F.); #227881=ORIENTED_EDGE('',*,*,#178373,.F.); #227882=ORIENTED_EDGE('',*,*,#178479,.T.); #227883=ORIENTED_EDGE('',*,*,#178476,.T.); #227884=ORIENTED_EDGE('',*,*,#178473,.T.); #227885=ORIENTED_EDGE('',*,*,#178470,.T.); #227886=ORIENTED_EDGE('',*,*,#178467,.T.); #227887=ORIENTED_EDGE('',*,*,#178464,.T.); #227888=ORIENTED_EDGE('',*,*,#178461,.T.); #227889=ORIENTED_EDGE('',*,*,#178458,.T.); #227890=ORIENTED_EDGE('',*,*,#178455,.T.); #227891=ORIENTED_EDGE('',*,*,#178452,.T.); #227892=ORIENTED_EDGE('',*,*,#178449,.T.); #227893=ORIENTED_EDGE('',*,*,#178446,.T.); #227894=ORIENTED_EDGE('',*,*,#178443,.T.); #227895=ORIENTED_EDGE('',*,*,#178440,.T.); #227896=ORIENTED_EDGE('',*,*,#178437,.T.); #227897=ORIENTED_EDGE('',*,*,#178434,.T.); #227898=ORIENTED_EDGE('',*,*,#178431,.T.); #227899=ORIENTED_EDGE('',*,*,#178428,.T.); #227900=ORIENTED_EDGE('',*,*,#178425,.T.); #227901=ORIENTED_EDGE('',*,*,#178422,.T.); #227902=ORIENTED_EDGE('',*,*,#178419,.T.); #227903=ORIENTED_EDGE('',*,*,#178416,.T.); #227904=ORIENTED_EDGE('',*,*,#178413,.T.); #227905=ORIENTED_EDGE('',*,*,#178410,.T.); #227906=ORIENTED_EDGE('',*,*,#178407,.T.); #227907=ORIENTED_EDGE('',*,*,#178404,.T.); #227908=ORIENTED_EDGE('',*,*,#178401,.T.); #227909=ORIENTED_EDGE('',*,*,#178398,.T.); #227910=ORIENTED_EDGE('',*,*,#178395,.T.); #227911=ORIENTED_EDGE('',*,*,#178392,.T.); #227912=ORIENTED_EDGE('',*,*,#178389,.T.); #227913=ORIENTED_EDGE('',*,*,#178386,.T.); #227914=ORIENTED_EDGE('',*,*,#178383,.T.); #227915=ORIENTED_EDGE('',*,*,#178380,.T.); #227916=ORIENTED_EDGE('',*,*,#178377,.T.); #227917=ORIENTED_EDGE('',*,*,#178374,.T.); #227918=ORIENTED_EDGE('',*,*,#178478,.F.); #227919=ORIENTED_EDGE('',*,*,#178372,.F.); #227920=ORIENTED_EDGE('',*,*,#178376,.F.); #227921=ORIENTED_EDGE('',*,*,#178379,.F.); #227922=ORIENTED_EDGE('',*,*,#178382,.F.); #227923=ORIENTED_EDGE('',*,*,#178385,.F.); #227924=ORIENTED_EDGE('',*,*,#178388,.F.); #227925=ORIENTED_EDGE('',*,*,#178391,.F.); #227926=ORIENTED_EDGE('',*,*,#178394,.F.); #227927=ORIENTED_EDGE('',*,*,#178397,.F.); #227928=ORIENTED_EDGE('',*,*,#178400,.F.); #227929=ORIENTED_EDGE('',*,*,#178403,.F.); #227930=ORIENTED_EDGE('',*,*,#178406,.F.); #227931=ORIENTED_EDGE('',*,*,#178409,.F.); #227932=ORIENTED_EDGE('',*,*,#178412,.F.); #227933=ORIENTED_EDGE('',*,*,#178415,.F.); #227934=ORIENTED_EDGE('',*,*,#178418,.F.); #227935=ORIENTED_EDGE('',*,*,#178421,.F.); #227936=ORIENTED_EDGE('',*,*,#178424,.F.); #227937=ORIENTED_EDGE('',*,*,#178427,.F.); #227938=ORIENTED_EDGE('',*,*,#178430,.F.); #227939=ORIENTED_EDGE('',*,*,#178433,.F.); #227940=ORIENTED_EDGE('',*,*,#178436,.F.); #227941=ORIENTED_EDGE('',*,*,#178439,.F.); #227942=ORIENTED_EDGE('',*,*,#178442,.F.); #227943=ORIENTED_EDGE('',*,*,#178445,.F.); #227944=ORIENTED_EDGE('',*,*,#178448,.F.); #227945=ORIENTED_EDGE('',*,*,#178451,.F.); #227946=ORIENTED_EDGE('',*,*,#178454,.F.); #227947=ORIENTED_EDGE('',*,*,#178457,.F.); #227948=ORIENTED_EDGE('',*,*,#178460,.F.); #227949=ORIENTED_EDGE('',*,*,#178463,.F.); #227950=ORIENTED_EDGE('',*,*,#178466,.F.); #227951=ORIENTED_EDGE('',*,*,#178469,.F.); #227952=ORIENTED_EDGE('',*,*,#178472,.F.); #227953=ORIENTED_EDGE('',*,*,#178475,.F.); #227954=ORIENTED_EDGE('',*,*,#178480,.F.); #227955=ORIENTED_EDGE('',*,*,#178481,.T.); #227956=ORIENTED_EDGE('',*,*,#178482,.F.); #227957=ORIENTED_EDGE('',*,*,#178481,.F.); #227958=ORIENTED_EDGE('',*,*,#178483,.T.); #227959=ORIENTED_EDGE('',*,*,#178484,.T.); #227960=ORIENTED_EDGE('',*,*,#178485,.F.); #227961=ORIENTED_EDGE('',*,*,#178486,.F.); #227962=ORIENTED_EDGE('',*,*,#178487,.T.); #227963=ORIENTED_EDGE('',*,*,#178486,.T.); #227964=ORIENTED_EDGE('',*,*,#178488,.F.); #227965=ORIENTED_EDGE('',*,*,#178489,.F.); #227966=ORIENTED_EDGE('',*,*,#178490,.T.); #227967=ORIENTED_EDGE('',*,*,#178489,.T.); #227968=ORIENTED_EDGE('',*,*,#178491,.F.); #227969=ORIENTED_EDGE('',*,*,#178492,.F.); #227970=ORIENTED_EDGE('',*,*,#178493,.T.); #227971=ORIENTED_EDGE('',*,*,#178492,.T.); #227972=ORIENTED_EDGE('',*,*,#178494,.F.); #227973=ORIENTED_EDGE('',*,*,#178495,.F.); #227974=ORIENTED_EDGE('',*,*,#178496,.T.); #227975=ORIENTED_EDGE('',*,*,#178495,.T.); #227976=ORIENTED_EDGE('',*,*,#178497,.F.); #227977=ORIENTED_EDGE('',*,*,#178498,.F.); #227978=ORIENTED_EDGE('',*,*,#178499,.T.); #227979=ORIENTED_EDGE('',*,*,#178498,.T.); #227980=ORIENTED_EDGE('',*,*,#178500,.F.); #227981=ORIENTED_EDGE('',*,*,#178501,.F.); #227982=ORIENTED_EDGE('',*,*,#178502,.T.); #227983=ORIENTED_EDGE('',*,*,#178501,.T.); #227984=ORIENTED_EDGE('',*,*,#178503,.F.); #227985=ORIENTED_EDGE('',*,*,#178504,.F.); #227986=ORIENTED_EDGE('',*,*,#178505,.T.); #227987=ORIENTED_EDGE('',*,*,#178504,.T.); #227988=ORIENTED_EDGE('',*,*,#178506,.F.); #227989=ORIENTED_EDGE('',*,*,#178507,.F.); #227990=ORIENTED_EDGE('',*,*,#178508,.T.); #227991=ORIENTED_EDGE('',*,*,#178507,.T.); #227992=ORIENTED_EDGE('',*,*,#178509,.F.); #227993=ORIENTED_EDGE('',*,*,#178510,.F.); #227994=ORIENTED_EDGE('',*,*,#178511,.T.); #227995=ORIENTED_EDGE('',*,*,#178510,.T.); #227996=ORIENTED_EDGE('',*,*,#178512,.F.); #227997=ORIENTED_EDGE('',*,*,#178513,.F.); #227998=ORIENTED_EDGE('',*,*,#178514,.T.); #227999=ORIENTED_EDGE('',*,*,#178513,.T.); #228000=ORIENTED_EDGE('',*,*,#178515,.F.); #228001=ORIENTED_EDGE('',*,*,#178516,.F.); #228002=ORIENTED_EDGE('',*,*,#178517,.T.); #228003=ORIENTED_EDGE('',*,*,#178516,.T.); #228004=ORIENTED_EDGE('',*,*,#178518,.F.); #228005=ORIENTED_EDGE('',*,*,#178519,.F.); #228006=ORIENTED_EDGE('',*,*,#178520,.T.); #228007=ORIENTED_EDGE('',*,*,#178519,.T.); #228008=ORIENTED_EDGE('',*,*,#178521,.F.); #228009=ORIENTED_EDGE('',*,*,#178522,.F.); #228010=ORIENTED_EDGE('',*,*,#178523,.T.); #228011=ORIENTED_EDGE('',*,*,#178522,.T.); #228012=ORIENTED_EDGE('',*,*,#178524,.F.); #228013=ORIENTED_EDGE('',*,*,#178525,.F.); #228014=ORIENTED_EDGE('',*,*,#178526,.T.); #228015=ORIENTED_EDGE('',*,*,#178525,.T.); #228016=ORIENTED_EDGE('',*,*,#178527,.F.); #228017=ORIENTED_EDGE('',*,*,#178528,.F.); #228018=ORIENTED_EDGE('',*,*,#178529,.T.); #228019=ORIENTED_EDGE('',*,*,#178528,.T.); #228020=ORIENTED_EDGE('',*,*,#178530,.F.); #228021=ORIENTED_EDGE('',*,*,#178531,.F.); #228022=ORIENTED_EDGE('',*,*,#178532,.T.); #228023=ORIENTED_EDGE('',*,*,#178531,.T.); #228024=ORIENTED_EDGE('',*,*,#178533,.F.); #228025=ORIENTED_EDGE('',*,*,#178534,.F.); #228026=ORIENTED_EDGE('',*,*,#178535,.T.); #228027=ORIENTED_EDGE('',*,*,#178534,.T.); #228028=ORIENTED_EDGE('',*,*,#178536,.F.); #228029=ORIENTED_EDGE('',*,*,#178537,.F.); #228030=ORIENTED_EDGE('',*,*,#178538,.T.); #228031=ORIENTED_EDGE('',*,*,#178537,.T.); #228032=ORIENTED_EDGE('',*,*,#178539,.F.); #228033=ORIENTED_EDGE('',*,*,#178540,.F.); #228034=ORIENTED_EDGE('',*,*,#178541,.T.); #228035=ORIENTED_EDGE('',*,*,#178540,.T.); #228036=ORIENTED_EDGE('',*,*,#178542,.F.); #228037=ORIENTED_EDGE('',*,*,#178543,.F.); #228038=ORIENTED_EDGE('',*,*,#178544,.T.); #228039=ORIENTED_EDGE('',*,*,#178543,.T.); #228040=ORIENTED_EDGE('',*,*,#178545,.F.); #228041=ORIENTED_EDGE('',*,*,#178546,.F.); #228042=ORIENTED_EDGE('',*,*,#178547,.T.); #228043=ORIENTED_EDGE('',*,*,#178546,.T.); #228044=ORIENTED_EDGE('',*,*,#178548,.F.); #228045=ORIENTED_EDGE('',*,*,#178484,.F.); #228046=ORIENTED_EDGE('',*,*,#178548,.T.); #228047=ORIENTED_EDGE('',*,*,#178545,.T.); #228048=ORIENTED_EDGE('',*,*,#178542,.T.); #228049=ORIENTED_EDGE('',*,*,#178539,.T.); #228050=ORIENTED_EDGE('',*,*,#178536,.T.); #228051=ORIENTED_EDGE('',*,*,#178533,.T.); #228052=ORIENTED_EDGE('',*,*,#178530,.T.); #228053=ORIENTED_EDGE('',*,*,#178527,.T.); #228054=ORIENTED_EDGE('',*,*,#178524,.T.); #228055=ORIENTED_EDGE('',*,*,#178521,.T.); #228056=ORIENTED_EDGE('',*,*,#178518,.T.); #228057=ORIENTED_EDGE('',*,*,#178515,.T.); #228058=ORIENTED_EDGE('',*,*,#178512,.T.); #228059=ORIENTED_EDGE('',*,*,#178509,.T.); #228060=ORIENTED_EDGE('',*,*,#178506,.T.); #228061=ORIENTED_EDGE('',*,*,#178503,.T.); #228062=ORIENTED_EDGE('',*,*,#178500,.T.); #228063=ORIENTED_EDGE('',*,*,#178497,.T.); #228064=ORIENTED_EDGE('',*,*,#178494,.T.); #228065=ORIENTED_EDGE('',*,*,#178491,.T.); #228066=ORIENTED_EDGE('',*,*,#178488,.T.); #228067=ORIENTED_EDGE('',*,*,#178485,.T.); #228068=ORIENTED_EDGE('',*,*,#178482,.T.); #228069=ORIENTED_EDGE('',*,*,#178547,.F.); #228070=ORIENTED_EDGE('',*,*,#178483,.F.); #228071=ORIENTED_EDGE('',*,*,#178487,.F.); #228072=ORIENTED_EDGE('',*,*,#178490,.F.); #228073=ORIENTED_EDGE('',*,*,#178493,.F.); #228074=ORIENTED_EDGE('',*,*,#178496,.F.); #228075=ORIENTED_EDGE('',*,*,#178499,.F.); #228076=ORIENTED_EDGE('',*,*,#178502,.F.); #228077=ORIENTED_EDGE('',*,*,#178505,.F.); #228078=ORIENTED_EDGE('',*,*,#178508,.F.); #228079=ORIENTED_EDGE('',*,*,#178511,.F.); #228080=ORIENTED_EDGE('',*,*,#178514,.F.); #228081=ORIENTED_EDGE('',*,*,#178517,.F.); #228082=ORIENTED_EDGE('',*,*,#178520,.F.); #228083=ORIENTED_EDGE('',*,*,#178523,.F.); #228084=ORIENTED_EDGE('',*,*,#178526,.F.); #228085=ORIENTED_EDGE('',*,*,#178529,.F.); #228086=ORIENTED_EDGE('',*,*,#178532,.F.); #228087=ORIENTED_EDGE('',*,*,#178535,.F.); #228088=ORIENTED_EDGE('',*,*,#178538,.F.); #228089=ORIENTED_EDGE('',*,*,#178541,.F.); #228090=ORIENTED_EDGE('',*,*,#178544,.F.); #228091=ORIENTED_EDGE('',*,*,#178480,.T.); #228092=ORIENTED_EDGE('',*,*,#178549,.F.); #228093=ORIENTED_EDGE('',*,*,#178550,.T.); #228094=ORIENTED_EDGE('',*,*,#178551,.F.); #228095=ORIENTED_EDGE('',*,*,#178550,.F.); #228096=ORIENTED_EDGE('',*,*,#178552,.T.); #228097=ORIENTED_EDGE('',*,*,#178553,.T.); #228098=ORIENTED_EDGE('',*,*,#178554,.F.); #228099=ORIENTED_EDGE('',*,*,#178555,.F.); #228100=ORIENTED_EDGE('',*,*,#178556,.T.); #228101=ORIENTED_EDGE('',*,*,#178555,.T.); #228102=ORIENTED_EDGE('',*,*,#178557,.F.); #228103=ORIENTED_EDGE('',*,*,#178558,.F.); #228104=ORIENTED_EDGE('',*,*,#178559,.T.); #228105=ORIENTED_EDGE('',*,*,#178558,.T.); #228106=ORIENTED_EDGE('',*,*,#178560,.F.); #228107=ORIENTED_EDGE('',*,*,#178561,.F.); #228108=ORIENTED_EDGE('',*,*,#178562,.T.); #228109=ORIENTED_EDGE('',*,*,#178561,.T.); #228110=ORIENTED_EDGE('',*,*,#178563,.F.); #228111=ORIENTED_EDGE('',*,*,#178564,.F.); #228112=ORIENTED_EDGE('',*,*,#178565,.T.); #228113=ORIENTED_EDGE('',*,*,#178564,.T.); #228114=ORIENTED_EDGE('',*,*,#178566,.F.); #228115=ORIENTED_EDGE('',*,*,#178567,.F.); #228116=ORIENTED_EDGE('',*,*,#178568,.T.); #228117=ORIENTED_EDGE('',*,*,#178567,.T.); #228118=ORIENTED_EDGE('',*,*,#178569,.F.); #228119=ORIENTED_EDGE('',*,*,#178570,.F.); #228120=ORIENTED_EDGE('',*,*,#178571,.T.); #228121=ORIENTED_EDGE('',*,*,#178570,.T.); #228122=ORIENTED_EDGE('',*,*,#178572,.F.); #228123=ORIENTED_EDGE('',*,*,#178573,.F.); #228124=ORIENTED_EDGE('',*,*,#178574,.T.); #228125=ORIENTED_EDGE('',*,*,#178573,.T.); #228126=ORIENTED_EDGE('',*,*,#178575,.F.); #228127=ORIENTED_EDGE('',*,*,#178576,.F.); #228128=ORIENTED_EDGE('',*,*,#178577,.T.); #228129=ORIENTED_EDGE('',*,*,#178576,.T.); #228130=ORIENTED_EDGE('',*,*,#178578,.F.); #228131=ORIENTED_EDGE('',*,*,#178579,.F.); #228132=ORIENTED_EDGE('',*,*,#178580,.T.); #228133=ORIENTED_EDGE('',*,*,#178579,.T.); #228134=ORIENTED_EDGE('',*,*,#178581,.F.); #228135=ORIENTED_EDGE('',*,*,#178582,.F.); #228136=ORIENTED_EDGE('',*,*,#178583,.T.); #228137=ORIENTED_EDGE('',*,*,#178582,.T.); #228138=ORIENTED_EDGE('',*,*,#178584,.F.); #228139=ORIENTED_EDGE('',*,*,#178585,.F.); #228140=ORIENTED_EDGE('',*,*,#178586,.T.); #228141=ORIENTED_EDGE('',*,*,#178585,.T.); #228142=ORIENTED_EDGE('',*,*,#178587,.F.); #228143=ORIENTED_EDGE('',*,*,#178588,.F.); #228144=ORIENTED_EDGE('',*,*,#178589,.T.); #228145=ORIENTED_EDGE('',*,*,#178588,.T.); #228146=ORIENTED_EDGE('',*,*,#178590,.F.); #228147=ORIENTED_EDGE('',*,*,#178591,.F.); #228148=ORIENTED_EDGE('',*,*,#178592,.T.); #228149=ORIENTED_EDGE('',*,*,#178591,.T.); #228150=ORIENTED_EDGE('',*,*,#178593,.F.); #228151=ORIENTED_EDGE('',*,*,#178594,.F.); #228152=ORIENTED_EDGE('',*,*,#178595,.T.); #228153=ORIENTED_EDGE('',*,*,#178594,.T.); #228154=ORIENTED_EDGE('',*,*,#178596,.F.); #228155=ORIENTED_EDGE('',*,*,#178597,.F.); #228156=ORIENTED_EDGE('',*,*,#178598,.T.); #228157=ORIENTED_EDGE('',*,*,#178597,.T.); #228158=ORIENTED_EDGE('',*,*,#178599,.F.); #228159=ORIENTED_EDGE('',*,*,#178553,.F.); #228160=ORIENTED_EDGE('',*,*,#178599,.T.); #228161=ORIENTED_EDGE('',*,*,#178596,.T.); #228162=ORIENTED_EDGE('',*,*,#178593,.T.); #228163=ORIENTED_EDGE('',*,*,#178590,.T.); #228164=ORIENTED_EDGE('',*,*,#178587,.T.); #228165=ORIENTED_EDGE('',*,*,#178584,.T.); #228166=ORIENTED_EDGE('',*,*,#178581,.T.); #228167=ORIENTED_EDGE('',*,*,#178578,.T.); #228168=ORIENTED_EDGE('',*,*,#178575,.T.); #228169=ORIENTED_EDGE('',*,*,#178572,.T.); #228170=ORIENTED_EDGE('',*,*,#178569,.T.); #228171=ORIENTED_EDGE('',*,*,#178566,.T.); #228172=ORIENTED_EDGE('',*,*,#178563,.T.); #228173=ORIENTED_EDGE('',*,*,#178560,.T.); #228174=ORIENTED_EDGE('',*,*,#178557,.T.); #228175=ORIENTED_EDGE('',*,*,#178554,.T.); #228176=ORIENTED_EDGE('',*,*,#178551,.T.); #228177=ORIENTED_EDGE('',*,*,#178598,.F.); #228178=ORIENTED_EDGE('',*,*,#178552,.F.); #228179=ORIENTED_EDGE('',*,*,#178556,.F.); #228180=ORIENTED_EDGE('',*,*,#178559,.F.); #228181=ORIENTED_EDGE('',*,*,#178562,.F.); #228182=ORIENTED_EDGE('',*,*,#178565,.F.); #228183=ORIENTED_EDGE('',*,*,#178568,.F.); #228184=ORIENTED_EDGE('',*,*,#178571,.F.); #228185=ORIENTED_EDGE('',*,*,#178574,.F.); #228186=ORIENTED_EDGE('',*,*,#178577,.F.); #228187=ORIENTED_EDGE('',*,*,#178580,.F.); #228188=ORIENTED_EDGE('',*,*,#178583,.F.); #228189=ORIENTED_EDGE('',*,*,#178586,.F.); #228190=ORIENTED_EDGE('',*,*,#178589,.F.); #228191=ORIENTED_EDGE('',*,*,#178592,.F.); #228192=ORIENTED_EDGE('',*,*,#178595,.F.); #228193=ORIENTED_EDGE('',*,*,#178549,.T.); #228194=ORIENTED_EDGE('',*,*,#178600,.F.); #228195=ORIENTED_EDGE('',*,*,#178601,.T.); #228196=ORIENTED_EDGE('',*,*,#178602,.F.); #228197=ORIENTED_EDGE('',*,*,#178601,.F.); #228198=ORIENTED_EDGE('',*,*,#178603,.T.); #228199=ORIENTED_EDGE('',*,*,#178604,.T.); #228200=ORIENTED_EDGE('',*,*,#178605,.F.); #228201=ORIENTED_EDGE('',*,*,#178606,.F.); #228202=ORIENTED_EDGE('',*,*,#178607,.T.); #228203=ORIENTED_EDGE('',*,*,#178606,.T.); #228204=ORIENTED_EDGE('',*,*,#178608,.F.); #228205=ORIENTED_EDGE('',*,*,#178609,.F.); #228206=ORIENTED_EDGE('',*,*,#178610,.T.); #228207=ORIENTED_EDGE('',*,*,#178609,.T.); #228208=ORIENTED_EDGE('',*,*,#178611,.F.); #228209=ORIENTED_EDGE('',*,*,#178612,.F.); #228210=ORIENTED_EDGE('',*,*,#178613,.T.); #228211=ORIENTED_EDGE('',*,*,#178612,.T.); #228212=ORIENTED_EDGE('',*,*,#178614,.F.); #228213=ORIENTED_EDGE('',*,*,#178615,.F.); #228214=ORIENTED_EDGE('',*,*,#178616,.T.); #228215=ORIENTED_EDGE('',*,*,#178615,.T.); #228216=ORIENTED_EDGE('',*,*,#178617,.F.); #228217=ORIENTED_EDGE('',*,*,#178618,.F.); #228218=ORIENTED_EDGE('',*,*,#178619,.T.); #228219=ORIENTED_EDGE('',*,*,#178618,.T.); #228220=ORIENTED_EDGE('',*,*,#178620,.F.); #228221=ORIENTED_EDGE('',*,*,#178621,.F.); #228222=ORIENTED_EDGE('',*,*,#178622,.T.); #228223=ORIENTED_EDGE('',*,*,#178621,.T.); #228224=ORIENTED_EDGE('',*,*,#178623,.F.); #228225=ORIENTED_EDGE('',*,*,#178624,.F.); #228226=ORIENTED_EDGE('',*,*,#178625,.T.); #228227=ORIENTED_EDGE('',*,*,#178624,.T.); #228228=ORIENTED_EDGE('',*,*,#178626,.F.); #228229=ORIENTED_EDGE('',*,*,#178627,.F.); #228230=ORIENTED_EDGE('',*,*,#178628,.T.); #228231=ORIENTED_EDGE('',*,*,#178627,.T.); #228232=ORIENTED_EDGE('',*,*,#178629,.F.); #228233=ORIENTED_EDGE('',*,*,#178630,.F.); #228234=ORIENTED_EDGE('',*,*,#178631,.T.); #228235=ORIENTED_EDGE('',*,*,#178630,.T.); #228236=ORIENTED_EDGE('',*,*,#178632,.F.); #228237=ORIENTED_EDGE('',*,*,#178633,.F.); #228238=ORIENTED_EDGE('',*,*,#178634,.T.); #228239=ORIENTED_EDGE('',*,*,#178633,.T.); #228240=ORIENTED_EDGE('',*,*,#178635,.F.); #228241=ORIENTED_EDGE('',*,*,#178636,.F.); #228242=ORIENTED_EDGE('',*,*,#178637,.T.); #228243=ORIENTED_EDGE('',*,*,#178636,.T.); #228244=ORIENTED_EDGE('',*,*,#178638,.F.); #228245=ORIENTED_EDGE('',*,*,#178604,.F.); #228246=ORIENTED_EDGE('',*,*,#178638,.T.); #228247=ORIENTED_EDGE('',*,*,#178635,.T.); #228248=ORIENTED_EDGE('',*,*,#178632,.T.); #228249=ORIENTED_EDGE('',*,*,#178629,.T.); #228250=ORIENTED_EDGE('',*,*,#178626,.T.); #228251=ORIENTED_EDGE('',*,*,#178623,.T.); #228252=ORIENTED_EDGE('',*,*,#178620,.T.); #228253=ORIENTED_EDGE('',*,*,#178617,.T.); #228254=ORIENTED_EDGE('',*,*,#178614,.T.); #228255=ORIENTED_EDGE('',*,*,#178611,.T.); #228256=ORIENTED_EDGE('',*,*,#178608,.T.); #228257=ORIENTED_EDGE('',*,*,#178605,.T.); #228258=ORIENTED_EDGE('',*,*,#178602,.T.); #228259=ORIENTED_EDGE('',*,*,#178637,.F.); #228260=ORIENTED_EDGE('',*,*,#178603,.F.); #228261=ORIENTED_EDGE('',*,*,#178607,.F.); #228262=ORIENTED_EDGE('',*,*,#178610,.F.); #228263=ORIENTED_EDGE('',*,*,#178613,.F.); #228264=ORIENTED_EDGE('',*,*,#178616,.F.); #228265=ORIENTED_EDGE('',*,*,#178619,.F.); #228266=ORIENTED_EDGE('',*,*,#178622,.F.); #228267=ORIENTED_EDGE('',*,*,#178625,.F.); #228268=ORIENTED_EDGE('',*,*,#178628,.F.); #228269=ORIENTED_EDGE('',*,*,#178631,.F.); #228270=ORIENTED_EDGE('',*,*,#178634,.F.); #228271=ORIENTED_EDGE('',*,*,#178600,.T.); #228272=ORIENTED_EDGE('',*,*,#178639,.F.); #228273=ORIENTED_EDGE('',*,*,#178640,.T.); #228274=ORIENTED_EDGE('',*,*,#178641,.F.); #228275=ORIENTED_EDGE('',*,*,#178640,.F.); #228276=ORIENTED_EDGE('',*,*,#178642,.T.); #228277=ORIENTED_EDGE('',*,*,#178643,.T.); #228278=ORIENTED_EDGE('',*,*,#178644,.F.); #228279=ORIENTED_EDGE('',*,*,#178645,.F.); #228280=ORIENTED_EDGE('',*,*,#178646,.T.); #228281=ORIENTED_EDGE('',*,*,#178645,.T.); #228282=ORIENTED_EDGE('',*,*,#178647,.F.); #228283=ORIENTED_EDGE('',*,*,#178648,.F.); #228284=ORIENTED_EDGE('',*,*,#178649,.T.); #228285=ORIENTED_EDGE('',*,*,#178648,.T.); #228286=ORIENTED_EDGE('',*,*,#178650,.F.); #228287=ORIENTED_EDGE('',*,*,#178651,.F.); #228288=ORIENTED_EDGE('',*,*,#178652,.T.); #228289=ORIENTED_EDGE('',*,*,#178651,.T.); #228290=ORIENTED_EDGE('',*,*,#178653,.F.); #228291=ORIENTED_EDGE('',*,*,#178654,.F.); #228292=ORIENTED_EDGE('',*,*,#178655,.T.); #228293=ORIENTED_EDGE('',*,*,#178654,.T.); #228294=ORIENTED_EDGE('',*,*,#178656,.F.); #228295=ORIENTED_EDGE('',*,*,#178657,.F.); #228296=ORIENTED_EDGE('',*,*,#178658,.T.); #228297=ORIENTED_EDGE('',*,*,#178657,.T.); #228298=ORIENTED_EDGE('',*,*,#178659,.F.); #228299=ORIENTED_EDGE('',*,*,#178660,.F.); #228300=ORIENTED_EDGE('',*,*,#178661,.T.); #228301=ORIENTED_EDGE('',*,*,#178660,.T.); #228302=ORIENTED_EDGE('',*,*,#178662,.F.); #228303=ORIENTED_EDGE('',*,*,#178663,.F.); #228304=ORIENTED_EDGE('',*,*,#178664,.T.); #228305=ORIENTED_EDGE('',*,*,#178663,.T.); #228306=ORIENTED_EDGE('',*,*,#178665,.F.); #228307=ORIENTED_EDGE('',*,*,#178666,.F.); #228308=ORIENTED_EDGE('',*,*,#178667,.T.); #228309=ORIENTED_EDGE('',*,*,#178666,.T.); #228310=ORIENTED_EDGE('',*,*,#178668,.F.); #228311=ORIENTED_EDGE('',*,*,#178669,.F.); #228312=ORIENTED_EDGE('',*,*,#178670,.T.); #228313=ORIENTED_EDGE('',*,*,#178669,.T.); #228314=ORIENTED_EDGE('',*,*,#178671,.F.); #228315=ORIENTED_EDGE('',*,*,#178672,.F.); #228316=ORIENTED_EDGE('',*,*,#178673,.T.); #228317=ORIENTED_EDGE('',*,*,#178672,.T.); #228318=ORIENTED_EDGE('',*,*,#178674,.F.); #228319=ORIENTED_EDGE('',*,*,#178675,.F.); #228320=ORIENTED_EDGE('',*,*,#178676,.T.); #228321=ORIENTED_EDGE('',*,*,#178675,.T.); #228322=ORIENTED_EDGE('',*,*,#178677,.F.); #228323=ORIENTED_EDGE('',*,*,#178678,.F.); #228324=ORIENTED_EDGE('',*,*,#178679,.T.); #228325=ORIENTED_EDGE('',*,*,#178678,.T.); #228326=ORIENTED_EDGE('',*,*,#178680,.F.); #228327=ORIENTED_EDGE('',*,*,#178681,.F.); #228328=ORIENTED_EDGE('',*,*,#178682,.T.); #228329=ORIENTED_EDGE('',*,*,#178681,.T.); #228330=ORIENTED_EDGE('',*,*,#178683,.F.); #228331=ORIENTED_EDGE('',*,*,#178643,.F.); #228332=ORIENTED_EDGE('',*,*,#178683,.T.); #228333=ORIENTED_EDGE('',*,*,#178680,.T.); #228334=ORIENTED_EDGE('',*,*,#178677,.T.); #228335=ORIENTED_EDGE('',*,*,#178674,.T.); #228336=ORIENTED_EDGE('',*,*,#178671,.T.); #228337=ORIENTED_EDGE('',*,*,#178668,.T.); #228338=ORIENTED_EDGE('',*,*,#178665,.T.); #228339=ORIENTED_EDGE('',*,*,#178662,.T.); #228340=ORIENTED_EDGE('',*,*,#178659,.T.); #228341=ORIENTED_EDGE('',*,*,#178656,.T.); #228342=ORIENTED_EDGE('',*,*,#178653,.T.); #228343=ORIENTED_EDGE('',*,*,#178650,.T.); #228344=ORIENTED_EDGE('',*,*,#178647,.T.); #228345=ORIENTED_EDGE('',*,*,#178644,.T.); #228346=ORIENTED_EDGE('',*,*,#178641,.T.); #228347=ORIENTED_EDGE('',*,*,#178682,.F.); #228348=ORIENTED_EDGE('',*,*,#178642,.F.); #228349=ORIENTED_EDGE('',*,*,#178646,.F.); #228350=ORIENTED_EDGE('',*,*,#178649,.F.); #228351=ORIENTED_EDGE('',*,*,#178652,.F.); #228352=ORIENTED_EDGE('',*,*,#178655,.F.); #228353=ORIENTED_EDGE('',*,*,#178658,.F.); #228354=ORIENTED_EDGE('',*,*,#178661,.F.); #228355=ORIENTED_EDGE('',*,*,#178664,.F.); #228356=ORIENTED_EDGE('',*,*,#178667,.F.); #228357=ORIENTED_EDGE('',*,*,#178670,.F.); #228358=ORIENTED_EDGE('',*,*,#178673,.F.); #228359=ORIENTED_EDGE('',*,*,#178676,.F.); #228360=ORIENTED_EDGE('',*,*,#178679,.F.); #228361=ORIENTED_EDGE('',*,*,#178639,.T.); #228362=ORIENTED_EDGE('',*,*,#178684,.F.); #228363=ORIENTED_EDGE('',*,*,#178685,.T.); #228364=ORIENTED_EDGE('',*,*,#178686,.F.); #228365=ORIENTED_EDGE('',*,*,#178685,.F.); #228366=ORIENTED_EDGE('',*,*,#178687,.F.); #228367=ORIENTED_EDGE('',*,*,#178688,.T.); #228368=ORIENTED_EDGE('',*,*,#178689,.F.); #228369=ORIENTED_EDGE('',*,*,#178688,.F.); #228370=ORIENTED_EDGE('',*,*,#178690,.T.); #228371=ORIENTED_EDGE('',*,*,#178691,.T.); #228372=ORIENTED_EDGE('',*,*,#178692,.F.); #228373=ORIENTED_EDGE('',*,*,#178693,.F.); #228374=ORIENTED_EDGE('',*,*,#178694,.T.); #228375=ORIENTED_EDGE('',*,*,#178693,.T.); #228376=ORIENTED_EDGE('',*,*,#178695,.F.); #228377=ORIENTED_EDGE('',*,*,#178696,.F.); #228378=ORIENTED_EDGE('',*,*,#178697,.T.); #228379=ORIENTED_EDGE('',*,*,#178696,.T.); #228380=ORIENTED_EDGE('',*,*,#178698,.F.); #228381=ORIENTED_EDGE('',*,*,#178699,.F.); #228382=ORIENTED_EDGE('',*,*,#178700,.T.); #228383=ORIENTED_EDGE('',*,*,#178699,.T.); #228384=ORIENTED_EDGE('',*,*,#178701,.F.); #228385=ORIENTED_EDGE('',*,*,#178702,.F.); #228386=ORIENTED_EDGE('',*,*,#178703,.T.); #228387=ORIENTED_EDGE('',*,*,#178702,.T.); #228388=ORIENTED_EDGE('',*,*,#178704,.F.); #228389=ORIENTED_EDGE('',*,*,#178705,.F.); #228390=ORIENTED_EDGE('',*,*,#178706,.T.); #228391=ORIENTED_EDGE('',*,*,#178705,.T.); #228392=ORIENTED_EDGE('',*,*,#178707,.F.); #228393=ORIENTED_EDGE('',*,*,#178708,.F.); #228394=ORIENTED_EDGE('',*,*,#178709,.T.); #228395=ORIENTED_EDGE('',*,*,#178708,.T.); #228396=ORIENTED_EDGE('',*,*,#178710,.F.); #228397=ORIENTED_EDGE('',*,*,#178711,.F.); #228398=ORIENTED_EDGE('',*,*,#178712,.T.); #228399=ORIENTED_EDGE('',*,*,#178711,.T.); #228400=ORIENTED_EDGE('',*,*,#178713,.F.); #228401=ORIENTED_EDGE('',*,*,#178691,.F.); #228402=ORIENTED_EDGE('',*,*,#178713,.T.); #228403=ORIENTED_EDGE('',*,*,#178710,.T.); #228404=ORIENTED_EDGE('',*,*,#178707,.T.); #228405=ORIENTED_EDGE('',*,*,#178704,.T.); #228406=ORIENTED_EDGE('',*,*,#178701,.T.); #228407=ORIENTED_EDGE('',*,*,#178698,.T.); #228408=ORIENTED_EDGE('',*,*,#178695,.T.); #228409=ORIENTED_EDGE('',*,*,#178692,.T.); #228410=ORIENTED_EDGE('',*,*,#178686,.T.); #228411=ORIENTED_EDGE('',*,*,#178689,.T.); #228412=ORIENTED_EDGE('',*,*,#178712,.F.); #228413=ORIENTED_EDGE('',*,*,#178690,.F.); #228414=ORIENTED_EDGE('',*,*,#178694,.F.); #228415=ORIENTED_EDGE('',*,*,#178697,.F.); #228416=ORIENTED_EDGE('',*,*,#178700,.F.); #228417=ORIENTED_EDGE('',*,*,#178703,.F.); #228418=ORIENTED_EDGE('',*,*,#178706,.F.); #228419=ORIENTED_EDGE('',*,*,#178709,.F.); #228420=ORIENTED_EDGE('',*,*,#178684,.T.); #228421=ORIENTED_EDGE('',*,*,#178687,.T.); #228422=ORIENTED_EDGE('',*,*,#178714,.F.); #228423=ORIENTED_EDGE('',*,*,#178715,.T.); #228424=ORIENTED_EDGE('',*,*,#178716,.F.); #228425=ORIENTED_EDGE('',*,*,#178715,.F.); #228426=ORIENTED_EDGE('',*,*,#178717,.T.); #228427=ORIENTED_EDGE('',*,*,#178718,.T.); #228428=ORIENTED_EDGE('',*,*,#178719,.F.); #228429=ORIENTED_EDGE('',*,*,#178720,.F.); #228430=ORIENTED_EDGE('',*,*,#178721,.T.); #228431=ORIENTED_EDGE('',*,*,#178720,.T.); #228432=ORIENTED_EDGE('',*,*,#178722,.F.); #228433=ORIENTED_EDGE('',*,*,#178723,.F.); #228434=ORIENTED_EDGE('',*,*,#178724,.T.); #228435=ORIENTED_EDGE('',*,*,#178723,.T.); #228436=ORIENTED_EDGE('',*,*,#178725,.F.); #228437=ORIENTED_EDGE('',*,*,#178726,.F.); #228438=ORIENTED_EDGE('',*,*,#178727,.T.); #228439=ORIENTED_EDGE('',*,*,#178726,.T.); #228440=ORIENTED_EDGE('',*,*,#178728,.F.); #228441=ORIENTED_EDGE('',*,*,#178729,.F.); #228442=ORIENTED_EDGE('',*,*,#178730,.T.); #228443=ORIENTED_EDGE('',*,*,#178729,.T.); #228444=ORIENTED_EDGE('',*,*,#178731,.F.); #228445=ORIENTED_EDGE('',*,*,#178732,.F.); #228446=ORIENTED_EDGE('',*,*,#178733,.T.); #228447=ORIENTED_EDGE('',*,*,#178732,.T.); #228448=ORIENTED_EDGE('',*,*,#178734,.F.); #228449=ORIENTED_EDGE('',*,*,#178735,.F.); #228450=ORIENTED_EDGE('',*,*,#178736,.T.); #228451=ORIENTED_EDGE('',*,*,#178735,.T.); #228452=ORIENTED_EDGE('',*,*,#178737,.F.); #228453=ORIENTED_EDGE('',*,*,#178738,.F.); #228454=ORIENTED_EDGE('',*,*,#178739,.T.); #228455=ORIENTED_EDGE('',*,*,#178738,.T.); #228456=ORIENTED_EDGE('',*,*,#178740,.F.); #228457=ORIENTED_EDGE('',*,*,#178741,.F.); #228458=ORIENTED_EDGE('',*,*,#178742,.T.); #228459=ORIENTED_EDGE('',*,*,#178741,.T.); #228460=ORIENTED_EDGE('',*,*,#178743,.F.); #228461=ORIENTED_EDGE('',*,*,#178744,.F.); #228462=ORIENTED_EDGE('',*,*,#178745,.T.); #228463=ORIENTED_EDGE('',*,*,#178744,.T.); #228464=ORIENTED_EDGE('',*,*,#178746,.F.); #228465=ORIENTED_EDGE('',*,*,#178747,.F.); #228466=ORIENTED_EDGE('',*,*,#178748,.T.); #228467=ORIENTED_EDGE('',*,*,#178747,.T.); #228468=ORIENTED_EDGE('',*,*,#178749,.F.); #228469=ORIENTED_EDGE('',*,*,#178750,.F.); #228470=ORIENTED_EDGE('',*,*,#178751,.T.); #228471=ORIENTED_EDGE('',*,*,#178750,.T.); #228472=ORIENTED_EDGE('',*,*,#178752,.F.); #228473=ORIENTED_EDGE('',*,*,#178753,.F.); #228474=ORIENTED_EDGE('',*,*,#178754,.T.); #228475=ORIENTED_EDGE('',*,*,#178753,.T.); #228476=ORIENTED_EDGE('',*,*,#178755,.F.); #228477=ORIENTED_EDGE('',*,*,#178756,.F.); #228478=ORIENTED_EDGE('',*,*,#178757,.T.); #228479=ORIENTED_EDGE('',*,*,#178756,.T.); #228480=ORIENTED_EDGE('',*,*,#178758,.F.); #228481=ORIENTED_EDGE('',*,*,#178759,.F.); #228482=ORIENTED_EDGE('',*,*,#178760,.T.); #228483=ORIENTED_EDGE('',*,*,#178759,.T.); #228484=ORIENTED_EDGE('',*,*,#178761,.F.); #228485=ORIENTED_EDGE('',*,*,#178762,.F.); #228486=ORIENTED_EDGE('',*,*,#178763,.T.); #228487=ORIENTED_EDGE('',*,*,#178762,.T.); #228488=ORIENTED_EDGE('',*,*,#178764,.F.); #228489=ORIENTED_EDGE('',*,*,#178718,.F.); #228490=ORIENTED_EDGE('',*,*,#178764,.T.); #228491=ORIENTED_EDGE('',*,*,#178761,.T.); #228492=ORIENTED_EDGE('',*,*,#178758,.T.); #228493=ORIENTED_EDGE('',*,*,#178755,.T.); #228494=ORIENTED_EDGE('',*,*,#178752,.T.); #228495=ORIENTED_EDGE('',*,*,#178749,.T.); #228496=ORIENTED_EDGE('',*,*,#178746,.T.); #228497=ORIENTED_EDGE('',*,*,#178743,.T.); #228498=ORIENTED_EDGE('',*,*,#178740,.T.); #228499=ORIENTED_EDGE('',*,*,#178737,.T.); #228500=ORIENTED_EDGE('',*,*,#178734,.T.); #228501=ORIENTED_EDGE('',*,*,#178731,.T.); #228502=ORIENTED_EDGE('',*,*,#178728,.T.); #228503=ORIENTED_EDGE('',*,*,#178725,.T.); #228504=ORIENTED_EDGE('',*,*,#178722,.T.); #228505=ORIENTED_EDGE('',*,*,#178719,.T.); #228506=ORIENTED_EDGE('',*,*,#178716,.T.); #228507=ORIENTED_EDGE('',*,*,#178763,.F.); #228508=ORIENTED_EDGE('',*,*,#178717,.F.); #228509=ORIENTED_EDGE('',*,*,#178721,.F.); #228510=ORIENTED_EDGE('',*,*,#178724,.F.); #228511=ORIENTED_EDGE('',*,*,#178727,.F.); #228512=ORIENTED_EDGE('',*,*,#178730,.F.); #228513=ORIENTED_EDGE('',*,*,#178733,.F.); #228514=ORIENTED_EDGE('',*,*,#178736,.F.); #228515=ORIENTED_EDGE('',*,*,#178739,.F.); #228516=ORIENTED_EDGE('',*,*,#178742,.F.); #228517=ORIENTED_EDGE('',*,*,#178745,.F.); #228518=ORIENTED_EDGE('',*,*,#178748,.F.); #228519=ORIENTED_EDGE('',*,*,#178751,.F.); #228520=ORIENTED_EDGE('',*,*,#178754,.F.); #228521=ORIENTED_EDGE('',*,*,#178757,.F.); #228522=ORIENTED_EDGE('',*,*,#178760,.F.); #228523=ORIENTED_EDGE('',*,*,#178714,.T.); #228524=ORIENTED_EDGE('',*,*,#178765,.F.); #228525=ORIENTED_EDGE('',*,*,#178766,.T.); #228526=ORIENTED_EDGE('',*,*,#178767,.F.); #228527=ORIENTED_EDGE('',*,*,#178766,.F.); #228528=ORIENTED_EDGE('',*,*,#178768,.T.); #228529=ORIENTED_EDGE('',*,*,#178769,.T.); #228530=ORIENTED_EDGE('',*,*,#178770,.F.); #228531=ORIENTED_EDGE('',*,*,#178771,.F.); #228532=ORIENTED_EDGE('',*,*,#178772,.T.); #228533=ORIENTED_EDGE('',*,*,#178771,.T.); #228534=ORIENTED_EDGE('',*,*,#178773,.F.); #228535=ORIENTED_EDGE('',*,*,#178774,.F.); #228536=ORIENTED_EDGE('',*,*,#178775,.T.); #228537=ORIENTED_EDGE('',*,*,#178774,.T.); #228538=ORIENTED_EDGE('',*,*,#178776,.F.); #228539=ORIENTED_EDGE('',*,*,#178777,.F.); #228540=ORIENTED_EDGE('',*,*,#178778,.T.); #228541=ORIENTED_EDGE('',*,*,#178777,.T.); #228542=ORIENTED_EDGE('',*,*,#178779,.F.); #228543=ORIENTED_EDGE('',*,*,#178780,.F.); #228544=ORIENTED_EDGE('',*,*,#178781,.T.); #228545=ORIENTED_EDGE('',*,*,#178780,.T.); #228546=ORIENTED_EDGE('',*,*,#178782,.F.); #228547=ORIENTED_EDGE('',*,*,#178783,.F.); #228548=ORIENTED_EDGE('',*,*,#178784,.T.); #228549=ORIENTED_EDGE('',*,*,#178783,.T.); #228550=ORIENTED_EDGE('',*,*,#178785,.F.); #228551=ORIENTED_EDGE('',*,*,#178786,.F.); #228552=ORIENTED_EDGE('',*,*,#178787,.T.); #228553=ORIENTED_EDGE('',*,*,#178786,.T.); #228554=ORIENTED_EDGE('',*,*,#178788,.F.); #228555=ORIENTED_EDGE('',*,*,#178789,.F.); #228556=ORIENTED_EDGE('',*,*,#178790,.T.); #228557=ORIENTED_EDGE('',*,*,#178789,.T.); #228558=ORIENTED_EDGE('',*,*,#178791,.F.); #228559=ORIENTED_EDGE('',*,*,#178792,.F.); #228560=ORIENTED_EDGE('',*,*,#178793,.T.); #228561=ORIENTED_EDGE('',*,*,#178792,.T.); #228562=ORIENTED_EDGE('',*,*,#178794,.F.); #228563=ORIENTED_EDGE('',*,*,#178795,.F.); #228564=ORIENTED_EDGE('',*,*,#178796,.T.); #228565=ORIENTED_EDGE('',*,*,#178795,.T.); #228566=ORIENTED_EDGE('',*,*,#178797,.F.); #228567=ORIENTED_EDGE('',*,*,#178798,.F.); #228568=ORIENTED_EDGE('',*,*,#178799,.T.); #228569=ORIENTED_EDGE('',*,*,#178798,.T.); #228570=ORIENTED_EDGE('',*,*,#178800,.F.); #228571=ORIENTED_EDGE('',*,*,#178801,.F.); #228572=ORIENTED_EDGE('',*,*,#178802,.T.); #228573=ORIENTED_EDGE('',*,*,#178801,.T.); #228574=ORIENTED_EDGE('',*,*,#178803,.F.); #228575=ORIENTED_EDGE('',*,*,#178804,.F.); #228576=ORIENTED_EDGE('',*,*,#178805,.T.); #228577=ORIENTED_EDGE('',*,*,#178804,.T.); #228578=ORIENTED_EDGE('',*,*,#178806,.F.); #228579=ORIENTED_EDGE('',*,*,#178807,.F.); #228580=ORIENTED_EDGE('',*,*,#178808,.T.); #228581=ORIENTED_EDGE('',*,*,#178807,.T.); #228582=ORIENTED_EDGE('',*,*,#178809,.F.); #228583=ORIENTED_EDGE('',*,*,#178810,.F.); #228584=ORIENTED_EDGE('',*,*,#178811,.T.); #228585=ORIENTED_EDGE('',*,*,#178810,.T.); #228586=ORIENTED_EDGE('',*,*,#178812,.F.); #228587=ORIENTED_EDGE('',*,*,#178813,.F.); #228588=ORIENTED_EDGE('',*,*,#178814,.T.); #228589=ORIENTED_EDGE('',*,*,#178813,.T.); #228590=ORIENTED_EDGE('',*,*,#178815,.F.); #228591=ORIENTED_EDGE('',*,*,#178816,.F.); #228592=ORIENTED_EDGE('',*,*,#178817,.T.); #228593=ORIENTED_EDGE('',*,*,#178816,.T.); #228594=ORIENTED_EDGE('',*,*,#178818,.F.); #228595=ORIENTED_EDGE('',*,*,#178819,.F.); #228596=ORIENTED_EDGE('',*,*,#178820,.T.); #228597=ORIENTED_EDGE('',*,*,#178819,.T.); #228598=ORIENTED_EDGE('',*,*,#178821,.F.); #228599=ORIENTED_EDGE('',*,*,#178822,.F.); #228600=ORIENTED_EDGE('',*,*,#178823,.T.); #228601=ORIENTED_EDGE('',*,*,#178822,.T.); #228602=ORIENTED_EDGE('',*,*,#178824,.F.); #228603=ORIENTED_EDGE('',*,*,#178825,.F.); #228604=ORIENTED_EDGE('',*,*,#178826,.T.); #228605=ORIENTED_EDGE('',*,*,#178825,.T.); #228606=ORIENTED_EDGE('',*,*,#178827,.F.); #228607=ORIENTED_EDGE('',*,*,#178828,.F.); #228608=ORIENTED_EDGE('',*,*,#178829,.T.); #228609=ORIENTED_EDGE('',*,*,#178828,.T.); #228610=ORIENTED_EDGE('',*,*,#178830,.F.); #228611=ORIENTED_EDGE('',*,*,#178831,.F.); #228612=ORIENTED_EDGE('',*,*,#178832,.T.); #228613=ORIENTED_EDGE('',*,*,#178831,.T.); #228614=ORIENTED_EDGE('',*,*,#178833,.F.); #228615=ORIENTED_EDGE('',*,*,#178769,.F.); #228616=ORIENTED_EDGE('',*,*,#178833,.T.); #228617=ORIENTED_EDGE('',*,*,#178830,.T.); #228618=ORIENTED_EDGE('',*,*,#178827,.T.); #228619=ORIENTED_EDGE('',*,*,#178824,.T.); #228620=ORIENTED_EDGE('',*,*,#178821,.T.); #228621=ORIENTED_EDGE('',*,*,#178818,.T.); #228622=ORIENTED_EDGE('',*,*,#178815,.T.); #228623=ORIENTED_EDGE('',*,*,#178812,.T.); #228624=ORIENTED_EDGE('',*,*,#178809,.T.); #228625=ORIENTED_EDGE('',*,*,#178806,.T.); #228626=ORIENTED_EDGE('',*,*,#178803,.T.); #228627=ORIENTED_EDGE('',*,*,#178800,.T.); #228628=ORIENTED_EDGE('',*,*,#178797,.T.); #228629=ORIENTED_EDGE('',*,*,#178794,.T.); #228630=ORIENTED_EDGE('',*,*,#178791,.T.); #228631=ORIENTED_EDGE('',*,*,#178788,.T.); #228632=ORIENTED_EDGE('',*,*,#178785,.T.); #228633=ORIENTED_EDGE('',*,*,#178782,.T.); #228634=ORIENTED_EDGE('',*,*,#178779,.T.); #228635=ORIENTED_EDGE('',*,*,#178776,.T.); #228636=ORIENTED_EDGE('',*,*,#178773,.T.); #228637=ORIENTED_EDGE('',*,*,#178770,.T.); #228638=ORIENTED_EDGE('',*,*,#178767,.T.); #228639=ORIENTED_EDGE('',*,*,#178832,.F.); #228640=ORIENTED_EDGE('',*,*,#178768,.F.); #228641=ORIENTED_EDGE('',*,*,#178772,.F.); #228642=ORIENTED_EDGE('',*,*,#178775,.F.); #228643=ORIENTED_EDGE('',*,*,#178778,.F.); #228644=ORIENTED_EDGE('',*,*,#178781,.F.); #228645=ORIENTED_EDGE('',*,*,#178784,.F.); #228646=ORIENTED_EDGE('',*,*,#178787,.F.); #228647=ORIENTED_EDGE('',*,*,#178790,.F.); #228648=ORIENTED_EDGE('',*,*,#178793,.F.); #228649=ORIENTED_EDGE('',*,*,#178796,.F.); #228650=ORIENTED_EDGE('',*,*,#178799,.F.); #228651=ORIENTED_EDGE('',*,*,#178802,.F.); #228652=ORIENTED_EDGE('',*,*,#178805,.F.); #228653=ORIENTED_EDGE('',*,*,#178808,.F.); #228654=ORIENTED_EDGE('',*,*,#178811,.F.); #228655=ORIENTED_EDGE('',*,*,#178814,.F.); #228656=ORIENTED_EDGE('',*,*,#178817,.F.); #228657=ORIENTED_EDGE('',*,*,#178820,.F.); #228658=ORIENTED_EDGE('',*,*,#178823,.F.); #228659=ORIENTED_EDGE('',*,*,#178826,.F.); #228660=ORIENTED_EDGE('',*,*,#178829,.F.); #228661=ORIENTED_EDGE('',*,*,#178765,.T.); #228662=ORIENTED_EDGE('',*,*,#178834,.T.); #228663=ORIENTED_EDGE('',*,*,#178835,.T.); #228664=ORIENTED_EDGE('',*,*,#178836,.F.); #228665=ORIENTED_EDGE('',*,*,#178837,.F.); #228666=ORIENTED_EDGE('',*,*,#178838,.T.); #228667=ORIENTED_EDGE('',*,*,#178837,.T.); #228668=ORIENTED_EDGE('',*,*,#178839,.F.); #228669=ORIENTED_EDGE('',*,*,#178840,.F.); #228670=ORIENTED_EDGE('',*,*,#178841,.T.); #228671=ORIENTED_EDGE('',*,*,#178840,.T.); #228672=ORIENTED_EDGE('',*,*,#178842,.F.); #228673=ORIENTED_EDGE('',*,*,#178843,.F.); #228674=ORIENTED_EDGE('',*,*,#178844,.T.); #228675=ORIENTED_EDGE('',*,*,#178843,.T.); #228676=ORIENTED_EDGE('',*,*,#178845,.F.); #228677=ORIENTED_EDGE('',*,*,#178846,.F.); #228678=ORIENTED_EDGE('',*,*,#178847,.T.); #228679=ORIENTED_EDGE('',*,*,#178846,.T.); #228680=ORIENTED_EDGE('',*,*,#178848,.F.); #228681=ORIENTED_EDGE('',*,*,#178849,.F.); #228682=ORIENTED_EDGE('',*,*,#178850,.T.); #228683=ORIENTED_EDGE('',*,*,#178849,.T.); #228684=ORIENTED_EDGE('',*,*,#178851,.F.); #228685=ORIENTED_EDGE('',*,*,#178852,.F.); #228686=ORIENTED_EDGE('',*,*,#178853,.T.); #228687=ORIENTED_EDGE('',*,*,#178852,.T.); #228688=ORIENTED_EDGE('',*,*,#178854,.F.); #228689=ORIENTED_EDGE('',*,*,#178855,.F.); #228690=ORIENTED_EDGE('',*,*,#178856,.T.); #228691=ORIENTED_EDGE('',*,*,#178855,.T.); #228692=ORIENTED_EDGE('',*,*,#178857,.F.); #228693=ORIENTED_EDGE('',*,*,#178858,.F.); #228694=ORIENTED_EDGE('',*,*,#178859,.T.); #228695=ORIENTED_EDGE('',*,*,#178858,.T.); #228696=ORIENTED_EDGE('',*,*,#178860,.F.); #228697=ORIENTED_EDGE('',*,*,#178861,.F.); #228698=ORIENTED_EDGE('',*,*,#178862,.T.); #228699=ORIENTED_EDGE('',*,*,#178861,.T.); #228700=ORIENTED_EDGE('',*,*,#178863,.F.); #228701=ORIENTED_EDGE('',*,*,#178864,.F.); #228702=ORIENTED_EDGE('',*,*,#178865,.T.); #228703=ORIENTED_EDGE('',*,*,#178864,.T.); #228704=ORIENTED_EDGE('',*,*,#178866,.F.); #228705=ORIENTED_EDGE('',*,*,#178867,.F.); #228706=ORIENTED_EDGE('',*,*,#178868,.T.); #228707=ORIENTED_EDGE('',*,*,#178867,.T.); #228708=ORIENTED_EDGE('',*,*,#178869,.F.); #228709=ORIENTED_EDGE('',*,*,#178870,.F.); #228710=ORIENTED_EDGE('',*,*,#178871,.T.); #228711=ORIENTED_EDGE('',*,*,#178870,.T.); #228712=ORIENTED_EDGE('',*,*,#178872,.F.); #228713=ORIENTED_EDGE('',*,*,#178873,.F.); #228714=ORIENTED_EDGE('',*,*,#178874,.T.); #228715=ORIENTED_EDGE('',*,*,#178873,.T.); #228716=ORIENTED_EDGE('',*,*,#178875,.F.); #228717=ORIENTED_EDGE('',*,*,#178876,.F.); #228718=ORIENTED_EDGE('',*,*,#178877,.T.); #228719=ORIENTED_EDGE('',*,*,#178876,.T.); #228720=ORIENTED_EDGE('',*,*,#178878,.F.); #228721=ORIENTED_EDGE('',*,*,#178879,.F.); #228722=ORIENTED_EDGE('',*,*,#178880,.T.); #228723=ORIENTED_EDGE('',*,*,#178879,.T.); #228724=ORIENTED_EDGE('',*,*,#178881,.F.); #228725=ORIENTED_EDGE('',*,*,#178882,.F.); #228726=ORIENTED_EDGE('',*,*,#178883,.T.); #228727=ORIENTED_EDGE('',*,*,#178882,.T.); #228728=ORIENTED_EDGE('',*,*,#178884,.F.); #228729=ORIENTED_EDGE('',*,*,#178885,.F.); #228730=ORIENTED_EDGE('',*,*,#178886,.T.); #228731=ORIENTED_EDGE('',*,*,#178885,.T.); #228732=ORIENTED_EDGE('',*,*,#178887,.F.); #228733=ORIENTED_EDGE('',*,*,#178888,.F.); #228734=ORIENTED_EDGE('',*,*,#178889,.T.); #228735=ORIENTED_EDGE('',*,*,#178888,.T.); #228736=ORIENTED_EDGE('',*,*,#178890,.F.); #228737=ORIENTED_EDGE('',*,*,#178891,.F.); #228738=ORIENTED_EDGE('',*,*,#178892,.T.); #228739=ORIENTED_EDGE('',*,*,#178891,.T.); #228740=ORIENTED_EDGE('',*,*,#178893,.F.); #228741=ORIENTED_EDGE('',*,*,#178894,.F.); #228742=ORIENTED_EDGE('',*,*,#178895,.T.); #228743=ORIENTED_EDGE('',*,*,#178894,.T.); #228744=ORIENTED_EDGE('',*,*,#178896,.F.); #228745=ORIENTED_EDGE('',*,*,#178897,.F.); #228746=ORIENTED_EDGE('',*,*,#178898,.T.); #228747=ORIENTED_EDGE('',*,*,#178897,.T.); #228748=ORIENTED_EDGE('',*,*,#178899,.F.); #228749=ORIENTED_EDGE('',*,*,#178900,.F.); #228750=ORIENTED_EDGE('',*,*,#178901,.T.); #228751=ORIENTED_EDGE('',*,*,#178900,.T.); #228752=ORIENTED_EDGE('',*,*,#178902,.F.); #228753=ORIENTED_EDGE('',*,*,#178903,.F.); #228754=ORIENTED_EDGE('',*,*,#178904,.T.); #228755=ORIENTED_EDGE('',*,*,#178903,.T.); #228756=ORIENTED_EDGE('',*,*,#178905,.F.); #228757=ORIENTED_EDGE('',*,*,#178906,.F.); #228758=ORIENTED_EDGE('',*,*,#178907,.T.); #228759=ORIENTED_EDGE('',*,*,#178906,.T.); #228760=ORIENTED_EDGE('',*,*,#178908,.F.); #228761=ORIENTED_EDGE('',*,*,#178909,.F.); #228762=ORIENTED_EDGE('',*,*,#178910,.T.); #228763=ORIENTED_EDGE('',*,*,#178909,.T.); #228764=ORIENTED_EDGE('',*,*,#178911,.F.); #228765=ORIENTED_EDGE('',*,*,#178835,.F.); #228766=ORIENTED_EDGE('',*,*,#178911,.T.); #228767=ORIENTED_EDGE('',*,*,#178908,.T.); #228768=ORIENTED_EDGE('',*,*,#178905,.T.); #228769=ORIENTED_EDGE('',*,*,#178902,.T.); #228770=ORIENTED_EDGE('',*,*,#178899,.T.); #228771=ORIENTED_EDGE('',*,*,#178896,.T.); #228772=ORIENTED_EDGE('',*,*,#178893,.T.); #228773=ORIENTED_EDGE('',*,*,#178890,.T.); #228774=ORIENTED_EDGE('',*,*,#178887,.T.); #228775=ORIENTED_EDGE('',*,*,#178884,.T.); #228776=ORIENTED_EDGE('',*,*,#178881,.T.); #228777=ORIENTED_EDGE('',*,*,#178878,.T.); #228778=ORIENTED_EDGE('',*,*,#178875,.T.); #228779=ORIENTED_EDGE('',*,*,#178872,.T.); #228780=ORIENTED_EDGE('',*,*,#178869,.T.); #228781=ORIENTED_EDGE('',*,*,#178866,.T.); #228782=ORIENTED_EDGE('',*,*,#178863,.T.); #228783=ORIENTED_EDGE('',*,*,#178860,.T.); #228784=ORIENTED_EDGE('',*,*,#178857,.T.); #228785=ORIENTED_EDGE('',*,*,#178854,.T.); #228786=ORIENTED_EDGE('',*,*,#178851,.T.); #228787=ORIENTED_EDGE('',*,*,#178848,.T.); #228788=ORIENTED_EDGE('',*,*,#178845,.T.); #228789=ORIENTED_EDGE('',*,*,#178842,.T.); #228790=ORIENTED_EDGE('',*,*,#178839,.T.); #228791=ORIENTED_EDGE('',*,*,#178836,.T.); #228792=ORIENTED_EDGE('',*,*,#178910,.F.); #228793=ORIENTED_EDGE('',*,*,#178834,.F.); #228794=ORIENTED_EDGE('',*,*,#178838,.F.); #228795=ORIENTED_EDGE('',*,*,#178841,.F.); #228796=ORIENTED_EDGE('',*,*,#178844,.F.); #228797=ORIENTED_EDGE('',*,*,#178847,.F.); #228798=ORIENTED_EDGE('',*,*,#178850,.F.); #228799=ORIENTED_EDGE('',*,*,#178853,.F.); #228800=ORIENTED_EDGE('',*,*,#178856,.F.); #228801=ORIENTED_EDGE('',*,*,#178859,.F.); #228802=ORIENTED_EDGE('',*,*,#178862,.F.); #228803=ORIENTED_EDGE('',*,*,#178865,.F.); #228804=ORIENTED_EDGE('',*,*,#178868,.F.); #228805=ORIENTED_EDGE('',*,*,#178871,.F.); #228806=ORIENTED_EDGE('',*,*,#178874,.F.); #228807=ORIENTED_EDGE('',*,*,#178877,.F.); #228808=ORIENTED_EDGE('',*,*,#178880,.F.); #228809=ORIENTED_EDGE('',*,*,#178883,.F.); #228810=ORIENTED_EDGE('',*,*,#178886,.F.); #228811=ORIENTED_EDGE('',*,*,#178889,.F.); #228812=ORIENTED_EDGE('',*,*,#178892,.F.); #228813=ORIENTED_EDGE('',*,*,#178895,.F.); #228814=ORIENTED_EDGE('',*,*,#178898,.F.); #228815=ORIENTED_EDGE('',*,*,#178901,.F.); #228816=ORIENTED_EDGE('',*,*,#178904,.F.); #228817=ORIENTED_EDGE('',*,*,#178907,.F.); #228818=ORIENTED_EDGE('',*,*,#178912,.F.); #228819=ORIENTED_EDGE('',*,*,#178913,.T.); #228820=ORIENTED_EDGE('',*,*,#178914,.F.); #228821=ORIENTED_EDGE('',*,*,#178913,.F.); #228822=ORIENTED_EDGE('',*,*,#178915,.T.); #228823=ORIENTED_EDGE('',*,*,#178916,.T.); #228824=ORIENTED_EDGE('',*,*,#178917,.F.); #228825=ORIENTED_EDGE('',*,*,#178918,.F.); #228826=ORIENTED_EDGE('',*,*,#178919,.T.); #228827=ORIENTED_EDGE('',*,*,#178918,.T.); #228828=ORIENTED_EDGE('',*,*,#178920,.F.); #228829=ORIENTED_EDGE('',*,*,#178921,.F.); #228830=ORIENTED_EDGE('',*,*,#178922,.T.); #228831=ORIENTED_EDGE('',*,*,#178921,.T.); #228832=ORIENTED_EDGE('',*,*,#178923,.F.); #228833=ORIENTED_EDGE('',*,*,#178924,.F.); #228834=ORIENTED_EDGE('',*,*,#178925,.T.); #228835=ORIENTED_EDGE('',*,*,#178924,.T.); #228836=ORIENTED_EDGE('',*,*,#178926,.F.); #228837=ORIENTED_EDGE('',*,*,#178927,.F.); #228838=ORIENTED_EDGE('',*,*,#178928,.T.); #228839=ORIENTED_EDGE('',*,*,#178927,.T.); #228840=ORIENTED_EDGE('',*,*,#178929,.F.); #228841=ORIENTED_EDGE('',*,*,#178930,.F.); #228842=ORIENTED_EDGE('',*,*,#178931,.T.); #228843=ORIENTED_EDGE('',*,*,#178930,.T.); #228844=ORIENTED_EDGE('',*,*,#178932,.F.); #228845=ORIENTED_EDGE('',*,*,#178933,.F.); #228846=ORIENTED_EDGE('',*,*,#178934,.T.); #228847=ORIENTED_EDGE('',*,*,#178933,.T.); #228848=ORIENTED_EDGE('',*,*,#178935,.F.); #228849=ORIENTED_EDGE('',*,*,#178936,.F.); #228850=ORIENTED_EDGE('',*,*,#178937,.T.); #228851=ORIENTED_EDGE('',*,*,#178936,.T.); #228852=ORIENTED_EDGE('',*,*,#178938,.F.); #228853=ORIENTED_EDGE('',*,*,#178939,.F.); #228854=ORIENTED_EDGE('',*,*,#178940,.T.); #228855=ORIENTED_EDGE('',*,*,#178939,.T.); #228856=ORIENTED_EDGE('',*,*,#178941,.F.); #228857=ORIENTED_EDGE('',*,*,#178942,.F.); #228858=ORIENTED_EDGE('',*,*,#178943,.T.); #228859=ORIENTED_EDGE('',*,*,#178942,.T.); #228860=ORIENTED_EDGE('',*,*,#178944,.F.); #228861=ORIENTED_EDGE('',*,*,#178945,.F.); #228862=ORIENTED_EDGE('',*,*,#178946,.T.); #228863=ORIENTED_EDGE('',*,*,#178945,.T.); #228864=ORIENTED_EDGE('',*,*,#178947,.F.); #228865=ORIENTED_EDGE('',*,*,#178948,.F.); #228866=ORIENTED_EDGE('',*,*,#178949,.T.); #228867=ORIENTED_EDGE('',*,*,#178948,.T.); #228868=ORIENTED_EDGE('',*,*,#178950,.F.); #228869=ORIENTED_EDGE('',*,*,#178951,.F.); #228870=ORIENTED_EDGE('',*,*,#178952,.T.); #228871=ORIENTED_EDGE('',*,*,#178951,.T.); #228872=ORIENTED_EDGE('',*,*,#178953,.F.); #228873=ORIENTED_EDGE('',*,*,#178954,.F.); #228874=ORIENTED_EDGE('',*,*,#178955,.T.); #228875=ORIENTED_EDGE('',*,*,#178954,.T.); #228876=ORIENTED_EDGE('',*,*,#178956,.F.); #228877=ORIENTED_EDGE('',*,*,#178957,.F.); #228878=ORIENTED_EDGE('',*,*,#178958,.T.); #228879=ORIENTED_EDGE('',*,*,#178957,.T.); #228880=ORIENTED_EDGE('',*,*,#178959,.F.); #228881=ORIENTED_EDGE('',*,*,#178960,.F.); #228882=ORIENTED_EDGE('',*,*,#178961,.T.); #228883=ORIENTED_EDGE('',*,*,#178960,.T.); #228884=ORIENTED_EDGE('',*,*,#178962,.F.); #228885=ORIENTED_EDGE('',*,*,#178963,.F.); #228886=ORIENTED_EDGE('',*,*,#178964,.T.); #228887=ORIENTED_EDGE('',*,*,#178963,.T.); #228888=ORIENTED_EDGE('',*,*,#178965,.F.); #228889=ORIENTED_EDGE('',*,*,#178966,.F.); #228890=ORIENTED_EDGE('',*,*,#178967,.T.); #228891=ORIENTED_EDGE('',*,*,#178966,.T.); #228892=ORIENTED_EDGE('',*,*,#178968,.F.); #228893=ORIENTED_EDGE('',*,*,#178969,.F.); #228894=ORIENTED_EDGE('',*,*,#178970,.T.); #228895=ORIENTED_EDGE('',*,*,#178969,.T.); #228896=ORIENTED_EDGE('',*,*,#178971,.F.); #228897=ORIENTED_EDGE('',*,*,#178972,.F.); #228898=ORIENTED_EDGE('',*,*,#178973,.T.); #228899=ORIENTED_EDGE('',*,*,#178972,.T.); #228900=ORIENTED_EDGE('',*,*,#178974,.F.); #228901=ORIENTED_EDGE('',*,*,#178975,.F.); #228902=ORIENTED_EDGE('',*,*,#178976,.T.); #228903=ORIENTED_EDGE('',*,*,#178975,.T.); #228904=ORIENTED_EDGE('',*,*,#178977,.F.); #228905=ORIENTED_EDGE('',*,*,#178978,.F.); #228906=ORIENTED_EDGE('',*,*,#178979,.T.); #228907=ORIENTED_EDGE('',*,*,#178978,.T.); #228908=ORIENTED_EDGE('',*,*,#178980,.F.); #228909=ORIENTED_EDGE('',*,*,#178981,.F.); #228910=ORIENTED_EDGE('',*,*,#178982,.T.); #228911=ORIENTED_EDGE('',*,*,#178981,.T.); #228912=ORIENTED_EDGE('',*,*,#178983,.F.); #228913=ORIENTED_EDGE('',*,*,#178984,.F.); #228914=ORIENTED_EDGE('',*,*,#178985,.T.); #228915=ORIENTED_EDGE('',*,*,#178984,.T.); #228916=ORIENTED_EDGE('',*,*,#178986,.F.); #228917=ORIENTED_EDGE('',*,*,#178916,.F.); #228918=ORIENTED_EDGE('',*,*,#178986,.T.); #228919=ORIENTED_EDGE('',*,*,#178983,.T.); #228920=ORIENTED_EDGE('',*,*,#178980,.T.); #228921=ORIENTED_EDGE('',*,*,#178977,.T.); #228922=ORIENTED_EDGE('',*,*,#178974,.T.); #228923=ORIENTED_EDGE('',*,*,#178971,.T.); #228924=ORIENTED_EDGE('',*,*,#178968,.T.); #228925=ORIENTED_EDGE('',*,*,#178965,.T.); #228926=ORIENTED_EDGE('',*,*,#178962,.T.); #228927=ORIENTED_EDGE('',*,*,#178959,.T.); #228928=ORIENTED_EDGE('',*,*,#178956,.T.); #228929=ORIENTED_EDGE('',*,*,#178953,.T.); #228930=ORIENTED_EDGE('',*,*,#178950,.T.); #228931=ORIENTED_EDGE('',*,*,#178947,.T.); #228932=ORIENTED_EDGE('',*,*,#178944,.T.); #228933=ORIENTED_EDGE('',*,*,#178941,.T.); #228934=ORIENTED_EDGE('',*,*,#178938,.T.); #228935=ORIENTED_EDGE('',*,*,#178935,.T.); #228936=ORIENTED_EDGE('',*,*,#178932,.T.); #228937=ORIENTED_EDGE('',*,*,#178929,.T.); #228938=ORIENTED_EDGE('',*,*,#178926,.T.); #228939=ORIENTED_EDGE('',*,*,#178923,.T.); #228940=ORIENTED_EDGE('',*,*,#178920,.T.); #228941=ORIENTED_EDGE('',*,*,#178917,.T.); #228942=ORIENTED_EDGE('',*,*,#178914,.T.); #228943=ORIENTED_EDGE('',*,*,#178985,.F.); #228944=ORIENTED_EDGE('',*,*,#178915,.F.); #228945=ORIENTED_EDGE('',*,*,#178919,.F.); #228946=ORIENTED_EDGE('',*,*,#178922,.F.); #228947=ORIENTED_EDGE('',*,*,#178925,.F.); #228948=ORIENTED_EDGE('',*,*,#178928,.F.); #228949=ORIENTED_EDGE('',*,*,#178931,.F.); #228950=ORIENTED_EDGE('',*,*,#178934,.F.); #228951=ORIENTED_EDGE('',*,*,#178937,.F.); #228952=ORIENTED_EDGE('',*,*,#178940,.F.); #228953=ORIENTED_EDGE('',*,*,#178943,.F.); #228954=ORIENTED_EDGE('',*,*,#178946,.F.); #228955=ORIENTED_EDGE('',*,*,#178949,.F.); #228956=ORIENTED_EDGE('',*,*,#178952,.F.); #228957=ORIENTED_EDGE('',*,*,#178955,.F.); #228958=ORIENTED_EDGE('',*,*,#178958,.F.); #228959=ORIENTED_EDGE('',*,*,#178961,.F.); #228960=ORIENTED_EDGE('',*,*,#178964,.F.); #228961=ORIENTED_EDGE('',*,*,#178967,.F.); #228962=ORIENTED_EDGE('',*,*,#178970,.F.); #228963=ORIENTED_EDGE('',*,*,#178973,.F.); #228964=ORIENTED_EDGE('',*,*,#178976,.F.); #228965=ORIENTED_EDGE('',*,*,#178979,.F.); #228966=ORIENTED_EDGE('',*,*,#178982,.F.); #228967=ORIENTED_EDGE('',*,*,#178912,.T.); #228968=ORIENTED_EDGE('',*,*,#178987,.F.); #228969=ORIENTED_EDGE('',*,*,#178988,.T.); #228970=ORIENTED_EDGE('',*,*,#178989,.F.); #228971=ORIENTED_EDGE('',*,*,#178988,.F.); #228972=ORIENTED_EDGE('',*,*,#178990,.T.); #228973=ORIENTED_EDGE('',*,*,#178991,.T.); #228974=ORIENTED_EDGE('',*,*,#178992,.F.); #228975=ORIENTED_EDGE('',*,*,#178993,.F.); #228976=ORIENTED_EDGE('',*,*,#178994,.T.); #228977=ORIENTED_EDGE('',*,*,#178993,.T.); #228978=ORIENTED_EDGE('',*,*,#178995,.F.); #228979=ORIENTED_EDGE('',*,*,#178996,.F.); #228980=ORIENTED_EDGE('',*,*,#178997,.T.); #228981=ORIENTED_EDGE('',*,*,#178996,.T.); #228982=ORIENTED_EDGE('',*,*,#178998,.F.); #228983=ORIENTED_EDGE('',*,*,#178999,.F.); #228984=ORIENTED_EDGE('',*,*,#179000,.T.); #228985=ORIENTED_EDGE('',*,*,#178999,.T.); #228986=ORIENTED_EDGE('',*,*,#179001,.F.); #228987=ORIENTED_EDGE('',*,*,#179002,.F.); #228988=ORIENTED_EDGE('',*,*,#179003,.T.); #228989=ORIENTED_EDGE('',*,*,#179002,.T.); #228990=ORIENTED_EDGE('',*,*,#179004,.F.); #228991=ORIENTED_EDGE('',*,*,#179005,.F.); #228992=ORIENTED_EDGE('',*,*,#179006,.T.); #228993=ORIENTED_EDGE('',*,*,#179005,.T.); #228994=ORIENTED_EDGE('',*,*,#179007,.F.); #228995=ORIENTED_EDGE('',*,*,#179008,.F.); #228996=ORIENTED_EDGE('',*,*,#179009,.T.); #228997=ORIENTED_EDGE('',*,*,#179008,.T.); #228998=ORIENTED_EDGE('',*,*,#179010,.F.); #228999=ORIENTED_EDGE('',*,*,#179011,.F.); #229000=ORIENTED_EDGE('',*,*,#179012,.T.); #229001=ORIENTED_EDGE('',*,*,#179011,.T.); #229002=ORIENTED_EDGE('',*,*,#179013,.F.); #229003=ORIENTED_EDGE('',*,*,#179014,.F.); #229004=ORIENTED_EDGE('',*,*,#179015,.T.); #229005=ORIENTED_EDGE('',*,*,#179014,.T.); #229006=ORIENTED_EDGE('',*,*,#179016,.F.); #229007=ORIENTED_EDGE('',*,*,#179017,.F.); #229008=ORIENTED_EDGE('',*,*,#179018,.T.); #229009=ORIENTED_EDGE('',*,*,#179017,.T.); #229010=ORIENTED_EDGE('',*,*,#179019,.F.); #229011=ORIENTED_EDGE('',*,*,#179020,.F.); #229012=ORIENTED_EDGE('',*,*,#179021,.T.); #229013=ORIENTED_EDGE('',*,*,#179020,.T.); #229014=ORIENTED_EDGE('',*,*,#179022,.F.); #229015=ORIENTED_EDGE('',*,*,#178991,.F.); #229016=ORIENTED_EDGE('',*,*,#179022,.T.); #229017=ORIENTED_EDGE('',*,*,#179019,.T.); #229018=ORIENTED_EDGE('',*,*,#179016,.T.); #229019=ORIENTED_EDGE('',*,*,#179013,.T.); #229020=ORIENTED_EDGE('',*,*,#179010,.T.); #229021=ORIENTED_EDGE('',*,*,#179007,.T.); #229022=ORIENTED_EDGE('',*,*,#179004,.T.); #229023=ORIENTED_EDGE('',*,*,#179001,.T.); #229024=ORIENTED_EDGE('',*,*,#178998,.T.); #229025=ORIENTED_EDGE('',*,*,#178995,.T.); #229026=ORIENTED_EDGE('',*,*,#178992,.T.); #229027=ORIENTED_EDGE('',*,*,#178989,.T.); #229028=ORIENTED_EDGE('',*,*,#179021,.F.); #229029=ORIENTED_EDGE('',*,*,#178990,.F.); #229030=ORIENTED_EDGE('',*,*,#178994,.F.); #229031=ORIENTED_EDGE('',*,*,#178997,.F.); #229032=ORIENTED_EDGE('',*,*,#179000,.F.); #229033=ORIENTED_EDGE('',*,*,#179003,.F.); #229034=ORIENTED_EDGE('',*,*,#179006,.F.); #229035=ORIENTED_EDGE('',*,*,#179009,.F.); #229036=ORIENTED_EDGE('',*,*,#179012,.F.); #229037=ORIENTED_EDGE('',*,*,#179015,.F.); #229038=ORIENTED_EDGE('',*,*,#179018,.F.); #229039=ORIENTED_EDGE('',*,*,#178987,.T.); #229040=ORIENTED_EDGE('',*,*,#179023,.T.); #229041=ORIENTED_EDGE('',*,*,#179024,.T.); #229042=ORIENTED_EDGE('',*,*,#179025,.F.); #229043=ORIENTED_EDGE('',*,*,#179026,.F.); #229044=ORIENTED_EDGE('',*,*,#179027,.T.); #229045=ORIENTED_EDGE('',*,*,#179026,.T.); #229046=ORIENTED_EDGE('',*,*,#179028,.F.); #229047=ORIENTED_EDGE('',*,*,#179029,.F.); #229048=ORIENTED_EDGE('',*,*,#179030,.T.); #229049=ORIENTED_EDGE('',*,*,#179029,.T.); #229050=ORIENTED_EDGE('',*,*,#179031,.F.); #229051=ORIENTED_EDGE('',*,*,#179032,.F.); #229052=ORIENTED_EDGE('',*,*,#179033,.T.); #229053=ORIENTED_EDGE('',*,*,#179032,.T.); #229054=ORIENTED_EDGE('',*,*,#179034,.F.); #229055=ORIENTED_EDGE('',*,*,#179035,.F.); #229056=ORIENTED_EDGE('',*,*,#179036,.T.); #229057=ORIENTED_EDGE('',*,*,#179035,.T.); #229058=ORIENTED_EDGE('',*,*,#179037,.F.); #229059=ORIENTED_EDGE('',*,*,#179038,.F.); #229060=ORIENTED_EDGE('',*,*,#179039,.T.); #229061=ORIENTED_EDGE('',*,*,#179038,.T.); #229062=ORIENTED_EDGE('',*,*,#179040,.F.); #229063=ORIENTED_EDGE('',*,*,#179041,.F.); #229064=ORIENTED_EDGE('',*,*,#179042,.T.); #229065=ORIENTED_EDGE('',*,*,#179041,.T.); #229066=ORIENTED_EDGE('',*,*,#179043,.F.); #229067=ORIENTED_EDGE('',*,*,#179044,.F.); #229068=ORIENTED_EDGE('',*,*,#179045,.T.); #229069=ORIENTED_EDGE('',*,*,#179044,.T.); #229070=ORIENTED_EDGE('',*,*,#179046,.F.); #229071=ORIENTED_EDGE('',*,*,#179047,.F.); #229072=ORIENTED_EDGE('',*,*,#179048,.T.); #229073=ORIENTED_EDGE('',*,*,#179047,.T.); #229074=ORIENTED_EDGE('',*,*,#179049,.F.); #229075=ORIENTED_EDGE('',*,*,#179050,.F.); #229076=ORIENTED_EDGE('',*,*,#179051,.T.); #229077=ORIENTED_EDGE('',*,*,#179050,.T.); #229078=ORIENTED_EDGE('',*,*,#179052,.F.); #229079=ORIENTED_EDGE('',*,*,#179053,.F.); #229080=ORIENTED_EDGE('',*,*,#179054,.T.); #229081=ORIENTED_EDGE('',*,*,#179053,.T.); #229082=ORIENTED_EDGE('',*,*,#179055,.F.); #229083=ORIENTED_EDGE('',*,*,#179056,.F.); #229084=ORIENTED_EDGE('',*,*,#179057,.T.); #229085=ORIENTED_EDGE('',*,*,#179056,.T.); #229086=ORIENTED_EDGE('',*,*,#179058,.F.); #229087=ORIENTED_EDGE('',*,*,#179059,.F.); #229088=ORIENTED_EDGE('',*,*,#179060,.T.); #229089=ORIENTED_EDGE('',*,*,#179059,.T.); #229090=ORIENTED_EDGE('',*,*,#179061,.F.); #229091=ORIENTED_EDGE('',*,*,#179062,.F.); #229092=ORIENTED_EDGE('',*,*,#179063,.T.); #229093=ORIENTED_EDGE('',*,*,#179062,.T.); #229094=ORIENTED_EDGE('',*,*,#179064,.F.); #229095=ORIENTED_EDGE('',*,*,#179065,.F.); #229096=ORIENTED_EDGE('',*,*,#179066,.T.); #229097=ORIENTED_EDGE('',*,*,#179065,.T.); #229098=ORIENTED_EDGE('',*,*,#179067,.F.); #229099=ORIENTED_EDGE('',*,*,#179068,.F.); #229100=ORIENTED_EDGE('',*,*,#179069,.T.); #229101=ORIENTED_EDGE('',*,*,#179068,.T.); #229102=ORIENTED_EDGE('',*,*,#179070,.F.); #229103=ORIENTED_EDGE('',*,*,#179071,.F.); #229104=ORIENTED_EDGE('',*,*,#179072,.T.); #229105=ORIENTED_EDGE('',*,*,#179071,.T.); #229106=ORIENTED_EDGE('',*,*,#179073,.F.); #229107=ORIENTED_EDGE('',*,*,#179074,.F.); #229108=ORIENTED_EDGE('',*,*,#179075,.T.); #229109=ORIENTED_EDGE('',*,*,#179074,.T.); #229110=ORIENTED_EDGE('',*,*,#179076,.F.); #229111=ORIENTED_EDGE('',*,*,#179077,.F.); #229112=ORIENTED_EDGE('',*,*,#179078,.T.); #229113=ORIENTED_EDGE('',*,*,#179077,.T.); #229114=ORIENTED_EDGE('',*,*,#179079,.F.); #229115=ORIENTED_EDGE('',*,*,#179080,.F.); #229116=ORIENTED_EDGE('',*,*,#179081,.T.); #229117=ORIENTED_EDGE('',*,*,#179080,.T.); #229118=ORIENTED_EDGE('',*,*,#179082,.F.); #229119=ORIENTED_EDGE('',*,*,#179083,.F.); #229120=ORIENTED_EDGE('',*,*,#179084,.T.); #229121=ORIENTED_EDGE('',*,*,#179083,.T.); #229122=ORIENTED_EDGE('',*,*,#179085,.F.); #229123=ORIENTED_EDGE('',*,*,#179086,.F.); #229124=ORIENTED_EDGE('',*,*,#179087,.T.); #229125=ORIENTED_EDGE('',*,*,#179086,.T.); #229126=ORIENTED_EDGE('',*,*,#179088,.F.); #229127=ORIENTED_EDGE('',*,*,#179089,.F.); #229128=ORIENTED_EDGE('',*,*,#179090,.T.); #229129=ORIENTED_EDGE('',*,*,#179089,.T.); #229130=ORIENTED_EDGE('',*,*,#179091,.F.); #229131=ORIENTED_EDGE('',*,*,#179092,.F.); #229132=ORIENTED_EDGE('',*,*,#179093,.T.); #229133=ORIENTED_EDGE('',*,*,#179092,.T.); #229134=ORIENTED_EDGE('',*,*,#179094,.F.); #229135=ORIENTED_EDGE('',*,*,#179095,.F.); #229136=ORIENTED_EDGE('',*,*,#179096,.T.); #229137=ORIENTED_EDGE('',*,*,#179095,.T.); #229138=ORIENTED_EDGE('',*,*,#179097,.F.); #229139=ORIENTED_EDGE('',*,*,#179098,.F.); #229140=ORIENTED_EDGE('',*,*,#179099,.T.); #229141=ORIENTED_EDGE('',*,*,#179098,.T.); #229142=ORIENTED_EDGE('',*,*,#179100,.F.); #229143=ORIENTED_EDGE('',*,*,#179101,.F.); #229144=ORIENTED_EDGE('',*,*,#179102,.T.); #229145=ORIENTED_EDGE('',*,*,#179101,.T.); #229146=ORIENTED_EDGE('',*,*,#179103,.F.); #229147=ORIENTED_EDGE('',*,*,#179104,.F.); #229148=ORIENTED_EDGE('',*,*,#179105,.T.); #229149=ORIENTED_EDGE('',*,*,#179104,.T.); #229150=ORIENTED_EDGE('',*,*,#179106,.F.); #229151=ORIENTED_EDGE('',*,*,#179107,.F.); #229152=ORIENTED_EDGE('',*,*,#179108,.T.); #229153=ORIENTED_EDGE('',*,*,#179107,.T.); #229154=ORIENTED_EDGE('',*,*,#179109,.F.); #229155=ORIENTED_EDGE('',*,*,#179110,.F.); #229156=ORIENTED_EDGE('',*,*,#179111,.T.); #229157=ORIENTED_EDGE('',*,*,#179110,.T.); #229158=ORIENTED_EDGE('',*,*,#179112,.F.); #229159=ORIENTED_EDGE('',*,*,#179113,.F.); #229160=ORIENTED_EDGE('',*,*,#179114,.T.); #229161=ORIENTED_EDGE('',*,*,#179113,.T.); #229162=ORIENTED_EDGE('',*,*,#179115,.F.); #229163=ORIENTED_EDGE('',*,*,#179116,.F.); #229164=ORIENTED_EDGE('',*,*,#179117,.T.); #229165=ORIENTED_EDGE('',*,*,#179116,.T.); #229166=ORIENTED_EDGE('',*,*,#179118,.F.); #229167=ORIENTED_EDGE('',*,*,#179119,.F.); #229168=ORIENTED_EDGE('',*,*,#179120,.T.); #229169=ORIENTED_EDGE('',*,*,#179119,.T.); #229170=ORIENTED_EDGE('',*,*,#179121,.F.); #229171=ORIENTED_EDGE('',*,*,#179122,.F.); #229172=ORIENTED_EDGE('',*,*,#179123,.T.); #229173=ORIENTED_EDGE('',*,*,#179122,.T.); #229174=ORIENTED_EDGE('',*,*,#179124,.F.); #229175=ORIENTED_EDGE('',*,*,#179125,.F.); #229176=ORIENTED_EDGE('',*,*,#179126,.T.); #229177=ORIENTED_EDGE('',*,*,#179125,.T.); #229178=ORIENTED_EDGE('',*,*,#179127,.F.); #229179=ORIENTED_EDGE('',*,*,#179128,.F.); #229180=ORIENTED_EDGE('',*,*,#179129,.T.); #229181=ORIENTED_EDGE('',*,*,#179128,.T.); #229182=ORIENTED_EDGE('',*,*,#179130,.F.); #229183=ORIENTED_EDGE('',*,*,#179131,.F.); #229184=ORIENTED_EDGE('',*,*,#179132,.T.); #229185=ORIENTED_EDGE('',*,*,#179131,.T.); #229186=ORIENTED_EDGE('',*,*,#179133,.F.); #229187=ORIENTED_EDGE('',*,*,#179134,.F.); #229188=ORIENTED_EDGE('',*,*,#179135,.T.); #229189=ORIENTED_EDGE('',*,*,#179134,.T.); #229190=ORIENTED_EDGE('',*,*,#179136,.F.); #229191=ORIENTED_EDGE('',*,*,#179024,.F.); #229192=ORIENTED_EDGE('',*,*,#179136,.T.); #229193=ORIENTED_EDGE('',*,*,#179133,.T.); #229194=ORIENTED_EDGE('',*,*,#179130,.T.); #229195=ORIENTED_EDGE('',*,*,#179127,.T.); #229196=ORIENTED_EDGE('',*,*,#179124,.T.); #229197=ORIENTED_EDGE('',*,*,#179121,.T.); #229198=ORIENTED_EDGE('',*,*,#179118,.T.); #229199=ORIENTED_EDGE('',*,*,#179115,.T.); #229200=ORIENTED_EDGE('',*,*,#179112,.T.); #229201=ORIENTED_EDGE('',*,*,#179109,.T.); #229202=ORIENTED_EDGE('',*,*,#179106,.T.); #229203=ORIENTED_EDGE('',*,*,#179103,.T.); #229204=ORIENTED_EDGE('',*,*,#179100,.T.); #229205=ORIENTED_EDGE('',*,*,#179097,.T.); #229206=ORIENTED_EDGE('',*,*,#179094,.T.); #229207=ORIENTED_EDGE('',*,*,#179091,.T.); #229208=ORIENTED_EDGE('',*,*,#179088,.T.); #229209=ORIENTED_EDGE('',*,*,#179085,.T.); #229210=ORIENTED_EDGE('',*,*,#179082,.T.); #229211=ORIENTED_EDGE('',*,*,#179079,.T.); #229212=ORIENTED_EDGE('',*,*,#179076,.T.); #229213=ORIENTED_EDGE('',*,*,#179073,.T.); #229214=ORIENTED_EDGE('',*,*,#179070,.T.); #229215=ORIENTED_EDGE('',*,*,#179067,.T.); #229216=ORIENTED_EDGE('',*,*,#179064,.T.); #229217=ORIENTED_EDGE('',*,*,#179061,.T.); #229218=ORIENTED_EDGE('',*,*,#179058,.T.); #229219=ORIENTED_EDGE('',*,*,#179055,.T.); #229220=ORIENTED_EDGE('',*,*,#179052,.T.); #229221=ORIENTED_EDGE('',*,*,#179049,.T.); #229222=ORIENTED_EDGE('',*,*,#179046,.T.); #229223=ORIENTED_EDGE('',*,*,#179043,.T.); #229224=ORIENTED_EDGE('',*,*,#179040,.T.); #229225=ORIENTED_EDGE('',*,*,#179037,.T.); #229226=ORIENTED_EDGE('',*,*,#179034,.T.); #229227=ORIENTED_EDGE('',*,*,#179031,.T.); #229228=ORIENTED_EDGE('',*,*,#179028,.T.); #229229=ORIENTED_EDGE('',*,*,#179025,.T.); #229230=ORIENTED_EDGE('',*,*,#179135,.F.); #229231=ORIENTED_EDGE('',*,*,#179023,.F.); #229232=ORIENTED_EDGE('',*,*,#179027,.F.); #229233=ORIENTED_EDGE('',*,*,#179030,.F.); #229234=ORIENTED_EDGE('',*,*,#179033,.F.); #229235=ORIENTED_EDGE('',*,*,#179036,.F.); #229236=ORIENTED_EDGE('',*,*,#179039,.F.); #229237=ORIENTED_EDGE('',*,*,#179042,.F.); #229238=ORIENTED_EDGE('',*,*,#179045,.F.); #229239=ORIENTED_EDGE('',*,*,#179048,.F.); #229240=ORIENTED_EDGE('',*,*,#179051,.F.); #229241=ORIENTED_EDGE('',*,*,#179054,.F.); #229242=ORIENTED_EDGE('',*,*,#179057,.F.); #229243=ORIENTED_EDGE('',*,*,#179060,.F.); #229244=ORIENTED_EDGE('',*,*,#179063,.F.); #229245=ORIENTED_EDGE('',*,*,#179066,.F.); #229246=ORIENTED_EDGE('',*,*,#179069,.F.); #229247=ORIENTED_EDGE('',*,*,#179072,.F.); #229248=ORIENTED_EDGE('',*,*,#179075,.F.); #229249=ORIENTED_EDGE('',*,*,#179078,.F.); #229250=ORIENTED_EDGE('',*,*,#179081,.F.); #229251=ORIENTED_EDGE('',*,*,#179084,.F.); #229252=ORIENTED_EDGE('',*,*,#179087,.F.); #229253=ORIENTED_EDGE('',*,*,#179090,.F.); #229254=ORIENTED_EDGE('',*,*,#179093,.F.); #229255=ORIENTED_EDGE('',*,*,#179096,.F.); #229256=ORIENTED_EDGE('',*,*,#179099,.F.); #229257=ORIENTED_EDGE('',*,*,#179102,.F.); #229258=ORIENTED_EDGE('',*,*,#179105,.F.); #229259=ORIENTED_EDGE('',*,*,#179108,.F.); #229260=ORIENTED_EDGE('',*,*,#179111,.F.); #229261=ORIENTED_EDGE('',*,*,#179114,.F.); #229262=ORIENTED_EDGE('',*,*,#179117,.F.); #229263=ORIENTED_EDGE('',*,*,#179120,.F.); #229264=ORIENTED_EDGE('',*,*,#179123,.F.); #229265=ORIENTED_EDGE('',*,*,#179126,.F.); #229266=ORIENTED_EDGE('',*,*,#179129,.F.); #229267=ORIENTED_EDGE('',*,*,#179132,.F.); #229268=ORIENTED_EDGE('',*,*,#179137,.T.); #229269=ORIENTED_EDGE('',*,*,#179138,.T.); #229270=ORIENTED_EDGE('',*,*,#179139,.F.); #229271=ORIENTED_EDGE('',*,*,#179140,.F.); #229272=ORIENTED_EDGE('',*,*,#179141,.T.); #229273=ORIENTED_EDGE('',*,*,#179140,.T.); #229274=ORIENTED_EDGE('',*,*,#179142,.F.); #229275=ORIENTED_EDGE('',*,*,#179143,.F.); #229276=ORIENTED_EDGE('',*,*,#179144,.T.); #229277=ORIENTED_EDGE('',*,*,#179143,.T.); #229278=ORIENTED_EDGE('',*,*,#179145,.F.); #229279=ORIENTED_EDGE('',*,*,#179146,.F.); #229280=ORIENTED_EDGE('',*,*,#179147,.T.); #229281=ORIENTED_EDGE('',*,*,#179146,.T.); #229282=ORIENTED_EDGE('',*,*,#179148,.F.); #229283=ORIENTED_EDGE('',*,*,#179149,.F.); #229284=ORIENTED_EDGE('',*,*,#179150,.T.); #229285=ORIENTED_EDGE('',*,*,#179149,.T.); #229286=ORIENTED_EDGE('',*,*,#179151,.F.); #229287=ORIENTED_EDGE('',*,*,#179152,.F.); #229288=ORIENTED_EDGE('',*,*,#179153,.T.); #229289=ORIENTED_EDGE('',*,*,#179152,.T.); #229290=ORIENTED_EDGE('',*,*,#179154,.F.); #229291=ORIENTED_EDGE('',*,*,#179155,.F.); #229292=ORIENTED_EDGE('',*,*,#179156,.T.); #229293=ORIENTED_EDGE('',*,*,#179155,.T.); #229294=ORIENTED_EDGE('',*,*,#179157,.F.); #229295=ORIENTED_EDGE('',*,*,#179158,.F.); #229296=ORIENTED_EDGE('',*,*,#179159,.T.); #229297=ORIENTED_EDGE('',*,*,#179158,.T.); #229298=ORIENTED_EDGE('',*,*,#179160,.F.); #229299=ORIENTED_EDGE('',*,*,#179161,.F.); #229300=ORIENTED_EDGE('',*,*,#179162,.T.); #229301=ORIENTED_EDGE('',*,*,#179161,.T.); #229302=ORIENTED_EDGE('',*,*,#179163,.F.); #229303=ORIENTED_EDGE('',*,*,#179164,.F.); #229304=ORIENTED_EDGE('',*,*,#179165,.T.); #229305=ORIENTED_EDGE('',*,*,#179164,.T.); #229306=ORIENTED_EDGE('',*,*,#179166,.F.); #229307=ORIENTED_EDGE('',*,*,#179167,.F.); #229308=ORIENTED_EDGE('',*,*,#179168,.T.); #229309=ORIENTED_EDGE('',*,*,#179167,.T.); #229310=ORIENTED_EDGE('',*,*,#179169,.F.); #229311=ORIENTED_EDGE('',*,*,#179170,.F.); #229312=ORIENTED_EDGE('',*,*,#179171,.T.); #229313=ORIENTED_EDGE('',*,*,#179170,.T.); #229314=ORIENTED_EDGE('',*,*,#179172,.F.); #229315=ORIENTED_EDGE('',*,*,#179173,.F.); #229316=ORIENTED_EDGE('',*,*,#179174,.T.); #229317=ORIENTED_EDGE('',*,*,#179173,.T.); #229318=ORIENTED_EDGE('',*,*,#179175,.F.); #229319=ORIENTED_EDGE('',*,*,#179176,.F.); #229320=ORIENTED_EDGE('',*,*,#179177,.T.); #229321=ORIENTED_EDGE('',*,*,#179176,.T.); #229322=ORIENTED_EDGE('',*,*,#179178,.F.); #229323=ORIENTED_EDGE('',*,*,#179179,.F.); #229324=ORIENTED_EDGE('',*,*,#179180,.T.); #229325=ORIENTED_EDGE('',*,*,#179179,.T.); #229326=ORIENTED_EDGE('',*,*,#179181,.F.); #229327=ORIENTED_EDGE('',*,*,#179182,.F.); #229328=ORIENTED_EDGE('',*,*,#179183,.T.); #229329=ORIENTED_EDGE('',*,*,#179182,.T.); #229330=ORIENTED_EDGE('',*,*,#179184,.F.); #229331=ORIENTED_EDGE('',*,*,#179185,.F.); #229332=ORIENTED_EDGE('',*,*,#179186,.T.); #229333=ORIENTED_EDGE('',*,*,#179185,.T.); #229334=ORIENTED_EDGE('',*,*,#179187,.F.); #229335=ORIENTED_EDGE('',*,*,#179188,.F.); #229336=ORIENTED_EDGE('',*,*,#179189,.T.); #229337=ORIENTED_EDGE('',*,*,#179188,.T.); #229338=ORIENTED_EDGE('',*,*,#179190,.F.); #229339=ORIENTED_EDGE('',*,*,#179191,.F.); #229340=ORIENTED_EDGE('',*,*,#179192,.T.); #229341=ORIENTED_EDGE('',*,*,#179191,.T.); #229342=ORIENTED_EDGE('',*,*,#179193,.F.); #229343=ORIENTED_EDGE('',*,*,#179194,.F.); #229344=ORIENTED_EDGE('',*,*,#179195,.T.); #229345=ORIENTED_EDGE('',*,*,#179194,.T.); #229346=ORIENTED_EDGE('',*,*,#179196,.F.); #229347=ORIENTED_EDGE('',*,*,#179197,.F.); #229348=ORIENTED_EDGE('',*,*,#179198,.T.); #229349=ORIENTED_EDGE('',*,*,#179197,.T.); #229350=ORIENTED_EDGE('',*,*,#179199,.F.); #229351=ORIENTED_EDGE('',*,*,#179200,.F.); #229352=ORIENTED_EDGE('',*,*,#179201,.T.); #229353=ORIENTED_EDGE('',*,*,#179200,.T.); #229354=ORIENTED_EDGE('',*,*,#179202,.F.); #229355=ORIENTED_EDGE('',*,*,#179203,.F.); #229356=ORIENTED_EDGE('',*,*,#179204,.T.); #229357=ORIENTED_EDGE('',*,*,#179203,.T.); #229358=ORIENTED_EDGE('',*,*,#179205,.F.); #229359=ORIENTED_EDGE('',*,*,#179206,.F.); #229360=ORIENTED_EDGE('',*,*,#179207,.T.); #229361=ORIENTED_EDGE('',*,*,#179206,.T.); #229362=ORIENTED_EDGE('',*,*,#179208,.F.); #229363=ORIENTED_EDGE('',*,*,#179209,.F.); #229364=ORIENTED_EDGE('',*,*,#179210,.T.); #229365=ORIENTED_EDGE('',*,*,#179209,.T.); #229366=ORIENTED_EDGE('',*,*,#179211,.F.); #229367=ORIENTED_EDGE('',*,*,#179212,.F.); #229368=ORIENTED_EDGE('',*,*,#179213,.T.); #229369=ORIENTED_EDGE('',*,*,#179212,.T.); #229370=ORIENTED_EDGE('',*,*,#179214,.F.); #229371=ORIENTED_EDGE('',*,*,#179215,.F.); #229372=ORIENTED_EDGE('',*,*,#179216,.T.); #229373=ORIENTED_EDGE('',*,*,#179215,.T.); #229374=ORIENTED_EDGE('',*,*,#179217,.F.); #229375=ORIENTED_EDGE('',*,*,#179218,.F.); #229376=ORIENTED_EDGE('',*,*,#179219,.T.); #229377=ORIENTED_EDGE('',*,*,#179218,.T.); #229378=ORIENTED_EDGE('',*,*,#179220,.F.); #229379=ORIENTED_EDGE('',*,*,#179221,.F.); #229380=ORIENTED_EDGE('',*,*,#179222,.T.); #229381=ORIENTED_EDGE('',*,*,#179221,.T.); #229382=ORIENTED_EDGE('',*,*,#179223,.F.); #229383=ORIENTED_EDGE('',*,*,#179224,.F.); #229384=ORIENTED_EDGE('',*,*,#179225,.T.); #229385=ORIENTED_EDGE('',*,*,#179224,.T.); #229386=ORIENTED_EDGE('',*,*,#179226,.F.); #229387=ORIENTED_EDGE('',*,*,#179227,.F.); #229388=ORIENTED_EDGE('',*,*,#179228,.T.); #229389=ORIENTED_EDGE('',*,*,#179227,.T.); #229390=ORIENTED_EDGE('',*,*,#179229,.F.); #229391=ORIENTED_EDGE('',*,*,#179230,.F.); #229392=ORIENTED_EDGE('',*,*,#179231,.T.); #229393=ORIENTED_EDGE('',*,*,#179230,.T.); #229394=ORIENTED_EDGE('',*,*,#179232,.F.); #229395=ORIENTED_EDGE('',*,*,#179233,.F.); #229396=ORIENTED_EDGE('',*,*,#179234,.T.); #229397=ORIENTED_EDGE('',*,*,#179233,.T.); #229398=ORIENTED_EDGE('',*,*,#179235,.F.); #229399=ORIENTED_EDGE('',*,*,#179236,.F.); #229400=ORIENTED_EDGE('',*,*,#179237,.T.); #229401=ORIENTED_EDGE('',*,*,#179236,.T.); #229402=ORIENTED_EDGE('',*,*,#179238,.F.); #229403=ORIENTED_EDGE('',*,*,#179239,.F.); #229404=ORIENTED_EDGE('',*,*,#179240,.T.); #229405=ORIENTED_EDGE('',*,*,#179239,.T.); #229406=ORIENTED_EDGE('',*,*,#179241,.F.); #229407=ORIENTED_EDGE('',*,*,#179242,.F.); #229408=ORIENTED_EDGE('',*,*,#179243,.T.); #229409=ORIENTED_EDGE('',*,*,#179242,.T.); #229410=ORIENTED_EDGE('',*,*,#179244,.F.); #229411=ORIENTED_EDGE('',*,*,#179245,.F.); #229412=ORIENTED_EDGE('',*,*,#179246,.T.); #229413=ORIENTED_EDGE('',*,*,#179245,.T.); #229414=ORIENTED_EDGE('',*,*,#179247,.F.); #229415=ORIENTED_EDGE('',*,*,#179248,.F.); #229416=ORIENTED_EDGE('',*,*,#179249,.T.); #229417=ORIENTED_EDGE('',*,*,#179248,.T.); #229418=ORIENTED_EDGE('',*,*,#179250,.F.); #229419=ORIENTED_EDGE('',*,*,#179138,.F.); #229420=ORIENTED_EDGE('',*,*,#179250,.T.); #229421=ORIENTED_EDGE('',*,*,#179247,.T.); #229422=ORIENTED_EDGE('',*,*,#179244,.T.); #229423=ORIENTED_EDGE('',*,*,#179241,.T.); #229424=ORIENTED_EDGE('',*,*,#179238,.T.); #229425=ORIENTED_EDGE('',*,*,#179235,.T.); #229426=ORIENTED_EDGE('',*,*,#179232,.T.); #229427=ORIENTED_EDGE('',*,*,#179229,.T.); #229428=ORIENTED_EDGE('',*,*,#179226,.T.); #229429=ORIENTED_EDGE('',*,*,#179223,.T.); #229430=ORIENTED_EDGE('',*,*,#179220,.T.); #229431=ORIENTED_EDGE('',*,*,#179217,.T.); #229432=ORIENTED_EDGE('',*,*,#179214,.T.); #229433=ORIENTED_EDGE('',*,*,#179211,.T.); #229434=ORIENTED_EDGE('',*,*,#179208,.T.); #229435=ORIENTED_EDGE('',*,*,#179205,.T.); #229436=ORIENTED_EDGE('',*,*,#179202,.T.); #229437=ORIENTED_EDGE('',*,*,#179199,.T.); #229438=ORIENTED_EDGE('',*,*,#179196,.T.); #229439=ORIENTED_EDGE('',*,*,#179193,.T.); #229440=ORIENTED_EDGE('',*,*,#179190,.T.); #229441=ORIENTED_EDGE('',*,*,#179187,.T.); #229442=ORIENTED_EDGE('',*,*,#179184,.T.); #229443=ORIENTED_EDGE('',*,*,#179181,.T.); #229444=ORIENTED_EDGE('',*,*,#179178,.T.); #229445=ORIENTED_EDGE('',*,*,#179175,.T.); #229446=ORIENTED_EDGE('',*,*,#179172,.T.); #229447=ORIENTED_EDGE('',*,*,#179169,.T.); #229448=ORIENTED_EDGE('',*,*,#179166,.T.); #229449=ORIENTED_EDGE('',*,*,#179163,.T.); #229450=ORIENTED_EDGE('',*,*,#179160,.T.); #229451=ORIENTED_EDGE('',*,*,#179157,.T.); #229452=ORIENTED_EDGE('',*,*,#179154,.T.); #229453=ORIENTED_EDGE('',*,*,#179151,.T.); #229454=ORIENTED_EDGE('',*,*,#179148,.T.); #229455=ORIENTED_EDGE('',*,*,#179145,.T.); #229456=ORIENTED_EDGE('',*,*,#179142,.T.); #229457=ORIENTED_EDGE('',*,*,#179139,.T.); #229458=ORIENTED_EDGE('',*,*,#179249,.F.); #229459=ORIENTED_EDGE('',*,*,#179137,.F.); #229460=ORIENTED_EDGE('',*,*,#179141,.F.); #229461=ORIENTED_EDGE('',*,*,#179144,.F.); #229462=ORIENTED_EDGE('',*,*,#179147,.F.); #229463=ORIENTED_EDGE('',*,*,#179150,.F.); #229464=ORIENTED_EDGE('',*,*,#179153,.F.); #229465=ORIENTED_EDGE('',*,*,#179156,.F.); #229466=ORIENTED_EDGE('',*,*,#179159,.F.); #229467=ORIENTED_EDGE('',*,*,#179162,.F.); #229468=ORIENTED_EDGE('',*,*,#179165,.F.); #229469=ORIENTED_EDGE('',*,*,#179168,.F.); #229470=ORIENTED_EDGE('',*,*,#179171,.F.); #229471=ORIENTED_EDGE('',*,*,#179174,.F.); #229472=ORIENTED_EDGE('',*,*,#179177,.F.); #229473=ORIENTED_EDGE('',*,*,#179180,.F.); #229474=ORIENTED_EDGE('',*,*,#179183,.F.); #229475=ORIENTED_EDGE('',*,*,#179186,.F.); #229476=ORIENTED_EDGE('',*,*,#179189,.F.); #229477=ORIENTED_EDGE('',*,*,#179192,.F.); #229478=ORIENTED_EDGE('',*,*,#179195,.F.); #229479=ORIENTED_EDGE('',*,*,#179198,.F.); #229480=ORIENTED_EDGE('',*,*,#179201,.F.); #229481=ORIENTED_EDGE('',*,*,#179204,.F.); #229482=ORIENTED_EDGE('',*,*,#179207,.F.); #229483=ORIENTED_EDGE('',*,*,#179210,.F.); #229484=ORIENTED_EDGE('',*,*,#179213,.F.); #229485=ORIENTED_EDGE('',*,*,#179216,.F.); #229486=ORIENTED_EDGE('',*,*,#179219,.F.); #229487=ORIENTED_EDGE('',*,*,#179222,.F.); #229488=ORIENTED_EDGE('',*,*,#179225,.F.); #229489=ORIENTED_EDGE('',*,*,#179228,.F.); #229490=ORIENTED_EDGE('',*,*,#179231,.F.); #229491=ORIENTED_EDGE('',*,*,#179234,.F.); #229492=ORIENTED_EDGE('',*,*,#179237,.F.); #229493=ORIENTED_EDGE('',*,*,#179240,.F.); #229494=ORIENTED_EDGE('',*,*,#179243,.F.); #229495=ORIENTED_EDGE('',*,*,#179246,.F.); #229496=ORIENTED_EDGE('',*,*,#179251,.T.); #229497=ORIENTED_EDGE('',*,*,#179252,.T.); #229498=ORIENTED_EDGE('',*,*,#179253,.F.); #229499=ORIENTED_EDGE('',*,*,#179254,.F.); #229500=ORIENTED_EDGE('',*,*,#179255,.T.); #229501=ORIENTED_EDGE('',*,*,#179254,.T.); #229502=ORIENTED_EDGE('',*,*,#179256,.F.); #229503=ORIENTED_EDGE('',*,*,#179257,.F.); #229504=ORIENTED_EDGE('',*,*,#179258,.T.); #229505=ORIENTED_EDGE('',*,*,#179257,.T.); #229506=ORIENTED_EDGE('',*,*,#179259,.F.); #229507=ORIENTED_EDGE('',*,*,#179260,.F.); #229508=ORIENTED_EDGE('',*,*,#179261,.T.); #229509=ORIENTED_EDGE('',*,*,#179260,.T.); #229510=ORIENTED_EDGE('',*,*,#179262,.F.); #229511=ORIENTED_EDGE('',*,*,#179263,.F.); #229512=ORIENTED_EDGE('',*,*,#179264,.T.); #229513=ORIENTED_EDGE('',*,*,#179263,.T.); #229514=ORIENTED_EDGE('',*,*,#179265,.F.); #229515=ORIENTED_EDGE('',*,*,#179266,.F.); #229516=ORIENTED_EDGE('',*,*,#179267,.T.); #229517=ORIENTED_EDGE('',*,*,#179266,.T.); #229518=ORIENTED_EDGE('',*,*,#179268,.F.); #229519=ORIENTED_EDGE('',*,*,#179269,.F.); #229520=ORIENTED_EDGE('',*,*,#179270,.T.); #229521=ORIENTED_EDGE('',*,*,#179269,.T.); #229522=ORIENTED_EDGE('',*,*,#179271,.F.); #229523=ORIENTED_EDGE('',*,*,#179272,.F.); #229524=ORIENTED_EDGE('',*,*,#179273,.T.); #229525=ORIENTED_EDGE('',*,*,#179272,.T.); #229526=ORIENTED_EDGE('',*,*,#179274,.F.); #229527=ORIENTED_EDGE('',*,*,#179275,.F.); #229528=ORIENTED_EDGE('',*,*,#179276,.T.); #229529=ORIENTED_EDGE('',*,*,#179275,.T.); #229530=ORIENTED_EDGE('',*,*,#179277,.F.); #229531=ORIENTED_EDGE('',*,*,#179278,.F.); #229532=ORIENTED_EDGE('',*,*,#179279,.T.); #229533=ORIENTED_EDGE('',*,*,#179278,.T.); #229534=ORIENTED_EDGE('',*,*,#179280,.F.); #229535=ORIENTED_EDGE('',*,*,#179281,.F.); #229536=ORIENTED_EDGE('',*,*,#179282,.T.); #229537=ORIENTED_EDGE('',*,*,#179281,.T.); #229538=ORIENTED_EDGE('',*,*,#179283,.F.); #229539=ORIENTED_EDGE('',*,*,#179284,.F.); #229540=ORIENTED_EDGE('',*,*,#179285,.T.); #229541=ORIENTED_EDGE('',*,*,#179284,.T.); #229542=ORIENTED_EDGE('',*,*,#179286,.F.); #229543=ORIENTED_EDGE('',*,*,#179287,.F.); #229544=ORIENTED_EDGE('',*,*,#179288,.T.); #229545=ORIENTED_EDGE('',*,*,#179287,.T.); #229546=ORIENTED_EDGE('',*,*,#179289,.F.); #229547=ORIENTED_EDGE('',*,*,#179290,.F.); #229548=ORIENTED_EDGE('',*,*,#179291,.T.); #229549=ORIENTED_EDGE('',*,*,#179290,.T.); #229550=ORIENTED_EDGE('',*,*,#179292,.F.); #229551=ORIENTED_EDGE('',*,*,#179293,.F.); #229552=ORIENTED_EDGE('',*,*,#179294,.T.); #229553=ORIENTED_EDGE('',*,*,#179293,.T.); #229554=ORIENTED_EDGE('',*,*,#179295,.F.); #229555=ORIENTED_EDGE('',*,*,#179296,.F.); #229556=ORIENTED_EDGE('',*,*,#179297,.T.); #229557=ORIENTED_EDGE('',*,*,#179296,.T.); #229558=ORIENTED_EDGE('',*,*,#179298,.F.); #229559=ORIENTED_EDGE('',*,*,#179299,.F.); #229560=ORIENTED_EDGE('',*,*,#179300,.T.); #229561=ORIENTED_EDGE('',*,*,#179299,.T.); #229562=ORIENTED_EDGE('',*,*,#179301,.F.); #229563=ORIENTED_EDGE('',*,*,#179302,.F.); #229564=ORIENTED_EDGE('',*,*,#179303,.T.); #229565=ORIENTED_EDGE('',*,*,#179302,.T.); #229566=ORIENTED_EDGE('',*,*,#179304,.F.); #229567=ORIENTED_EDGE('',*,*,#179305,.F.); #229568=ORIENTED_EDGE('',*,*,#179306,.T.); #229569=ORIENTED_EDGE('',*,*,#179305,.T.); #229570=ORIENTED_EDGE('',*,*,#179307,.F.); #229571=ORIENTED_EDGE('',*,*,#179308,.F.); #229572=ORIENTED_EDGE('',*,*,#179309,.T.); #229573=ORIENTED_EDGE('',*,*,#179308,.T.); #229574=ORIENTED_EDGE('',*,*,#179310,.F.); #229575=ORIENTED_EDGE('',*,*,#179252,.F.); #229576=ORIENTED_EDGE('',*,*,#179310,.T.); #229577=ORIENTED_EDGE('',*,*,#179307,.T.); #229578=ORIENTED_EDGE('',*,*,#179304,.T.); #229579=ORIENTED_EDGE('',*,*,#179301,.T.); #229580=ORIENTED_EDGE('',*,*,#179298,.T.); #229581=ORIENTED_EDGE('',*,*,#179295,.T.); #229582=ORIENTED_EDGE('',*,*,#179292,.T.); #229583=ORIENTED_EDGE('',*,*,#179289,.T.); #229584=ORIENTED_EDGE('',*,*,#179286,.T.); #229585=ORIENTED_EDGE('',*,*,#179283,.T.); #229586=ORIENTED_EDGE('',*,*,#179280,.T.); #229587=ORIENTED_EDGE('',*,*,#179277,.T.); #229588=ORIENTED_EDGE('',*,*,#179274,.T.); #229589=ORIENTED_EDGE('',*,*,#179271,.T.); #229590=ORIENTED_EDGE('',*,*,#179268,.T.); #229591=ORIENTED_EDGE('',*,*,#179265,.T.); #229592=ORIENTED_EDGE('',*,*,#179262,.T.); #229593=ORIENTED_EDGE('',*,*,#179259,.T.); #229594=ORIENTED_EDGE('',*,*,#179256,.T.); #229595=ORIENTED_EDGE('',*,*,#179253,.T.); #229596=ORIENTED_EDGE('',*,*,#179309,.F.); #229597=ORIENTED_EDGE('',*,*,#179251,.F.); #229598=ORIENTED_EDGE('',*,*,#179255,.F.); #229599=ORIENTED_EDGE('',*,*,#179258,.F.); #229600=ORIENTED_EDGE('',*,*,#179261,.F.); #229601=ORIENTED_EDGE('',*,*,#179264,.F.); #229602=ORIENTED_EDGE('',*,*,#179267,.F.); #229603=ORIENTED_EDGE('',*,*,#179270,.F.); #229604=ORIENTED_EDGE('',*,*,#179273,.F.); #229605=ORIENTED_EDGE('',*,*,#179276,.F.); #229606=ORIENTED_EDGE('',*,*,#179279,.F.); #229607=ORIENTED_EDGE('',*,*,#179282,.F.); #229608=ORIENTED_EDGE('',*,*,#179285,.F.); #229609=ORIENTED_EDGE('',*,*,#179288,.F.); #229610=ORIENTED_EDGE('',*,*,#179291,.F.); #229611=ORIENTED_EDGE('',*,*,#179294,.F.); #229612=ORIENTED_EDGE('',*,*,#179297,.F.); #229613=ORIENTED_EDGE('',*,*,#179300,.F.); #229614=ORIENTED_EDGE('',*,*,#179303,.F.); #229615=ORIENTED_EDGE('',*,*,#179306,.F.); #229616=ORIENTED_EDGE('',*,*,#179311,.F.); #229617=ORIENTED_EDGE('',*,*,#179312,.T.); #229618=ORIENTED_EDGE('',*,*,#179313,.F.); #229619=ORIENTED_EDGE('',*,*,#179312,.F.); #229620=ORIENTED_EDGE('',*,*,#179314,.T.); #229621=ORIENTED_EDGE('',*,*,#179315,.T.); #229622=ORIENTED_EDGE('',*,*,#179316,.F.); #229623=ORIENTED_EDGE('',*,*,#179317,.F.); #229624=ORIENTED_EDGE('',*,*,#179318,.T.); #229625=ORIENTED_EDGE('',*,*,#179317,.T.); #229626=ORIENTED_EDGE('',*,*,#179319,.F.); #229627=ORIENTED_EDGE('',*,*,#179320,.F.); #229628=ORIENTED_EDGE('',*,*,#179321,.T.); #229629=ORIENTED_EDGE('',*,*,#179320,.T.); #229630=ORIENTED_EDGE('',*,*,#179322,.F.); #229631=ORIENTED_EDGE('',*,*,#179323,.F.); #229632=ORIENTED_EDGE('',*,*,#179324,.T.); #229633=ORIENTED_EDGE('',*,*,#179323,.T.); #229634=ORIENTED_EDGE('',*,*,#179325,.F.); #229635=ORIENTED_EDGE('',*,*,#179326,.F.); #229636=ORIENTED_EDGE('',*,*,#179327,.T.); #229637=ORIENTED_EDGE('',*,*,#179326,.T.); #229638=ORIENTED_EDGE('',*,*,#179328,.F.); #229639=ORIENTED_EDGE('',*,*,#179329,.F.); #229640=ORIENTED_EDGE('',*,*,#179330,.T.); #229641=ORIENTED_EDGE('',*,*,#179329,.T.); #229642=ORIENTED_EDGE('',*,*,#179331,.F.); #229643=ORIENTED_EDGE('',*,*,#179332,.F.); #229644=ORIENTED_EDGE('',*,*,#179333,.T.); #229645=ORIENTED_EDGE('',*,*,#179332,.T.); #229646=ORIENTED_EDGE('',*,*,#179334,.F.); #229647=ORIENTED_EDGE('',*,*,#179335,.F.); #229648=ORIENTED_EDGE('',*,*,#179336,.T.); #229649=ORIENTED_EDGE('',*,*,#179335,.T.); #229650=ORIENTED_EDGE('',*,*,#179337,.F.); #229651=ORIENTED_EDGE('',*,*,#179338,.F.); #229652=ORIENTED_EDGE('',*,*,#179339,.T.); #229653=ORIENTED_EDGE('',*,*,#179338,.T.); #229654=ORIENTED_EDGE('',*,*,#179340,.F.); #229655=ORIENTED_EDGE('',*,*,#179341,.F.); #229656=ORIENTED_EDGE('',*,*,#179342,.T.); #229657=ORIENTED_EDGE('',*,*,#179341,.T.); #229658=ORIENTED_EDGE('',*,*,#179343,.F.); #229659=ORIENTED_EDGE('',*,*,#179344,.F.); #229660=ORIENTED_EDGE('',*,*,#179345,.T.); #229661=ORIENTED_EDGE('',*,*,#179344,.T.); #229662=ORIENTED_EDGE('',*,*,#179346,.F.); #229663=ORIENTED_EDGE('',*,*,#179347,.F.); #229664=ORIENTED_EDGE('',*,*,#179348,.T.); #229665=ORIENTED_EDGE('',*,*,#179347,.T.); #229666=ORIENTED_EDGE('',*,*,#179349,.F.); #229667=ORIENTED_EDGE('',*,*,#179350,.F.); #229668=ORIENTED_EDGE('',*,*,#179351,.T.); #229669=ORIENTED_EDGE('',*,*,#179350,.T.); #229670=ORIENTED_EDGE('',*,*,#179352,.F.); #229671=ORIENTED_EDGE('',*,*,#179353,.F.); #229672=ORIENTED_EDGE('',*,*,#179354,.T.); #229673=ORIENTED_EDGE('',*,*,#179353,.T.); #229674=ORIENTED_EDGE('',*,*,#179355,.F.); #229675=ORIENTED_EDGE('',*,*,#179356,.F.); #229676=ORIENTED_EDGE('',*,*,#179357,.T.); #229677=ORIENTED_EDGE('',*,*,#179356,.T.); #229678=ORIENTED_EDGE('',*,*,#179358,.F.); #229679=ORIENTED_EDGE('',*,*,#179359,.F.); #229680=ORIENTED_EDGE('',*,*,#179360,.T.); #229681=ORIENTED_EDGE('',*,*,#179359,.T.); #229682=ORIENTED_EDGE('',*,*,#179361,.F.); #229683=ORIENTED_EDGE('',*,*,#179362,.F.); #229684=ORIENTED_EDGE('',*,*,#179363,.T.); #229685=ORIENTED_EDGE('',*,*,#179362,.T.); #229686=ORIENTED_EDGE('',*,*,#179364,.F.); #229687=ORIENTED_EDGE('',*,*,#179365,.F.); #229688=ORIENTED_EDGE('',*,*,#179366,.T.); #229689=ORIENTED_EDGE('',*,*,#179365,.T.); #229690=ORIENTED_EDGE('',*,*,#179367,.F.); #229691=ORIENTED_EDGE('',*,*,#179368,.F.); #229692=ORIENTED_EDGE('',*,*,#179369,.T.); #229693=ORIENTED_EDGE('',*,*,#179368,.T.); #229694=ORIENTED_EDGE('',*,*,#179370,.F.); #229695=ORIENTED_EDGE('',*,*,#179315,.F.); #229696=ORIENTED_EDGE('',*,*,#179370,.T.); #229697=ORIENTED_EDGE('',*,*,#179367,.T.); #229698=ORIENTED_EDGE('',*,*,#179364,.T.); #229699=ORIENTED_EDGE('',*,*,#179361,.T.); #229700=ORIENTED_EDGE('',*,*,#179358,.T.); #229701=ORIENTED_EDGE('',*,*,#179355,.T.); #229702=ORIENTED_EDGE('',*,*,#179352,.T.); #229703=ORIENTED_EDGE('',*,*,#179349,.T.); #229704=ORIENTED_EDGE('',*,*,#179346,.T.); #229705=ORIENTED_EDGE('',*,*,#179343,.T.); #229706=ORIENTED_EDGE('',*,*,#179340,.T.); #229707=ORIENTED_EDGE('',*,*,#179337,.T.); #229708=ORIENTED_EDGE('',*,*,#179334,.T.); #229709=ORIENTED_EDGE('',*,*,#179331,.T.); #229710=ORIENTED_EDGE('',*,*,#179328,.T.); #229711=ORIENTED_EDGE('',*,*,#179325,.T.); #229712=ORIENTED_EDGE('',*,*,#179322,.T.); #229713=ORIENTED_EDGE('',*,*,#179319,.T.); #229714=ORIENTED_EDGE('',*,*,#179316,.T.); #229715=ORIENTED_EDGE('',*,*,#179313,.T.); #229716=ORIENTED_EDGE('',*,*,#179369,.F.); #229717=ORIENTED_EDGE('',*,*,#179314,.F.); #229718=ORIENTED_EDGE('',*,*,#179318,.F.); #229719=ORIENTED_EDGE('',*,*,#179321,.F.); #229720=ORIENTED_EDGE('',*,*,#179324,.F.); #229721=ORIENTED_EDGE('',*,*,#179327,.F.); #229722=ORIENTED_EDGE('',*,*,#179330,.F.); #229723=ORIENTED_EDGE('',*,*,#179333,.F.); #229724=ORIENTED_EDGE('',*,*,#179336,.F.); #229725=ORIENTED_EDGE('',*,*,#179339,.F.); #229726=ORIENTED_EDGE('',*,*,#179342,.F.); #229727=ORIENTED_EDGE('',*,*,#179345,.F.); #229728=ORIENTED_EDGE('',*,*,#179348,.F.); #229729=ORIENTED_EDGE('',*,*,#179351,.F.); #229730=ORIENTED_EDGE('',*,*,#179354,.F.); #229731=ORIENTED_EDGE('',*,*,#179357,.F.); #229732=ORIENTED_EDGE('',*,*,#179360,.F.); #229733=ORIENTED_EDGE('',*,*,#179363,.F.); #229734=ORIENTED_EDGE('',*,*,#179366,.F.); #229735=ORIENTED_EDGE('',*,*,#179311,.T.); #229736=ORIENTED_EDGE('',*,*,#179371,.F.); #229737=ORIENTED_EDGE('',*,*,#179372,.T.); #229738=ORIENTED_EDGE('',*,*,#179373,.F.); #229739=ORIENTED_EDGE('',*,*,#179372,.F.); #229740=ORIENTED_EDGE('',*,*,#179374,.T.); #229741=ORIENTED_EDGE('',*,*,#179375,.T.); #229742=ORIENTED_EDGE('',*,*,#179376,.F.); #229743=ORIENTED_EDGE('',*,*,#179377,.F.); #229744=ORIENTED_EDGE('',*,*,#179378,.T.); #229745=ORIENTED_EDGE('',*,*,#179377,.T.); #229746=ORIENTED_EDGE('',*,*,#179379,.F.); #229747=ORIENTED_EDGE('',*,*,#179380,.F.); #229748=ORIENTED_EDGE('',*,*,#179381,.T.); #229749=ORIENTED_EDGE('',*,*,#179380,.T.); #229750=ORIENTED_EDGE('',*,*,#179382,.F.); #229751=ORIENTED_EDGE('',*,*,#179383,.F.); #229752=ORIENTED_EDGE('',*,*,#179384,.T.); #229753=ORIENTED_EDGE('',*,*,#179383,.T.); #229754=ORIENTED_EDGE('',*,*,#179385,.F.); #229755=ORIENTED_EDGE('',*,*,#179386,.F.); #229756=ORIENTED_EDGE('',*,*,#179387,.T.); #229757=ORIENTED_EDGE('',*,*,#179386,.T.); #229758=ORIENTED_EDGE('',*,*,#179388,.F.); #229759=ORIENTED_EDGE('',*,*,#179389,.F.); #229760=ORIENTED_EDGE('',*,*,#179390,.T.); #229761=ORIENTED_EDGE('',*,*,#179389,.T.); #229762=ORIENTED_EDGE('',*,*,#179391,.F.); #229763=ORIENTED_EDGE('',*,*,#179392,.F.); #229764=ORIENTED_EDGE('',*,*,#179393,.T.); #229765=ORIENTED_EDGE('',*,*,#179392,.T.); #229766=ORIENTED_EDGE('',*,*,#179394,.F.); #229767=ORIENTED_EDGE('',*,*,#179395,.F.); #229768=ORIENTED_EDGE('',*,*,#179396,.T.); #229769=ORIENTED_EDGE('',*,*,#179395,.T.); #229770=ORIENTED_EDGE('',*,*,#179397,.F.); #229771=ORIENTED_EDGE('',*,*,#179398,.F.); #229772=ORIENTED_EDGE('',*,*,#179399,.T.); #229773=ORIENTED_EDGE('',*,*,#179398,.T.); #229774=ORIENTED_EDGE('',*,*,#179400,.F.); #229775=ORIENTED_EDGE('',*,*,#179401,.F.); #229776=ORIENTED_EDGE('',*,*,#179402,.T.); #229777=ORIENTED_EDGE('',*,*,#179401,.T.); #229778=ORIENTED_EDGE('',*,*,#179403,.F.); #229779=ORIENTED_EDGE('',*,*,#179404,.F.); #229780=ORIENTED_EDGE('',*,*,#179405,.T.); #229781=ORIENTED_EDGE('',*,*,#179404,.T.); #229782=ORIENTED_EDGE('',*,*,#179406,.F.); #229783=ORIENTED_EDGE('',*,*,#179407,.F.); #229784=ORIENTED_EDGE('',*,*,#179408,.T.); #229785=ORIENTED_EDGE('',*,*,#179407,.T.); #229786=ORIENTED_EDGE('',*,*,#179409,.F.); #229787=ORIENTED_EDGE('',*,*,#179410,.F.); #229788=ORIENTED_EDGE('',*,*,#179411,.T.); #229789=ORIENTED_EDGE('',*,*,#179410,.T.); #229790=ORIENTED_EDGE('',*,*,#179412,.F.); #229791=ORIENTED_EDGE('',*,*,#179413,.F.); #229792=ORIENTED_EDGE('',*,*,#179414,.T.); #229793=ORIENTED_EDGE('',*,*,#179413,.T.); #229794=ORIENTED_EDGE('',*,*,#179415,.F.); #229795=ORIENTED_EDGE('',*,*,#179416,.F.); #229796=ORIENTED_EDGE('',*,*,#179417,.T.); #229797=ORIENTED_EDGE('',*,*,#179416,.T.); #229798=ORIENTED_EDGE('',*,*,#179418,.F.); #229799=ORIENTED_EDGE('',*,*,#179419,.F.); #229800=ORIENTED_EDGE('',*,*,#179420,.T.); #229801=ORIENTED_EDGE('',*,*,#179419,.T.); #229802=ORIENTED_EDGE('',*,*,#179421,.F.); #229803=ORIENTED_EDGE('',*,*,#179375,.F.); #229804=ORIENTED_EDGE('',*,*,#179421,.T.); #229805=ORIENTED_EDGE('',*,*,#179418,.T.); #229806=ORIENTED_EDGE('',*,*,#179415,.T.); #229807=ORIENTED_EDGE('',*,*,#179412,.T.); #229808=ORIENTED_EDGE('',*,*,#179409,.T.); #229809=ORIENTED_EDGE('',*,*,#179406,.T.); #229810=ORIENTED_EDGE('',*,*,#179403,.T.); #229811=ORIENTED_EDGE('',*,*,#179400,.T.); #229812=ORIENTED_EDGE('',*,*,#179397,.T.); #229813=ORIENTED_EDGE('',*,*,#179394,.T.); #229814=ORIENTED_EDGE('',*,*,#179391,.T.); #229815=ORIENTED_EDGE('',*,*,#179388,.T.); #229816=ORIENTED_EDGE('',*,*,#179385,.T.); #229817=ORIENTED_EDGE('',*,*,#179382,.T.); #229818=ORIENTED_EDGE('',*,*,#179379,.T.); #229819=ORIENTED_EDGE('',*,*,#179376,.T.); #229820=ORIENTED_EDGE('',*,*,#179373,.T.); #229821=ORIENTED_EDGE('',*,*,#179420,.F.); #229822=ORIENTED_EDGE('',*,*,#179374,.F.); #229823=ORIENTED_EDGE('',*,*,#179378,.F.); #229824=ORIENTED_EDGE('',*,*,#179381,.F.); #229825=ORIENTED_EDGE('',*,*,#179384,.F.); #229826=ORIENTED_EDGE('',*,*,#179387,.F.); #229827=ORIENTED_EDGE('',*,*,#179390,.F.); #229828=ORIENTED_EDGE('',*,*,#179393,.F.); #229829=ORIENTED_EDGE('',*,*,#179396,.F.); #229830=ORIENTED_EDGE('',*,*,#179399,.F.); #229831=ORIENTED_EDGE('',*,*,#179402,.F.); #229832=ORIENTED_EDGE('',*,*,#179405,.F.); #229833=ORIENTED_EDGE('',*,*,#179408,.F.); #229834=ORIENTED_EDGE('',*,*,#179411,.F.); #229835=ORIENTED_EDGE('',*,*,#179414,.F.); #229836=ORIENTED_EDGE('',*,*,#179417,.F.); #229837=ORIENTED_EDGE('',*,*,#179371,.T.); #229838=ORIENTED_EDGE('',*,*,#179422,.F.); #229839=ORIENTED_EDGE('',*,*,#179423,.T.); #229840=ORIENTED_EDGE('',*,*,#179424,.F.); #229841=ORIENTED_EDGE('',*,*,#179423,.F.); #229842=ORIENTED_EDGE('',*,*,#179425,.T.); #229843=ORIENTED_EDGE('',*,*,#179426,.T.); #229844=ORIENTED_EDGE('',*,*,#179427,.F.); #229845=ORIENTED_EDGE('',*,*,#179428,.F.); #229846=ORIENTED_EDGE('',*,*,#179429,.T.); #229847=ORIENTED_EDGE('',*,*,#179428,.T.); #229848=ORIENTED_EDGE('',*,*,#179430,.F.); #229849=ORIENTED_EDGE('',*,*,#179431,.F.); #229850=ORIENTED_EDGE('',*,*,#179432,.T.); #229851=ORIENTED_EDGE('',*,*,#179431,.T.); #229852=ORIENTED_EDGE('',*,*,#179433,.F.); #229853=ORIENTED_EDGE('',*,*,#179434,.F.); #229854=ORIENTED_EDGE('',*,*,#179435,.T.); #229855=ORIENTED_EDGE('',*,*,#179434,.T.); #229856=ORIENTED_EDGE('',*,*,#179436,.F.); #229857=ORIENTED_EDGE('',*,*,#179437,.F.); #229858=ORIENTED_EDGE('',*,*,#179438,.T.); #229859=ORIENTED_EDGE('',*,*,#179437,.T.); #229860=ORIENTED_EDGE('',*,*,#179439,.F.); #229861=ORIENTED_EDGE('',*,*,#179440,.F.); #229862=ORIENTED_EDGE('',*,*,#179441,.T.); #229863=ORIENTED_EDGE('',*,*,#179440,.T.); #229864=ORIENTED_EDGE('',*,*,#179442,.F.); #229865=ORIENTED_EDGE('',*,*,#179443,.F.); #229866=ORIENTED_EDGE('',*,*,#179444,.T.); #229867=ORIENTED_EDGE('',*,*,#179443,.T.); #229868=ORIENTED_EDGE('',*,*,#179445,.F.); #229869=ORIENTED_EDGE('',*,*,#179446,.F.); #229870=ORIENTED_EDGE('',*,*,#179447,.T.); #229871=ORIENTED_EDGE('',*,*,#179446,.T.); #229872=ORIENTED_EDGE('',*,*,#179448,.F.); #229873=ORIENTED_EDGE('',*,*,#179449,.F.); #229874=ORIENTED_EDGE('',*,*,#179450,.T.); #229875=ORIENTED_EDGE('',*,*,#179449,.T.); #229876=ORIENTED_EDGE('',*,*,#179451,.F.); #229877=ORIENTED_EDGE('',*,*,#179452,.F.); #229878=ORIENTED_EDGE('',*,*,#179453,.T.); #229879=ORIENTED_EDGE('',*,*,#179452,.T.); #229880=ORIENTED_EDGE('',*,*,#179454,.F.); #229881=ORIENTED_EDGE('',*,*,#179455,.F.); #229882=ORIENTED_EDGE('',*,*,#179456,.T.); #229883=ORIENTED_EDGE('',*,*,#179455,.T.); #229884=ORIENTED_EDGE('',*,*,#179457,.F.); #229885=ORIENTED_EDGE('',*,*,#179458,.F.); #229886=ORIENTED_EDGE('',*,*,#179459,.T.); #229887=ORIENTED_EDGE('',*,*,#179458,.T.); #229888=ORIENTED_EDGE('',*,*,#179460,.F.); #229889=ORIENTED_EDGE('',*,*,#179461,.F.); #229890=ORIENTED_EDGE('',*,*,#179462,.T.); #229891=ORIENTED_EDGE('',*,*,#179461,.T.); #229892=ORIENTED_EDGE('',*,*,#179463,.F.); #229893=ORIENTED_EDGE('',*,*,#179426,.F.); #229894=ORIENTED_EDGE('',*,*,#179463,.T.); #229895=ORIENTED_EDGE('',*,*,#179460,.T.); #229896=ORIENTED_EDGE('',*,*,#179457,.T.); #229897=ORIENTED_EDGE('',*,*,#179454,.T.); #229898=ORIENTED_EDGE('',*,*,#179451,.T.); #229899=ORIENTED_EDGE('',*,*,#179448,.T.); #229900=ORIENTED_EDGE('',*,*,#179445,.T.); #229901=ORIENTED_EDGE('',*,*,#179442,.T.); #229902=ORIENTED_EDGE('',*,*,#179439,.T.); #229903=ORIENTED_EDGE('',*,*,#179436,.T.); #229904=ORIENTED_EDGE('',*,*,#179433,.T.); #229905=ORIENTED_EDGE('',*,*,#179430,.T.); #229906=ORIENTED_EDGE('',*,*,#179427,.T.); #229907=ORIENTED_EDGE('',*,*,#179424,.T.); #229908=ORIENTED_EDGE('',*,*,#179462,.F.); #229909=ORIENTED_EDGE('',*,*,#179425,.F.); #229910=ORIENTED_EDGE('',*,*,#179429,.F.); #229911=ORIENTED_EDGE('',*,*,#179432,.F.); #229912=ORIENTED_EDGE('',*,*,#179435,.F.); #229913=ORIENTED_EDGE('',*,*,#179438,.F.); #229914=ORIENTED_EDGE('',*,*,#179441,.F.); #229915=ORIENTED_EDGE('',*,*,#179444,.F.); #229916=ORIENTED_EDGE('',*,*,#179447,.F.); #229917=ORIENTED_EDGE('',*,*,#179450,.F.); #229918=ORIENTED_EDGE('',*,*,#179453,.F.); #229919=ORIENTED_EDGE('',*,*,#179456,.F.); #229920=ORIENTED_EDGE('',*,*,#179459,.F.); #229921=ORIENTED_EDGE('',*,*,#179422,.T.); #229922=ORIENTED_EDGE('',*,*,#179464,.F.); #229923=ORIENTED_EDGE('',*,*,#179465,.T.); #229924=ORIENTED_EDGE('',*,*,#179466,.F.); #229925=ORIENTED_EDGE('',*,*,#179465,.F.); #229926=ORIENTED_EDGE('',*,*,#179467,.T.); #229927=ORIENTED_EDGE('',*,*,#179468,.T.); #229928=ORIENTED_EDGE('',*,*,#179469,.F.); #229929=ORIENTED_EDGE('',*,*,#179470,.F.); #229930=ORIENTED_EDGE('',*,*,#179471,.T.); #229931=ORIENTED_EDGE('',*,*,#179470,.T.); #229932=ORIENTED_EDGE('',*,*,#179472,.F.); #229933=ORIENTED_EDGE('',*,*,#179473,.F.); #229934=ORIENTED_EDGE('',*,*,#179474,.T.); #229935=ORIENTED_EDGE('',*,*,#179473,.T.); #229936=ORIENTED_EDGE('',*,*,#179475,.F.); #229937=ORIENTED_EDGE('',*,*,#179476,.F.); #229938=ORIENTED_EDGE('',*,*,#179477,.T.); #229939=ORIENTED_EDGE('',*,*,#179476,.T.); #229940=ORIENTED_EDGE('',*,*,#179478,.F.); #229941=ORIENTED_EDGE('',*,*,#179479,.F.); #229942=ORIENTED_EDGE('',*,*,#179480,.T.); #229943=ORIENTED_EDGE('',*,*,#179479,.T.); #229944=ORIENTED_EDGE('',*,*,#179481,.F.); #229945=ORIENTED_EDGE('',*,*,#179482,.F.); #229946=ORIENTED_EDGE('',*,*,#179483,.T.); #229947=ORIENTED_EDGE('',*,*,#179482,.T.); #229948=ORIENTED_EDGE('',*,*,#179484,.F.); #229949=ORIENTED_EDGE('',*,*,#179485,.F.); #229950=ORIENTED_EDGE('',*,*,#179486,.T.); #229951=ORIENTED_EDGE('',*,*,#179485,.T.); #229952=ORIENTED_EDGE('',*,*,#179487,.F.); #229953=ORIENTED_EDGE('',*,*,#179488,.F.); #229954=ORIENTED_EDGE('',*,*,#179489,.T.); #229955=ORIENTED_EDGE('',*,*,#179488,.T.); #229956=ORIENTED_EDGE('',*,*,#179490,.F.); #229957=ORIENTED_EDGE('',*,*,#179491,.F.); #229958=ORIENTED_EDGE('',*,*,#179492,.T.); #229959=ORIENTED_EDGE('',*,*,#179491,.T.); #229960=ORIENTED_EDGE('',*,*,#179493,.F.); #229961=ORIENTED_EDGE('',*,*,#179494,.F.); #229962=ORIENTED_EDGE('',*,*,#179495,.T.); #229963=ORIENTED_EDGE('',*,*,#179494,.T.); #229964=ORIENTED_EDGE('',*,*,#179496,.F.); #229965=ORIENTED_EDGE('',*,*,#179497,.F.); #229966=ORIENTED_EDGE('',*,*,#179498,.T.); #229967=ORIENTED_EDGE('',*,*,#179497,.T.); #229968=ORIENTED_EDGE('',*,*,#179499,.F.); #229969=ORIENTED_EDGE('',*,*,#179500,.F.); #229970=ORIENTED_EDGE('',*,*,#179501,.T.); #229971=ORIENTED_EDGE('',*,*,#179500,.T.); #229972=ORIENTED_EDGE('',*,*,#179502,.F.); #229973=ORIENTED_EDGE('',*,*,#179503,.F.); #229974=ORIENTED_EDGE('',*,*,#179504,.T.); #229975=ORIENTED_EDGE('',*,*,#179503,.T.); #229976=ORIENTED_EDGE('',*,*,#179505,.F.); #229977=ORIENTED_EDGE('',*,*,#179506,.F.); #229978=ORIENTED_EDGE('',*,*,#179507,.T.); #229979=ORIENTED_EDGE('',*,*,#179506,.T.); #229980=ORIENTED_EDGE('',*,*,#179508,.F.); #229981=ORIENTED_EDGE('',*,*,#179509,.F.); #229982=ORIENTED_EDGE('',*,*,#179510,.T.); #229983=ORIENTED_EDGE('',*,*,#179509,.T.); #229984=ORIENTED_EDGE('',*,*,#179511,.F.); #229985=ORIENTED_EDGE('',*,*,#179512,.F.); #229986=ORIENTED_EDGE('',*,*,#179513,.T.); #229987=ORIENTED_EDGE('',*,*,#179512,.T.); #229988=ORIENTED_EDGE('',*,*,#179514,.F.); #229989=ORIENTED_EDGE('',*,*,#179515,.F.); #229990=ORIENTED_EDGE('',*,*,#179516,.T.); #229991=ORIENTED_EDGE('',*,*,#179515,.T.); #229992=ORIENTED_EDGE('',*,*,#179517,.F.); #229993=ORIENTED_EDGE('',*,*,#179518,.F.); #229994=ORIENTED_EDGE('',*,*,#179519,.T.); #229995=ORIENTED_EDGE('',*,*,#179518,.T.); #229996=ORIENTED_EDGE('',*,*,#179520,.F.); #229997=ORIENTED_EDGE('',*,*,#179468,.F.); #229998=ORIENTED_EDGE('',*,*,#179520,.T.); #229999=ORIENTED_EDGE('',*,*,#179517,.T.); #230000=ORIENTED_EDGE('',*,*,#179514,.T.); #230001=ORIENTED_EDGE('',*,*,#179511,.T.); #230002=ORIENTED_EDGE('',*,*,#179508,.T.); #230003=ORIENTED_EDGE('',*,*,#179505,.T.); #230004=ORIENTED_EDGE('',*,*,#179502,.T.); #230005=ORIENTED_EDGE('',*,*,#179499,.T.); #230006=ORIENTED_EDGE('',*,*,#179496,.T.); #230007=ORIENTED_EDGE('',*,*,#179493,.T.); #230008=ORIENTED_EDGE('',*,*,#179490,.T.); #230009=ORIENTED_EDGE('',*,*,#179487,.T.); #230010=ORIENTED_EDGE('',*,*,#179484,.T.); #230011=ORIENTED_EDGE('',*,*,#179481,.T.); #230012=ORIENTED_EDGE('',*,*,#179478,.T.); #230013=ORIENTED_EDGE('',*,*,#179475,.T.); #230014=ORIENTED_EDGE('',*,*,#179472,.T.); #230015=ORIENTED_EDGE('',*,*,#179469,.T.); #230016=ORIENTED_EDGE('',*,*,#179466,.T.); #230017=ORIENTED_EDGE('',*,*,#179519,.F.); #230018=ORIENTED_EDGE('',*,*,#179467,.F.); #230019=ORIENTED_EDGE('',*,*,#179471,.F.); #230020=ORIENTED_EDGE('',*,*,#179474,.F.); #230021=ORIENTED_EDGE('',*,*,#179477,.F.); #230022=ORIENTED_EDGE('',*,*,#179480,.F.); #230023=ORIENTED_EDGE('',*,*,#179483,.F.); #230024=ORIENTED_EDGE('',*,*,#179486,.F.); #230025=ORIENTED_EDGE('',*,*,#179489,.F.); #230026=ORIENTED_EDGE('',*,*,#179492,.F.); #230027=ORIENTED_EDGE('',*,*,#179495,.F.); #230028=ORIENTED_EDGE('',*,*,#179498,.F.); #230029=ORIENTED_EDGE('',*,*,#179501,.F.); #230030=ORIENTED_EDGE('',*,*,#179504,.F.); #230031=ORIENTED_EDGE('',*,*,#179507,.F.); #230032=ORIENTED_EDGE('',*,*,#179510,.F.); #230033=ORIENTED_EDGE('',*,*,#179513,.F.); #230034=ORIENTED_EDGE('',*,*,#179516,.F.); #230035=ORIENTED_EDGE('',*,*,#179464,.T.); #230036=ORIENTED_EDGE('',*,*,#179521,.F.); #230037=ORIENTED_EDGE('',*,*,#179522,.T.); #230038=ORIENTED_EDGE('',*,*,#179523,.F.); #230039=ORIENTED_EDGE('',*,*,#179522,.F.); #230040=ORIENTED_EDGE('',*,*,#179524,.T.); #230041=ORIENTED_EDGE('',*,*,#179525,.T.); #230042=ORIENTED_EDGE('',*,*,#179526,.F.); #230043=ORIENTED_EDGE('',*,*,#179527,.F.); #230044=ORIENTED_EDGE('',*,*,#179528,.T.); #230045=ORIENTED_EDGE('',*,*,#179527,.T.); #230046=ORIENTED_EDGE('',*,*,#179529,.F.); #230047=ORIENTED_EDGE('',*,*,#179530,.F.); #230048=ORIENTED_EDGE('',*,*,#179531,.T.); #230049=ORIENTED_EDGE('',*,*,#179530,.T.); #230050=ORIENTED_EDGE('',*,*,#179532,.F.); #230051=ORIENTED_EDGE('',*,*,#179533,.F.); #230052=ORIENTED_EDGE('',*,*,#179534,.T.); #230053=ORIENTED_EDGE('',*,*,#179533,.T.); #230054=ORIENTED_EDGE('',*,*,#179535,.F.); #230055=ORIENTED_EDGE('',*,*,#179536,.F.); #230056=ORIENTED_EDGE('',*,*,#179537,.T.); #230057=ORIENTED_EDGE('',*,*,#179536,.T.); #230058=ORIENTED_EDGE('',*,*,#179538,.F.); #230059=ORIENTED_EDGE('',*,*,#179539,.F.); #230060=ORIENTED_EDGE('',*,*,#179540,.T.); #230061=ORIENTED_EDGE('',*,*,#179539,.T.); #230062=ORIENTED_EDGE('',*,*,#179541,.F.); #230063=ORIENTED_EDGE('',*,*,#179542,.F.); #230064=ORIENTED_EDGE('',*,*,#179543,.T.); #230065=ORIENTED_EDGE('',*,*,#179542,.T.); #230066=ORIENTED_EDGE('',*,*,#179544,.F.); #230067=ORIENTED_EDGE('',*,*,#179545,.F.); #230068=ORIENTED_EDGE('',*,*,#179546,.T.); #230069=ORIENTED_EDGE('',*,*,#179545,.T.); #230070=ORIENTED_EDGE('',*,*,#179547,.F.); #230071=ORIENTED_EDGE('',*,*,#179548,.F.); #230072=ORIENTED_EDGE('',*,*,#179549,.T.); #230073=ORIENTED_EDGE('',*,*,#179548,.T.); #230074=ORIENTED_EDGE('',*,*,#179550,.F.); #230075=ORIENTED_EDGE('',*,*,#179551,.F.); #230076=ORIENTED_EDGE('',*,*,#179552,.T.); #230077=ORIENTED_EDGE('',*,*,#179551,.T.); #230078=ORIENTED_EDGE('',*,*,#179553,.F.); #230079=ORIENTED_EDGE('',*,*,#179554,.F.); #230080=ORIENTED_EDGE('',*,*,#179555,.T.); #230081=ORIENTED_EDGE('',*,*,#179554,.T.); #230082=ORIENTED_EDGE('',*,*,#179556,.F.); #230083=ORIENTED_EDGE('',*,*,#179557,.F.); #230084=ORIENTED_EDGE('',*,*,#179558,.T.); #230085=ORIENTED_EDGE('',*,*,#179557,.T.); #230086=ORIENTED_EDGE('',*,*,#179559,.F.); #230087=ORIENTED_EDGE('',*,*,#179560,.F.); #230088=ORIENTED_EDGE('',*,*,#179561,.T.); #230089=ORIENTED_EDGE('',*,*,#179560,.T.); #230090=ORIENTED_EDGE('',*,*,#179562,.F.); #230091=ORIENTED_EDGE('',*,*,#179525,.F.); #230092=ORIENTED_EDGE('',*,*,#179562,.T.); #230093=ORIENTED_EDGE('',*,*,#179559,.T.); #230094=ORIENTED_EDGE('',*,*,#179556,.T.); #230095=ORIENTED_EDGE('',*,*,#179553,.T.); #230096=ORIENTED_EDGE('',*,*,#179550,.T.); #230097=ORIENTED_EDGE('',*,*,#179547,.T.); #230098=ORIENTED_EDGE('',*,*,#179544,.T.); #230099=ORIENTED_EDGE('',*,*,#179541,.T.); #230100=ORIENTED_EDGE('',*,*,#179538,.T.); #230101=ORIENTED_EDGE('',*,*,#179535,.T.); #230102=ORIENTED_EDGE('',*,*,#179532,.T.); #230103=ORIENTED_EDGE('',*,*,#179529,.T.); #230104=ORIENTED_EDGE('',*,*,#179526,.T.); #230105=ORIENTED_EDGE('',*,*,#179523,.T.); #230106=ORIENTED_EDGE('',*,*,#179561,.F.); #230107=ORIENTED_EDGE('',*,*,#179524,.F.); #230108=ORIENTED_EDGE('',*,*,#179528,.F.); #230109=ORIENTED_EDGE('',*,*,#179531,.F.); #230110=ORIENTED_EDGE('',*,*,#179534,.F.); #230111=ORIENTED_EDGE('',*,*,#179537,.F.); #230112=ORIENTED_EDGE('',*,*,#179540,.F.); #230113=ORIENTED_EDGE('',*,*,#179543,.F.); #230114=ORIENTED_EDGE('',*,*,#179546,.F.); #230115=ORIENTED_EDGE('',*,*,#179549,.F.); #230116=ORIENTED_EDGE('',*,*,#179552,.F.); #230117=ORIENTED_EDGE('',*,*,#179555,.F.); #230118=ORIENTED_EDGE('',*,*,#179558,.F.); #230119=ORIENTED_EDGE('',*,*,#179521,.T.); #230120=ORIENTED_EDGE('',*,*,#179563,.F.); #230121=ORIENTED_EDGE('',*,*,#179564,.T.); #230122=ORIENTED_EDGE('',*,*,#179565,.F.); #230123=ORIENTED_EDGE('',*,*,#179564,.F.); #230124=ORIENTED_EDGE('',*,*,#179566,.T.); #230125=ORIENTED_EDGE('',*,*,#179567,.T.); #230126=ORIENTED_EDGE('',*,*,#179568,.F.); #230127=ORIENTED_EDGE('',*,*,#179569,.F.); #230128=ORIENTED_EDGE('',*,*,#179570,.T.); #230129=ORIENTED_EDGE('',*,*,#179569,.T.); #230130=ORIENTED_EDGE('',*,*,#179571,.F.); #230131=ORIENTED_EDGE('',*,*,#179572,.F.); #230132=ORIENTED_EDGE('',*,*,#179573,.T.); #230133=ORIENTED_EDGE('',*,*,#179572,.T.); #230134=ORIENTED_EDGE('',*,*,#179574,.F.); #230135=ORIENTED_EDGE('',*,*,#179575,.F.); #230136=ORIENTED_EDGE('',*,*,#179576,.T.); #230137=ORIENTED_EDGE('',*,*,#179575,.T.); #230138=ORIENTED_EDGE('',*,*,#179577,.F.); #230139=ORIENTED_EDGE('',*,*,#179578,.F.); #230140=ORIENTED_EDGE('',*,*,#179579,.T.); #230141=ORIENTED_EDGE('',*,*,#179578,.T.); #230142=ORIENTED_EDGE('',*,*,#179580,.F.); #230143=ORIENTED_EDGE('',*,*,#179581,.F.); #230144=ORIENTED_EDGE('',*,*,#179582,.T.); #230145=ORIENTED_EDGE('',*,*,#179581,.T.); #230146=ORIENTED_EDGE('',*,*,#179583,.F.); #230147=ORIENTED_EDGE('',*,*,#179584,.F.); #230148=ORIENTED_EDGE('',*,*,#179585,.T.); #230149=ORIENTED_EDGE('',*,*,#179584,.T.); #230150=ORIENTED_EDGE('',*,*,#179586,.F.); #230151=ORIENTED_EDGE('',*,*,#179587,.F.); #230152=ORIENTED_EDGE('',*,*,#179588,.T.); #230153=ORIENTED_EDGE('',*,*,#179587,.T.); #230154=ORIENTED_EDGE('',*,*,#179589,.F.); #230155=ORIENTED_EDGE('',*,*,#179590,.F.); #230156=ORIENTED_EDGE('',*,*,#179591,.T.); #230157=ORIENTED_EDGE('',*,*,#179590,.T.); #230158=ORIENTED_EDGE('',*,*,#179592,.F.); #230159=ORIENTED_EDGE('',*,*,#179593,.F.); #230160=ORIENTED_EDGE('',*,*,#179594,.T.); #230161=ORIENTED_EDGE('',*,*,#179593,.T.); #230162=ORIENTED_EDGE('',*,*,#179595,.F.); #230163=ORIENTED_EDGE('',*,*,#179596,.F.); #230164=ORIENTED_EDGE('',*,*,#179597,.T.); #230165=ORIENTED_EDGE('',*,*,#179596,.T.); #230166=ORIENTED_EDGE('',*,*,#179598,.F.); #230167=ORIENTED_EDGE('',*,*,#179599,.F.); #230168=ORIENTED_EDGE('',*,*,#179600,.T.); #230169=ORIENTED_EDGE('',*,*,#179599,.T.); #230170=ORIENTED_EDGE('',*,*,#179601,.F.); #230171=ORIENTED_EDGE('',*,*,#179602,.F.); #230172=ORIENTED_EDGE('',*,*,#179603,.T.); #230173=ORIENTED_EDGE('',*,*,#179602,.T.); #230174=ORIENTED_EDGE('',*,*,#179604,.F.); #230175=ORIENTED_EDGE('',*,*,#179605,.F.); #230176=ORIENTED_EDGE('',*,*,#179606,.T.); #230177=ORIENTED_EDGE('',*,*,#179605,.T.); #230178=ORIENTED_EDGE('',*,*,#179607,.F.); #230179=ORIENTED_EDGE('',*,*,#179608,.F.); #230180=ORIENTED_EDGE('',*,*,#179609,.T.); #230181=ORIENTED_EDGE('',*,*,#179608,.T.); #230182=ORIENTED_EDGE('',*,*,#179610,.F.); #230183=ORIENTED_EDGE('',*,*,#179611,.F.); #230184=ORIENTED_EDGE('',*,*,#179612,.T.); #230185=ORIENTED_EDGE('',*,*,#179611,.T.); #230186=ORIENTED_EDGE('',*,*,#179613,.F.); #230187=ORIENTED_EDGE('',*,*,#179614,.F.); #230188=ORIENTED_EDGE('',*,*,#179615,.T.); #230189=ORIENTED_EDGE('',*,*,#179614,.T.); #230190=ORIENTED_EDGE('',*,*,#179616,.F.); #230191=ORIENTED_EDGE('',*,*,#179617,.F.); #230192=ORIENTED_EDGE('',*,*,#179618,.T.); #230193=ORIENTED_EDGE('',*,*,#179617,.T.); #230194=ORIENTED_EDGE('',*,*,#179619,.F.); #230195=ORIENTED_EDGE('',*,*,#179567,.F.); #230196=ORIENTED_EDGE('',*,*,#179619,.T.); #230197=ORIENTED_EDGE('',*,*,#179616,.T.); #230198=ORIENTED_EDGE('',*,*,#179613,.T.); #230199=ORIENTED_EDGE('',*,*,#179610,.T.); #230200=ORIENTED_EDGE('',*,*,#179607,.T.); #230201=ORIENTED_EDGE('',*,*,#179604,.T.); #230202=ORIENTED_EDGE('',*,*,#179601,.T.); #230203=ORIENTED_EDGE('',*,*,#179598,.T.); #230204=ORIENTED_EDGE('',*,*,#179595,.T.); #230205=ORIENTED_EDGE('',*,*,#179592,.T.); #230206=ORIENTED_EDGE('',*,*,#179589,.T.); #230207=ORIENTED_EDGE('',*,*,#179586,.T.); #230208=ORIENTED_EDGE('',*,*,#179583,.T.); #230209=ORIENTED_EDGE('',*,*,#179580,.T.); #230210=ORIENTED_EDGE('',*,*,#179577,.T.); #230211=ORIENTED_EDGE('',*,*,#179574,.T.); #230212=ORIENTED_EDGE('',*,*,#179571,.T.); #230213=ORIENTED_EDGE('',*,*,#179568,.T.); #230214=ORIENTED_EDGE('',*,*,#179565,.T.); #230215=ORIENTED_EDGE('',*,*,#179618,.F.); #230216=ORIENTED_EDGE('',*,*,#179566,.F.); #230217=ORIENTED_EDGE('',*,*,#179570,.F.); #230218=ORIENTED_EDGE('',*,*,#179573,.F.); #230219=ORIENTED_EDGE('',*,*,#179576,.F.); #230220=ORIENTED_EDGE('',*,*,#179579,.F.); #230221=ORIENTED_EDGE('',*,*,#179582,.F.); #230222=ORIENTED_EDGE('',*,*,#179585,.F.); #230223=ORIENTED_EDGE('',*,*,#179588,.F.); #230224=ORIENTED_EDGE('',*,*,#179591,.F.); #230225=ORIENTED_EDGE('',*,*,#179594,.F.); #230226=ORIENTED_EDGE('',*,*,#179597,.F.); #230227=ORIENTED_EDGE('',*,*,#179600,.F.); #230228=ORIENTED_EDGE('',*,*,#179603,.F.); #230229=ORIENTED_EDGE('',*,*,#179606,.F.); #230230=ORIENTED_EDGE('',*,*,#179609,.F.); #230231=ORIENTED_EDGE('',*,*,#179612,.F.); #230232=ORIENTED_EDGE('',*,*,#179615,.F.); #230233=ORIENTED_EDGE('',*,*,#179563,.T.); #230234=ORIENTED_EDGE('',*,*,#179620,.F.); #230235=ORIENTED_EDGE('',*,*,#179621,.T.); #230236=ORIENTED_EDGE('',*,*,#179622,.F.); #230237=ORIENTED_EDGE('',*,*,#179621,.F.); #230238=ORIENTED_EDGE('',*,*,#179623,.T.); #230239=ORIENTED_EDGE('',*,*,#179624,.T.); #230240=ORIENTED_EDGE('',*,*,#179625,.F.); #230241=ORIENTED_EDGE('',*,*,#179626,.F.); #230242=ORIENTED_EDGE('',*,*,#179627,.T.); #230243=ORIENTED_EDGE('',*,*,#179626,.T.); #230244=ORIENTED_EDGE('',*,*,#179628,.F.); #230245=ORIENTED_EDGE('',*,*,#179629,.F.); #230246=ORIENTED_EDGE('',*,*,#179630,.T.); #230247=ORIENTED_EDGE('',*,*,#179629,.T.); #230248=ORIENTED_EDGE('',*,*,#179631,.F.); #230249=ORIENTED_EDGE('',*,*,#179632,.F.); #230250=ORIENTED_EDGE('',*,*,#179633,.T.); #230251=ORIENTED_EDGE('',*,*,#179632,.T.); #230252=ORIENTED_EDGE('',*,*,#179634,.F.); #230253=ORIENTED_EDGE('',*,*,#179635,.F.); #230254=ORIENTED_EDGE('',*,*,#179636,.T.); #230255=ORIENTED_EDGE('',*,*,#179635,.T.); #230256=ORIENTED_EDGE('',*,*,#179637,.F.); #230257=ORIENTED_EDGE('',*,*,#179638,.F.); #230258=ORIENTED_EDGE('',*,*,#179639,.T.); #230259=ORIENTED_EDGE('',*,*,#179638,.T.); #230260=ORIENTED_EDGE('',*,*,#179640,.F.); #230261=ORIENTED_EDGE('',*,*,#179641,.F.); #230262=ORIENTED_EDGE('',*,*,#179642,.T.); #230263=ORIENTED_EDGE('',*,*,#179641,.T.); #230264=ORIENTED_EDGE('',*,*,#179643,.F.); #230265=ORIENTED_EDGE('',*,*,#179644,.F.); #230266=ORIENTED_EDGE('',*,*,#179645,.T.); #230267=ORIENTED_EDGE('',*,*,#179644,.T.); #230268=ORIENTED_EDGE('',*,*,#179646,.F.); #230269=ORIENTED_EDGE('',*,*,#179647,.F.); #230270=ORIENTED_EDGE('',*,*,#179648,.T.); #230271=ORIENTED_EDGE('',*,*,#179647,.T.); #230272=ORIENTED_EDGE('',*,*,#179649,.F.); #230273=ORIENTED_EDGE('',*,*,#179650,.F.); #230274=ORIENTED_EDGE('',*,*,#179651,.T.); #230275=ORIENTED_EDGE('',*,*,#179650,.T.); #230276=ORIENTED_EDGE('',*,*,#179652,.F.); #230277=ORIENTED_EDGE('',*,*,#179653,.F.); #230278=ORIENTED_EDGE('',*,*,#179654,.T.); #230279=ORIENTED_EDGE('',*,*,#179653,.T.); #230280=ORIENTED_EDGE('',*,*,#179655,.F.); #230281=ORIENTED_EDGE('',*,*,#179624,.F.); #230282=ORIENTED_EDGE('',*,*,#179655,.T.); #230283=ORIENTED_EDGE('',*,*,#179652,.T.); #230284=ORIENTED_EDGE('',*,*,#179649,.T.); #230285=ORIENTED_EDGE('',*,*,#179646,.T.); #230286=ORIENTED_EDGE('',*,*,#179643,.T.); #230287=ORIENTED_EDGE('',*,*,#179640,.T.); #230288=ORIENTED_EDGE('',*,*,#179637,.T.); #230289=ORIENTED_EDGE('',*,*,#179634,.T.); #230290=ORIENTED_EDGE('',*,*,#179631,.T.); #230291=ORIENTED_EDGE('',*,*,#179628,.T.); #230292=ORIENTED_EDGE('',*,*,#179625,.T.); #230293=ORIENTED_EDGE('',*,*,#179622,.T.); #230294=ORIENTED_EDGE('',*,*,#179654,.F.); #230295=ORIENTED_EDGE('',*,*,#179623,.F.); #230296=ORIENTED_EDGE('',*,*,#179627,.F.); #230297=ORIENTED_EDGE('',*,*,#179630,.F.); #230298=ORIENTED_EDGE('',*,*,#179633,.F.); #230299=ORIENTED_EDGE('',*,*,#179636,.F.); #230300=ORIENTED_EDGE('',*,*,#179639,.F.); #230301=ORIENTED_EDGE('',*,*,#179642,.F.); #230302=ORIENTED_EDGE('',*,*,#179645,.F.); #230303=ORIENTED_EDGE('',*,*,#179648,.F.); #230304=ORIENTED_EDGE('',*,*,#179651,.F.); #230305=ORIENTED_EDGE('',*,*,#179620,.T.); #230306=ORIENTED_EDGE('',*,*,#179656,.F.); #230307=ORIENTED_EDGE('',*,*,#179657,.T.); #230308=ORIENTED_EDGE('',*,*,#179658,.F.); #230309=ORIENTED_EDGE('',*,*,#179657,.F.); #230310=ORIENTED_EDGE('',*,*,#179659,.T.); #230311=ORIENTED_EDGE('',*,*,#179660,.T.); #230312=ORIENTED_EDGE('',*,*,#179661,.F.); #230313=ORIENTED_EDGE('',*,*,#179662,.F.); #230314=ORIENTED_EDGE('',*,*,#179663,.T.); #230315=ORIENTED_EDGE('',*,*,#179662,.T.); #230316=ORIENTED_EDGE('',*,*,#179664,.F.); #230317=ORIENTED_EDGE('',*,*,#179665,.F.); #230318=ORIENTED_EDGE('',*,*,#179666,.T.); #230319=ORIENTED_EDGE('',*,*,#179665,.T.); #230320=ORIENTED_EDGE('',*,*,#179667,.F.); #230321=ORIENTED_EDGE('',*,*,#179668,.F.); #230322=ORIENTED_EDGE('',*,*,#179669,.T.); #230323=ORIENTED_EDGE('',*,*,#179668,.T.); #230324=ORIENTED_EDGE('',*,*,#179670,.F.); #230325=ORIENTED_EDGE('',*,*,#179671,.F.); #230326=ORIENTED_EDGE('',*,*,#179672,.T.); #230327=ORIENTED_EDGE('',*,*,#179671,.T.); #230328=ORIENTED_EDGE('',*,*,#179673,.F.); #230329=ORIENTED_EDGE('',*,*,#179674,.F.); #230330=ORIENTED_EDGE('',*,*,#179675,.T.); #230331=ORIENTED_EDGE('',*,*,#179674,.T.); #230332=ORIENTED_EDGE('',*,*,#179676,.F.); #230333=ORIENTED_EDGE('',*,*,#179677,.F.); #230334=ORIENTED_EDGE('',*,*,#179678,.T.); #230335=ORIENTED_EDGE('',*,*,#179677,.T.); #230336=ORIENTED_EDGE('',*,*,#179679,.F.); #230337=ORIENTED_EDGE('',*,*,#179680,.F.); #230338=ORIENTED_EDGE('',*,*,#179681,.T.); #230339=ORIENTED_EDGE('',*,*,#179680,.T.); #230340=ORIENTED_EDGE('',*,*,#179682,.F.); #230341=ORIENTED_EDGE('',*,*,#179683,.F.); #230342=ORIENTED_EDGE('',*,*,#179684,.T.); #230343=ORIENTED_EDGE('',*,*,#179683,.T.); #230344=ORIENTED_EDGE('',*,*,#179685,.F.); #230345=ORIENTED_EDGE('',*,*,#179686,.F.); #230346=ORIENTED_EDGE('',*,*,#179687,.T.); #230347=ORIENTED_EDGE('',*,*,#179686,.T.); #230348=ORIENTED_EDGE('',*,*,#179688,.F.); #230349=ORIENTED_EDGE('',*,*,#179689,.F.); #230350=ORIENTED_EDGE('',*,*,#179690,.T.); #230351=ORIENTED_EDGE('',*,*,#179689,.T.); #230352=ORIENTED_EDGE('',*,*,#179691,.F.); #230353=ORIENTED_EDGE('',*,*,#179660,.F.); #230354=ORIENTED_EDGE('',*,*,#179691,.T.); #230355=ORIENTED_EDGE('',*,*,#179688,.T.); #230356=ORIENTED_EDGE('',*,*,#179685,.T.); #230357=ORIENTED_EDGE('',*,*,#179682,.T.); #230358=ORIENTED_EDGE('',*,*,#179679,.T.); #230359=ORIENTED_EDGE('',*,*,#179676,.T.); #230360=ORIENTED_EDGE('',*,*,#179673,.T.); #230361=ORIENTED_EDGE('',*,*,#179670,.T.); #230362=ORIENTED_EDGE('',*,*,#179667,.T.); #230363=ORIENTED_EDGE('',*,*,#179664,.T.); #230364=ORIENTED_EDGE('',*,*,#179661,.T.); #230365=ORIENTED_EDGE('',*,*,#179658,.T.); #230366=ORIENTED_EDGE('',*,*,#179690,.F.); #230367=ORIENTED_EDGE('',*,*,#179659,.F.); #230368=ORIENTED_EDGE('',*,*,#179663,.F.); #230369=ORIENTED_EDGE('',*,*,#179666,.F.); #230370=ORIENTED_EDGE('',*,*,#179669,.F.); #230371=ORIENTED_EDGE('',*,*,#179672,.F.); #230372=ORIENTED_EDGE('',*,*,#179675,.F.); #230373=ORIENTED_EDGE('',*,*,#179678,.F.); #230374=ORIENTED_EDGE('',*,*,#179681,.F.); #230375=ORIENTED_EDGE('',*,*,#179684,.F.); #230376=ORIENTED_EDGE('',*,*,#179687,.F.); #230377=ORIENTED_EDGE('',*,*,#179656,.T.); #230378=ORIENTED_EDGE('',*,*,#179692,.F.); #230379=ORIENTED_EDGE('',*,*,#179693,.T.); #230380=ORIENTED_EDGE('',*,*,#179694,.F.); #230381=ORIENTED_EDGE('',*,*,#179693,.F.); #230382=ORIENTED_EDGE('',*,*,#179695,.T.); #230383=ORIENTED_EDGE('',*,*,#179696,.T.); #230384=ORIENTED_EDGE('',*,*,#179697,.F.); #230385=ORIENTED_EDGE('',*,*,#179698,.F.); #230386=ORIENTED_EDGE('',*,*,#179699,.T.); #230387=ORIENTED_EDGE('',*,*,#179698,.T.); #230388=ORIENTED_EDGE('',*,*,#179700,.F.); #230389=ORIENTED_EDGE('',*,*,#179701,.F.); #230390=ORIENTED_EDGE('',*,*,#179702,.T.); #230391=ORIENTED_EDGE('',*,*,#179701,.T.); #230392=ORIENTED_EDGE('',*,*,#179703,.F.); #230393=ORIENTED_EDGE('',*,*,#179704,.F.); #230394=ORIENTED_EDGE('',*,*,#179705,.T.); #230395=ORIENTED_EDGE('',*,*,#179704,.T.); #230396=ORIENTED_EDGE('',*,*,#179706,.F.); #230397=ORIENTED_EDGE('',*,*,#179707,.F.); #230398=ORIENTED_EDGE('',*,*,#179708,.T.); #230399=ORIENTED_EDGE('',*,*,#179707,.T.); #230400=ORIENTED_EDGE('',*,*,#179709,.F.); #230401=ORIENTED_EDGE('',*,*,#179710,.F.); #230402=ORIENTED_EDGE('',*,*,#179711,.T.); #230403=ORIENTED_EDGE('',*,*,#179710,.T.); #230404=ORIENTED_EDGE('',*,*,#179712,.F.); #230405=ORIENTED_EDGE('',*,*,#179713,.F.); #230406=ORIENTED_EDGE('',*,*,#179714,.T.); #230407=ORIENTED_EDGE('',*,*,#179713,.T.); #230408=ORIENTED_EDGE('',*,*,#179715,.F.); #230409=ORIENTED_EDGE('',*,*,#179716,.F.); #230410=ORIENTED_EDGE('',*,*,#179717,.T.); #230411=ORIENTED_EDGE('',*,*,#179716,.T.); #230412=ORIENTED_EDGE('',*,*,#179718,.F.); #230413=ORIENTED_EDGE('',*,*,#179719,.F.); #230414=ORIENTED_EDGE('',*,*,#179720,.T.); #230415=ORIENTED_EDGE('',*,*,#179719,.T.); #230416=ORIENTED_EDGE('',*,*,#179721,.F.); #230417=ORIENTED_EDGE('',*,*,#179722,.F.); #230418=ORIENTED_EDGE('',*,*,#179723,.T.); #230419=ORIENTED_EDGE('',*,*,#179722,.T.); #230420=ORIENTED_EDGE('',*,*,#179724,.F.); #230421=ORIENTED_EDGE('',*,*,#179725,.F.); #230422=ORIENTED_EDGE('',*,*,#179726,.T.); #230423=ORIENTED_EDGE('',*,*,#179725,.T.); #230424=ORIENTED_EDGE('',*,*,#179727,.F.); #230425=ORIENTED_EDGE('',*,*,#179728,.F.); #230426=ORIENTED_EDGE('',*,*,#179729,.T.); #230427=ORIENTED_EDGE('',*,*,#179728,.T.); #230428=ORIENTED_EDGE('',*,*,#179730,.F.); #230429=ORIENTED_EDGE('',*,*,#179731,.F.); #230430=ORIENTED_EDGE('',*,*,#179732,.T.); #230431=ORIENTED_EDGE('',*,*,#179731,.T.); #230432=ORIENTED_EDGE('',*,*,#179733,.F.); #230433=ORIENTED_EDGE('',*,*,#179734,.F.); #230434=ORIENTED_EDGE('',*,*,#179735,.T.); #230435=ORIENTED_EDGE('',*,*,#179734,.T.); #230436=ORIENTED_EDGE('',*,*,#179736,.F.); #230437=ORIENTED_EDGE('',*,*,#179737,.F.); #230438=ORIENTED_EDGE('',*,*,#179738,.T.); #230439=ORIENTED_EDGE('',*,*,#179737,.T.); #230440=ORIENTED_EDGE('',*,*,#179739,.F.); #230441=ORIENTED_EDGE('',*,*,#179740,.F.); #230442=ORIENTED_EDGE('',*,*,#179741,.T.); #230443=ORIENTED_EDGE('',*,*,#179740,.T.); #230444=ORIENTED_EDGE('',*,*,#179742,.F.); #230445=ORIENTED_EDGE('',*,*,#179743,.F.); #230446=ORIENTED_EDGE('',*,*,#179744,.T.); #230447=ORIENTED_EDGE('',*,*,#179743,.T.); #230448=ORIENTED_EDGE('',*,*,#179745,.F.); #230449=ORIENTED_EDGE('',*,*,#179746,.F.); #230450=ORIENTED_EDGE('',*,*,#179747,.T.); #230451=ORIENTED_EDGE('',*,*,#179746,.T.); #230452=ORIENTED_EDGE('',*,*,#179748,.F.); #230453=ORIENTED_EDGE('',*,*,#179749,.F.); #230454=ORIENTED_EDGE('',*,*,#179750,.T.); #230455=ORIENTED_EDGE('',*,*,#179749,.T.); #230456=ORIENTED_EDGE('',*,*,#179751,.F.); #230457=ORIENTED_EDGE('',*,*,#179752,.F.); #230458=ORIENTED_EDGE('',*,*,#179753,.T.); #230459=ORIENTED_EDGE('',*,*,#179752,.T.); #230460=ORIENTED_EDGE('',*,*,#179754,.F.); #230461=ORIENTED_EDGE('',*,*,#179755,.F.); #230462=ORIENTED_EDGE('',*,*,#179756,.T.); #230463=ORIENTED_EDGE('',*,*,#179755,.T.); #230464=ORIENTED_EDGE('',*,*,#179757,.F.); #230465=ORIENTED_EDGE('',*,*,#179758,.F.); #230466=ORIENTED_EDGE('',*,*,#179759,.T.); #230467=ORIENTED_EDGE('',*,*,#179758,.T.); #230468=ORIENTED_EDGE('',*,*,#179760,.F.); #230469=ORIENTED_EDGE('',*,*,#179761,.F.); #230470=ORIENTED_EDGE('',*,*,#179762,.T.); #230471=ORIENTED_EDGE('',*,*,#179761,.T.); #230472=ORIENTED_EDGE('',*,*,#179763,.F.); #230473=ORIENTED_EDGE('',*,*,#179696,.F.); #230474=ORIENTED_EDGE('',*,*,#179763,.T.); #230475=ORIENTED_EDGE('',*,*,#179760,.T.); #230476=ORIENTED_EDGE('',*,*,#179757,.T.); #230477=ORIENTED_EDGE('',*,*,#179754,.T.); #230478=ORIENTED_EDGE('',*,*,#179751,.T.); #230479=ORIENTED_EDGE('',*,*,#179748,.T.); #230480=ORIENTED_EDGE('',*,*,#179745,.T.); #230481=ORIENTED_EDGE('',*,*,#179742,.T.); #230482=ORIENTED_EDGE('',*,*,#179739,.T.); #230483=ORIENTED_EDGE('',*,*,#179736,.T.); #230484=ORIENTED_EDGE('',*,*,#179733,.T.); #230485=ORIENTED_EDGE('',*,*,#179730,.T.); #230486=ORIENTED_EDGE('',*,*,#179727,.T.); #230487=ORIENTED_EDGE('',*,*,#179724,.T.); #230488=ORIENTED_EDGE('',*,*,#179721,.T.); #230489=ORIENTED_EDGE('',*,*,#179718,.T.); #230490=ORIENTED_EDGE('',*,*,#179715,.T.); #230491=ORIENTED_EDGE('',*,*,#179712,.T.); #230492=ORIENTED_EDGE('',*,*,#179709,.T.); #230493=ORIENTED_EDGE('',*,*,#179706,.T.); #230494=ORIENTED_EDGE('',*,*,#179703,.T.); #230495=ORIENTED_EDGE('',*,*,#179700,.T.); #230496=ORIENTED_EDGE('',*,*,#179697,.T.); #230497=ORIENTED_EDGE('',*,*,#179694,.T.); #230498=ORIENTED_EDGE('',*,*,#179762,.F.); #230499=ORIENTED_EDGE('',*,*,#179695,.F.); #230500=ORIENTED_EDGE('',*,*,#179699,.F.); #230501=ORIENTED_EDGE('',*,*,#179702,.F.); #230502=ORIENTED_EDGE('',*,*,#179705,.F.); #230503=ORIENTED_EDGE('',*,*,#179708,.F.); #230504=ORIENTED_EDGE('',*,*,#179711,.F.); #230505=ORIENTED_EDGE('',*,*,#179714,.F.); #230506=ORIENTED_EDGE('',*,*,#179717,.F.); #230507=ORIENTED_EDGE('',*,*,#179720,.F.); #230508=ORIENTED_EDGE('',*,*,#179723,.F.); #230509=ORIENTED_EDGE('',*,*,#179726,.F.); #230510=ORIENTED_EDGE('',*,*,#179729,.F.); #230511=ORIENTED_EDGE('',*,*,#179732,.F.); #230512=ORIENTED_EDGE('',*,*,#179735,.F.); #230513=ORIENTED_EDGE('',*,*,#179738,.F.); #230514=ORIENTED_EDGE('',*,*,#179741,.F.); #230515=ORIENTED_EDGE('',*,*,#179744,.F.); #230516=ORIENTED_EDGE('',*,*,#179747,.F.); #230517=ORIENTED_EDGE('',*,*,#179750,.F.); #230518=ORIENTED_EDGE('',*,*,#179753,.F.); #230519=ORIENTED_EDGE('',*,*,#179756,.F.); #230520=ORIENTED_EDGE('',*,*,#179759,.F.); #230521=ORIENTED_EDGE('',*,*,#179692,.T.); #230522=ORIENTED_EDGE('',*,*,#179764,.F.); #230523=ORIENTED_EDGE('',*,*,#179765,.T.); #230524=ORIENTED_EDGE('',*,*,#179766,.F.); #230525=ORIENTED_EDGE('',*,*,#179765,.F.); #230526=ORIENTED_EDGE('',*,*,#179767,.T.); #230527=ORIENTED_EDGE('',*,*,#179768,.T.); #230528=ORIENTED_EDGE('',*,*,#179769,.F.); #230529=ORIENTED_EDGE('',*,*,#179770,.F.); #230530=ORIENTED_EDGE('',*,*,#179771,.T.); #230531=ORIENTED_EDGE('',*,*,#179770,.T.); #230532=ORIENTED_EDGE('',*,*,#179772,.F.); #230533=ORIENTED_EDGE('',*,*,#179773,.F.); #230534=ORIENTED_EDGE('',*,*,#179774,.T.); #230535=ORIENTED_EDGE('',*,*,#179773,.T.); #230536=ORIENTED_EDGE('',*,*,#179775,.F.); #230537=ORIENTED_EDGE('',*,*,#179776,.F.); #230538=ORIENTED_EDGE('',*,*,#179777,.T.); #230539=ORIENTED_EDGE('',*,*,#179776,.T.); #230540=ORIENTED_EDGE('',*,*,#179778,.F.); #230541=ORIENTED_EDGE('',*,*,#179779,.F.); #230542=ORIENTED_EDGE('',*,*,#179780,.T.); #230543=ORIENTED_EDGE('',*,*,#179779,.T.); #230544=ORIENTED_EDGE('',*,*,#179781,.F.); #230545=ORIENTED_EDGE('',*,*,#179782,.F.); #230546=ORIENTED_EDGE('',*,*,#179783,.T.); #230547=ORIENTED_EDGE('',*,*,#179782,.T.); #230548=ORIENTED_EDGE('',*,*,#179784,.F.); #230549=ORIENTED_EDGE('',*,*,#179785,.F.); #230550=ORIENTED_EDGE('',*,*,#179786,.T.); #230551=ORIENTED_EDGE('',*,*,#179785,.T.); #230552=ORIENTED_EDGE('',*,*,#179787,.F.); #230553=ORIENTED_EDGE('',*,*,#179788,.F.); #230554=ORIENTED_EDGE('',*,*,#179789,.T.); #230555=ORIENTED_EDGE('',*,*,#179788,.T.); #230556=ORIENTED_EDGE('',*,*,#179790,.F.); #230557=ORIENTED_EDGE('',*,*,#179791,.F.); #230558=ORIENTED_EDGE('',*,*,#179792,.T.); #230559=ORIENTED_EDGE('',*,*,#179791,.T.); #230560=ORIENTED_EDGE('',*,*,#179793,.F.); #230561=ORIENTED_EDGE('',*,*,#179794,.F.); #230562=ORIENTED_EDGE('',*,*,#179795,.T.); #230563=ORIENTED_EDGE('',*,*,#179794,.T.); #230564=ORIENTED_EDGE('',*,*,#179796,.F.); #230565=ORIENTED_EDGE('',*,*,#179797,.F.); #230566=ORIENTED_EDGE('',*,*,#179798,.T.); #230567=ORIENTED_EDGE('',*,*,#179797,.T.); #230568=ORIENTED_EDGE('',*,*,#179799,.F.); #230569=ORIENTED_EDGE('',*,*,#179800,.F.); #230570=ORIENTED_EDGE('',*,*,#179801,.T.); #230571=ORIENTED_EDGE('',*,*,#179800,.T.); #230572=ORIENTED_EDGE('',*,*,#179802,.F.); #230573=ORIENTED_EDGE('',*,*,#179803,.F.); #230574=ORIENTED_EDGE('',*,*,#179804,.T.); #230575=ORIENTED_EDGE('',*,*,#179803,.T.); #230576=ORIENTED_EDGE('',*,*,#179805,.F.); #230577=ORIENTED_EDGE('',*,*,#179806,.F.); #230578=ORIENTED_EDGE('',*,*,#179807,.T.); #230579=ORIENTED_EDGE('',*,*,#179806,.T.); #230580=ORIENTED_EDGE('',*,*,#179808,.F.); #230581=ORIENTED_EDGE('',*,*,#179809,.F.); #230582=ORIENTED_EDGE('',*,*,#179810,.T.); #230583=ORIENTED_EDGE('',*,*,#179809,.T.); #230584=ORIENTED_EDGE('',*,*,#179811,.F.); #230585=ORIENTED_EDGE('',*,*,#179812,.F.); #230586=ORIENTED_EDGE('',*,*,#179813,.T.); #230587=ORIENTED_EDGE('',*,*,#179812,.T.); #230588=ORIENTED_EDGE('',*,*,#179814,.F.); #230589=ORIENTED_EDGE('',*,*,#179815,.F.); #230590=ORIENTED_EDGE('',*,*,#179816,.T.); #230591=ORIENTED_EDGE('',*,*,#179815,.T.); #230592=ORIENTED_EDGE('',*,*,#179817,.F.); #230593=ORIENTED_EDGE('',*,*,#179768,.F.); #230594=ORIENTED_EDGE('',*,*,#179817,.T.); #230595=ORIENTED_EDGE('',*,*,#179814,.T.); #230596=ORIENTED_EDGE('',*,*,#179811,.T.); #230597=ORIENTED_EDGE('',*,*,#179808,.T.); #230598=ORIENTED_EDGE('',*,*,#179805,.T.); #230599=ORIENTED_EDGE('',*,*,#179802,.T.); #230600=ORIENTED_EDGE('',*,*,#179799,.T.); #230601=ORIENTED_EDGE('',*,*,#179796,.T.); #230602=ORIENTED_EDGE('',*,*,#179793,.T.); #230603=ORIENTED_EDGE('',*,*,#179790,.T.); #230604=ORIENTED_EDGE('',*,*,#179787,.T.); #230605=ORIENTED_EDGE('',*,*,#179784,.T.); #230606=ORIENTED_EDGE('',*,*,#179781,.T.); #230607=ORIENTED_EDGE('',*,*,#179778,.T.); #230608=ORIENTED_EDGE('',*,*,#179775,.T.); #230609=ORIENTED_EDGE('',*,*,#179772,.T.); #230610=ORIENTED_EDGE('',*,*,#179769,.T.); #230611=ORIENTED_EDGE('',*,*,#179766,.T.); #230612=ORIENTED_EDGE('',*,*,#179816,.F.); #230613=ORIENTED_EDGE('',*,*,#179767,.F.); #230614=ORIENTED_EDGE('',*,*,#179771,.F.); #230615=ORIENTED_EDGE('',*,*,#179774,.F.); #230616=ORIENTED_EDGE('',*,*,#179777,.F.); #230617=ORIENTED_EDGE('',*,*,#179780,.F.); #230618=ORIENTED_EDGE('',*,*,#179783,.F.); #230619=ORIENTED_EDGE('',*,*,#179786,.F.); #230620=ORIENTED_EDGE('',*,*,#179789,.F.); #230621=ORIENTED_EDGE('',*,*,#179792,.F.); #230622=ORIENTED_EDGE('',*,*,#179795,.F.); #230623=ORIENTED_EDGE('',*,*,#179798,.F.); #230624=ORIENTED_EDGE('',*,*,#179801,.F.); #230625=ORIENTED_EDGE('',*,*,#179804,.F.); #230626=ORIENTED_EDGE('',*,*,#179807,.F.); #230627=ORIENTED_EDGE('',*,*,#179810,.F.); #230628=ORIENTED_EDGE('',*,*,#179813,.F.); #230629=ORIENTED_EDGE('',*,*,#179764,.T.); #230630=ORIENTED_EDGE('',*,*,#179818,.F.); #230631=ORIENTED_EDGE('',*,*,#179819,.T.); #230632=ORIENTED_EDGE('',*,*,#179820,.F.); #230633=ORIENTED_EDGE('',*,*,#179819,.F.); #230634=ORIENTED_EDGE('',*,*,#179821,.T.); #230635=ORIENTED_EDGE('',*,*,#179822,.T.); #230636=ORIENTED_EDGE('',*,*,#179823,.F.); #230637=ORIENTED_EDGE('',*,*,#179824,.F.); #230638=ORIENTED_EDGE('',*,*,#179825,.T.); #230639=ORIENTED_EDGE('',*,*,#179824,.T.); #230640=ORIENTED_EDGE('',*,*,#179826,.F.); #230641=ORIENTED_EDGE('',*,*,#179827,.F.); #230642=ORIENTED_EDGE('',*,*,#179828,.T.); #230643=ORIENTED_EDGE('',*,*,#179827,.T.); #230644=ORIENTED_EDGE('',*,*,#179829,.F.); #230645=ORIENTED_EDGE('',*,*,#179830,.F.); #230646=ORIENTED_EDGE('',*,*,#179831,.T.); #230647=ORIENTED_EDGE('',*,*,#179830,.T.); #230648=ORIENTED_EDGE('',*,*,#179832,.F.); #230649=ORIENTED_EDGE('',*,*,#179833,.F.); #230650=ORIENTED_EDGE('',*,*,#179834,.T.); #230651=ORIENTED_EDGE('',*,*,#179833,.T.); #230652=ORIENTED_EDGE('',*,*,#179835,.F.); #230653=ORIENTED_EDGE('',*,*,#179836,.F.); #230654=ORIENTED_EDGE('',*,*,#179837,.T.); #230655=ORIENTED_EDGE('',*,*,#179836,.T.); #230656=ORIENTED_EDGE('',*,*,#179838,.F.); #230657=ORIENTED_EDGE('',*,*,#179839,.F.); #230658=ORIENTED_EDGE('',*,*,#179840,.T.); #230659=ORIENTED_EDGE('',*,*,#179839,.T.); #230660=ORIENTED_EDGE('',*,*,#179841,.F.); #230661=ORIENTED_EDGE('',*,*,#179842,.F.); #230662=ORIENTED_EDGE('',*,*,#179843,.T.); #230663=ORIENTED_EDGE('',*,*,#179842,.T.); #230664=ORIENTED_EDGE('',*,*,#179844,.F.); #230665=ORIENTED_EDGE('',*,*,#179845,.F.); #230666=ORIENTED_EDGE('',*,*,#179846,.T.); #230667=ORIENTED_EDGE('',*,*,#179845,.T.); #230668=ORIENTED_EDGE('',*,*,#179847,.F.); #230669=ORIENTED_EDGE('',*,*,#179848,.F.); #230670=ORIENTED_EDGE('',*,*,#179849,.T.); #230671=ORIENTED_EDGE('',*,*,#179848,.T.); #230672=ORIENTED_EDGE('',*,*,#179850,.F.); #230673=ORIENTED_EDGE('',*,*,#179851,.F.); #230674=ORIENTED_EDGE('',*,*,#179852,.T.); #230675=ORIENTED_EDGE('',*,*,#179851,.T.); #230676=ORIENTED_EDGE('',*,*,#179853,.F.); #230677=ORIENTED_EDGE('',*,*,#179854,.F.); #230678=ORIENTED_EDGE('',*,*,#179855,.T.); #230679=ORIENTED_EDGE('',*,*,#179854,.T.); #230680=ORIENTED_EDGE('',*,*,#179856,.F.); #230681=ORIENTED_EDGE('',*,*,#179857,.F.); #230682=ORIENTED_EDGE('',*,*,#179858,.T.); #230683=ORIENTED_EDGE('',*,*,#179857,.T.); #230684=ORIENTED_EDGE('',*,*,#179859,.F.); #230685=ORIENTED_EDGE('',*,*,#179860,.F.); #230686=ORIENTED_EDGE('',*,*,#179861,.T.); #230687=ORIENTED_EDGE('',*,*,#179860,.T.); #230688=ORIENTED_EDGE('',*,*,#179862,.F.); #230689=ORIENTED_EDGE('',*,*,#179863,.F.); #230690=ORIENTED_EDGE('',*,*,#179864,.T.); #230691=ORIENTED_EDGE('',*,*,#179863,.T.); #230692=ORIENTED_EDGE('',*,*,#179865,.F.); #230693=ORIENTED_EDGE('',*,*,#179866,.F.); #230694=ORIENTED_EDGE('',*,*,#179867,.T.); #230695=ORIENTED_EDGE('',*,*,#179866,.T.); #230696=ORIENTED_EDGE('',*,*,#179868,.F.); #230697=ORIENTED_EDGE('',*,*,#179869,.F.); #230698=ORIENTED_EDGE('',*,*,#179870,.T.); #230699=ORIENTED_EDGE('',*,*,#179869,.T.); #230700=ORIENTED_EDGE('',*,*,#179871,.F.); #230701=ORIENTED_EDGE('',*,*,#179872,.F.); #230702=ORIENTED_EDGE('',*,*,#179873,.T.); #230703=ORIENTED_EDGE('',*,*,#179872,.T.); #230704=ORIENTED_EDGE('',*,*,#179874,.F.); #230705=ORIENTED_EDGE('',*,*,#179875,.F.); #230706=ORIENTED_EDGE('',*,*,#179876,.T.); #230707=ORIENTED_EDGE('',*,*,#179875,.T.); #230708=ORIENTED_EDGE('',*,*,#179877,.F.); #230709=ORIENTED_EDGE('',*,*,#179878,.F.); #230710=ORIENTED_EDGE('',*,*,#179879,.T.); #230711=ORIENTED_EDGE('',*,*,#179878,.T.); #230712=ORIENTED_EDGE('',*,*,#179880,.F.); #230713=ORIENTED_EDGE('',*,*,#179881,.F.); #230714=ORIENTED_EDGE('',*,*,#179882,.T.); #230715=ORIENTED_EDGE('',*,*,#179881,.T.); #230716=ORIENTED_EDGE('',*,*,#179883,.F.); #230717=ORIENTED_EDGE('',*,*,#179884,.F.); #230718=ORIENTED_EDGE('',*,*,#179885,.T.); #230719=ORIENTED_EDGE('',*,*,#179884,.T.); #230720=ORIENTED_EDGE('',*,*,#179886,.F.); #230721=ORIENTED_EDGE('',*,*,#179887,.F.); #230722=ORIENTED_EDGE('',*,*,#179888,.T.); #230723=ORIENTED_EDGE('',*,*,#179887,.T.); #230724=ORIENTED_EDGE('',*,*,#179889,.F.); #230725=ORIENTED_EDGE('',*,*,#179822,.F.); #230726=ORIENTED_EDGE('',*,*,#179889,.T.); #230727=ORIENTED_EDGE('',*,*,#179886,.T.); #230728=ORIENTED_EDGE('',*,*,#179883,.T.); #230729=ORIENTED_EDGE('',*,*,#179880,.T.); #230730=ORIENTED_EDGE('',*,*,#179877,.T.); #230731=ORIENTED_EDGE('',*,*,#179874,.T.); #230732=ORIENTED_EDGE('',*,*,#179871,.T.); #230733=ORIENTED_EDGE('',*,*,#179868,.T.); #230734=ORIENTED_EDGE('',*,*,#179865,.T.); #230735=ORIENTED_EDGE('',*,*,#179862,.T.); #230736=ORIENTED_EDGE('',*,*,#179859,.T.); #230737=ORIENTED_EDGE('',*,*,#179856,.T.); #230738=ORIENTED_EDGE('',*,*,#179853,.T.); #230739=ORIENTED_EDGE('',*,*,#179850,.T.); #230740=ORIENTED_EDGE('',*,*,#179847,.T.); #230741=ORIENTED_EDGE('',*,*,#179844,.T.); #230742=ORIENTED_EDGE('',*,*,#179841,.T.); #230743=ORIENTED_EDGE('',*,*,#179838,.T.); #230744=ORIENTED_EDGE('',*,*,#179835,.T.); #230745=ORIENTED_EDGE('',*,*,#179832,.T.); #230746=ORIENTED_EDGE('',*,*,#179829,.T.); #230747=ORIENTED_EDGE('',*,*,#179826,.T.); #230748=ORIENTED_EDGE('',*,*,#179823,.T.); #230749=ORIENTED_EDGE('',*,*,#179820,.T.); #230750=ORIENTED_EDGE('',*,*,#179888,.F.); #230751=ORIENTED_EDGE('',*,*,#179821,.F.); #230752=ORIENTED_EDGE('',*,*,#179825,.F.); #230753=ORIENTED_EDGE('',*,*,#179828,.F.); #230754=ORIENTED_EDGE('',*,*,#179831,.F.); #230755=ORIENTED_EDGE('',*,*,#179834,.F.); #230756=ORIENTED_EDGE('',*,*,#179837,.F.); #230757=ORIENTED_EDGE('',*,*,#179840,.F.); #230758=ORIENTED_EDGE('',*,*,#179843,.F.); #230759=ORIENTED_EDGE('',*,*,#179846,.F.); #230760=ORIENTED_EDGE('',*,*,#179849,.F.); #230761=ORIENTED_EDGE('',*,*,#179852,.F.); #230762=ORIENTED_EDGE('',*,*,#179855,.F.); #230763=ORIENTED_EDGE('',*,*,#179858,.F.); #230764=ORIENTED_EDGE('',*,*,#179861,.F.); #230765=ORIENTED_EDGE('',*,*,#179864,.F.); #230766=ORIENTED_EDGE('',*,*,#179867,.F.); #230767=ORIENTED_EDGE('',*,*,#179870,.F.); #230768=ORIENTED_EDGE('',*,*,#179873,.F.); #230769=ORIENTED_EDGE('',*,*,#179876,.F.); #230770=ORIENTED_EDGE('',*,*,#179879,.F.); #230771=ORIENTED_EDGE('',*,*,#179882,.F.); #230772=ORIENTED_EDGE('',*,*,#179885,.F.); #230773=ORIENTED_EDGE('',*,*,#179818,.T.); #230774=ORIENTED_EDGE('',*,*,#179890,.T.); #230775=ORIENTED_EDGE('',*,*,#179891,.T.); #230776=ORIENTED_EDGE('',*,*,#179892,.F.); #230777=ORIENTED_EDGE('',*,*,#179893,.F.); #230778=ORIENTED_EDGE('',*,*,#179894,.T.); #230779=ORIENTED_EDGE('',*,*,#179893,.T.); #230780=ORIENTED_EDGE('',*,*,#179895,.F.); #230781=ORIENTED_EDGE('',*,*,#179896,.F.); #230782=ORIENTED_EDGE('',*,*,#179897,.T.); #230783=ORIENTED_EDGE('',*,*,#179896,.T.); #230784=ORIENTED_EDGE('',*,*,#179898,.F.); #230785=ORIENTED_EDGE('',*,*,#179899,.F.); #230786=ORIENTED_EDGE('',*,*,#179900,.T.); #230787=ORIENTED_EDGE('',*,*,#179899,.T.); #230788=ORIENTED_EDGE('',*,*,#179901,.F.); #230789=ORIENTED_EDGE('',*,*,#179902,.F.); #230790=ORIENTED_EDGE('',*,*,#179903,.T.); #230791=ORIENTED_EDGE('',*,*,#179902,.T.); #230792=ORIENTED_EDGE('',*,*,#179904,.F.); #230793=ORIENTED_EDGE('',*,*,#179905,.F.); #230794=ORIENTED_EDGE('',*,*,#179906,.T.); #230795=ORIENTED_EDGE('',*,*,#179905,.T.); #230796=ORIENTED_EDGE('',*,*,#179907,.F.); #230797=ORIENTED_EDGE('',*,*,#179908,.F.); #230798=ORIENTED_EDGE('',*,*,#179909,.T.); #230799=ORIENTED_EDGE('',*,*,#179908,.T.); #230800=ORIENTED_EDGE('',*,*,#179910,.F.); #230801=ORIENTED_EDGE('',*,*,#179911,.F.); #230802=ORIENTED_EDGE('',*,*,#179912,.T.); #230803=ORIENTED_EDGE('',*,*,#179911,.T.); #230804=ORIENTED_EDGE('',*,*,#179913,.F.); #230805=ORIENTED_EDGE('',*,*,#179914,.F.); #230806=ORIENTED_EDGE('',*,*,#179915,.T.); #230807=ORIENTED_EDGE('',*,*,#179914,.T.); #230808=ORIENTED_EDGE('',*,*,#179916,.F.); #230809=ORIENTED_EDGE('',*,*,#179917,.F.); #230810=ORIENTED_EDGE('',*,*,#179918,.T.); #230811=ORIENTED_EDGE('',*,*,#179917,.T.); #230812=ORIENTED_EDGE('',*,*,#179919,.F.); #230813=ORIENTED_EDGE('',*,*,#179920,.F.); #230814=ORIENTED_EDGE('',*,*,#179921,.T.); #230815=ORIENTED_EDGE('',*,*,#179920,.T.); #230816=ORIENTED_EDGE('',*,*,#179922,.F.); #230817=ORIENTED_EDGE('',*,*,#179923,.F.); #230818=ORIENTED_EDGE('',*,*,#179924,.T.); #230819=ORIENTED_EDGE('',*,*,#179923,.T.); #230820=ORIENTED_EDGE('',*,*,#179925,.F.); #230821=ORIENTED_EDGE('',*,*,#179926,.F.); #230822=ORIENTED_EDGE('',*,*,#179927,.T.); #230823=ORIENTED_EDGE('',*,*,#179926,.T.); #230824=ORIENTED_EDGE('',*,*,#179928,.F.); #230825=ORIENTED_EDGE('',*,*,#179929,.F.); #230826=ORIENTED_EDGE('',*,*,#179930,.T.); #230827=ORIENTED_EDGE('',*,*,#179929,.T.); #230828=ORIENTED_EDGE('',*,*,#179931,.F.); #230829=ORIENTED_EDGE('',*,*,#179932,.F.); #230830=ORIENTED_EDGE('',*,*,#179933,.T.); #230831=ORIENTED_EDGE('',*,*,#179932,.T.); #230832=ORIENTED_EDGE('',*,*,#179934,.F.); #230833=ORIENTED_EDGE('',*,*,#179935,.F.); #230834=ORIENTED_EDGE('',*,*,#179936,.T.); #230835=ORIENTED_EDGE('',*,*,#179935,.T.); #230836=ORIENTED_EDGE('',*,*,#179937,.F.); #230837=ORIENTED_EDGE('',*,*,#179938,.F.); #230838=ORIENTED_EDGE('',*,*,#179939,.T.); #230839=ORIENTED_EDGE('',*,*,#179938,.T.); #230840=ORIENTED_EDGE('',*,*,#179940,.F.); #230841=ORIENTED_EDGE('',*,*,#179941,.F.); #230842=ORIENTED_EDGE('',*,*,#179942,.T.); #230843=ORIENTED_EDGE('',*,*,#179941,.T.); #230844=ORIENTED_EDGE('',*,*,#179943,.F.); #230845=ORIENTED_EDGE('',*,*,#179944,.F.); #230846=ORIENTED_EDGE('',*,*,#179945,.T.); #230847=ORIENTED_EDGE('',*,*,#179944,.T.); #230848=ORIENTED_EDGE('',*,*,#179946,.F.); #230849=ORIENTED_EDGE('',*,*,#179947,.F.); #230850=ORIENTED_EDGE('',*,*,#179948,.T.); #230851=ORIENTED_EDGE('',*,*,#179947,.T.); #230852=ORIENTED_EDGE('',*,*,#179949,.F.); #230853=ORIENTED_EDGE('',*,*,#179950,.F.); #230854=ORIENTED_EDGE('',*,*,#179951,.T.); #230855=ORIENTED_EDGE('',*,*,#179950,.T.); #230856=ORIENTED_EDGE('',*,*,#179952,.F.); #230857=ORIENTED_EDGE('',*,*,#179953,.F.); #230858=ORIENTED_EDGE('',*,*,#179954,.T.); #230859=ORIENTED_EDGE('',*,*,#179953,.T.); #230860=ORIENTED_EDGE('',*,*,#179955,.F.); #230861=ORIENTED_EDGE('',*,*,#179956,.F.); #230862=ORIENTED_EDGE('',*,*,#179957,.T.); #230863=ORIENTED_EDGE('',*,*,#179956,.T.); #230864=ORIENTED_EDGE('',*,*,#179958,.F.); #230865=ORIENTED_EDGE('',*,*,#179959,.F.); #230866=ORIENTED_EDGE('',*,*,#179960,.T.); #230867=ORIENTED_EDGE('',*,*,#179959,.T.); #230868=ORIENTED_EDGE('',*,*,#179961,.F.); #230869=ORIENTED_EDGE('',*,*,#179891,.F.); #230870=ORIENTED_EDGE('',*,*,#179961,.T.); #230871=ORIENTED_EDGE('',*,*,#179958,.T.); #230872=ORIENTED_EDGE('',*,*,#179955,.T.); #230873=ORIENTED_EDGE('',*,*,#179952,.T.); #230874=ORIENTED_EDGE('',*,*,#179949,.T.); #230875=ORIENTED_EDGE('',*,*,#179946,.T.); #230876=ORIENTED_EDGE('',*,*,#179943,.T.); #230877=ORIENTED_EDGE('',*,*,#179940,.T.); #230878=ORIENTED_EDGE('',*,*,#179937,.T.); #230879=ORIENTED_EDGE('',*,*,#179934,.T.); #230880=ORIENTED_EDGE('',*,*,#179931,.T.); #230881=ORIENTED_EDGE('',*,*,#179928,.T.); #230882=ORIENTED_EDGE('',*,*,#179925,.T.); #230883=ORIENTED_EDGE('',*,*,#179922,.T.); #230884=ORIENTED_EDGE('',*,*,#179919,.T.); #230885=ORIENTED_EDGE('',*,*,#179916,.T.); #230886=ORIENTED_EDGE('',*,*,#179913,.T.); #230887=ORIENTED_EDGE('',*,*,#179910,.T.); #230888=ORIENTED_EDGE('',*,*,#179907,.T.); #230889=ORIENTED_EDGE('',*,*,#179904,.T.); #230890=ORIENTED_EDGE('',*,*,#179901,.T.); #230891=ORIENTED_EDGE('',*,*,#179898,.T.); #230892=ORIENTED_EDGE('',*,*,#179895,.T.); #230893=ORIENTED_EDGE('',*,*,#179892,.T.); #230894=ORIENTED_EDGE('',*,*,#179960,.F.); #230895=ORIENTED_EDGE('',*,*,#179890,.F.); #230896=ORIENTED_EDGE('',*,*,#179894,.F.); #230897=ORIENTED_EDGE('',*,*,#179897,.F.); #230898=ORIENTED_EDGE('',*,*,#179900,.F.); #230899=ORIENTED_EDGE('',*,*,#179903,.F.); #230900=ORIENTED_EDGE('',*,*,#179906,.F.); #230901=ORIENTED_EDGE('',*,*,#179909,.F.); #230902=ORIENTED_EDGE('',*,*,#179912,.F.); #230903=ORIENTED_EDGE('',*,*,#179915,.F.); #230904=ORIENTED_EDGE('',*,*,#179918,.F.); #230905=ORIENTED_EDGE('',*,*,#179921,.F.); #230906=ORIENTED_EDGE('',*,*,#179924,.F.); #230907=ORIENTED_EDGE('',*,*,#179927,.F.); #230908=ORIENTED_EDGE('',*,*,#179930,.F.); #230909=ORIENTED_EDGE('',*,*,#179933,.F.); #230910=ORIENTED_EDGE('',*,*,#179936,.F.); #230911=ORIENTED_EDGE('',*,*,#179939,.F.); #230912=ORIENTED_EDGE('',*,*,#179942,.F.); #230913=ORIENTED_EDGE('',*,*,#179945,.F.); #230914=ORIENTED_EDGE('',*,*,#179948,.F.); #230915=ORIENTED_EDGE('',*,*,#179951,.F.); #230916=ORIENTED_EDGE('',*,*,#179954,.F.); #230917=ORIENTED_EDGE('',*,*,#179957,.F.); #230918=ORIENTED_EDGE('',*,*,#179962,.F.); #230919=ORIENTED_EDGE('',*,*,#179963,.T.); #230920=ORIENTED_EDGE('',*,*,#179964,.F.); #230921=ORIENTED_EDGE('',*,*,#179963,.F.); #230922=ORIENTED_EDGE('',*,*,#179965,.F.); #230923=ORIENTED_EDGE('',*,*,#179966,.T.); #230924=ORIENTED_EDGE('',*,*,#179967,.F.); #230925=ORIENTED_EDGE('',*,*,#179966,.F.); #230926=ORIENTED_EDGE('',*,*,#179968,.T.); #230927=ORIENTED_EDGE('',*,*,#179969,.T.); #230928=ORIENTED_EDGE('',*,*,#179970,.F.); #230929=ORIENTED_EDGE('',*,*,#179971,.F.); #230930=ORIENTED_EDGE('',*,*,#179972,.T.); #230931=ORIENTED_EDGE('',*,*,#179971,.T.); #230932=ORIENTED_EDGE('',*,*,#179973,.F.); #230933=ORIENTED_EDGE('',*,*,#179974,.F.); #230934=ORIENTED_EDGE('',*,*,#179975,.T.); #230935=ORIENTED_EDGE('',*,*,#179974,.T.); #230936=ORIENTED_EDGE('',*,*,#179976,.F.); #230937=ORIENTED_EDGE('',*,*,#179977,.F.); #230938=ORIENTED_EDGE('',*,*,#179978,.T.); #230939=ORIENTED_EDGE('',*,*,#179977,.T.); #230940=ORIENTED_EDGE('',*,*,#179979,.F.); #230941=ORIENTED_EDGE('',*,*,#179980,.F.); #230942=ORIENTED_EDGE('',*,*,#179981,.T.); #230943=ORIENTED_EDGE('',*,*,#179980,.T.); #230944=ORIENTED_EDGE('',*,*,#179982,.F.); #230945=ORIENTED_EDGE('',*,*,#179983,.F.); #230946=ORIENTED_EDGE('',*,*,#179984,.T.); #230947=ORIENTED_EDGE('',*,*,#179983,.T.); #230948=ORIENTED_EDGE('',*,*,#179985,.F.); #230949=ORIENTED_EDGE('',*,*,#179986,.F.); #230950=ORIENTED_EDGE('',*,*,#179987,.T.); #230951=ORIENTED_EDGE('',*,*,#179986,.T.); #230952=ORIENTED_EDGE('',*,*,#179988,.F.); #230953=ORIENTED_EDGE('',*,*,#179989,.F.); #230954=ORIENTED_EDGE('',*,*,#179990,.T.); #230955=ORIENTED_EDGE('',*,*,#179989,.T.); #230956=ORIENTED_EDGE('',*,*,#179991,.F.); #230957=ORIENTED_EDGE('',*,*,#179969,.F.); #230958=ORIENTED_EDGE('',*,*,#179991,.T.); #230959=ORIENTED_EDGE('',*,*,#179988,.T.); #230960=ORIENTED_EDGE('',*,*,#179985,.T.); #230961=ORIENTED_EDGE('',*,*,#179982,.T.); #230962=ORIENTED_EDGE('',*,*,#179979,.T.); #230963=ORIENTED_EDGE('',*,*,#179976,.T.); #230964=ORIENTED_EDGE('',*,*,#179973,.T.); #230965=ORIENTED_EDGE('',*,*,#179970,.T.); #230966=ORIENTED_EDGE('',*,*,#179964,.T.); #230967=ORIENTED_EDGE('',*,*,#179967,.T.); #230968=ORIENTED_EDGE('',*,*,#179990,.F.); #230969=ORIENTED_EDGE('',*,*,#179968,.F.); #230970=ORIENTED_EDGE('',*,*,#179972,.F.); #230971=ORIENTED_EDGE('',*,*,#179975,.F.); #230972=ORIENTED_EDGE('',*,*,#179978,.F.); #230973=ORIENTED_EDGE('',*,*,#179981,.F.); #230974=ORIENTED_EDGE('',*,*,#179984,.F.); #230975=ORIENTED_EDGE('',*,*,#179987,.F.); #230976=ORIENTED_EDGE('',*,*,#179962,.T.); #230977=ORIENTED_EDGE('',*,*,#179965,.T.); #230978=ORIENTED_EDGE('',*,*,#179992,.F.); #230979=ORIENTED_EDGE('',*,*,#179993,.T.); #230980=ORIENTED_EDGE('',*,*,#179994,.F.); #230981=ORIENTED_EDGE('',*,*,#179993,.F.); #230982=ORIENTED_EDGE('',*,*,#179995,.T.); #230983=ORIENTED_EDGE('',*,*,#179996,.T.); #230984=ORIENTED_EDGE('',*,*,#179997,.F.); #230985=ORIENTED_EDGE('',*,*,#179998,.F.); #230986=ORIENTED_EDGE('',*,*,#179999,.T.); #230987=ORIENTED_EDGE('',*,*,#179998,.T.); #230988=ORIENTED_EDGE('',*,*,#180000,.F.); #230989=ORIENTED_EDGE('',*,*,#180001,.F.); #230990=ORIENTED_EDGE('',*,*,#180002,.T.); #230991=ORIENTED_EDGE('',*,*,#180001,.T.); #230992=ORIENTED_EDGE('',*,*,#180003,.F.); #230993=ORIENTED_EDGE('',*,*,#180004,.F.); #230994=ORIENTED_EDGE('',*,*,#180005,.T.); #230995=ORIENTED_EDGE('',*,*,#180004,.T.); #230996=ORIENTED_EDGE('',*,*,#180006,.F.); #230997=ORIENTED_EDGE('',*,*,#179996,.F.); #230998=ORIENTED_EDGE('',*,*,#180006,.T.); #230999=ORIENTED_EDGE('',*,*,#180003,.T.); #231000=ORIENTED_EDGE('',*,*,#180000,.T.); #231001=ORIENTED_EDGE('',*,*,#179997,.T.); #231002=ORIENTED_EDGE('',*,*,#179994,.T.); #231003=ORIENTED_EDGE('',*,*,#180005,.F.); #231004=ORIENTED_EDGE('',*,*,#179995,.F.); #231005=ORIENTED_EDGE('',*,*,#179999,.F.); #231006=ORIENTED_EDGE('',*,*,#180002,.F.); #231007=ORIENTED_EDGE('',*,*,#179992,.T.); #231008=ORIENTED_EDGE('',*,*,#180007,.F.); #231009=ORIENTED_EDGE('',*,*,#180008,.T.); #231010=ORIENTED_EDGE('',*,*,#180009,.F.); #231011=ORIENTED_EDGE('',*,*,#180008,.F.); #231012=ORIENTED_EDGE('',*,*,#180010,.T.); #231013=ORIENTED_EDGE('',*,*,#180011,.T.); #231014=ORIENTED_EDGE('',*,*,#180012,.F.); #231015=ORIENTED_EDGE('',*,*,#180013,.F.); #231016=ORIENTED_EDGE('',*,*,#180014,.T.); #231017=ORIENTED_EDGE('',*,*,#180013,.T.); #231018=ORIENTED_EDGE('',*,*,#180015,.F.); #231019=ORIENTED_EDGE('',*,*,#180016,.F.); #231020=ORIENTED_EDGE('',*,*,#180017,.T.); #231021=ORIENTED_EDGE('',*,*,#180016,.T.); #231022=ORIENTED_EDGE('',*,*,#180018,.F.); #231023=ORIENTED_EDGE('',*,*,#180019,.F.); #231024=ORIENTED_EDGE('',*,*,#180020,.T.); #231025=ORIENTED_EDGE('',*,*,#180019,.T.); #231026=ORIENTED_EDGE('',*,*,#180021,.F.); #231027=ORIENTED_EDGE('',*,*,#180011,.F.); #231028=ORIENTED_EDGE('',*,*,#180021,.T.); #231029=ORIENTED_EDGE('',*,*,#180018,.T.); #231030=ORIENTED_EDGE('',*,*,#180015,.T.); #231031=ORIENTED_EDGE('',*,*,#180012,.T.); #231032=ORIENTED_EDGE('',*,*,#180009,.T.); #231033=ORIENTED_EDGE('',*,*,#180020,.F.); #231034=ORIENTED_EDGE('',*,*,#180010,.F.); #231035=ORIENTED_EDGE('',*,*,#180014,.F.); #231036=ORIENTED_EDGE('',*,*,#180017,.F.); #231037=ORIENTED_EDGE('',*,*,#180007,.T.); #231038=ORIENTED_EDGE('',*,*,#180022,.F.); #231039=ORIENTED_EDGE('',*,*,#180023,.T.); #231040=ORIENTED_EDGE('',*,*,#180024,.F.); #231041=ORIENTED_EDGE('',*,*,#180023,.F.); #231042=ORIENTED_EDGE('',*,*,#180025,.T.); #231043=ORIENTED_EDGE('',*,*,#180026,.T.); #231044=ORIENTED_EDGE('',*,*,#180027,.F.); #231045=ORIENTED_EDGE('',*,*,#180028,.F.); #231046=ORIENTED_EDGE('',*,*,#180029,.T.); #231047=ORIENTED_EDGE('',*,*,#180028,.T.); #231048=ORIENTED_EDGE('',*,*,#180030,.F.); #231049=ORIENTED_EDGE('',*,*,#180031,.F.); #231050=ORIENTED_EDGE('',*,*,#180032,.T.); #231051=ORIENTED_EDGE('',*,*,#180031,.T.); #231052=ORIENTED_EDGE('',*,*,#180033,.F.); #231053=ORIENTED_EDGE('',*,*,#180034,.F.); #231054=ORIENTED_EDGE('',*,*,#180035,.T.); #231055=ORIENTED_EDGE('',*,*,#180034,.T.); #231056=ORIENTED_EDGE('',*,*,#180036,.F.); #231057=ORIENTED_EDGE('',*,*,#180037,.F.); #231058=ORIENTED_EDGE('',*,*,#180038,.T.); #231059=ORIENTED_EDGE('',*,*,#180037,.T.); #231060=ORIENTED_EDGE('',*,*,#180039,.F.); #231061=ORIENTED_EDGE('',*,*,#180040,.F.); #231062=ORIENTED_EDGE('',*,*,#180041,.T.); #231063=ORIENTED_EDGE('',*,*,#180040,.T.); #231064=ORIENTED_EDGE('',*,*,#180042,.F.); #231065=ORIENTED_EDGE('',*,*,#180043,.F.); #231066=ORIENTED_EDGE('',*,*,#180044,.T.); #231067=ORIENTED_EDGE('',*,*,#180043,.T.); #231068=ORIENTED_EDGE('',*,*,#180045,.F.); #231069=ORIENTED_EDGE('',*,*,#180046,.F.); #231070=ORIENTED_EDGE('',*,*,#180047,.T.); #231071=ORIENTED_EDGE('',*,*,#180046,.T.); #231072=ORIENTED_EDGE('',*,*,#180048,.F.); #231073=ORIENTED_EDGE('',*,*,#180049,.F.); #231074=ORIENTED_EDGE('',*,*,#180050,.T.); #231075=ORIENTED_EDGE('',*,*,#180049,.T.); #231076=ORIENTED_EDGE('',*,*,#180051,.F.); #231077=ORIENTED_EDGE('',*,*,#180052,.F.); #231078=ORIENTED_EDGE('',*,*,#180053,.T.); #231079=ORIENTED_EDGE('',*,*,#180052,.T.); #231080=ORIENTED_EDGE('',*,*,#180054,.F.); #231081=ORIENTED_EDGE('',*,*,#180055,.F.); #231082=ORIENTED_EDGE('',*,*,#180056,.T.); #231083=ORIENTED_EDGE('',*,*,#180055,.T.); #231084=ORIENTED_EDGE('',*,*,#180057,.F.); #231085=ORIENTED_EDGE('',*,*,#180058,.F.); #231086=ORIENTED_EDGE('',*,*,#180059,.T.); #231087=ORIENTED_EDGE('',*,*,#180058,.T.); #231088=ORIENTED_EDGE('',*,*,#180060,.F.); #231089=ORIENTED_EDGE('',*,*,#180061,.F.); #231090=ORIENTED_EDGE('',*,*,#180062,.T.); #231091=ORIENTED_EDGE('',*,*,#180061,.T.); #231092=ORIENTED_EDGE('',*,*,#180063,.F.); #231093=ORIENTED_EDGE('',*,*,#180064,.F.); #231094=ORIENTED_EDGE('',*,*,#180065,.T.); #231095=ORIENTED_EDGE('',*,*,#180064,.T.); #231096=ORIENTED_EDGE('',*,*,#180066,.F.); #231097=ORIENTED_EDGE('',*,*,#180067,.F.); #231098=ORIENTED_EDGE('',*,*,#180068,.T.); #231099=ORIENTED_EDGE('',*,*,#180067,.T.); #231100=ORIENTED_EDGE('',*,*,#180069,.F.); #231101=ORIENTED_EDGE('',*,*,#180070,.F.); #231102=ORIENTED_EDGE('',*,*,#180071,.T.); #231103=ORIENTED_EDGE('',*,*,#180070,.T.); #231104=ORIENTED_EDGE('',*,*,#180072,.F.); #231105=ORIENTED_EDGE('',*,*,#180073,.F.); #231106=ORIENTED_EDGE('',*,*,#180074,.T.); #231107=ORIENTED_EDGE('',*,*,#180073,.T.); #231108=ORIENTED_EDGE('',*,*,#180075,.F.); #231109=ORIENTED_EDGE('',*,*,#180076,.F.); #231110=ORIENTED_EDGE('',*,*,#180077,.T.); #231111=ORIENTED_EDGE('',*,*,#180076,.T.); #231112=ORIENTED_EDGE('',*,*,#180078,.F.); #231113=ORIENTED_EDGE('',*,*,#180079,.F.); #231114=ORIENTED_EDGE('',*,*,#180080,.T.); #231115=ORIENTED_EDGE('',*,*,#180079,.T.); #231116=ORIENTED_EDGE('',*,*,#180081,.F.); #231117=ORIENTED_EDGE('',*,*,#180082,.F.); #231118=ORIENTED_EDGE('',*,*,#180083,.T.); #231119=ORIENTED_EDGE('',*,*,#180082,.T.); #231120=ORIENTED_EDGE('',*,*,#180084,.F.); #231121=ORIENTED_EDGE('',*,*,#180085,.F.); #231122=ORIENTED_EDGE('',*,*,#180086,.T.); #231123=ORIENTED_EDGE('',*,*,#180085,.T.); #231124=ORIENTED_EDGE('',*,*,#180087,.F.); #231125=ORIENTED_EDGE('',*,*,#180088,.F.); #231126=ORIENTED_EDGE('',*,*,#180089,.T.); #231127=ORIENTED_EDGE('',*,*,#180088,.T.); #231128=ORIENTED_EDGE('',*,*,#180090,.F.); #231129=ORIENTED_EDGE('',*,*,#180091,.F.); #231130=ORIENTED_EDGE('',*,*,#180092,.T.); #231131=ORIENTED_EDGE('',*,*,#180091,.T.); #231132=ORIENTED_EDGE('',*,*,#180093,.F.); #231133=ORIENTED_EDGE('',*,*,#180094,.F.); #231134=ORIENTED_EDGE('',*,*,#180095,.T.); #231135=ORIENTED_EDGE('',*,*,#180094,.T.); #231136=ORIENTED_EDGE('',*,*,#180096,.F.); #231137=ORIENTED_EDGE('',*,*,#180097,.F.); #231138=ORIENTED_EDGE('',*,*,#180098,.T.); #231139=ORIENTED_EDGE('',*,*,#180097,.T.); #231140=ORIENTED_EDGE('',*,*,#180099,.F.); #231141=ORIENTED_EDGE('',*,*,#180100,.F.); #231142=ORIENTED_EDGE('',*,*,#180101,.T.); #231143=ORIENTED_EDGE('',*,*,#180100,.T.); #231144=ORIENTED_EDGE('',*,*,#180102,.F.); #231145=ORIENTED_EDGE('',*,*,#180103,.F.); #231146=ORIENTED_EDGE('',*,*,#180104,.T.); #231147=ORIENTED_EDGE('',*,*,#180103,.T.); #231148=ORIENTED_EDGE('',*,*,#180105,.F.); #231149=ORIENTED_EDGE('',*,*,#180106,.F.); #231150=ORIENTED_EDGE('',*,*,#180107,.T.); #231151=ORIENTED_EDGE('',*,*,#180106,.T.); #231152=ORIENTED_EDGE('',*,*,#180108,.F.); #231153=ORIENTED_EDGE('',*,*,#180109,.F.); #231154=ORIENTED_EDGE('',*,*,#180110,.T.); #231155=ORIENTED_EDGE('',*,*,#180109,.T.); #231156=ORIENTED_EDGE('',*,*,#180111,.F.); #231157=ORIENTED_EDGE('',*,*,#180112,.F.); #231158=ORIENTED_EDGE('',*,*,#180113,.T.); #231159=ORIENTED_EDGE('',*,*,#180112,.T.); #231160=ORIENTED_EDGE('',*,*,#180114,.F.); #231161=ORIENTED_EDGE('',*,*,#180115,.F.); #231162=ORIENTED_EDGE('',*,*,#180116,.T.); #231163=ORIENTED_EDGE('',*,*,#180115,.T.); #231164=ORIENTED_EDGE('',*,*,#180117,.F.); #231165=ORIENTED_EDGE('',*,*,#180118,.F.); #231166=ORIENTED_EDGE('',*,*,#180119,.T.); #231167=ORIENTED_EDGE('',*,*,#180118,.T.); #231168=ORIENTED_EDGE('',*,*,#180120,.F.); #231169=ORIENTED_EDGE('',*,*,#180121,.F.); #231170=ORIENTED_EDGE('',*,*,#180122,.T.); #231171=ORIENTED_EDGE('',*,*,#180121,.T.); #231172=ORIENTED_EDGE('',*,*,#180123,.F.); #231173=ORIENTED_EDGE('',*,*,#180124,.F.); #231174=ORIENTED_EDGE('',*,*,#180125,.T.); #231175=ORIENTED_EDGE('',*,*,#180124,.T.); #231176=ORIENTED_EDGE('',*,*,#180126,.F.); #231177=ORIENTED_EDGE('',*,*,#180127,.F.); #231178=ORIENTED_EDGE('',*,*,#180128,.T.); #231179=ORIENTED_EDGE('',*,*,#180127,.T.); #231180=ORIENTED_EDGE('',*,*,#180129,.F.); #231181=ORIENTED_EDGE('',*,*,#180130,.F.); #231182=ORIENTED_EDGE('',*,*,#180131,.T.); #231183=ORIENTED_EDGE('',*,*,#180130,.T.); #231184=ORIENTED_EDGE('',*,*,#180132,.F.); #231185=ORIENTED_EDGE('',*,*,#180133,.F.); #231186=ORIENTED_EDGE('',*,*,#180134,.T.); #231187=ORIENTED_EDGE('',*,*,#180133,.T.); #231188=ORIENTED_EDGE('',*,*,#180135,.F.); #231189=ORIENTED_EDGE('',*,*,#180136,.F.); #231190=ORIENTED_EDGE('',*,*,#180137,.T.); #231191=ORIENTED_EDGE('',*,*,#180136,.T.); #231192=ORIENTED_EDGE('',*,*,#180138,.F.); #231193=ORIENTED_EDGE('',*,*,#180139,.F.); #231194=ORIENTED_EDGE('',*,*,#180140,.T.); #231195=ORIENTED_EDGE('',*,*,#180139,.T.); #231196=ORIENTED_EDGE('',*,*,#180141,.F.); #231197=ORIENTED_EDGE('',*,*,#180142,.F.); #231198=ORIENTED_EDGE('',*,*,#180143,.T.); #231199=ORIENTED_EDGE('',*,*,#180142,.T.); #231200=ORIENTED_EDGE('',*,*,#180144,.F.); #231201=ORIENTED_EDGE('',*,*,#180145,.F.); #231202=ORIENTED_EDGE('',*,*,#180146,.T.); #231203=ORIENTED_EDGE('',*,*,#180145,.T.); #231204=ORIENTED_EDGE('',*,*,#180147,.F.); #231205=ORIENTED_EDGE('',*,*,#180148,.F.); #231206=ORIENTED_EDGE('',*,*,#180149,.T.); #231207=ORIENTED_EDGE('',*,*,#180148,.T.); #231208=ORIENTED_EDGE('',*,*,#180150,.F.); #231209=ORIENTED_EDGE('',*,*,#180151,.F.); #231210=ORIENTED_EDGE('',*,*,#180152,.T.); #231211=ORIENTED_EDGE('',*,*,#180151,.T.); #231212=ORIENTED_EDGE('',*,*,#180153,.F.); #231213=ORIENTED_EDGE('',*,*,#180026,.F.); #231214=ORIENTED_EDGE('',*,*,#180153,.T.); #231215=ORIENTED_EDGE('',*,*,#180150,.T.); #231216=ORIENTED_EDGE('',*,*,#180147,.T.); #231217=ORIENTED_EDGE('',*,*,#180144,.T.); #231218=ORIENTED_EDGE('',*,*,#180141,.T.); #231219=ORIENTED_EDGE('',*,*,#180138,.T.); #231220=ORIENTED_EDGE('',*,*,#180135,.T.); #231221=ORIENTED_EDGE('',*,*,#180132,.T.); #231222=ORIENTED_EDGE('',*,*,#180129,.T.); #231223=ORIENTED_EDGE('',*,*,#180126,.T.); #231224=ORIENTED_EDGE('',*,*,#180123,.T.); #231225=ORIENTED_EDGE('',*,*,#180120,.T.); #231226=ORIENTED_EDGE('',*,*,#180117,.T.); #231227=ORIENTED_EDGE('',*,*,#180114,.T.); #231228=ORIENTED_EDGE('',*,*,#180111,.T.); #231229=ORIENTED_EDGE('',*,*,#180108,.T.); #231230=ORIENTED_EDGE('',*,*,#180105,.T.); #231231=ORIENTED_EDGE('',*,*,#180102,.T.); #231232=ORIENTED_EDGE('',*,*,#180099,.T.); #231233=ORIENTED_EDGE('',*,*,#180096,.T.); #231234=ORIENTED_EDGE('',*,*,#180093,.T.); #231235=ORIENTED_EDGE('',*,*,#180090,.T.); #231236=ORIENTED_EDGE('',*,*,#180087,.T.); #231237=ORIENTED_EDGE('',*,*,#180084,.T.); #231238=ORIENTED_EDGE('',*,*,#180081,.T.); #231239=ORIENTED_EDGE('',*,*,#180078,.T.); #231240=ORIENTED_EDGE('',*,*,#180075,.T.); #231241=ORIENTED_EDGE('',*,*,#180072,.T.); #231242=ORIENTED_EDGE('',*,*,#180069,.T.); #231243=ORIENTED_EDGE('',*,*,#180066,.T.); #231244=ORIENTED_EDGE('',*,*,#180063,.T.); #231245=ORIENTED_EDGE('',*,*,#180060,.T.); #231246=ORIENTED_EDGE('',*,*,#180057,.T.); #231247=ORIENTED_EDGE('',*,*,#180054,.T.); #231248=ORIENTED_EDGE('',*,*,#180051,.T.); #231249=ORIENTED_EDGE('',*,*,#180048,.T.); #231250=ORIENTED_EDGE('',*,*,#180045,.T.); #231251=ORIENTED_EDGE('',*,*,#180042,.T.); #231252=ORIENTED_EDGE('',*,*,#180039,.T.); #231253=ORIENTED_EDGE('',*,*,#180036,.T.); #231254=ORIENTED_EDGE('',*,*,#180033,.T.); #231255=ORIENTED_EDGE('',*,*,#180030,.T.); #231256=ORIENTED_EDGE('',*,*,#180027,.T.); #231257=ORIENTED_EDGE('',*,*,#180024,.T.); #231258=ORIENTED_EDGE('',*,*,#180152,.F.); #231259=ORIENTED_EDGE('',*,*,#180025,.F.); #231260=ORIENTED_EDGE('',*,*,#180029,.F.); #231261=ORIENTED_EDGE('',*,*,#180032,.F.); #231262=ORIENTED_EDGE('',*,*,#180035,.F.); #231263=ORIENTED_EDGE('',*,*,#180038,.F.); #231264=ORIENTED_EDGE('',*,*,#180041,.F.); #231265=ORIENTED_EDGE('',*,*,#180044,.F.); #231266=ORIENTED_EDGE('',*,*,#180047,.F.); #231267=ORIENTED_EDGE('',*,*,#180050,.F.); #231268=ORIENTED_EDGE('',*,*,#180053,.F.); #231269=ORIENTED_EDGE('',*,*,#180056,.F.); #231270=ORIENTED_EDGE('',*,*,#180059,.F.); #231271=ORIENTED_EDGE('',*,*,#180062,.F.); #231272=ORIENTED_EDGE('',*,*,#180065,.F.); #231273=ORIENTED_EDGE('',*,*,#180068,.F.); #231274=ORIENTED_EDGE('',*,*,#180071,.F.); #231275=ORIENTED_EDGE('',*,*,#180074,.F.); #231276=ORIENTED_EDGE('',*,*,#180077,.F.); #231277=ORIENTED_EDGE('',*,*,#180080,.F.); #231278=ORIENTED_EDGE('',*,*,#180083,.F.); #231279=ORIENTED_EDGE('',*,*,#180086,.F.); #231280=ORIENTED_EDGE('',*,*,#180089,.F.); #231281=ORIENTED_EDGE('',*,*,#180092,.F.); #231282=ORIENTED_EDGE('',*,*,#180095,.F.); #231283=ORIENTED_EDGE('',*,*,#180098,.F.); #231284=ORIENTED_EDGE('',*,*,#180101,.F.); #231285=ORIENTED_EDGE('',*,*,#180104,.F.); #231286=ORIENTED_EDGE('',*,*,#180107,.F.); #231287=ORIENTED_EDGE('',*,*,#180110,.F.); #231288=ORIENTED_EDGE('',*,*,#180113,.F.); #231289=ORIENTED_EDGE('',*,*,#180116,.F.); #231290=ORIENTED_EDGE('',*,*,#180119,.F.); #231291=ORIENTED_EDGE('',*,*,#180122,.F.); #231292=ORIENTED_EDGE('',*,*,#180125,.F.); #231293=ORIENTED_EDGE('',*,*,#180128,.F.); #231294=ORIENTED_EDGE('',*,*,#180131,.F.); #231295=ORIENTED_EDGE('',*,*,#180134,.F.); #231296=ORIENTED_EDGE('',*,*,#180137,.F.); #231297=ORIENTED_EDGE('',*,*,#180140,.F.); #231298=ORIENTED_EDGE('',*,*,#180143,.F.); #231299=ORIENTED_EDGE('',*,*,#180146,.F.); #231300=ORIENTED_EDGE('',*,*,#180149,.F.); #231301=ORIENTED_EDGE('',*,*,#180022,.T.); #231302=ORIENTED_EDGE('',*,*,#180154,.F.); #231303=ORIENTED_EDGE('',*,*,#180155,.T.); #231304=ORIENTED_EDGE('',*,*,#180156,.F.); #231305=ORIENTED_EDGE('',*,*,#180155,.F.); #231306=ORIENTED_EDGE('',*,*,#180157,.T.); #231307=ORIENTED_EDGE('',*,*,#180158,.T.); #231308=ORIENTED_EDGE('',*,*,#180159,.F.); #231309=ORIENTED_EDGE('',*,*,#180160,.F.); #231310=ORIENTED_EDGE('',*,*,#180161,.T.); #231311=ORIENTED_EDGE('',*,*,#180160,.T.); #231312=ORIENTED_EDGE('',*,*,#180162,.F.); #231313=ORIENTED_EDGE('',*,*,#180163,.F.); #231314=ORIENTED_EDGE('',*,*,#180164,.T.); #231315=ORIENTED_EDGE('',*,*,#180163,.T.); #231316=ORIENTED_EDGE('',*,*,#180165,.F.); #231317=ORIENTED_EDGE('',*,*,#180166,.F.); #231318=ORIENTED_EDGE('',*,*,#180167,.T.); #231319=ORIENTED_EDGE('',*,*,#180166,.T.); #231320=ORIENTED_EDGE('',*,*,#180168,.F.); #231321=ORIENTED_EDGE('',*,*,#180169,.F.); #231322=ORIENTED_EDGE('',*,*,#180170,.T.); #231323=ORIENTED_EDGE('',*,*,#180169,.T.); #231324=ORIENTED_EDGE('',*,*,#180171,.F.); #231325=ORIENTED_EDGE('',*,*,#180172,.F.); #231326=ORIENTED_EDGE('',*,*,#180173,.T.); #231327=ORIENTED_EDGE('',*,*,#180172,.T.); #231328=ORIENTED_EDGE('',*,*,#180174,.F.); #231329=ORIENTED_EDGE('',*,*,#180175,.F.); #231330=ORIENTED_EDGE('',*,*,#180176,.T.); #231331=ORIENTED_EDGE('',*,*,#180175,.T.); #231332=ORIENTED_EDGE('',*,*,#180177,.F.); #231333=ORIENTED_EDGE('',*,*,#180178,.F.); #231334=ORIENTED_EDGE('',*,*,#180179,.T.); #231335=ORIENTED_EDGE('',*,*,#180178,.T.); #231336=ORIENTED_EDGE('',*,*,#180180,.F.); #231337=ORIENTED_EDGE('',*,*,#180181,.F.); #231338=ORIENTED_EDGE('',*,*,#180182,.T.); #231339=ORIENTED_EDGE('',*,*,#180181,.T.); #231340=ORIENTED_EDGE('',*,*,#180183,.F.); #231341=ORIENTED_EDGE('',*,*,#180184,.F.); #231342=ORIENTED_EDGE('',*,*,#180185,.T.); #231343=ORIENTED_EDGE('',*,*,#180184,.T.); #231344=ORIENTED_EDGE('',*,*,#180186,.F.); #231345=ORIENTED_EDGE('',*,*,#180187,.F.); #231346=ORIENTED_EDGE('',*,*,#180188,.T.); #231347=ORIENTED_EDGE('',*,*,#180187,.T.); #231348=ORIENTED_EDGE('',*,*,#180189,.F.); #231349=ORIENTED_EDGE('',*,*,#180190,.F.); #231350=ORIENTED_EDGE('',*,*,#180191,.T.); #231351=ORIENTED_EDGE('',*,*,#180190,.T.); #231352=ORIENTED_EDGE('',*,*,#180192,.F.); #231353=ORIENTED_EDGE('',*,*,#180193,.F.); #231354=ORIENTED_EDGE('',*,*,#180194,.T.); #231355=ORIENTED_EDGE('',*,*,#180193,.T.); #231356=ORIENTED_EDGE('',*,*,#180195,.F.); #231357=ORIENTED_EDGE('',*,*,#180196,.F.); #231358=ORIENTED_EDGE('',*,*,#180197,.T.); #231359=ORIENTED_EDGE('',*,*,#180196,.T.); #231360=ORIENTED_EDGE('',*,*,#180198,.F.); #231361=ORIENTED_EDGE('',*,*,#180199,.F.); #231362=ORIENTED_EDGE('',*,*,#180200,.T.); #231363=ORIENTED_EDGE('',*,*,#180199,.T.); #231364=ORIENTED_EDGE('',*,*,#180201,.F.); #231365=ORIENTED_EDGE('',*,*,#180202,.F.); #231366=ORIENTED_EDGE('',*,*,#180203,.T.); #231367=ORIENTED_EDGE('',*,*,#180202,.T.); #231368=ORIENTED_EDGE('',*,*,#180204,.F.); #231369=ORIENTED_EDGE('',*,*,#180205,.F.); #231370=ORIENTED_EDGE('',*,*,#180206,.T.); #231371=ORIENTED_EDGE('',*,*,#180205,.T.); #231372=ORIENTED_EDGE('',*,*,#180207,.F.); #231373=ORIENTED_EDGE('',*,*,#180208,.F.); #231374=ORIENTED_EDGE('',*,*,#180209,.T.); #231375=ORIENTED_EDGE('',*,*,#180208,.T.); #231376=ORIENTED_EDGE('',*,*,#180210,.F.); #231377=ORIENTED_EDGE('',*,*,#180211,.F.); #231378=ORIENTED_EDGE('',*,*,#180212,.T.); #231379=ORIENTED_EDGE('',*,*,#180211,.T.); #231380=ORIENTED_EDGE('',*,*,#180213,.F.); #231381=ORIENTED_EDGE('',*,*,#180214,.F.); #231382=ORIENTED_EDGE('',*,*,#180215,.T.); #231383=ORIENTED_EDGE('',*,*,#180214,.T.); #231384=ORIENTED_EDGE('',*,*,#180216,.F.); #231385=ORIENTED_EDGE('',*,*,#180217,.F.); #231386=ORIENTED_EDGE('',*,*,#180218,.T.); #231387=ORIENTED_EDGE('',*,*,#180217,.T.); #231388=ORIENTED_EDGE('',*,*,#180219,.F.); #231389=ORIENTED_EDGE('',*,*,#180158,.F.); #231390=ORIENTED_EDGE('',*,*,#180219,.T.); #231391=ORIENTED_EDGE('',*,*,#180216,.T.); #231392=ORIENTED_EDGE('',*,*,#180213,.T.); #231393=ORIENTED_EDGE('',*,*,#180210,.T.); #231394=ORIENTED_EDGE('',*,*,#180207,.T.); #231395=ORIENTED_EDGE('',*,*,#180204,.T.); #231396=ORIENTED_EDGE('',*,*,#180201,.T.); #231397=ORIENTED_EDGE('',*,*,#180198,.T.); #231398=ORIENTED_EDGE('',*,*,#180195,.T.); #231399=ORIENTED_EDGE('',*,*,#180192,.T.); #231400=ORIENTED_EDGE('',*,*,#180189,.T.); #231401=ORIENTED_EDGE('',*,*,#180186,.T.); #231402=ORIENTED_EDGE('',*,*,#180183,.T.); #231403=ORIENTED_EDGE('',*,*,#180180,.T.); #231404=ORIENTED_EDGE('',*,*,#180177,.T.); #231405=ORIENTED_EDGE('',*,*,#180174,.T.); #231406=ORIENTED_EDGE('',*,*,#180171,.T.); #231407=ORIENTED_EDGE('',*,*,#180168,.T.); #231408=ORIENTED_EDGE('',*,*,#180165,.T.); #231409=ORIENTED_EDGE('',*,*,#180162,.T.); #231410=ORIENTED_EDGE('',*,*,#180159,.T.); #231411=ORIENTED_EDGE('',*,*,#180156,.T.); #231412=ORIENTED_EDGE('',*,*,#180218,.F.); #231413=ORIENTED_EDGE('',*,*,#180157,.F.); #231414=ORIENTED_EDGE('',*,*,#180161,.F.); #231415=ORIENTED_EDGE('',*,*,#180164,.F.); #231416=ORIENTED_EDGE('',*,*,#180167,.F.); #231417=ORIENTED_EDGE('',*,*,#180170,.F.); #231418=ORIENTED_EDGE('',*,*,#180173,.F.); #231419=ORIENTED_EDGE('',*,*,#180176,.F.); #231420=ORIENTED_EDGE('',*,*,#180179,.F.); #231421=ORIENTED_EDGE('',*,*,#180182,.F.); #231422=ORIENTED_EDGE('',*,*,#180185,.F.); #231423=ORIENTED_EDGE('',*,*,#180188,.F.); #231424=ORIENTED_EDGE('',*,*,#180191,.F.); #231425=ORIENTED_EDGE('',*,*,#180194,.F.); #231426=ORIENTED_EDGE('',*,*,#180197,.F.); #231427=ORIENTED_EDGE('',*,*,#180200,.F.); #231428=ORIENTED_EDGE('',*,*,#180203,.F.); #231429=ORIENTED_EDGE('',*,*,#180206,.F.); #231430=ORIENTED_EDGE('',*,*,#180209,.F.); #231431=ORIENTED_EDGE('',*,*,#180212,.F.); #231432=ORIENTED_EDGE('',*,*,#180215,.F.); #231433=ORIENTED_EDGE('',*,*,#180154,.T.); #231434=ORIENTED_EDGE('',*,*,#180220,.F.); #231435=ORIENTED_EDGE('',*,*,#180221,.T.); #231436=ORIENTED_EDGE('',*,*,#180222,.F.); #231437=ORIENTED_EDGE('',*,*,#180221,.F.); #231438=ORIENTED_EDGE('',*,*,#180223,.T.); #231439=ORIENTED_EDGE('',*,*,#180224,.T.); #231440=ORIENTED_EDGE('',*,*,#180225,.F.); #231441=ORIENTED_EDGE('',*,*,#180226,.F.); #231442=ORIENTED_EDGE('',*,*,#180227,.T.); #231443=ORIENTED_EDGE('',*,*,#180226,.T.); #231444=ORIENTED_EDGE('',*,*,#180228,.F.); #231445=ORIENTED_EDGE('',*,*,#180229,.F.); #231446=ORIENTED_EDGE('',*,*,#180230,.T.); #231447=ORIENTED_EDGE('',*,*,#180229,.T.); #231448=ORIENTED_EDGE('',*,*,#180231,.F.); #231449=ORIENTED_EDGE('',*,*,#180232,.F.); #231450=ORIENTED_EDGE('',*,*,#180233,.T.); #231451=ORIENTED_EDGE('',*,*,#180232,.T.); #231452=ORIENTED_EDGE('',*,*,#180234,.F.); #231453=ORIENTED_EDGE('',*,*,#180224,.F.); #231454=ORIENTED_EDGE('',*,*,#180234,.T.); #231455=ORIENTED_EDGE('',*,*,#180231,.T.); #231456=ORIENTED_EDGE('',*,*,#180228,.T.); #231457=ORIENTED_EDGE('',*,*,#180225,.T.); #231458=ORIENTED_EDGE('',*,*,#180222,.T.); #231459=ORIENTED_EDGE('',*,*,#180233,.F.); #231460=ORIENTED_EDGE('',*,*,#180223,.F.); #231461=ORIENTED_EDGE('',*,*,#180227,.F.); #231462=ORIENTED_EDGE('',*,*,#180230,.F.); #231463=ORIENTED_EDGE('',*,*,#180220,.T.); #231464=ORIENTED_EDGE('',*,*,#180235,.F.); #231465=ORIENTED_EDGE('',*,*,#180236,.T.); #231466=ORIENTED_EDGE('',*,*,#180237,.F.); #231467=ORIENTED_EDGE('',*,*,#180236,.F.); #231468=ORIENTED_EDGE('',*,*,#180238,.T.); #231469=ORIENTED_EDGE('',*,*,#180239,.T.); #231470=ORIENTED_EDGE('',*,*,#180240,.F.); #231471=ORIENTED_EDGE('',*,*,#180241,.F.); #231472=ORIENTED_EDGE('',*,*,#180242,.T.); #231473=ORIENTED_EDGE('',*,*,#180241,.T.); #231474=ORIENTED_EDGE('',*,*,#180243,.F.); #231475=ORIENTED_EDGE('',*,*,#180244,.F.); #231476=ORIENTED_EDGE('',*,*,#180245,.T.); #231477=ORIENTED_EDGE('',*,*,#180244,.T.); #231478=ORIENTED_EDGE('',*,*,#180246,.F.); #231479=ORIENTED_EDGE('',*,*,#180247,.F.); #231480=ORIENTED_EDGE('',*,*,#180248,.T.); #231481=ORIENTED_EDGE('',*,*,#180247,.T.); #231482=ORIENTED_EDGE('',*,*,#180249,.F.); #231483=ORIENTED_EDGE('',*,*,#180239,.F.); #231484=ORIENTED_EDGE('',*,*,#180249,.T.); #231485=ORIENTED_EDGE('',*,*,#180246,.T.); #231486=ORIENTED_EDGE('',*,*,#180243,.T.); #231487=ORIENTED_EDGE('',*,*,#180240,.T.); #231488=ORIENTED_EDGE('',*,*,#180237,.T.); #231489=ORIENTED_EDGE('',*,*,#180248,.F.); #231490=ORIENTED_EDGE('',*,*,#180238,.F.); #231491=ORIENTED_EDGE('',*,*,#180242,.F.); #231492=ORIENTED_EDGE('',*,*,#180245,.F.); #231493=ORIENTED_EDGE('',*,*,#180235,.T.); #231494=ORIENTED_EDGE('',*,*,#180250,.F.); #231495=ORIENTED_EDGE('',*,*,#180251,.T.); #231496=ORIENTED_EDGE('',*,*,#180252,.F.); #231497=ORIENTED_EDGE('',*,*,#180251,.F.); #231498=ORIENTED_EDGE('',*,*,#180253,.T.); #231499=ORIENTED_EDGE('',*,*,#180254,.T.); #231500=ORIENTED_EDGE('',*,*,#180255,.F.); #231501=ORIENTED_EDGE('',*,*,#180256,.F.); #231502=ORIENTED_EDGE('',*,*,#180257,.T.); #231503=ORIENTED_EDGE('',*,*,#180256,.T.); #231504=ORIENTED_EDGE('',*,*,#180258,.F.); #231505=ORIENTED_EDGE('',*,*,#180259,.F.); #231506=ORIENTED_EDGE('',*,*,#180260,.T.); #231507=ORIENTED_EDGE('',*,*,#180259,.T.); #231508=ORIENTED_EDGE('',*,*,#180261,.F.); #231509=ORIENTED_EDGE('',*,*,#180262,.F.); #231510=ORIENTED_EDGE('',*,*,#180263,.T.); #231511=ORIENTED_EDGE('',*,*,#180262,.T.); #231512=ORIENTED_EDGE('',*,*,#180264,.F.); #231513=ORIENTED_EDGE('',*,*,#180265,.F.); #231514=ORIENTED_EDGE('',*,*,#180266,.T.); #231515=ORIENTED_EDGE('',*,*,#180265,.T.); #231516=ORIENTED_EDGE('',*,*,#180267,.F.); #231517=ORIENTED_EDGE('',*,*,#180268,.F.); #231518=ORIENTED_EDGE('',*,*,#180269,.T.); #231519=ORIENTED_EDGE('',*,*,#180268,.T.); #231520=ORIENTED_EDGE('',*,*,#180270,.F.); #231521=ORIENTED_EDGE('',*,*,#180271,.F.); #231522=ORIENTED_EDGE('',*,*,#180272,.T.); #231523=ORIENTED_EDGE('',*,*,#180271,.T.); #231524=ORIENTED_EDGE('',*,*,#180273,.F.); #231525=ORIENTED_EDGE('',*,*,#180274,.F.); #231526=ORIENTED_EDGE('',*,*,#180275,.T.); #231527=ORIENTED_EDGE('',*,*,#180274,.T.); #231528=ORIENTED_EDGE('',*,*,#180276,.F.); #231529=ORIENTED_EDGE('',*,*,#180277,.F.); #231530=ORIENTED_EDGE('',*,*,#180278,.T.); #231531=ORIENTED_EDGE('',*,*,#180277,.T.); #231532=ORIENTED_EDGE('',*,*,#180279,.F.); #231533=ORIENTED_EDGE('',*,*,#180280,.F.); #231534=ORIENTED_EDGE('',*,*,#180281,.T.); #231535=ORIENTED_EDGE('',*,*,#180280,.T.); #231536=ORIENTED_EDGE('',*,*,#180282,.F.); #231537=ORIENTED_EDGE('',*,*,#180283,.F.); #231538=ORIENTED_EDGE('',*,*,#180284,.T.); #231539=ORIENTED_EDGE('',*,*,#180283,.T.); #231540=ORIENTED_EDGE('',*,*,#180285,.F.); #231541=ORIENTED_EDGE('',*,*,#180286,.F.); #231542=ORIENTED_EDGE('',*,*,#180287,.T.); #231543=ORIENTED_EDGE('',*,*,#180286,.T.); #231544=ORIENTED_EDGE('',*,*,#180288,.F.); #231545=ORIENTED_EDGE('',*,*,#180289,.F.); #231546=ORIENTED_EDGE('',*,*,#180290,.T.); #231547=ORIENTED_EDGE('',*,*,#180289,.T.); #231548=ORIENTED_EDGE('',*,*,#180291,.F.); #231549=ORIENTED_EDGE('',*,*,#180292,.F.); #231550=ORIENTED_EDGE('',*,*,#180293,.T.); #231551=ORIENTED_EDGE('',*,*,#180292,.T.); #231552=ORIENTED_EDGE('',*,*,#180294,.F.); #231553=ORIENTED_EDGE('',*,*,#180254,.F.); #231554=ORIENTED_EDGE('',*,*,#180294,.T.); #231555=ORIENTED_EDGE('',*,*,#180291,.T.); #231556=ORIENTED_EDGE('',*,*,#180288,.T.); #231557=ORIENTED_EDGE('',*,*,#180285,.T.); #231558=ORIENTED_EDGE('',*,*,#180282,.T.); #231559=ORIENTED_EDGE('',*,*,#180279,.T.); #231560=ORIENTED_EDGE('',*,*,#180276,.T.); #231561=ORIENTED_EDGE('',*,*,#180273,.T.); #231562=ORIENTED_EDGE('',*,*,#180270,.T.); #231563=ORIENTED_EDGE('',*,*,#180267,.T.); #231564=ORIENTED_EDGE('',*,*,#180264,.T.); #231565=ORIENTED_EDGE('',*,*,#180261,.T.); #231566=ORIENTED_EDGE('',*,*,#180258,.T.); #231567=ORIENTED_EDGE('',*,*,#180255,.T.); #231568=ORIENTED_EDGE('',*,*,#180252,.T.); #231569=ORIENTED_EDGE('',*,*,#180293,.F.); #231570=ORIENTED_EDGE('',*,*,#180253,.F.); #231571=ORIENTED_EDGE('',*,*,#180257,.F.); #231572=ORIENTED_EDGE('',*,*,#180260,.F.); #231573=ORIENTED_EDGE('',*,*,#180263,.F.); #231574=ORIENTED_EDGE('',*,*,#180266,.F.); #231575=ORIENTED_EDGE('',*,*,#180269,.F.); #231576=ORIENTED_EDGE('',*,*,#180272,.F.); #231577=ORIENTED_EDGE('',*,*,#180275,.F.); #231578=ORIENTED_EDGE('',*,*,#180278,.F.); #231579=ORIENTED_EDGE('',*,*,#180281,.F.); #231580=ORIENTED_EDGE('',*,*,#180284,.F.); #231581=ORIENTED_EDGE('',*,*,#180287,.F.); #231582=ORIENTED_EDGE('',*,*,#180290,.F.); #231583=ORIENTED_EDGE('',*,*,#180250,.T.); #231584=ORIENTED_EDGE('',*,*,#180295,.F.); #231585=ORIENTED_EDGE('',*,*,#180296,.T.); #231586=ORIENTED_EDGE('',*,*,#180297,.F.); #231587=ORIENTED_EDGE('',*,*,#180296,.F.); #231588=ORIENTED_EDGE('',*,*,#180298,.T.); #231589=ORIENTED_EDGE('',*,*,#180299,.T.); #231590=ORIENTED_EDGE('',*,*,#180300,.F.); #231591=ORIENTED_EDGE('',*,*,#180301,.F.); #231592=ORIENTED_EDGE('',*,*,#180302,.T.); #231593=ORIENTED_EDGE('',*,*,#180301,.T.); #231594=ORIENTED_EDGE('',*,*,#180303,.F.); #231595=ORIENTED_EDGE('',*,*,#180304,.F.); #231596=ORIENTED_EDGE('',*,*,#180305,.T.); #231597=ORIENTED_EDGE('',*,*,#180304,.T.); #231598=ORIENTED_EDGE('',*,*,#180306,.F.); #231599=ORIENTED_EDGE('',*,*,#180299,.F.); #231600=ORIENTED_EDGE('',*,*,#180306,.T.); #231601=ORIENTED_EDGE('',*,*,#180303,.T.); #231602=ORIENTED_EDGE('',*,*,#180300,.T.); #231603=ORIENTED_EDGE('',*,*,#180297,.T.); #231604=ORIENTED_EDGE('',*,*,#180305,.F.); #231605=ORIENTED_EDGE('',*,*,#180298,.F.); #231606=ORIENTED_EDGE('',*,*,#180302,.F.); #231607=ORIENTED_EDGE('',*,*,#180295,.T.); #231608=ORIENTED_EDGE('',*,*,#180307,.F.); #231609=ORIENTED_EDGE('',*,*,#180308,.T.); #231610=ORIENTED_EDGE('',*,*,#180309,.F.); #231611=ORIENTED_EDGE('',*,*,#180308,.F.); #231612=ORIENTED_EDGE('',*,*,#180310,.F.); #231613=ORIENTED_EDGE('',*,*,#180311,.T.); #231614=ORIENTED_EDGE('',*,*,#180312,.F.); #231615=ORIENTED_EDGE('',*,*,#180311,.F.); #231616=ORIENTED_EDGE('',*,*,#180313,.T.); #231617=ORIENTED_EDGE('',*,*,#180314,.T.); #231618=ORIENTED_EDGE('',*,*,#180315,.F.); #231619=ORIENTED_EDGE('',*,*,#180316,.F.); #231620=ORIENTED_EDGE('',*,*,#180317,.T.); #231621=ORIENTED_EDGE('',*,*,#180316,.T.); #231622=ORIENTED_EDGE('',*,*,#180318,.F.); #231623=ORIENTED_EDGE('',*,*,#180319,.F.); #231624=ORIENTED_EDGE('',*,*,#180320,.T.); #231625=ORIENTED_EDGE('',*,*,#180319,.T.); #231626=ORIENTED_EDGE('',*,*,#180321,.F.); #231627=ORIENTED_EDGE('',*,*,#180322,.F.); #231628=ORIENTED_EDGE('',*,*,#180323,.T.); #231629=ORIENTED_EDGE('',*,*,#180322,.T.); #231630=ORIENTED_EDGE('',*,*,#180324,.F.); #231631=ORIENTED_EDGE('',*,*,#180325,.F.); #231632=ORIENTED_EDGE('',*,*,#180326,.T.); #231633=ORIENTED_EDGE('',*,*,#180325,.T.); #231634=ORIENTED_EDGE('',*,*,#180327,.F.); #231635=ORIENTED_EDGE('',*,*,#180328,.F.); #231636=ORIENTED_EDGE('',*,*,#180329,.T.); #231637=ORIENTED_EDGE('',*,*,#180328,.T.); #231638=ORIENTED_EDGE('',*,*,#180330,.F.); #231639=ORIENTED_EDGE('',*,*,#180331,.F.); #231640=ORIENTED_EDGE('',*,*,#180332,.T.); #231641=ORIENTED_EDGE('',*,*,#180331,.T.); #231642=ORIENTED_EDGE('',*,*,#180333,.F.); #231643=ORIENTED_EDGE('',*,*,#180314,.F.); #231644=ORIENTED_EDGE('',*,*,#180333,.T.); #231645=ORIENTED_EDGE('',*,*,#180330,.T.); #231646=ORIENTED_EDGE('',*,*,#180327,.T.); #231647=ORIENTED_EDGE('',*,*,#180324,.T.); #231648=ORIENTED_EDGE('',*,*,#180321,.T.); #231649=ORIENTED_EDGE('',*,*,#180318,.T.); #231650=ORIENTED_EDGE('',*,*,#180315,.T.); #231651=ORIENTED_EDGE('',*,*,#180309,.T.); #231652=ORIENTED_EDGE('',*,*,#180312,.T.); #231653=ORIENTED_EDGE('',*,*,#180332,.F.); #231654=ORIENTED_EDGE('',*,*,#180313,.F.); #231655=ORIENTED_EDGE('',*,*,#180317,.F.); #231656=ORIENTED_EDGE('',*,*,#180320,.F.); #231657=ORIENTED_EDGE('',*,*,#180323,.F.); #231658=ORIENTED_EDGE('',*,*,#180326,.F.); #231659=ORIENTED_EDGE('',*,*,#180329,.F.); #231660=ORIENTED_EDGE('',*,*,#180307,.T.); #231661=ORIENTED_EDGE('',*,*,#180310,.T.); #231662=ORIENTED_EDGE('',*,*,#180334,.F.); #231663=ORIENTED_EDGE('',*,*,#180335,.T.); #231664=ORIENTED_EDGE('',*,*,#180336,.F.); #231665=ORIENTED_EDGE('',*,*,#180335,.F.); #231666=ORIENTED_EDGE('',*,*,#180337,.T.); #231667=ORIENTED_EDGE('',*,*,#180338,.T.); #231668=ORIENTED_EDGE('',*,*,#180339,.F.); #231669=ORIENTED_EDGE('',*,*,#180340,.F.); #231670=ORIENTED_EDGE('',*,*,#180341,.T.); #231671=ORIENTED_EDGE('',*,*,#180340,.T.); #231672=ORIENTED_EDGE('',*,*,#180342,.F.); #231673=ORIENTED_EDGE('',*,*,#180343,.F.); #231674=ORIENTED_EDGE('',*,*,#180344,.T.); #231675=ORIENTED_EDGE('',*,*,#180343,.T.); #231676=ORIENTED_EDGE('',*,*,#180345,.F.); #231677=ORIENTED_EDGE('',*,*,#180338,.F.); #231678=ORIENTED_EDGE('',*,*,#180345,.T.); #231679=ORIENTED_EDGE('',*,*,#180342,.T.); #231680=ORIENTED_EDGE('',*,*,#180339,.T.); #231681=ORIENTED_EDGE('',*,*,#180336,.T.); #231682=ORIENTED_EDGE('',*,*,#180344,.F.); #231683=ORIENTED_EDGE('',*,*,#180337,.F.); #231684=ORIENTED_EDGE('',*,*,#180341,.F.); #231685=ORIENTED_EDGE('',*,*,#180334,.T.); #231686=ORIENTED_EDGE('',*,*,#180346,.F.); #231687=ORIENTED_EDGE('',*,*,#180347,.T.); #231688=ORIENTED_EDGE('',*,*,#180348,.F.); #231689=ORIENTED_EDGE('',*,*,#180347,.F.); #231690=ORIENTED_EDGE('',*,*,#180349,.T.); #231691=ORIENTED_EDGE('',*,*,#180350,.T.); #231692=ORIENTED_EDGE('',*,*,#180351,.F.); #231693=ORIENTED_EDGE('',*,*,#180352,.F.); #231694=ORIENTED_EDGE('',*,*,#180353,.T.); #231695=ORIENTED_EDGE('',*,*,#180352,.T.); #231696=ORIENTED_EDGE('',*,*,#180354,.F.); #231697=ORIENTED_EDGE('',*,*,#180355,.F.); #231698=ORIENTED_EDGE('',*,*,#180356,.T.); #231699=ORIENTED_EDGE('',*,*,#180355,.T.); #231700=ORIENTED_EDGE('',*,*,#180357,.F.); #231701=ORIENTED_EDGE('',*,*,#180358,.F.); #231702=ORIENTED_EDGE('',*,*,#180359,.T.); #231703=ORIENTED_EDGE('',*,*,#180358,.T.); #231704=ORIENTED_EDGE('',*,*,#180360,.F.); #231705=ORIENTED_EDGE('',*,*,#180350,.F.); #231706=ORIENTED_EDGE('',*,*,#180360,.T.); #231707=ORIENTED_EDGE('',*,*,#180357,.T.); #231708=ORIENTED_EDGE('',*,*,#180354,.T.); #231709=ORIENTED_EDGE('',*,*,#180351,.T.); #231710=ORIENTED_EDGE('',*,*,#180348,.T.); #231711=ORIENTED_EDGE('',*,*,#180359,.F.); #231712=ORIENTED_EDGE('',*,*,#180349,.F.); #231713=ORIENTED_EDGE('',*,*,#180353,.F.); #231714=ORIENTED_EDGE('',*,*,#180356,.F.); #231715=ORIENTED_EDGE('',*,*,#180346,.T.); #231716=ORIENTED_EDGE('',*,*,#180361,.F.); #231717=ORIENTED_EDGE('',*,*,#180362,.T.); #231718=ORIENTED_EDGE('',*,*,#180363,.F.); #231719=ORIENTED_EDGE('',*,*,#180362,.F.); #231720=ORIENTED_EDGE('',*,*,#180364,.T.); #231721=ORIENTED_EDGE('',*,*,#180365,.T.); #231722=ORIENTED_EDGE('',*,*,#180366,.F.); #231723=ORIENTED_EDGE('',*,*,#180367,.F.); #231724=ORIENTED_EDGE('',*,*,#180368,.T.); #231725=ORIENTED_EDGE('',*,*,#180367,.T.); #231726=ORIENTED_EDGE('',*,*,#180369,.F.); #231727=ORIENTED_EDGE('',*,*,#180370,.F.); #231728=ORIENTED_EDGE('',*,*,#180371,.T.); #231729=ORIENTED_EDGE('',*,*,#180370,.T.); #231730=ORIENTED_EDGE('',*,*,#180372,.F.); #231731=ORIENTED_EDGE('',*,*,#180373,.F.); #231732=ORIENTED_EDGE('',*,*,#180374,.T.); #231733=ORIENTED_EDGE('',*,*,#180373,.T.); #231734=ORIENTED_EDGE('',*,*,#180375,.F.); #231735=ORIENTED_EDGE('',*,*,#180376,.F.); #231736=ORIENTED_EDGE('',*,*,#180377,.T.); #231737=ORIENTED_EDGE('',*,*,#180376,.T.); #231738=ORIENTED_EDGE('',*,*,#180378,.F.); #231739=ORIENTED_EDGE('',*,*,#180379,.F.); #231740=ORIENTED_EDGE('',*,*,#180380,.T.); #231741=ORIENTED_EDGE('',*,*,#180379,.T.); #231742=ORIENTED_EDGE('',*,*,#180381,.F.); #231743=ORIENTED_EDGE('',*,*,#180382,.F.); #231744=ORIENTED_EDGE('',*,*,#180383,.T.); #231745=ORIENTED_EDGE('',*,*,#180382,.T.); #231746=ORIENTED_EDGE('',*,*,#180384,.F.); #231747=ORIENTED_EDGE('',*,*,#180385,.F.); #231748=ORIENTED_EDGE('',*,*,#180386,.T.); #231749=ORIENTED_EDGE('',*,*,#180385,.T.); #231750=ORIENTED_EDGE('',*,*,#180387,.F.); #231751=ORIENTED_EDGE('',*,*,#180365,.F.); #231752=ORIENTED_EDGE('',*,*,#180387,.T.); #231753=ORIENTED_EDGE('',*,*,#180384,.T.); #231754=ORIENTED_EDGE('',*,*,#180381,.T.); #231755=ORIENTED_EDGE('',*,*,#180378,.T.); #231756=ORIENTED_EDGE('',*,*,#180375,.T.); #231757=ORIENTED_EDGE('',*,*,#180372,.T.); #231758=ORIENTED_EDGE('',*,*,#180369,.T.); #231759=ORIENTED_EDGE('',*,*,#180366,.T.); #231760=ORIENTED_EDGE('',*,*,#180363,.T.); #231761=ORIENTED_EDGE('',*,*,#180386,.F.); #231762=ORIENTED_EDGE('',*,*,#180364,.F.); #231763=ORIENTED_EDGE('',*,*,#180368,.F.); #231764=ORIENTED_EDGE('',*,*,#180371,.F.); #231765=ORIENTED_EDGE('',*,*,#180374,.F.); #231766=ORIENTED_EDGE('',*,*,#180377,.F.); #231767=ORIENTED_EDGE('',*,*,#180380,.F.); #231768=ORIENTED_EDGE('',*,*,#180383,.F.); #231769=ORIENTED_EDGE('',*,*,#180361,.T.); #231770=ORIENTED_EDGE('',*,*,#180388,.F.); #231771=ORIENTED_EDGE('',*,*,#180389,.T.); #231772=ORIENTED_EDGE('',*,*,#180390,.F.); #231773=ORIENTED_EDGE('',*,*,#180389,.F.); #231774=ORIENTED_EDGE('',*,*,#180391,.T.); #231775=ORIENTED_EDGE('',*,*,#180392,.T.); #231776=ORIENTED_EDGE('',*,*,#180393,.F.); #231777=ORIENTED_EDGE('',*,*,#180394,.F.); #231778=ORIENTED_EDGE('',*,*,#180395,.T.); #231779=ORIENTED_EDGE('',*,*,#180394,.T.); #231780=ORIENTED_EDGE('',*,*,#180396,.F.); #231781=ORIENTED_EDGE('',*,*,#180397,.F.); #231782=ORIENTED_EDGE('',*,*,#180398,.T.); #231783=ORIENTED_EDGE('',*,*,#180397,.T.); #231784=ORIENTED_EDGE('',*,*,#180399,.F.); #231785=ORIENTED_EDGE('',*,*,#180392,.F.); #231786=ORIENTED_EDGE('',*,*,#180399,.T.); #231787=ORIENTED_EDGE('',*,*,#180396,.T.); #231788=ORIENTED_EDGE('',*,*,#180393,.T.); #231789=ORIENTED_EDGE('',*,*,#180390,.T.); #231790=ORIENTED_EDGE('',*,*,#180398,.F.); #231791=ORIENTED_EDGE('',*,*,#180391,.F.); #231792=ORIENTED_EDGE('',*,*,#180395,.F.); #231793=ORIENTED_EDGE('',*,*,#180388,.T.); #231794=ORIENTED_EDGE('',*,*,#180400,.F.); #231795=ORIENTED_EDGE('',*,*,#180401,.T.); #231796=ORIENTED_EDGE('',*,*,#180402,.F.); #231797=ORIENTED_EDGE('',*,*,#180401,.F.); #231798=ORIENTED_EDGE('',*,*,#180403,.T.); #231799=ORIENTED_EDGE('',*,*,#180404,.T.); #231800=ORIENTED_EDGE('',*,*,#180405,.F.); #231801=ORIENTED_EDGE('',*,*,#180406,.F.); #231802=ORIENTED_EDGE('',*,*,#180407,.T.); #231803=ORIENTED_EDGE('',*,*,#180406,.T.); #231804=ORIENTED_EDGE('',*,*,#180408,.F.); #231805=ORIENTED_EDGE('',*,*,#180409,.F.); #231806=ORIENTED_EDGE('',*,*,#180410,.T.); #231807=ORIENTED_EDGE('',*,*,#180409,.T.); #231808=ORIENTED_EDGE('',*,*,#180411,.F.); #231809=ORIENTED_EDGE('',*,*,#180412,.F.); #231810=ORIENTED_EDGE('',*,*,#180413,.T.); #231811=ORIENTED_EDGE('',*,*,#180412,.T.); #231812=ORIENTED_EDGE('',*,*,#180414,.F.); #231813=ORIENTED_EDGE('',*,*,#180415,.F.); #231814=ORIENTED_EDGE('',*,*,#180416,.T.); #231815=ORIENTED_EDGE('',*,*,#180415,.T.); #231816=ORIENTED_EDGE('',*,*,#180417,.F.); #231817=ORIENTED_EDGE('',*,*,#180418,.F.); #231818=ORIENTED_EDGE('',*,*,#180419,.T.); #231819=ORIENTED_EDGE('',*,*,#180418,.T.); #231820=ORIENTED_EDGE('',*,*,#180420,.F.); #231821=ORIENTED_EDGE('',*,*,#180421,.F.); #231822=ORIENTED_EDGE('',*,*,#180422,.T.); #231823=ORIENTED_EDGE('',*,*,#180421,.T.); #231824=ORIENTED_EDGE('',*,*,#180423,.F.); #231825=ORIENTED_EDGE('',*,*,#180424,.F.); #231826=ORIENTED_EDGE('',*,*,#180425,.T.); #231827=ORIENTED_EDGE('',*,*,#180424,.T.); #231828=ORIENTED_EDGE('',*,*,#180426,.F.); #231829=ORIENTED_EDGE('',*,*,#180427,.F.); #231830=ORIENTED_EDGE('',*,*,#180428,.T.); #231831=ORIENTED_EDGE('',*,*,#180427,.T.); #231832=ORIENTED_EDGE('',*,*,#180429,.F.); #231833=ORIENTED_EDGE('',*,*,#180430,.F.); #231834=ORIENTED_EDGE('',*,*,#180431,.T.); #231835=ORIENTED_EDGE('',*,*,#180430,.T.); #231836=ORIENTED_EDGE('',*,*,#180432,.F.); #231837=ORIENTED_EDGE('',*,*,#180433,.F.); #231838=ORIENTED_EDGE('',*,*,#180434,.T.); #231839=ORIENTED_EDGE('',*,*,#180433,.T.); #231840=ORIENTED_EDGE('',*,*,#180435,.F.); #231841=ORIENTED_EDGE('',*,*,#180436,.F.); #231842=ORIENTED_EDGE('',*,*,#180437,.T.); #231843=ORIENTED_EDGE('',*,*,#180436,.T.); #231844=ORIENTED_EDGE('',*,*,#180438,.F.); #231845=ORIENTED_EDGE('',*,*,#180439,.F.); #231846=ORIENTED_EDGE('',*,*,#180440,.T.); #231847=ORIENTED_EDGE('',*,*,#180439,.T.); #231848=ORIENTED_EDGE('',*,*,#180441,.F.); #231849=ORIENTED_EDGE('',*,*,#180442,.F.); #231850=ORIENTED_EDGE('',*,*,#180443,.T.); #231851=ORIENTED_EDGE('',*,*,#180442,.T.); #231852=ORIENTED_EDGE('',*,*,#180444,.F.); #231853=ORIENTED_EDGE('',*,*,#180445,.F.); #231854=ORIENTED_EDGE('',*,*,#180446,.T.); #231855=ORIENTED_EDGE('',*,*,#180445,.T.); #231856=ORIENTED_EDGE('',*,*,#180447,.F.); #231857=ORIENTED_EDGE('',*,*,#180448,.F.); #231858=ORIENTED_EDGE('',*,*,#180449,.T.); #231859=ORIENTED_EDGE('',*,*,#180448,.T.); #231860=ORIENTED_EDGE('',*,*,#180450,.F.); #231861=ORIENTED_EDGE('',*,*,#180451,.F.); #231862=ORIENTED_EDGE('',*,*,#180452,.T.); #231863=ORIENTED_EDGE('',*,*,#180451,.T.); #231864=ORIENTED_EDGE('',*,*,#180453,.F.); #231865=ORIENTED_EDGE('',*,*,#180454,.F.); #231866=ORIENTED_EDGE('',*,*,#180455,.T.); #231867=ORIENTED_EDGE('',*,*,#180454,.T.); #231868=ORIENTED_EDGE('',*,*,#180456,.F.); #231869=ORIENTED_EDGE('',*,*,#180457,.F.); #231870=ORIENTED_EDGE('',*,*,#180458,.T.); #231871=ORIENTED_EDGE('',*,*,#180457,.T.); #231872=ORIENTED_EDGE('',*,*,#180459,.F.); #231873=ORIENTED_EDGE('',*,*,#180460,.F.); #231874=ORIENTED_EDGE('',*,*,#180461,.T.); #231875=ORIENTED_EDGE('',*,*,#180460,.T.); #231876=ORIENTED_EDGE('',*,*,#180462,.F.); #231877=ORIENTED_EDGE('',*,*,#180463,.F.); #231878=ORIENTED_EDGE('',*,*,#180464,.T.); #231879=ORIENTED_EDGE('',*,*,#180463,.T.); #231880=ORIENTED_EDGE('',*,*,#180465,.F.); #231881=ORIENTED_EDGE('',*,*,#180466,.F.); #231882=ORIENTED_EDGE('',*,*,#180467,.T.); #231883=ORIENTED_EDGE('',*,*,#180466,.T.); #231884=ORIENTED_EDGE('',*,*,#180468,.F.); #231885=ORIENTED_EDGE('',*,*,#180469,.F.); #231886=ORIENTED_EDGE('',*,*,#180470,.T.); #231887=ORIENTED_EDGE('',*,*,#180469,.T.); #231888=ORIENTED_EDGE('',*,*,#180471,.F.); #231889=ORIENTED_EDGE('',*,*,#180472,.F.); #231890=ORIENTED_EDGE('',*,*,#180473,.T.); #231891=ORIENTED_EDGE('',*,*,#180472,.T.); #231892=ORIENTED_EDGE('',*,*,#180474,.F.); #231893=ORIENTED_EDGE('',*,*,#180475,.F.); #231894=ORIENTED_EDGE('',*,*,#180476,.T.); #231895=ORIENTED_EDGE('',*,*,#180475,.T.); #231896=ORIENTED_EDGE('',*,*,#180477,.F.); #231897=ORIENTED_EDGE('',*,*,#180478,.F.); #231898=ORIENTED_EDGE('',*,*,#180479,.T.); #231899=ORIENTED_EDGE('',*,*,#180478,.T.); #231900=ORIENTED_EDGE('',*,*,#180480,.F.); #231901=ORIENTED_EDGE('',*,*,#180481,.F.); #231902=ORIENTED_EDGE('',*,*,#180482,.T.); #231903=ORIENTED_EDGE('',*,*,#180481,.T.); #231904=ORIENTED_EDGE('',*,*,#180483,.F.); #231905=ORIENTED_EDGE('',*,*,#180484,.F.); #231906=ORIENTED_EDGE('',*,*,#180485,.T.); #231907=ORIENTED_EDGE('',*,*,#180484,.T.); #231908=ORIENTED_EDGE('',*,*,#180486,.F.); #231909=ORIENTED_EDGE('',*,*,#180487,.F.); #231910=ORIENTED_EDGE('',*,*,#180488,.T.); #231911=ORIENTED_EDGE('',*,*,#180487,.T.); #231912=ORIENTED_EDGE('',*,*,#180489,.F.); #231913=ORIENTED_EDGE('',*,*,#180490,.F.); #231914=ORIENTED_EDGE('',*,*,#180491,.T.); #231915=ORIENTED_EDGE('',*,*,#180490,.T.); #231916=ORIENTED_EDGE('',*,*,#180492,.F.); #231917=ORIENTED_EDGE('',*,*,#180493,.F.); #231918=ORIENTED_EDGE('',*,*,#180494,.T.); #231919=ORIENTED_EDGE('',*,*,#180493,.T.); #231920=ORIENTED_EDGE('',*,*,#180495,.F.); #231921=ORIENTED_EDGE('',*,*,#180496,.F.); #231922=ORIENTED_EDGE('',*,*,#180497,.T.); #231923=ORIENTED_EDGE('',*,*,#180496,.T.); #231924=ORIENTED_EDGE('',*,*,#180498,.F.); #231925=ORIENTED_EDGE('',*,*,#180499,.F.); #231926=ORIENTED_EDGE('',*,*,#180500,.T.); #231927=ORIENTED_EDGE('',*,*,#180499,.T.); #231928=ORIENTED_EDGE('',*,*,#180501,.F.); #231929=ORIENTED_EDGE('',*,*,#180502,.F.); #231930=ORIENTED_EDGE('',*,*,#180503,.T.); #231931=ORIENTED_EDGE('',*,*,#180502,.T.); #231932=ORIENTED_EDGE('',*,*,#180504,.F.); #231933=ORIENTED_EDGE('',*,*,#180505,.F.); #231934=ORIENTED_EDGE('',*,*,#180506,.T.); #231935=ORIENTED_EDGE('',*,*,#180505,.T.); #231936=ORIENTED_EDGE('',*,*,#180507,.F.); #231937=ORIENTED_EDGE('',*,*,#180508,.F.); #231938=ORIENTED_EDGE('',*,*,#180509,.T.); #231939=ORIENTED_EDGE('',*,*,#180508,.T.); #231940=ORIENTED_EDGE('',*,*,#180510,.F.); #231941=ORIENTED_EDGE('',*,*,#180511,.F.); #231942=ORIENTED_EDGE('',*,*,#180512,.T.); #231943=ORIENTED_EDGE('',*,*,#180511,.T.); #231944=ORIENTED_EDGE('',*,*,#180513,.F.); #231945=ORIENTED_EDGE('',*,*,#180514,.F.); #231946=ORIENTED_EDGE('',*,*,#180515,.T.); #231947=ORIENTED_EDGE('',*,*,#180514,.T.); #231948=ORIENTED_EDGE('',*,*,#180516,.F.); #231949=ORIENTED_EDGE('',*,*,#180517,.F.); #231950=ORIENTED_EDGE('',*,*,#180518,.T.); #231951=ORIENTED_EDGE('',*,*,#180517,.T.); #231952=ORIENTED_EDGE('',*,*,#180519,.F.); #231953=ORIENTED_EDGE('',*,*,#180520,.F.); #231954=ORIENTED_EDGE('',*,*,#180521,.T.); #231955=ORIENTED_EDGE('',*,*,#180520,.T.); #231956=ORIENTED_EDGE('',*,*,#180522,.F.); #231957=ORIENTED_EDGE('',*,*,#180523,.F.); #231958=ORIENTED_EDGE('',*,*,#180524,.T.); #231959=ORIENTED_EDGE('',*,*,#180523,.T.); #231960=ORIENTED_EDGE('',*,*,#180525,.F.); #231961=ORIENTED_EDGE('',*,*,#180526,.F.); #231962=ORIENTED_EDGE('',*,*,#180527,.T.); #231963=ORIENTED_EDGE('',*,*,#180526,.T.); #231964=ORIENTED_EDGE('',*,*,#180528,.F.); #231965=ORIENTED_EDGE('',*,*,#180529,.F.); #231966=ORIENTED_EDGE('',*,*,#180530,.T.); #231967=ORIENTED_EDGE('',*,*,#180529,.T.); #231968=ORIENTED_EDGE('',*,*,#180531,.F.); #231969=ORIENTED_EDGE('',*,*,#180404,.F.); #231970=ORIENTED_EDGE('',*,*,#180531,.T.); #231971=ORIENTED_EDGE('',*,*,#180528,.T.); #231972=ORIENTED_EDGE('',*,*,#180525,.T.); #231973=ORIENTED_EDGE('',*,*,#180522,.T.); #231974=ORIENTED_EDGE('',*,*,#180519,.T.); #231975=ORIENTED_EDGE('',*,*,#180516,.T.); #231976=ORIENTED_EDGE('',*,*,#180513,.T.); #231977=ORIENTED_EDGE('',*,*,#180510,.T.); #231978=ORIENTED_EDGE('',*,*,#180507,.T.); #231979=ORIENTED_EDGE('',*,*,#180504,.T.); #231980=ORIENTED_EDGE('',*,*,#180501,.T.); #231981=ORIENTED_EDGE('',*,*,#180498,.T.); #231982=ORIENTED_EDGE('',*,*,#180495,.T.); #231983=ORIENTED_EDGE('',*,*,#180492,.T.); #231984=ORIENTED_EDGE('',*,*,#180489,.T.); #231985=ORIENTED_EDGE('',*,*,#180486,.T.); #231986=ORIENTED_EDGE('',*,*,#180483,.T.); #231987=ORIENTED_EDGE('',*,*,#180480,.T.); #231988=ORIENTED_EDGE('',*,*,#180477,.T.); #231989=ORIENTED_EDGE('',*,*,#180474,.T.); #231990=ORIENTED_EDGE('',*,*,#180471,.T.); #231991=ORIENTED_EDGE('',*,*,#180468,.T.); #231992=ORIENTED_EDGE('',*,*,#180465,.T.); #231993=ORIENTED_EDGE('',*,*,#180462,.T.); #231994=ORIENTED_EDGE('',*,*,#180459,.T.); #231995=ORIENTED_EDGE('',*,*,#180456,.T.); #231996=ORIENTED_EDGE('',*,*,#180453,.T.); #231997=ORIENTED_EDGE('',*,*,#180450,.T.); #231998=ORIENTED_EDGE('',*,*,#180447,.T.); #231999=ORIENTED_EDGE('',*,*,#180444,.T.); #232000=ORIENTED_EDGE('',*,*,#180441,.T.); #232001=ORIENTED_EDGE('',*,*,#180438,.T.); #232002=ORIENTED_EDGE('',*,*,#180435,.T.); #232003=ORIENTED_EDGE('',*,*,#180432,.T.); #232004=ORIENTED_EDGE('',*,*,#180429,.T.); #232005=ORIENTED_EDGE('',*,*,#180426,.T.); #232006=ORIENTED_EDGE('',*,*,#180423,.T.); #232007=ORIENTED_EDGE('',*,*,#180420,.T.); #232008=ORIENTED_EDGE('',*,*,#180417,.T.); #232009=ORIENTED_EDGE('',*,*,#180414,.T.); #232010=ORIENTED_EDGE('',*,*,#180411,.T.); #232011=ORIENTED_EDGE('',*,*,#180408,.T.); #232012=ORIENTED_EDGE('',*,*,#180405,.T.); #232013=ORIENTED_EDGE('',*,*,#180402,.T.); #232014=ORIENTED_EDGE('',*,*,#180530,.F.); #232015=ORIENTED_EDGE('',*,*,#180403,.F.); #232016=ORIENTED_EDGE('',*,*,#180407,.F.); #232017=ORIENTED_EDGE('',*,*,#180410,.F.); #232018=ORIENTED_EDGE('',*,*,#180413,.F.); #232019=ORIENTED_EDGE('',*,*,#180416,.F.); #232020=ORIENTED_EDGE('',*,*,#180419,.F.); #232021=ORIENTED_EDGE('',*,*,#180422,.F.); #232022=ORIENTED_EDGE('',*,*,#180425,.F.); #232023=ORIENTED_EDGE('',*,*,#180428,.F.); #232024=ORIENTED_EDGE('',*,*,#180431,.F.); #232025=ORIENTED_EDGE('',*,*,#180434,.F.); #232026=ORIENTED_EDGE('',*,*,#180437,.F.); #232027=ORIENTED_EDGE('',*,*,#180440,.F.); #232028=ORIENTED_EDGE('',*,*,#180443,.F.); #232029=ORIENTED_EDGE('',*,*,#180446,.F.); #232030=ORIENTED_EDGE('',*,*,#180449,.F.); #232031=ORIENTED_EDGE('',*,*,#180452,.F.); #232032=ORIENTED_EDGE('',*,*,#180455,.F.); #232033=ORIENTED_EDGE('',*,*,#180458,.F.); #232034=ORIENTED_EDGE('',*,*,#180461,.F.); #232035=ORIENTED_EDGE('',*,*,#180464,.F.); #232036=ORIENTED_EDGE('',*,*,#180467,.F.); #232037=ORIENTED_EDGE('',*,*,#180470,.F.); #232038=ORIENTED_EDGE('',*,*,#180473,.F.); #232039=ORIENTED_EDGE('',*,*,#180476,.F.); #232040=ORIENTED_EDGE('',*,*,#180479,.F.); #232041=ORIENTED_EDGE('',*,*,#180482,.F.); #232042=ORIENTED_EDGE('',*,*,#180485,.F.); #232043=ORIENTED_EDGE('',*,*,#180488,.F.); #232044=ORIENTED_EDGE('',*,*,#180491,.F.); #232045=ORIENTED_EDGE('',*,*,#180494,.F.); #232046=ORIENTED_EDGE('',*,*,#180497,.F.); #232047=ORIENTED_EDGE('',*,*,#180500,.F.); #232048=ORIENTED_EDGE('',*,*,#180503,.F.); #232049=ORIENTED_EDGE('',*,*,#180506,.F.); #232050=ORIENTED_EDGE('',*,*,#180509,.F.); #232051=ORIENTED_EDGE('',*,*,#180512,.F.); #232052=ORIENTED_EDGE('',*,*,#180515,.F.); #232053=ORIENTED_EDGE('',*,*,#180518,.F.); #232054=ORIENTED_EDGE('',*,*,#180521,.F.); #232055=ORIENTED_EDGE('',*,*,#180524,.F.); #232056=ORIENTED_EDGE('',*,*,#180527,.F.); #232057=ORIENTED_EDGE('',*,*,#180400,.T.); #232058=ORIENTED_EDGE('',*,*,#180532,.F.); #232059=ORIENTED_EDGE('',*,*,#180533,.T.); #232060=ORIENTED_EDGE('',*,*,#180534,.F.); #232061=ORIENTED_EDGE('',*,*,#180533,.F.); #232062=ORIENTED_EDGE('',*,*,#180535,.T.); #232063=ORIENTED_EDGE('',*,*,#180536,.T.); #232064=ORIENTED_EDGE('',*,*,#180537,.F.); #232065=ORIENTED_EDGE('',*,*,#180538,.F.); #232066=ORIENTED_EDGE('',*,*,#180539,.T.); #232067=ORIENTED_EDGE('',*,*,#180538,.T.); #232068=ORIENTED_EDGE('',*,*,#180540,.F.); #232069=ORIENTED_EDGE('',*,*,#180541,.F.); #232070=ORIENTED_EDGE('',*,*,#180542,.T.); #232071=ORIENTED_EDGE('',*,*,#180541,.T.); #232072=ORIENTED_EDGE('',*,*,#180543,.F.); #232073=ORIENTED_EDGE('',*,*,#180544,.F.); #232074=ORIENTED_EDGE('',*,*,#180545,.T.); #232075=ORIENTED_EDGE('',*,*,#180544,.T.); #232076=ORIENTED_EDGE('',*,*,#180546,.F.); #232077=ORIENTED_EDGE('',*,*,#180547,.F.); #232078=ORIENTED_EDGE('',*,*,#180548,.T.); #232079=ORIENTED_EDGE('',*,*,#180547,.T.); #232080=ORIENTED_EDGE('',*,*,#180549,.F.); #232081=ORIENTED_EDGE('',*,*,#180550,.F.); #232082=ORIENTED_EDGE('',*,*,#180551,.T.); #232083=ORIENTED_EDGE('',*,*,#180550,.T.); #232084=ORIENTED_EDGE('',*,*,#180552,.F.); #232085=ORIENTED_EDGE('',*,*,#180553,.F.); #232086=ORIENTED_EDGE('',*,*,#180554,.T.); #232087=ORIENTED_EDGE('',*,*,#180553,.T.); #232088=ORIENTED_EDGE('',*,*,#180555,.F.); #232089=ORIENTED_EDGE('',*,*,#180556,.F.); #232090=ORIENTED_EDGE('',*,*,#180557,.T.); #232091=ORIENTED_EDGE('',*,*,#180556,.T.); #232092=ORIENTED_EDGE('',*,*,#180558,.F.); #232093=ORIENTED_EDGE('',*,*,#180559,.F.); #232094=ORIENTED_EDGE('',*,*,#180560,.T.); #232095=ORIENTED_EDGE('',*,*,#180559,.T.); #232096=ORIENTED_EDGE('',*,*,#180561,.F.); #232097=ORIENTED_EDGE('',*,*,#180562,.F.); #232098=ORIENTED_EDGE('',*,*,#180563,.T.); #232099=ORIENTED_EDGE('',*,*,#180562,.T.); #232100=ORIENTED_EDGE('',*,*,#180564,.F.); #232101=ORIENTED_EDGE('',*,*,#180565,.F.); #232102=ORIENTED_EDGE('',*,*,#180566,.T.); #232103=ORIENTED_EDGE('',*,*,#180565,.T.); #232104=ORIENTED_EDGE('',*,*,#180567,.F.); #232105=ORIENTED_EDGE('',*,*,#180568,.F.); #232106=ORIENTED_EDGE('',*,*,#180569,.T.); #232107=ORIENTED_EDGE('',*,*,#180568,.T.); #232108=ORIENTED_EDGE('',*,*,#180570,.F.); #232109=ORIENTED_EDGE('',*,*,#180571,.F.); #232110=ORIENTED_EDGE('',*,*,#180572,.T.); #232111=ORIENTED_EDGE('',*,*,#180571,.T.); #232112=ORIENTED_EDGE('',*,*,#180573,.F.); #232113=ORIENTED_EDGE('',*,*,#180574,.F.); #232114=ORIENTED_EDGE('',*,*,#180575,.T.); #232115=ORIENTED_EDGE('',*,*,#180574,.T.); #232116=ORIENTED_EDGE('',*,*,#180576,.F.); #232117=ORIENTED_EDGE('',*,*,#180577,.F.); #232118=ORIENTED_EDGE('',*,*,#180578,.T.); #232119=ORIENTED_EDGE('',*,*,#180577,.T.); #232120=ORIENTED_EDGE('',*,*,#180579,.F.); #232121=ORIENTED_EDGE('',*,*,#180580,.F.); #232122=ORIENTED_EDGE('',*,*,#180581,.T.); #232123=ORIENTED_EDGE('',*,*,#180580,.T.); #232124=ORIENTED_EDGE('',*,*,#180582,.F.); #232125=ORIENTED_EDGE('',*,*,#180583,.F.); #232126=ORIENTED_EDGE('',*,*,#180584,.T.); #232127=ORIENTED_EDGE('',*,*,#180583,.T.); #232128=ORIENTED_EDGE('',*,*,#180585,.F.); #232129=ORIENTED_EDGE('',*,*,#180586,.F.); #232130=ORIENTED_EDGE('',*,*,#180587,.T.); #232131=ORIENTED_EDGE('',*,*,#180586,.T.); #232132=ORIENTED_EDGE('',*,*,#180588,.F.); #232133=ORIENTED_EDGE('',*,*,#180589,.F.); #232134=ORIENTED_EDGE('',*,*,#180590,.T.); #232135=ORIENTED_EDGE('',*,*,#180589,.T.); #232136=ORIENTED_EDGE('',*,*,#180591,.F.); #232137=ORIENTED_EDGE('',*,*,#180592,.F.); #232138=ORIENTED_EDGE('',*,*,#180593,.T.); #232139=ORIENTED_EDGE('',*,*,#180592,.T.); #232140=ORIENTED_EDGE('',*,*,#180594,.F.); #232141=ORIENTED_EDGE('',*,*,#180595,.F.); #232142=ORIENTED_EDGE('',*,*,#180596,.T.); #232143=ORIENTED_EDGE('',*,*,#180595,.T.); #232144=ORIENTED_EDGE('',*,*,#180597,.F.); #232145=ORIENTED_EDGE('',*,*,#180536,.F.); #232146=ORIENTED_EDGE('',*,*,#180597,.T.); #232147=ORIENTED_EDGE('',*,*,#180594,.T.); #232148=ORIENTED_EDGE('',*,*,#180591,.T.); #232149=ORIENTED_EDGE('',*,*,#180588,.T.); #232150=ORIENTED_EDGE('',*,*,#180585,.T.); #232151=ORIENTED_EDGE('',*,*,#180582,.T.); #232152=ORIENTED_EDGE('',*,*,#180579,.T.); #232153=ORIENTED_EDGE('',*,*,#180576,.T.); #232154=ORIENTED_EDGE('',*,*,#180573,.T.); #232155=ORIENTED_EDGE('',*,*,#180570,.T.); #232156=ORIENTED_EDGE('',*,*,#180567,.T.); #232157=ORIENTED_EDGE('',*,*,#180564,.T.); #232158=ORIENTED_EDGE('',*,*,#180561,.T.); #232159=ORIENTED_EDGE('',*,*,#180558,.T.); #232160=ORIENTED_EDGE('',*,*,#180555,.T.); #232161=ORIENTED_EDGE('',*,*,#180552,.T.); #232162=ORIENTED_EDGE('',*,*,#180549,.T.); #232163=ORIENTED_EDGE('',*,*,#180546,.T.); #232164=ORIENTED_EDGE('',*,*,#180543,.T.); #232165=ORIENTED_EDGE('',*,*,#180540,.T.); #232166=ORIENTED_EDGE('',*,*,#180537,.T.); #232167=ORIENTED_EDGE('',*,*,#180534,.T.); #232168=ORIENTED_EDGE('',*,*,#180596,.F.); #232169=ORIENTED_EDGE('',*,*,#180535,.F.); #232170=ORIENTED_EDGE('',*,*,#180539,.F.); #232171=ORIENTED_EDGE('',*,*,#180542,.F.); #232172=ORIENTED_EDGE('',*,*,#180545,.F.); #232173=ORIENTED_EDGE('',*,*,#180548,.F.); #232174=ORIENTED_EDGE('',*,*,#180551,.F.); #232175=ORIENTED_EDGE('',*,*,#180554,.F.); #232176=ORIENTED_EDGE('',*,*,#180557,.F.); #232177=ORIENTED_EDGE('',*,*,#180560,.F.); #232178=ORIENTED_EDGE('',*,*,#180563,.F.); #232179=ORIENTED_EDGE('',*,*,#180566,.F.); #232180=ORIENTED_EDGE('',*,*,#180569,.F.); #232181=ORIENTED_EDGE('',*,*,#180572,.F.); #232182=ORIENTED_EDGE('',*,*,#180575,.F.); #232183=ORIENTED_EDGE('',*,*,#180578,.F.); #232184=ORIENTED_EDGE('',*,*,#180581,.F.); #232185=ORIENTED_EDGE('',*,*,#180584,.F.); #232186=ORIENTED_EDGE('',*,*,#180587,.F.); #232187=ORIENTED_EDGE('',*,*,#180590,.F.); #232188=ORIENTED_EDGE('',*,*,#180593,.F.); #232189=ORIENTED_EDGE('',*,*,#180532,.T.); #232190=ORIENTED_EDGE('',*,*,#180598,.T.); #232191=ORIENTED_EDGE('',*,*,#180599,.T.); #232192=ORIENTED_EDGE('',*,*,#180600,.F.); #232193=ORIENTED_EDGE('',*,*,#180601,.F.); #232194=ORIENTED_EDGE('',*,*,#180602,.T.); #232195=ORIENTED_EDGE('',*,*,#180601,.T.); #232196=ORIENTED_EDGE('',*,*,#180603,.F.); #232197=ORIENTED_EDGE('',*,*,#180604,.F.); #232198=ORIENTED_EDGE('',*,*,#180605,.T.); #232199=ORIENTED_EDGE('',*,*,#180604,.T.); #232200=ORIENTED_EDGE('',*,*,#180606,.F.); #232201=ORIENTED_EDGE('',*,*,#180607,.F.); #232202=ORIENTED_EDGE('',*,*,#180608,.T.); #232203=ORIENTED_EDGE('',*,*,#180607,.T.); #232204=ORIENTED_EDGE('',*,*,#180609,.F.); #232205=ORIENTED_EDGE('',*,*,#180610,.F.); #232206=ORIENTED_EDGE('',*,*,#180611,.T.); #232207=ORIENTED_EDGE('',*,*,#180610,.T.); #232208=ORIENTED_EDGE('',*,*,#180612,.F.); #232209=ORIENTED_EDGE('',*,*,#180613,.F.); #232210=ORIENTED_EDGE('',*,*,#180614,.T.); #232211=ORIENTED_EDGE('',*,*,#180613,.T.); #232212=ORIENTED_EDGE('',*,*,#180615,.F.); #232213=ORIENTED_EDGE('',*,*,#180616,.F.); #232214=ORIENTED_EDGE('',*,*,#180617,.T.); #232215=ORIENTED_EDGE('',*,*,#180616,.T.); #232216=ORIENTED_EDGE('',*,*,#180618,.F.); #232217=ORIENTED_EDGE('',*,*,#180619,.F.); #232218=ORIENTED_EDGE('',*,*,#180620,.T.); #232219=ORIENTED_EDGE('',*,*,#180619,.T.); #232220=ORIENTED_EDGE('',*,*,#180621,.F.); #232221=ORIENTED_EDGE('',*,*,#180622,.F.); #232222=ORIENTED_EDGE('',*,*,#180623,.T.); #232223=ORIENTED_EDGE('',*,*,#180622,.T.); #232224=ORIENTED_EDGE('',*,*,#180624,.F.); #232225=ORIENTED_EDGE('',*,*,#180625,.F.); #232226=ORIENTED_EDGE('',*,*,#180626,.T.); #232227=ORIENTED_EDGE('',*,*,#180625,.T.); #232228=ORIENTED_EDGE('',*,*,#180627,.F.); #232229=ORIENTED_EDGE('',*,*,#180628,.F.); #232230=ORIENTED_EDGE('',*,*,#180629,.T.); #232231=ORIENTED_EDGE('',*,*,#180628,.T.); #232232=ORIENTED_EDGE('',*,*,#180630,.F.); #232233=ORIENTED_EDGE('',*,*,#180631,.F.); #232234=ORIENTED_EDGE('',*,*,#180632,.T.); #232235=ORIENTED_EDGE('',*,*,#180631,.T.); #232236=ORIENTED_EDGE('',*,*,#180633,.F.); #232237=ORIENTED_EDGE('',*,*,#180599,.F.); #232238=ORIENTED_EDGE('',*,*,#180633,.T.); #232239=ORIENTED_EDGE('',*,*,#180630,.T.); #232240=ORIENTED_EDGE('',*,*,#180627,.T.); #232241=ORIENTED_EDGE('',*,*,#180624,.T.); #232242=ORIENTED_EDGE('',*,*,#180621,.T.); #232243=ORIENTED_EDGE('',*,*,#180618,.T.); #232244=ORIENTED_EDGE('',*,*,#180615,.T.); #232245=ORIENTED_EDGE('',*,*,#180612,.T.); #232246=ORIENTED_EDGE('',*,*,#180609,.T.); #232247=ORIENTED_EDGE('',*,*,#180606,.T.); #232248=ORIENTED_EDGE('',*,*,#180603,.T.); #232249=ORIENTED_EDGE('',*,*,#180600,.T.); #232250=ORIENTED_EDGE('',*,*,#180632,.F.); #232251=ORIENTED_EDGE('',*,*,#180598,.F.); #232252=ORIENTED_EDGE('',*,*,#180602,.F.); #232253=ORIENTED_EDGE('',*,*,#180605,.F.); #232254=ORIENTED_EDGE('',*,*,#180608,.F.); #232255=ORIENTED_EDGE('',*,*,#180611,.F.); #232256=ORIENTED_EDGE('',*,*,#180614,.F.); #232257=ORIENTED_EDGE('',*,*,#180617,.F.); #232258=ORIENTED_EDGE('',*,*,#180620,.F.); #232259=ORIENTED_EDGE('',*,*,#180623,.F.); #232260=ORIENTED_EDGE('',*,*,#180626,.F.); #232261=ORIENTED_EDGE('',*,*,#180629,.F.); #232262=ORIENTED_EDGE('',*,*,#180634,.T.); #232263=ORIENTED_EDGE('',*,*,#180635,.T.); #232264=ORIENTED_EDGE('',*,*,#180636,.F.); #232265=ORIENTED_EDGE('',*,*,#180637,.F.); #232266=ORIENTED_EDGE('',*,*,#180638,.T.); #232267=ORIENTED_EDGE('',*,*,#180637,.T.); #232268=ORIENTED_EDGE('',*,*,#180639,.F.); #232269=ORIENTED_EDGE('',*,*,#180640,.F.); #232270=ORIENTED_EDGE('',*,*,#180641,.T.); #232271=ORIENTED_EDGE('',*,*,#180640,.T.); #232272=ORIENTED_EDGE('',*,*,#180642,.F.); #232273=ORIENTED_EDGE('',*,*,#180643,.F.); #232274=ORIENTED_EDGE('',*,*,#180644,.T.); #232275=ORIENTED_EDGE('',*,*,#180643,.T.); #232276=ORIENTED_EDGE('',*,*,#180645,.F.); #232277=ORIENTED_EDGE('',*,*,#180646,.F.); #232278=ORIENTED_EDGE('',*,*,#180647,.T.); #232279=ORIENTED_EDGE('',*,*,#180646,.T.); #232280=ORIENTED_EDGE('',*,*,#180648,.F.); #232281=ORIENTED_EDGE('',*,*,#180649,.F.); #232282=ORIENTED_EDGE('',*,*,#180650,.T.); #232283=ORIENTED_EDGE('',*,*,#180649,.T.); #232284=ORIENTED_EDGE('',*,*,#180651,.F.); #232285=ORIENTED_EDGE('',*,*,#180652,.F.); #232286=ORIENTED_EDGE('',*,*,#180653,.T.); #232287=ORIENTED_EDGE('',*,*,#180652,.T.); #232288=ORIENTED_EDGE('',*,*,#180654,.F.); #232289=ORIENTED_EDGE('',*,*,#180655,.F.); #232290=ORIENTED_EDGE('',*,*,#180656,.T.); #232291=ORIENTED_EDGE('',*,*,#180655,.T.); #232292=ORIENTED_EDGE('',*,*,#180657,.F.); #232293=ORIENTED_EDGE('',*,*,#180658,.F.); #232294=ORIENTED_EDGE('',*,*,#180659,.T.); #232295=ORIENTED_EDGE('',*,*,#180658,.T.); #232296=ORIENTED_EDGE('',*,*,#180660,.F.); #232297=ORIENTED_EDGE('',*,*,#180661,.F.); #232298=ORIENTED_EDGE('',*,*,#180662,.T.); #232299=ORIENTED_EDGE('',*,*,#180661,.T.); #232300=ORIENTED_EDGE('',*,*,#180663,.F.); #232301=ORIENTED_EDGE('',*,*,#180664,.F.); #232302=ORIENTED_EDGE('',*,*,#180665,.T.); #232303=ORIENTED_EDGE('',*,*,#180664,.T.); #232304=ORIENTED_EDGE('',*,*,#180666,.F.); #232305=ORIENTED_EDGE('',*,*,#180667,.F.); #232306=ORIENTED_EDGE('',*,*,#180668,.T.); #232307=ORIENTED_EDGE('',*,*,#180667,.T.); #232308=ORIENTED_EDGE('',*,*,#180669,.F.); #232309=ORIENTED_EDGE('',*,*,#180635,.F.); #232310=ORIENTED_EDGE('',*,*,#180669,.T.); #232311=ORIENTED_EDGE('',*,*,#180666,.T.); #232312=ORIENTED_EDGE('',*,*,#180663,.T.); #232313=ORIENTED_EDGE('',*,*,#180660,.T.); #232314=ORIENTED_EDGE('',*,*,#180657,.T.); #232315=ORIENTED_EDGE('',*,*,#180654,.T.); #232316=ORIENTED_EDGE('',*,*,#180651,.T.); #232317=ORIENTED_EDGE('',*,*,#180648,.T.); #232318=ORIENTED_EDGE('',*,*,#180645,.T.); #232319=ORIENTED_EDGE('',*,*,#180642,.T.); #232320=ORIENTED_EDGE('',*,*,#180639,.T.); #232321=ORIENTED_EDGE('',*,*,#180636,.T.); #232322=ORIENTED_EDGE('',*,*,#180668,.F.); #232323=ORIENTED_EDGE('',*,*,#180634,.F.); #232324=ORIENTED_EDGE('',*,*,#180638,.F.); #232325=ORIENTED_EDGE('',*,*,#180641,.F.); #232326=ORIENTED_EDGE('',*,*,#180644,.F.); #232327=ORIENTED_EDGE('',*,*,#180647,.F.); #232328=ORIENTED_EDGE('',*,*,#180650,.F.); #232329=ORIENTED_EDGE('',*,*,#180653,.F.); #232330=ORIENTED_EDGE('',*,*,#180656,.F.); #232331=ORIENTED_EDGE('',*,*,#180659,.F.); #232332=ORIENTED_EDGE('',*,*,#180662,.F.); #232333=ORIENTED_EDGE('',*,*,#180665,.F.); #232334=ORIENTED_EDGE('',*,*,#180670,.F.); #232335=ORIENTED_EDGE('',*,*,#180671,.T.); #232336=ORIENTED_EDGE('',*,*,#180672,.F.); #232337=ORIENTED_EDGE('',*,*,#180671,.F.); #232338=ORIENTED_EDGE('',*,*,#180673,.T.); #232339=ORIENTED_EDGE('',*,*,#180674,.T.); #232340=ORIENTED_EDGE('',*,*,#180675,.F.); #232341=ORIENTED_EDGE('',*,*,#180676,.F.); #232342=ORIENTED_EDGE('',*,*,#180677,.T.); #232343=ORIENTED_EDGE('',*,*,#180676,.T.); #232344=ORIENTED_EDGE('',*,*,#180678,.F.); #232345=ORIENTED_EDGE('',*,*,#180679,.F.); #232346=ORIENTED_EDGE('',*,*,#180680,.T.); #232347=ORIENTED_EDGE('',*,*,#180679,.T.); #232348=ORIENTED_EDGE('',*,*,#180681,.F.); #232349=ORIENTED_EDGE('',*,*,#180682,.F.); #232350=ORIENTED_EDGE('',*,*,#180683,.T.); #232351=ORIENTED_EDGE('',*,*,#180682,.T.); #232352=ORIENTED_EDGE('',*,*,#180684,.F.); #232353=ORIENTED_EDGE('',*,*,#180685,.F.); #232354=ORIENTED_EDGE('',*,*,#180686,.T.); #232355=ORIENTED_EDGE('',*,*,#180685,.T.); #232356=ORIENTED_EDGE('',*,*,#180687,.F.); #232357=ORIENTED_EDGE('',*,*,#180688,.F.); #232358=ORIENTED_EDGE('',*,*,#180689,.T.); #232359=ORIENTED_EDGE('',*,*,#180688,.T.); #232360=ORIENTED_EDGE('',*,*,#180690,.F.); #232361=ORIENTED_EDGE('',*,*,#180691,.F.); #232362=ORIENTED_EDGE('',*,*,#180692,.T.); #232363=ORIENTED_EDGE('',*,*,#180691,.T.); #232364=ORIENTED_EDGE('',*,*,#180693,.F.); #232365=ORIENTED_EDGE('',*,*,#180694,.F.); #232366=ORIENTED_EDGE('',*,*,#180695,.T.); #232367=ORIENTED_EDGE('',*,*,#180694,.T.); #232368=ORIENTED_EDGE('',*,*,#180696,.F.); #232369=ORIENTED_EDGE('',*,*,#180697,.F.); #232370=ORIENTED_EDGE('',*,*,#180698,.T.); #232371=ORIENTED_EDGE('',*,*,#180697,.T.); #232372=ORIENTED_EDGE('',*,*,#180699,.F.); #232373=ORIENTED_EDGE('',*,*,#180700,.F.); #232374=ORIENTED_EDGE('',*,*,#180701,.T.); #232375=ORIENTED_EDGE('',*,*,#180700,.T.); #232376=ORIENTED_EDGE('',*,*,#180702,.F.); #232377=ORIENTED_EDGE('',*,*,#180703,.F.); #232378=ORIENTED_EDGE('',*,*,#180704,.T.); #232379=ORIENTED_EDGE('',*,*,#180703,.T.); #232380=ORIENTED_EDGE('',*,*,#180705,.F.); #232381=ORIENTED_EDGE('',*,*,#180706,.F.); #232382=ORIENTED_EDGE('',*,*,#180707,.T.); #232383=ORIENTED_EDGE('',*,*,#180706,.T.); #232384=ORIENTED_EDGE('',*,*,#180708,.F.); #232385=ORIENTED_EDGE('',*,*,#180709,.F.); #232386=ORIENTED_EDGE('',*,*,#180710,.T.); #232387=ORIENTED_EDGE('',*,*,#180709,.T.); #232388=ORIENTED_EDGE('',*,*,#180711,.F.); #232389=ORIENTED_EDGE('',*,*,#180712,.F.); #232390=ORIENTED_EDGE('',*,*,#180713,.T.); #232391=ORIENTED_EDGE('',*,*,#180712,.T.); #232392=ORIENTED_EDGE('',*,*,#180714,.F.); #232393=ORIENTED_EDGE('',*,*,#180715,.F.); #232394=ORIENTED_EDGE('',*,*,#180716,.T.); #232395=ORIENTED_EDGE('',*,*,#180715,.T.); #232396=ORIENTED_EDGE('',*,*,#180717,.F.); #232397=ORIENTED_EDGE('',*,*,#180718,.F.); #232398=ORIENTED_EDGE('',*,*,#180719,.T.); #232399=ORIENTED_EDGE('',*,*,#180718,.T.); #232400=ORIENTED_EDGE('',*,*,#180720,.F.); #232401=ORIENTED_EDGE('',*,*,#180721,.F.); #232402=ORIENTED_EDGE('',*,*,#180722,.T.); #232403=ORIENTED_EDGE('',*,*,#180721,.T.); #232404=ORIENTED_EDGE('',*,*,#180723,.F.); #232405=ORIENTED_EDGE('',*,*,#180674,.F.); #232406=ORIENTED_EDGE('',*,*,#180723,.T.); #232407=ORIENTED_EDGE('',*,*,#180720,.T.); #232408=ORIENTED_EDGE('',*,*,#180717,.T.); #232409=ORIENTED_EDGE('',*,*,#180714,.T.); #232410=ORIENTED_EDGE('',*,*,#180711,.T.); #232411=ORIENTED_EDGE('',*,*,#180708,.T.); #232412=ORIENTED_EDGE('',*,*,#180705,.T.); #232413=ORIENTED_EDGE('',*,*,#180702,.T.); #232414=ORIENTED_EDGE('',*,*,#180699,.T.); #232415=ORIENTED_EDGE('',*,*,#180696,.T.); #232416=ORIENTED_EDGE('',*,*,#180693,.T.); #232417=ORIENTED_EDGE('',*,*,#180690,.T.); #232418=ORIENTED_EDGE('',*,*,#180687,.T.); #232419=ORIENTED_EDGE('',*,*,#180684,.T.); #232420=ORIENTED_EDGE('',*,*,#180681,.T.); #232421=ORIENTED_EDGE('',*,*,#180678,.T.); #232422=ORIENTED_EDGE('',*,*,#180675,.T.); #232423=ORIENTED_EDGE('',*,*,#180672,.T.); #232424=ORIENTED_EDGE('',*,*,#180722,.F.); #232425=ORIENTED_EDGE('',*,*,#180673,.F.); #232426=ORIENTED_EDGE('',*,*,#180677,.F.); #232427=ORIENTED_EDGE('',*,*,#180680,.F.); #232428=ORIENTED_EDGE('',*,*,#180683,.F.); #232429=ORIENTED_EDGE('',*,*,#180686,.F.); #232430=ORIENTED_EDGE('',*,*,#180689,.F.); #232431=ORIENTED_EDGE('',*,*,#180692,.F.); #232432=ORIENTED_EDGE('',*,*,#180695,.F.); #232433=ORIENTED_EDGE('',*,*,#180698,.F.); #232434=ORIENTED_EDGE('',*,*,#180701,.F.); #232435=ORIENTED_EDGE('',*,*,#180704,.F.); #232436=ORIENTED_EDGE('',*,*,#180707,.F.); #232437=ORIENTED_EDGE('',*,*,#180710,.F.); #232438=ORIENTED_EDGE('',*,*,#180713,.F.); #232439=ORIENTED_EDGE('',*,*,#180716,.F.); #232440=ORIENTED_EDGE('',*,*,#180719,.F.); #232441=ORIENTED_EDGE('',*,*,#180670,.T.); #232442=ORIENTED_EDGE('',*,*,#180724,.F.); #232443=ORIENTED_EDGE('',*,*,#180725,.T.); #232444=ORIENTED_EDGE('',*,*,#180726,.F.); #232445=ORIENTED_EDGE('',*,*,#180725,.F.); #232446=ORIENTED_EDGE('',*,*,#180727,.T.); #232447=ORIENTED_EDGE('',*,*,#180728,.T.); #232448=ORIENTED_EDGE('',*,*,#180729,.F.); #232449=ORIENTED_EDGE('',*,*,#180730,.F.); #232450=ORIENTED_EDGE('',*,*,#180731,.T.); #232451=ORIENTED_EDGE('',*,*,#180730,.T.); #232452=ORIENTED_EDGE('',*,*,#180732,.F.); #232453=ORIENTED_EDGE('',*,*,#180733,.F.); #232454=ORIENTED_EDGE('',*,*,#180734,.T.); #232455=ORIENTED_EDGE('',*,*,#180733,.T.); #232456=ORIENTED_EDGE('',*,*,#180735,.F.); #232457=ORIENTED_EDGE('',*,*,#180736,.F.); #232458=ORIENTED_EDGE('',*,*,#180737,.T.); #232459=ORIENTED_EDGE('',*,*,#180736,.T.); #232460=ORIENTED_EDGE('',*,*,#180738,.F.); #232461=ORIENTED_EDGE('',*,*,#180739,.F.); #232462=ORIENTED_EDGE('',*,*,#180740,.T.); #232463=ORIENTED_EDGE('',*,*,#180739,.T.); #232464=ORIENTED_EDGE('',*,*,#180741,.F.); #232465=ORIENTED_EDGE('',*,*,#180742,.F.); #232466=ORIENTED_EDGE('',*,*,#180743,.T.); #232467=ORIENTED_EDGE('',*,*,#180742,.T.); #232468=ORIENTED_EDGE('',*,*,#180744,.F.); #232469=ORIENTED_EDGE('',*,*,#180745,.F.); #232470=ORIENTED_EDGE('',*,*,#180746,.T.); #232471=ORIENTED_EDGE('',*,*,#180745,.T.); #232472=ORIENTED_EDGE('',*,*,#180747,.F.); #232473=ORIENTED_EDGE('',*,*,#180748,.F.); #232474=ORIENTED_EDGE('',*,*,#180749,.T.); #232475=ORIENTED_EDGE('',*,*,#180748,.T.); #232476=ORIENTED_EDGE('',*,*,#180750,.F.); #232477=ORIENTED_EDGE('',*,*,#180751,.F.); #232478=ORIENTED_EDGE('',*,*,#180752,.T.); #232479=ORIENTED_EDGE('',*,*,#180751,.T.); #232480=ORIENTED_EDGE('',*,*,#180753,.F.); #232481=ORIENTED_EDGE('',*,*,#180754,.F.); #232482=ORIENTED_EDGE('',*,*,#180755,.T.); #232483=ORIENTED_EDGE('',*,*,#180754,.T.); #232484=ORIENTED_EDGE('',*,*,#180756,.F.); #232485=ORIENTED_EDGE('',*,*,#180757,.F.); #232486=ORIENTED_EDGE('',*,*,#180758,.T.); #232487=ORIENTED_EDGE('',*,*,#180757,.T.); #232488=ORIENTED_EDGE('',*,*,#180759,.F.); #232489=ORIENTED_EDGE('',*,*,#180760,.F.); #232490=ORIENTED_EDGE('',*,*,#180761,.T.); #232491=ORIENTED_EDGE('',*,*,#180760,.T.); #232492=ORIENTED_EDGE('',*,*,#180762,.F.); #232493=ORIENTED_EDGE('',*,*,#180763,.F.); #232494=ORIENTED_EDGE('',*,*,#180764,.T.); #232495=ORIENTED_EDGE('',*,*,#180763,.T.); #232496=ORIENTED_EDGE('',*,*,#180765,.F.); #232497=ORIENTED_EDGE('',*,*,#180766,.F.); #232498=ORIENTED_EDGE('',*,*,#180767,.T.); #232499=ORIENTED_EDGE('',*,*,#180766,.T.); #232500=ORIENTED_EDGE('',*,*,#180768,.F.); #232501=ORIENTED_EDGE('',*,*,#180769,.F.); #232502=ORIENTED_EDGE('',*,*,#180770,.T.); #232503=ORIENTED_EDGE('',*,*,#180769,.T.); #232504=ORIENTED_EDGE('',*,*,#180771,.F.); #232505=ORIENTED_EDGE('',*,*,#180772,.F.); #232506=ORIENTED_EDGE('',*,*,#180773,.T.); #232507=ORIENTED_EDGE('',*,*,#180772,.T.); #232508=ORIENTED_EDGE('',*,*,#180774,.F.); #232509=ORIENTED_EDGE('',*,*,#180775,.F.); #232510=ORIENTED_EDGE('',*,*,#180776,.T.); #232511=ORIENTED_EDGE('',*,*,#180775,.T.); #232512=ORIENTED_EDGE('',*,*,#180777,.F.); #232513=ORIENTED_EDGE('',*,*,#180778,.F.); #232514=ORIENTED_EDGE('',*,*,#180779,.T.); #232515=ORIENTED_EDGE('',*,*,#180778,.T.); #232516=ORIENTED_EDGE('',*,*,#180780,.F.); #232517=ORIENTED_EDGE('',*,*,#180781,.F.); #232518=ORIENTED_EDGE('',*,*,#180782,.T.); #232519=ORIENTED_EDGE('',*,*,#180781,.T.); #232520=ORIENTED_EDGE('',*,*,#180783,.F.); #232521=ORIENTED_EDGE('',*,*,#180784,.F.); #232522=ORIENTED_EDGE('',*,*,#180785,.T.); #232523=ORIENTED_EDGE('',*,*,#180784,.T.); #232524=ORIENTED_EDGE('',*,*,#180786,.F.); #232525=ORIENTED_EDGE('',*,*,#180787,.F.); #232526=ORIENTED_EDGE('',*,*,#180788,.T.); #232527=ORIENTED_EDGE('',*,*,#180787,.T.); #232528=ORIENTED_EDGE('',*,*,#180789,.F.); #232529=ORIENTED_EDGE('',*,*,#180790,.F.); #232530=ORIENTED_EDGE('',*,*,#180791,.T.); #232531=ORIENTED_EDGE('',*,*,#180790,.T.); #232532=ORIENTED_EDGE('',*,*,#180792,.F.); #232533=ORIENTED_EDGE('',*,*,#180728,.F.); #232534=ORIENTED_EDGE('',*,*,#180792,.T.); #232535=ORIENTED_EDGE('',*,*,#180789,.T.); #232536=ORIENTED_EDGE('',*,*,#180786,.T.); #232537=ORIENTED_EDGE('',*,*,#180783,.T.); #232538=ORIENTED_EDGE('',*,*,#180780,.T.); #232539=ORIENTED_EDGE('',*,*,#180777,.T.); #232540=ORIENTED_EDGE('',*,*,#180774,.T.); #232541=ORIENTED_EDGE('',*,*,#180771,.T.); #232542=ORIENTED_EDGE('',*,*,#180768,.T.); #232543=ORIENTED_EDGE('',*,*,#180765,.T.); #232544=ORIENTED_EDGE('',*,*,#180762,.T.); #232545=ORIENTED_EDGE('',*,*,#180759,.T.); #232546=ORIENTED_EDGE('',*,*,#180756,.T.); #232547=ORIENTED_EDGE('',*,*,#180753,.T.); #232548=ORIENTED_EDGE('',*,*,#180750,.T.); #232549=ORIENTED_EDGE('',*,*,#180747,.T.); #232550=ORIENTED_EDGE('',*,*,#180744,.T.); #232551=ORIENTED_EDGE('',*,*,#180741,.T.); #232552=ORIENTED_EDGE('',*,*,#180738,.T.); #232553=ORIENTED_EDGE('',*,*,#180735,.T.); #232554=ORIENTED_EDGE('',*,*,#180732,.T.); #232555=ORIENTED_EDGE('',*,*,#180729,.T.); #232556=ORIENTED_EDGE('',*,*,#180726,.T.); #232557=ORIENTED_EDGE('',*,*,#180791,.F.); #232558=ORIENTED_EDGE('',*,*,#180727,.F.); #232559=ORIENTED_EDGE('',*,*,#180731,.F.); #232560=ORIENTED_EDGE('',*,*,#180734,.F.); #232561=ORIENTED_EDGE('',*,*,#180737,.F.); #232562=ORIENTED_EDGE('',*,*,#180740,.F.); #232563=ORIENTED_EDGE('',*,*,#180743,.F.); #232564=ORIENTED_EDGE('',*,*,#180746,.F.); #232565=ORIENTED_EDGE('',*,*,#180749,.F.); #232566=ORIENTED_EDGE('',*,*,#180752,.F.); #232567=ORIENTED_EDGE('',*,*,#180755,.F.); #232568=ORIENTED_EDGE('',*,*,#180758,.F.); #232569=ORIENTED_EDGE('',*,*,#180761,.F.); #232570=ORIENTED_EDGE('',*,*,#180764,.F.); #232571=ORIENTED_EDGE('',*,*,#180767,.F.); #232572=ORIENTED_EDGE('',*,*,#180770,.F.); #232573=ORIENTED_EDGE('',*,*,#180773,.F.); #232574=ORIENTED_EDGE('',*,*,#180776,.F.); #232575=ORIENTED_EDGE('',*,*,#180779,.F.); #232576=ORIENTED_EDGE('',*,*,#180782,.F.); #232577=ORIENTED_EDGE('',*,*,#180785,.F.); #232578=ORIENTED_EDGE('',*,*,#180788,.F.); #232579=ORIENTED_EDGE('',*,*,#180724,.T.); #232580=ORIENTED_EDGE('',*,*,#180793,.F.); #232581=ORIENTED_EDGE('',*,*,#180794,.T.); #232582=ORIENTED_EDGE('',*,*,#180795,.F.); #232583=ORIENTED_EDGE('',*,*,#180794,.F.); #232584=ORIENTED_EDGE('',*,*,#180796,.T.); #232585=ORIENTED_EDGE('',*,*,#180797,.T.); #232586=ORIENTED_EDGE('',*,*,#180798,.F.); #232587=ORIENTED_EDGE('',*,*,#180799,.F.); #232588=ORIENTED_EDGE('',*,*,#180800,.T.); #232589=ORIENTED_EDGE('',*,*,#180799,.T.); #232590=ORIENTED_EDGE('',*,*,#180801,.F.); #232591=ORIENTED_EDGE('',*,*,#180802,.F.); #232592=ORIENTED_EDGE('',*,*,#180803,.T.); #232593=ORIENTED_EDGE('',*,*,#180802,.T.); #232594=ORIENTED_EDGE('',*,*,#180804,.F.); #232595=ORIENTED_EDGE('',*,*,#180805,.F.); #232596=ORIENTED_EDGE('',*,*,#180806,.T.); #232597=ORIENTED_EDGE('',*,*,#180805,.T.); #232598=ORIENTED_EDGE('',*,*,#180807,.F.); #232599=ORIENTED_EDGE('',*,*,#180808,.F.); #232600=ORIENTED_EDGE('',*,*,#180809,.T.); #232601=ORIENTED_EDGE('',*,*,#180808,.T.); #232602=ORIENTED_EDGE('',*,*,#180810,.F.); #232603=ORIENTED_EDGE('',*,*,#180811,.F.); #232604=ORIENTED_EDGE('',*,*,#180812,.T.); #232605=ORIENTED_EDGE('',*,*,#180811,.T.); #232606=ORIENTED_EDGE('',*,*,#180813,.F.); #232607=ORIENTED_EDGE('',*,*,#180814,.F.); #232608=ORIENTED_EDGE('',*,*,#180815,.T.); #232609=ORIENTED_EDGE('',*,*,#180814,.T.); #232610=ORIENTED_EDGE('',*,*,#180816,.F.); #232611=ORIENTED_EDGE('',*,*,#180817,.F.); #232612=ORIENTED_EDGE('',*,*,#180818,.T.); #232613=ORIENTED_EDGE('',*,*,#180817,.T.); #232614=ORIENTED_EDGE('',*,*,#180819,.F.); #232615=ORIENTED_EDGE('',*,*,#180820,.F.); #232616=ORIENTED_EDGE('',*,*,#180821,.T.); #232617=ORIENTED_EDGE('',*,*,#180820,.T.); #232618=ORIENTED_EDGE('',*,*,#180822,.F.); #232619=ORIENTED_EDGE('',*,*,#180823,.F.); #232620=ORIENTED_EDGE('',*,*,#180824,.T.); #232621=ORIENTED_EDGE('',*,*,#180823,.T.); #232622=ORIENTED_EDGE('',*,*,#180825,.F.); #232623=ORIENTED_EDGE('',*,*,#180826,.F.); #232624=ORIENTED_EDGE('',*,*,#180827,.T.); #232625=ORIENTED_EDGE('',*,*,#180826,.T.); #232626=ORIENTED_EDGE('',*,*,#180828,.F.); #232627=ORIENTED_EDGE('',*,*,#180829,.F.); #232628=ORIENTED_EDGE('',*,*,#180830,.T.); #232629=ORIENTED_EDGE('',*,*,#180829,.T.); #232630=ORIENTED_EDGE('',*,*,#180831,.F.); #232631=ORIENTED_EDGE('',*,*,#180797,.F.); #232632=ORIENTED_EDGE('',*,*,#180831,.T.); #232633=ORIENTED_EDGE('',*,*,#180828,.T.); #232634=ORIENTED_EDGE('',*,*,#180825,.T.); #232635=ORIENTED_EDGE('',*,*,#180822,.T.); #232636=ORIENTED_EDGE('',*,*,#180819,.T.); #232637=ORIENTED_EDGE('',*,*,#180816,.T.); #232638=ORIENTED_EDGE('',*,*,#180813,.T.); #232639=ORIENTED_EDGE('',*,*,#180810,.T.); #232640=ORIENTED_EDGE('',*,*,#180807,.T.); #232641=ORIENTED_EDGE('',*,*,#180804,.T.); #232642=ORIENTED_EDGE('',*,*,#180801,.T.); #232643=ORIENTED_EDGE('',*,*,#180798,.T.); #232644=ORIENTED_EDGE('',*,*,#180795,.T.); #232645=ORIENTED_EDGE('',*,*,#180830,.F.); #232646=ORIENTED_EDGE('',*,*,#180796,.F.); #232647=ORIENTED_EDGE('',*,*,#180800,.F.); #232648=ORIENTED_EDGE('',*,*,#180803,.F.); #232649=ORIENTED_EDGE('',*,*,#180806,.F.); #232650=ORIENTED_EDGE('',*,*,#180809,.F.); #232651=ORIENTED_EDGE('',*,*,#180812,.F.); #232652=ORIENTED_EDGE('',*,*,#180815,.F.); #232653=ORIENTED_EDGE('',*,*,#180818,.F.); #232654=ORIENTED_EDGE('',*,*,#180821,.F.); #232655=ORIENTED_EDGE('',*,*,#180824,.F.); #232656=ORIENTED_EDGE('',*,*,#180827,.F.); #232657=ORIENTED_EDGE('',*,*,#180793,.T.); #232658=ORIENTED_EDGE('',*,*,#180832,.T.); #232659=ORIENTED_EDGE('',*,*,#180833,.T.); #232660=ORIENTED_EDGE('',*,*,#180834,.F.); #232661=ORIENTED_EDGE('',*,*,#180835,.F.); #232662=ORIENTED_EDGE('',*,*,#180836,.T.); #232663=ORIENTED_EDGE('',*,*,#180835,.T.); #232664=ORIENTED_EDGE('',*,*,#180837,.F.); #232665=ORIENTED_EDGE('',*,*,#180838,.F.); #232666=ORIENTED_EDGE('',*,*,#180839,.T.); #232667=ORIENTED_EDGE('',*,*,#180838,.T.); #232668=ORIENTED_EDGE('',*,*,#180840,.F.); #232669=ORIENTED_EDGE('',*,*,#180841,.F.); #232670=ORIENTED_EDGE('',*,*,#180842,.T.); #232671=ORIENTED_EDGE('',*,*,#180841,.T.); #232672=ORIENTED_EDGE('',*,*,#180843,.F.); #232673=ORIENTED_EDGE('',*,*,#180844,.F.); #232674=ORIENTED_EDGE('',*,*,#180845,.T.); #232675=ORIENTED_EDGE('',*,*,#180844,.T.); #232676=ORIENTED_EDGE('',*,*,#180846,.F.); #232677=ORIENTED_EDGE('',*,*,#180847,.F.); #232678=ORIENTED_EDGE('',*,*,#180848,.T.); #232679=ORIENTED_EDGE('',*,*,#180847,.T.); #232680=ORIENTED_EDGE('',*,*,#180849,.F.); #232681=ORIENTED_EDGE('',*,*,#180850,.F.); #232682=ORIENTED_EDGE('',*,*,#180851,.T.); #232683=ORIENTED_EDGE('',*,*,#180850,.T.); #232684=ORIENTED_EDGE('',*,*,#180852,.F.); #232685=ORIENTED_EDGE('',*,*,#180853,.F.); #232686=ORIENTED_EDGE('',*,*,#180854,.T.); #232687=ORIENTED_EDGE('',*,*,#180853,.T.); #232688=ORIENTED_EDGE('',*,*,#180855,.F.); #232689=ORIENTED_EDGE('',*,*,#180856,.F.); #232690=ORIENTED_EDGE('',*,*,#180857,.T.); #232691=ORIENTED_EDGE('',*,*,#180856,.T.); #232692=ORIENTED_EDGE('',*,*,#180858,.F.); #232693=ORIENTED_EDGE('',*,*,#180859,.F.); #232694=ORIENTED_EDGE('',*,*,#180860,.T.); #232695=ORIENTED_EDGE('',*,*,#180859,.T.); #232696=ORIENTED_EDGE('',*,*,#180861,.F.); #232697=ORIENTED_EDGE('',*,*,#180862,.F.); #232698=ORIENTED_EDGE('',*,*,#180863,.T.); #232699=ORIENTED_EDGE('',*,*,#180862,.T.); #232700=ORIENTED_EDGE('',*,*,#180864,.F.); #232701=ORIENTED_EDGE('',*,*,#180865,.F.); #232702=ORIENTED_EDGE('',*,*,#180866,.T.); #232703=ORIENTED_EDGE('',*,*,#180865,.T.); #232704=ORIENTED_EDGE('',*,*,#180867,.F.); #232705=ORIENTED_EDGE('',*,*,#180868,.F.); #232706=ORIENTED_EDGE('',*,*,#180869,.T.); #232707=ORIENTED_EDGE('',*,*,#180868,.T.); #232708=ORIENTED_EDGE('',*,*,#180870,.F.); #232709=ORIENTED_EDGE('',*,*,#180871,.F.); #232710=ORIENTED_EDGE('',*,*,#180872,.T.); #232711=ORIENTED_EDGE('',*,*,#180871,.T.); #232712=ORIENTED_EDGE('',*,*,#180873,.F.); #232713=ORIENTED_EDGE('',*,*,#180874,.F.); #232714=ORIENTED_EDGE('',*,*,#180875,.T.); #232715=ORIENTED_EDGE('',*,*,#180874,.T.); #232716=ORIENTED_EDGE('',*,*,#180876,.F.); #232717=ORIENTED_EDGE('',*,*,#180877,.F.); #232718=ORIENTED_EDGE('',*,*,#180878,.T.); #232719=ORIENTED_EDGE('',*,*,#180877,.T.); #232720=ORIENTED_EDGE('',*,*,#180879,.F.); #232721=ORIENTED_EDGE('',*,*,#180880,.F.); #232722=ORIENTED_EDGE('',*,*,#180881,.T.); #232723=ORIENTED_EDGE('',*,*,#180880,.T.); #232724=ORIENTED_EDGE('',*,*,#180882,.F.); #232725=ORIENTED_EDGE('',*,*,#180883,.F.); #232726=ORIENTED_EDGE('',*,*,#180884,.T.); #232727=ORIENTED_EDGE('',*,*,#180883,.T.); #232728=ORIENTED_EDGE('',*,*,#180885,.F.); #232729=ORIENTED_EDGE('',*,*,#180886,.F.); #232730=ORIENTED_EDGE('',*,*,#180887,.T.); #232731=ORIENTED_EDGE('',*,*,#180886,.T.); #232732=ORIENTED_EDGE('',*,*,#180888,.F.); #232733=ORIENTED_EDGE('',*,*,#180889,.F.); #232734=ORIENTED_EDGE('',*,*,#180890,.T.); #232735=ORIENTED_EDGE('',*,*,#180889,.T.); #232736=ORIENTED_EDGE('',*,*,#180891,.F.); #232737=ORIENTED_EDGE('',*,*,#180892,.F.); #232738=ORIENTED_EDGE('',*,*,#180893,.T.); #232739=ORIENTED_EDGE('',*,*,#180892,.T.); #232740=ORIENTED_EDGE('',*,*,#180894,.F.); #232741=ORIENTED_EDGE('',*,*,#180895,.F.); #232742=ORIENTED_EDGE('',*,*,#180896,.T.); #232743=ORIENTED_EDGE('',*,*,#180895,.T.); #232744=ORIENTED_EDGE('',*,*,#180897,.F.); #232745=ORIENTED_EDGE('',*,*,#180898,.F.); #232746=ORIENTED_EDGE('',*,*,#180899,.T.); #232747=ORIENTED_EDGE('',*,*,#180898,.T.); #232748=ORIENTED_EDGE('',*,*,#180900,.F.); #232749=ORIENTED_EDGE('',*,*,#180901,.F.); #232750=ORIENTED_EDGE('',*,*,#180902,.T.); #232751=ORIENTED_EDGE('',*,*,#180901,.T.); #232752=ORIENTED_EDGE('',*,*,#180903,.F.); #232753=ORIENTED_EDGE('',*,*,#180904,.F.); #232754=ORIENTED_EDGE('',*,*,#180905,.T.); #232755=ORIENTED_EDGE('',*,*,#180904,.T.); #232756=ORIENTED_EDGE('',*,*,#180906,.F.); #232757=ORIENTED_EDGE('',*,*,#180907,.F.); #232758=ORIENTED_EDGE('',*,*,#180908,.T.); #232759=ORIENTED_EDGE('',*,*,#180907,.T.); #232760=ORIENTED_EDGE('',*,*,#180909,.F.); #232761=ORIENTED_EDGE('',*,*,#180910,.F.); #232762=ORIENTED_EDGE('',*,*,#180911,.T.); #232763=ORIENTED_EDGE('',*,*,#180910,.T.); #232764=ORIENTED_EDGE('',*,*,#180912,.F.); #232765=ORIENTED_EDGE('',*,*,#180913,.F.); #232766=ORIENTED_EDGE('',*,*,#180914,.T.); #232767=ORIENTED_EDGE('',*,*,#180913,.T.); #232768=ORIENTED_EDGE('',*,*,#180915,.F.); #232769=ORIENTED_EDGE('',*,*,#180916,.F.); #232770=ORIENTED_EDGE('',*,*,#180917,.T.); #232771=ORIENTED_EDGE('',*,*,#180916,.T.); #232772=ORIENTED_EDGE('',*,*,#180918,.F.); #232773=ORIENTED_EDGE('',*,*,#180919,.F.); #232774=ORIENTED_EDGE('',*,*,#180920,.T.); #232775=ORIENTED_EDGE('',*,*,#180919,.T.); #232776=ORIENTED_EDGE('',*,*,#180921,.F.); #232777=ORIENTED_EDGE('',*,*,#180922,.F.); #232778=ORIENTED_EDGE('',*,*,#180923,.T.); #232779=ORIENTED_EDGE('',*,*,#180922,.T.); #232780=ORIENTED_EDGE('',*,*,#180924,.F.); #232781=ORIENTED_EDGE('',*,*,#180925,.F.); #232782=ORIENTED_EDGE('',*,*,#180926,.T.); #232783=ORIENTED_EDGE('',*,*,#180925,.T.); #232784=ORIENTED_EDGE('',*,*,#180927,.F.); #232785=ORIENTED_EDGE('',*,*,#180928,.F.); #232786=ORIENTED_EDGE('',*,*,#180929,.T.); #232787=ORIENTED_EDGE('',*,*,#180928,.T.); #232788=ORIENTED_EDGE('',*,*,#180930,.F.); #232789=ORIENTED_EDGE('',*,*,#180931,.F.); #232790=ORIENTED_EDGE('',*,*,#180932,.T.); #232791=ORIENTED_EDGE('',*,*,#180931,.T.); #232792=ORIENTED_EDGE('',*,*,#180933,.F.); #232793=ORIENTED_EDGE('',*,*,#180934,.F.); #232794=ORIENTED_EDGE('',*,*,#180935,.T.); #232795=ORIENTED_EDGE('',*,*,#180934,.T.); #232796=ORIENTED_EDGE('',*,*,#180936,.F.); #232797=ORIENTED_EDGE('',*,*,#180937,.F.); #232798=ORIENTED_EDGE('',*,*,#180938,.T.); #232799=ORIENTED_EDGE('',*,*,#180937,.T.); #232800=ORIENTED_EDGE('',*,*,#180939,.F.); #232801=ORIENTED_EDGE('',*,*,#180833,.F.); #232802=ORIENTED_EDGE('',*,*,#180939,.T.); #232803=ORIENTED_EDGE('',*,*,#180936,.T.); #232804=ORIENTED_EDGE('',*,*,#180933,.T.); #232805=ORIENTED_EDGE('',*,*,#180930,.T.); #232806=ORIENTED_EDGE('',*,*,#180927,.T.); #232807=ORIENTED_EDGE('',*,*,#180924,.T.); #232808=ORIENTED_EDGE('',*,*,#180921,.T.); #232809=ORIENTED_EDGE('',*,*,#180918,.T.); #232810=ORIENTED_EDGE('',*,*,#180915,.T.); #232811=ORIENTED_EDGE('',*,*,#180912,.T.); #232812=ORIENTED_EDGE('',*,*,#180909,.T.); #232813=ORIENTED_EDGE('',*,*,#180906,.T.); #232814=ORIENTED_EDGE('',*,*,#180903,.T.); #232815=ORIENTED_EDGE('',*,*,#180900,.T.); #232816=ORIENTED_EDGE('',*,*,#180897,.T.); #232817=ORIENTED_EDGE('',*,*,#180894,.T.); #232818=ORIENTED_EDGE('',*,*,#180891,.T.); #232819=ORIENTED_EDGE('',*,*,#180888,.T.); #232820=ORIENTED_EDGE('',*,*,#180885,.T.); #232821=ORIENTED_EDGE('',*,*,#180882,.T.); #232822=ORIENTED_EDGE('',*,*,#180879,.T.); #232823=ORIENTED_EDGE('',*,*,#180876,.T.); #232824=ORIENTED_EDGE('',*,*,#180873,.T.); #232825=ORIENTED_EDGE('',*,*,#180870,.T.); #232826=ORIENTED_EDGE('',*,*,#180867,.T.); #232827=ORIENTED_EDGE('',*,*,#180864,.T.); #232828=ORIENTED_EDGE('',*,*,#180861,.T.); #232829=ORIENTED_EDGE('',*,*,#180858,.T.); #232830=ORIENTED_EDGE('',*,*,#180855,.T.); #232831=ORIENTED_EDGE('',*,*,#180852,.T.); #232832=ORIENTED_EDGE('',*,*,#180849,.T.); #232833=ORIENTED_EDGE('',*,*,#180846,.T.); #232834=ORIENTED_EDGE('',*,*,#180843,.T.); #232835=ORIENTED_EDGE('',*,*,#180840,.T.); #232836=ORIENTED_EDGE('',*,*,#180837,.T.); #232837=ORIENTED_EDGE('',*,*,#180834,.T.); #232838=ORIENTED_EDGE('',*,*,#180938,.F.); #232839=ORIENTED_EDGE('',*,*,#180832,.F.); #232840=ORIENTED_EDGE('',*,*,#180836,.F.); #232841=ORIENTED_EDGE('',*,*,#180839,.F.); #232842=ORIENTED_EDGE('',*,*,#180842,.F.); #232843=ORIENTED_EDGE('',*,*,#180845,.F.); #232844=ORIENTED_EDGE('',*,*,#180848,.F.); #232845=ORIENTED_EDGE('',*,*,#180851,.F.); #232846=ORIENTED_EDGE('',*,*,#180854,.F.); #232847=ORIENTED_EDGE('',*,*,#180857,.F.); #232848=ORIENTED_EDGE('',*,*,#180860,.F.); #232849=ORIENTED_EDGE('',*,*,#180863,.F.); #232850=ORIENTED_EDGE('',*,*,#180866,.F.); #232851=ORIENTED_EDGE('',*,*,#180869,.F.); #232852=ORIENTED_EDGE('',*,*,#180872,.F.); #232853=ORIENTED_EDGE('',*,*,#180875,.F.); #232854=ORIENTED_EDGE('',*,*,#180878,.F.); #232855=ORIENTED_EDGE('',*,*,#180881,.F.); #232856=ORIENTED_EDGE('',*,*,#180884,.F.); #232857=ORIENTED_EDGE('',*,*,#180887,.F.); #232858=ORIENTED_EDGE('',*,*,#180890,.F.); #232859=ORIENTED_EDGE('',*,*,#180893,.F.); #232860=ORIENTED_EDGE('',*,*,#180896,.F.); #232861=ORIENTED_EDGE('',*,*,#180899,.F.); #232862=ORIENTED_EDGE('',*,*,#180902,.F.); #232863=ORIENTED_EDGE('',*,*,#180905,.F.); #232864=ORIENTED_EDGE('',*,*,#180908,.F.); #232865=ORIENTED_EDGE('',*,*,#180911,.F.); #232866=ORIENTED_EDGE('',*,*,#180914,.F.); #232867=ORIENTED_EDGE('',*,*,#180917,.F.); #232868=ORIENTED_EDGE('',*,*,#180920,.F.); #232869=ORIENTED_EDGE('',*,*,#180923,.F.); #232870=ORIENTED_EDGE('',*,*,#180926,.F.); #232871=ORIENTED_EDGE('',*,*,#180929,.F.); #232872=ORIENTED_EDGE('',*,*,#180932,.F.); #232873=ORIENTED_EDGE('',*,*,#180935,.F.); #232874=ORIENTED_EDGE('',*,*,#180940,.F.); #232875=ORIENTED_EDGE('',*,*,#180941,.T.); #232876=ORIENTED_EDGE('',*,*,#180942,.F.); #232877=ORIENTED_EDGE('',*,*,#180941,.F.); #232878=ORIENTED_EDGE('',*,*,#180943,.T.); #232879=ORIENTED_EDGE('',*,*,#180944,.T.); #232880=ORIENTED_EDGE('',*,*,#180945,.F.); #232881=ORIENTED_EDGE('',*,*,#180946,.F.); #232882=ORIENTED_EDGE('',*,*,#180947,.T.); #232883=ORIENTED_EDGE('',*,*,#180946,.T.); #232884=ORIENTED_EDGE('',*,*,#180948,.F.); #232885=ORIENTED_EDGE('',*,*,#180949,.F.); #232886=ORIENTED_EDGE('',*,*,#180950,.T.); #232887=ORIENTED_EDGE('',*,*,#180949,.T.); #232888=ORIENTED_EDGE('',*,*,#180951,.F.); #232889=ORIENTED_EDGE('',*,*,#180952,.F.); #232890=ORIENTED_EDGE('',*,*,#180953,.T.); #232891=ORIENTED_EDGE('',*,*,#180952,.T.); #232892=ORIENTED_EDGE('',*,*,#180954,.F.); #232893=ORIENTED_EDGE('',*,*,#180955,.F.); #232894=ORIENTED_EDGE('',*,*,#180956,.T.); #232895=ORIENTED_EDGE('',*,*,#180955,.T.); #232896=ORIENTED_EDGE('',*,*,#180957,.F.); #232897=ORIENTED_EDGE('',*,*,#180958,.F.); #232898=ORIENTED_EDGE('',*,*,#180959,.T.); #232899=ORIENTED_EDGE('',*,*,#180958,.T.); #232900=ORIENTED_EDGE('',*,*,#180960,.F.); #232901=ORIENTED_EDGE('',*,*,#180961,.F.); #232902=ORIENTED_EDGE('',*,*,#180962,.T.); #232903=ORIENTED_EDGE('',*,*,#180961,.T.); #232904=ORIENTED_EDGE('',*,*,#180963,.F.); #232905=ORIENTED_EDGE('',*,*,#180964,.F.); #232906=ORIENTED_EDGE('',*,*,#180965,.T.); #232907=ORIENTED_EDGE('',*,*,#180964,.T.); #232908=ORIENTED_EDGE('',*,*,#180966,.F.); #232909=ORIENTED_EDGE('',*,*,#180967,.F.); #232910=ORIENTED_EDGE('',*,*,#180968,.T.); #232911=ORIENTED_EDGE('',*,*,#180967,.T.); #232912=ORIENTED_EDGE('',*,*,#180969,.F.); #232913=ORIENTED_EDGE('',*,*,#180970,.F.); #232914=ORIENTED_EDGE('',*,*,#180971,.T.); #232915=ORIENTED_EDGE('',*,*,#180970,.T.); #232916=ORIENTED_EDGE('',*,*,#180972,.F.); #232917=ORIENTED_EDGE('',*,*,#180944,.F.); #232918=ORIENTED_EDGE('',*,*,#180972,.T.); #232919=ORIENTED_EDGE('',*,*,#180969,.T.); #232920=ORIENTED_EDGE('',*,*,#180966,.T.); #232921=ORIENTED_EDGE('',*,*,#180963,.T.); #232922=ORIENTED_EDGE('',*,*,#180960,.T.); #232923=ORIENTED_EDGE('',*,*,#180957,.T.); #232924=ORIENTED_EDGE('',*,*,#180954,.T.); #232925=ORIENTED_EDGE('',*,*,#180951,.T.); #232926=ORIENTED_EDGE('',*,*,#180948,.T.); #232927=ORIENTED_EDGE('',*,*,#180945,.T.); #232928=ORIENTED_EDGE('',*,*,#180942,.T.); #232929=ORIENTED_EDGE('',*,*,#180971,.F.); #232930=ORIENTED_EDGE('',*,*,#180943,.F.); #232931=ORIENTED_EDGE('',*,*,#180947,.F.); #232932=ORIENTED_EDGE('',*,*,#180950,.F.); #232933=ORIENTED_EDGE('',*,*,#180953,.F.); #232934=ORIENTED_EDGE('',*,*,#180956,.F.); #232935=ORIENTED_EDGE('',*,*,#180959,.F.); #232936=ORIENTED_EDGE('',*,*,#180962,.F.); #232937=ORIENTED_EDGE('',*,*,#180965,.F.); #232938=ORIENTED_EDGE('',*,*,#180968,.F.); #232939=ORIENTED_EDGE('',*,*,#180940,.T.); #232940=ORIENTED_EDGE('',*,*,#180973,.F.); #232941=ORIENTED_EDGE('',*,*,#180974,.T.); #232942=ORIENTED_EDGE('',*,*,#180975,.F.); #232943=ORIENTED_EDGE('',*,*,#180974,.F.); #232944=ORIENTED_EDGE('',*,*,#180976,.F.); #232945=ORIENTED_EDGE('',*,*,#180977,.T.); #232946=ORIENTED_EDGE('',*,*,#180978,.F.); #232947=ORIENTED_EDGE('',*,*,#180977,.F.); #232948=ORIENTED_EDGE('',*,*,#180979,.T.); #232949=ORIENTED_EDGE('',*,*,#180980,.T.); #232950=ORIENTED_EDGE('',*,*,#180981,.F.); #232951=ORIENTED_EDGE('',*,*,#180982,.F.); #232952=ORIENTED_EDGE('',*,*,#180983,.T.); #232953=ORIENTED_EDGE('',*,*,#180982,.T.); #232954=ORIENTED_EDGE('',*,*,#180984,.F.); #232955=ORIENTED_EDGE('',*,*,#180985,.F.); #232956=ORIENTED_EDGE('',*,*,#180986,.T.); #232957=ORIENTED_EDGE('',*,*,#180985,.T.); #232958=ORIENTED_EDGE('',*,*,#180987,.F.); #232959=ORIENTED_EDGE('',*,*,#180988,.F.); #232960=ORIENTED_EDGE('',*,*,#180989,.T.); #232961=ORIENTED_EDGE('',*,*,#180988,.T.); #232962=ORIENTED_EDGE('',*,*,#180990,.F.); #232963=ORIENTED_EDGE('',*,*,#180991,.F.); #232964=ORIENTED_EDGE('',*,*,#180992,.T.); #232965=ORIENTED_EDGE('',*,*,#180991,.T.); #232966=ORIENTED_EDGE('',*,*,#180993,.F.); #232967=ORIENTED_EDGE('',*,*,#180994,.F.); #232968=ORIENTED_EDGE('',*,*,#180995,.T.); #232969=ORIENTED_EDGE('',*,*,#180994,.T.); #232970=ORIENTED_EDGE('',*,*,#180996,.F.); #232971=ORIENTED_EDGE('',*,*,#180997,.F.); #232972=ORIENTED_EDGE('',*,*,#180998,.T.); #232973=ORIENTED_EDGE('',*,*,#180997,.T.); #232974=ORIENTED_EDGE('',*,*,#180999,.F.); #232975=ORIENTED_EDGE('',*,*,#181000,.F.); #232976=ORIENTED_EDGE('',*,*,#181001,.T.); #232977=ORIENTED_EDGE('',*,*,#181000,.T.); #232978=ORIENTED_EDGE('',*,*,#181002,.F.); #232979=ORIENTED_EDGE('',*,*,#181003,.F.); #232980=ORIENTED_EDGE('',*,*,#181004,.T.); #232981=ORIENTED_EDGE('',*,*,#181003,.T.); #232982=ORIENTED_EDGE('',*,*,#181005,.F.); #232983=ORIENTED_EDGE('',*,*,#181006,.F.); #232984=ORIENTED_EDGE('',*,*,#181007,.T.); #232985=ORIENTED_EDGE('',*,*,#181006,.T.); #232986=ORIENTED_EDGE('',*,*,#181008,.F.); #232987=ORIENTED_EDGE('',*,*,#181009,.F.); #232988=ORIENTED_EDGE('',*,*,#181010,.T.); #232989=ORIENTED_EDGE('',*,*,#181009,.T.); #232990=ORIENTED_EDGE('',*,*,#181011,.F.); #232991=ORIENTED_EDGE('',*,*,#181012,.F.); #232992=ORIENTED_EDGE('',*,*,#181013,.T.); #232993=ORIENTED_EDGE('',*,*,#181012,.T.); #232994=ORIENTED_EDGE('',*,*,#181014,.F.); #232995=ORIENTED_EDGE('',*,*,#181015,.F.); #232996=ORIENTED_EDGE('',*,*,#181016,.T.); #232997=ORIENTED_EDGE('',*,*,#181015,.T.); #232998=ORIENTED_EDGE('',*,*,#181017,.F.); #232999=ORIENTED_EDGE('',*,*,#181018,.F.); #233000=ORIENTED_EDGE('',*,*,#181019,.T.); #233001=ORIENTED_EDGE('',*,*,#181018,.T.); #233002=ORIENTED_EDGE('',*,*,#181020,.F.); #233003=ORIENTED_EDGE('',*,*,#181021,.F.); #233004=ORIENTED_EDGE('',*,*,#181022,.T.); #233005=ORIENTED_EDGE('',*,*,#181021,.T.); #233006=ORIENTED_EDGE('',*,*,#181023,.F.); #233007=ORIENTED_EDGE('',*,*,#181024,.F.); #233008=ORIENTED_EDGE('',*,*,#181025,.T.); #233009=ORIENTED_EDGE('',*,*,#181024,.T.); #233010=ORIENTED_EDGE('',*,*,#181026,.F.); #233011=ORIENTED_EDGE('',*,*,#181027,.F.); #233012=ORIENTED_EDGE('',*,*,#181028,.T.); #233013=ORIENTED_EDGE('',*,*,#181027,.T.); #233014=ORIENTED_EDGE('',*,*,#181029,.F.); #233015=ORIENTED_EDGE('',*,*,#181030,.F.); #233016=ORIENTED_EDGE('',*,*,#181031,.T.); #233017=ORIENTED_EDGE('',*,*,#181030,.T.); #233018=ORIENTED_EDGE('',*,*,#181032,.F.); #233019=ORIENTED_EDGE('',*,*,#181033,.F.); #233020=ORIENTED_EDGE('',*,*,#181034,.T.); #233021=ORIENTED_EDGE('',*,*,#181033,.T.); #233022=ORIENTED_EDGE('',*,*,#181035,.F.); #233023=ORIENTED_EDGE('',*,*,#181036,.F.); #233024=ORIENTED_EDGE('',*,*,#181037,.T.); #233025=ORIENTED_EDGE('',*,*,#181036,.T.); #233026=ORIENTED_EDGE('',*,*,#181038,.F.); #233027=ORIENTED_EDGE('',*,*,#181039,.F.); #233028=ORIENTED_EDGE('',*,*,#181040,.T.); #233029=ORIENTED_EDGE('',*,*,#181039,.T.); #233030=ORIENTED_EDGE('',*,*,#181041,.F.); #233031=ORIENTED_EDGE('',*,*,#180980,.F.); #233032=ORIENTED_EDGE('',*,*,#181041,.T.); #233033=ORIENTED_EDGE('',*,*,#181038,.T.); #233034=ORIENTED_EDGE('',*,*,#181035,.T.); #233035=ORIENTED_EDGE('',*,*,#181032,.T.); #233036=ORIENTED_EDGE('',*,*,#181029,.T.); #233037=ORIENTED_EDGE('',*,*,#181026,.T.); #233038=ORIENTED_EDGE('',*,*,#181023,.T.); #233039=ORIENTED_EDGE('',*,*,#181020,.T.); #233040=ORIENTED_EDGE('',*,*,#181017,.T.); #233041=ORIENTED_EDGE('',*,*,#181014,.T.); #233042=ORIENTED_EDGE('',*,*,#181011,.T.); #233043=ORIENTED_EDGE('',*,*,#181008,.T.); #233044=ORIENTED_EDGE('',*,*,#181005,.T.); #233045=ORIENTED_EDGE('',*,*,#181002,.T.); #233046=ORIENTED_EDGE('',*,*,#180999,.T.); #233047=ORIENTED_EDGE('',*,*,#180996,.T.); #233048=ORIENTED_EDGE('',*,*,#180993,.T.); #233049=ORIENTED_EDGE('',*,*,#180990,.T.); #233050=ORIENTED_EDGE('',*,*,#180987,.T.); #233051=ORIENTED_EDGE('',*,*,#180984,.T.); #233052=ORIENTED_EDGE('',*,*,#180981,.T.); #233053=ORIENTED_EDGE('',*,*,#180975,.T.); #233054=ORIENTED_EDGE('',*,*,#180978,.T.); #233055=ORIENTED_EDGE('',*,*,#181040,.F.); #233056=ORIENTED_EDGE('',*,*,#180979,.F.); #233057=ORIENTED_EDGE('',*,*,#180983,.F.); #233058=ORIENTED_EDGE('',*,*,#180986,.F.); #233059=ORIENTED_EDGE('',*,*,#180989,.F.); #233060=ORIENTED_EDGE('',*,*,#180992,.F.); #233061=ORIENTED_EDGE('',*,*,#180995,.F.); #233062=ORIENTED_EDGE('',*,*,#180998,.F.); #233063=ORIENTED_EDGE('',*,*,#181001,.F.); #233064=ORIENTED_EDGE('',*,*,#181004,.F.); #233065=ORIENTED_EDGE('',*,*,#181007,.F.); #233066=ORIENTED_EDGE('',*,*,#181010,.F.); #233067=ORIENTED_EDGE('',*,*,#181013,.F.); #233068=ORIENTED_EDGE('',*,*,#181016,.F.); #233069=ORIENTED_EDGE('',*,*,#181019,.F.); #233070=ORIENTED_EDGE('',*,*,#181022,.F.); #233071=ORIENTED_EDGE('',*,*,#181025,.F.); #233072=ORIENTED_EDGE('',*,*,#181028,.F.); #233073=ORIENTED_EDGE('',*,*,#181031,.F.); #233074=ORIENTED_EDGE('',*,*,#181034,.F.); #233075=ORIENTED_EDGE('',*,*,#181037,.F.); #233076=ORIENTED_EDGE('',*,*,#180973,.T.); #233077=ORIENTED_EDGE('',*,*,#180976,.T.); #233078=ORIENTED_EDGE('',*,*,#181042,.T.); #233079=ORIENTED_EDGE('',*,*,#181043,.T.); #233080=ORIENTED_EDGE('',*,*,#181044,.F.); #233081=ORIENTED_EDGE('',*,*,#181045,.F.); #233082=ORIENTED_EDGE('',*,*,#181046,.T.); #233083=ORIENTED_EDGE('',*,*,#181045,.T.); #233084=ORIENTED_EDGE('',*,*,#181047,.F.); #233085=ORIENTED_EDGE('',*,*,#181048,.F.); #233086=ORIENTED_EDGE('',*,*,#181049,.T.); #233087=ORIENTED_EDGE('',*,*,#181048,.T.); #233088=ORIENTED_EDGE('',*,*,#181050,.F.); #233089=ORIENTED_EDGE('',*,*,#181051,.F.); #233090=ORIENTED_EDGE('',*,*,#181052,.T.); #233091=ORIENTED_EDGE('',*,*,#181051,.T.); #233092=ORIENTED_EDGE('',*,*,#181053,.F.); #233093=ORIENTED_EDGE('',*,*,#181054,.F.); #233094=ORIENTED_EDGE('',*,*,#181055,.T.); #233095=ORIENTED_EDGE('',*,*,#181054,.T.); #233096=ORIENTED_EDGE('',*,*,#181056,.F.); #233097=ORIENTED_EDGE('',*,*,#181057,.F.); #233098=ORIENTED_EDGE('',*,*,#181058,.T.); #233099=ORIENTED_EDGE('',*,*,#181057,.T.); #233100=ORIENTED_EDGE('',*,*,#181059,.F.); #233101=ORIENTED_EDGE('',*,*,#181060,.F.); #233102=ORIENTED_EDGE('',*,*,#181061,.T.); #233103=ORIENTED_EDGE('',*,*,#181060,.T.); #233104=ORIENTED_EDGE('',*,*,#181062,.F.); #233105=ORIENTED_EDGE('',*,*,#181063,.F.); #233106=ORIENTED_EDGE('',*,*,#181064,.T.); #233107=ORIENTED_EDGE('',*,*,#181063,.T.); #233108=ORIENTED_EDGE('',*,*,#181065,.F.); #233109=ORIENTED_EDGE('',*,*,#181066,.F.); #233110=ORIENTED_EDGE('',*,*,#181067,.T.); #233111=ORIENTED_EDGE('',*,*,#181066,.T.); #233112=ORIENTED_EDGE('',*,*,#181068,.F.); #233113=ORIENTED_EDGE('',*,*,#181069,.F.); #233114=ORIENTED_EDGE('',*,*,#181070,.T.); #233115=ORIENTED_EDGE('',*,*,#181069,.T.); #233116=ORIENTED_EDGE('',*,*,#181071,.F.); #233117=ORIENTED_EDGE('',*,*,#181072,.F.); #233118=ORIENTED_EDGE('',*,*,#181073,.T.); #233119=ORIENTED_EDGE('',*,*,#181072,.T.); #233120=ORIENTED_EDGE('',*,*,#181074,.F.); #233121=ORIENTED_EDGE('',*,*,#181075,.F.); #233122=ORIENTED_EDGE('',*,*,#181076,.T.); #233123=ORIENTED_EDGE('',*,*,#181075,.T.); #233124=ORIENTED_EDGE('',*,*,#181077,.F.); #233125=ORIENTED_EDGE('',*,*,#181078,.F.); #233126=ORIENTED_EDGE('',*,*,#181079,.T.); #233127=ORIENTED_EDGE('',*,*,#181078,.T.); #233128=ORIENTED_EDGE('',*,*,#181080,.F.); #233129=ORIENTED_EDGE('',*,*,#181081,.F.); #233130=ORIENTED_EDGE('',*,*,#181082,.T.); #233131=ORIENTED_EDGE('',*,*,#181081,.T.); #233132=ORIENTED_EDGE('',*,*,#181083,.F.); #233133=ORIENTED_EDGE('',*,*,#181043,.F.); #233134=ORIENTED_EDGE('',*,*,#181083,.T.); #233135=ORIENTED_EDGE('',*,*,#181080,.T.); #233136=ORIENTED_EDGE('',*,*,#181077,.T.); #233137=ORIENTED_EDGE('',*,*,#181074,.T.); #233138=ORIENTED_EDGE('',*,*,#181071,.T.); #233139=ORIENTED_EDGE('',*,*,#181068,.T.); #233140=ORIENTED_EDGE('',*,*,#181065,.T.); #233141=ORIENTED_EDGE('',*,*,#181062,.T.); #233142=ORIENTED_EDGE('',*,*,#181059,.T.); #233143=ORIENTED_EDGE('',*,*,#181056,.T.); #233144=ORIENTED_EDGE('',*,*,#181053,.T.); #233145=ORIENTED_EDGE('',*,*,#181050,.T.); #233146=ORIENTED_EDGE('',*,*,#181047,.T.); #233147=ORIENTED_EDGE('',*,*,#181044,.T.); #233148=ORIENTED_EDGE('',*,*,#181082,.F.); #233149=ORIENTED_EDGE('',*,*,#181042,.F.); #233150=ORIENTED_EDGE('',*,*,#181046,.F.); #233151=ORIENTED_EDGE('',*,*,#181049,.F.); #233152=ORIENTED_EDGE('',*,*,#181052,.F.); #233153=ORIENTED_EDGE('',*,*,#181055,.F.); #233154=ORIENTED_EDGE('',*,*,#181058,.F.); #233155=ORIENTED_EDGE('',*,*,#181061,.F.); #233156=ORIENTED_EDGE('',*,*,#181064,.F.); #233157=ORIENTED_EDGE('',*,*,#181067,.F.); #233158=ORIENTED_EDGE('',*,*,#181070,.F.); #233159=ORIENTED_EDGE('',*,*,#181073,.F.); #233160=ORIENTED_EDGE('',*,*,#181076,.F.); #233161=ORIENTED_EDGE('',*,*,#181079,.F.); #233162=ORIENTED_EDGE('',*,*,#181084,.F.); #233163=ORIENTED_EDGE('',*,*,#181085,.T.); #233164=ORIENTED_EDGE('',*,*,#181086,.F.); #233165=ORIENTED_EDGE('',*,*,#181085,.F.); #233166=ORIENTED_EDGE('',*,*,#181087,.F.); #233167=ORIENTED_EDGE('',*,*,#181088,.T.); #233168=ORIENTED_EDGE('',*,*,#181089,.F.); #233169=ORIENTED_EDGE('',*,*,#181088,.F.); #233170=ORIENTED_EDGE('',*,*,#181090,.F.); #233171=ORIENTED_EDGE('',*,*,#181091,.T.); #233172=ORIENTED_EDGE('',*,*,#181092,.F.); #233173=ORIENTED_EDGE('',*,*,#181091,.F.); #233174=ORIENTED_EDGE('',*,*,#181093,.F.); #233175=ORIENTED_EDGE('',*,*,#181094,.T.); #233176=ORIENTED_EDGE('',*,*,#181095,.F.); #233177=ORIENTED_EDGE('',*,*,#181094,.F.); #233178=ORIENTED_EDGE('',*,*,#181096,.F.); #233179=ORIENTED_EDGE('',*,*,#181097,.T.); #233180=ORIENTED_EDGE('',*,*,#181098,.F.); #233181=ORIENTED_EDGE('',*,*,#181097,.F.); #233182=ORIENTED_EDGE('',*,*,#181099,.F.); #233183=ORIENTED_EDGE('',*,*,#181100,.T.); #233184=ORIENTED_EDGE('',*,*,#181101,.F.); #233185=ORIENTED_EDGE('',*,*,#181100,.F.); #233186=ORIENTED_EDGE('',*,*,#181102,.F.); #233187=ORIENTED_EDGE('',*,*,#181103,.T.); #233188=ORIENTED_EDGE('',*,*,#181104,.F.); #233189=ORIENTED_EDGE('',*,*,#181103,.F.); #233190=ORIENTED_EDGE('',*,*,#181105,.F.); #233191=ORIENTED_EDGE('',*,*,#181106,.T.); #233192=ORIENTED_EDGE('',*,*,#181107,.F.); #233193=ORIENTED_EDGE('',*,*,#181106,.F.); #233194=ORIENTED_EDGE('',*,*,#181108,.F.); #233195=ORIENTED_EDGE('',*,*,#181109,.T.); #233196=ORIENTED_EDGE('',*,*,#181110,.F.); #233197=ORIENTED_EDGE('',*,*,#181109,.F.); #233198=ORIENTED_EDGE('',*,*,#181111,.F.); #233199=ORIENTED_EDGE('',*,*,#181112,.T.); #233200=ORIENTED_EDGE('',*,*,#181113,.F.); #233201=ORIENTED_EDGE('',*,*,#181112,.F.); #233202=ORIENTED_EDGE('',*,*,#181114,.F.); #233203=ORIENTED_EDGE('',*,*,#181115,.T.); #233204=ORIENTED_EDGE('',*,*,#181116,.F.); #233205=ORIENTED_EDGE('',*,*,#181115,.F.); #233206=ORIENTED_EDGE('',*,*,#181117,.F.); #233207=ORIENTED_EDGE('',*,*,#181118,.T.); #233208=ORIENTED_EDGE('',*,*,#181119,.F.); #233209=ORIENTED_EDGE('',*,*,#181118,.F.); #233210=ORIENTED_EDGE('',*,*,#181120,.F.); #233211=ORIENTED_EDGE('',*,*,#181121,.T.); #233212=ORIENTED_EDGE('',*,*,#181122,.F.); #233213=ORIENTED_EDGE('',*,*,#181121,.F.); #233214=ORIENTED_EDGE('',*,*,#181123,.T.); #233215=ORIENTED_EDGE('',*,*,#181124,.T.); #233216=ORIENTED_EDGE('',*,*,#181125,.F.); #233217=ORIENTED_EDGE('',*,*,#181126,.F.); #233218=ORIENTED_EDGE('',*,*,#181127,.T.); #233219=ORIENTED_EDGE('',*,*,#181126,.T.); #233220=ORIENTED_EDGE('',*,*,#181128,.F.); #233221=ORIENTED_EDGE('',*,*,#181129,.F.); #233222=ORIENTED_EDGE('',*,*,#181130,.T.); #233223=ORIENTED_EDGE('',*,*,#181129,.T.); #233224=ORIENTED_EDGE('',*,*,#181131,.F.); #233225=ORIENTED_EDGE('',*,*,#181132,.F.); #233226=ORIENTED_EDGE('',*,*,#181133,.T.); #233227=ORIENTED_EDGE('',*,*,#181132,.T.); #233228=ORIENTED_EDGE('',*,*,#181134,.F.); #233229=ORIENTED_EDGE('',*,*,#181135,.F.); #233230=ORIENTED_EDGE('',*,*,#181136,.T.); #233231=ORIENTED_EDGE('',*,*,#181135,.T.); #233232=ORIENTED_EDGE('',*,*,#181137,.F.); #233233=ORIENTED_EDGE('',*,*,#181138,.F.); #233234=ORIENTED_EDGE('',*,*,#181139,.T.); #233235=ORIENTED_EDGE('',*,*,#181138,.T.); #233236=ORIENTED_EDGE('',*,*,#181140,.F.); #233237=ORIENTED_EDGE('',*,*,#181141,.F.); #233238=ORIENTED_EDGE('',*,*,#181142,.T.); #233239=ORIENTED_EDGE('',*,*,#181141,.T.); #233240=ORIENTED_EDGE('',*,*,#181143,.F.); #233241=ORIENTED_EDGE('',*,*,#181144,.F.); #233242=ORIENTED_EDGE('',*,*,#181145,.T.); #233243=ORIENTED_EDGE('',*,*,#181144,.T.); #233244=ORIENTED_EDGE('',*,*,#181146,.F.); #233245=ORIENTED_EDGE('',*,*,#181147,.F.); #233246=ORIENTED_EDGE('',*,*,#181148,.T.); #233247=ORIENTED_EDGE('',*,*,#181147,.T.); #233248=ORIENTED_EDGE('',*,*,#181149,.F.); #233249=ORIENTED_EDGE('',*,*,#181150,.F.); #233250=ORIENTED_EDGE('',*,*,#181151,.T.); #233251=ORIENTED_EDGE('',*,*,#181150,.T.); #233252=ORIENTED_EDGE('',*,*,#181152,.F.); #233253=ORIENTED_EDGE('',*,*,#181153,.F.); #233254=ORIENTED_EDGE('',*,*,#181154,.T.); #233255=ORIENTED_EDGE('',*,*,#181153,.T.); #233256=ORIENTED_EDGE('',*,*,#181155,.F.); #233257=ORIENTED_EDGE('',*,*,#181156,.F.); #233258=ORIENTED_EDGE('',*,*,#181157,.T.); #233259=ORIENTED_EDGE('',*,*,#181156,.T.); #233260=ORIENTED_EDGE('',*,*,#181158,.F.); #233261=ORIENTED_EDGE('',*,*,#181159,.F.); #233262=ORIENTED_EDGE('',*,*,#181160,.T.); #233263=ORIENTED_EDGE('',*,*,#181159,.T.); #233264=ORIENTED_EDGE('',*,*,#181161,.F.); #233265=ORIENTED_EDGE('',*,*,#181162,.F.); #233266=ORIENTED_EDGE('',*,*,#181163,.T.); #233267=ORIENTED_EDGE('',*,*,#181162,.T.); #233268=ORIENTED_EDGE('',*,*,#181164,.F.); #233269=ORIENTED_EDGE('',*,*,#181165,.F.); #233270=ORIENTED_EDGE('',*,*,#181166,.T.); #233271=ORIENTED_EDGE('',*,*,#181165,.T.); #233272=ORIENTED_EDGE('',*,*,#181167,.F.); #233273=ORIENTED_EDGE('',*,*,#181168,.F.); #233274=ORIENTED_EDGE('',*,*,#181169,.T.); #233275=ORIENTED_EDGE('',*,*,#181168,.T.); #233276=ORIENTED_EDGE('',*,*,#181170,.F.); #233277=ORIENTED_EDGE('',*,*,#181124,.F.); #233278=ORIENTED_EDGE('',*,*,#181171,.T.); #233279=ORIENTED_EDGE('',*,*,#181172,.T.); #233280=ORIENTED_EDGE('',*,*,#181173,.F.); #233281=ORIENTED_EDGE('',*,*,#181174,.F.); #233282=ORIENTED_EDGE('',*,*,#181175,.T.); #233283=ORIENTED_EDGE('',*,*,#181174,.T.); #233284=ORIENTED_EDGE('',*,*,#181176,.F.); #233285=ORIENTED_EDGE('',*,*,#181177,.F.); #233286=ORIENTED_EDGE('',*,*,#181178,.T.); #233287=ORIENTED_EDGE('',*,*,#181177,.T.); #233288=ORIENTED_EDGE('',*,*,#181179,.F.); #233289=ORIENTED_EDGE('',*,*,#181180,.F.); #233290=ORIENTED_EDGE('',*,*,#181181,.T.); #233291=ORIENTED_EDGE('',*,*,#181180,.T.); #233292=ORIENTED_EDGE('',*,*,#181182,.F.); #233293=ORIENTED_EDGE('',*,*,#181183,.F.); #233294=ORIENTED_EDGE('',*,*,#181184,.T.); #233295=ORIENTED_EDGE('',*,*,#181183,.T.); #233296=ORIENTED_EDGE('',*,*,#181185,.F.); #233297=ORIENTED_EDGE('',*,*,#181186,.F.); #233298=ORIENTED_EDGE('',*,*,#181187,.T.); #233299=ORIENTED_EDGE('',*,*,#181186,.T.); #233300=ORIENTED_EDGE('',*,*,#181188,.F.); #233301=ORIENTED_EDGE('',*,*,#181189,.F.); #233302=ORIENTED_EDGE('',*,*,#181190,.T.); #233303=ORIENTED_EDGE('',*,*,#181189,.T.); #233304=ORIENTED_EDGE('',*,*,#181191,.F.); #233305=ORIENTED_EDGE('',*,*,#181192,.F.); #233306=ORIENTED_EDGE('',*,*,#181193,.T.); #233307=ORIENTED_EDGE('',*,*,#181192,.T.); #233308=ORIENTED_EDGE('',*,*,#181194,.F.); #233309=ORIENTED_EDGE('',*,*,#181195,.F.); #233310=ORIENTED_EDGE('',*,*,#181196,.T.); #233311=ORIENTED_EDGE('',*,*,#181195,.T.); #233312=ORIENTED_EDGE('',*,*,#181197,.F.); #233313=ORIENTED_EDGE('',*,*,#181198,.F.); #233314=ORIENTED_EDGE('',*,*,#181199,.T.); #233315=ORIENTED_EDGE('',*,*,#181198,.T.); #233316=ORIENTED_EDGE('',*,*,#181200,.F.); #233317=ORIENTED_EDGE('',*,*,#181201,.F.); #233318=ORIENTED_EDGE('',*,*,#181202,.T.); #233319=ORIENTED_EDGE('',*,*,#181201,.T.); #233320=ORIENTED_EDGE('',*,*,#181203,.F.); #233321=ORIENTED_EDGE('',*,*,#181204,.F.); #233322=ORIENTED_EDGE('',*,*,#181205,.T.); #233323=ORIENTED_EDGE('',*,*,#181204,.T.); #233324=ORIENTED_EDGE('',*,*,#181206,.F.); #233325=ORIENTED_EDGE('',*,*,#181207,.F.); #233326=ORIENTED_EDGE('',*,*,#181208,.T.); #233327=ORIENTED_EDGE('',*,*,#181207,.T.); #233328=ORIENTED_EDGE('',*,*,#181209,.F.); #233329=ORIENTED_EDGE('',*,*,#181210,.F.); #233330=ORIENTED_EDGE('',*,*,#181211,.T.); #233331=ORIENTED_EDGE('',*,*,#181210,.T.); #233332=ORIENTED_EDGE('',*,*,#181212,.F.); #233333=ORIENTED_EDGE('',*,*,#181213,.F.); #233334=ORIENTED_EDGE('',*,*,#181214,.T.); #233335=ORIENTED_EDGE('',*,*,#181213,.T.); #233336=ORIENTED_EDGE('',*,*,#181215,.F.); #233337=ORIENTED_EDGE('',*,*,#181216,.F.); #233338=ORIENTED_EDGE('',*,*,#181217,.T.); #233339=ORIENTED_EDGE('',*,*,#181216,.T.); #233340=ORIENTED_EDGE('',*,*,#181218,.F.); #233341=ORIENTED_EDGE('',*,*,#181219,.F.); #233342=ORIENTED_EDGE('',*,*,#181220,.T.); #233343=ORIENTED_EDGE('',*,*,#181219,.T.); #233344=ORIENTED_EDGE('',*,*,#181221,.F.); #233345=ORIENTED_EDGE('',*,*,#181222,.F.); #233346=ORIENTED_EDGE('',*,*,#181223,.T.); #233347=ORIENTED_EDGE('',*,*,#181222,.T.); #233348=ORIENTED_EDGE('',*,*,#181224,.F.); #233349=ORIENTED_EDGE('',*,*,#181225,.F.); #233350=ORIENTED_EDGE('',*,*,#181226,.T.); #233351=ORIENTED_EDGE('',*,*,#181225,.T.); #233352=ORIENTED_EDGE('',*,*,#181227,.F.); #233353=ORIENTED_EDGE('',*,*,#181228,.F.); #233354=ORIENTED_EDGE('',*,*,#181229,.T.); #233355=ORIENTED_EDGE('',*,*,#181228,.T.); #233356=ORIENTED_EDGE('',*,*,#181230,.F.); #233357=ORIENTED_EDGE('',*,*,#181231,.F.); #233358=ORIENTED_EDGE('',*,*,#181232,.T.); #233359=ORIENTED_EDGE('',*,*,#181231,.T.); #233360=ORIENTED_EDGE('',*,*,#181233,.F.); #233361=ORIENTED_EDGE('',*,*,#181234,.F.); #233362=ORIENTED_EDGE('',*,*,#181235,.T.); #233363=ORIENTED_EDGE('',*,*,#181234,.T.); #233364=ORIENTED_EDGE('',*,*,#181236,.F.); #233365=ORIENTED_EDGE('',*,*,#181237,.F.); #233366=ORIENTED_EDGE('',*,*,#181238,.T.); #233367=ORIENTED_EDGE('',*,*,#181237,.T.); #233368=ORIENTED_EDGE('',*,*,#181239,.F.); #233369=ORIENTED_EDGE('',*,*,#181240,.F.); #233370=ORIENTED_EDGE('',*,*,#181241,.T.); #233371=ORIENTED_EDGE('',*,*,#181240,.T.); #233372=ORIENTED_EDGE('',*,*,#181242,.F.); #233373=ORIENTED_EDGE('',*,*,#181243,.F.); #233374=ORIENTED_EDGE('',*,*,#181244,.T.); #233375=ORIENTED_EDGE('',*,*,#181243,.T.); #233376=ORIENTED_EDGE('',*,*,#181245,.F.); #233377=ORIENTED_EDGE('',*,*,#181246,.F.); #233378=ORIENTED_EDGE('',*,*,#181247,.T.); #233379=ORIENTED_EDGE('',*,*,#181246,.T.); #233380=ORIENTED_EDGE('',*,*,#181248,.F.); #233381=ORIENTED_EDGE('',*,*,#181249,.F.); #233382=ORIENTED_EDGE('',*,*,#181250,.T.); #233383=ORIENTED_EDGE('',*,*,#181249,.T.); #233384=ORIENTED_EDGE('',*,*,#181251,.F.); #233385=ORIENTED_EDGE('',*,*,#181252,.F.); #233386=ORIENTED_EDGE('',*,*,#181253,.T.); #233387=ORIENTED_EDGE('',*,*,#181252,.T.); #233388=ORIENTED_EDGE('',*,*,#181254,.F.); #233389=ORIENTED_EDGE('',*,*,#181255,.F.); #233390=ORIENTED_EDGE('',*,*,#181256,.T.); #233391=ORIENTED_EDGE('',*,*,#181255,.T.); #233392=ORIENTED_EDGE('',*,*,#181257,.F.); #233393=ORIENTED_EDGE('',*,*,#181258,.F.); #233394=ORIENTED_EDGE('',*,*,#181259,.T.); #233395=ORIENTED_EDGE('',*,*,#181258,.T.); #233396=ORIENTED_EDGE('',*,*,#181260,.F.); #233397=ORIENTED_EDGE('',*,*,#181261,.F.); #233398=ORIENTED_EDGE('',*,*,#181262,.T.); #233399=ORIENTED_EDGE('',*,*,#181261,.T.); #233400=ORIENTED_EDGE('',*,*,#181263,.F.); #233401=ORIENTED_EDGE('',*,*,#181264,.F.); #233402=ORIENTED_EDGE('',*,*,#181265,.T.); #233403=ORIENTED_EDGE('',*,*,#181264,.T.); #233404=ORIENTED_EDGE('',*,*,#181266,.F.); #233405=ORIENTED_EDGE('',*,*,#181267,.F.); #233406=ORIENTED_EDGE('',*,*,#181268,.T.); #233407=ORIENTED_EDGE('',*,*,#181267,.T.); #233408=ORIENTED_EDGE('',*,*,#181269,.F.); #233409=ORIENTED_EDGE('',*,*,#181270,.F.); #233410=ORIENTED_EDGE('',*,*,#181271,.T.); #233411=ORIENTED_EDGE('',*,*,#181270,.T.); #233412=ORIENTED_EDGE('',*,*,#181272,.F.); #233413=ORIENTED_EDGE('',*,*,#181273,.F.); #233414=ORIENTED_EDGE('',*,*,#181274,.T.); #233415=ORIENTED_EDGE('',*,*,#181273,.T.); #233416=ORIENTED_EDGE('',*,*,#181275,.F.); #233417=ORIENTED_EDGE('',*,*,#181276,.F.); #233418=ORIENTED_EDGE('',*,*,#181277,.T.); #233419=ORIENTED_EDGE('',*,*,#181276,.T.); #233420=ORIENTED_EDGE('',*,*,#181278,.F.); #233421=ORIENTED_EDGE('',*,*,#181279,.F.); #233422=ORIENTED_EDGE('',*,*,#181280,.T.); #233423=ORIENTED_EDGE('',*,*,#181279,.T.); #233424=ORIENTED_EDGE('',*,*,#181281,.F.); #233425=ORIENTED_EDGE('',*,*,#181282,.F.); #233426=ORIENTED_EDGE('',*,*,#181283,.T.); #233427=ORIENTED_EDGE('',*,*,#181282,.T.); #233428=ORIENTED_EDGE('',*,*,#181284,.F.); #233429=ORIENTED_EDGE('',*,*,#181285,.F.); #233430=ORIENTED_EDGE('',*,*,#181286,.T.); #233431=ORIENTED_EDGE('',*,*,#181285,.T.); #233432=ORIENTED_EDGE('',*,*,#181287,.F.); #233433=ORIENTED_EDGE('',*,*,#181288,.F.); #233434=ORIENTED_EDGE('',*,*,#181289,.T.); #233435=ORIENTED_EDGE('',*,*,#181288,.T.); #233436=ORIENTED_EDGE('',*,*,#181290,.F.); #233437=ORIENTED_EDGE('',*,*,#181291,.F.); #233438=ORIENTED_EDGE('',*,*,#181292,.T.); #233439=ORIENTED_EDGE('',*,*,#181291,.T.); #233440=ORIENTED_EDGE('',*,*,#181293,.F.); #233441=ORIENTED_EDGE('',*,*,#181294,.F.); #233442=ORIENTED_EDGE('',*,*,#181295,.T.); #233443=ORIENTED_EDGE('',*,*,#181294,.T.); #233444=ORIENTED_EDGE('',*,*,#181296,.F.); #233445=ORIENTED_EDGE('',*,*,#181297,.F.); #233446=ORIENTED_EDGE('',*,*,#181298,.T.); #233447=ORIENTED_EDGE('',*,*,#181297,.T.); #233448=ORIENTED_EDGE('',*,*,#181299,.F.); #233449=ORIENTED_EDGE('',*,*,#181300,.F.); #233450=ORIENTED_EDGE('',*,*,#181301,.T.); #233451=ORIENTED_EDGE('',*,*,#181300,.T.); #233452=ORIENTED_EDGE('',*,*,#181302,.F.); #233453=ORIENTED_EDGE('',*,*,#181303,.F.); #233454=ORIENTED_EDGE('',*,*,#181304,.T.); #233455=ORIENTED_EDGE('',*,*,#181303,.T.); #233456=ORIENTED_EDGE('',*,*,#181305,.F.); #233457=ORIENTED_EDGE('',*,*,#181306,.F.); #233458=ORIENTED_EDGE('',*,*,#181307,.T.); #233459=ORIENTED_EDGE('',*,*,#181306,.T.); #233460=ORIENTED_EDGE('',*,*,#181308,.F.); #233461=ORIENTED_EDGE('',*,*,#181309,.F.); #233462=ORIENTED_EDGE('',*,*,#181310,.T.); #233463=ORIENTED_EDGE('',*,*,#181309,.T.); #233464=ORIENTED_EDGE('',*,*,#181311,.F.); #233465=ORIENTED_EDGE('',*,*,#181312,.F.); #233466=ORIENTED_EDGE('',*,*,#181313,.T.); #233467=ORIENTED_EDGE('',*,*,#181312,.T.); #233468=ORIENTED_EDGE('',*,*,#181314,.F.); #233469=ORIENTED_EDGE('',*,*,#181315,.F.); #233470=ORIENTED_EDGE('',*,*,#181316,.T.); #233471=ORIENTED_EDGE('',*,*,#181315,.T.); #233472=ORIENTED_EDGE('',*,*,#181317,.F.); #233473=ORIENTED_EDGE('',*,*,#181318,.F.); #233474=ORIENTED_EDGE('',*,*,#181319,.T.); #233475=ORIENTED_EDGE('',*,*,#181318,.T.); #233476=ORIENTED_EDGE('',*,*,#181320,.F.); #233477=ORIENTED_EDGE('',*,*,#181321,.F.); #233478=ORIENTED_EDGE('',*,*,#181322,.T.); #233479=ORIENTED_EDGE('',*,*,#181321,.T.); #233480=ORIENTED_EDGE('',*,*,#181323,.F.); #233481=ORIENTED_EDGE('',*,*,#181324,.F.); #233482=ORIENTED_EDGE('',*,*,#181325,.T.); #233483=ORIENTED_EDGE('',*,*,#181324,.T.); #233484=ORIENTED_EDGE('',*,*,#181326,.F.); #233485=ORIENTED_EDGE('',*,*,#181327,.F.); #233486=ORIENTED_EDGE('',*,*,#181328,.T.); #233487=ORIENTED_EDGE('',*,*,#181327,.T.); #233488=ORIENTED_EDGE('',*,*,#181329,.F.); #233489=ORIENTED_EDGE('',*,*,#181330,.F.); #233490=ORIENTED_EDGE('',*,*,#181331,.T.); #233491=ORIENTED_EDGE('',*,*,#181330,.T.); #233492=ORIENTED_EDGE('',*,*,#181332,.F.); #233493=ORIENTED_EDGE('',*,*,#181333,.F.); #233494=ORIENTED_EDGE('',*,*,#181334,.T.); #233495=ORIENTED_EDGE('',*,*,#181333,.T.); #233496=ORIENTED_EDGE('',*,*,#181335,.F.); #233497=ORIENTED_EDGE('',*,*,#181336,.F.); #233498=ORIENTED_EDGE('',*,*,#181337,.T.); #233499=ORIENTED_EDGE('',*,*,#181336,.T.); #233500=ORIENTED_EDGE('',*,*,#181338,.F.); #233501=ORIENTED_EDGE('',*,*,#181339,.F.); #233502=ORIENTED_EDGE('',*,*,#181340,.T.); #233503=ORIENTED_EDGE('',*,*,#181339,.T.); #233504=ORIENTED_EDGE('',*,*,#181341,.F.); #233505=ORIENTED_EDGE('',*,*,#181342,.F.); #233506=ORIENTED_EDGE('',*,*,#181343,.T.); #233507=ORIENTED_EDGE('',*,*,#181342,.T.); #233508=ORIENTED_EDGE('',*,*,#181344,.F.); #233509=ORIENTED_EDGE('',*,*,#181345,.F.); #233510=ORIENTED_EDGE('',*,*,#181346,.T.); #233511=ORIENTED_EDGE('',*,*,#181345,.T.); #233512=ORIENTED_EDGE('',*,*,#181347,.F.); #233513=ORIENTED_EDGE('',*,*,#181348,.F.); #233514=ORIENTED_EDGE('',*,*,#181349,.T.); #233515=ORIENTED_EDGE('',*,*,#181348,.T.); #233516=ORIENTED_EDGE('',*,*,#181350,.F.); #233517=ORIENTED_EDGE('',*,*,#181351,.F.); #233518=ORIENTED_EDGE('',*,*,#181352,.T.); #233519=ORIENTED_EDGE('',*,*,#181351,.T.); #233520=ORIENTED_EDGE('',*,*,#181353,.F.); #233521=ORIENTED_EDGE('',*,*,#181354,.F.); #233522=ORIENTED_EDGE('',*,*,#181355,.T.); #233523=ORIENTED_EDGE('',*,*,#181354,.T.); #233524=ORIENTED_EDGE('',*,*,#181356,.F.); #233525=ORIENTED_EDGE('',*,*,#181357,.F.); #233526=ORIENTED_EDGE('',*,*,#181358,.T.); #233527=ORIENTED_EDGE('',*,*,#181357,.T.); #233528=ORIENTED_EDGE('',*,*,#181359,.F.); #233529=ORIENTED_EDGE('',*,*,#181360,.F.); #233530=ORIENTED_EDGE('',*,*,#181361,.T.); #233531=ORIENTED_EDGE('',*,*,#181360,.T.); #233532=ORIENTED_EDGE('',*,*,#181362,.F.); #233533=ORIENTED_EDGE('',*,*,#181363,.F.); #233534=ORIENTED_EDGE('',*,*,#181364,.T.); #233535=ORIENTED_EDGE('',*,*,#181363,.T.); #233536=ORIENTED_EDGE('',*,*,#181365,.F.); #233537=ORIENTED_EDGE('',*,*,#181366,.F.); #233538=ORIENTED_EDGE('',*,*,#181367,.T.); #233539=ORIENTED_EDGE('',*,*,#181366,.T.); #233540=ORIENTED_EDGE('',*,*,#181368,.F.); #233541=ORIENTED_EDGE('',*,*,#181369,.F.); #233542=ORIENTED_EDGE('',*,*,#181370,.T.); #233543=ORIENTED_EDGE('',*,*,#181369,.T.); #233544=ORIENTED_EDGE('',*,*,#181371,.F.); #233545=ORIENTED_EDGE('',*,*,#181372,.F.); #233546=ORIENTED_EDGE('',*,*,#181373,.T.); #233547=ORIENTED_EDGE('',*,*,#181372,.T.); #233548=ORIENTED_EDGE('',*,*,#181374,.F.); #233549=ORIENTED_EDGE('',*,*,#181375,.F.); #233550=ORIENTED_EDGE('',*,*,#181376,.T.); #233551=ORIENTED_EDGE('',*,*,#181375,.T.); #233552=ORIENTED_EDGE('',*,*,#181377,.F.); #233553=ORIENTED_EDGE('',*,*,#181378,.F.); #233554=ORIENTED_EDGE('',*,*,#181379,.T.); #233555=ORIENTED_EDGE('',*,*,#181378,.T.); #233556=ORIENTED_EDGE('',*,*,#181380,.F.); #233557=ORIENTED_EDGE('',*,*,#181381,.F.); #233558=ORIENTED_EDGE('',*,*,#181382,.T.); #233559=ORIENTED_EDGE('',*,*,#181381,.T.); #233560=ORIENTED_EDGE('',*,*,#181383,.F.); #233561=ORIENTED_EDGE('',*,*,#181384,.F.); #233562=ORIENTED_EDGE('',*,*,#181385,.T.); #233563=ORIENTED_EDGE('',*,*,#181384,.T.); #233564=ORIENTED_EDGE('',*,*,#181386,.F.); #233565=ORIENTED_EDGE('',*,*,#181387,.F.); #233566=ORIENTED_EDGE('',*,*,#181388,.T.); #233567=ORIENTED_EDGE('',*,*,#181387,.T.); #233568=ORIENTED_EDGE('',*,*,#181389,.F.); #233569=ORIENTED_EDGE('',*,*,#181390,.F.); #233570=ORIENTED_EDGE('',*,*,#181391,.T.); #233571=ORIENTED_EDGE('',*,*,#181390,.T.); #233572=ORIENTED_EDGE('',*,*,#181392,.F.); #233573=ORIENTED_EDGE('',*,*,#181393,.F.); #233574=ORIENTED_EDGE('',*,*,#181394,.T.); #233575=ORIENTED_EDGE('',*,*,#181393,.T.); #233576=ORIENTED_EDGE('',*,*,#181395,.F.); #233577=ORIENTED_EDGE('',*,*,#181396,.F.); #233578=ORIENTED_EDGE('',*,*,#181397,.T.); #233579=ORIENTED_EDGE('',*,*,#181396,.T.); #233580=ORIENTED_EDGE('',*,*,#181398,.F.); #233581=ORIENTED_EDGE('',*,*,#181399,.F.); #233582=ORIENTED_EDGE('',*,*,#181400,.T.); #233583=ORIENTED_EDGE('',*,*,#181399,.T.); #233584=ORIENTED_EDGE('',*,*,#181401,.F.); #233585=ORIENTED_EDGE('',*,*,#181402,.F.); #233586=ORIENTED_EDGE('',*,*,#181403,.T.); #233587=ORIENTED_EDGE('',*,*,#181402,.T.); #233588=ORIENTED_EDGE('',*,*,#181404,.F.); #233589=ORIENTED_EDGE('',*,*,#181405,.F.); #233590=ORIENTED_EDGE('',*,*,#181406,.T.); #233591=ORIENTED_EDGE('',*,*,#181405,.T.); #233592=ORIENTED_EDGE('',*,*,#181407,.F.); #233593=ORIENTED_EDGE('',*,*,#181408,.F.); #233594=ORIENTED_EDGE('',*,*,#181409,.T.); #233595=ORIENTED_EDGE('',*,*,#181408,.T.); #233596=ORIENTED_EDGE('',*,*,#181410,.F.); #233597=ORIENTED_EDGE('',*,*,#181411,.F.); #233598=ORIENTED_EDGE('',*,*,#181412,.T.); #233599=ORIENTED_EDGE('',*,*,#181411,.T.); #233600=ORIENTED_EDGE('',*,*,#181413,.F.); #233601=ORIENTED_EDGE('',*,*,#181414,.F.); #233602=ORIENTED_EDGE('',*,*,#181415,.T.); #233603=ORIENTED_EDGE('',*,*,#181414,.T.); #233604=ORIENTED_EDGE('',*,*,#181416,.F.); #233605=ORIENTED_EDGE('',*,*,#181172,.F.); #233606=ORIENTED_EDGE('',*,*,#181416,.T.); #233607=ORIENTED_EDGE('',*,*,#181413,.T.); #233608=ORIENTED_EDGE('',*,*,#181410,.T.); #233609=ORIENTED_EDGE('',*,*,#181407,.T.); #233610=ORIENTED_EDGE('',*,*,#181404,.T.); #233611=ORIENTED_EDGE('',*,*,#181401,.T.); #233612=ORIENTED_EDGE('',*,*,#181398,.T.); #233613=ORIENTED_EDGE('',*,*,#181395,.T.); #233614=ORIENTED_EDGE('',*,*,#181392,.T.); #233615=ORIENTED_EDGE('',*,*,#181389,.T.); #233616=ORIENTED_EDGE('',*,*,#181386,.T.); #233617=ORIENTED_EDGE('',*,*,#181383,.T.); #233618=ORIENTED_EDGE('',*,*,#181380,.T.); #233619=ORIENTED_EDGE('',*,*,#181377,.T.); #233620=ORIENTED_EDGE('',*,*,#181374,.T.); #233621=ORIENTED_EDGE('',*,*,#181371,.T.); #233622=ORIENTED_EDGE('',*,*,#181368,.T.); #233623=ORIENTED_EDGE('',*,*,#181365,.T.); #233624=ORIENTED_EDGE('',*,*,#181362,.T.); #233625=ORIENTED_EDGE('',*,*,#181359,.T.); #233626=ORIENTED_EDGE('',*,*,#181356,.T.); #233627=ORIENTED_EDGE('',*,*,#181353,.T.); #233628=ORIENTED_EDGE('',*,*,#181350,.T.); #233629=ORIENTED_EDGE('',*,*,#181347,.T.); #233630=ORIENTED_EDGE('',*,*,#181344,.T.); #233631=ORIENTED_EDGE('',*,*,#181341,.T.); #233632=ORIENTED_EDGE('',*,*,#181338,.T.); #233633=ORIENTED_EDGE('',*,*,#181335,.T.); #233634=ORIENTED_EDGE('',*,*,#181332,.T.); #233635=ORIENTED_EDGE('',*,*,#181329,.T.); #233636=ORIENTED_EDGE('',*,*,#181326,.T.); #233637=ORIENTED_EDGE('',*,*,#181323,.T.); #233638=ORIENTED_EDGE('',*,*,#181320,.T.); #233639=ORIENTED_EDGE('',*,*,#181317,.T.); #233640=ORIENTED_EDGE('',*,*,#181314,.T.); #233641=ORIENTED_EDGE('',*,*,#181311,.T.); #233642=ORIENTED_EDGE('',*,*,#181308,.T.); #233643=ORIENTED_EDGE('',*,*,#181305,.T.); #233644=ORIENTED_EDGE('',*,*,#181302,.T.); #233645=ORIENTED_EDGE('',*,*,#181299,.T.); #233646=ORIENTED_EDGE('',*,*,#181296,.T.); #233647=ORIENTED_EDGE('',*,*,#181293,.T.); #233648=ORIENTED_EDGE('',*,*,#181290,.T.); #233649=ORIENTED_EDGE('',*,*,#181287,.T.); #233650=ORIENTED_EDGE('',*,*,#181284,.T.); #233651=ORIENTED_EDGE('',*,*,#181281,.T.); #233652=ORIENTED_EDGE('',*,*,#181278,.T.); #233653=ORIENTED_EDGE('',*,*,#181275,.T.); #233654=ORIENTED_EDGE('',*,*,#181272,.T.); #233655=ORIENTED_EDGE('',*,*,#181269,.T.); #233656=ORIENTED_EDGE('',*,*,#181266,.T.); #233657=ORIENTED_EDGE('',*,*,#181263,.T.); #233658=ORIENTED_EDGE('',*,*,#181260,.T.); #233659=ORIENTED_EDGE('',*,*,#181257,.T.); #233660=ORIENTED_EDGE('',*,*,#181254,.T.); #233661=ORIENTED_EDGE('',*,*,#181251,.T.); #233662=ORIENTED_EDGE('',*,*,#181248,.T.); #233663=ORIENTED_EDGE('',*,*,#181245,.T.); #233664=ORIENTED_EDGE('',*,*,#181242,.T.); #233665=ORIENTED_EDGE('',*,*,#181239,.T.); #233666=ORIENTED_EDGE('',*,*,#181236,.T.); #233667=ORIENTED_EDGE('',*,*,#181233,.T.); #233668=ORIENTED_EDGE('',*,*,#181230,.T.); #233669=ORIENTED_EDGE('',*,*,#181227,.T.); #233670=ORIENTED_EDGE('',*,*,#181224,.T.); #233671=ORIENTED_EDGE('',*,*,#181221,.T.); #233672=ORIENTED_EDGE('',*,*,#181218,.T.); #233673=ORIENTED_EDGE('',*,*,#181215,.T.); #233674=ORIENTED_EDGE('',*,*,#181212,.T.); #233675=ORIENTED_EDGE('',*,*,#181209,.T.); #233676=ORIENTED_EDGE('',*,*,#181206,.T.); #233677=ORIENTED_EDGE('',*,*,#181203,.T.); #233678=ORIENTED_EDGE('',*,*,#181200,.T.); #233679=ORIENTED_EDGE('',*,*,#181197,.T.); #233680=ORIENTED_EDGE('',*,*,#181194,.T.); #233681=ORIENTED_EDGE('',*,*,#181191,.T.); #233682=ORIENTED_EDGE('',*,*,#181188,.T.); #233683=ORIENTED_EDGE('',*,*,#181185,.T.); #233684=ORIENTED_EDGE('',*,*,#181182,.T.); #233685=ORIENTED_EDGE('',*,*,#181179,.T.); #233686=ORIENTED_EDGE('',*,*,#181176,.T.); #233687=ORIENTED_EDGE('',*,*,#181173,.T.); #233688=ORIENTED_EDGE('',*,*,#181086,.T.); #233689=ORIENTED_EDGE('',*,*,#181089,.T.); #233690=ORIENTED_EDGE('',*,*,#181092,.T.); #233691=ORIENTED_EDGE('',*,*,#181095,.T.); #233692=ORIENTED_EDGE('',*,*,#181098,.T.); #233693=ORIENTED_EDGE('',*,*,#181101,.T.); #233694=ORIENTED_EDGE('',*,*,#181104,.T.); #233695=ORIENTED_EDGE('',*,*,#181107,.T.); #233696=ORIENTED_EDGE('',*,*,#181110,.T.); #233697=ORIENTED_EDGE('',*,*,#181113,.T.); #233698=ORIENTED_EDGE('',*,*,#181116,.T.); #233699=ORIENTED_EDGE('',*,*,#181119,.T.); #233700=ORIENTED_EDGE('',*,*,#181122,.T.); #233701=ORIENTED_EDGE('',*,*,#181170,.T.); #233702=ORIENTED_EDGE('',*,*,#181167,.T.); #233703=ORIENTED_EDGE('',*,*,#181164,.T.); #233704=ORIENTED_EDGE('',*,*,#181161,.T.); #233705=ORIENTED_EDGE('',*,*,#181158,.T.); #233706=ORIENTED_EDGE('',*,*,#181155,.T.); #233707=ORIENTED_EDGE('',*,*,#181152,.T.); #233708=ORIENTED_EDGE('',*,*,#181149,.T.); #233709=ORIENTED_EDGE('',*,*,#181146,.T.); #233710=ORIENTED_EDGE('',*,*,#181143,.T.); #233711=ORIENTED_EDGE('',*,*,#181140,.T.); #233712=ORIENTED_EDGE('',*,*,#181137,.T.); #233713=ORIENTED_EDGE('',*,*,#181134,.T.); #233714=ORIENTED_EDGE('',*,*,#181131,.T.); #233715=ORIENTED_EDGE('',*,*,#181128,.T.); #233716=ORIENTED_EDGE('',*,*,#181125,.T.); #233717=ORIENTED_EDGE('',*,*,#181415,.F.); #233718=ORIENTED_EDGE('',*,*,#181171,.F.); #233719=ORIENTED_EDGE('',*,*,#181175,.F.); #233720=ORIENTED_EDGE('',*,*,#181178,.F.); #233721=ORIENTED_EDGE('',*,*,#181181,.F.); #233722=ORIENTED_EDGE('',*,*,#181184,.F.); #233723=ORIENTED_EDGE('',*,*,#181187,.F.); #233724=ORIENTED_EDGE('',*,*,#181190,.F.); #233725=ORIENTED_EDGE('',*,*,#181193,.F.); #233726=ORIENTED_EDGE('',*,*,#181196,.F.); #233727=ORIENTED_EDGE('',*,*,#181199,.F.); #233728=ORIENTED_EDGE('',*,*,#181202,.F.); #233729=ORIENTED_EDGE('',*,*,#181205,.F.); #233730=ORIENTED_EDGE('',*,*,#181208,.F.); #233731=ORIENTED_EDGE('',*,*,#181211,.F.); #233732=ORIENTED_EDGE('',*,*,#181214,.F.); #233733=ORIENTED_EDGE('',*,*,#181217,.F.); #233734=ORIENTED_EDGE('',*,*,#181220,.F.); #233735=ORIENTED_EDGE('',*,*,#181223,.F.); #233736=ORIENTED_EDGE('',*,*,#181226,.F.); #233737=ORIENTED_EDGE('',*,*,#181229,.F.); #233738=ORIENTED_EDGE('',*,*,#181232,.F.); #233739=ORIENTED_EDGE('',*,*,#181235,.F.); #233740=ORIENTED_EDGE('',*,*,#181238,.F.); #233741=ORIENTED_EDGE('',*,*,#181241,.F.); #233742=ORIENTED_EDGE('',*,*,#181244,.F.); #233743=ORIENTED_EDGE('',*,*,#181247,.F.); #233744=ORIENTED_EDGE('',*,*,#181250,.F.); #233745=ORIENTED_EDGE('',*,*,#181253,.F.); #233746=ORIENTED_EDGE('',*,*,#181256,.F.); #233747=ORIENTED_EDGE('',*,*,#181259,.F.); #233748=ORIENTED_EDGE('',*,*,#181262,.F.); #233749=ORIENTED_EDGE('',*,*,#181265,.F.); #233750=ORIENTED_EDGE('',*,*,#181268,.F.); #233751=ORIENTED_EDGE('',*,*,#181271,.F.); #233752=ORIENTED_EDGE('',*,*,#181274,.F.); #233753=ORIENTED_EDGE('',*,*,#181277,.F.); #233754=ORIENTED_EDGE('',*,*,#181280,.F.); #233755=ORIENTED_EDGE('',*,*,#181283,.F.); #233756=ORIENTED_EDGE('',*,*,#181286,.F.); #233757=ORIENTED_EDGE('',*,*,#181289,.F.); #233758=ORIENTED_EDGE('',*,*,#181292,.F.); #233759=ORIENTED_EDGE('',*,*,#181295,.F.); #233760=ORIENTED_EDGE('',*,*,#181298,.F.); #233761=ORIENTED_EDGE('',*,*,#181301,.F.); #233762=ORIENTED_EDGE('',*,*,#181304,.F.); #233763=ORIENTED_EDGE('',*,*,#181307,.F.); #233764=ORIENTED_EDGE('',*,*,#181310,.F.); #233765=ORIENTED_EDGE('',*,*,#181313,.F.); #233766=ORIENTED_EDGE('',*,*,#181316,.F.); #233767=ORIENTED_EDGE('',*,*,#181319,.F.); #233768=ORIENTED_EDGE('',*,*,#181322,.F.); #233769=ORIENTED_EDGE('',*,*,#181325,.F.); #233770=ORIENTED_EDGE('',*,*,#181328,.F.); #233771=ORIENTED_EDGE('',*,*,#181331,.F.); #233772=ORIENTED_EDGE('',*,*,#181334,.F.); #233773=ORIENTED_EDGE('',*,*,#181337,.F.); #233774=ORIENTED_EDGE('',*,*,#181340,.F.); #233775=ORIENTED_EDGE('',*,*,#181343,.F.); #233776=ORIENTED_EDGE('',*,*,#181346,.F.); #233777=ORIENTED_EDGE('',*,*,#181349,.F.); #233778=ORIENTED_EDGE('',*,*,#181352,.F.); #233779=ORIENTED_EDGE('',*,*,#181355,.F.); #233780=ORIENTED_EDGE('',*,*,#181358,.F.); #233781=ORIENTED_EDGE('',*,*,#181361,.F.); #233782=ORIENTED_EDGE('',*,*,#181364,.F.); #233783=ORIENTED_EDGE('',*,*,#181367,.F.); #233784=ORIENTED_EDGE('',*,*,#181370,.F.); #233785=ORIENTED_EDGE('',*,*,#181373,.F.); #233786=ORIENTED_EDGE('',*,*,#181376,.F.); #233787=ORIENTED_EDGE('',*,*,#181379,.F.); #233788=ORIENTED_EDGE('',*,*,#181382,.F.); #233789=ORIENTED_EDGE('',*,*,#181385,.F.); #233790=ORIENTED_EDGE('',*,*,#181388,.F.); #233791=ORIENTED_EDGE('',*,*,#181391,.F.); #233792=ORIENTED_EDGE('',*,*,#181394,.F.); #233793=ORIENTED_EDGE('',*,*,#181397,.F.); #233794=ORIENTED_EDGE('',*,*,#181400,.F.); #233795=ORIENTED_EDGE('',*,*,#181403,.F.); #233796=ORIENTED_EDGE('',*,*,#181406,.F.); #233797=ORIENTED_EDGE('',*,*,#181409,.F.); #233798=ORIENTED_EDGE('',*,*,#181412,.F.); #233799=ORIENTED_EDGE('',*,*,#181084,.T.); #233800=ORIENTED_EDGE('',*,*,#181087,.T.); #233801=ORIENTED_EDGE('',*,*,#181090,.T.); #233802=ORIENTED_EDGE('',*,*,#181093,.T.); #233803=ORIENTED_EDGE('',*,*,#181096,.T.); #233804=ORIENTED_EDGE('',*,*,#181099,.T.); #233805=ORIENTED_EDGE('',*,*,#181102,.T.); #233806=ORIENTED_EDGE('',*,*,#181105,.T.); #233807=ORIENTED_EDGE('',*,*,#181108,.T.); #233808=ORIENTED_EDGE('',*,*,#181111,.T.); #233809=ORIENTED_EDGE('',*,*,#181114,.T.); #233810=ORIENTED_EDGE('',*,*,#181117,.T.); #233811=ORIENTED_EDGE('',*,*,#181120,.T.); #233812=ORIENTED_EDGE('',*,*,#181169,.F.); #233813=ORIENTED_EDGE('',*,*,#181123,.F.); #233814=ORIENTED_EDGE('',*,*,#181127,.F.); #233815=ORIENTED_EDGE('',*,*,#181130,.F.); #233816=ORIENTED_EDGE('',*,*,#181133,.F.); #233817=ORIENTED_EDGE('',*,*,#181136,.F.); #233818=ORIENTED_EDGE('',*,*,#181139,.F.); #233819=ORIENTED_EDGE('',*,*,#181142,.F.); #233820=ORIENTED_EDGE('',*,*,#181145,.F.); #233821=ORIENTED_EDGE('',*,*,#181148,.F.); #233822=ORIENTED_EDGE('',*,*,#181151,.F.); #233823=ORIENTED_EDGE('',*,*,#181154,.F.); #233824=ORIENTED_EDGE('',*,*,#181157,.F.); #233825=ORIENTED_EDGE('',*,*,#181160,.F.); #233826=ORIENTED_EDGE('',*,*,#181163,.F.); #233827=ORIENTED_EDGE('',*,*,#181166,.F.); #233828=ORIENTED_EDGE('',*,*,#181417,.F.); #233829=ORIENTED_EDGE('',*,*,#181418,.T.); #233830=ORIENTED_EDGE('',*,*,#181419,.F.); #233831=ORIENTED_EDGE('',*,*,#181418,.F.); #233832=ORIENTED_EDGE('',*,*,#181420,.T.); #233833=ORIENTED_EDGE('',*,*,#181421,.T.); #233834=ORIENTED_EDGE('',*,*,#181422,.F.); #233835=ORIENTED_EDGE('',*,*,#181423,.F.); #233836=ORIENTED_EDGE('',*,*,#181424,.T.); #233837=ORIENTED_EDGE('',*,*,#181423,.T.); #233838=ORIENTED_EDGE('',*,*,#181425,.F.); #233839=ORIENTED_EDGE('',*,*,#181426,.F.); #233840=ORIENTED_EDGE('',*,*,#181427,.T.); #233841=ORIENTED_EDGE('',*,*,#181426,.T.); #233842=ORIENTED_EDGE('',*,*,#181428,.F.); #233843=ORIENTED_EDGE('',*,*,#181421,.F.); #233844=ORIENTED_EDGE('',*,*,#181428,.T.); #233845=ORIENTED_EDGE('',*,*,#181425,.T.); #233846=ORIENTED_EDGE('',*,*,#181422,.T.); #233847=ORIENTED_EDGE('',*,*,#181419,.T.); #233848=ORIENTED_EDGE('',*,*,#181427,.F.); #233849=ORIENTED_EDGE('',*,*,#181420,.F.); #233850=ORIENTED_EDGE('',*,*,#181424,.F.); #233851=ORIENTED_EDGE('',*,*,#181417,.T.); #233852=ORIENTED_EDGE('',*,*,#181429,.F.); #233853=ORIENTED_EDGE('',*,*,#181430,.T.); #233854=ORIENTED_EDGE('',*,*,#181431,.F.); #233855=ORIENTED_EDGE('',*,*,#181430,.F.); #233856=ORIENTED_EDGE('',*,*,#181432,.F.); #233857=ORIENTED_EDGE('',*,*,#181433,.T.); #233858=ORIENTED_EDGE('',*,*,#181434,.F.); #233859=ORIENTED_EDGE('',*,*,#181433,.F.); #233860=ORIENTED_EDGE('',*,*,#181435,.F.); #233861=ORIENTED_EDGE('',*,*,#181436,.T.); #233862=ORIENTED_EDGE('',*,*,#181437,.F.); #233863=ORIENTED_EDGE('',*,*,#181436,.F.); #233864=ORIENTED_EDGE('',*,*,#181438,.F.); #233865=ORIENTED_EDGE('',*,*,#181439,.T.); #233866=ORIENTED_EDGE('',*,*,#181440,.F.); #233867=ORIENTED_EDGE('',*,*,#181439,.F.); #233868=ORIENTED_EDGE('',*,*,#181441,.F.); #233869=ORIENTED_EDGE('',*,*,#181442,.T.); #233870=ORIENTED_EDGE('',*,*,#181443,.F.); #233871=ORIENTED_EDGE('',*,*,#181442,.F.); #233872=ORIENTED_EDGE('',*,*,#181444,.F.); #233873=ORIENTED_EDGE('',*,*,#181445,.T.); #233874=ORIENTED_EDGE('',*,*,#181446,.F.); #233875=ORIENTED_EDGE('',*,*,#181445,.F.); #233876=ORIENTED_EDGE('',*,*,#181447,.F.); #233877=ORIENTED_EDGE('',*,*,#181448,.T.); #233878=ORIENTED_EDGE('',*,*,#181449,.F.); #233879=ORIENTED_EDGE('',*,*,#181448,.F.); #233880=ORIENTED_EDGE('',*,*,#181450,.F.); #233881=ORIENTED_EDGE('',*,*,#181451,.T.); #233882=ORIENTED_EDGE('',*,*,#181452,.F.); #233883=ORIENTED_EDGE('',*,*,#181451,.F.); #233884=ORIENTED_EDGE('',*,*,#181453,.F.); #233885=ORIENTED_EDGE('',*,*,#181454,.T.); #233886=ORIENTED_EDGE('',*,*,#181455,.F.); #233887=ORIENTED_EDGE('',*,*,#181454,.F.); #233888=ORIENTED_EDGE('',*,*,#181456,.F.); #233889=ORIENTED_EDGE('',*,*,#181457,.T.); #233890=ORIENTED_EDGE('',*,*,#181458,.F.); #233891=ORIENTED_EDGE('',*,*,#181457,.F.); #233892=ORIENTED_EDGE('',*,*,#181459,.F.); #233893=ORIENTED_EDGE('',*,*,#181460,.T.); #233894=ORIENTED_EDGE('',*,*,#181461,.F.); #233895=ORIENTED_EDGE('',*,*,#181460,.F.); #233896=ORIENTED_EDGE('',*,*,#181462,.T.); #233897=ORIENTED_EDGE('',*,*,#181463,.T.); #233898=ORIENTED_EDGE('',*,*,#181464,.F.); #233899=ORIENTED_EDGE('',*,*,#181465,.F.); #233900=ORIENTED_EDGE('',*,*,#181466,.T.); #233901=ORIENTED_EDGE('',*,*,#181465,.T.); #233902=ORIENTED_EDGE('',*,*,#181467,.F.); #233903=ORIENTED_EDGE('',*,*,#181468,.F.); #233904=ORIENTED_EDGE('',*,*,#181469,.T.); #233905=ORIENTED_EDGE('',*,*,#181468,.T.); #233906=ORIENTED_EDGE('',*,*,#181470,.F.); #233907=ORIENTED_EDGE('',*,*,#181471,.F.); #233908=ORIENTED_EDGE('',*,*,#181472,.T.); #233909=ORIENTED_EDGE('',*,*,#181471,.T.); #233910=ORIENTED_EDGE('',*,*,#181473,.F.); #233911=ORIENTED_EDGE('',*,*,#181474,.F.); #233912=ORIENTED_EDGE('',*,*,#181475,.T.); #233913=ORIENTED_EDGE('',*,*,#181474,.T.); #233914=ORIENTED_EDGE('',*,*,#181476,.F.); #233915=ORIENTED_EDGE('',*,*,#181477,.F.); #233916=ORIENTED_EDGE('',*,*,#181478,.T.); #233917=ORIENTED_EDGE('',*,*,#181477,.T.); #233918=ORIENTED_EDGE('',*,*,#181479,.F.); #233919=ORIENTED_EDGE('',*,*,#181480,.F.); #233920=ORIENTED_EDGE('',*,*,#181481,.T.); #233921=ORIENTED_EDGE('',*,*,#181480,.T.); #233922=ORIENTED_EDGE('',*,*,#181482,.F.); #233923=ORIENTED_EDGE('',*,*,#181483,.F.); #233924=ORIENTED_EDGE('',*,*,#181484,.T.); #233925=ORIENTED_EDGE('',*,*,#181483,.T.); #233926=ORIENTED_EDGE('',*,*,#181485,.F.); #233927=ORIENTED_EDGE('',*,*,#181486,.F.); #233928=ORIENTED_EDGE('',*,*,#181487,.T.); #233929=ORIENTED_EDGE('',*,*,#181486,.T.); #233930=ORIENTED_EDGE('',*,*,#181488,.F.); #233931=ORIENTED_EDGE('',*,*,#181489,.F.); #233932=ORIENTED_EDGE('',*,*,#181490,.T.); #233933=ORIENTED_EDGE('',*,*,#181489,.T.); #233934=ORIENTED_EDGE('',*,*,#181491,.F.); #233935=ORIENTED_EDGE('',*,*,#181492,.F.); #233936=ORIENTED_EDGE('',*,*,#181493,.T.); #233937=ORIENTED_EDGE('',*,*,#181492,.T.); #233938=ORIENTED_EDGE('',*,*,#181494,.F.); #233939=ORIENTED_EDGE('',*,*,#181495,.F.); #233940=ORIENTED_EDGE('',*,*,#181496,.T.); #233941=ORIENTED_EDGE('',*,*,#181495,.T.); #233942=ORIENTED_EDGE('',*,*,#181497,.F.); #233943=ORIENTED_EDGE('',*,*,#181498,.F.); #233944=ORIENTED_EDGE('',*,*,#181499,.T.); #233945=ORIENTED_EDGE('',*,*,#181498,.T.); #233946=ORIENTED_EDGE('',*,*,#181500,.F.); #233947=ORIENTED_EDGE('',*,*,#181501,.F.); #233948=ORIENTED_EDGE('',*,*,#181502,.T.); #233949=ORIENTED_EDGE('',*,*,#181501,.T.); #233950=ORIENTED_EDGE('',*,*,#181503,.F.); #233951=ORIENTED_EDGE('',*,*,#181504,.F.); #233952=ORIENTED_EDGE('',*,*,#181505,.T.); #233953=ORIENTED_EDGE('',*,*,#181504,.T.); #233954=ORIENTED_EDGE('',*,*,#181506,.F.); #233955=ORIENTED_EDGE('',*,*,#181507,.F.); #233956=ORIENTED_EDGE('',*,*,#181508,.T.); #233957=ORIENTED_EDGE('',*,*,#181507,.T.); #233958=ORIENTED_EDGE('',*,*,#181509,.F.); #233959=ORIENTED_EDGE('',*,*,#181510,.F.); #233960=ORIENTED_EDGE('',*,*,#181511,.T.); #233961=ORIENTED_EDGE('',*,*,#181510,.T.); #233962=ORIENTED_EDGE('',*,*,#181512,.F.); #233963=ORIENTED_EDGE('',*,*,#181513,.F.); #233964=ORIENTED_EDGE('',*,*,#181514,.T.); #233965=ORIENTED_EDGE('',*,*,#181513,.T.); #233966=ORIENTED_EDGE('',*,*,#181515,.F.); #233967=ORIENTED_EDGE('',*,*,#181516,.F.); #233968=ORIENTED_EDGE('',*,*,#181517,.T.); #233969=ORIENTED_EDGE('',*,*,#181516,.T.); #233970=ORIENTED_EDGE('',*,*,#181518,.F.); #233971=ORIENTED_EDGE('',*,*,#181519,.F.); #233972=ORIENTED_EDGE('',*,*,#181520,.T.); #233973=ORIENTED_EDGE('',*,*,#181519,.T.); #233974=ORIENTED_EDGE('',*,*,#181521,.F.); #233975=ORIENTED_EDGE('',*,*,#181522,.F.); #233976=ORIENTED_EDGE('',*,*,#181523,.T.); #233977=ORIENTED_EDGE('',*,*,#181522,.T.); #233978=ORIENTED_EDGE('',*,*,#181524,.F.); #233979=ORIENTED_EDGE('',*,*,#181525,.F.); #233980=ORIENTED_EDGE('',*,*,#181526,.T.); #233981=ORIENTED_EDGE('',*,*,#181525,.T.); #233982=ORIENTED_EDGE('',*,*,#181527,.F.); #233983=ORIENTED_EDGE('',*,*,#181528,.F.); #233984=ORIENTED_EDGE('',*,*,#181529,.T.); #233985=ORIENTED_EDGE('',*,*,#181528,.T.); #233986=ORIENTED_EDGE('',*,*,#181530,.F.); #233987=ORIENTED_EDGE('',*,*,#181531,.F.); #233988=ORIENTED_EDGE('',*,*,#181532,.T.); #233989=ORIENTED_EDGE('',*,*,#181531,.T.); #233990=ORIENTED_EDGE('',*,*,#181533,.F.); #233991=ORIENTED_EDGE('',*,*,#181534,.F.); #233992=ORIENTED_EDGE('',*,*,#181535,.T.); #233993=ORIENTED_EDGE('',*,*,#181534,.T.); #233994=ORIENTED_EDGE('',*,*,#181536,.F.); #233995=ORIENTED_EDGE('',*,*,#181537,.F.); #233996=ORIENTED_EDGE('',*,*,#181538,.T.); #233997=ORIENTED_EDGE('',*,*,#181537,.T.); #233998=ORIENTED_EDGE('',*,*,#181539,.F.); #233999=ORIENTED_EDGE('',*,*,#181540,.F.); #234000=ORIENTED_EDGE('',*,*,#181541,.T.); #234001=ORIENTED_EDGE('',*,*,#181540,.T.); #234002=ORIENTED_EDGE('',*,*,#181542,.F.); #234003=ORIENTED_EDGE('',*,*,#181543,.F.); #234004=ORIENTED_EDGE('',*,*,#181544,.T.); #234005=ORIENTED_EDGE('',*,*,#181543,.T.); #234006=ORIENTED_EDGE('',*,*,#181545,.F.); #234007=ORIENTED_EDGE('',*,*,#181546,.F.); #234008=ORIENTED_EDGE('',*,*,#181547,.T.); #234009=ORIENTED_EDGE('',*,*,#181546,.T.); #234010=ORIENTED_EDGE('',*,*,#181548,.F.); #234011=ORIENTED_EDGE('',*,*,#181549,.F.); #234012=ORIENTED_EDGE('',*,*,#181550,.T.); #234013=ORIENTED_EDGE('',*,*,#181549,.T.); #234014=ORIENTED_EDGE('',*,*,#181551,.F.); #234015=ORIENTED_EDGE('',*,*,#181552,.F.); #234016=ORIENTED_EDGE('',*,*,#181553,.T.); #234017=ORIENTED_EDGE('',*,*,#181552,.T.); #234018=ORIENTED_EDGE('',*,*,#181554,.F.); #234019=ORIENTED_EDGE('',*,*,#181555,.F.); #234020=ORIENTED_EDGE('',*,*,#181556,.T.); #234021=ORIENTED_EDGE('',*,*,#181555,.T.); #234022=ORIENTED_EDGE('',*,*,#181557,.F.); #234023=ORIENTED_EDGE('',*,*,#181558,.F.); #234024=ORIENTED_EDGE('',*,*,#181559,.T.); #234025=ORIENTED_EDGE('',*,*,#181558,.T.); #234026=ORIENTED_EDGE('',*,*,#181560,.F.); #234027=ORIENTED_EDGE('',*,*,#181561,.F.); #234028=ORIENTED_EDGE('',*,*,#181562,.T.); #234029=ORIENTED_EDGE('',*,*,#181561,.T.); #234030=ORIENTED_EDGE('',*,*,#181563,.F.); #234031=ORIENTED_EDGE('',*,*,#181564,.F.); #234032=ORIENTED_EDGE('',*,*,#181565,.T.); #234033=ORIENTED_EDGE('',*,*,#181564,.T.); #234034=ORIENTED_EDGE('',*,*,#181566,.F.); #234035=ORIENTED_EDGE('',*,*,#181567,.F.); #234036=ORIENTED_EDGE('',*,*,#181568,.T.); #234037=ORIENTED_EDGE('',*,*,#181567,.T.); #234038=ORIENTED_EDGE('',*,*,#181569,.F.); #234039=ORIENTED_EDGE('',*,*,#181570,.F.); #234040=ORIENTED_EDGE('',*,*,#181571,.T.); #234041=ORIENTED_EDGE('',*,*,#181570,.T.); #234042=ORIENTED_EDGE('',*,*,#181572,.F.); #234043=ORIENTED_EDGE('',*,*,#181573,.F.); #234044=ORIENTED_EDGE('',*,*,#181574,.T.); #234045=ORIENTED_EDGE('',*,*,#181573,.T.); #234046=ORIENTED_EDGE('',*,*,#181575,.F.); #234047=ORIENTED_EDGE('',*,*,#181576,.F.); #234048=ORIENTED_EDGE('',*,*,#181577,.T.); #234049=ORIENTED_EDGE('',*,*,#181576,.T.); #234050=ORIENTED_EDGE('',*,*,#181578,.F.); #234051=ORIENTED_EDGE('',*,*,#181579,.F.); #234052=ORIENTED_EDGE('',*,*,#181580,.T.); #234053=ORIENTED_EDGE('',*,*,#181579,.T.); #234054=ORIENTED_EDGE('',*,*,#181581,.F.); #234055=ORIENTED_EDGE('',*,*,#181582,.F.); #234056=ORIENTED_EDGE('',*,*,#181583,.T.); #234057=ORIENTED_EDGE('',*,*,#181582,.T.); #234058=ORIENTED_EDGE('',*,*,#181584,.F.); #234059=ORIENTED_EDGE('',*,*,#181585,.F.); #234060=ORIENTED_EDGE('',*,*,#181586,.T.); #234061=ORIENTED_EDGE('',*,*,#181585,.T.); #234062=ORIENTED_EDGE('',*,*,#181587,.F.); #234063=ORIENTED_EDGE('',*,*,#181588,.F.); #234064=ORIENTED_EDGE('',*,*,#181589,.T.); #234065=ORIENTED_EDGE('',*,*,#181588,.T.); #234066=ORIENTED_EDGE('',*,*,#181590,.F.); #234067=ORIENTED_EDGE('',*,*,#181591,.F.); #234068=ORIENTED_EDGE('',*,*,#181592,.T.); #234069=ORIENTED_EDGE('',*,*,#181591,.T.); #234070=ORIENTED_EDGE('',*,*,#181593,.F.); #234071=ORIENTED_EDGE('',*,*,#181594,.F.); #234072=ORIENTED_EDGE('',*,*,#181595,.T.); #234073=ORIENTED_EDGE('',*,*,#181594,.T.); #234074=ORIENTED_EDGE('',*,*,#181596,.F.); #234075=ORIENTED_EDGE('',*,*,#181597,.F.); #234076=ORIENTED_EDGE('',*,*,#181598,.T.); #234077=ORIENTED_EDGE('',*,*,#181597,.T.); #234078=ORIENTED_EDGE('',*,*,#181599,.F.); #234079=ORIENTED_EDGE('',*,*,#181600,.F.); #234080=ORIENTED_EDGE('',*,*,#181601,.T.); #234081=ORIENTED_EDGE('',*,*,#181600,.T.); #234082=ORIENTED_EDGE('',*,*,#181602,.F.); #234083=ORIENTED_EDGE('',*,*,#181603,.F.); #234084=ORIENTED_EDGE('',*,*,#181604,.T.); #234085=ORIENTED_EDGE('',*,*,#181603,.T.); #234086=ORIENTED_EDGE('',*,*,#181605,.F.); #234087=ORIENTED_EDGE('',*,*,#181606,.F.); #234088=ORIENTED_EDGE('',*,*,#181607,.T.); #234089=ORIENTED_EDGE('',*,*,#181606,.T.); #234090=ORIENTED_EDGE('',*,*,#181608,.F.); #234091=ORIENTED_EDGE('',*,*,#181609,.F.); #234092=ORIENTED_EDGE('',*,*,#181610,.T.); #234093=ORIENTED_EDGE('',*,*,#181609,.T.); #234094=ORIENTED_EDGE('',*,*,#181611,.F.); #234095=ORIENTED_EDGE('',*,*,#181612,.F.); #234096=ORIENTED_EDGE('',*,*,#181613,.T.); #234097=ORIENTED_EDGE('',*,*,#181612,.T.); #234098=ORIENTED_EDGE('',*,*,#181614,.F.); #234099=ORIENTED_EDGE('',*,*,#181615,.F.); #234100=ORIENTED_EDGE('',*,*,#181616,.T.); #234101=ORIENTED_EDGE('',*,*,#181615,.T.); #234102=ORIENTED_EDGE('',*,*,#181617,.F.); #234103=ORIENTED_EDGE('',*,*,#181618,.F.); #234104=ORIENTED_EDGE('',*,*,#181619,.T.); #234105=ORIENTED_EDGE('',*,*,#181618,.T.); #234106=ORIENTED_EDGE('',*,*,#181620,.F.); #234107=ORIENTED_EDGE('',*,*,#181621,.F.); #234108=ORIENTED_EDGE('',*,*,#181622,.T.); #234109=ORIENTED_EDGE('',*,*,#181621,.T.); #234110=ORIENTED_EDGE('',*,*,#181623,.F.); #234111=ORIENTED_EDGE('',*,*,#181624,.F.); #234112=ORIENTED_EDGE('',*,*,#181625,.T.); #234113=ORIENTED_EDGE('',*,*,#181624,.T.); #234114=ORIENTED_EDGE('',*,*,#181626,.F.); #234115=ORIENTED_EDGE('',*,*,#181627,.F.); #234116=ORIENTED_EDGE('',*,*,#181628,.T.); #234117=ORIENTED_EDGE('',*,*,#181627,.T.); #234118=ORIENTED_EDGE('',*,*,#181629,.F.); #234119=ORIENTED_EDGE('',*,*,#181630,.F.); #234120=ORIENTED_EDGE('',*,*,#181631,.T.); #234121=ORIENTED_EDGE('',*,*,#181630,.T.); #234122=ORIENTED_EDGE('',*,*,#181632,.F.); #234123=ORIENTED_EDGE('',*,*,#181633,.F.); #234124=ORIENTED_EDGE('',*,*,#181634,.T.); #234125=ORIENTED_EDGE('',*,*,#181633,.T.); #234126=ORIENTED_EDGE('',*,*,#181635,.F.); #234127=ORIENTED_EDGE('',*,*,#181636,.F.); #234128=ORIENTED_EDGE('',*,*,#181637,.T.); #234129=ORIENTED_EDGE('',*,*,#181636,.T.); #234130=ORIENTED_EDGE('',*,*,#181638,.F.); #234131=ORIENTED_EDGE('',*,*,#181639,.F.); #234132=ORIENTED_EDGE('',*,*,#181640,.T.); #234133=ORIENTED_EDGE('',*,*,#181639,.T.); #234134=ORIENTED_EDGE('',*,*,#181641,.F.); #234135=ORIENTED_EDGE('',*,*,#181642,.F.); #234136=ORIENTED_EDGE('',*,*,#181643,.T.); #234137=ORIENTED_EDGE('',*,*,#181642,.T.); #234138=ORIENTED_EDGE('',*,*,#181644,.F.); #234139=ORIENTED_EDGE('',*,*,#181645,.F.); #234140=ORIENTED_EDGE('',*,*,#181646,.T.); #234141=ORIENTED_EDGE('',*,*,#181645,.T.); #234142=ORIENTED_EDGE('',*,*,#181647,.F.); #234143=ORIENTED_EDGE('',*,*,#181648,.F.); #234144=ORIENTED_EDGE('',*,*,#181649,.T.); #234145=ORIENTED_EDGE('',*,*,#181648,.T.); #234146=ORIENTED_EDGE('',*,*,#181650,.F.); #234147=ORIENTED_EDGE('',*,*,#181651,.F.); #234148=ORIENTED_EDGE('',*,*,#181652,.T.); #234149=ORIENTED_EDGE('',*,*,#181651,.T.); #234150=ORIENTED_EDGE('',*,*,#181653,.F.); #234151=ORIENTED_EDGE('',*,*,#181654,.F.); #234152=ORIENTED_EDGE('',*,*,#181655,.T.); #234153=ORIENTED_EDGE('',*,*,#181654,.T.); #234154=ORIENTED_EDGE('',*,*,#181656,.F.); #234155=ORIENTED_EDGE('',*,*,#181657,.F.); #234156=ORIENTED_EDGE('',*,*,#181658,.T.); #234157=ORIENTED_EDGE('',*,*,#181657,.T.); #234158=ORIENTED_EDGE('',*,*,#181659,.F.); #234159=ORIENTED_EDGE('',*,*,#181660,.F.); #234160=ORIENTED_EDGE('',*,*,#181661,.T.); #234161=ORIENTED_EDGE('',*,*,#181660,.T.); #234162=ORIENTED_EDGE('',*,*,#181662,.F.); #234163=ORIENTED_EDGE('',*,*,#181663,.F.); #234164=ORIENTED_EDGE('',*,*,#181664,.T.); #234165=ORIENTED_EDGE('',*,*,#181663,.T.); #234166=ORIENTED_EDGE('',*,*,#181665,.F.); #234167=ORIENTED_EDGE('',*,*,#181666,.F.); #234168=ORIENTED_EDGE('',*,*,#181667,.T.); #234169=ORIENTED_EDGE('',*,*,#181666,.T.); #234170=ORIENTED_EDGE('',*,*,#181668,.F.); #234171=ORIENTED_EDGE('',*,*,#181669,.F.); #234172=ORIENTED_EDGE('',*,*,#181670,.T.); #234173=ORIENTED_EDGE('',*,*,#181669,.T.); #234174=ORIENTED_EDGE('',*,*,#181671,.F.); #234175=ORIENTED_EDGE('',*,*,#181672,.F.); #234176=ORIENTED_EDGE('',*,*,#181673,.T.); #234177=ORIENTED_EDGE('',*,*,#181672,.T.); #234178=ORIENTED_EDGE('',*,*,#181674,.F.); #234179=ORIENTED_EDGE('',*,*,#181675,.F.); #234180=ORIENTED_EDGE('',*,*,#181676,.T.); #234181=ORIENTED_EDGE('',*,*,#181675,.T.); #234182=ORIENTED_EDGE('',*,*,#181677,.F.); #234183=ORIENTED_EDGE('',*,*,#181678,.F.); #234184=ORIENTED_EDGE('',*,*,#181679,.T.); #234185=ORIENTED_EDGE('',*,*,#181678,.T.); #234186=ORIENTED_EDGE('',*,*,#181680,.F.); #234187=ORIENTED_EDGE('',*,*,#181681,.F.); #234188=ORIENTED_EDGE('',*,*,#181682,.T.); #234189=ORIENTED_EDGE('',*,*,#181681,.T.); #234190=ORIENTED_EDGE('',*,*,#181683,.F.); #234191=ORIENTED_EDGE('',*,*,#181684,.F.); #234192=ORIENTED_EDGE('',*,*,#181685,.T.); #234193=ORIENTED_EDGE('',*,*,#181684,.T.); #234194=ORIENTED_EDGE('',*,*,#181686,.F.); #234195=ORIENTED_EDGE('',*,*,#181687,.F.); #234196=ORIENTED_EDGE('',*,*,#181688,.T.); #234197=ORIENTED_EDGE('',*,*,#181687,.T.); #234198=ORIENTED_EDGE('',*,*,#181689,.F.); #234199=ORIENTED_EDGE('',*,*,#181690,.F.); #234200=ORIENTED_EDGE('',*,*,#181691,.T.); #234201=ORIENTED_EDGE('',*,*,#181690,.T.); #234202=ORIENTED_EDGE('',*,*,#181692,.F.); #234203=ORIENTED_EDGE('',*,*,#181693,.F.); #234204=ORIENTED_EDGE('',*,*,#181694,.T.); #234205=ORIENTED_EDGE('',*,*,#181693,.T.); #234206=ORIENTED_EDGE('',*,*,#181695,.F.); #234207=ORIENTED_EDGE('',*,*,#181696,.F.); #234208=ORIENTED_EDGE('',*,*,#181697,.T.); #234209=ORIENTED_EDGE('',*,*,#181696,.T.); #234210=ORIENTED_EDGE('',*,*,#181698,.F.); #234211=ORIENTED_EDGE('',*,*,#181699,.F.); #234212=ORIENTED_EDGE('',*,*,#181700,.T.); #234213=ORIENTED_EDGE('',*,*,#181699,.T.); #234214=ORIENTED_EDGE('',*,*,#181701,.F.); #234215=ORIENTED_EDGE('',*,*,#181702,.F.); #234216=ORIENTED_EDGE('',*,*,#181703,.T.); #234217=ORIENTED_EDGE('',*,*,#181702,.T.); #234218=ORIENTED_EDGE('',*,*,#181704,.F.); #234219=ORIENTED_EDGE('',*,*,#181705,.F.); #234220=ORIENTED_EDGE('',*,*,#181706,.T.); #234221=ORIENTED_EDGE('',*,*,#181705,.T.); #234222=ORIENTED_EDGE('',*,*,#181707,.F.); #234223=ORIENTED_EDGE('',*,*,#181708,.F.); #234224=ORIENTED_EDGE('',*,*,#181709,.T.); #234225=ORIENTED_EDGE('',*,*,#181708,.T.); #234226=ORIENTED_EDGE('',*,*,#181710,.F.); #234227=ORIENTED_EDGE('',*,*,#181711,.F.); #234228=ORIENTED_EDGE('',*,*,#181712,.T.); #234229=ORIENTED_EDGE('',*,*,#181711,.T.); #234230=ORIENTED_EDGE('',*,*,#181713,.F.); #234231=ORIENTED_EDGE('',*,*,#181714,.F.); #234232=ORIENTED_EDGE('',*,*,#181715,.T.); #234233=ORIENTED_EDGE('',*,*,#181714,.T.); #234234=ORIENTED_EDGE('',*,*,#181716,.F.); #234235=ORIENTED_EDGE('',*,*,#181717,.F.); #234236=ORIENTED_EDGE('',*,*,#181718,.T.); #234237=ORIENTED_EDGE('',*,*,#181717,.T.); #234238=ORIENTED_EDGE('',*,*,#181719,.F.); #234239=ORIENTED_EDGE('',*,*,#181720,.F.); #234240=ORIENTED_EDGE('',*,*,#181721,.T.); #234241=ORIENTED_EDGE('',*,*,#181720,.T.); #234242=ORIENTED_EDGE('',*,*,#181722,.F.); #234243=ORIENTED_EDGE('',*,*,#181723,.F.); #234244=ORIENTED_EDGE('',*,*,#181724,.T.); #234245=ORIENTED_EDGE('',*,*,#181723,.T.); #234246=ORIENTED_EDGE('',*,*,#181725,.F.); #234247=ORIENTED_EDGE('',*,*,#181726,.F.); #234248=ORIENTED_EDGE('',*,*,#181727,.T.); #234249=ORIENTED_EDGE('',*,*,#181726,.T.); #234250=ORIENTED_EDGE('',*,*,#181728,.F.); #234251=ORIENTED_EDGE('',*,*,#181729,.F.); #234252=ORIENTED_EDGE('',*,*,#181730,.T.); #234253=ORIENTED_EDGE('',*,*,#181729,.T.); #234254=ORIENTED_EDGE('',*,*,#181731,.F.); #234255=ORIENTED_EDGE('',*,*,#181732,.F.); #234256=ORIENTED_EDGE('',*,*,#181733,.T.); #234257=ORIENTED_EDGE('',*,*,#181732,.T.); #234258=ORIENTED_EDGE('',*,*,#181734,.F.); #234259=ORIENTED_EDGE('',*,*,#181735,.F.); #234260=ORIENTED_EDGE('',*,*,#181736,.T.); #234261=ORIENTED_EDGE('',*,*,#181735,.T.); #234262=ORIENTED_EDGE('',*,*,#181737,.F.); #234263=ORIENTED_EDGE('',*,*,#181738,.F.); #234264=ORIENTED_EDGE('',*,*,#181739,.T.); #234265=ORIENTED_EDGE('',*,*,#181738,.T.); #234266=ORIENTED_EDGE('',*,*,#181740,.F.); #234267=ORIENTED_EDGE('',*,*,#181741,.F.); #234268=ORIENTED_EDGE('',*,*,#181742,.T.); #234269=ORIENTED_EDGE('',*,*,#181741,.T.); #234270=ORIENTED_EDGE('',*,*,#181743,.F.); #234271=ORIENTED_EDGE('',*,*,#181744,.F.); #234272=ORIENTED_EDGE('',*,*,#181745,.T.); #234273=ORIENTED_EDGE('',*,*,#181744,.T.); #234274=ORIENTED_EDGE('',*,*,#181746,.F.); #234275=ORIENTED_EDGE('',*,*,#181747,.F.); #234276=ORIENTED_EDGE('',*,*,#181748,.T.); #234277=ORIENTED_EDGE('',*,*,#181747,.T.); #234278=ORIENTED_EDGE('',*,*,#181749,.F.); #234279=ORIENTED_EDGE('',*,*,#181750,.F.); #234280=ORIENTED_EDGE('',*,*,#181751,.T.); #234281=ORIENTED_EDGE('',*,*,#181750,.T.); #234282=ORIENTED_EDGE('',*,*,#181752,.F.); #234283=ORIENTED_EDGE('',*,*,#181753,.F.); #234284=ORIENTED_EDGE('',*,*,#181754,.T.); #234285=ORIENTED_EDGE('',*,*,#181753,.T.); #234286=ORIENTED_EDGE('',*,*,#181755,.F.); #234287=ORIENTED_EDGE('',*,*,#181756,.F.); #234288=ORIENTED_EDGE('',*,*,#181757,.T.); #234289=ORIENTED_EDGE('',*,*,#181756,.T.); #234290=ORIENTED_EDGE('',*,*,#181758,.F.); #234291=ORIENTED_EDGE('',*,*,#181759,.F.); #234292=ORIENTED_EDGE('',*,*,#181760,.T.); #234293=ORIENTED_EDGE('',*,*,#181759,.T.); #234294=ORIENTED_EDGE('',*,*,#181761,.F.); #234295=ORIENTED_EDGE('',*,*,#181762,.F.); #234296=ORIENTED_EDGE('',*,*,#181763,.T.); #234297=ORIENTED_EDGE('',*,*,#181762,.T.); #234298=ORIENTED_EDGE('',*,*,#181764,.F.); #234299=ORIENTED_EDGE('',*,*,#181765,.F.); #234300=ORIENTED_EDGE('',*,*,#181766,.T.); #234301=ORIENTED_EDGE('',*,*,#181765,.T.); #234302=ORIENTED_EDGE('',*,*,#181767,.F.); #234303=ORIENTED_EDGE('',*,*,#181768,.F.); #234304=ORIENTED_EDGE('',*,*,#181769,.T.); #234305=ORIENTED_EDGE('',*,*,#181768,.T.); #234306=ORIENTED_EDGE('',*,*,#181770,.F.); #234307=ORIENTED_EDGE('',*,*,#181771,.F.); #234308=ORIENTED_EDGE('',*,*,#181772,.T.); #234309=ORIENTED_EDGE('',*,*,#181771,.T.); #234310=ORIENTED_EDGE('',*,*,#181773,.F.); #234311=ORIENTED_EDGE('',*,*,#181774,.F.); #234312=ORIENTED_EDGE('',*,*,#181775,.T.); #234313=ORIENTED_EDGE('',*,*,#181774,.T.); #234314=ORIENTED_EDGE('',*,*,#181776,.F.); #234315=ORIENTED_EDGE('',*,*,#181777,.F.); #234316=ORIENTED_EDGE('',*,*,#181778,.T.); #234317=ORIENTED_EDGE('',*,*,#181777,.T.); #234318=ORIENTED_EDGE('',*,*,#181779,.F.); #234319=ORIENTED_EDGE('',*,*,#181780,.F.); #234320=ORIENTED_EDGE('',*,*,#181781,.T.); #234321=ORIENTED_EDGE('',*,*,#181780,.T.); #234322=ORIENTED_EDGE('',*,*,#181782,.F.); #234323=ORIENTED_EDGE('',*,*,#181783,.F.); #234324=ORIENTED_EDGE('',*,*,#181784,.T.); #234325=ORIENTED_EDGE('',*,*,#181783,.T.); #234326=ORIENTED_EDGE('',*,*,#181785,.F.); #234327=ORIENTED_EDGE('',*,*,#181786,.F.); #234328=ORIENTED_EDGE('',*,*,#181787,.T.); #234329=ORIENTED_EDGE('',*,*,#181786,.T.); #234330=ORIENTED_EDGE('',*,*,#181788,.F.); #234331=ORIENTED_EDGE('',*,*,#181789,.F.); #234332=ORIENTED_EDGE('',*,*,#181790,.T.); #234333=ORIENTED_EDGE('',*,*,#181789,.T.); #234334=ORIENTED_EDGE('',*,*,#181791,.F.); #234335=ORIENTED_EDGE('',*,*,#181792,.F.); #234336=ORIENTED_EDGE('',*,*,#181793,.T.); #234337=ORIENTED_EDGE('',*,*,#181792,.T.); #234338=ORIENTED_EDGE('',*,*,#181794,.F.); #234339=ORIENTED_EDGE('',*,*,#181795,.F.); #234340=ORIENTED_EDGE('',*,*,#181796,.T.); #234341=ORIENTED_EDGE('',*,*,#181795,.T.); #234342=ORIENTED_EDGE('',*,*,#181797,.F.); #234343=ORIENTED_EDGE('',*,*,#181798,.F.); #234344=ORIENTED_EDGE('',*,*,#181799,.T.); #234345=ORIENTED_EDGE('',*,*,#181798,.T.); #234346=ORIENTED_EDGE('',*,*,#181800,.F.); #234347=ORIENTED_EDGE('',*,*,#181801,.F.); #234348=ORIENTED_EDGE('',*,*,#181802,.T.); #234349=ORIENTED_EDGE('',*,*,#181801,.T.); #234350=ORIENTED_EDGE('',*,*,#181803,.F.); #234351=ORIENTED_EDGE('',*,*,#181804,.F.); #234352=ORIENTED_EDGE('',*,*,#181805,.T.); #234353=ORIENTED_EDGE('',*,*,#181804,.T.); #234354=ORIENTED_EDGE('',*,*,#181806,.F.); #234355=ORIENTED_EDGE('',*,*,#181807,.F.); #234356=ORIENTED_EDGE('',*,*,#181808,.T.); #234357=ORIENTED_EDGE('',*,*,#181807,.T.); #234358=ORIENTED_EDGE('',*,*,#181809,.F.); #234359=ORIENTED_EDGE('',*,*,#181810,.F.); #234360=ORIENTED_EDGE('',*,*,#181811,.T.); #234361=ORIENTED_EDGE('',*,*,#181810,.T.); #234362=ORIENTED_EDGE('',*,*,#181812,.F.); #234363=ORIENTED_EDGE('',*,*,#181813,.F.); #234364=ORIENTED_EDGE('',*,*,#181814,.T.); #234365=ORIENTED_EDGE('',*,*,#181813,.T.); #234366=ORIENTED_EDGE('',*,*,#181815,.F.); #234367=ORIENTED_EDGE('',*,*,#181816,.F.); #234368=ORIENTED_EDGE('',*,*,#181817,.T.); #234369=ORIENTED_EDGE('',*,*,#181816,.T.); #234370=ORIENTED_EDGE('',*,*,#181818,.F.); #234371=ORIENTED_EDGE('',*,*,#181819,.F.); #234372=ORIENTED_EDGE('',*,*,#181820,.T.); #234373=ORIENTED_EDGE('',*,*,#181819,.T.); #234374=ORIENTED_EDGE('',*,*,#181821,.F.); #234375=ORIENTED_EDGE('',*,*,#181822,.F.); #234376=ORIENTED_EDGE('',*,*,#181823,.T.); #234377=ORIENTED_EDGE('',*,*,#181822,.T.); #234378=ORIENTED_EDGE('',*,*,#181824,.F.); #234379=ORIENTED_EDGE('',*,*,#181825,.F.); #234380=ORIENTED_EDGE('',*,*,#181826,.T.); #234381=ORIENTED_EDGE('',*,*,#181825,.T.); #234382=ORIENTED_EDGE('',*,*,#181827,.F.); #234383=ORIENTED_EDGE('',*,*,#181828,.F.); #234384=ORIENTED_EDGE('',*,*,#181829,.T.); #234385=ORIENTED_EDGE('',*,*,#181828,.T.); #234386=ORIENTED_EDGE('',*,*,#181830,.F.); #234387=ORIENTED_EDGE('',*,*,#181831,.F.); #234388=ORIENTED_EDGE('',*,*,#181832,.T.); #234389=ORIENTED_EDGE('',*,*,#181831,.T.); #234390=ORIENTED_EDGE('',*,*,#181833,.F.); #234391=ORIENTED_EDGE('',*,*,#181834,.F.); #234392=ORIENTED_EDGE('',*,*,#181835,.T.); #234393=ORIENTED_EDGE('',*,*,#181834,.T.); #234394=ORIENTED_EDGE('',*,*,#181836,.F.); #234395=ORIENTED_EDGE('',*,*,#181837,.F.); #234396=ORIENTED_EDGE('',*,*,#181838,.T.); #234397=ORIENTED_EDGE('',*,*,#181837,.T.); #234398=ORIENTED_EDGE('',*,*,#181839,.F.); #234399=ORIENTED_EDGE('',*,*,#181840,.F.); #234400=ORIENTED_EDGE('',*,*,#181841,.T.); #234401=ORIENTED_EDGE('',*,*,#181840,.T.); #234402=ORIENTED_EDGE('',*,*,#181842,.F.); #234403=ORIENTED_EDGE('',*,*,#181843,.F.); #234404=ORIENTED_EDGE('',*,*,#181844,.T.); #234405=ORIENTED_EDGE('',*,*,#181843,.T.); #234406=ORIENTED_EDGE('',*,*,#181845,.F.); #234407=ORIENTED_EDGE('',*,*,#181846,.F.); #234408=ORIENTED_EDGE('',*,*,#181847,.T.); #234409=ORIENTED_EDGE('',*,*,#181846,.T.); #234410=ORIENTED_EDGE('',*,*,#181848,.F.); #234411=ORIENTED_EDGE('',*,*,#181849,.F.); #234412=ORIENTED_EDGE('',*,*,#181850,.T.); #234413=ORIENTED_EDGE('',*,*,#181849,.T.); #234414=ORIENTED_EDGE('',*,*,#181851,.F.); #234415=ORIENTED_EDGE('',*,*,#181852,.F.); #234416=ORIENTED_EDGE('',*,*,#181853,.T.); #234417=ORIENTED_EDGE('',*,*,#181852,.T.); #234418=ORIENTED_EDGE('',*,*,#181854,.F.); #234419=ORIENTED_EDGE('',*,*,#181855,.F.); #234420=ORIENTED_EDGE('',*,*,#181856,.T.); #234421=ORIENTED_EDGE('',*,*,#181855,.T.); #234422=ORIENTED_EDGE('',*,*,#181857,.F.); #234423=ORIENTED_EDGE('',*,*,#181858,.F.); #234424=ORIENTED_EDGE('',*,*,#181859,.T.); #234425=ORIENTED_EDGE('',*,*,#181858,.T.); #234426=ORIENTED_EDGE('',*,*,#181860,.F.); #234427=ORIENTED_EDGE('',*,*,#181463,.F.); #234428=ORIENTED_EDGE('',*,*,#181860,.T.); #234429=ORIENTED_EDGE('',*,*,#181857,.T.); #234430=ORIENTED_EDGE('',*,*,#181854,.T.); #234431=ORIENTED_EDGE('',*,*,#181851,.T.); #234432=ORIENTED_EDGE('',*,*,#181848,.T.); #234433=ORIENTED_EDGE('',*,*,#181845,.T.); #234434=ORIENTED_EDGE('',*,*,#181842,.T.); #234435=ORIENTED_EDGE('',*,*,#181839,.T.); #234436=ORIENTED_EDGE('',*,*,#181836,.T.); #234437=ORIENTED_EDGE('',*,*,#181833,.T.); #234438=ORIENTED_EDGE('',*,*,#181830,.T.); #234439=ORIENTED_EDGE('',*,*,#181827,.T.); #234440=ORIENTED_EDGE('',*,*,#181824,.T.); #234441=ORIENTED_EDGE('',*,*,#181821,.T.); #234442=ORIENTED_EDGE('',*,*,#181818,.T.); #234443=ORIENTED_EDGE('',*,*,#181815,.T.); #234444=ORIENTED_EDGE('',*,*,#181812,.T.); #234445=ORIENTED_EDGE('',*,*,#181809,.T.); #234446=ORIENTED_EDGE('',*,*,#181806,.T.); #234447=ORIENTED_EDGE('',*,*,#181803,.T.); #234448=ORIENTED_EDGE('',*,*,#181800,.T.); #234449=ORIENTED_EDGE('',*,*,#181797,.T.); #234450=ORIENTED_EDGE('',*,*,#181794,.T.); #234451=ORIENTED_EDGE('',*,*,#181791,.T.); #234452=ORIENTED_EDGE('',*,*,#181788,.T.); #234453=ORIENTED_EDGE('',*,*,#181785,.T.); #234454=ORIENTED_EDGE('',*,*,#181782,.T.); #234455=ORIENTED_EDGE('',*,*,#181779,.T.); #234456=ORIENTED_EDGE('',*,*,#181776,.T.); #234457=ORIENTED_EDGE('',*,*,#181773,.T.); #234458=ORIENTED_EDGE('',*,*,#181770,.T.); #234459=ORIENTED_EDGE('',*,*,#181767,.T.); #234460=ORIENTED_EDGE('',*,*,#181764,.T.); #234461=ORIENTED_EDGE('',*,*,#181761,.T.); #234462=ORIENTED_EDGE('',*,*,#181758,.T.); #234463=ORIENTED_EDGE('',*,*,#181755,.T.); #234464=ORIENTED_EDGE('',*,*,#181752,.T.); #234465=ORIENTED_EDGE('',*,*,#181749,.T.); #234466=ORIENTED_EDGE('',*,*,#181746,.T.); #234467=ORIENTED_EDGE('',*,*,#181743,.T.); #234468=ORIENTED_EDGE('',*,*,#181740,.T.); #234469=ORIENTED_EDGE('',*,*,#181737,.T.); #234470=ORIENTED_EDGE('',*,*,#181734,.T.); #234471=ORIENTED_EDGE('',*,*,#181731,.T.); #234472=ORIENTED_EDGE('',*,*,#181728,.T.); #234473=ORIENTED_EDGE('',*,*,#181725,.T.); #234474=ORIENTED_EDGE('',*,*,#181722,.T.); #234475=ORIENTED_EDGE('',*,*,#181719,.T.); #234476=ORIENTED_EDGE('',*,*,#181716,.T.); #234477=ORIENTED_EDGE('',*,*,#181713,.T.); #234478=ORIENTED_EDGE('',*,*,#181710,.T.); #234479=ORIENTED_EDGE('',*,*,#181707,.T.); #234480=ORIENTED_EDGE('',*,*,#181704,.T.); #234481=ORIENTED_EDGE('',*,*,#181701,.T.); #234482=ORIENTED_EDGE('',*,*,#181698,.T.); #234483=ORIENTED_EDGE('',*,*,#181695,.T.); #234484=ORIENTED_EDGE('',*,*,#181692,.T.); #234485=ORIENTED_EDGE('',*,*,#181689,.T.); #234486=ORIENTED_EDGE('',*,*,#181686,.T.); #234487=ORIENTED_EDGE('',*,*,#181683,.T.); #234488=ORIENTED_EDGE('',*,*,#181680,.T.); #234489=ORIENTED_EDGE('',*,*,#181677,.T.); #234490=ORIENTED_EDGE('',*,*,#181674,.T.); #234491=ORIENTED_EDGE('',*,*,#181671,.T.); #234492=ORIENTED_EDGE('',*,*,#181668,.T.); #234493=ORIENTED_EDGE('',*,*,#181665,.T.); #234494=ORIENTED_EDGE('',*,*,#181662,.T.); #234495=ORIENTED_EDGE('',*,*,#181659,.T.); #234496=ORIENTED_EDGE('',*,*,#181656,.T.); #234497=ORIENTED_EDGE('',*,*,#181653,.T.); #234498=ORIENTED_EDGE('',*,*,#181650,.T.); #234499=ORIENTED_EDGE('',*,*,#181647,.T.); #234500=ORIENTED_EDGE('',*,*,#181644,.T.); #234501=ORIENTED_EDGE('',*,*,#181641,.T.); #234502=ORIENTED_EDGE('',*,*,#181638,.T.); #234503=ORIENTED_EDGE('',*,*,#181635,.T.); #234504=ORIENTED_EDGE('',*,*,#181632,.T.); #234505=ORIENTED_EDGE('',*,*,#181629,.T.); #234506=ORIENTED_EDGE('',*,*,#181626,.T.); #234507=ORIENTED_EDGE('',*,*,#181623,.T.); #234508=ORIENTED_EDGE('',*,*,#181620,.T.); #234509=ORIENTED_EDGE('',*,*,#181617,.T.); #234510=ORIENTED_EDGE('',*,*,#181614,.T.); #234511=ORIENTED_EDGE('',*,*,#181611,.T.); #234512=ORIENTED_EDGE('',*,*,#181608,.T.); #234513=ORIENTED_EDGE('',*,*,#181605,.T.); #234514=ORIENTED_EDGE('',*,*,#181602,.T.); #234515=ORIENTED_EDGE('',*,*,#181599,.T.); #234516=ORIENTED_EDGE('',*,*,#181596,.T.); #234517=ORIENTED_EDGE('',*,*,#181593,.T.); #234518=ORIENTED_EDGE('',*,*,#181590,.T.); #234519=ORIENTED_EDGE('',*,*,#181587,.T.); #234520=ORIENTED_EDGE('',*,*,#181584,.T.); #234521=ORIENTED_EDGE('',*,*,#181581,.T.); #234522=ORIENTED_EDGE('',*,*,#181578,.T.); #234523=ORIENTED_EDGE('',*,*,#181575,.T.); #234524=ORIENTED_EDGE('',*,*,#181572,.T.); #234525=ORIENTED_EDGE('',*,*,#181569,.T.); #234526=ORIENTED_EDGE('',*,*,#181566,.T.); #234527=ORIENTED_EDGE('',*,*,#181563,.T.); #234528=ORIENTED_EDGE('',*,*,#181560,.T.); #234529=ORIENTED_EDGE('',*,*,#181557,.T.); #234530=ORIENTED_EDGE('',*,*,#181554,.T.); #234531=ORIENTED_EDGE('',*,*,#181551,.T.); #234532=ORIENTED_EDGE('',*,*,#181548,.T.); #234533=ORIENTED_EDGE('',*,*,#181545,.T.); #234534=ORIENTED_EDGE('',*,*,#181542,.T.); #234535=ORIENTED_EDGE('',*,*,#181539,.T.); #234536=ORIENTED_EDGE('',*,*,#181536,.T.); #234537=ORIENTED_EDGE('',*,*,#181533,.T.); #234538=ORIENTED_EDGE('',*,*,#181530,.T.); #234539=ORIENTED_EDGE('',*,*,#181527,.T.); #234540=ORIENTED_EDGE('',*,*,#181524,.T.); #234541=ORIENTED_EDGE('',*,*,#181521,.T.); #234542=ORIENTED_EDGE('',*,*,#181518,.T.); #234543=ORIENTED_EDGE('',*,*,#181515,.T.); #234544=ORIENTED_EDGE('',*,*,#181512,.T.); #234545=ORIENTED_EDGE('',*,*,#181509,.T.); #234546=ORIENTED_EDGE('',*,*,#181506,.T.); #234547=ORIENTED_EDGE('',*,*,#181503,.T.); #234548=ORIENTED_EDGE('',*,*,#181500,.T.); #234549=ORIENTED_EDGE('',*,*,#181497,.T.); #234550=ORIENTED_EDGE('',*,*,#181494,.T.); #234551=ORIENTED_EDGE('',*,*,#181491,.T.); #234552=ORIENTED_EDGE('',*,*,#181488,.T.); #234553=ORIENTED_EDGE('',*,*,#181485,.T.); #234554=ORIENTED_EDGE('',*,*,#181482,.T.); #234555=ORIENTED_EDGE('',*,*,#181479,.T.); #234556=ORIENTED_EDGE('',*,*,#181476,.T.); #234557=ORIENTED_EDGE('',*,*,#181473,.T.); #234558=ORIENTED_EDGE('',*,*,#181470,.T.); #234559=ORIENTED_EDGE('',*,*,#181467,.T.); #234560=ORIENTED_EDGE('',*,*,#181464,.T.); #234561=ORIENTED_EDGE('',*,*,#181431,.T.); #234562=ORIENTED_EDGE('',*,*,#181434,.T.); #234563=ORIENTED_EDGE('',*,*,#181437,.T.); #234564=ORIENTED_EDGE('',*,*,#181440,.T.); #234565=ORIENTED_EDGE('',*,*,#181443,.T.); #234566=ORIENTED_EDGE('',*,*,#181446,.T.); #234567=ORIENTED_EDGE('',*,*,#181449,.T.); #234568=ORIENTED_EDGE('',*,*,#181452,.T.); #234569=ORIENTED_EDGE('',*,*,#181455,.T.); #234570=ORIENTED_EDGE('',*,*,#181458,.T.); #234571=ORIENTED_EDGE('',*,*,#181461,.T.); #234572=ORIENTED_EDGE('',*,*,#181859,.F.); #234573=ORIENTED_EDGE('',*,*,#181462,.F.); #234574=ORIENTED_EDGE('',*,*,#181466,.F.); #234575=ORIENTED_EDGE('',*,*,#181469,.F.); #234576=ORIENTED_EDGE('',*,*,#181472,.F.); #234577=ORIENTED_EDGE('',*,*,#181475,.F.); #234578=ORIENTED_EDGE('',*,*,#181478,.F.); #234579=ORIENTED_EDGE('',*,*,#181481,.F.); #234580=ORIENTED_EDGE('',*,*,#181484,.F.); #234581=ORIENTED_EDGE('',*,*,#181487,.F.); #234582=ORIENTED_EDGE('',*,*,#181490,.F.); #234583=ORIENTED_EDGE('',*,*,#181493,.F.); #234584=ORIENTED_EDGE('',*,*,#181496,.F.); #234585=ORIENTED_EDGE('',*,*,#181499,.F.); #234586=ORIENTED_EDGE('',*,*,#181502,.F.); #234587=ORIENTED_EDGE('',*,*,#181505,.F.); #234588=ORIENTED_EDGE('',*,*,#181508,.F.); #234589=ORIENTED_EDGE('',*,*,#181511,.F.); #234590=ORIENTED_EDGE('',*,*,#181514,.F.); #234591=ORIENTED_EDGE('',*,*,#181517,.F.); #234592=ORIENTED_EDGE('',*,*,#181520,.F.); #234593=ORIENTED_EDGE('',*,*,#181523,.F.); #234594=ORIENTED_EDGE('',*,*,#181526,.F.); #234595=ORIENTED_EDGE('',*,*,#181529,.F.); #234596=ORIENTED_EDGE('',*,*,#181532,.F.); #234597=ORIENTED_EDGE('',*,*,#181535,.F.); #234598=ORIENTED_EDGE('',*,*,#181538,.F.); #234599=ORIENTED_EDGE('',*,*,#181541,.F.); #234600=ORIENTED_EDGE('',*,*,#181544,.F.); #234601=ORIENTED_EDGE('',*,*,#181547,.F.); #234602=ORIENTED_EDGE('',*,*,#181550,.F.); #234603=ORIENTED_EDGE('',*,*,#181553,.F.); #234604=ORIENTED_EDGE('',*,*,#181556,.F.); #234605=ORIENTED_EDGE('',*,*,#181559,.F.); #234606=ORIENTED_EDGE('',*,*,#181562,.F.); #234607=ORIENTED_EDGE('',*,*,#181565,.F.); #234608=ORIENTED_EDGE('',*,*,#181568,.F.); #234609=ORIENTED_EDGE('',*,*,#181571,.F.); #234610=ORIENTED_EDGE('',*,*,#181574,.F.); #234611=ORIENTED_EDGE('',*,*,#181577,.F.); #234612=ORIENTED_EDGE('',*,*,#181580,.F.); #234613=ORIENTED_EDGE('',*,*,#181583,.F.); #234614=ORIENTED_EDGE('',*,*,#181586,.F.); #234615=ORIENTED_EDGE('',*,*,#181589,.F.); #234616=ORIENTED_EDGE('',*,*,#181592,.F.); #234617=ORIENTED_EDGE('',*,*,#181595,.F.); #234618=ORIENTED_EDGE('',*,*,#181598,.F.); #234619=ORIENTED_EDGE('',*,*,#181601,.F.); #234620=ORIENTED_EDGE('',*,*,#181604,.F.); #234621=ORIENTED_EDGE('',*,*,#181607,.F.); #234622=ORIENTED_EDGE('',*,*,#181610,.F.); #234623=ORIENTED_EDGE('',*,*,#181613,.F.); #234624=ORIENTED_EDGE('',*,*,#181616,.F.); #234625=ORIENTED_EDGE('',*,*,#181619,.F.); #234626=ORIENTED_EDGE('',*,*,#181622,.F.); #234627=ORIENTED_EDGE('',*,*,#181625,.F.); #234628=ORIENTED_EDGE('',*,*,#181628,.F.); #234629=ORIENTED_EDGE('',*,*,#181631,.F.); #234630=ORIENTED_EDGE('',*,*,#181634,.F.); #234631=ORIENTED_EDGE('',*,*,#181637,.F.); #234632=ORIENTED_EDGE('',*,*,#181640,.F.); #234633=ORIENTED_EDGE('',*,*,#181643,.F.); #234634=ORIENTED_EDGE('',*,*,#181646,.F.); #234635=ORIENTED_EDGE('',*,*,#181649,.F.); #234636=ORIENTED_EDGE('',*,*,#181652,.F.); #234637=ORIENTED_EDGE('',*,*,#181655,.F.); #234638=ORIENTED_EDGE('',*,*,#181658,.F.); #234639=ORIENTED_EDGE('',*,*,#181661,.F.); #234640=ORIENTED_EDGE('',*,*,#181664,.F.); #234641=ORIENTED_EDGE('',*,*,#181667,.F.); #234642=ORIENTED_EDGE('',*,*,#181670,.F.); #234643=ORIENTED_EDGE('',*,*,#181673,.F.); #234644=ORIENTED_EDGE('',*,*,#181676,.F.); #234645=ORIENTED_EDGE('',*,*,#181679,.F.); #234646=ORIENTED_EDGE('',*,*,#181682,.F.); #234647=ORIENTED_EDGE('',*,*,#181685,.F.); #234648=ORIENTED_EDGE('',*,*,#181688,.F.); #234649=ORIENTED_EDGE('',*,*,#181691,.F.); #234650=ORIENTED_EDGE('',*,*,#181694,.F.); #234651=ORIENTED_EDGE('',*,*,#181697,.F.); #234652=ORIENTED_EDGE('',*,*,#181700,.F.); #234653=ORIENTED_EDGE('',*,*,#181703,.F.); #234654=ORIENTED_EDGE('',*,*,#181706,.F.); #234655=ORIENTED_EDGE('',*,*,#181709,.F.); #234656=ORIENTED_EDGE('',*,*,#181712,.F.); #234657=ORIENTED_EDGE('',*,*,#181715,.F.); #234658=ORIENTED_EDGE('',*,*,#181718,.F.); #234659=ORIENTED_EDGE('',*,*,#181721,.F.); #234660=ORIENTED_EDGE('',*,*,#181724,.F.); #234661=ORIENTED_EDGE('',*,*,#181727,.F.); #234662=ORIENTED_EDGE('',*,*,#181730,.F.); #234663=ORIENTED_EDGE('',*,*,#181733,.F.); #234664=ORIENTED_EDGE('',*,*,#181736,.F.); #234665=ORIENTED_EDGE('',*,*,#181739,.F.); #234666=ORIENTED_EDGE('',*,*,#181742,.F.); #234667=ORIENTED_EDGE('',*,*,#181745,.F.); #234668=ORIENTED_EDGE('',*,*,#181748,.F.); #234669=ORIENTED_EDGE('',*,*,#181751,.F.); #234670=ORIENTED_EDGE('',*,*,#181754,.F.); #234671=ORIENTED_EDGE('',*,*,#181757,.F.); #234672=ORIENTED_EDGE('',*,*,#181760,.F.); #234673=ORIENTED_EDGE('',*,*,#181763,.F.); #234674=ORIENTED_EDGE('',*,*,#181766,.F.); #234675=ORIENTED_EDGE('',*,*,#181769,.F.); #234676=ORIENTED_EDGE('',*,*,#181772,.F.); #234677=ORIENTED_EDGE('',*,*,#181775,.F.); #234678=ORIENTED_EDGE('',*,*,#181778,.F.); #234679=ORIENTED_EDGE('',*,*,#181781,.F.); #234680=ORIENTED_EDGE('',*,*,#181784,.F.); #234681=ORIENTED_EDGE('',*,*,#181787,.F.); #234682=ORIENTED_EDGE('',*,*,#181790,.F.); #234683=ORIENTED_EDGE('',*,*,#181793,.F.); #234684=ORIENTED_EDGE('',*,*,#181796,.F.); #234685=ORIENTED_EDGE('',*,*,#181799,.F.); #234686=ORIENTED_EDGE('',*,*,#181802,.F.); #234687=ORIENTED_EDGE('',*,*,#181805,.F.); #234688=ORIENTED_EDGE('',*,*,#181808,.F.); #234689=ORIENTED_EDGE('',*,*,#181811,.F.); #234690=ORIENTED_EDGE('',*,*,#181814,.F.); #234691=ORIENTED_EDGE('',*,*,#181817,.F.); #234692=ORIENTED_EDGE('',*,*,#181820,.F.); #234693=ORIENTED_EDGE('',*,*,#181823,.F.); #234694=ORIENTED_EDGE('',*,*,#181826,.F.); #234695=ORIENTED_EDGE('',*,*,#181829,.F.); #234696=ORIENTED_EDGE('',*,*,#181832,.F.); #234697=ORIENTED_EDGE('',*,*,#181835,.F.); #234698=ORIENTED_EDGE('',*,*,#181838,.F.); #234699=ORIENTED_EDGE('',*,*,#181841,.F.); #234700=ORIENTED_EDGE('',*,*,#181844,.F.); #234701=ORIENTED_EDGE('',*,*,#181847,.F.); #234702=ORIENTED_EDGE('',*,*,#181850,.F.); #234703=ORIENTED_EDGE('',*,*,#181853,.F.); #234704=ORIENTED_EDGE('',*,*,#181856,.F.); #234705=ORIENTED_EDGE('',*,*,#181429,.T.); #234706=ORIENTED_EDGE('',*,*,#181432,.T.); #234707=ORIENTED_EDGE('',*,*,#181435,.T.); #234708=ORIENTED_EDGE('',*,*,#181438,.T.); #234709=ORIENTED_EDGE('',*,*,#181441,.T.); #234710=ORIENTED_EDGE('',*,*,#181444,.T.); #234711=ORIENTED_EDGE('',*,*,#181447,.T.); #234712=ORIENTED_EDGE('',*,*,#181450,.T.); #234713=ORIENTED_EDGE('',*,*,#181453,.T.); #234714=ORIENTED_EDGE('',*,*,#181456,.T.); #234715=ORIENTED_EDGE('',*,*,#181459,.T.); #234716=ORIENTED_EDGE('',*,*,#181861,.F.); #234717=ORIENTED_EDGE('',*,*,#181862,.T.); #234718=ORIENTED_EDGE('',*,*,#181863,.F.); #234719=ORIENTED_EDGE('',*,*,#181862,.F.); #234720=ORIENTED_EDGE('',*,*,#181864,.T.); #234721=ORIENTED_EDGE('',*,*,#181865,.T.); #234722=ORIENTED_EDGE('',*,*,#181866,.F.); #234723=ORIENTED_EDGE('',*,*,#181867,.F.); #234724=ORIENTED_EDGE('',*,*,#181868,.T.); #234725=ORIENTED_EDGE('',*,*,#181867,.T.); #234726=ORIENTED_EDGE('',*,*,#181869,.F.); #234727=ORIENTED_EDGE('',*,*,#181870,.F.); #234728=ORIENTED_EDGE('',*,*,#181871,.T.); #234729=ORIENTED_EDGE('',*,*,#181870,.T.); #234730=ORIENTED_EDGE('',*,*,#181872,.F.); #234731=ORIENTED_EDGE('',*,*,#181873,.F.); #234732=ORIENTED_EDGE('',*,*,#181874,.T.); #234733=ORIENTED_EDGE('',*,*,#181873,.T.); #234734=ORIENTED_EDGE('',*,*,#181875,.F.); #234735=ORIENTED_EDGE('',*,*,#181876,.F.); #234736=ORIENTED_EDGE('',*,*,#181877,.T.); #234737=ORIENTED_EDGE('',*,*,#181876,.T.); #234738=ORIENTED_EDGE('',*,*,#181878,.F.); #234739=ORIENTED_EDGE('',*,*,#181879,.F.); #234740=ORIENTED_EDGE('',*,*,#181880,.T.); #234741=ORIENTED_EDGE('',*,*,#181879,.T.); #234742=ORIENTED_EDGE('',*,*,#181881,.F.); #234743=ORIENTED_EDGE('',*,*,#181882,.F.); #234744=ORIENTED_EDGE('',*,*,#181883,.T.); #234745=ORIENTED_EDGE('',*,*,#181882,.T.); #234746=ORIENTED_EDGE('',*,*,#181884,.F.); #234747=ORIENTED_EDGE('',*,*,#181885,.F.); #234748=ORIENTED_EDGE('',*,*,#181886,.T.); #234749=ORIENTED_EDGE('',*,*,#181885,.T.); #234750=ORIENTED_EDGE('',*,*,#181887,.F.); #234751=ORIENTED_EDGE('',*,*,#181865,.F.); #234752=ORIENTED_EDGE('',*,*,#181887,.T.); #234753=ORIENTED_EDGE('',*,*,#181884,.T.); #234754=ORIENTED_EDGE('',*,*,#181881,.T.); #234755=ORIENTED_EDGE('',*,*,#181878,.T.); #234756=ORIENTED_EDGE('',*,*,#181875,.T.); #234757=ORIENTED_EDGE('',*,*,#181872,.T.); #234758=ORIENTED_EDGE('',*,*,#181869,.T.); #234759=ORIENTED_EDGE('',*,*,#181866,.T.); #234760=ORIENTED_EDGE('',*,*,#181863,.T.); #234761=ORIENTED_EDGE('',*,*,#181886,.F.); #234762=ORIENTED_EDGE('',*,*,#181864,.F.); #234763=ORIENTED_EDGE('',*,*,#181868,.F.); #234764=ORIENTED_EDGE('',*,*,#181871,.F.); #234765=ORIENTED_EDGE('',*,*,#181874,.F.); #234766=ORIENTED_EDGE('',*,*,#181877,.F.); #234767=ORIENTED_EDGE('',*,*,#181880,.F.); #234768=ORIENTED_EDGE('',*,*,#181883,.F.); #234769=ORIENTED_EDGE('',*,*,#181861,.T.); #234770=ORIENTED_EDGE('',*,*,#181888,.T.); #234771=ORIENTED_EDGE('',*,*,#181889,.T.); #234772=ORIENTED_EDGE('',*,*,#181890,.F.); #234773=ORIENTED_EDGE('',*,*,#181891,.F.); #234774=ORIENTED_EDGE('',*,*,#181892,.T.); #234775=ORIENTED_EDGE('',*,*,#181891,.T.); #234776=ORIENTED_EDGE('',*,*,#181893,.F.); #234777=ORIENTED_EDGE('',*,*,#181894,.F.); #234778=ORIENTED_EDGE('',*,*,#181895,.T.); #234779=ORIENTED_EDGE('',*,*,#181894,.T.); #234780=ORIENTED_EDGE('',*,*,#181896,.F.); #234781=ORIENTED_EDGE('',*,*,#181897,.F.); #234782=ORIENTED_EDGE('',*,*,#181898,.T.); #234783=ORIENTED_EDGE('',*,*,#181897,.T.); #234784=ORIENTED_EDGE('',*,*,#181899,.F.); #234785=ORIENTED_EDGE('',*,*,#181900,.F.); #234786=ORIENTED_EDGE('',*,*,#181901,.T.); #234787=ORIENTED_EDGE('',*,*,#181900,.T.); #234788=ORIENTED_EDGE('',*,*,#181902,.F.); #234789=ORIENTED_EDGE('',*,*,#181903,.F.); #234790=ORIENTED_EDGE('',*,*,#181904,.T.); #234791=ORIENTED_EDGE('',*,*,#181903,.T.); #234792=ORIENTED_EDGE('',*,*,#181905,.F.); #234793=ORIENTED_EDGE('',*,*,#181906,.F.); #234794=ORIENTED_EDGE('',*,*,#181907,.T.); #234795=ORIENTED_EDGE('',*,*,#181906,.T.); #234796=ORIENTED_EDGE('',*,*,#181908,.F.); #234797=ORIENTED_EDGE('',*,*,#181909,.F.); #234798=ORIENTED_EDGE('',*,*,#181910,.T.); #234799=ORIENTED_EDGE('',*,*,#181909,.T.); #234800=ORIENTED_EDGE('',*,*,#181911,.F.); #234801=ORIENTED_EDGE('',*,*,#181912,.F.); #234802=ORIENTED_EDGE('',*,*,#181913,.T.); #234803=ORIENTED_EDGE('',*,*,#181912,.T.); #234804=ORIENTED_EDGE('',*,*,#181914,.F.); #234805=ORIENTED_EDGE('',*,*,#181915,.F.); #234806=ORIENTED_EDGE('',*,*,#181916,.T.); #234807=ORIENTED_EDGE('',*,*,#181915,.T.); #234808=ORIENTED_EDGE('',*,*,#181917,.F.); #234809=ORIENTED_EDGE('',*,*,#181918,.F.); #234810=ORIENTED_EDGE('',*,*,#181919,.T.); #234811=ORIENTED_EDGE('',*,*,#181918,.T.); #234812=ORIENTED_EDGE('',*,*,#181920,.F.); #234813=ORIENTED_EDGE('',*,*,#181921,.F.); #234814=ORIENTED_EDGE('',*,*,#181922,.T.); #234815=ORIENTED_EDGE('',*,*,#181921,.T.); #234816=ORIENTED_EDGE('',*,*,#181923,.F.); #234817=ORIENTED_EDGE('',*,*,#181924,.F.); #234818=ORIENTED_EDGE('',*,*,#181925,.T.); #234819=ORIENTED_EDGE('',*,*,#181924,.T.); #234820=ORIENTED_EDGE('',*,*,#181926,.F.); #234821=ORIENTED_EDGE('',*,*,#181927,.F.); #234822=ORIENTED_EDGE('',*,*,#181928,.T.); #234823=ORIENTED_EDGE('',*,*,#181927,.T.); #234824=ORIENTED_EDGE('',*,*,#181929,.F.); #234825=ORIENTED_EDGE('',*,*,#181930,.F.); #234826=ORIENTED_EDGE('',*,*,#181931,.T.); #234827=ORIENTED_EDGE('',*,*,#181930,.T.); #234828=ORIENTED_EDGE('',*,*,#181932,.F.); #234829=ORIENTED_EDGE('',*,*,#181933,.F.); #234830=ORIENTED_EDGE('',*,*,#181934,.T.); #234831=ORIENTED_EDGE('',*,*,#181933,.T.); #234832=ORIENTED_EDGE('',*,*,#181935,.F.); #234833=ORIENTED_EDGE('',*,*,#181936,.F.); #234834=ORIENTED_EDGE('',*,*,#181937,.T.); #234835=ORIENTED_EDGE('',*,*,#181936,.T.); #234836=ORIENTED_EDGE('',*,*,#181938,.F.); #234837=ORIENTED_EDGE('',*,*,#181939,.F.); #234838=ORIENTED_EDGE('',*,*,#181940,.T.); #234839=ORIENTED_EDGE('',*,*,#181939,.T.); #234840=ORIENTED_EDGE('',*,*,#181941,.F.); #234841=ORIENTED_EDGE('',*,*,#181942,.F.); #234842=ORIENTED_EDGE('',*,*,#181943,.T.); #234843=ORIENTED_EDGE('',*,*,#181942,.T.); #234844=ORIENTED_EDGE('',*,*,#181944,.F.); #234845=ORIENTED_EDGE('',*,*,#181889,.F.); #234846=ORIENTED_EDGE('',*,*,#181944,.T.); #234847=ORIENTED_EDGE('',*,*,#181941,.T.); #234848=ORIENTED_EDGE('',*,*,#181938,.T.); #234849=ORIENTED_EDGE('',*,*,#181935,.T.); #234850=ORIENTED_EDGE('',*,*,#181932,.T.); #234851=ORIENTED_EDGE('',*,*,#181929,.T.); #234852=ORIENTED_EDGE('',*,*,#181926,.T.); #234853=ORIENTED_EDGE('',*,*,#181923,.T.); #234854=ORIENTED_EDGE('',*,*,#181920,.T.); #234855=ORIENTED_EDGE('',*,*,#181917,.T.); #234856=ORIENTED_EDGE('',*,*,#181914,.T.); #234857=ORIENTED_EDGE('',*,*,#181911,.T.); #234858=ORIENTED_EDGE('',*,*,#181908,.T.); #234859=ORIENTED_EDGE('',*,*,#181905,.T.); #234860=ORIENTED_EDGE('',*,*,#181902,.T.); #234861=ORIENTED_EDGE('',*,*,#181899,.T.); #234862=ORIENTED_EDGE('',*,*,#181896,.T.); #234863=ORIENTED_EDGE('',*,*,#181893,.T.); #234864=ORIENTED_EDGE('',*,*,#181890,.T.); #234865=ORIENTED_EDGE('',*,*,#181943,.F.); #234866=ORIENTED_EDGE('',*,*,#181888,.F.); #234867=ORIENTED_EDGE('',*,*,#181892,.F.); #234868=ORIENTED_EDGE('',*,*,#181895,.F.); #234869=ORIENTED_EDGE('',*,*,#181898,.F.); #234870=ORIENTED_EDGE('',*,*,#181901,.F.); #234871=ORIENTED_EDGE('',*,*,#181904,.F.); #234872=ORIENTED_EDGE('',*,*,#181907,.F.); #234873=ORIENTED_EDGE('',*,*,#181910,.F.); #234874=ORIENTED_EDGE('',*,*,#181913,.F.); #234875=ORIENTED_EDGE('',*,*,#181916,.F.); #234876=ORIENTED_EDGE('',*,*,#181919,.F.); #234877=ORIENTED_EDGE('',*,*,#181922,.F.); #234878=ORIENTED_EDGE('',*,*,#181925,.F.); #234879=ORIENTED_EDGE('',*,*,#181928,.F.); #234880=ORIENTED_EDGE('',*,*,#181931,.F.); #234881=ORIENTED_EDGE('',*,*,#181934,.F.); #234882=ORIENTED_EDGE('',*,*,#181937,.F.); #234883=ORIENTED_EDGE('',*,*,#181940,.F.); #234884=ORIENTED_EDGE('',*,*,#181945,.T.); #234885=ORIENTED_EDGE('',*,*,#181946,.T.); #234886=ORIENTED_EDGE('',*,*,#181947,.F.); #234887=ORIENTED_EDGE('',*,*,#181948,.F.); #234888=ORIENTED_EDGE('',*,*,#181949,.T.); #234889=ORIENTED_EDGE('',*,*,#181948,.T.); #234890=ORIENTED_EDGE('',*,*,#181950,.F.); #234891=ORIENTED_EDGE('',*,*,#181951,.F.); #234892=ORIENTED_EDGE('',*,*,#181952,.T.); #234893=ORIENTED_EDGE('',*,*,#181951,.T.); #234894=ORIENTED_EDGE('',*,*,#181953,.F.); #234895=ORIENTED_EDGE('',*,*,#181954,.F.); #234896=ORIENTED_EDGE('',*,*,#181955,.T.); #234897=ORIENTED_EDGE('',*,*,#181954,.T.); #234898=ORIENTED_EDGE('',*,*,#181956,.F.); #234899=ORIENTED_EDGE('',*,*,#181957,.F.); #234900=ORIENTED_EDGE('',*,*,#181958,.T.); #234901=ORIENTED_EDGE('',*,*,#181957,.T.); #234902=ORIENTED_EDGE('',*,*,#181959,.F.); #234903=ORIENTED_EDGE('',*,*,#181960,.F.); #234904=ORIENTED_EDGE('',*,*,#181961,.T.); #234905=ORIENTED_EDGE('',*,*,#181960,.T.); #234906=ORIENTED_EDGE('',*,*,#181962,.F.); #234907=ORIENTED_EDGE('',*,*,#181963,.F.); #234908=ORIENTED_EDGE('',*,*,#181964,.T.); #234909=ORIENTED_EDGE('',*,*,#181963,.T.); #234910=ORIENTED_EDGE('',*,*,#181965,.F.); #234911=ORIENTED_EDGE('',*,*,#181966,.F.); #234912=ORIENTED_EDGE('',*,*,#181967,.T.); #234913=ORIENTED_EDGE('',*,*,#181966,.T.); #234914=ORIENTED_EDGE('',*,*,#181968,.F.); #234915=ORIENTED_EDGE('',*,*,#181969,.F.); #234916=ORIENTED_EDGE('',*,*,#181970,.T.); #234917=ORIENTED_EDGE('',*,*,#181969,.T.); #234918=ORIENTED_EDGE('',*,*,#181971,.F.); #234919=ORIENTED_EDGE('',*,*,#181972,.F.); #234920=ORIENTED_EDGE('',*,*,#181973,.T.); #234921=ORIENTED_EDGE('',*,*,#181972,.T.); #234922=ORIENTED_EDGE('',*,*,#181974,.F.); #234923=ORIENTED_EDGE('',*,*,#181975,.F.); #234924=ORIENTED_EDGE('',*,*,#181976,.T.); #234925=ORIENTED_EDGE('',*,*,#181975,.T.); #234926=ORIENTED_EDGE('',*,*,#181977,.F.); #234927=ORIENTED_EDGE('',*,*,#181978,.F.); #234928=ORIENTED_EDGE('',*,*,#181979,.T.); #234929=ORIENTED_EDGE('',*,*,#181978,.T.); #234930=ORIENTED_EDGE('',*,*,#181980,.F.); #234931=ORIENTED_EDGE('',*,*,#181981,.F.); #234932=ORIENTED_EDGE('',*,*,#181982,.T.); #234933=ORIENTED_EDGE('',*,*,#181981,.T.); #234934=ORIENTED_EDGE('',*,*,#181983,.F.); #234935=ORIENTED_EDGE('',*,*,#181984,.F.); #234936=ORIENTED_EDGE('',*,*,#181985,.T.); #234937=ORIENTED_EDGE('',*,*,#181984,.T.); #234938=ORIENTED_EDGE('',*,*,#181986,.F.); #234939=ORIENTED_EDGE('',*,*,#181987,.F.); #234940=ORIENTED_EDGE('',*,*,#181988,.T.); #234941=ORIENTED_EDGE('',*,*,#181987,.T.); #234942=ORIENTED_EDGE('',*,*,#181989,.F.); #234943=ORIENTED_EDGE('',*,*,#181990,.F.); #234944=ORIENTED_EDGE('',*,*,#181991,.T.); #234945=ORIENTED_EDGE('',*,*,#181990,.T.); #234946=ORIENTED_EDGE('',*,*,#181992,.F.); #234947=ORIENTED_EDGE('',*,*,#181993,.F.); #234948=ORIENTED_EDGE('',*,*,#181994,.T.); #234949=ORIENTED_EDGE('',*,*,#181993,.T.); #234950=ORIENTED_EDGE('',*,*,#181995,.F.); #234951=ORIENTED_EDGE('',*,*,#181996,.F.); #234952=ORIENTED_EDGE('',*,*,#181997,.T.); #234953=ORIENTED_EDGE('',*,*,#181996,.T.); #234954=ORIENTED_EDGE('',*,*,#181998,.F.); #234955=ORIENTED_EDGE('',*,*,#181999,.F.); #234956=ORIENTED_EDGE('',*,*,#182000,.T.); #234957=ORIENTED_EDGE('',*,*,#181999,.T.); #234958=ORIENTED_EDGE('',*,*,#182001,.F.); #234959=ORIENTED_EDGE('',*,*,#182002,.F.); #234960=ORIENTED_EDGE('',*,*,#182003,.T.); #234961=ORIENTED_EDGE('',*,*,#182002,.T.); #234962=ORIENTED_EDGE('',*,*,#182004,.F.); #234963=ORIENTED_EDGE('',*,*,#182005,.F.); #234964=ORIENTED_EDGE('',*,*,#182006,.T.); #234965=ORIENTED_EDGE('',*,*,#182005,.T.); #234966=ORIENTED_EDGE('',*,*,#182007,.F.); #234967=ORIENTED_EDGE('',*,*,#181946,.F.); #234968=ORIENTED_EDGE('',*,*,#182007,.T.); #234969=ORIENTED_EDGE('',*,*,#182004,.T.); #234970=ORIENTED_EDGE('',*,*,#182001,.T.); #234971=ORIENTED_EDGE('',*,*,#181998,.T.); #234972=ORIENTED_EDGE('',*,*,#181995,.T.); #234973=ORIENTED_EDGE('',*,*,#181992,.T.); #234974=ORIENTED_EDGE('',*,*,#181989,.T.); #234975=ORIENTED_EDGE('',*,*,#181986,.T.); #234976=ORIENTED_EDGE('',*,*,#181983,.T.); #234977=ORIENTED_EDGE('',*,*,#181980,.T.); #234978=ORIENTED_EDGE('',*,*,#181977,.T.); #234979=ORIENTED_EDGE('',*,*,#181974,.T.); #234980=ORIENTED_EDGE('',*,*,#181971,.T.); #234981=ORIENTED_EDGE('',*,*,#181968,.T.); #234982=ORIENTED_EDGE('',*,*,#181965,.T.); #234983=ORIENTED_EDGE('',*,*,#181962,.T.); #234984=ORIENTED_EDGE('',*,*,#181959,.T.); #234985=ORIENTED_EDGE('',*,*,#181956,.T.); #234986=ORIENTED_EDGE('',*,*,#181953,.T.); #234987=ORIENTED_EDGE('',*,*,#181950,.T.); #234988=ORIENTED_EDGE('',*,*,#181947,.T.); #234989=ORIENTED_EDGE('',*,*,#182006,.F.); #234990=ORIENTED_EDGE('',*,*,#181945,.F.); #234991=ORIENTED_EDGE('',*,*,#181949,.F.); #234992=ORIENTED_EDGE('',*,*,#181952,.F.); #234993=ORIENTED_EDGE('',*,*,#181955,.F.); #234994=ORIENTED_EDGE('',*,*,#181958,.F.); #234995=ORIENTED_EDGE('',*,*,#181961,.F.); #234996=ORIENTED_EDGE('',*,*,#181964,.F.); #234997=ORIENTED_EDGE('',*,*,#181967,.F.); #234998=ORIENTED_EDGE('',*,*,#181970,.F.); #234999=ORIENTED_EDGE('',*,*,#181973,.F.); #235000=ORIENTED_EDGE('',*,*,#181976,.F.); #235001=ORIENTED_EDGE('',*,*,#181979,.F.); #235002=ORIENTED_EDGE('',*,*,#181982,.F.); #235003=ORIENTED_EDGE('',*,*,#181985,.F.); #235004=ORIENTED_EDGE('',*,*,#181988,.F.); #235005=ORIENTED_EDGE('',*,*,#181991,.F.); #235006=ORIENTED_EDGE('',*,*,#181994,.F.); #235007=ORIENTED_EDGE('',*,*,#181997,.F.); #235008=ORIENTED_EDGE('',*,*,#182000,.F.); #235009=ORIENTED_EDGE('',*,*,#182003,.F.); #235010=ORIENTED_EDGE('',*,*,#182008,.T.); #235011=ORIENTED_EDGE('',*,*,#182009,.T.); #235012=ORIENTED_EDGE('',*,*,#182010,.F.); #235013=ORIENTED_EDGE('',*,*,#182011,.F.); #235014=ORIENTED_EDGE('',*,*,#182012,.T.); #235015=ORIENTED_EDGE('',*,*,#182011,.T.); #235016=ORIENTED_EDGE('',*,*,#182013,.F.); #235017=ORIENTED_EDGE('',*,*,#182014,.F.); #235018=ORIENTED_EDGE('',*,*,#182015,.T.); #235019=ORIENTED_EDGE('',*,*,#182014,.T.); #235020=ORIENTED_EDGE('',*,*,#182016,.F.); #235021=ORIENTED_EDGE('',*,*,#182017,.F.); #235022=ORIENTED_EDGE('',*,*,#182018,.T.); #235023=ORIENTED_EDGE('',*,*,#182017,.T.); #235024=ORIENTED_EDGE('',*,*,#182019,.F.); #235025=ORIENTED_EDGE('',*,*,#182020,.F.); #235026=ORIENTED_EDGE('',*,*,#182021,.T.); #235027=ORIENTED_EDGE('',*,*,#182020,.T.); #235028=ORIENTED_EDGE('',*,*,#182022,.F.); #235029=ORIENTED_EDGE('',*,*,#182023,.F.); #235030=ORIENTED_EDGE('',*,*,#182024,.T.); #235031=ORIENTED_EDGE('',*,*,#182023,.T.); #235032=ORIENTED_EDGE('',*,*,#182025,.F.); #235033=ORIENTED_EDGE('',*,*,#182026,.F.); #235034=ORIENTED_EDGE('',*,*,#182027,.T.); #235035=ORIENTED_EDGE('',*,*,#182026,.T.); #235036=ORIENTED_EDGE('',*,*,#182028,.F.); #235037=ORIENTED_EDGE('',*,*,#182029,.F.); #235038=ORIENTED_EDGE('',*,*,#182030,.T.); #235039=ORIENTED_EDGE('',*,*,#182029,.T.); #235040=ORIENTED_EDGE('',*,*,#182031,.F.); #235041=ORIENTED_EDGE('',*,*,#182032,.F.); #235042=ORIENTED_EDGE('',*,*,#182033,.T.); #235043=ORIENTED_EDGE('',*,*,#182032,.T.); #235044=ORIENTED_EDGE('',*,*,#182034,.F.); #235045=ORIENTED_EDGE('',*,*,#182035,.F.); #235046=ORIENTED_EDGE('',*,*,#182036,.T.); #235047=ORIENTED_EDGE('',*,*,#182035,.T.); #235048=ORIENTED_EDGE('',*,*,#182037,.F.); #235049=ORIENTED_EDGE('',*,*,#182038,.F.); #235050=ORIENTED_EDGE('',*,*,#182039,.T.); #235051=ORIENTED_EDGE('',*,*,#182038,.T.); #235052=ORIENTED_EDGE('',*,*,#182040,.F.); #235053=ORIENTED_EDGE('',*,*,#182041,.F.); #235054=ORIENTED_EDGE('',*,*,#182042,.T.); #235055=ORIENTED_EDGE('',*,*,#182041,.T.); #235056=ORIENTED_EDGE('',*,*,#182043,.F.); #235057=ORIENTED_EDGE('',*,*,#182044,.F.); #235058=ORIENTED_EDGE('',*,*,#182045,.T.); #235059=ORIENTED_EDGE('',*,*,#182044,.T.); #235060=ORIENTED_EDGE('',*,*,#182046,.F.); #235061=ORIENTED_EDGE('',*,*,#182047,.F.); #235062=ORIENTED_EDGE('',*,*,#182048,.T.); #235063=ORIENTED_EDGE('',*,*,#182047,.T.); #235064=ORIENTED_EDGE('',*,*,#182049,.F.); #235065=ORIENTED_EDGE('',*,*,#182050,.F.); #235066=ORIENTED_EDGE('',*,*,#182051,.T.); #235067=ORIENTED_EDGE('',*,*,#182050,.T.); #235068=ORIENTED_EDGE('',*,*,#182052,.F.); #235069=ORIENTED_EDGE('',*,*,#182053,.F.); #235070=ORIENTED_EDGE('',*,*,#182054,.T.); #235071=ORIENTED_EDGE('',*,*,#182053,.T.); #235072=ORIENTED_EDGE('',*,*,#182055,.F.); #235073=ORIENTED_EDGE('',*,*,#182056,.F.); #235074=ORIENTED_EDGE('',*,*,#182057,.T.); #235075=ORIENTED_EDGE('',*,*,#182056,.T.); #235076=ORIENTED_EDGE('',*,*,#182058,.F.); #235077=ORIENTED_EDGE('',*,*,#182059,.F.); #235078=ORIENTED_EDGE('',*,*,#182060,.T.); #235079=ORIENTED_EDGE('',*,*,#182059,.T.); #235080=ORIENTED_EDGE('',*,*,#182061,.F.); #235081=ORIENTED_EDGE('',*,*,#182062,.F.); #235082=ORIENTED_EDGE('',*,*,#182063,.T.); #235083=ORIENTED_EDGE('',*,*,#182062,.T.); #235084=ORIENTED_EDGE('',*,*,#182064,.F.); #235085=ORIENTED_EDGE('',*,*,#182065,.F.); #235086=ORIENTED_EDGE('',*,*,#182066,.T.); #235087=ORIENTED_EDGE('',*,*,#182065,.T.); #235088=ORIENTED_EDGE('',*,*,#182067,.F.); #235089=ORIENTED_EDGE('',*,*,#182009,.F.); #235090=ORIENTED_EDGE('',*,*,#182067,.T.); #235091=ORIENTED_EDGE('',*,*,#182064,.T.); #235092=ORIENTED_EDGE('',*,*,#182061,.T.); #235093=ORIENTED_EDGE('',*,*,#182058,.T.); #235094=ORIENTED_EDGE('',*,*,#182055,.T.); #235095=ORIENTED_EDGE('',*,*,#182052,.T.); #235096=ORIENTED_EDGE('',*,*,#182049,.T.); #235097=ORIENTED_EDGE('',*,*,#182046,.T.); #235098=ORIENTED_EDGE('',*,*,#182043,.T.); #235099=ORIENTED_EDGE('',*,*,#182040,.T.); #235100=ORIENTED_EDGE('',*,*,#182037,.T.); #235101=ORIENTED_EDGE('',*,*,#182034,.T.); #235102=ORIENTED_EDGE('',*,*,#182031,.T.); #235103=ORIENTED_EDGE('',*,*,#182028,.T.); #235104=ORIENTED_EDGE('',*,*,#182025,.T.); #235105=ORIENTED_EDGE('',*,*,#182022,.T.); #235106=ORIENTED_EDGE('',*,*,#182019,.T.); #235107=ORIENTED_EDGE('',*,*,#182016,.T.); #235108=ORIENTED_EDGE('',*,*,#182013,.T.); #235109=ORIENTED_EDGE('',*,*,#182010,.T.); #235110=ORIENTED_EDGE('',*,*,#182066,.F.); #235111=ORIENTED_EDGE('',*,*,#182008,.F.); #235112=ORIENTED_EDGE('',*,*,#182012,.F.); #235113=ORIENTED_EDGE('',*,*,#182015,.F.); #235114=ORIENTED_EDGE('',*,*,#182018,.F.); #235115=ORIENTED_EDGE('',*,*,#182021,.F.); #235116=ORIENTED_EDGE('',*,*,#182024,.F.); #235117=ORIENTED_EDGE('',*,*,#182027,.F.); #235118=ORIENTED_EDGE('',*,*,#182030,.F.); #235119=ORIENTED_EDGE('',*,*,#182033,.F.); #235120=ORIENTED_EDGE('',*,*,#182036,.F.); #235121=ORIENTED_EDGE('',*,*,#182039,.F.); #235122=ORIENTED_EDGE('',*,*,#182042,.F.); #235123=ORIENTED_EDGE('',*,*,#182045,.F.); #235124=ORIENTED_EDGE('',*,*,#182048,.F.); #235125=ORIENTED_EDGE('',*,*,#182051,.F.); #235126=ORIENTED_EDGE('',*,*,#182054,.F.); #235127=ORIENTED_EDGE('',*,*,#182057,.F.); #235128=ORIENTED_EDGE('',*,*,#182060,.F.); #235129=ORIENTED_EDGE('',*,*,#182063,.F.); #235130=ORIENTED_EDGE('',*,*,#182068,.T.); #235131=ORIENTED_EDGE('',*,*,#182069,.T.); #235132=ORIENTED_EDGE('',*,*,#182070,.F.); #235133=ORIENTED_EDGE('',*,*,#182071,.F.); #235134=ORIENTED_EDGE('',*,*,#182072,.T.); #235135=ORIENTED_EDGE('',*,*,#182071,.T.); #235136=ORIENTED_EDGE('',*,*,#182073,.F.); #235137=ORIENTED_EDGE('',*,*,#182074,.F.); #235138=ORIENTED_EDGE('',*,*,#182075,.T.); #235139=ORIENTED_EDGE('',*,*,#182074,.T.); #235140=ORIENTED_EDGE('',*,*,#182076,.F.); #235141=ORIENTED_EDGE('',*,*,#182077,.F.); #235142=ORIENTED_EDGE('',*,*,#182078,.T.); #235143=ORIENTED_EDGE('',*,*,#182077,.T.); #235144=ORIENTED_EDGE('',*,*,#182079,.F.); #235145=ORIENTED_EDGE('',*,*,#182080,.F.); #235146=ORIENTED_EDGE('',*,*,#182081,.T.); #235147=ORIENTED_EDGE('',*,*,#182080,.T.); #235148=ORIENTED_EDGE('',*,*,#182082,.F.); #235149=ORIENTED_EDGE('',*,*,#182083,.F.); #235150=ORIENTED_EDGE('',*,*,#182084,.T.); #235151=ORIENTED_EDGE('',*,*,#182083,.T.); #235152=ORIENTED_EDGE('',*,*,#182085,.F.); #235153=ORIENTED_EDGE('',*,*,#182086,.F.); #235154=ORIENTED_EDGE('',*,*,#182087,.T.); #235155=ORIENTED_EDGE('',*,*,#182086,.T.); #235156=ORIENTED_EDGE('',*,*,#182088,.F.); #235157=ORIENTED_EDGE('',*,*,#182089,.F.); #235158=ORIENTED_EDGE('',*,*,#182090,.T.); #235159=ORIENTED_EDGE('',*,*,#182089,.T.); #235160=ORIENTED_EDGE('',*,*,#182091,.F.); #235161=ORIENTED_EDGE('',*,*,#182092,.F.); #235162=ORIENTED_EDGE('',*,*,#182093,.T.); #235163=ORIENTED_EDGE('',*,*,#182092,.T.); #235164=ORIENTED_EDGE('',*,*,#182094,.F.); #235165=ORIENTED_EDGE('',*,*,#182095,.F.); #235166=ORIENTED_EDGE('',*,*,#182096,.T.); #235167=ORIENTED_EDGE('',*,*,#182095,.T.); #235168=ORIENTED_EDGE('',*,*,#182097,.F.); #235169=ORIENTED_EDGE('',*,*,#182098,.F.); #235170=ORIENTED_EDGE('',*,*,#182099,.T.); #235171=ORIENTED_EDGE('',*,*,#182098,.T.); #235172=ORIENTED_EDGE('',*,*,#182100,.F.); #235173=ORIENTED_EDGE('',*,*,#182101,.F.); #235174=ORIENTED_EDGE('',*,*,#182102,.T.); #235175=ORIENTED_EDGE('',*,*,#182101,.T.); #235176=ORIENTED_EDGE('',*,*,#182103,.F.); #235177=ORIENTED_EDGE('',*,*,#182104,.F.); #235178=ORIENTED_EDGE('',*,*,#182105,.T.); #235179=ORIENTED_EDGE('',*,*,#182104,.T.); #235180=ORIENTED_EDGE('',*,*,#182106,.F.); #235181=ORIENTED_EDGE('',*,*,#182107,.F.); #235182=ORIENTED_EDGE('',*,*,#182108,.T.); #235183=ORIENTED_EDGE('',*,*,#182107,.T.); #235184=ORIENTED_EDGE('',*,*,#182109,.F.); #235185=ORIENTED_EDGE('',*,*,#182110,.F.); #235186=ORIENTED_EDGE('',*,*,#182111,.T.); #235187=ORIENTED_EDGE('',*,*,#182110,.T.); #235188=ORIENTED_EDGE('',*,*,#182112,.F.); #235189=ORIENTED_EDGE('',*,*,#182113,.F.); #235190=ORIENTED_EDGE('',*,*,#182114,.T.); #235191=ORIENTED_EDGE('',*,*,#182113,.T.); #235192=ORIENTED_EDGE('',*,*,#182115,.F.); #235193=ORIENTED_EDGE('',*,*,#182116,.F.); #235194=ORIENTED_EDGE('',*,*,#182117,.T.); #235195=ORIENTED_EDGE('',*,*,#182116,.T.); #235196=ORIENTED_EDGE('',*,*,#182118,.F.); #235197=ORIENTED_EDGE('',*,*,#182119,.F.); #235198=ORIENTED_EDGE('',*,*,#182120,.T.); #235199=ORIENTED_EDGE('',*,*,#182119,.T.); #235200=ORIENTED_EDGE('',*,*,#182121,.F.); #235201=ORIENTED_EDGE('',*,*,#182122,.F.); #235202=ORIENTED_EDGE('',*,*,#182123,.T.); #235203=ORIENTED_EDGE('',*,*,#182122,.T.); #235204=ORIENTED_EDGE('',*,*,#182124,.F.); #235205=ORIENTED_EDGE('',*,*,#182125,.F.); #235206=ORIENTED_EDGE('',*,*,#182126,.T.); #235207=ORIENTED_EDGE('',*,*,#182125,.T.); #235208=ORIENTED_EDGE('',*,*,#182127,.F.); #235209=ORIENTED_EDGE('',*,*,#182128,.F.); #235210=ORIENTED_EDGE('',*,*,#182129,.T.); #235211=ORIENTED_EDGE('',*,*,#182128,.T.); #235212=ORIENTED_EDGE('',*,*,#182130,.F.); #235213=ORIENTED_EDGE('',*,*,#182069,.F.); #235214=ORIENTED_EDGE('',*,*,#182130,.T.); #235215=ORIENTED_EDGE('',*,*,#182127,.T.); #235216=ORIENTED_EDGE('',*,*,#182124,.T.); #235217=ORIENTED_EDGE('',*,*,#182121,.T.); #235218=ORIENTED_EDGE('',*,*,#182118,.T.); #235219=ORIENTED_EDGE('',*,*,#182115,.T.); #235220=ORIENTED_EDGE('',*,*,#182112,.T.); #235221=ORIENTED_EDGE('',*,*,#182109,.T.); #235222=ORIENTED_EDGE('',*,*,#182106,.T.); #235223=ORIENTED_EDGE('',*,*,#182103,.T.); #235224=ORIENTED_EDGE('',*,*,#182100,.T.); #235225=ORIENTED_EDGE('',*,*,#182097,.T.); #235226=ORIENTED_EDGE('',*,*,#182094,.T.); #235227=ORIENTED_EDGE('',*,*,#182091,.T.); #235228=ORIENTED_EDGE('',*,*,#182088,.T.); #235229=ORIENTED_EDGE('',*,*,#182085,.T.); #235230=ORIENTED_EDGE('',*,*,#182082,.T.); #235231=ORIENTED_EDGE('',*,*,#182079,.T.); #235232=ORIENTED_EDGE('',*,*,#182076,.T.); #235233=ORIENTED_EDGE('',*,*,#182073,.T.); #235234=ORIENTED_EDGE('',*,*,#182070,.T.); #235235=ORIENTED_EDGE('',*,*,#182129,.F.); #235236=ORIENTED_EDGE('',*,*,#182068,.F.); #235237=ORIENTED_EDGE('',*,*,#182072,.F.); #235238=ORIENTED_EDGE('',*,*,#182075,.F.); #235239=ORIENTED_EDGE('',*,*,#182078,.F.); #235240=ORIENTED_EDGE('',*,*,#182081,.F.); #235241=ORIENTED_EDGE('',*,*,#182084,.F.); #235242=ORIENTED_EDGE('',*,*,#182087,.F.); #235243=ORIENTED_EDGE('',*,*,#182090,.F.); #235244=ORIENTED_EDGE('',*,*,#182093,.F.); #235245=ORIENTED_EDGE('',*,*,#182096,.F.); #235246=ORIENTED_EDGE('',*,*,#182099,.F.); #235247=ORIENTED_EDGE('',*,*,#182102,.F.); #235248=ORIENTED_EDGE('',*,*,#182105,.F.); #235249=ORIENTED_EDGE('',*,*,#182108,.F.); #235250=ORIENTED_EDGE('',*,*,#182111,.F.); #235251=ORIENTED_EDGE('',*,*,#182114,.F.); #235252=ORIENTED_EDGE('',*,*,#182117,.F.); #235253=ORIENTED_EDGE('',*,*,#182120,.F.); #235254=ORIENTED_EDGE('',*,*,#182123,.F.); #235255=ORIENTED_EDGE('',*,*,#182126,.F.); #235256=ORIENTED_EDGE('',*,*,#182131,.F.); #235257=ORIENTED_EDGE('',*,*,#182132,.T.); #235258=ORIENTED_EDGE('',*,*,#182133,.F.); #235259=ORIENTED_EDGE('',*,*,#182132,.F.); #235260=ORIENTED_EDGE('',*,*,#182134,.F.); #235261=ORIENTED_EDGE('',*,*,#182135,.T.); #235262=ORIENTED_EDGE('',*,*,#182136,.F.); #235263=ORIENTED_EDGE('',*,*,#182135,.F.); #235264=ORIENTED_EDGE('',*,*,#182137,.F.); #235265=ORIENTED_EDGE('',*,*,#182138,.T.); #235266=ORIENTED_EDGE('',*,*,#182139,.F.); #235267=ORIENTED_EDGE('',*,*,#182138,.F.); #235268=ORIENTED_EDGE('',*,*,#182140,.T.); #235269=ORIENTED_EDGE('',*,*,#182141,.T.); #235270=ORIENTED_EDGE('',*,*,#182142,.F.); #235271=ORIENTED_EDGE('',*,*,#182143,.F.); #235272=ORIENTED_EDGE('',*,*,#182144,.T.); #235273=ORIENTED_EDGE('',*,*,#182143,.T.); #235274=ORIENTED_EDGE('',*,*,#182145,.F.); #235275=ORIENTED_EDGE('',*,*,#182146,.F.); #235276=ORIENTED_EDGE('',*,*,#182147,.T.); #235277=ORIENTED_EDGE('',*,*,#182146,.T.); #235278=ORIENTED_EDGE('',*,*,#182148,.F.); #235279=ORIENTED_EDGE('',*,*,#182149,.F.); #235280=ORIENTED_EDGE('',*,*,#182150,.T.); #235281=ORIENTED_EDGE('',*,*,#182149,.T.); #235282=ORIENTED_EDGE('',*,*,#182151,.F.); #235283=ORIENTED_EDGE('',*,*,#182152,.F.); #235284=ORIENTED_EDGE('',*,*,#182153,.T.); #235285=ORIENTED_EDGE('',*,*,#182152,.T.); #235286=ORIENTED_EDGE('',*,*,#182154,.F.); #235287=ORIENTED_EDGE('',*,*,#182155,.F.); #235288=ORIENTED_EDGE('',*,*,#182156,.T.); #235289=ORIENTED_EDGE('',*,*,#182155,.T.); #235290=ORIENTED_EDGE('',*,*,#182157,.F.); #235291=ORIENTED_EDGE('',*,*,#182158,.F.); #235292=ORIENTED_EDGE('',*,*,#182159,.T.); #235293=ORIENTED_EDGE('',*,*,#182158,.T.); #235294=ORIENTED_EDGE('',*,*,#182160,.F.); #235295=ORIENTED_EDGE('',*,*,#182161,.F.); #235296=ORIENTED_EDGE('',*,*,#182162,.T.); #235297=ORIENTED_EDGE('',*,*,#182161,.T.); #235298=ORIENTED_EDGE('',*,*,#182163,.F.); #235299=ORIENTED_EDGE('',*,*,#182164,.F.); #235300=ORIENTED_EDGE('',*,*,#182165,.T.); #235301=ORIENTED_EDGE('',*,*,#182164,.T.); #235302=ORIENTED_EDGE('',*,*,#182166,.F.); #235303=ORIENTED_EDGE('',*,*,#182167,.F.); #235304=ORIENTED_EDGE('',*,*,#182168,.T.); #235305=ORIENTED_EDGE('',*,*,#182167,.T.); #235306=ORIENTED_EDGE('',*,*,#182169,.F.); #235307=ORIENTED_EDGE('',*,*,#182170,.F.); #235308=ORIENTED_EDGE('',*,*,#182171,.T.); #235309=ORIENTED_EDGE('',*,*,#182170,.T.); #235310=ORIENTED_EDGE('',*,*,#182172,.F.); #235311=ORIENTED_EDGE('',*,*,#182173,.F.); #235312=ORIENTED_EDGE('',*,*,#182174,.T.); #235313=ORIENTED_EDGE('',*,*,#182173,.T.); #235314=ORIENTED_EDGE('',*,*,#182175,.F.); #235315=ORIENTED_EDGE('',*,*,#182176,.F.); #235316=ORIENTED_EDGE('',*,*,#182177,.T.); #235317=ORIENTED_EDGE('',*,*,#182176,.T.); #235318=ORIENTED_EDGE('',*,*,#182178,.F.); #235319=ORIENTED_EDGE('',*,*,#182179,.F.); #235320=ORIENTED_EDGE('',*,*,#182180,.T.); #235321=ORIENTED_EDGE('',*,*,#182179,.T.); #235322=ORIENTED_EDGE('',*,*,#182181,.F.); #235323=ORIENTED_EDGE('',*,*,#182182,.F.); #235324=ORIENTED_EDGE('',*,*,#182183,.T.); #235325=ORIENTED_EDGE('',*,*,#182182,.T.); #235326=ORIENTED_EDGE('',*,*,#182184,.F.); #235327=ORIENTED_EDGE('',*,*,#182185,.F.); #235328=ORIENTED_EDGE('',*,*,#182186,.T.); #235329=ORIENTED_EDGE('',*,*,#182185,.T.); #235330=ORIENTED_EDGE('',*,*,#182187,.F.); #235331=ORIENTED_EDGE('',*,*,#182188,.F.); #235332=ORIENTED_EDGE('',*,*,#182189,.T.); #235333=ORIENTED_EDGE('',*,*,#182188,.T.); #235334=ORIENTED_EDGE('',*,*,#182190,.F.); #235335=ORIENTED_EDGE('',*,*,#182191,.F.); #235336=ORIENTED_EDGE('',*,*,#182192,.T.); #235337=ORIENTED_EDGE('',*,*,#182191,.T.); #235338=ORIENTED_EDGE('',*,*,#182193,.F.); #235339=ORIENTED_EDGE('',*,*,#182194,.F.); #235340=ORIENTED_EDGE('',*,*,#182195,.T.); #235341=ORIENTED_EDGE('',*,*,#182194,.T.); #235342=ORIENTED_EDGE('',*,*,#182196,.F.); #235343=ORIENTED_EDGE('',*,*,#182197,.F.); #235344=ORIENTED_EDGE('',*,*,#182198,.T.); #235345=ORIENTED_EDGE('',*,*,#182197,.T.); #235346=ORIENTED_EDGE('',*,*,#182199,.F.); #235347=ORIENTED_EDGE('',*,*,#182200,.F.); #235348=ORIENTED_EDGE('',*,*,#182201,.T.); #235349=ORIENTED_EDGE('',*,*,#182200,.T.); #235350=ORIENTED_EDGE('',*,*,#182202,.F.); #235351=ORIENTED_EDGE('',*,*,#182203,.F.); #235352=ORIENTED_EDGE('',*,*,#182204,.T.); #235353=ORIENTED_EDGE('',*,*,#182203,.T.); #235354=ORIENTED_EDGE('',*,*,#182205,.F.); #235355=ORIENTED_EDGE('',*,*,#182206,.F.); #235356=ORIENTED_EDGE('',*,*,#182207,.T.); #235357=ORIENTED_EDGE('',*,*,#182206,.T.); #235358=ORIENTED_EDGE('',*,*,#182208,.F.); #235359=ORIENTED_EDGE('',*,*,#182209,.F.); #235360=ORIENTED_EDGE('',*,*,#182210,.T.); #235361=ORIENTED_EDGE('',*,*,#182209,.T.); #235362=ORIENTED_EDGE('',*,*,#182211,.F.); #235363=ORIENTED_EDGE('',*,*,#182212,.F.); #235364=ORIENTED_EDGE('',*,*,#182213,.T.); #235365=ORIENTED_EDGE('',*,*,#182212,.T.); #235366=ORIENTED_EDGE('',*,*,#182214,.F.); #235367=ORIENTED_EDGE('',*,*,#182215,.F.); #235368=ORIENTED_EDGE('',*,*,#182216,.T.); #235369=ORIENTED_EDGE('',*,*,#182215,.T.); #235370=ORIENTED_EDGE('',*,*,#182217,.F.); #235371=ORIENTED_EDGE('',*,*,#182218,.F.); #235372=ORIENTED_EDGE('',*,*,#182219,.T.); #235373=ORIENTED_EDGE('',*,*,#182218,.T.); #235374=ORIENTED_EDGE('',*,*,#182220,.F.); #235375=ORIENTED_EDGE('',*,*,#182221,.F.); #235376=ORIENTED_EDGE('',*,*,#182222,.T.); #235377=ORIENTED_EDGE('',*,*,#182221,.T.); #235378=ORIENTED_EDGE('',*,*,#182223,.F.); #235379=ORIENTED_EDGE('',*,*,#182224,.F.); #235380=ORIENTED_EDGE('',*,*,#182225,.T.); #235381=ORIENTED_EDGE('',*,*,#182224,.T.); #235382=ORIENTED_EDGE('',*,*,#182226,.F.); #235383=ORIENTED_EDGE('',*,*,#182227,.F.); #235384=ORIENTED_EDGE('',*,*,#182228,.T.); #235385=ORIENTED_EDGE('',*,*,#182227,.T.); #235386=ORIENTED_EDGE('',*,*,#182229,.F.); #235387=ORIENTED_EDGE('',*,*,#182230,.F.); #235388=ORIENTED_EDGE('',*,*,#182231,.T.); #235389=ORIENTED_EDGE('',*,*,#182230,.T.); #235390=ORIENTED_EDGE('',*,*,#182232,.F.); #235391=ORIENTED_EDGE('',*,*,#182233,.F.); #235392=ORIENTED_EDGE('',*,*,#182234,.T.); #235393=ORIENTED_EDGE('',*,*,#182233,.T.); #235394=ORIENTED_EDGE('',*,*,#182235,.F.); #235395=ORIENTED_EDGE('',*,*,#182236,.F.); #235396=ORIENTED_EDGE('',*,*,#182237,.T.); #235397=ORIENTED_EDGE('',*,*,#182236,.T.); #235398=ORIENTED_EDGE('',*,*,#182238,.F.); #235399=ORIENTED_EDGE('',*,*,#182239,.F.); #235400=ORIENTED_EDGE('',*,*,#182240,.T.); #235401=ORIENTED_EDGE('',*,*,#182239,.T.); #235402=ORIENTED_EDGE('',*,*,#182241,.F.); #235403=ORIENTED_EDGE('',*,*,#182242,.F.); #235404=ORIENTED_EDGE('',*,*,#182243,.T.); #235405=ORIENTED_EDGE('',*,*,#182242,.T.); #235406=ORIENTED_EDGE('',*,*,#182244,.F.); #235407=ORIENTED_EDGE('',*,*,#182245,.F.); #235408=ORIENTED_EDGE('',*,*,#182246,.T.); #235409=ORIENTED_EDGE('',*,*,#182245,.T.); #235410=ORIENTED_EDGE('',*,*,#182247,.F.); #235411=ORIENTED_EDGE('',*,*,#182248,.F.); #235412=ORIENTED_EDGE('',*,*,#182249,.T.); #235413=ORIENTED_EDGE('',*,*,#182248,.T.); #235414=ORIENTED_EDGE('',*,*,#182250,.F.); #235415=ORIENTED_EDGE('',*,*,#182251,.F.); #235416=ORIENTED_EDGE('',*,*,#182252,.T.); #235417=ORIENTED_EDGE('',*,*,#182251,.T.); #235418=ORIENTED_EDGE('',*,*,#182253,.F.); #235419=ORIENTED_EDGE('',*,*,#182254,.F.); #235420=ORIENTED_EDGE('',*,*,#182255,.T.); #235421=ORIENTED_EDGE('',*,*,#182254,.T.); #235422=ORIENTED_EDGE('',*,*,#182256,.F.); #235423=ORIENTED_EDGE('',*,*,#182257,.F.); #235424=ORIENTED_EDGE('',*,*,#182258,.T.); #235425=ORIENTED_EDGE('',*,*,#182257,.T.); #235426=ORIENTED_EDGE('',*,*,#182259,.F.); #235427=ORIENTED_EDGE('',*,*,#182260,.F.); #235428=ORIENTED_EDGE('',*,*,#182261,.T.); #235429=ORIENTED_EDGE('',*,*,#182260,.T.); #235430=ORIENTED_EDGE('',*,*,#182262,.F.); #235431=ORIENTED_EDGE('',*,*,#182263,.F.); #235432=ORIENTED_EDGE('',*,*,#182264,.T.); #235433=ORIENTED_EDGE('',*,*,#182263,.T.); #235434=ORIENTED_EDGE('',*,*,#182265,.F.); #235435=ORIENTED_EDGE('',*,*,#182266,.F.); #235436=ORIENTED_EDGE('',*,*,#182267,.T.); #235437=ORIENTED_EDGE('',*,*,#182266,.T.); #235438=ORIENTED_EDGE('',*,*,#182268,.F.); #235439=ORIENTED_EDGE('',*,*,#182269,.F.); #235440=ORIENTED_EDGE('',*,*,#182270,.T.); #235441=ORIENTED_EDGE('',*,*,#182269,.T.); #235442=ORIENTED_EDGE('',*,*,#182271,.F.); #235443=ORIENTED_EDGE('',*,*,#182272,.F.); #235444=ORIENTED_EDGE('',*,*,#182273,.T.); #235445=ORIENTED_EDGE('',*,*,#182272,.T.); #235446=ORIENTED_EDGE('',*,*,#182274,.F.); #235447=ORIENTED_EDGE('',*,*,#182275,.F.); #235448=ORIENTED_EDGE('',*,*,#182276,.T.); #235449=ORIENTED_EDGE('',*,*,#182275,.T.); #235450=ORIENTED_EDGE('',*,*,#182277,.F.); #235451=ORIENTED_EDGE('',*,*,#182278,.F.); #235452=ORIENTED_EDGE('',*,*,#182279,.T.); #235453=ORIENTED_EDGE('',*,*,#182278,.T.); #235454=ORIENTED_EDGE('',*,*,#182280,.F.); #235455=ORIENTED_EDGE('',*,*,#182281,.F.); #235456=ORIENTED_EDGE('',*,*,#182282,.T.); #235457=ORIENTED_EDGE('',*,*,#182281,.T.); #235458=ORIENTED_EDGE('',*,*,#182283,.F.); #235459=ORIENTED_EDGE('',*,*,#182284,.F.); #235460=ORIENTED_EDGE('',*,*,#182285,.T.); #235461=ORIENTED_EDGE('',*,*,#182284,.T.); #235462=ORIENTED_EDGE('',*,*,#182286,.F.); #235463=ORIENTED_EDGE('',*,*,#182287,.F.); #235464=ORIENTED_EDGE('',*,*,#182288,.T.); #235465=ORIENTED_EDGE('',*,*,#182287,.T.); #235466=ORIENTED_EDGE('',*,*,#182289,.F.); #235467=ORIENTED_EDGE('',*,*,#182290,.F.); #235468=ORIENTED_EDGE('',*,*,#182291,.T.); #235469=ORIENTED_EDGE('',*,*,#182290,.T.); #235470=ORIENTED_EDGE('',*,*,#182292,.F.); #235471=ORIENTED_EDGE('',*,*,#182293,.F.); #235472=ORIENTED_EDGE('',*,*,#182294,.T.); #235473=ORIENTED_EDGE('',*,*,#182293,.T.); #235474=ORIENTED_EDGE('',*,*,#182295,.F.); #235475=ORIENTED_EDGE('',*,*,#182296,.F.); #235476=ORIENTED_EDGE('',*,*,#182297,.T.); #235477=ORIENTED_EDGE('',*,*,#182296,.T.); #235478=ORIENTED_EDGE('',*,*,#182298,.F.); #235479=ORIENTED_EDGE('',*,*,#182299,.F.); #235480=ORIENTED_EDGE('',*,*,#182300,.T.); #235481=ORIENTED_EDGE('',*,*,#182299,.T.); #235482=ORIENTED_EDGE('',*,*,#182301,.F.); #235483=ORIENTED_EDGE('',*,*,#182302,.F.); #235484=ORIENTED_EDGE('',*,*,#182303,.T.); #235485=ORIENTED_EDGE('',*,*,#182302,.T.); #235486=ORIENTED_EDGE('',*,*,#182304,.F.); #235487=ORIENTED_EDGE('',*,*,#182305,.F.); #235488=ORIENTED_EDGE('',*,*,#182306,.T.); #235489=ORIENTED_EDGE('',*,*,#182305,.T.); #235490=ORIENTED_EDGE('',*,*,#182307,.F.); #235491=ORIENTED_EDGE('',*,*,#182308,.F.); #235492=ORIENTED_EDGE('',*,*,#182309,.T.); #235493=ORIENTED_EDGE('',*,*,#182308,.T.); #235494=ORIENTED_EDGE('',*,*,#182310,.F.); #235495=ORIENTED_EDGE('',*,*,#182311,.F.); #235496=ORIENTED_EDGE('',*,*,#182312,.T.); #235497=ORIENTED_EDGE('',*,*,#182311,.T.); #235498=ORIENTED_EDGE('',*,*,#182313,.F.); #235499=ORIENTED_EDGE('',*,*,#182314,.F.); #235500=ORIENTED_EDGE('',*,*,#182315,.T.); #235501=ORIENTED_EDGE('',*,*,#182314,.T.); #235502=ORIENTED_EDGE('',*,*,#182316,.F.); #235503=ORIENTED_EDGE('',*,*,#182317,.F.); #235504=ORIENTED_EDGE('',*,*,#182318,.T.); #235505=ORIENTED_EDGE('',*,*,#182317,.T.); #235506=ORIENTED_EDGE('',*,*,#182319,.F.); #235507=ORIENTED_EDGE('',*,*,#182320,.F.); #235508=ORIENTED_EDGE('',*,*,#182321,.T.); #235509=ORIENTED_EDGE('',*,*,#182320,.T.); #235510=ORIENTED_EDGE('',*,*,#182322,.F.); #235511=ORIENTED_EDGE('',*,*,#182323,.F.); #235512=ORIENTED_EDGE('',*,*,#182324,.T.); #235513=ORIENTED_EDGE('',*,*,#182323,.T.); #235514=ORIENTED_EDGE('',*,*,#182325,.F.); #235515=ORIENTED_EDGE('',*,*,#182326,.F.); #235516=ORIENTED_EDGE('',*,*,#182327,.T.); #235517=ORIENTED_EDGE('',*,*,#182326,.T.); #235518=ORIENTED_EDGE('',*,*,#182328,.F.); #235519=ORIENTED_EDGE('',*,*,#182329,.F.); #235520=ORIENTED_EDGE('',*,*,#182330,.T.); #235521=ORIENTED_EDGE('',*,*,#182329,.T.); #235522=ORIENTED_EDGE('',*,*,#182331,.F.); #235523=ORIENTED_EDGE('',*,*,#182332,.F.); #235524=ORIENTED_EDGE('',*,*,#182333,.T.); #235525=ORIENTED_EDGE('',*,*,#182332,.T.); #235526=ORIENTED_EDGE('',*,*,#182334,.F.); #235527=ORIENTED_EDGE('',*,*,#182335,.F.); #235528=ORIENTED_EDGE('',*,*,#182336,.T.); #235529=ORIENTED_EDGE('',*,*,#182335,.T.); #235530=ORIENTED_EDGE('',*,*,#182337,.F.); #235531=ORIENTED_EDGE('',*,*,#182338,.F.); #235532=ORIENTED_EDGE('',*,*,#182339,.T.); #235533=ORIENTED_EDGE('',*,*,#182338,.T.); #235534=ORIENTED_EDGE('',*,*,#182340,.F.); #235535=ORIENTED_EDGE('',*,*,#182341,.F.); #235536=ORIENTED_EDGE('',*,*,#182342,.T.); #235537=ORIENTED_EDGE('',*,*,#182341,.T.); #235538=ORIENTED_EDGE('',*,*,#182343,.F.); #235539=ORIENTED_EDGE('',*,*,#182344,.F.); #235540=ORIENTED_EDGE('',*,*,#182345,.T.); #235541=ORIENTED_EDGE('',*,*,#182344,.T.); #235542=ORIENTED_EDGE('',*,*,#182346,.F.); #235543=ORIENTED_EDGE('',*,*,#182347,.F.); #235544=ORIENTED_EDGE('',*,*,#182348,.T.); #235545=ORIENTED_EDGE('',*,*,#182347,.T.); #235546=ORIENTED_EDGE('',*,*,#182349,.F.); #235547=ORIENTED_EDGE('',*,*,#182350,.F.); #235548=ORIENTED_EDGE('',*,*,#182351,.T.); #235549=ORIENTED_EDGE('',*,*,#182350,.T.); #235550=ORIENTED_EDGE('',*,*,#182352,.F.); #235551=ORIENTED_EDGE('',*,*,#182353,.F.); #235552=ORIENTED_EDGE('',*,*,#182354,.T.); #235553=ORIENTED_EDGE('',*,*,#182353,.T.); #235554=ORIENTED_EDGE('',*,*,#182355,.F.); #235555=ORIENTED_EDGE('',*,*,#182356,.F.); #235556=ORIENTED_EDGE('',*,*,#182357,.T.); #235557=ORIENTED_EDGE('',*,*,#182356,.T.); #235558=ORIENTED_EDGE('',*,*,#182358,.F.); #235559=ORIENTED_EDGE('',*,*,#182359,.F.); #235560=ORIENTED_EDGE('',*,*,#182360,.T.); #235561=ORIENTED_EDGE('',*,*,#182359,.T.); #235562=ORIENTED_EDGE('',*,*,#182361,.F.); #235563=ORIENTED_EDGE('',*,*,#182362,.F.); #235564=ORIENTED_EDGE('',*,*,#182363,.T.); #235565=ORIENTED_EDGE('',*,*,#182362,.T.); #235566=ORIENTED_EDGE('',*,*,#182364,.F.); #235567=ORIENTED_EDGE('',*,*,#182365,.F.); #235568=ORIENTED_EDGE('',*,*,#182366,.T.); #235569=ORIENTED_EDGE('',*,*,#182365,.T.); #235570=ORIENTED_EDGE('',*,*,#182367,.F.); #235571=ORIENTED_EDGE('',*,*,#182368,.F.); #235572=ORIENTED_EDGE('',*,*,#182369,.T.); #235573=ORIENTED_EDGE('',*,*,#182368,.T.); #235574=ORIENTED_EDGE('',*,*,#182370,.F.); #235575=ORIENTED_EDGE('',*,*,#182371,.F.); #235576=ORIENTED_EDGE('',*,*,#182372,.T.); #235577=ORIENTED_EDGE('',*,*,#182371,.T.); #235578=ORIENTED_EDGE('',*,*,#182373,.F.); #235579=ORIENTED_EDGE('',*,*,#182374,.F.); #235580=ORIENTED_EDGE('',*,*,#182375,.T.); #235581=ORIENTED_EDGE('',*,*,#182374,.T.); #235582=ORIENTED_EDGE('',*,*,#182376,.F.); #235583=ORIENTED_EDGE('',*,*,#182377,.F.); #235584=ORIENTED_EDGE('',*,*,#182378,.T.); #235585=ORIENTED_EDGE('',*,*,#182377,.T.); #235586=ORIENTED_EDGE('',*,*,#182379,.F.); #235587=ORIENTED_EDGE('',*,*,#182380,.F.); #235588=ORIENTED_EDGE('',*,*,#182381,.T.); #235589=ORIENTED_EDGE('',*,*,#182380,.T.); #235590=ORIENTED_EDGE('',*,*,#182382,.F.); #235591=ORIENTED_EDGE('',*,*,#182383,.F.); #235592=ORIENTED_EDGE('',*,*,#182384,.T.); #235593=ORIENTED_EDGE('',*,*,#182383,.T.); #235594=ORIENTED_EDGE('',*,*,#182385,.F.); #235595=ORIENTED_EDGE('',*,*,#182386,.F.); #235596=ORIENTED_EDGE('',*,*,#182387,.T.); #235597=ORIENTED_EDGE('',*,*,#182386,.T.); #235598=ORIENTED_EDGE('',*,*,#182388,.F.); #235599=ORIENTED_EDGE('',*,*,#182389,.F.); #235600=ORIENTED_EDGE('',*,*,#182390,.T.); #235601=ORIENTED_EDGE('',*,*,#182389,.T.); #235602=ORIENTED_EDGE('',*,*,#182391,.F.); #235603=ORIENTED_EDGE('',*,*,#182392,.F.); #235604=ORIENTED_EDGE('',*,*,#182393,.T.); #235605=ORIENTED_EDGE('',*,*,#182392,.T.); #235606=ORIENTED_EDGE('',*,*,#182394,.F.); #235607=ORIENTED_EDGE('',*,*,#182395,.F.); #235608=ORIENTED_EDGE('',*,*,#182396,.T.); #235609=ORIENTED_EDGE('',*,*,#182395,.T.); #235610=ORIENTED_EDGE('',*,*,#182397,.F.); #235611=ORIENTED_EDGE('',*,*,#182398,.F.); #235612=ORIENTED_EDGE('',*,*,#182399,.T.); #235613=ORIENTED_EDGE('',*,*,#182398,.T.); #235614=ORIENTED_EDGE('',*,*,#182400,.F.); #235615=ORIENTED_EDGE('',*,*,#182401,.F.); #235616=ORIENTED_EDGE('',*,*,#182402,.T.); #235617=ORIENTED_EDGE('',*,*,#182401,.T.); #235618=ORIENTED_EDGE('',*,*,#182403,.F.); #235619=ORIENTED_EDGE('',*,*,#182404,.F.); #235620=ORIENTED_EDGE('',*,*,#182405,.T.); #235621=ORIENTED_EDGE('',*,*,#182404,.T.); #235622=ORIENTED_EDGE('',*,*,#182406,.F.); #235623=ORIENTED_EDGE('',*,*,#182407,.F.); #235624=ORIENTED_EDGE('',*,*,#182408,.T.); #235625=ORIENTED_EDGE('',*,*,#182407,.T.); #235626=ORIENTED_EDGE('',*,*,#182409,.F.); #235627=ORIENTED_EDGE('',*,*,#182141,.F.); #235628=ORIENTED_EDGE('',*,*,#182409,.T.); #235629=ORIENTED_EDGE('',*,*,#182406,.T.); #235630=ORIENTED_EDGE('',*,*,#182403,.T.); #235631=ORIENTED_EDGE('',*,*,#182400,.T.); #235632=ORIENTED_EDGE('',*,*,#182397,.T.); #235633=ORIENTED_EDGE('',*,*,#182394,.T.); #235634=ORIENTED_EDGE('',*,*,#182391,.T.); #235635=ORIENTED_EDGE('',*,*,#182388,.T.); #235636=ORIENTED_EDGE('',*,*,#182385,.T.); #235637=ORIENTED_EDGE('',*,*,#182382,.T.); #235638=ORIENTED_EDGE('',*,*,#182379,.T.); #235639=ORIENTED_EDGE('',*,*,#182376,.T.); #235640=ORIENTED_EDGE('',*,*,#182373,.T.); #235641=ORIENTED_EDGE('',*,*,#182370,.T.); #235642=ORIENTED_EDGE('',*,*,#182367,.T.); #235643=ORIENTED_EDGE('',*,*,#182364,.T.); #235644=ORIENTED_EDGE('',*,*,#182361,.T.); #235645=ORIENTED_EDGE('',*,*,#182358,.T.); #235646=ORIENTED_EDGE('',*,*,#182355,.T.); #235647=ORIENTED_EDGE('',*,*,#182352,.T.); #235648=ORIENTED_EDGE('',*,*,#182349,.T.); #235649=ORIENTED_EDGE('',*,*,#182346,.T.); #235650=ORIENTED_EDGE('',*,*,#182343,.T.); #235651=ORIENTED_EDGE('',*,*,#182340,.T.); #235652=ORIENTED_EDGE('',*,*,#182337,.T.); #235653=ORIENTED_EDGE('',*,*,#182334,.T.); #235654=ORIENTED_EDGE('',*,*,#182331,.T.); #235655=ORIENTED_EDGE('',*,*,#182328,.T.); #235656=ORIENTED_EDGE('',*,*,#182325,.T.); #235657=ORIENTED_EDGE('',*,*,#182322,.T.); #235658=ORIENTED_EDGE('',*,*,#182319,.T.); #235659=ORIENTED_EDGE('',*,*,#182316,.T.); #235660=ORIENTED_EDGE('',*,*,#182313,.T.); #235661=ORIENTED_EDGE('',*,*,#182310,.T.); #235662=ORIENTED_EDGE('',*,*,#182307,.T.); #235663=ORIENTED_EDGE('',*,*,#182304,.T.); #235664=ORIENTED_EDGE('',*,*,#182301,.T.); #235665=ORIENTED_EDGE('',*,*,#182298,.T.); #235666=ORIENTED_EDGE('',*,*,#182295,.T.); #235667=ORIENTED_EDGE('',*,*,#182292,.T.); #235668=ORIENTED_EDGE('',*,*,#182289,.T.); #235669=ORIENTED_EDGE('',*,*,#182286,.T.); #235670=ORIENTED_EDGE('',*,*,#182283,.T.); #235671=ORIENTED_EDGE('',*,*,#182280,.T.); #235672=ORIENTED_EDGE('',*,*,#182277,.T.); #235673=ORIENTED_EDGE('',*,*,#182274,.T.); #235674=ORIENTED_EDGE('',*,*,#182271,.T.); #235675=ORIENTED_EDGE('',*,*,#182268,.T.); #235676=ORIENTED_EDGE('',*,*,#182265,.T.); #235677=ORIENTED_EDGE('',*,*,#182262,.T.); #235678=ORIENTED_EDGE('',*,*,#182259,.T.); #235679=ORIENTED_EDGE('',*,*,#182256,.T.); #235680=ORIENTED_EDGE('',*,*,#182253,.T.); #235681=ORIENTED_EDGE('',*,*,#182250,.T.); #235682=ORIENTED_EDGE('',*,*,#182247,.T.); #235683=ORIENTED_EDGE('',*,*,#182244,.T.); #235684=ORIENTED_EDGE('',*,*,#182241,.T.); #235685=ORIENTED_EDGE('',*,*,#182238,.T.); #235686=ORIENTED_EDGE('',*,*,#182235,.T.); #235687=ORIENTED_EDGE('',*,*,#182232,.T.); #235688=ORIENTED_EDGE('',*,*,#182229,.T.); #235689=ORIENTED_EDGE('',*,*,#182226,.T.); #235690=ORIENTED_EDGE('',*,*,#182223,.T.); #235691=ORIENTED_EDGE('',*,*,#182220,.T.); #235692=ORIENTED_EDGE('',*,*,#182217,.T.); #235693=ORIENTED_EDGE('',*,*,#182214,.T.); #235694=ORIENTED_EDGE('',*,*,#182211,.T.); #235695=ORIENTED_EDGE('',*,*,#182208,.T.); #235696=ORIENTED_EDGE('',*,*,#182205,.T.); #235697=ORIENTED_EDGE('',*,*,#182202,.T.); #235698=ORIENTED_EDGE('',*,*,#182199,.T.); #235699=ORIENTED_EDGE('',*,*,#182196,.T.); #235700=ORIENTED_EDGE('',*,*,#182193,.T.); #235701=ORIENTED_EDGE('',*,*,#182190,.T.); #235702=ORIENTED_EDGE('',*,*,#182187,.T.); #235703=ORIENTED_EDGE('',*,*,#182184,.T.); #235704=ORIENTED_EDGE('',*,*,#182181,.T.); #235705=ORIENTED_EDGE('',*,*,#182178,.T.); #235706=ORIENTED_EDGE('',*,*,#182175,.T.); #235707=ORIENTED_EDGE('',*,*,#182172,.T.); #235708=ORIENTED_EDGE('',*,*,#182169,.T.); #235709=ORIENTED_EDGE('',*,*,#182166,.T.); #235710=ORIENTED_EDGE('',*,*,#182163,.T.); #235711=ORIENTED_EDGE('',*,*,#182160,.T.); #235712=ORIENTED_EDGE('',*,*,#182157,.T.); #235713=ORIENTED_EDGE('',*,*,#182154,.T.); #235714=ORIENTED_EDGE('',*,*,#182151,.T.); #235715=ORIENTED_EDGE('',*,*,#182148,.T.); #235716=ORIENTED_EDGE('',*,*,#182145,.T.); #235717=ORIENTED_EDGE('',*,*,#182142,.T.); #235718=ORIENTED_EDGE('',*,*,#182133,.T.); #235719=ORIENTED_EDGE('',*,*,#182136,.T.); #235720=ORIENTED_EDGE('',*,*,#182139,.T.); #235721=ORIENTED_EDGE('',*,*,#182408,.F.); #235722=ORIENTED_EDGE('',*,*,#182140,.F.); #235723=ORIENTED_EDGE('',*,*,#182144,.F.); #235724=ORIENTED_EDGE('',*,*,#182147,.F.); #235725=ORIENTED_EDGE('',*,*,#182150,.F.); #235726=ORIENTED_EDGE('',*,*,#182153,.F.); #235727=ORIENTED_EDGE('',*,*,#182156,.F.); #235728=ORIENTED_EDGE('',*,*,#182159,.F.); #235729=ORIENTED_EDGE('',*,*,#182162,.F.); #235730=ORIENTED_EDGE('',*,*,#182165,.F.); #235731=ORIENTED_EDGE('',*,*,#182168,.F.); #235732=ORIENTED_EDGE('',*,*,#182171,.F.); #235733=ORIENTED_EDGE('',*,*,#182174,.F.); #235734=ORIENTED_EDGE('',*,*,#182177,.F.); #235735=ORIENTED_EDGE('',*,*,#182180,.F.); #235736=ORIENTED_EDGE('',*,*,#182183,.F.); #235737=ORIENTED_EDGE('',*,*,#182186,.F.); #235738=ORIENTED_EDGE('',*,*,#182189,.F.); #235739=ORIENTED_EDGE('',*,*,#182192,.F.); #235740=ORIENTED_EDGE('',*,*,#182195,.F.); #235741=ORIENTED_EDGE('',*,*,#182198,.F.); #235742=ORIENTED_EDGE('',*,*,#182201,.F.); #235743=ORIENTED_EDGE('',*,*,#182204,.F.); #235744=ORIENTED_EDGE('',*,*,#182207,.F.); #235745=ORIENTED_EDGE('',*,*,#182210,.F.); #235746=ORIENTED_EDGE('',*,*,#182213,.F.); #235747=ORIENTED_EDGE('',*,*,#182216,.F.); #235748=ORIENTED_EDGE('',*,*,#182219,.F.); #235749=ORIENTED_EDGE('',*,*,#182222,.F.); #235750=ORIENTED_EDGE('',*,*,#182225,.F.); #235751=ORIENTED_EDGE('',*,*,#182228,.F.); #235752=ORIENTED_EDGE('',*,*,#182231,.F.); #235753=ORIENTED_EDGE('',*,*,#182234,.F.); #235754=ORIENTED_EDGE('',*,*,#182237,.F.); #235755=ORIENTED_EDGE('',*,*,#182240,.F.); #235756=ORIENTED_EDGE('',*,*,#182243,.F.); #235757=ORIENTED_EDGE('',*,*,#182246,.F.); #235758=ORIENTED_EDGE('',*,*,#182249,.F.); #235759=ORIENTED_EDGE('',*,*,#182252,.F.); #235760=ORIENTED_EDGE('',*,*,#182255,.F.); #235761=ORIENTED_EDGE('',*,*,#182258,.F.); #235762=ORIENTED_EDGE('',*,*,#182261,.F.); #235763=ORIENTED_EDGE('',*,*,#182264,.F.); #235764=ORIENTED_EDGE('',*,*,#182267,.F.); #235765=ORIENTED_EDGE('',*,*,#182270,.F.); #235766=ORIENTED_EDGE('',*,*,#182273,.F.); #235767=ORIENTED_EDGE('',*,*,#182276,.F.); #235768=ORIENTED_EDGE('',*,*,#182279,.F.); #235769=ORIENTED_EDGE('',*,*,#182282,.F.); #235770=ORIENTED_EDGE('',*,*,#182285,.F.); #235771=ORIENTED_EDGE('',*,*,#182288,.F.); #235772=ORIENTED_EDGE('',*,*,#182291,.F.); #235773=ORIENTED_EDGE('',*,*,#182294,.F.); #235774=ORIENTED_EDGE('',*,*,#182297,.F.); #235775=ORIENTED_EDGE('',*,*,#182300,.F.); #235776=ORIENTED_EDGE('',*,*,#182303,.F.); #235777=ORIENTED_EDGE('',*,*,#182306,.F.); #235778=ORIENTED_EDGE('',*,*,#182309,.F.); #235779=ORIENTED_EDGE('',*,*,#182312,.F.); #235780=ORIENTED_EDGE('',*,*,#182315,.F.); #235781=ORIENTED_EDGE('',*,*,#182318,.F.); #235782=ORIENTED_EDGE('',*,*,#182321,.F.); #235783=ORIENTED_EDGE('',*,*,#182324,.F.); #235784=ORIENTED_EDGE('',*,*,#182327,.F.); #235785=ORIENTED_EDGE('',*,*,#182330,.F.); #235786=ORIENTED_EDGE('',*,*,#182333,.F.); #235787=ORIENTED_EDGE('',*,*,#182336,.F.); #235788=ORIENTED_EDGE('',*,*,#182339,.F.); #235789=ORIENTED_EDGE('',*,*,#182342,.F.); #235790=ORIENTED_EDGE('',*,*,#182345,.F.); #235791=ORIENTED_EDGE('',*,*,#182348,.F.); #235792=ORIENTED_EDGE('',*,*,#182351,.F.); #235793=ORIENTED_EDGE('',*,*,#182354,.F.); #235794=ORIENTED_EDGE('',*,*,#182357,.F.); #235795=ORIENTED_EDGE('',*,*,#182360,.F.); #235796=ORIENTED_EDGE('',*,*,#182363,.F.); #235797=ORIENTED_EDGE('',*,*,#182366,.F.); #235798=ORIENTED_EDGE('',*,*,#182369,.F.); #235799=ORIENTED_EDGE('',*,*,#182372,.F.); #235800=ORIENTED_EDGE('',*,*,#182375,.F.); #235801=ORIENTED_EDGE('',*,*,#182378,.F.); #235802=ORIENTED_EDGE('',*,*,#182381,.F.); #235803=ORIENTED_EDGE('',*,*,#182384,.F.); #235804=ORIENTED_EDGE('',*,*,#182387,.F.); #235805=ORIENTED_EDGE('',*,*,#182390,.F.); #235806=ORIENTED_EDGE('',*,*,#182393,.F.); #235807=ORIENTED_EDGE('',*,*,#182396,.F.); #235808=ORIENTED_EDGE('',*,*,#182399,.F.); #235809=ORIENTED_EDGE('',*,*,#182402,.F.); #235810=ORIENTED_EDGE('',*,*,#182405,.F.); #235811=ORIENTED_EDGE('',*,*,#182131,.T.); #235812=ORIENTED_EDGE('',*,*,#182134,.T.); #235813=ORIENTED_EDGE('',*,*,#182137,.T.); #235814=ORIENTED_EDGE('',*,*,#182410,.F.); #235815=ORIENTED_EDGE('',*,*,#182411,.T.); #235816=ORIENTED_EDGE('',*,*,#182412,.F.); #235817=ORIENTED_EDGE('',*,*,#182411,.F.); #235818=ORIENTED_EDGE('',*,*,#182413,.F.); #235819=ORIENTED_EDGE('',*,*,#182414,.T.); #235820=ORIENTED_EDGE('',*,*,#182415,.F.); #235821=ORIENTED_EDGE('',*,*,#182414,.F.); #235822=ORIENTED_EDGE('',*,*,#182416,.F.); #235823=ORIENTED_EDGE('',*,*,#182417,.T.); #235824=ORIENTED_EDGE('',*,*,#182418,.F.); #235825=ORIENTED_EDGE('',*,*,#182417,.F.); #235826=ORIENTED_EDGE('',*,*,#182419,.F.); #235827=ORIENTED_EDGE('',*,*,#182420,.T.); #235828=ORIENTED_EDGE('',*,*,#182421,.F.); #235829=ORIENTED_EDGE('',*,*,#182420,.F.); #235830=ORIENTED_EDGE('',*,*,#182422,.F.); #235831=ORIENTED_EDGE('',*,*,#182423,.T.); #235832=ORIENTED_EDGE('',*,*,#182424,.F.); #235833=ORIENTED_EDGE('',*,*,#182423,.F.); #235834=ORIENTED_EDGE('',*,*,#182425,.F.); #235835=ORIENTED_EDGE('',*,*,#182426,.T.); #235836=ORIENTED_EDGE('',*,*,#182427,.F.); #235837=ORIENTED_EDGE('',*,*,#182426,.F.); #235838=ORIENTED_EDGE('',*,*,#182428,.F.); #235839=ORIENTED_EDGE('',*,*,#182429,.T.); #235840=ORIENTED_EDGE('',*,*,#182430,.F.); #235841=ORIENTED_EDGE('',*,*,#182429,.F.); #235842=ORIENTED_EDGE('',*,*,#182431,.F.); #235843=ORIENTED_EDGE('',*,*,#182432,.T.); #235844=ORIENTED_EDGE('',*,*,#182433,.F.); #235845=ORIENTED_EDGE('',*,*,#182432,.F.); #235846=ORIENTED_EDGE('',*,*,#182434,.F.); #235847=ORIENTED_EDGE('',*,*,#182435,.T.); #235848=ORIENTED_EDGE('',*,*,#182436,.F.); #235849=ORIENTED_EDGE('',*,*,#182435,.F.); #235850=ORIENTED_EDGE('',*,*,#182437,.F.); #235851=ORIENTED_EDGE('',*,*,#182438,.F.); #235852=ORIENTED_EDGE('',*,*,#182439,.F.); #235853=ORIENTED_EDGE('',*,*,#182440,.T.); #235854=ORIENTED_EDGE('',*,*,#182441,.F.); #235855=ORIENTED_EDGE('',*,*,#182440,.F.); #235856=ORIENTED_EDGE('',*,*,#182442,.F.); #235857=ORIENTED_EDGE('',*,*,#182443,.T.); #235858=ORIENTED_EDGE('',*,*,#182444,.F.); #235859=ORIENTED_EDGE('',*,*,#182443,.F.); #235860=ORIENTED_EDGE('',*,*,#182445,.F.); #235861=ORIENTED_EDGE('',*,*,#182446,.T.); #235862=ORIENTED_EDGE('',*,*,#182447,.F.); #235863=ORIENTED_EDGE('',*,*,#182446,.F.); #235864=ORIENTED_EDGE('',*,*,#182448,.F.); #235865=ORIENTED_EDGE('',*,*,#182438,.T.); #235866=ORIENTED_EDGE('',*,*,#182449,.F.); #235867=ORIENTED_EDGE('',*,*,#182450,.F.); #235868=ORIENTED_EDGE('',*,*,#182451,.F.); #235869=ORIENTED_EDGE('',*,*,#182452,.T.); #235870=ORIENTED_EDGE('',*,*,#182453,.F.); #235871=ORIENTED_EDGE('',*,*,#182452,.F.); #235872=ORIENTED_EDGE('',*,*,#182454,.F.); #235873=ORIENTED_EDGE('',*,*,#182455,.T.); #235874=ORIENTED_EDGE('',*,*,#182456,.F.); #235875=ORIENTED_EDGE('',*,*,#182455,.F.); #235876=ORIENTED_EDGE('',*,*,#182457,.F.); #235877=ORIENTED_EDGE('',*,*,#182458,.T.); #235878=ORIENTED_EDGE('',*,*,#182459,.F.); #235879=ORIENTED_EDGE('',*,*,#182458,.F.); #235880=ORIENTED_EDGE('',*,*,#182460,.F.); #235881=ORIENTED_EDGE('',*,*,#182450,.T.); #235882=ORIENTED_EDGE('',*,*,#182461,.F.); #235883=ORIENTED_EDGE('',*,*,#182462,.T.); #235884=ORIENTED_EDGE('',*,*,#182463,.F.); #235885=ORIENTED_EDGE('',*,*,#182462,.F.); #235886=ORIENTED_EDGE('',*,*,#182464,.F.); #235887=ORIENTED_EDGE('',*,*,#182465,.T.); #235888=ORIENTED_EDGE('',*,*,#182466,.F.); #235889=ORIENTED_EDGE('',*,*,#182465,.F.); #235890=ORIENTED_EDGE('',*,*,#182467,.F.); #235891=ORIENTED_EDGE('',*,*,#182468,.T.); #235892=ORIENTED_EDGE('',*,*,#182469,.F.); #235893=ORIENTED_EDGE('',*,*,#182468,.F.); #235894=ORIENTED_EDGE('',*,*,#182470,.F.); #235895=ORIENTED_EDGE('',*,*,#182471,.T.); #235896=ORIENTED_EDGE('',*,*,#182472,.F.); #235897=ORIENTED_EDGE('',*,*,#182471,.F.); #235898=ORIENTED_EDGE('',*,*,#182473,.F.); #235899=ORIENTED_EDGE('',*,*,#182474,.F.); #235900=ORIENTED_EDGE('',*,*,#182475,.F.); #235901=ORIENTED_EDGE('',*,*,#182476,.T.); #235902=ORIENTED_EDGE('',*,*,#182477,.F.); #235903=ORIENTED_EDGE('',*,*,#182476,.F.); #235904=ORIENTED_EDGE('',*,*,#182478,.F.); #235905=ORIENTED_EDGE('',*,*,#182479,.T.); #235906=ORIENTED_EDGE('',*,*,#182480,.F.); #235907=ORIENTED_EDGE('',*,*,#182479,.F.); #235908=ORIENTED_EDGE('',*,*,#182481,.F.); #235909=ORIENTED_EDGE('',*,*,#182482,.T.); #235910=ORIENTED_EDGE('',*,*,#182483,.F.); #235911=ORIENTED_EDGE('',*,*,#182482,.F.); #235912=ORIENTED_EDGE('',*,*,#182484,.F.); #235913=ORIENTED_EDGE('',*,*,#182474,.T.); #235914=ORIENTED_EDGE('',*,*,#182485,.F.); #235915=ORIENTED_EDGE('',*,*,#182486,.F.); #235916=ORIENTED_EDGE('',*,*,#182487,.F.); #235917=ORIENTED_EDGE('',*,*,#182488,.T.); #235918=ORIENTED_EDGE('',*,*,#182489,.F.); #235919=ORIENTED_EDGE('',*,*,#182488,.F.); #235920=ORIENTED_EDGE('',*,*,#182490,.F.); #235921=ORIENTED_EDGE('',*,*,#182491,.T.); #235922=ORIENTED_EDGE('',*,*,#182492,.F.); #235923=ORIENTED_EDGE('',*,*,#182491,.F.); #235924=ORIENTED_EDGE('',*,*,#182493,.F.); #235925=ORIENTED_EDGE('',*,*,#182494,.T.); #235926=ORIENTED_EDGE('',*,*,#182495,.F.); #235927=ORIENTED_EDGE('',*,*,#182494,.F.); #235928=ORIENTED_EDGE('',*,*,#182496,.F.); #235929=ORIENTED_EDGE('',*,*,#182486,.T.); #235930=ORIENTED_EDGE('',*,*,#182497,.F.); #235931=ORIENTED_EDGE('',*,*,#182498,.T.); #235932=ORIENTED_EDGE('',*,*,#182499,.F.); #235933=ORIENTED_EDGE('',*,*,#182498,.F.); #235934=ORIENTED_EDGE('',*,*,#182500,.F.); #235935=ORIENTED_EDGE('',*,*,#182501,.T.); #235936=ORIENTED_EDGE('',*,*,#182502,.F.); #235937=ORIENTED_EDGE('',*,*,#182501,.F.); #235938=ORIENTED_EDGE('',*,*,#182503,.F.); #235939=ORIENTED_EDGE('',*,*,#182504,.T.); #235940=ORIENTED_EDGE('',*,*,#182505,.F.); #235941=ORIENTED_EDGE('',*,*,#182504,.F.); #235942=ORIENTED_EDGE('',*,*,#182506,.F.); #235943=ORIENTED_EDGE('',*,*,#182507,.T.); #235944=ORIENTED_EDGE('',*,*,#182508,.F.); #235945=ORIENTED_EDGE('',*,*,#182507,.F.); #235946=ORIENTED_EDGE('',*,*,#182509,.F.); #235947=ORIENTED_EDGE('',*,*,#182510,.T.); #235948=ORIENTED_EDGE('',*,*,#182511,.F.); #235949=ORIENTED_EDGE('',*,*,#182510,.F.); #235950=ORIENTED_EDGE('',*,*,#182512,.F.); #235951=ORIENTED_EDGE('',*,*,#182513,.T.); #235952=ORIENTED_EDGE('',*,*,#182514,.F.); #235953=ORIENTED_EDGE('',*,*,#182513,.F.); #235954=ORIENTED_EDGE('',*,*,#182515,.F.); #235955=ORIENTED_EDGE('',*,*,#182516,.T.); #235956=ORIENTED_EDGE('',*,*,#182517,.F.); #235957=ORIENTED_EDGE('',*,*,#182516,.F.); #235958=ORIENTED_EDGE('',*,*,#182518,.F.); #235959=ORIENTED_EDGE('',*,*,#182519,.T.); #235960=ORIENTED_EDGE('',*,*,#182520,.F.); #235961=ORIENTED_EDGE('',*,*,#182519,.F.); #235962=ORIENTED_EDGE('',*,*,#182521,.F.); #235963=ORIENTED_EDGE('',*,*,#182522,.T.); #235964=ORIENTED_EDGE('',*,*,#182523,.F.); #235965=ORIENTED_EDGE('',*,*,#182522,.F.); #235966=ORIENTED_EDGE('',*,*,#182524,.F.); #235967=ORIENTED_EDGE('',*,*,#182525,.T.); #235968=ORIENTED_EDGE('',*,*,#182526,.F.); #235969=ORIENTED_EDGE('',*,*,#182525,.F.); #235970=ORIENTED_EDGE('',*,*,#182527,.F.); #235971=ORIENTED_EDGE('',*,*,#182528,.T.); #235972=ORIENTED_EDGE('',*,*,#182529,.F.); #235973=ORIENTED_EDGE('',*,*,#182528,.F.); #235974=ORIENTED_EDGE('',*,*,#182530,.F.); #235975=ORIENTED_EDGE('',*,*,#182531,.T.); #235976=ORIENTED_EDGE('',*,*,#182532,.F.); #235977=ORIENTED_EDGE('',*,*,#182531,.F.); #235978=ORIENTED_EDGE('',*,*,#182533,.F.); #235979=ORIENTED_EDGE('',*,*,#182534,.T.); #235980=ORIENTED_EDGE('',*,*,#182535,.F.); #235981=ORIENTED_EDGE('',*,*,#182534,.F.); #235982=ORIENTED_EDGE('',*,*,#182536,.F.); #235983=ORIENTED_EDGE('',*,*,#182537,.T.); #235984=ORIENTED_EDGE('',*,*,#182538,.F.); #235985=ORIENTED_EDGE('',*,*,#182537,.F.); #235986=ORIENTED_EDGE('',*,*,#182539,.F.); #235987=ORIENTED_EDGE('',*,*,#182540,.T.); #235988=ORIENTED_EDGE('',*,*,#182541,.F.); #235989=ORIENTED_EDGE('',*,*,#182540,.F.); #235990=ORIENTED_EDGE('',*,*,#182542,.F.); #235991=ORIENTED_EDGE('',*,*,#182543,.T.); #235992=ORIENTED_EDGE('',*,*,#182544,.F.); #235993=ORIENTED_EDGE('',*,*,#182543,.F.); #235994=ORIENTED_EDGE('',*,*,#182545,.F.); #235995=ORIENTED_EDGE('',*,*,#182546,.T.); #235996=ORIENTED_EDGE('',*,*,#182547,.F.); #235997=ORIENTED_EDGE('',*,*,#182546,.F.); #235998=ORIENTED_EDGE('',*,*,#182548,.F.); #235999=ORIENTED_EDGE('',*,*,#182549,.T.); #236000=ORIENTED_EDGE('',*,*,#182550,.F.); #236001=ORIENTED_EDGE('',*,*,#182549,.F.); #236002=ORIENTED_EDGE('',*,*,#182551,.F.); #236003=ORIENTED_EDGE('',*,*,#182552,.T.); #236004=ORIENTED_EDGE('',*,*,#182553,.F.); #236005=ORIENTED_EDGE('',*,*,#182552,.F.); #236006=ORIENTED_EDGE('',*,*,#182554,.F.); #236007=ORIENTED_EDGE('',*,*,#182555,.T.); #236008=ORIENTED_EDGE('',*,*,#182556,.F.); #236009=ORIENTED_EDGE('',*,*,#182555,.F.); #236010=ORIENTED_EDGE('',*,*,#182557,.F.); #236011=ORIENTED_EDGE('',*,*,#182558,.T.); #236012=ORIENTED_EDGE('',*,*,#182559,.F.); #236013=ORIENTED_EDGE('',*,*,#182558,.F.); #236014=ORIENTED_EDGE('',*,*,#182560,.F.); #236015=ORIENTED_EDGE('',*,*,#182561,.T.); #236016=ORIENTED_EDGE('',*,*,#182562,.F.); #236017=ORIENTED_EDGE('',*,*,#182561,.F.); #236018=ORIENTED_EDGE('',*,*,#182563,.F.); #236019=ORIENTED_EDGE('',*,*,#182564,.T.); #236020=ORIENTED_EDGE('',*,*,#182565,.F.); #236021=ORIENTED_EDGE('',*,*,#182564,.F.); #236022=ORIENTED_EDGE('',*,*,#182566,.F.); #236023=ORIENTED_EDGE('',*,*,#182567,.T.); #236024=ORIENTED_EDGE('',*,*,#182568,.F.); #236025=ORIENTED_EDGE('',*,*,#182567,.F.); #236026=ORIENTED_EDGE('',*,*,#182569,.F.); #236027=ORIENTED_EDGE('',*,*,#182570,.T.); #236028=ORIENTED_EDGE('',*,*,#182571,.F.); #236029=ORIENTED_EDGE('',*,*,#182570,.F.); #236030=ORIENTED_EDGE('',*,*,#182572,.F.); #236031=ORIENTED_EDGE('',*,*,#182573,.T.); #236032=ORIENTED_EDGE('',*,*,#182574,.F.); #236033=ORIENTED_EDGE('',*,*,#182573,.F.); #236034=ORIENTED_EDGE('',*,*,#182575,.F.); #236035=ORIENTED_EDGE('',*,*,#182576,.T.); #236036=ORIENTED_EDGE('',*,*,#182577,.F.); #236037=ORIENTED_EDGE('',*,*,#182576,.F.); #236038=ORIENTED_EDGE('',*,*,#182578,.F.); #236039=ORIENTED_EDGE('',*,*,#182579,.T.); #236040=ORIENTED_EDGE('',*,*,#182580,.F.); #236041=ORIENTED_EDGE('',*,*,#182579,.F.); #236042=ORIENTED_EDGE('',*,*,#182581,.F.); #236043=ORIENTED_EDGE('',*,*,#182582,.T.); #236044=ORIENTED_EDGE('',*,*,#182583,.F.); #236045=ORIENTED_EDGE('',*,*,#182582,.F.); #236046=ORIENTED_EDGE('',*,*,#182584,.F.); #236047=ORIENTED_EDGE('',*,*,#182585,.T.); #236048=ORIENTED_EDGE('',*,*,#182586,.F.); #236049=ORIENTED_EDGE('',*,*,#182585,.F.); #236050=ORIENTED_EDGE('',*,*,#182587,.F.); #236051=ORIENTED_EDGE('',*,*,#182588,.T.); #236052=ORIENTED_EDGE('',*,*,#182589,.F.); #236053=ORIENTED_EDGE('',*,*,#182588,.F.); #236054=ORIENTED_EDGE('',*,*,#182590,.F.); #236055=ORIENTED_EDGE('',*,*,#182591,.T.); #236056=ORIENTED_EDGE('',*,*,#182592,.F.); #236057=ORIENTED_EDGE('',*,*,#182591,.F.); #236058=ORIENTED_EDGE('',*,*,#182593,.F.); #236059=ORIENTED_EDGE('',*,*,#182594,.T.); #236060=ORIENTED_EDGE('',*,*,#182595,.F.); #236061=ORIENTED_EDGE('',*,*,#182594,.F.); #236062=ORIENTED_EDGE('',*,*,#182596,.F.); #236063=ORIENTED_EDGE('',*,*,#182597,.T.); #236064=ORIENTED_EDGE('',*,*,#182598,.F.); #236065=ORIENTED_EDGE('',*,*,#182597,.F.); #236066=ORIENTED_EDGE('',*,*,#182599,.F.); #236067=ORIENTED_EDGE('',*,*,#182600,.T.); #236068=ORIENTED_EDGE('',*,*,#182601,.F.); #236069=ORIENTED_EDGE('',*,*,#182600,.F.); #236070=ORIENTED_EDGE('',*,*,#182602,.F.); #236071=ORIENTED_EDGE('',*,*,#182603,.T.); #236072=ORIENTED_EDGE('',*,*,#182604,.F.); #236073=ORIENTED_EDGE('',*,*,#182603,.F.); #236074=ORIENTED_EDGE('',*,*,#182605,.F.); #236075=ORIENTED_EDGE('',*,*,#182606,.T.); #236076=ORIENTED_EDGE('',*,*,#182607,.F.); #236077=ORIENTED_EDGE('',*,*,#182606,.F.); #236078=ORIENTED_EDGE('',*,*,#182608,.F.); #236079=ORIENTED_EDGE('',*,*,#182609,.T.); #236080=ORIENTED_EDGE('',*,*,#182610,.F.); #236081=ORIENTED_EDGE('',*,*,#182609,.F.); #236082=ORIENTED_EDGE('',*,*,#182611,.F.); #236083=ORIENTED_EDGE('',*,*,#182612,.T.); #236084=ORIENTED_EDGE('',*,*,#182613,.F.); #236085=ORIENTED_EDGE('',*,*,#182612,.F.); #236086=ORIENTED_EDGE('',*,*,#182614,.F.); #236087=ORIENTED_EDGE('',*,*,#182615,.T.); #236088=ORIENTED_EDGE('',*,*,#182616,.F.); #236089=ORIENTED_EDGE('',*,*,#182615,.F.); #236090=ORIENTED_EDGE('',*,*,#182617,.F.); #236091=ORIENTED_EDGE('',*,*,#182618,.T.); #236092=ORIENTED_EDGE('',*,*,#182619,.F.); #236093=ORIENTED_EDGE('',*,*,#182618,.F.); #236094=ORIENTED_EDGE('',*,*,#182620,.F.); #236095=ORIENTED_EDGE('',*,*,#182621,.T.); #236096=ORIENTED_EDGE('',*,*,#182622,.F.); #236097=ORIENTED_EDGE('',*,*,#182621,.F.); #236098=ORIENTED_EDGE('',*,*,#182623,.F.); #236099=ORIENTED_EDGE('',*,*,#182624,.T.); #236100=ORIENTED_EDGE('',*,*,#182625,.F.); #236101=ORIENTED_EDGE('',*,*,#182624,.F.); #236102=ORIENTED_EDGE('',*,*,#182626,.F.); #236103=ORIENTED_EDGE('',*,*,#182627,.T.); #236104=ORIENTED_EDGE('',*,*,#182628,.F.); #236105=ORIENTED_EDGE('',*,*,#182627,.F.); #236106=ORIENTED_EDGE('',*,*,#182629,.F.); #236107=ORIENTED_EDGE('',*,*,#182630,.T.); #236108=ORIENTED_EDGE('',*,*,#182631,.F.); #236109=ORIENTED_EDGE('',*,*,#182630,.F.); #236110=ORIENTED_EDGE('',*,*,#182632,.T.); #236111=ORIENTED_EDGE('',*,*,#182633,.T.); #236112=ORIENTED_EDGE('',*,*,#182634,.F.); #236113=ORIENTED_EDGE('',*,*,#182635,.F.); #236114=ORIENTED_EDGE('',*,*,#182636,.T.); #236115=ORIENTED_EDGE('',*,*,#182635,.T.); #236116=ORIENTED_EDGE('',*,*,#182637,.F.); #236117=ORIENTED_EDGE('',*,*,#182638,.F.); #236118=ORIENTED_EDGE('',*,*,#182639,.T.); #236119=ORIENTED_EDGE('',*,*,#182638,.T.); #236120=ORIENTED_EDGE('',*,*,#182640,.F.); #236121=ORIENTED_EDGE('',*,*,#182641,.F.); #236122=ORIENTED_EDGE('',*,*,#182642,.T.); #236123=ORIENTED_EDGE('',*,*,#182641,.T.); #236124=ORIENTED_EDGE('',*,*,#182643,.F.); #236125=ORIENTED_EDGE('',*,*,#182644,.F.); #236126=ORIENTED_EDGE('',*,*,#182645,.T.); #236127=ORIENTED_EDGE('',*,*,#182644,.T.); #236128=ORIENTED_EDGE('',*,*,#182646,.F.); #236129=ORIENTED_EDGE('',*,*,#182647,.F.); #236130=ORIENTED_EDGE('',*,*,#182648,.T.); #236131=ORIENTED_EDGE('',*,*,#182647,.T.); #236132=ORIENTED_EDGE('',*,*,#182649,.F.); #236133=ORIENTED_EDGE('',*,*,#182650,.F.); #236134=ORIENTED_EDGE('',*,*,#182651,.T.); #236135=ORIENTED_EDGE('',*,*,#182650,.T.); #236136=ORIENTED_EDGE('',*,*,#182652,.F.); #236137=ORIENTED_EDGE('',*,*,#182653,.F.); #236138=ORIENTED_EDGE('',*,*,#182654,.T.); #236139=ORIENTED_EDGE('',*,*,#182653,.T.); #236140=ORIENTED_EDGE('',*,*,#182655,.F.); #236141=ORIENTED_EDGE('',*,*,#182633,.F.); #236142=ORIENTED_EDGE('',*,*,#182656,.T.); #236143=ORIENTED_EDGE('',*,*,#182657,.T.); #236144=ORIENTED_EDGE('',*,*,#182658,.F.); #236145=ORIENTED_EDGE('',*,*,#182659,.F.); #236146=ORIENTED_EDGE('',*,*,#182660,.T.); #236147=ORIENTED_EDGE('',*,*,#182659,.T.); #236148=ORIENTED_EDGE('',*,*,#182661,.F.); #236149=ORIENTED_EDGE('',*,*,#182662,.F.); #236150=ORIENTED_EDGE('',*,*,#182663,.T.); #236151=ORIENTED_EDGE('',*,*,#182662,.T.); #236152=ORIENTED_EDGE('',*,*,#182664,.F.); #236153=ORIENTED_EDGE('',*,*,#182665,.F.); #236154=ORIENTED_EDGE('',*,*,#182666,.T.); #236155=ORIENTED_EDGE('',*,*,#182665,.T.); #236156=ORIENTED_EDGE('',*,*,#182667,.F.); #236157=ORIENTED_EDGE('',*,*,#182668,.F.); #236158=ORIENTED_EDGE('',*,*,#182669,.T.); #236159=ORIENTED_EDGE('',*,*,#182668,.T.); #236160=ORIENTED_EDGE('',*,*,#182670,.F.); #236161=ORIENTED_EDGE('',*,*,#182671,.F.); #236162=ORIENTED_EDGE('',*,*,#182672,.T.); #236163=ORIENTED_EDGE('',*,*,#182671,.T.); #236164=ORIENTED_EDGE('',*,*,#182673,.F.); #236165=ORIENTED_EDGE('',*,*,#182674,.F.); #236166=ORIENTED_EDGE('',*,*,#182675,.T.); #236167=ORIENTED_EDGE('',*,*,#182674,.T.); #236168=ORIENTED_EDGE('',*,*,#182676,.F.); #236169=ORIENTED_EDGE('',*,*,#182677,.F.); #236170=ORIENTED_EDGE('',*,*,#182678,.T.); #236171=ORIENTED_EDGE('',*,*,#182677,.T.); #236172=ORIENTED_EDGE('',*,*,#182679,.F.); #236173=ORIENTED_EDGE('',*,*,#182680,.F.); #236174=ORIENTED_EDGE('',*,*,#182681,.T.); #236175=ORIENTED_EDGE('',*,*,#182680,.T.); #236176=ORIENTED_EDGE('',*,*,#182682,.F.); #236177=ORIENTED_EDGE('',*,*,#182683,.F.); #236178=ORIENTED_EDGE('',*,*,#182684,.T.); #236179=ORIENTED_EDGE('',*,*,#182683,.T.); #236180=ORIENTED_EDGE('',*,*,#182685,.F.); #236181=ORIENTED_EDGE('',*,*,#182686,.F.); #236182=ORIENTED_EDGE('',*,*,#182687,.T.); #236183=ORIENTED_EDGE('',*,*,#182686,.T.); #236184=ORIENTED_EDGE('',*,*,#182688,.F.); #236185=ORIENTED_EDGE('',*,*,#182689,.F.); #236186=ORIENTED_EDGE('',*,*,#182690,.T.); #236187=ORIENTED_EDGE('',*,*,#182689,.T.); #236188=ORIENTED_EDGE('',*,*,#182691,.F.); #236189=ORIENTED_EDGE('',*,*,#182692,.F.); #236190=ORIENTED_EDGE('',*,*,#182693,.T.); #236191=ORIENTED_EDGE('',*,*,#182692,.T.); #236192=ORIENTED_EDGE('',*,*,#182694,.F.); #236193=ORIENTED_EDGE('',*,*,#182695,.F.); #236194=ORIENTED_EDGE('',*,*,#182696,.T.); #236195=ORIENTED_EDGE('',*,*,#182695,.T.); #236196=ORIENTED_EDGE('',*,*,#182697,.F.); #236197=ORIENTED_EDGE('',*,*,#182698,.F.); #236198=ORIENTED_EDGE('',*,*,#182699,.T.); #236199=ORIENTED_EDGE('',*,*,#182698,.T.); #236200=ORIENTED_EDGE('',*,*,#182700,.F.); #236201=ORIENTED_EDGE('',*,*,#182701,.F.); #236202=ORIENTED_EDGE('',*,*,#182702,.T.); #236203=ORIENTED_EDGE('',*,*,#182701,.T.); #236204=ORIENTED_EDGE('',*,*,#182703,.F.); #236205=ORIENTED_EDGE('',*,*,#182704,.F.); #236206=ORIENTED_EDGE('',*,*,#182705,.T.); #236207=ORIENTED_EDGE('',*,*,#182704,.T.); #236208=ORIENTED_EDGE('',*,*,#182706,.F.); #236209=ORIENTED_EDGE('',*,*,#182707,.F.); #236210=ORIENTED_EDGE('',*,*,#182708,.T.); #236211=ORIENTED_EDGE('',*,*,#182707,.T.); #236212=ORIENTED_EDGE('',*,*,#182709,.F.); #236213=ORIENTED_EDGE('',*,*,#182710,.F.); #236214=ORIENTED_EDGE('',*,*,#182711,.T.); #236215=ORIENTED_EDGE('',*,*,#182710,.T.); #236216=ORIENTED_EDGE('',*,*,#182712,.F.); #236217=ORIENTED_EDGE('',*,*,#182713,.F.); #236218=ORIENTED_EDGE('',*,*,#182714,.T.); #236219=ORIENTED_EDGE('',*,*,#182713,.T.); #236220=ORIENTED_EDGE('',*,*,#182715,.F.); #236221=ORIENTED_EDGE('',*,*,#182716,.F.); #236222=ORIENTED_EDGE('',*,*,#182717,.T.); #236223=ORIENTED_EDGE('',*,*,#182716,.T.); #236224=ORIENTED_EDGE('',*,*,#182718,.F.); #236225=ORIENTED_EDGE('',*,*,#182719,.F.); #236226=ORIENTED_EDGE('',*,*,#182720,.T.); #236227=ORIENTED_EDGE('',*,*,#182719,.T.); #236228=ORIENTED_EDGE('',*,*,#182721,.F.); #236229=ORIENTED_EDGE('',*,*,#182722,.F.); #236230=ORIENTED_EDGE('',*,*,#182723,.T.); #236231=ORIENTED_EDGE('',*,*,#182722,.T.); #236232=ORIENTED_EDGE('',*,*,#182724,.F.); #236233=ORIENTED_EDGE('',*,*,#182725,.F.); #236234=ORIENTED_EDGE('',*,*,#182726,.T.); #236235=ORIENTED_EDGE('',*,*,#182725,.T.); #236236=ORIENTED_EDGE('',*,*,#182727,.F.); #236237=ORIENTED_EDGE('',*,*,#182728,.F.); #236238=ORIENTED_EDGE('',*,*,#182729,.T.); #236239=ORIENTED_EDGE('',*,*,#182728,.T.); #236240=ORIENTED_EDGE('',*,*,#182730,.F.); #236241=ORIENTED_EDGE('',*,*,#182731,.F.); #236242=ORIENTED_EDGE('',*,*,#182732,.T.); #236243=ORIENTED_EDGE('',*,*,#182731,.T.); #236244=ORIENTED_EDGE('',*,*,#182733,.F.); #236245=ORIENTED_EDGE('',*,*,#182734,.F.); #236246=ORIENTED_EDGE('',*,*,#182735,.T.); #236247=ORIENTED_EDGE('',*,*,#182734,.T.); #236248=ORIENTED_EDGE('',*,*,#182736,.F.); #236249=ORIENTED_EDGE('',*,*,#182737,.F.); #236250=ORIENTED_EDGE('',*,*,#182738,.T.); #236251=ORIENTED_EDGE('',*,*,#182737,.T.); #236252=ORIENTED_EDGE('',*,*,#182739,.F.); #236253=ORIENTED_EDGE('',*,*,#182740,.F.); #236254=ORIENTED_EDGE('',*,*,#182741,.T.); #236255=ORIENTED_EDGE('',*,*,#182740,.T.); #236256=ORIENTED_EDGE('',*,*,#182742,.F.); #236257=ORIENTED_EDGE('',*,*,#182743,.F.); #236258=ORIENTED_EDGE('',*,*,#182744,.T.); #236259=ORIENTED_EDGE('',*,*,#182743,.T.); #236260=ORIENTED_EDGE('',*,*,#182745,.F.); #236261=ORIENTED_EDGE('',*,*,#182746,.F.); #236262=ORIENTED_EDGE('',*,*,#182747,.T.); #236263=ORIENTED_EDGE('',*,*,#182746,.T.); #236264=ORIENTED_EDGE('',*,*,#182748,.F.); #236265=ORIENTED_EDGE('',*,*,#182749,.F.); #236266=ORIENTED_EDGE('',*,*,#182750,.T.); #236267=ORIENTED_EDGE('',*,*,#182749,.T.); #236268=ORIENTED_EDGE('',*,*,#182751,.F.); #236269=ORIENTED_EDGE('',*,*,#182752,.F.); #236270=ORIENTED_EDGE('',*,*,#182753,.T.); #236271=ORIENTED_EDGE('',*,*,#182752,.T.); #236272=ORIENTED_EDGE('',*,*,#182754,.F.); #236273=ORIENTED_EDGE('',*,*,#182755,.F.); #236274=ORIENTED_EDGE('',*,*,#182756,.T.); #236275=ORIENTED_EDGE('',*,*,#182755,.T.); #236276=ORIENTED_EDGE('',*,*,#182757,.F.); #236277=ORIENTED_EDGE('',*,*,#182758,.F.); #236278=ORIENTED_EDGE('',*,*,#182759,.T.); #236279=ORIENTED_EDGE('',*,*,#182758,.T.); #236280=ORIENTED_EDGE('',*,*,#182760,.F.); #236281=ORIENTED_EDGE('',*,*,#182761,.F.); #236282=ORIENTED_EDGE('',*,*,#182762,.T.); #236283=ORIENTED_EDGE('',*,*,#182761,.T.); #236284=ORIENTED_EDGE('',*,*,#182763,.F.); #236285=ORIENTED_EDGE('',*,*,#182657,.F.); #236286=ORIENTED_EDGE('',*,*,#182764,.T.); #236287=ORIENTED_EDGE('',*,*,#182765,.T.); #236288=ORIENTED_EDGE('',*,*,#182766,.F.); #236289=ORIENTED_EDGE('',*,*,#182767,.F.); #236290=ORIENTED_EDGE('',*,*,#182768,.T.); #236291=ORIENTED_EDGE('',*,*,#182767,.T.); #236292=ORIENTED_EDGE('',*,*,#182769,.F.); #236293=ORIENTED_EDGE('',*,*,#182770,.F.); #236294=ORIENTED_EDGE('',*,*,#182771,.T.); #236295=ORIENTED_EDGE('',*,*,#182770,.T.); #236296=ORIENTED_EDGE('',*,*,#182772,.F.); #236297=ORIENTED_EDGE('',*,*,#182773,.F.); #236298=ORIENTED_EDGE('',*,*,#182774,.T.); #236299=ORIENTED_EDGE('',*,*,#182773,.T.); #236300=ORIENTED_EDGE('',*,*,#182775,.F.); #236301=ORIENTED_EDGE('',*,*,#182776,.F.); #236302=ORIENTED_EDGE('',*,*,#182777,.T.); #236303=ORIENTED_EDGE('',*,*,#182776,.T.); #236304=ORIENTED_EDGE('',*,*,#182778,.F.); #236305=ORIENTED_EDGE('',*,*,#182779,.F.); #236306=ORIENTED_EDGE('',*,*,#182780,.T.); #236307=ORIENTED_EDGE('',*,*,#182779,.T.); #236308=ORIENTED_EDGE('',*,*,#182781,.F.); #236309=ORIENTED_EDGE('',*,*,#182782,.F.); #236310=ORIENTED_EDGE('',*,*,#182783,.T.); #236311=ORIENTED_EDGE('',*,*,#182782,.T.); #236312=ORIENTED_EDGE('',*,*,#182784,.F.); #236313=ORIENTED_EDGE('',*,*,#182785,.F.); #236314=ORIENTED_EDGE('',*,*,#182786,.T.); #236315=ORIENTED_EDGE('',*,*,#182785,.T.); #236316=ORIENTED_EDGE('',*,*,#182787,.F.); #236317=ORIENTED_EDGE('',*,*,#182788,.F.); #236318=ORIENTED_EDGE('',*,*,#182789,.T.); #236319=ORIENTED_EDGE('',*,*,#182788,.T.); #236320=ORIENTED_EDGE('',*,*,#182790,.F.); #236321=ORIENTED_EDGE('',*,*,#182791,.F.); #236322=ORIENTED_EDGE('',*,*,#182792,.T.); #236323=ORIENTED_EDGE('',*,*,#182791,.T.); #236324=ORIENTED_EDGE('',*,*,#182793,.F.); #236325=ORIENTED_EDGE('',*,*,#182794,.F.); #236326=ORIENTED_EDGE('',*,*,#182795,.T.); #236327=ORIENTED_EDGE('',*,*,#182794,.T.); #236328=ORIENTED_EDGE('',*,*,#182796,.F.); #236329=ORIENTED_EDGE('',*,*,#182797,.F.); #236330=ORIENTED_EDGE('',*,*,#182798,.T.); #236331=ORIENTED_EDGE('',*,*,#182797,.T.); #236332=ORIENTED_EDGE('',*,*,#182799,.F.); #236333=ORIENTED_EDGE('',*,*,#182800,.F.); #236334=ORIENTED_EDGE('',*,*,#182801,.T.); #236335=ORIENTED_EDGE('',*,*,#182800,.T.); #236336=ORIENTED_EDGE('',*,*,#182802,.F.); #236337=ORIENTED_EDGE('',*,*,#182803,.F.); #236338=ORIENTED_EDGE('',*,*,#182804,.T.); #236339=ORIENTED_EDGE('',*,*,#182803,.T.); #236340=ORIENTED_EDGE('',*,*,#182805,.F.); #236341=ORIENTED_EDGE('',*,*,#182806,.F.); #236342=ORIENTED_EDGE('',*,*,#182807,.T.); #236343=ORIENTED_EDGE('',*,*,#182806,.T.); #236344=ORIENTED_EDGE('',*,*,#182808,.F.); #236345=ORIENTED_EDGE('',*,*,#182809,.F.); #236346=ORIENTED_EDGE('',*,*,#182810,.T.); #236347=ORIENTED_EDGE('',*,*,#182809,.T.); #236348=ORIENTED_EDGE('',*,*,#182811,.F.); #236349=ORIENTED_EDGE('',*,*,#182812,.F.); #236350=ORIENTED_EDGE('',*,*,#182813,.T.); #236351=ORIENTED_EDGE('',*,*,#182812,.T.); #236352=ORIENTED_EDGE('',*,*,#182814,.F.); #236353=ORIENTED_EDGE('',*,*,#182815,.F.); #236354=ORIENTED_EDGE('',*,*,#182816,.T.); #236355=ORIENTED_EDGE('',*,*,#182815,.T.); #236356=ORIENTED_EDGE('',*,*,#182817,.F.); #236357=ORIENTED_EDGE('',*,*,#182818,.F.); #236358=ORIENTED_EDGE('',*,*,#182819,.T.); #236359=ORIENTED_EDGE('',*,*,#182818,.T.); #236360=ORIENTED_EDGE('',*,*,#182820,.F.); #236361=ORIENTED_EDGE('',*,*,#182821,.F.); #236362=ORIENTED_EDGE('',*,*,#182822,.T.); #236363=ORIENTED_EDGE('',*,*,#182821,.T.); #236364=ORIENTED_EDGE('',*,*,#182823,.F.); #236365=ORIENTED_EDGE('',*,*,#182824,.F.); #236366=ORIENTED_EDGE('',*,*,#182825,.T.); #236367=ORIENTED_EDGE('',*,*,#182824,.T.); #236368=ORIENTED_EDGE('',*,*,#182826,.F.); #236369=ORIENTED_EDGE('',*,*,#182827,.F.); #236370=ORIENTED_EDGE('',*,*,#182828,.T.); #236371=ORIENTED_EDGE('',*,*,#182827,.T.); #236372=ORIENTED_EDGE('',*,*,#182829,.F.); #236373=ORIENTED_EDGE('',*,*,#182830,.F.); #236374=ORIENTED_EDGE('',*,*,#182831,.T.); #236375=ORIENTED_EDGE('',*,*,#182830,.T.); #236376=ORIENTED_EDGE('',*,*,#182832,.F.); #236377=ORIENTED_EDGE('',*,*,#182833,.F.); #236378=ORIENTED_EDGE('',*,*,#182834,.T.); #236379=ORIENTED_EDGE('',*,*,#182833,.T.); #236380=ORIENTED_EDGE('',*,*,#182835,.F.); #236381=ORIENTED_EDGE('',*,*,#182836,.F.); #236382=ORIENTED_EDGE('',*,*,#182837,.T.); #236383=ORIENTED_EDGE('',*,*,#182836,.T.); #236384=ORIENTED_EDGE('',*,*,#182838,.F.); #236385=ORIENTED_EDGE('',*,*,#182839,.F.); #236386=ORIENTED_EDGE('',*,*,#182840,.T.); #236387=ORIENTED_EDGE('',*,*,#182839,.T.); #236388=ORIENTED_EDGE('',*,*,#182841,.F.); #236389=ORIENTED_EDGE('',*,*,#182842,.F.); #236390=ORIENTED_EDGE('',*,*,#182843,.T.); #236391=ORIENTED_EDGE('',*,*,#182842,.T.); #236392=ORIENTED_EDGE('',*,*,#182844,.F.); #236393=ORIENTED_EDGE('',*,*,#182845,.F.); #236394=ORIENTED_EDGE('',*,*,#182846,.T.); #236395=ORIENTED_EDGE('',*,*,#182845,.T.); #236396=ORIENTED_EDGE('',*,*,#182847,.F.); #236397=ORIENTED_EDGE('',*,*,#182848,.F.); #236398=ORIENTED_EDGE('',*,*,#182849,.T.); #236399=ORIENTED_EDGE('',*,*,#182848,.T.); #236400=ORIENTED_EDGE('',*,*,#182850,.F.); #236401=ORIENTED_EDGE('',*,*,#182851,.F.); #236402=ORIENTED_EDGE('',*,*,#182852,.T.); #236403=ORIENTED_EDGE('',*,*,#182851,.T.); #236404=ORIENTED_EDGE('',*,*,#182853,.F.); #236405=ORIENTED_EDGE('',*,*,#182854,.F.); #236406=ORIENTED_EDGE('',*,*,#182855,.T.); #236407=ORIENTED_EDGE('',*,*,#182854,.T.); #236408=ORIENTED_EDGE('',*,*,#182856,.F.); #236409=ORIENTED_EDGE('',*,*,#182857,.F.); #236410=ORIENTED_EDGE('',*,*,#182858,.T.); #236411=ORIENTED_EDGE('',*,*,#182857,.T.); #236412=ORIENTED_EDGE('',*,*,#182859,.F.); #236413=ORIENTED_EDGE('',*,*,#182860,.F.); #236414=ORIENTED_EDGE('',*,*,#182861,.T.); #236415=ORIENTED_EDGE('',*,*,#182860,.T.); #236416=ORIENTED_EDGE('',*,*,#182862,.F.); #236417=ORIENTED_EDGE('',*,*,#182863,.F.); #236418=ORIENTED_EDGE('',*,*,#182864,.T.); #236419=ORIENTED_EDGE('',*,*,#182863,.T.); #236420=ORIENTED_EDGE('',*,*,#182865,.F.); #236421=ORIENTED_EDGE('',*,*,#182866,.F.); #236422=ORIENTED_EDGE('',*,*,#182867,.T.); #236423=ORIENTED_EDGE('',*,*,#182866,.T.); #236424=ORIENTED_EDGE('',*,*,#182868,.F.); #236425=ORIENTED_EDGE('',*,*,#182869,.F.); #236426=ORIENTED_EDGE('',*,*,#182870,.T.); #236427=ORIENTED_EDGE('',*,*,#182869,.T.); #236428=ORIENTED_EDGE('',*,*,#182871,.F.); #236429=ORIENTED_EDGE('',*,*,#182765,.F.); #236430=ORIENTED_EDGE('',*,*,#182872,.T.); #236431=ORIENTED_EDGE('',*,*,#182873,.T.); #236432=ORIENTED_EDGE('',*,*,#182874,.F.); #236433=ORIENTED_EDGE('',*,*,#182875,.F.); #236434=ORIENTED_EDGE('',*,*,#182876,.T.); #236435=ORIENTED_EDGE('',*,*,#182875,.T.); #236436=ORIENTED_EDGE('',*,*,#182877,.F.); #236437=ORIENTED_EDGE('',*,*,#182878,.F.); #236438=ORIENTED_EDGE('',*,*,#182879,.T.); #236439=ORIENTED_EDGE('',*,*,#182878,.T.); #236440=ORIENTED_EDGE('',*,*,#182880,.F.); #236441=ORIENTED_EDGE('',*,*,#182881,.F.); #236442=ORIENTED_EDGE('',*,*,#182882,.T.); #236443=ORIENTED_EDGE('',*,*,#182881,.T.); #236444=ORIENTED_EDGE('',*,*,#182883,.F.); #236445=ORIENTED_EDGE('',*,*,#182884,.F.); #236446=ORIENTED_EDGE('',*,*,#182885,.T.); #236447=ORIENTED_EDGE('',*,*,#182884,.T.); #236448=ORIENTED_EDGE('',*,*,#182886,.F.); #236449=ORIENTED_EDGE('',*,*,#182887,.F.); #236450=ORIENTED_EDGE('',*,*,#182888,.T.); #236451=ORIENTED_EDGE('',*,*,#182887,.T.); #236452=ORIENTED_EDGE('',*,*,#182889,.F.); #236453=ORIENTED_EDGE('',*,*,#182890,.F.); #236454=ORIENTED_EDGE('',*,*,#182891,.T.); #236455=ORIENTED_EDGE('',*,*,#182890,.T.); #236456=ORIENTED_EDGE('',*,*,#182892,.F.); #236457=ORIENTED_EDGE('',*,*,#182893,.F.); #236458=ORIENTED_EDGE('',*,*,#182894,.T.); #236459=ORIENTED_EDGE('',*,*,#182893,.T.); #236460=ORIENTED_EDGE('',*,*,#182895,.F.); #236461=ORIENTED_EDGE('',*,*,#182896,.F.); #236462=ORIENTED_EDGE('',*,*,#182897,.T.); #236463=ORIENTED_EDGE('',*,*,#182896,.T.); #236464=ORIENTED_EDGE('',*,*,#182898,.F.); #236465=ORIENTED_EDGE('',*,*,#182899,.F.); #236466=ORIENTED_EDGE('',*,*,#182900,.T.); #236467=ORIENTED_EDGE('',*,*,#182899,.T.); #236468=ORIENTED_EDGE('',*,*,#182901,.F.); #236469=ORIENTED_EDGE('',*,*,#182902,.F.); #236470=ORIENTED_EDGE('',*,*,#182903,.T.); #236471=ORIENTED_EDGE('',*,*,#182902,.T.); #236472=ORIENTED_EDGE('',*,*,#182904,.F.); #236473=ORIENTED_EDGE('',*,*,#182905,.F.); #236474=ORIENTED_EDGE('',*,*,#182906,.T.); #236475=ORIENTED_EDGE('',*,*,#182905,.T.); #236476=ORIENTED_EDGE('',*,*,#182907,.F.); #236477=ORIENTED_EDGE('',*,*,#182908,.F.); #236478=ORIENTED_EDGE('',*,*,#182909,.T.); #236479=ORIENTED_EDGE('',*,*,#182908,.T.); #236480=ORIENTED_EDGE('',*,*,#182910,.F.); #236481=ORIENTED_EDGE('',*,*,#182911,.F.); #236482=ORIENTED_EDGE('',*,*,#182912,.T.); #236483=ORIENTED_EDGE('',*,*,#182911,.T.); #236484=ORIENTED_EDGE('',*,*,#182913,.F.); #236485=ORIENTED_EDGE('',*,*,#182914,.F.); #236486=ORIENTED_EDGE('',*,*,#182915,.T.); #236487=ORIENTED_EDGE('',*,*,#182914,.T.); #236488=ORIENTED_EDGE('',*,*,#182916,.F.); #236489=ORIENTED_EDGE('',*,*,#182917,.F.); #236490=ORIENTED_EDGE('',*,*,#182918,.T.); #236491=ORIENTED_EDGE('',*,*,#182917,.T.); #236492=ORIENTED_EDGE('',*,*,#182919,.F.); #236493=ORIENTED_EDGE('',*,*,#182920,.F.); #236494=ORIENTED_EDGE('',*,*,#182921,.T.); #236495=ORIENTED_EDGE('',*,*,#182920,.T.); #236496=ORIENTED_EDGE('',*,*,#182922,.F.); #236497=ORIENTED_EDGE('',*,*,#182923,.F.); #236498=ORIENTED_EDGE('',*,*,#182924,.T.); #236499=ORIENTED_EDGE('',*,*,#182923,.T.); #236500=ORIENTED_EDGE('',*,*,#182925,.F.); #236501=ORIENTED_EDGE('',*,*,#182926,.F.); #236502=ORIENTED_EDGE('',*,*,#182927,.T.); #236503=ORIENTED_EDGE('',*,*,#182926,.T.); #236504=ORIENTED_EDGE('',*,*,#182928,.F.); #236505=ORIENTED_EDGE('',*,*,#182929,.F.); #236506=ORIENTED_EDGE('',*,*,#182930,.T.); #236507=ORIENTED_EDGE('',*,*,#182929,.T.); #236508=ORIENTED_EDGE('',*,*,#182931,.F.); #236509=ORIENTED_EDGE('',*,*,#182932,.F.); #236510=ORIENTED_EDGE('',*,*,#182933,.T.); #236511=ORIENTED_EDGE('',*,*,#182932,.T.); #236512=ORIENTED_EDGE('',*,*,#182934,.F.); #236513=ORIENTED_EDGE('',*,*,#182935,.F.); #236514=ORIENTED_EDGE('',*,*,#182936,.T.); #236515=ORIENTED_EDGE('',*,*,#182935,.T.); #236516=ORIENTED_EDGE('',*,*,#182937,.F.); #236517=ORIENTED_EDGE('',*,*,#182938,.F.); #236518=ORIENTED_EDGE('',*,*,#182939,.T.); #236519=ORIENTED_EDGE('',*,*,#182938,.T.); #236520=ORIENTED_EDGE('',*,*,#182940,.F.); #236521=ORIENTED_EDGE('',*,*,#182941,.F.); #236522=ORIENTED_EDGE('',*,*,#182942,.T.); #236523=ORIENTED_EDGE('',*,*,#182941,.T.); #236524=ORIENTED_EDGE('',*,*,#182943,.F.); #236525=ORIENTED_EDGE('',*,*,#182944,.F.); #236526=ORIENTED_EDGE('',*,*,#182945,.T.); #236527=ORIENTED_EDGE('',*,*,#182944,.T.); #236528=ORIENTED_EDGE('',*,*,#182946,.F.); #236529=ORIENTED_EDGE('',*,*,#182947,.F.); #236530=ORIENTED_EDGE('',*,*,#182948,.T.); #236531=ORIENTED_EDGE('',*,*,#182947,.T.); #236532=ORIENTED_EDGE('',*,*,#182949,.F.); #236533=ORIENTED_EDGE('',*,*,#182950,.F.); #236534=ORIENTED_EDGE('',*,*,#182951,.T.); #236535=ORIENTED_EDGE('',*,*,#182950,.T.); #236536=ORIENTED_EDGE('',*,*,#182952,.F.); #236537=ORIENTED_EDGE('',*,*,#182953,.F.); #236538=ORIENTED_EDGE('',*,*,#182954,.T.); #236539=ORIENTED_EDGE('',*,*,#182953,.T.); #236540=ORIENTED_EDGE('',*,*,#182955,.F.); #236541=ORIENTED_EDGE('',*,*,#182956,.F.); #236542=ORIENTED_EDGE('',*,*,#182957,.T.); #236543=ORIENTED_EDGE('',*,*,#182956,.T.); #236544=ORIENTED_EDGE('',*,*,#182958,.F.); #236545=ORIENTED_EDGE('',*,*,#182959,.F.); #236546=ORIENTED_EDGE('',*,*,#182960,.T.); #236547=ORIENTED_EDGE('',*,*,#182959,.T.); #236548=ORIENTED_EDGE('',*,*,#182961,.F.); #236549=ORIENTED_EDGE('',*,*,#182962,.F.); #236550=ORIENTED_EDGE('',*,*,#182963,.T.); #236551=ORIENTED_EDGE('',*,*,#182962,.T.); #236552=ORIENTED_EDGE('',*,*,#182964,.F.); #236553=ORIENTED_EDGE('',*,*,#182965,.F.); #236554=ORIENTED_EDGE('',*,*,#182966,.T.); #236555=ORIENTED_EDGE('',*,*,#182965,.T.); #236556=ORIENTED_EDGE('',*,*,#182967,.F.); #236557=ORIENTED_EDGE('',*,*,#182968,.F.); #236558=ORIENTED_EDGE('',*,*,#182969,.T.); #236559=ORIENTED_EDGE('',*,*,#182968,.T.); #236560=ORIENTED_EDGE('',*,*,#182970,.F.); #236561=ORIENTED_EDGE('',*,*,#182971,.F.); #236562=ORIENTED_EDGE('',*,*,#182972,.T.); #236563=ORIENTED_EDGE('',*,*,#182971,.T.); #236564=ORIENTED_EDGE('',*,*,#182973,.F.); #236565=ORIENTED_EDGE('',*,*,#182974,.F.); #236566=ORIENTED_EDGE('',*,*,#182975,.T.); #236567=ORIENTED_EDGE('',*,*,#182974,.T.); #236568=ORIENTED_EDGE('',*,*,#182976,.F.); #236569=ORIENTED_EDGE('',*,*,#182977,.F.); #236570=ORIENTED_EDGE('',*,*,#182978,.T.); #236571=ORIENTED_EDGE('',*,*,#182977,.T.); #236572=ORIENTED_EDGE('',*,*,#182979,.F.); #236573=ORIENTED_EDGE('',*,*,#182980,.F.); #236574=ORIENTED_EDGE('',*,*,#182981,.T.); #236575=ORIENTED_EDGE('',*,*,#182980,.T.); #236576=ORIENTED_EDGE('',*,*,#182982,.F.); #236577=ORIENTED_EDGE('',*,*,#182983,.F.); #236578=ORIENTED_EDGE('',*,*,#182984,.T.); #236579=ORIENTED_EDGE('',*,*,#182983,.T.); #236580=ORIENTED_EDGE('',*,*,#182985,.F.); #236581=ORIENTED_EDGE('',*,*,#182986,.F.); #236582=ORIENTED_EDGE('',*,*,#182987,.T.); #236583=ORIENTED_EDGE('',*,*,#182986,.T.); #236584=ORIENTED_EDGE('',*,*,#182988,.F.); #236585=ORIENTED_EDGE('',*,*,#182989,.F.); #236586=ORIENTED_EDGE('',*,*,#182990,.T.); #236587=ORIENTED_EDGE('',*,*,#182989,.T.); #236588=ORIENTED_EDGE('',*,*,#182991,.F.); #236589=ORIENTED_EDGE('',*,*,#182992,.F.); #236590=ORIENTED_EDGE('',*,*,#182993,.T.); #236591=ORIENTED_EDGE('',*,*,#182992,.T.); #236592=ORIENTED_EDGE('',*,*,#182994,.F.); #236593=ORIENTED_EDGE('',*,*,#182995,.F.); #236594=ORIENTED_EDGE('',*,*,#182996,.T.); #236595=ORIENTED_EDGE('',*,*,#182995,.T.); #236596=ORIENTED_EDGE('',*,*,#182997,.F.); #236597=ORIENTED_EDGE('',*,*,#182998,.F.); #236598=ORIENTED_EDGE('',*,*,#182999,.T.); #236599=ORIENTED_EDGE('',*,*,#182998,.T.); #236600=ORIENTED_EDGE('',*,*,#183000,.F.); #236601=ORIENTED_EDGE('',*,*,#183001,.F.); #236602=ORIENTED_EDGE('',*,*,#183002,.T.); #236603=ORIENTED_EDGE('',*,*,#183001,.T.); #236604=ORIENTED_EDGE('',*,*,#183003,.F.); #236605=ORIENTED_EDGE('',*,*,#183004,.F.); #236606=ORIENTED_EDGE('',*,*,#183005,.T.); #236607=ORIENTED_EDGE('',*,*,#183004,.T.); #236608=ORIENTED_EDGE('',*,*,#183006,.F.); #236609=ORIENTED_EDGE('',*,*,#183007,.F.); #236610=ORIENTED_EDGE('',*,*,#183008,.T.); #236611=ORIENTED_EDGE('',*,*,#183007,.T.); #236612=ORIENTED_EDGE('',*,*,#183009,.F.); #236613=ORIENTED_EDGE('',*,*,#183010,.F.); #236614=ORIENTED_EDGE('',*,*,#183011,.T.); #236615=ORIENTED_EDGE('',*,*,#183010,.T.); #236616=ORIENTED_EDGE('',*,*,#183012,.F.); #236617=ORIENTED_EDGE('',*,*,#183013,.F.); #236618=ORIENTED_EDGE('',*,*,#183014,.T.); #236619=ORIENTED_EDGE('',*,*,#183013,.T.); #236620=ORIENTED_EDGE('',*,*,#183015,.F.); #236621=ORIENTED_EDGE('',*,*,#183016,.F.); #236622=ORIENTED_EDGE('',*,*,#183017,.T.); #236623=ORIENTED_EDGE('',*,*,#183016,.T.); #236624=ORIENTED_EDGE('',*,*,#183018,.F.); #236625=ORIENTED_EDGE('',*,*,#183019,.F.); #236626=ORIENTED_EDGE('',*,*,#183020,.T.); #236627=ORIENTED_EDGE('',*,*,#183019,.T.); #236628=ORIENTED_EDGE('',*,*,#183021,.F.); #236629=ORIENTED_EDGE('',*,*,#183022,.F.); #236630=ORIENTED_EDGE('',*,*,#183023,.T.); #236631=ORIENTED_EDGE('',*,*,#183022,.T.); #236632=ORIENTED_EDGE('',*,*,#183024,.F.); #236633=ORIENTED_EDGE('',*,*,#183025,.F.); #236634=ORIENTED_EDGE('',*,*,#183026,.T.); #236635=ORIENTED_EDGE('',*,*,#183025,.T.); #236636=ORIENTED_EDGE('',*,*,#183027,.F.); #236637=ORIENTED_EDGE('',*,*,#183028,.F.); #236638=ORIENTED_EDGE('',*,*,#183029,.T.); #236639=ORIENTED_EDGE('',*,*,#183028,.T.); #236640=ORIENTED_EDGE('',*,*,#183030,.F.); #236641=ORIENTED_EDGE('',*,*,#183031,.F.); #236642=ORIENTED_EDGE('',*,*,#183032,.T.); #236643=ORIENTED_EDGE('',*,*,#183031,.T.); #236644=ORIENTED_EDGE('',*,*,#183033,.F.); #236645=ORIENTED_EDGE('',*,*,#183034,.F.); #236646=ORIENTED_EDGE('',*,*,#183035,.T.); #236647=ORIENTED_EDGE('',*,*,#183034,.T.); #236648=ORIENTED_EDGE('',*,*,#183036,.F.); #236649=ORIENTED_EDGE('',*,*,#183037,.F.); #236650=ORIENTED_EDGE('',*,*,#183038,.T.); #236651=ORIENTED_EDGE('',*,*,#183037,.T.); #236652=ORIENTED_EDGE('',*,*,#183039,.F.); #236653=ORIENTED_EDGE('',*,*,#183040,.F.); #236654=ORIENTED_EDGE('',*,*,#183041,.T.); #236655=ORIENTED_EDGE('',*,*,#183040,.T.); #236656=ORIENTED_EDGE('',*,*,#183042,.F.); #236657=ORIENTED_EDGE('',*,*,#183043,.F.); #236658=ORIENTED_EDGE('',*,*,#183044,.T.); #236659=ORIENTED_EDGE('',*,*,#183043,.T.); #236660=ORIENTED_EDGE('',*,*,#183045,.F.); #236661=ORIENTED_EDGE('',*,*,#183046,.F.); #236662=ORIENTED_EDGE('',*,*,#183047,.T.); #236663=ORIENTED_EDGE('',*,*,#183046,.T.); #236664=ORIENTED_EDGE('',*,*,#183048,.F.); #236665=ORIENTED_EDGE('',*,*,#183049,.F.); #236666=ORIENTED_EDGE('',*,*,#183050,.T.); #236667=ORIENTED_EDGE('',*,*,#183049,.T.); #236668=ORIENTED_EDGE('',*,*,#183051,.F.); #236669=ORIENTED_EDGE('',*,*,#183052,.F.); #236670=ORIENTED_EDGE('',*,*,#183053,.T.); #236671=ORIENTED_EDGE('',*,*,#183052,.T.); #236672=ORIENTED_EDGE('',*,*,#183054,.F.); #236673=ORIENTED_EDGE('',*,*,#183055,.F.); #236674=ORIENTED_EDGE('',*,*,#183056,.T.); #236675=ORIENTED_EDGE('',*,*,#183055,.T.); #236676=ORIENTED_EDGE('',*,*,#183057,.F.); #236677=ORIENTED_EDGE('',*,*,#183058,.F.); #236678=ORIENTED_EDGE('',*,*,#183059,.T.); #236679=ORIENTED_EDGE('',*,*,#183058,.T.); #236680=ORIENTED_EDGE('',*,*,#183060,.F.); #236681=ORIENTED_EDGE('',*,*,#183061,.F.); #236682=ORIENTED_EDGE('',*,*,#183062,.T.); #236683=ORIENTED_EDGE('',*,*,#183061,.T.); #236684=ORIENTED_EDGE('',*,*,#183063,.F.); #236685=ORIENTED_EDGE('',*,*,#183064,.F.); #236686=ORIENTED_EDGE('',*,*,#183065,.T.); #236687=ORIENTED_EDGE('',*,*,#183064,.T.); #236688=ORIENTED_EDGE('',*,*,#183066,.F.); #236689=ORIENTED_EDGE('',*,*,#183067,.F.); #236690=ORIENTED_EDGE('',*,*,#183068,.T.); #236691=ORIENTED_EDGE('',*,*,#183067,.T.); #236692=ORIENTED_EDGE('',*,*,#183069,.F.); #236693=ORIENTED_EDGE('',*,*,#183070,.F.); #236694=ORIENTED_EDGE('',*,*,#183071,.T.); #236695=ORIENTED_EDGE('',*,*,#183070,.T.); #236696=ORIENTED_EDGE('',*,*,#183072,.F.); #236697=ORIENTED_EDGE('',*,*,#183073,.F.); #236698=ORIENTED_EDGE('',*,*,#183074,.T.); #236699=ORIENTED_EDGE('',*,*,#183073,.T.); #236700=ORIENTED_EDGE('',*,*,#183075,.F.); #236701=ORIENTED_EDGE('',*,*,#183076,.F.); #236702=ORIENTED_EDGE('',*,*,#183077,.T.); #236703=ORIENTED_EDGE('',*,*,#183076,.T.); #236704=ORIENTED_EDGE('',*,*,#183078,.F.); #236705=ORIENTED_EDGE('',*,*,#183079,.F.); #236706=ORIENTED_EDGE('',*,*,#183080,.T.); #236707=ORIENTED_EDGE('',*,*,#183079,.T.); #236708=ORIENTED_EDGE('',*,*,#183081,.F.); #236709=ORIENTED_EDGE('',*,*,#183082,.F.); #236710=ORIENTED_EDGE('',*,*,#183083,.T.); #236711=ORIENTED_EDGE('',*,*,#183082,.T.); #236712=ORIENTED_EDGE('',*,*,#183084,.F.); #236713=ORIENTED_EDGE('',*,*,#183085,.F.); #236714=ORIENTED_EDGE('',*,*,#183086,.T.); #236715=ORIENTED_EDGE('',*,*,#183085,.T.); #236716=ORIENTED_EDGE('',*,*,#183087,.F.); #236717=ORIENTED_EDGE('',*,*,#183088,.F.); #236718=ORIENTED_EDGE('',*,*,#183089,.T.); #236719=ORIENTED_EDGE('',*,*,#183088,.T.); #236720=ORIENTED_EDGE('',*,*,#183090,.F.); #236721=ORIENTED_EDGE('',*,*,#183091,.F.); #236722=ORIENTED_EDGE('',*,*,#183092,.T.); #236723=ORIENTED_EDGE('',*,*,#183091,.T.); #236724=ORIENTED_EDGE('',*,*,#183093,.F.); #236725=ORIENTED_EDGE('',*,*,#183094,.F.); #236726=ORIENTED_EDGE('',*,*,#183095,.T.); #236727=ORIENTED_EDGE('',*,*,#183094,.T.); #236728=ORIENTED_EDGE('',*,*,#183096,.F.); #236729=ORIENTED_EDGE('',*,*,#183097,.F.); #236730=ORIENTED_EDGE('',*,*,#183098,.T.); #236731=ORIENTED_EDGE('',*,*,#183097,.T.); #236732=ORIENTED_EDGE('',*,*,#183099,.F.); #236733=ORIENTED_EDGE('',*,*,#183100,.F.); #236734=ORIENTED_EDGE('',*,*,#183101,.T.); #236735=ORIENTED_EDGE('',*,*,#183100,.T.); #236736=ORIENTED_EDGE('',*,*,#183102,.F.); #236737=ORIENTED_EDGE('',*,*,#183103,.F.); #236738=ORIENTED_EDGE('',*,*,#183104,.T.); #236739=ORIENTED_EDGE('',*,*,#183103,.T.); #236740=ORIENTED_EDGE('',*,*,#183105,.F.); #236741=ORIENTED_EDGE('',*,*,#183106,.F.); #236742=ORIENTED_EDGE('',*,*,#183107,.T.); #236743=ORIENTED_EDGE('',*,*,#183106,.T.); #236744=ORIENTED_EDGE('',*,*,#183108,.F.); #236745=ORIENTED_EDGE('',*,*,#183109,.F.); #236746=ORIENTED_EDGE('',*,*,#183110,.T.); #236747=ORIENTED_EDGE('',*,*,#183109,.T.); #236748=ORIENTED_EDGE('',*,*,#183111,.F.); #236749=ORIENTED_EDGE('',*,*,#183112,.F.); #236750=ORIENTED_EDGE('',*,*,#183113,.T.); #236751=ORIENTED_EDGE('',*,*,#183112,.T.); #236752=ORIENTED_EDGE('',*,*,#183114,.F.); #236753=ORIENTED_EDGE('',*,*,#183115,.F.); #236754=ORIENTED_EDGE('',*,*,#183116,.T.); #236755=ORIENTED_EDGE('',*,*,#183115,.T.); #236756=ORIENTED_EDGE('',*,*,#183117,.F.); #236757=ORIENTED_EDGE('',*,*,#183118,.F.); #236758=ORIENTED_EDGE('',*,*,#183119,.T.); #236759=ORIENTED_EDGE('',*,*,#183118,.T.); #236760=ORIENTED_EDGE('',*,*,#183120,.F.); #236761=ORIENTED_EDGE('',*,*,#183121,.F.); #236762=ORIENTED_EDGE('',*,*,#183122,.T.); #236763=ORIENTED_EDGE('',*,*,#183121,.T.); #236764=ORIENTED_EDGE('',*,*,#183123,.F.); #236765=ORIENTED_EDGE('',*,*,#183124,.F.); #236766=ORIENTED_EDGE('',*,*,#183125,.T.); #236767=ORIENTED_EDGE('',*,*,#183124,.T.); #236768=ORIENTED_EDGE('',*,*,#183126,.F.); #236769=ORIENTED_EDGE('',*,*,#183127,.F.); #236770=ORIENTED_EDGE('',*,*,#183128,.T.); #236771=ORIENTED_EDGE('',*,*,#183127,.T.); #236772=ORIENTED_EDGE('',*,*,#183129,.F.); #236773=ORIENTED_EDGE('',*,*,#183130,.F.); #236774=ORIENTED_EDGE('',*,*,#183131,.T.); #236775=ORIENTED_EDGE('',*,*,#183130,.T.); #236776=ORIENTED_EDGE('',*,*,#183132,.F.); #236777=ORIENTED_EDGE('',*,*,#183133,.F.); #236778=ORIENTED_EDGE('',*,*,#183134,.T.); #236779=ORIENTED_EDGE('',*,*,#183133,.T.); #236780=ORIENTED_EDGE('',*,*,#183135,.F.); #236781=ORIENTED_EDGE('',*,*,#183136,.F.); #236782=ORIENTED_EDGE('',*,*,#183137,.T.); #236783=ORIENTED_EDGE('',*,*,#183136,.T.); #236784=ORIENTED_EDGE('',*,*,#183138,.F.); #236785=ORIENTED_EDGE('',*,*,#183139,.F.); #236786=ORIENTED_EDGE('',*,*,#183140,.T.); #236787=ORIENTED_EDGE('',*,*,#183139,.T.); #236788=ORIENTED_EDGE('',*,*,#183141,.F.); #236789=ORIENTED_EDGE('',*,*,#183142,.F.); #236790=ORIENTED_EDGE('',*,*,#183143,.T.); #236791=ORIENTED_EDGE('',*,*,#183142,.T.); #236792=ORIENTED_EDGE('',*,*,#183144,.F.); #236793=ORIENTED_EDGE('',*,*,#183145,.F.); #236794=ORIENTED_EDGE('',*,*,#183146,.T.); #236795=ORIENTED_EDGE('',*,*,#183145,.T.); #236796=ORIENTED_EDGE('',*,*,#183147,.F.); #236797=ORIENTED_EDGE('',*,*,#183148,.F.); #236798=ORIENTED_EDGE('',*,*,#183149,.T.); #236799=ORIENTED_EDGE('',*,*,#183148,.T.); #236800=ORIENTED_EDGE('',*,*,#183150,.F.); #236801=ORIENTED_EDGE('',*,*,#183151,.F.); #236802=ORIENTED_EDGE('',*,*,#183152,.T.); #236803=ORIENTED_EDGE('',*,*,#183151,.T.); #236804=ORIENTED_EDGE('',*,*,#183153,.F.); #236805=ORIENTED_EDGE('',*,*,#183154,.F.); #236806=ORIENTED_EDGE('',*,*,#183155,.T.); #236807=ORIENTED_EDGE('',*,*,#183154,.T.); #236808=ORIENTED_EDGE('',*,*,#183156,.F.); #236809=ORIENTED_EDGE('',*,*,#183157,.F.); #236810=ORIENTED_EDGE('',*,*,#183158,.T.); #236811=ORIENTED_EDGE('',*,*,#183157,.T.); #236812=ORIENTED_EDGE('',*,*,#183159,.F.); #236813=ORIENTED_EDGE('',*,*,#183160,.F.); #236814=ORIENTED_EDGE('',*,*,#183161,.T.); #236815=ORIENTED_EDGE('',*,*,#183160,.T.); #236816=ORIENTED_EDGE('',*,*,#183162,.F.); #236817=ORIENTED_EDGE('',*,*,#183163,.F.); #236818=ORIENTED_EDGE('',*,*,#183164,.T.); #236819=ORIENTED_EDGE('',*,*,#183163,.T.); #236820=ORIENTED_EDGE('',*,*,#183165,.F.); #236821=ORIENTED_EDGE('',*,*,#183166,.F.); #236822=ORIENTED_EDGE('',*,*,#183167,.T.); #236823=ORIENTED_EDGE('',*,*,#183166,.T.); #236824=ORIENTED_EDGE('',*,*,#183168,.F.); #236825=ORIENTED_EDGE('',*,*,#183169,.F.); #236826=ORIENTED_EDGE('',*,*,#183170,.T.); #236827=ORIENTED_EDGE('',*,*,#183169,.T.); #236828=ORIENTED_EDGE('',*,*,#183171,.F.); #236829=ORIENTED_EDGE('',*,*,#183172,.F.); #236830=ORIENTED_EDGE('',*,*,#183173,.T.); #236831=ORIENTED_EDGE('',*,*,#183172,.T.); #236832=ORIENTED_EDGE('',*,*,#183174,.F.); #236833=ORIENTED_EDGE('',*,*,#183175,.F.); #236834=ORIENTED_EDGE('',*,*,#183176,.T.); #236835=ORIENTED_EDGE('',*,*,#183175,.T.); #236836=ORIENTED_EDGE('',*,*,#183177,.F.); #236837=ORIENTED_EDGE('',*,*,#183178,.F.); #236838=ORIENTED_EDGE('',*,*,#183179,.T.); #236839=ORIENTED_EDGE('',*,*,#183178,.T.); #236840=ORIENTED_EDGE('',*,*,#183180,.F.); #236841=ORIENTED_EDGE('',*,*,#183181,.F.); #236842=ORIENTED_EDGE('',*,*,#183182,.T.); #236843=ORIENTED_EDGE('',*,*,#183181,.T.); #236844=ORIENTED_EDGE('',*,*,#183183,.F.); #236845=ORIENTED_EDGE('',*,*,#183184,.F.); #236846=ORIENTED_EDGE('',*,*,#183185,.T.); #236847=ORIENTED_EDGE('',*,*,#183184,.T.); #236848=ORIENTED_EDGE('',*,*,#183186,.F.); #236849=ORIENTED_EDGE('',*,*,#183187,.F.); #236850=ORIENTED_EDGE('',*,*,#183188,.T.); #236851=ORIENTED_EDGE('',*,*,#183187,.T.); #236852=ORIENTED_EDGE('',*,*,#183189,.F.); #236853=ORIENTED_EDGE('',*,*,#183190,.F.); #236854=ORIENTED_EDGE('',*,*,#183191,.T.); #236855=ORIENTED_EDGE('',*,*,#183190,.T.); #236856=ORIENTED_EDGE('',*,*,#183192,.F.); #236857=ORIENTED_EDGE('',*,*,#183193,.F.); #236858=ORIENTED_EDGE('',*,*,#183194,.T.); #236859=ORIENTED_EDGE('',*,*,#183193,.T.); #236860=ORIENTED_EDGE('',*,*,#183195,.F.); #236861=ORIENTED_EDGE('',*,*,#183196,.F.); #236862=ORIENTED_EDGE('',*,*,#183197,.T.); #236863=ORIENTED_EDGE('',*,*,#183196,.T.); #236864=ORIENTED_EDGE('',*,*,#183198,.F.); #236865=ORIENTED_EDGE('',*,*,#183199,.F.); #236866=ORIENTED_EDGE('',*,*,#183200,.T.); #236867=ORIENTED_EDGE('',*,*,#183199,.T.); #236868=ORIENTED_EDGE('',*,*,#183201,.F.); #236869=ORIENTED_EDGE('',*,*,#183202,.F.); #236870=ORIENTED_EDGE('',*,*,#183203,.T.); #236871=ORIENTED_EDGE('',*,*,#183202,.T.); #236872=ORIENTED_EDGE('',*,*,#183204,.F.); #236873=ORIENTED_EDGE('',*,*,#183205,.F.); #236874=ORIENTED_EDGE('',*,*,#183206,.T.); #236875=ORIENTED_EDGE('',*,*,#183205,.T.); #236876=ORIENTED_EDGE('',*,*,#183207,.F.); #236877=ORIENTED_EDGE('',*,*,#183208,.F.); #236878=ORIENTED_EDGE('',*,*,#183209,.T.); #236879=ORIENTED_EDGE('',*,*,#183208,.T.); #236880=ORIENTED_EDGE('',*,*,#183210,.F.); #236881=ORIENTED_EDGE('',*,*,#183211,.F.); #236882=ORIENTED_EDGE('',*,*,#183212,.T.); #236883=ORIENTED_EDGE('',*,*,#183211,.T.); #236884=ORIENTED_EDGE('',*,*,#183213,.F.); #236885=ORIENTED_EDGE('',*,*,#183214,.F.); #236886=ORIENTED_EDGE('',*,*,#183215,.T.); #236887=ORIENTED_EDGE('',*,*,#183214,.T.); #236888=ORIENTED_EDGE('',*,*,#183216,.F.); #236889=ORIENTED_EDGE('',*,*,#183217,.F.); #236890=ORIENTED_EDGE('',*,*,#183218,.T.); #236891=ORIENTED_EDGE('',*,*,#183217,.T.); #236892=ORIENTED_EDGE('',*,*,#183219,.F.); #236893=ORIENTED_EDGE('',*,*,#183220,.F.); #236894=ORIENTED_EDGE('',*,*,#183221,.T.); #236895=ORIENTED_EDGE('',*,*,#183220,.T.); #236896=ORIENTED_EDGE('',*,*,#183222,.F.); #236897=ORIENTED_EDGE('',*,*,#183223,.F.); #236898=ORIENTED_EDGE('',*,*,#183224,.T.); #236899=ORIENTED_EDGE('',*,*,#183223,.T.); #236900=ORIENTED_EDGE('',*,*,#183225,.F.); #236901=ORIENTED_EDGE('',*,*,#183226,.F.); #236902=ORIENTED_EDGE('',*,*,#183227,.T.); #236903=ORIENTED_EDGE('',*,*,#183226,.T.); #236904=ORIENTED_EDGE('',*,*,#183228,.F.); #236905=ORIENTED_EDGE('',*,*,#183229,.F.); #236906=ORIENTED_EDGE('',*,*,#183230,.T.); #236907=ORIENTED_EDGE('',*,*,#183229,.T.); #236908=ORIENTED_EDGE('',*,*,#183231,.F.); #236909=ORIENTED_EDGE('',*,*,#183232,.F.); #236910=ORIENTED_EDGE('',*,*,#183233,.T.); #236911=ORIENTED_EDGE('',*,*,#183232,.T.); #236912=ORIENTED_EDGE('',*,*,#183234,.F.); #236913=ORIENTED_EDGE('',*,*,#183235,.F.); #236914=ORIENTED_EDGE('',*,*,#183236,.T.); #236915=ORIENTED_EDGE('',*,*,#183235,.T.); #236916=ORIENTED_EDGE('',*,*,#183237,.F.); #236917=ORIENTED_EDGE('',*,*,#183238,.F.); #236918=ORIENTED_EDGE('',*,*,#183239,.T.); #236919=ORIENTED_EDGE('',*,*,#183238,.T.); #236920=ORIENTED_EDGE('',*,*,#183240,.F.); #236921=ORIENTED_EDGE('',*,*,#183241,.F.); #236922=ORIENTED_EDGE('',*,*,#183242,.T.); #236923=ORIENTED_EDGE('',*,*,#183241,.T.); #236924=ORIENTED_EDGE('',*,*,#183243,.F.); #236925=ORIENTED_EDGE('',*,*,#183244,.F.); #236926=ORIENTED_EDGE('',*,*,#183245,.T.); #236927=ORIENTED_EDGE('',*,*,#183244,.T.); #236928=ORIENTED_EDGE('',*,*,#183246,.F.); #236929=ORIENTED_EDGE('',*,*,#183247,.F.); #236930=ORIENTED_EDGE('',*,*,#183248,.T.); #236931=ORIENTED_EDGE('',*,*,#183247,.T.); #236932=ORIENTED_EDGE('',*,*,#183249,.F.); #236933=ORIENTED_EDGE('',*,*,#183250,.F.); #236934=ORIENTED_EDGE('',*,*,#183251,.T.); #236935=ORIENTED_EDGE('',*,*,#183250,.T.); #236936=ORIENTED_EDGE('',*,*,#183252,.F.); #236937=ORIENTED_EDGE('',*,*,#183253,.F.); #236938=ORIENTED_EDGE('',*,*,#183254,.T.); #236939=ORIENTED_EDGE('',*,*,#183253,.T.); #236940=ORIENTED_EDGE('',*,*,#183255,.F.); #236941=ORIENTED_EDGE('',*,*,#183256,.F.); #236942=ORIENTED_EDGE('',*,*,#183257,.T.); #236943=ORIENTED_EDGE('',*,*,#183256,.T.); #236944=ORIENTED_EDGE('',*,*,#183258,.F.); #236945=ORIENTED_EDGE('',*,*,#183259,.F.); #236946=ORIENTED_EDGE('',*,*,#183260,.T.); #236947=ORIENTED_EDGE('',*,*,#183259,.T.); #236948=ORIENTED_EDGE('',*,*,#183261,.F.); #236949=ORIENTED_EDGE('',*,*,#183262,.F.); #236950=ORIENTED_EDGE('',*,*,#183263,.T.); #236951=ORIENTED_EDGE('',*,*,#183262,.T.); #236952=ORIENTED_EDGE('',*,*,#183264,.F.); #236953=ORIENTED_EDGE('',*,*,#183265,.F.); #236954=ORIENTED_EDGE('',*,*,#183266,.T.); #236955=ORIENTED_EDGE('',*,*,#183265,.T.); #236956=ORIENTED_EDGE('',*,*,#183267,.F.); #236957=ORIENTED_EDGE('',*,*,#183268,.F.); #236958=ORIENTED_EDGE('',*,*,#183269,.T.); #236959=ORIENTED_EDGE('',*,*,#183268,.T.); #236960=ORIENTED_EDGE('',*,*,#183270,.F.); #236961=ORIENTED_EDGE('',*,*,#183271,.F.); #236962=ORIENTED_EDGE('',*,*,#183272,.T.); #236963=ORIENTED_EDGE('',*,*,#183271,.T.); #236964=ORIENTED_EDGE('',*,*,#183273,.F.); #236965=ORIENTED_EDGE('',*,*,#183274,.F.); #236966=ORIENTED_EDGE('',*,*,#183275,.T.); #236967=ORIENTED_EDGE('',*,*,#183274,.T.); #236968=ORIENTED_EDGE('',*,*,#183276,.F.); #236969=ORIENTED_EDGE('',*,*,#183277,.F.); #236970=ORIENTED_EDGE('',*,*,#183278,.T.); #236971=ORIENTED_EDGE('',*,*,#183277,.T.); #236972=ORIENTED_EDGE('',*,*,#183279,.F.); #236973=ORIENTED_EDGE('',*,*,#183280,.F.); #236974=ORIENTED_EDGE('',*,*,#183281,.T.); #236975=ORIENTED_EDGE('',*,*,#183280,.T.); #236976=ORIENTED_EDGE('',*,*,#183282,.F.); #236977=ORIENTED_EDGE('',*,*,#183283,.F.); #236978=ORIENTED_EDGE('',*,*,#183284,.T.); #236979=ORIENTED_EDGE('',*,*,#183283,.T.); #236980=ORIENTED_EDGE('',*,*,#183285,.F.); #236981=ORIENTED_EDGE('',*,*,#183286,.F.); #236982=ORIENTED_EDGE('',*,*,#183287,.T.); #236983=ORIENTED_EDGE('',*,*,#183286,.T.); #236984=ORIENTED_EDGE('',*,*,#183288,.F.); #236985=ORIENTED_EDGE('',*,*,#183289,.F.); #236986=ORIENTED_EDGE('',*,*,#183290,.T.); #236987=ORIENTED_EDGE('',*,*,#183289,.T.); #236988=ORIENTED_EDGE('',*,*,#183291,.F.); #236989=ORIENTED_EDGE('',*,*,#183292,.F.); #236990=ORIENTED_EDGE('',*,*,#183293,.T.); #236991=ORIENTED_EDGE('',*,*,#183292,.T.); #236992=ORIENTED_EDGE('',*,*,#183294,.F.); #236993=ORIENTED_EDGE('',*,*,#183295,.F.); #236994=ORIENTED_EDGE('',*,*,#183296,.T.); #236995=ORIENTED_EDGE('',*,*,#183295,.T.); #236996=ORIENTED_EDGE('',*,*,#183297,.F.); #236997=ORIENTED_EDGE('',*,*,#183298,.F.); #236998=ORIENTED_EDGE('',*,*,#183299,.T.); #236999=ORIENTED_EDGE('',*,*,#183298,.T.); #237000=ORIENTED_EDGE('',*,*,#183300,.F.); #237001=ORIENTED_EDGE('',*,*,#183301,.F.); #237002=ORIENTED_EDGE('',*,*,#183302,.T.); #237003=ORIENTED_EDGE('',*,*,#183301,.T.); #237004=ORIENTED_EDGE('',*,*,#183303,.F.); #237005=ORIENTED_EDGE('',*,*,#183304,.F.); #237006=ORIENTED_EDGE('',*,*,#183305,.T.); #237007=ORIENTED_EDGE('',*,*,#183304,.T.); #237008=ORIENTED_EDGE('',*,*,#183306,.F.); #237009=ORIENTED_EDGE('',*,*,#183307,.F.); #237010=ORIENTED_EDGE('',*,*,#183308,.T.); #237011=ORIENTED_EDGE('',*,*,#183307,.T.); #237012=ORIENTED_EDGE('',*,*,#183309,.F.); #237013=ORIENTED_EDGE('',*,*,#183310,.F.); #237014=ORIENTED_EDGE('',*,*,#183311,.T.); #237015=ORIENTED_EDGE('',*,*,#183310,.T.); #237016=ORIENTED_EDGE('',*,*,#183312,.F.); #237017=ORIENTED_EDGE('',*,*,#183313,.F.); #237018=ORIENTED_EDGE('',*,*,#183314,.T.); #237019=ORIENTED_EDGE('',*,*,#183313,.T.); #237020=ORIENTED_EDGE('',*,*,#183315,.F.); #237021=ORIENTED_EDGE('',*,*,#183316,.F.); #237022=ORIENTED_EDGE('',*,*,#183317,.T.); #237023=ORIENTED_EDGE('',*,*,#183316,.T.); #237024=ORIENTED_EDGE('',*,*,#183318,.F.); #237025=ORIENTED_EDGE('',*,*,#183319,.F.); #237026=ORIENTED_EDGE('',*,*,#183320,.T.); #237027=ORIENTED_EDGE('',*,*,#183319,.T.); #237028=ORIENTED_EDGE('',*,*,#183321,.F.); #237029=ORIENTED_EDGE('',*,*,#183322,.F.); #237030=ORIENTED_EDGE('',*,*,#183323,.T.); #237031=ORIENTED_EDGE('',*,*,#183322,.T.); #237032=ORIENTED_EDGE('',*,*,#183324,.F.); #237033=ORIENTED_EDGE('',*,*,#183325,.F.); #237034=ORIENTED_EDGE('',*,*,#183326,.T.); #237035=ORIENTED_EDGE('',*,*,#183325,.T.); #237036=ORIENTED_EDGE('',*,*,#183327,.F.); #237037=ORIENTED_EDGE('',*,*,#183328,.F.); #237038=ORIENTED_EDGE('',*,*,#183329,.T.); #237039=ORIENTED_EDGE('',*,*,#183328,.T.); #237040=ORIENTED_EDGE('',*,*,#183330,.F.); #237041=ORIENTED_EDGE('',*,*,#183331,.F.); #237042=ORIENTED_EDGE('',*,*,#183332,.T.); #237043=ORIENTED_EDGE('',*,*,#183331,.T.); #237044=ORIENTED_EDGE('',*,*,#183333,.F.); #237045=ORIENTED_EDGE('',*,*,#183334,.F.); #237046=ORIENTED_EDGE('',*,*,#183335,.T.); #237047=ORIENTED_EDGE('',*,*,#183334,.T.); #237048=ORIENTED_EDGE('',*,*,#183336,.F.); #237049=ORIENTED_EDGE('',*,*,#183337,.F.); #237050=ORIENTED_EDGE('',*,*,#183338,.T.); #237051=ORIENTED_EDGE('',*,*,#183337,.T.); #237052=ORIENTED_EDGE('',*,*,#183339,.F.); #237053=ORIENTED_EDGE('',*,*,#183340,.F.); #237054=ORIENTED_EDGE('',*,*,#183341,.T.); #237055=ORIENTED_EDGE('',*,*,#183340,.T.); #237056=ORIENTED_EDGE('',*,*,#183342,.F.); #237057=ORIENTED_EDGE('',*,*,#183343,.F.); #237058=ORIENTED_EDGE('',*,*,#183344,.T.); #237059=ORIENTED_EDGE('',*,*,#183343,.T.); #237060=ORIENTED_EDGE('',*,*,#183345,.F.); #237061=ORIENTED_EDGE('',*,*,#183346,.F.); #237062=ORIENTED_EDGE('',*,*,#183347,.T.); #237063=ORIENTED_EDGE('',*,*,#183346,.T.); #237064=ORIENTED_EDGE('',*,*,#183348,.F.); #237065=ORIENTED_EDGE('',*,*,#183349,.F.); #237066=ORIENTED_EDGE('',*,*,#183350,.T.); #237067=ORIENTED_EDGE('',*,*,#183349,.T.); #237068=ORIENTED_EDGE('',*,*,#183351,.F.); #237069=ORIENTED_EDGE('',*,*,#183352,.F.); #237070=ORIENTED_EDGE('',*,*,#183353,.T.); #237071=ORIENTED_EDGE('',*,*,#183352,.T.); #237072=ORIENTED_EDGE('',*,*,#183354,.F.); #237073=ORIENTED_EDGE('',*,*,#183355,.F.); #237074=ORIENTED_EDGE('',*,*,#183356,.T.); #237075=ORIENTED_EDGE('',*,*,#183355,.T.); #237076=ORIENTED_EDGE('',*,*,#183357,.F.); #237077=ORIENTED_EDGE('',*,*,#183358,.F.); #237078=ORIENTED_EDGE('',*,*,#183359,.T.); #237079=ORIENTED_EDGE('',*,*,#183358,.T.); #237080=ORIENTED_EDGE('',*,*,#183360,.F.); #237081=ORIENTED_EDGE('',*,*,#183361,.F.); #237082=ORIENTED_EDGE('',*,*,#183362,.T.); #237083=ORIENTED_EDGE('',*,*,#183361,.T.); #237084=ORIENTED_EDGE('',*,*,#183363,.F.); #237085=ORIENTED_EDGE('',*,*,#183364,.F.); #237086=ORIENTED_EDGE('',*,*,#183365,.T.); #237087=ORIENTED_EDGE('',*,*,#183364,.T.); #237088=ORIENTED_EDGE('',*,*,#183366,.F.); #237089=ORIENTED_EDGE('',*,*,#183367,.F.); #237090=ORIENTED_EDGE('',*,*,#183368,.T.); #237091=ORIENTED_EDGE('',*,*,#183367,.T.); #237092=ORIENTED_EDGE('',*,*,#183369,.F.); #237093=ORIENTED_EDGE('',*,*,#183370,.F.); #237094=ORIENTED_EDGE('',*,*,#183371,.T.); #237095=ORIENTED_EDGE('',*,*,#183370,.T.); #237096=ORIENTED_EDGE('',*,*,#183372,.F.); #237097=ORIENTED_EDGE('',*,*,#183373,.F.); #237098=ORIENTED_EDGE('',*,*,#183374,.T.); #237099=ORIENTED_EDGE('',*,*,#183373,.T.); #237100=ORIENTED_EDGE('',*,*,#183375,.F.); #237101=ORIENTED_EDGE('',*,*,#183376,.F.); #237102=ORIENTED_EDGE('',*,*,#183377,.T.); #237103=ORIENTED_EDGE('',*,*,#183376,.T.); #237104=ORIENTED_EDGE('',*,*,#183378,.F.); #237105=ORIENTED_EDGE('',*,*,#183379,.F.); #237106=ORIENTED_EDGE('',*,*,#183380,.T.); #237107=ORIENTED_EDGE('',*,*,#183379,.T.); #237108=ORIENTED_EDGE('',*,*,#183381,.F.); #237109=ORIENTED_EDGE('',*,*,#183382,.F.); #237110=ORIENTED_EDGE('',*,*,#183383,.T.); #237111=ORIENTED_EDGE('',*,*,#183382,.T.); #237112=ORIENTED_EDGE('',*,*,#183384,.F.); #237113=ORIENTED_EDGE('',*,*,#183385,.F.); #237114=ORIENTED_EDGE('',*,*,#183386,.T.); #237115=ORIENTED_EDGE('',*,*,#183385,.T.); #237116=ORIENTED_EDGE('',*,*,#183387,.F.); #237117=ORIENTED_EDGE('',*,*,#183388,.F.); #237118=ORIENTED_EDGE('',*,*,#183389,.T.); #237119=ORIENTED_EDGE('',*,*,#183388,.T.); #237120=ORIENTED_EDGE('',*,*,#183390,.F.); #237121=ORIENTED_EDGE('',*,*,#183391,.F.); #237122=ORIENTED_EDGE('',*,*,#183392,.T.); #237123=ORIENTED_EDGE('',*,*,#183391,.T.); #237124=ORIENTED_EDGE('',*,*,#183393,.F.); #237125=ORIENTED_EDGE('',*,*,#183394,.F.); #237126=ORIENTED_EDGE('',*,*,#183395,.T.); #237127=ORIENTED_EDGE('',*,*,#183394,.T.); #237128=ORIENTED_EDGE('',*,*,#183396,.F.); #237129=ORIENTED_EDGE('',*,*,#183397,.F.); #237130=ORIENTED_EDGE('',*,*,#183398,.T.); #237131=ORIENTED_EDGE('',*,*,#183397,.T.); #237132=ORIENTED_EDGE('',*,*,#183399,.F.); #237133=ORIENTED_EDGE('',*,*,#183400,.F.); #237134=ORIENTED_EDGE('',*,*,#183401,.T.); #237135=ORIENTED_EDGE('',*,*,#183400,.T.); #237136=ORIENTED_EDGE('',*,*,#183402,.F.); #237137=ORIENTED_EDGE('',*,*,#183403,.F.); #237138=ORIENTED_EDGE('',*,*,#183404,.T.); #237139=ORIENTED_EDGE('',*,*,#183403,.T.); #237140=ORIENTED_EDGE('',*,*,#183405,.F.); #237141=ORIENTED_EDGE('',*,*,#183406,.F.); #237142=ORIENTED_EDGE('',*,*,#183407,.T.); #237143=ORIENTED_EDGE('',*,*,#183406,.T.); #237144=ORIENTED_EDGE('',*,*,#183408,.F.); #237145=ORIENTED_EDGE('',*,*,#183409,.F.); #237146=ORIENTED_EDGE('',*,*,#183410,.T.); #237147=ORIENTED_EDGE('',*,*,#183409,.T.); #237148=ORIENTED_EDGE('',*,*,#183411,.F.); #237149=ORIENTED_EDGE('',*,*,#183412,.F.); #237150=ORIENTED_EDGE('',*,*,#183413,.T.); #237151=ORIENTED_EDGE('',*,*,#183412,.T.); #237152=ORIENTED_EDGE('',*,*,#183414,.F.); #237153=ORIENTED_EDGE('',*,*,#183415,.F.); #237154=ORIENTED_EDGE('',*,*,#183416,.T.); #237155=ORIENTED_EDGE('',*,*,#183415,.T.); #237156=ORIENTED_EDGE('',*,*,#183417,.F.); #237157=ORIENTED_EDGE('',*,*,#183418,.F.); #237158=ORIENTED_EDGE('',*,*,#183419,.T.); #237159=ORIENTED_EDGE('',*,*,#183418,.T.); #237160=ORIENTED_EDGE('',*,*,#183420,.F.); #237161=ORIENTED_EDGE('',*,*,#183421,.F.); #237162=ORIENTED_EDGE('',*,*,#183422,.T.); #237163=ORIENTED_EDGE('',*,*,#183421,.T.); #237164=ORIENTED_EDGE('',*,*,#183423,.F.); #237165=ORIENTED_EDGE('',*,*,#183424,.F.); #237166=ORIENTED_EDGE('',*,*,#183425,.T.); #237167=ORIENTED_EDGE('',*,*,#183424,.T.); #237168=ORIENTED_EDGE('',*,*,#183426,.F.); #237169=ORIENTED_EDGE('',*,*,#183427,.F.); #237170=ORIENTED_EDGE('',*,*,#183428,.T.); #237171=ORIENTED_EDGE('',*,*,#183427,.T.); #237172=ORIENTED_EDGE('',*,*,#183429,.F.); #237173=ORIENTED_EDGE('',*,*,#183430,.F.); #237174=ORIENTED_EDGE('',*,*,#183431,.T.); #237175=ORIENTED_EDGE('',*,*,#183430,.T.); #237176=ORIENTED_EDGE('',*,*,#183432,.F.); #237177=ORIENTED_EDGE('',*,*,#183433,.F.); #237178=ORIENTED_EDGE('',*,*,#183434,.T.); #237179=ORIENTED_EDGE('',*,*,#183433,.T.); #237180=ORIENTED_EDGE('',*,*,#183435,.F.); #237181=ORIENTED_EDGE('',*,*,#183436,.F.); #237182=ORIENTED_EDGE('',*,*,#183437,.T.); #237183=ORIENTED_EDGE('',*,*,#183436,.T.); #237184=ORIENTED_EDGE('',*,*,#183438,.F.); #237185=ORIENTED_EDGE('',*,*,#183439,.F.); #237186=ORIENTED_EDGE('',*,*,#183440,.T.); #237187=ORIENTED_EDGE('',*,*,#183439,.T.); #237188=ORIENTED_EDGE('',*,*,#183441,.F.); #237189=ORIENTED_EDGE('',*,*,#183442,.F.); #237190=ORIENTED_EDGE('',*,*,#183443,.T.); #237191=ORIENTED_EDGE('',*,*,#183442,.T.); #237192=ORIENTED_EDGE('',*,*,#183444,.F.); #237193=ORIENTED_EDGE('',*,*,#183445,.F.); #237194=ORIENTED_EDGE('',*,*,#183446,.T.); #237195=ORIENTED_EDGE('',*,*,#183445,.T.); #237196=ORIENTED_EDGE('',*,*,#183447,.F.); #237197=ORIENTED_EDGE('',*,*,#183448,.F.); #237198=ORIENTED_EDGE('',*,*,#183449,.T.); #237199=ORIENTED_EDGE('',*,*,#183448,.T.); #237200=ORIENTED_EDGE('',*,*,#183450,.F.); #237201=ORIENTED_EDGE('',*,*,#183451,.F.); #237202=ORIENTED_EDGE('',*,*,#183452,.T.); #237203=ORIENTED_EDGE('',*,*,#183451,.T.); #237204=ORIENTED_EDGE('',*,*,#183453,.F.); #237205=ORIENTED_EDGE('',*,*,#183454,.F.); #237206=ORIENTED_EDGE('',*,*,#183455,.T.); #237207=ORIENTED_EDGE('',*,*,#183454,.T.); #237208=ORIENTED_EDGE('',*,*,#183456,.F.); #237209=ORIENTED_EDGE('',*,*,#183457,.F.); #237210=ORIENTED_EDGE('',*,*,#183458,.T.); #237211=ORIENTED_EDGE('',*,*,#183457,.T.); #237212=ORIENTED_EDGE('',*,*,#183459,.F.); #237213=ORIENTED_EDGE('',*,*,#183460,.F.); #237214=ORIENTED_EDGE('',*,*,#183461,.T.); #237215=ORIENTED_EDGE('',*,*,#183460,.T.); #237216=ORIENTED_EDGE('',*,*,#183462,.F.); #237217=ORIENTED_EDGE('',*,*,#183463,.F.); #237218=ORIENTED_EDGE('',*,*,#183464,.T.); #237219=ORIENTED_EDGE('',*,*,#183463,.T.); #237220=ORIENTED_EDGE('',*,*,#183465,.F.); #237221=ORIENTED_EDGE('',*,*,#183466,.F.); #237222=ORIENTED_EDGE('',*,*,#183467,.T.); #237223=ORIENTED_EDGE('',*,*,#183466,.T.); #237224=ORIENTED_EDGE('',*,*,#183468,.F.); #237225=ORIENTED_EDGE('',*,*,#183469,.F.); #237226=ORIENTED_EDGE('',*,*,#183470,.T.); #237227=ORIENTED_EDGE('',*,*,#183469,.T.); #237228=ORIENTED_EDGE('',*,*,#183471,.F.); #237229=ORIENTED_EDGE('',*,*,#183472,.F.); #237230=ORIENTED_EDGE('',*,*,#183473,.T.); #237231=ORIENTED_EDGE('',*,*,#183472,.T.); #237232=ORIENTED_EDGE('',*,*,#183474,.F.); #237233=ORIENTED_EDGE('',*,*,#183475,.F.); #237234=ORIENTED_EDGE('',*,*,#183476,.T.); #237235=ORIENTED_EDGE('',*,*,#183475,.T.); #237236=ORIENTED_EDGE('',*,*,#183477,.F.); #237237=ORIENTED_EDGE('',*,*,#183478,.F.); #237238=ORIENTED_EDGE('',*,*,#183479,.T.); #237239=ORIENTED_EDGE('',*,*,#183478,.T.); #237240=ORIENTED_EDGE('',*,*,#183480,.F.); #237241=ORIENTED_EDGE('',*,*,#183481,.F.); #237242=ORIENTED_EDGE('',*,*,#183482,.T.); #237243=ORIENTED_EDGE('',*,*,#183481,.T.); #237244=ORIENTED_EDGE('',*,*,#183483,.F.); #237245=ORIENTED_EDGE('',*,*,#183484,.F.); #237246=ORIENTED_EDGE('',*,*,#183485,.T.); #237247=ORIENTED_EDGE('',*,*,#183484,.T.); #237248=ORIENTED_EDGE('',*,*,#183486,.F.); #237249=ORIENTED_EDGE('',*,*,#183487,.F.); #237250=ORIENTED_EDGE('',*,*,#183488,.T.); #237251=ORIENTED_EDGE('',*,*,#183487,.T.); #237252=ORIENTED_EDGE('',*,*,#183489,.F.); #237253=ORIENTED_EDGE('',*,*,#183490,.F.); #237254=ORIENTED_EDGE('',*,*,#183491,.T.); #237255=ORIENTED_EDGE('',*,*,#183490,.T.); #237256=ORIENTED_EDGE('',*,*,#183492,.F.); #237257=ORIENTED_EDGE('',*,*,#183493,.F.); #237258=ORIENTED_EDGE('',*,*,#183494,.T.); #237259=ORIENTED_EDGE('',*,*,#183493,.T.); #237260=ORIENTED_EDGE('',*,*,#183495,.F.); #237261=ORIENTED_EDGE('',*,*,#183496,.F.); #237262=ORIENTED_EDGE('',*,*,#183497,.T.); #237263=ORIENTED_EDGE('',*,*,#183496,.T.); #237264=ORIENTED_EDGE('',*,*,#183498,.F.); #237265=ORIENTED_EDGE('',*,*,#183499,.F.); #237266=ORIENTED_EDGE('',*,*,#183500,.T.); #237267=ORIENTED_EDGE('',*,*,#183499,.T.); #237268=ORIENTED_EDGE('',*,*,#183501,.F.); #237269=ORIENTED_EDGE('',*,*,#183502,.F.); #237270=ORIENTED_EDGE('',*,*,#183503,.T.); #237271=ORIENTED_EDGE('',*,*,#183502,.T.); #237272=ORIENTED_EDGE('',*,*,#183504,.F.); #237273=ORIENTED_EDGE('',*,*,#183505,.F.); #237274=ORIENTED_EDGE('',*,*,#183506,.T.); #237275=ORIENTED_EDGE('',*,*,#183505,.T.); #237276=ORIENTED_EDGE('',*,*,#183507,.F.); #237277=ORIENTED_EDGE('',*,*,#183508,.F.); #237278=ORIENTED_EDGE('',*,*,#183509,.T.); #237279=ORIENTED_EDGE('',*,*,#183508,.T.); #237280=ORIENTED_EDGE('',*,*,#183510,.F.); #237281=ORIENTED_EDGE('',*,*,#183511,.F.); #237282=ORIENTED_EDGE('',*,*,#183512,.T.); #237283=ORIENTED_EDGE('',*,*,#183511,.T.); #237284=ORIENTED_EDGE('',*,*,#183513,.F.); #237285=ORIENTED_EDGE('',*,*,#183514,.F.); #237286=ORIENTED_EDGE('',*,*,#183515,.T.); #237287=ORIENTED_EDGE('',*,*,#183514,.T.); #237288=ORIENTED_EDGE('',*,*,#183516,.F.); #237289=ORIENTED_EDGE('',*,*,#183517,.F.); #237290=ORIENTED_EDGE('',*,*,#183518,.T.); #237291=ORIENTED_EDGE('',*,*,#183517,.T.); #237292=ORIENTED_EDGE('',*,*,#183519,.F.); #237293=ORIENTED_EDGE('',*,*,#183520,.F.); #237294=ORIENTED_EDGE('',*,*,#183521,.T.); #237295=ORIENTED_EDGE('',*,*,#183520,.T.); #237296=ORIENTED_EDGE('',*,*,#183522,.F.); #237297=ORIENTED_EDGE('',*,*,#183523,.F.); #237298=ORIENTED_EDGE('',*,*,#183524,.T.); #237299=ORIENTED_EDGE('',*,*,#183523,.T.); #237300=ORIENTED_EDGE('',*,*,#183525,.F.); #237301=ORIENTED_EDGE('',*,*,#183526,.F.); #237302=ORIENTED_EDGE('',*,*,#183527,.T.); #237303=ORIENTED_EDGE('',*,*,#183526,.T.); #237304=ORIENTED_EDGE('',*,*,#183528,.F.); #237305=ORIENTED_EDGE('',*,*,#183529,.F.); #237306=ORIENTED_EDGE('',*,*,#183530,.T.); #237307=ORIENTED_EDGE('',*,*,#183529,.T.); #237308=ORIENTED_EDGE('',*,*,#183531,.F.); #237309=ORIENTED_EDGE('',*,*,#183532,.F.); #237310=ORIENTED_EDGE('',*,*,#183533,.T.); #237311=ORIENTED_EDGE('',*,*,#183532,.T.); #237312=ORIENTED_EDGE('',*,*,#183534,.F.); #237313=ORIENTED_EDGE('',*,*,#183535,.F.); #237314=ORIENTED_EDGE('',*,*,#183536,.T.); #237315=ORIENTED_EDGE('',*,*,#183535,.T.); #237316=ORIENTED_EDGE('',*,*,#183537,.F.); #237317=ORIENTED_EDGE('',*,*,#183538,.F.); #237318=ORIENTED_EDGE('',*,*,#183539,.T.); #237319=ORIENTED_EDGE('',*,*,#183538,.T.); #237320=ORIENTED_EDGE('',*,*,#183540,.F.); #237321=ORIENTED_EDGE('',*,*,#183541,.F.); #237322=ORIENTED_EDGE('',*,*,#183542,.T.); #237323=ORIENTED_EDGE('',*,*,#183541,.T.); #237324=ORIENTED_EDGE('',*,*,#183543,.F.); #237325=ORIENTED_EDGE('',*,*,#183544,.F.); #237326=ORIENTED_EDGE('',*,*,#183545,.T.); #237327=ORIENTED_EDGE('',*,*,#183544,.T.); #237328=ORIENTED_EDGE('',*,*,#183546,.F.); #237329=ORIENTED_EDGE('',*,*,#183547,.F.); #237330=ORIENTED_EDGE('',*,*,#183548,.T.); #237331=ORIENTED_EDGE('',*,*,#183547,.T.); #237332=ORIENTED_EDGE('',*,*,#183549,.F.); #237333=ORIENTED_EDGE('',*,*,#183550,.F.); #237334=ORIENTED_EDGE('',*,*,#183551,.T.); #237335=ORIENTED_EDGE('',*,*,#183550,.T.); #237336=ORIENTED_EDGE('',*,*,#183552,.F.); #237337=ORIENTED_EDGE('',*,*,#183553,.F.); #237338=ORIENTED_EDGE('',*,*,#183554,.T.); #237339=ORIENTED_EDGE('',*,*,#183553,.T.); #237340=ORIENTED_EDGE('',*,*,#183555,.F.); #237341=ORIENTED_EDGE('',*,*,#183556,.F.); #237342=ORIENTED_EDGE('',*,*,#183557,.T.); #237343=ORIENTED_EDGE('',*,*,#183556,.T.); #237344=ORIENTED_EDGE('',*,*,#183558,.F.); #237345=ORIENTED_EDGE('',*,*,#183559,.F.); #237346=ORIENTED_EDGE('',*,*,#183560,.T.); #237347=ORIENTED_EDGE('',*,*,#183559,.T.); #237348=ORIENTED_EDGE('',*,*,#183561,.F.); #237349=ORIENTED_EDGE('',*,*,#183562,.F.); #237350=ORIENTED_EDGE('',*,*,#183563,.T.); #237351=ORIENTED_EDGE('',*,*,#183562,.T.); #237352=ORIENTED_EDGE('',*,*,#183564,.F.); #237353=ORIENTED_EDGE('',*,*,#183565,.F.); #237354=ORIENTED_EDGE('',*,*,#183566,.T.); #237355=ORIENTED_EDGE('',*,*,#183565,.T.); #237356=ORIENTED_EDGE('',*,*,#183567,.F.); #237357=ORIENTED_EDGE('',*,*,#183568,.F.); #237358=ORIENTED_EDGE('',*,*,#183569,.T.); #237359=ORIENTED_EDGE('',*,*,#183568,.T.); #237360=ORIENTED_EDGE('',*,*,#183570,.F.); #237361=ORIENTED_EDGE('',*,*,#183571,.F.); #237362=ORIENTED_EDGE('',*,*,#183572,.T.); #237363=ORIENTED_EDGE('',*,*,#183571,.T.); #237364=ORIENTED_EDGE('',*,*,#183573,.F.); #237365=ORIENTED_EDGE('',*,*,#183574,.F.); #237366=ORIENTED_EDGE('',*,*,#183575,.T.); #237367=ORIENTED_EDGE('',*,*,#183574,.T.); #237368=ORIENTED_EDGE('',*,*,#183576,.F.); #237369=ORIENTED_EDGE('',*,*,#183577,.F.); #237370=ORIENTED_EDGE('',*,*,#183578,.T.); #237371=ORIENTED_EDGE('',*,*,#183577,.T.); #237372=ORIENTED_EDGE('',*,*,#183579,.F.); #237373=ORIENTED_EDGE('',*,*,#183580,.F.); #237374=ORIENTED_EDGE('',*,*,#183581,.T.); #237375=ORIENTED_EDGE('',*,*,#183580,.T.); #237376=ORIENTED_EDGE('',*,*,#183582,.F.); #237377=ORIENTED_EDGE('',*,*,#183583,.F.); #237378=ORIENTED_EDGE('',*,*,#183584,.T.); #237379=ORIENTED_EDGE('',*,*,#183583,.T.); #237380=ORIENTED_EDGE('',*,*,#183585,.F.); #237381=ORIENTED_EDGE('',*,*,#183586,.F.); #237382=ORIENTED_EDGE('',*,*,#183587,.T.); #237383=ORIENTED_EDGE('',*,*,#183586,.T.); #237384=ORIENTED_EDGE('',*,*,#183588,.F.); #237385=ORIENTED_EDGE('',*,*,#183589,.F.); #237386=ORIENTED_EDGE('',*,*,#183590,.T.); #237387=ORIENTED_EDGE('',*,*,#183589,.T.); #237388=ORIENTED_EDGE('',*,*,#183591,.F.); #237389=ORIENTED_EDGE('',*,*,#183592,.F.); #237390=ORIENTED_EDGE('',*,*,#183593,.T.); #237391=ORIENTED_EDGE('',*,*,#183592,.T.); #237392=ORIENTED_EDGE('',*,*,#183594,.F.); #237393=ORIENTED_EDGE('',*,*,#183595,.F.); #237394=ORIENTED_EDGE('',*,*,#183596,.T.); #237395=ORIENTED_EDGE('',*,*,#183595,.T.); #237396=ORIENTED_EDGE('',*,*,#183597,.F.); #237397=ORIENTED_EDGE('',*,*,#183598,.F.); #237398=ORIENTED_EDGE('',*,*,#183599,.T.); #237399=ORIENTED_EDGE('',*,*,#183598,.T.); #237400=ORIENTED_EDGE('',*,*,#183600,.F.); #237401=ORIENTED_EDGE('',*,*,#183601,.F.); #237402=ORIENTED_EDGE('',*,*,#183602,.T.); #237403=ORIENTED_EDGE('',*,*,#183601,.T.); #237404=ORIENTED_EDGE('',*,*,#183603,.F.); #237405=ORIENTED_EDGE('',*,*,#183604,.F.); #237406=ORIENTED_EDGE('',*,*,#183605,.T.); #237407=ORIENTED_EDGE('',*,*,#183604,.T.); #237408=ORIENTED_EDGE('',*,*,#183606,.F.); #237409=ORIENTED_EDGE('',*,*,#183607,.F.); #237410=ORIENTED_EDGE('',*,*,#183608,.T.); #237411=ORIENTED_EDGE('',*,*,#183607,.T.); #237412=ORIENTED_EDGE('',*,*,#183609,.F.); #237413=ORIENTED_EDGE('',*,*,#183610,.F.); #237414=ORIENTED_EDGE('',*,*,#183611,.T.); #237415=ORIENTED_EDGE('',*,*,#183610,.T.); #237416=ORIENTED_EDGE('',*,*,#183612,.F.); #237417=ORIENTED_EDGE('',*,*,#183613,.F.); #237418=ORIENTED_EDGE('',*,*,#183614,.T.); #237419=ORIENTED_EDGE('',*,*,#183613,.T.); #237420=ORIENTED_EDGE('',*,*,#183615,.F.); #237421=ORIENTED_EDGE('',*,*,#183616,.F.); #237422=ORIENTED_EDGE('',*,*,#183617,.T.); #237423=ORIENTED_EDGE('',*,*,#183616,.T.); #237424=ORIENTED_EDGE('',*,*,#183618,.F.); #237425=ORIENTED_EDGE('',*,*,#183619,.F.); #237426=ORIENTED_EDGE('',*,*,#183620,.T.); #237427=ORIENTED_EDGE('',*,*,#183619,.T.); #237428=ORIENTED_EDGE('',*,*,#183621,.F.); #237429=ORIENTED_EDGE('',*,*,#183622,.F.); #237430=ORIENTED_EDGE('',*,*,#183623,.T.); #237431=ORIENTED_EDGE('',*,*,#183622,.T.); #237432=ORIENTED_EDGE('',*,*,#183624,.F.); #237433=ORIENTED_EDGE('',*,*,#183625,.F.); #237434=ORIENTED_EDGE('',*,*,#183626,.T.); #237435=ORIENTED_EDGE('',*,*,#183625,.T.); #237436=ORIENTED_EDGE('',*,*,#183627,.F.); #237437=ORIENTED_EDGE('',*,*,#183628,.F.); #237438=ORIENTED_EDGE('',*,*,#183629,.T.); #237439=ORIENTED_EDGE('',*,*,#183628,.T.); #237440=ORIENTED_EDGE('',*,*,#183630,.F.); #237441=ORIENTED_EDGE('',*,*,#183631,.F.); #237442=ORIENTED_EDGE('',*,*,#183632,.T.); #237443=ORIENTED_EDGE('',*,*,#183631,.T.); #237444=ORIENTED_EDGE('',*,*,#183633,.F.); #237445=ORIENTED_EDGE('',*,*,#183634,.F.); #237446=ORIENTED_EDGE('',*,*,#183635,.T.); #237447=ORIENTED_EDGE('',*,*,#183634,.T.); #237448=ORIENTED_EDGE('',*,*,#183636,.F.); #237449=ORIENTED_EDGE('',*,*,#183637,.F.); #237450=ORIENTED_EDGE('',*,*,#183638,.T.); #237451=ORIENTED_EDGE('',*,*,#183637,.T.); #237452=ORIENTED_EDGE('',*,*,#183639,.F.); #237453=ORIENTED_EDGE('',*,*,#183640,.F.); #237454=ORIENTED_EDGE('',*,*,#183641,.T.); #237455=ORIENTED_EDGE('',*,*,#183640,.T.); #237456=ORIENTED_EDGE('',*,*,#183642,.F.); #237457=ORIENTED_EDGE('',*,*,#183643,.F.); #237458=ORIENTED_EDGE('',*,*,#183644,.T.); #237459=ORIENTED_EDGE('',*,*,#183643,.T.); #237460=ORIENTED_EDGE('',*,*,#183645,.F.); #237461=ORIENTED_EDGE('',*,*,#183646,.F.); #237462=ORIENTED_EDGE('',*,*,#183647,.T.); #237463=ORIENTED_EDGE('',*,*,#183646,.T.); #237464=ORIENTED_EDGE('',*,*,#183648,.F.); #237465=ORIENTED_EDGE('',*,*,#183649,.F.); #237466=ORIENTED_EDGE('',*,*,#183650,.T.); #237467=ORIENTED_EDGE('',*,*,#183649,.T.); #237468=ORIENTED_EDGE('',*,*,#183651,.F.); #237469=ORIENTED_EDGE('',*,*,#183652,.F.); #237470=ORIENTED_EDGE('',*,*,#183653,.T.); #237471=ORIENTED_EDGE('',*,*,#183652,.T.); #237472=ORIENTED_EDGE('',*,*,#183654,.F.); #237473=ORIENTED_EDGE('',*,*,#183655,.F.); #237474=ORIENTED_EDGE('',*,*,#183656,.T.); #237475=ORIENTED_EDGE('',*,*,#183655,.T.); #237476=ORIENTED_EDGE('',*,*,#183657,.F.); #237477=ORIENTED_EDGE('',*,*,#183658,.F.); #237478=ORIENTED_EDGE('',*,*,#183659,.T.); #237479=ORIENTED_EDGE('',*,*,#183658,.T.); #237480=ORIENTED_EDGE('',*,*,#183660,.F.); #237481=ORIENTED_EDGE('',*,*,#183661,.F.); #237482=ORIENTED_EDGE('',*,*,#183662,.T.); #237483=ORIENTED_EDGE('',*,*,#183661,.T.); #237484=ORIENTED_EDGE('',*,*,#183663,.F.); #237485=ORIENTED_EDGE('',*,*,#183664,.F.); #237486=ORIENTED_EDGE('',*,*,#183665,.T.); #237487=ORIENTED_EDGE('',*,*,#183664,.T.); #237488=ORIENTED_EDGE('',*,*,#183666,.F.); #237489=ORIENTED_EDGE('',*,*,#183667,.F.); #237490=ORIENTED_EDGE('',*,*,#183668,.T.); #237491=ORIENTED_EDGE('',*,*,#183667,.T.); #237492=ORIENTED_EDGE('',*,*,#183669,.F.); #237493=ORIENTED_EDGE('',*,*,#183670,.F.); #237494=ORIENTED_EDGE('',*,*,#183671,.T.); #237495=ORIENTED_EDGE('',*,*,#183670,.T.); #237496=ORIENTED_EDGE('',*,*,#183672,.F.); #237497=ORIENTED_EDGE('',*,*,#183673,.F.); #237498=ORIENTED_EDGE('',*,*,#183674,.T.); #237499=ORIENTED_EDGE('',*,*,#183673,.T.); #237500=ORIENTED_EDGE('',*,*,#183675,.F.); #237501=ORIENTED_EDGE('',*,*,#183676,.F.); #237502=ORIENTED_EDGE('',*,*,#183677,.T.); #237503=ORIENTED_EDGE('',*,*,#183676,.T.); #237504=ORIENTED_EDGE('',*,*,#183678,.F.); #237505=ORIENTED_EDGE('',*,*,#183679,.F.); #237506=ORIENTED_EDGE('',*,*,#183680,.T.); #237507=ORIENTED_EDGE('',*,*,#183679,.T.); #237508=ORIENTED_EDGE('',*,*,#183681,.F.); #237509=ORIENTED_EDGE('',*,*,#183682,.F.); #237510=ORIENTED_EDGE('',*,*,#183683,.T.); #237511=ORIENTED_EDGE('',*,*,#183682,.T.); #237512=ORIENTED_EDGE('',*,*,#183684,.F.); #237513=ORIENTED_EDGE('',*,*,#183685,.F.); #237514=ORIENTED_EDGE('',*,*,#183686,.T.); #237515=ORIENTED_EDGE('',*,*,#183685,.T.); #237516=ORIENTED_EDGE('',*,*,#183687,.F.); #237517=ORIENTED_EDGE('',*,*,#183688,.F.); #237518=ORIENTED_EDGE('',*,*,#183689,.T.); #237519=ORIENTED_EDGE('',*,*,#183688,.T.); #237520=ORIENTED_EDGE('',*,*,#183690,.F.); #237521=ORIENTED_EDGE('',*,*,#183691,.F.); #237522=ORIENTED_EDGE('',*,*,#183692,.T.); #237523=ORIENTED_EDGE('',*,*,#183691,.T.); #237524=ORIENTED_EDGE('',*,*,#183693,.F.); #237525=ORIENTED_EDGE('',*,*,#183694,.F.); #237526=ORIENTED_EDGE('',*,*,#183695,.T.); #237527=ORIENTED_EDGE('',*,*,#183694,.T.); #237528=ORIENTED_EDGE('',*,*,#183696,.F.); #237529=ORIENTED_EDGE('',*,*,#183697,.F.); #237530=ORIENTED_EDGE('',*,*,#183698,.T.); #237531=ORIENTED_EDGE('',*,*,#183697,.T.); #237532=ORIENTED_EDGE('',*,*,#183699,.F.); #237533=ORIENTED_EDGE('',*,*,#183700,.F.); #237534=ORIENTED_EDGE('',*,*,#183701,.T.); #237535=ORIENTED_EDGE('',*,*,#183700,.T.); #237536=ORIENTED_EDGE('',*,*,#183702,.F.); #237537=ORIENTED_EDGE('',*,*,#183703,.F.); #237538=ORIENTED_EDGE('',*,*,#183704,.T.); #237539=ORIENTED_EDGE('',*,*,#183703,.T.); #237540=ORIENTED_EDGE('',*,*,#183705,.F.); #237541=ORIENTED_EDGE('',*,*,#183706,.F.); #237542=ORIENTED_EDGE('',*,*,#183707,.T.); #237543=ORIENTED_EDGE('',*,*,#183706,.T.); #237544=ORIENTED_EDGE('',*,*,#183708,.F.); #237545=ORIENTED_EDGE('',*,*,#183709,.F.); #237546=ORIENTED_EDGE('',*,*,#183710,.T.); #237547=ORIENTED_EDGE('',*,*,#183709,.T.); #237548=ORIENTED_EDGE('',*,*,#183711,.F.); #237549=ORIENTED_EDGE('',*,*,#183712,.F.); #237550=ORIENTED_EDGE('',*,*,#183713,.T.); #237551=ORIENTED_EDGE('',*,*,#183712,.T.); #237552=ORIENTED_EDGE('',*,*,#183714,.F.); #237553=ORIENTED_EDGE('',*,*,#183715,.F.); #237554=ORIENTED_EDGE('',*,*,#183716,.T.); #237555=ORIENTED_EDGE('',*,*,#183715,.T.); #237556=ORIENTED_EDGE('',*,*,#183717,.F.); #237557=ORIENTED_EDGE('',*,*,#183718,.F.); #237558=ORIENTED_EDGE('',*,*,#183719,.T.); #237559=ORIENTED_EDGE('',*,*,#183718,.T.); #237560=ORIENTED_EDGE('',*,*,#183720,.F.); #237561=ORIENTED_EDGE('',*,*,#183721,.F.); #237562=ORIENTED_EDGE('',*,*,#183722,.T.); #237563=ORIENTED_EDGE('',*,*,#183721,.T.); #237564=ORIENTED_EDGE('',*,*,#183723,.F.); #237565=ORIENTED_EDGE('',*,*,#183724,.F.); #237566=ORIENTED_EDGE('',*,*,#183725,.T.); #237567=ORIENTED_EDGE('',*,*,#183724,.T.); #237568=ORIENTED_EDGE('',*,*,#183726,.F.); #237569=ORIENTED_EDGE('',*,*,#183727,.F.); #237570=ORIENTED_EDGE('',*,*,#183728,.T.); #237571=ORIENTED_EDGE('',*,*,#183727,.T.); #237572=ORIENTED_EDGE('',*,*,#183729,.F.); #237573=ORIENTED_EDGE('',*,*,#183730,.F.); #237574=ORIENTED_EDGE('',*,*,#183731,.T.); #237575=ORIENTED_EDGE('',*,*,#183730,.T.); #237576=ORIENTED_EDGE('',*,*,#183732,.F.); #237577=ORIENTED_EDGE('',*,*,#183733,.F.); #237578=ORIENTED_EDGE('',*,*,#183734,.T.); #237579=ORIENTED_EDGE('',*,*,#183733,.T.); #237580=ORIENTED_EDGE('',*,*,#183735,.F.); #237581=ORIENTED_EDGE('',*,*,#183736,.F.); #237582=ORIENTED_EDGE('',*,*,#183737,.T.); #237583=ORIENTED_EDGE('',*,*,#183736,.T.); #237584=ORIENTED_EDGE('',*,*,#183738,.F.); #237585=ORIENTED_EDGE('',*,*,#183739,.F.); #237586=ORIENTED_EDGE('',*,*,#183740,.T.); #237587=ORIENTED_EDGE('',*,*,#183739,.T.); #237588=ORIENTED_EDGE('',*,*,#183741,.F.); #237589=ORIENTED_EDGE('',*,*,#183742,.F.); #237590=ORIENTED_EDGE('',*,*,#183743,.T.); #237591=ORIENTED_EDGE('',*,*,#183742,.T.); #237592=ORIENTED_EDGE('',*,*,#183744,.F.); #237593=ORIENTED_EDGE('',*,*,#183745,.F.); #237594=ORIENTED_EDGE('',*,*,#183746,.T.); #237595=ORIENTED_EDGE('',*,*,#183745,.T.); #237596=ORIENTED_EDGE('',*,*,#183747,.F.); #237597=ORIENTED_EDGE('',*,*,#183748,.F.); #237598=ORIENTED_EDGE('',*,*,#183749,.T.); #237599=ORIENTED_EDGE('',*,*,#183748,.T.); #237600=ORIENTED_EDGE('',*,*,#183750,.F.); #237601=ORIENTED_EDGE('',*,*,#183751,.F.); #237602=ORIENTED_EDGE('',*,*,#183752,.T.); #237603=ORIENTED_EDGE('',*,*,#183751,.T.); #237604=ORIENTED_EDGE('',*,*,#183753,.F.); #237605=ORIENTED_EDGE('',*,*,#183754,.F.); #237606=ORIENTED_EDGE('',*,*,#183755,.T.); #237607=ORIENTED_EDGE('',*,*,#183754,.T.); #237608=ORIENTED_EDGE('',*,*,#183756,.F.); #237609=ORIENTED_EDGE('',*,*,#183757,.F.); #237610=ORIENTED_EDGE('',*,*,#183758,.T.); #237611=ORIENTED_EDGE('',*,*,#183757,.T.); #237612=ORIENTED_EDGE('',*,*,#183759,.F.); #237613=ORIENTED_EDGE('',*,*,#183760,.F.); #237614=ORIENTED_EDGE('',*,*,#183761,.T.); #237615=ORIENTED_EDGE('',*,*,#183760,.T.); #237616=ORIENTED_EDGE('',*,*,#183762,.F.); #237617=ORIENTED_EDGE('',*,*,#183763,.F.); #237618=ORIENTED_EDGE('',*,*,#183764,.T.); #237619=ORIENTED_EDGE('',*,*,#183763,.T.); #237620=ORIENTED_EDGE('',*,*,#183765,.F.); #237621=ORIENTED_EDGE('',*,*,#183766,.F.); #237622=ORIENTED_EDGE('',*,*,#183767,.T.); #237623=ORIENTED_EDGE('',*,*,#183766,.T.); #237624=ORIENTED_EDGE('',*,*,#183768,.F.); #237625=ORIENTED_EDGE('',*,*,#183769,.F.); #237626=ORIENTED_EDGE('',*,*,#183770,.T.); #237627=ORIENTED_EDGE('',*,*,#183769,.T.); #237628=ORIENTED_EDGE('',*,*,#183771,.F.); #237629=ORIENTED_EDGE('',*,*,#183772,.F.); #237630=ORIENTED_EDGE('',*,*,#183773,.T.); #237631=ORIENTED_EDGE('',*,*,#183772,.T.); #237632=ORIENTED_EDGE('',*,*,#183774,.F.); #237633=ORIENTED_EDGE('',*,*,#183775,.F.); #237634=ORIENTED_EDGE('',*,*,#183776,.T.); #237635=ORIENTED_EDGE('',*,*,#183775,.T.); #237636=ORIENTED_EDGE('',*,*,#183777,.F.); #237637=ORIENTED_EDGE('',*,*,#183778,.F.); #237638=ORIENTED_EDGE('',*,*,#183779,.T.); #237639=ORIENTED_EDGE('',*,*,#183778,.T.); #237640=ORIENTED_EDGE('',*,*,#183780,.F.); #237641=ORIENTED_EDGE('',*,*,#183781,.F.); #237642=ORIENTED_EDGE('',*,*,#183782,.T.); #237643=ORIENTED_EDGE('',*,*,#183781,.T.); #237644=ORIENTED_EDGE('',*,*,#183783,.F.); #237645=ORIENTED_EDGE('',*,*,#183784,.F.); #237646=ORIENTED_EDGE('',*,*,#183785,.T.); #237647=ORIENTED_EDGE('',*,*,#183784,.T.); #237648=ORIENTED_EDGE('',*,*,#183786,.F.); #237649=ORIENTED_EDGE('',*,*,#183787,.F.); #237650=ORIENTED_EDGE('',*,*,#183788,.T.); #237651=ORIENTED_EDGE('',*,*,#183787,.T.); #237652=ORIENTED_EDGE('',*,*,#183789,.F.); #237653=ORIENTED_EDGE('',*,*,#183790,.F.); #237654=ORIENTED_EDGE('',*,*,#183791,.T.); #237655=ORIENTED_EDGE('',*,*,#183790,.T.); #237656=ORIENTED_EDGE('',*,*,#183792,.F.); #237657=ORIENTED_EDGE('',*,*,#183793,.F.); #237658=ORIENTED_EDGE('',*,*,#183794,.T.); #237659=ORIENTED_EDGE('',*,*,#183793,.T.); #237660=ORIENTED_EDGE('',*,*,#183795,.F.); #237661=ORIENTED_EDGE('',*,*,#183796,.F.); #237662=ORIENTED_EDGE('',*,*,#183797,.T.); #237663=ORIENTED_EDGE('',*,*,#183796,.T.); #237664=ORIENTED_EDGE('',*,*,#183798,.F.); #237665=ORIENTED_EDGE('',*,*,#183799,.F.); #237666=ORIENTED_EDGE('',*,*,#183800,.T.); #237667=ORIENTED_EDGE('',*,*,#183799,.T.); #237668=ORIENTED_EDGE('',*,*,#183801,.F.); #237669=ORIENTED_EDGE('',*,*,#183802,.F.); #237670=ORIENTED_EDGE('',*,*,#183803,.T.); #237671=ORIENTED_EDGE('',*,*,#183802,.T.); #237672=ORIENTED_EDGE('',*,*,#183804,.F.); #237673=ORIENTED_EDGE('',*,*,#183805,.F.); #237674=ORIENTED_EDGE('',*,*,#183806,.T.); #237675=ORIENTED_EDGE('',*,*,#183805,.T.); #237676=ORIENTED_EDGE('',*,*,#183807,.F.); #237677=ORIENTED_EDGE('',*,*,#183808,.F.); #237678=ORIENTED_EDGE('',*,*,#183809,.T.); #237679=ORIENTED_EDGE('',*,*,#183808,.T.); #237680=ORIENTED_EDGE('',*,*,#183810,.F.); #237681=ORIENTED_EDGE('',*,*,#183811,.F.); #237682=ORIENTED_EDGE('',*,*,#183812,.T.); #237683=ORIENTED_EDGE('',*,*,#183811,.T.); #237684=ORIENTED_EDGE('',*,*,#183813,.F.); #237685=ORIENTED_EDGE('',*,*,#183814,.F.); #237686=ORIENTED_EDGE('',*,*,#183815,.T.); #237687=ORIENTED_EDGE('',*,*,#183814,.T.); #237688=ORIENTED_EDGE('',*,*,#183816,.F.); #237689=ORIENTED_EDGE('',*,*,#183817,.F.); #237690=ORIENTED_EDGE('',*,*,#183818,.T.); #237691=ORIENTED_EDGE('',*,*,#183817,.T.); #237692=ORIENTED_EDGE('',*,*,#183819,.F.); #237693=ORIENTED_EDGE('',*,*,#183820,.F.); #237694=ORIENTED_EDGE('',*,*,#183821,.T.); #237695=ORIENTED_EDGE('',*,*,#183820,.T.); #237696=ORIENTED_EDGE('',*,*,#183822,.F.); #237697=ORIENTED_EDGE('',*,*,#183823,.F.); #237698=ORIENTED_EDGE('',*,*,#183824,.T.); #237699=ORIENTED_EDGE('',*,*,#183823,.T.); #237700=ORIENTED_EDGE('',*,*,#183825,.F.); #237701=ORIENTED_EDGE('',*,*,#183826,.F.); #237702=ORIENTED_EDGE('',*,*,#183827,.T.); #237703=ORIENTED_EDGE('',*,*,#183826,.T.); #237704=ORIENTED_EDGE('',*,*,#183828,.F.); #237705=ORIENTED_EDGE('',*,*,#183829,.F.); #237706=ORIENTED_EDGE('',*,*,#183830,.T.); #237707=ORIENTED_EDGE('',*,*,#183829,.T.); #237708=ORIENTED_EDGE('',*,*,#183831,.F.); #237709=ORIENTED_EDGE('',*,*,#183832,.F.); #237710=ORIENTED_EDGE('',*,*,#183833,.T.); #237711=ORIENTED_EDGE('',*,*,#183832,.T.); #237712=ORIENTED_EDGE('',*,*,#183834,.F.); #237713=ORIENTED_EDGE('',*,*,#183835,.F.); #237714=ORIENTED_EDGE('',*,*,#183836,.T.); #237715=ORIENTED_EDGE('',*,*,#183835,.T.); #237716=ORIENTED_EDGE('',*,*,#183837,.F.); #237717=ORIENTED_EDGE('',*,*,#183838,.F.); #237718=ORIENTED_EDGE('',*,*,#183839,.T.); #237719=ORIENTED_EDGE('',*,*,#183838,.T.); #237720=ORIENTED_EDGE('',*,*,#183840,.F.); #237721=ORIENTED_EDGE('',*,*,#183841,.F.); #237722=ORIENTED_EDGE('',*,*,#183842,.T.); #237723=ORIENTED_EDGE('',*,*,#183841,.T.); #237724=ORIENTED_EDGE('',*,*,#183843,.F.); #237725=ORIENTED_EDGE('',*,*,#183844,.F.); #237726=ORIENTED_EDGE('',*,*,#183845,.T.); #237727=ORIENTED_EDGE('',*,*,#183844,.T.); #237728=ORIENTED_EDGE('',*,*,#183846,.F.); #237729=ORIENTED_EDGE('',*,*,#183847,.F.); #237730=ORIENTED_EDGE('',*,*,#183848,.T.); #237731=ORIENTED_EDGE('',*,*,#183847,.T.); #237732=ORIENTED_EDGE('',*,*,#183849,.F.); #237733=ORIENTED_EDGE('',*,*,#183850,.F.); #237734=ORIENTED_EDGE('',*,*,#183851,.T.); #237735=ORIENTED_EDGE('',*,*,#183850,.T.); #237736=ORIENTED_EDGE('',*,*,#183852,.F.); #237737=ORIENTED_EDGE('',*,*,#183853,.F.); #237738=ORIENTED_EDGE('',*,*,#183854,.T.); #237739=ORIENTED_EDGE('',*,*,#183853,.T.); #237740=ORIENTED_EDGE('',*,*,#183855,.F.); #237741=ORIENTED_EDGE('',*,*,#183856,.F.); #237742=ORIENTED_EDGE('',*,*,#183857,.T.); #237743=ORIENTED_EDGE('',*,*,#183856,.T.); #237744=ORIENTED_EDGE('',*,*,#183858,.F.); #237745=ORIENTED_EDGE('',*,*,#183859,.F.); #237746=ORIENTED_EDGE('',*,*,#183860,.T.); #237747=ORIENTED_EDGE('',*,*,#183859,.T.); #237748=ORIENTED_EDGE('',*,*,#183861,.F.); #237749=ORIENTED_EDGE('',*,*,#183862,.F.); #237750=ORIENTED_EDGE('',*,*,#183863,.T.); #237751=ORIENTED_EDGE('',*,*,#183862,.T.); #237752=ORIENTED_EDGE('',*,*,#183864,.F.); #237753=ORIENTED_EDGE('',*,*,#183865,.F.); #237754=ORIENTED_EDGE('',*,*,#183866,.T.); #237755=ORIENTED_EDGE('',*,*,#183865,.T.); #237756=ORIENTED_EDGE('',*,*,#183867,.F.); #237757=ORIENTED_EDGE('',*,*,#183868,.F.); #237758=ORIENTED_EDGE('',*,*,#183869,.T.); #237759=ORIENTED_EDGE('',*,*,#183868,.T.); #237760=ORIENTED_EDGE('',*,*,#183870,.F.); #237761=ORIENTED_EDGE('',*,*,#183871,.F.); #237762=ORIENTED_EDGE('',*,*,#183872,.T.); #237763=ORIENTED_EDGE('',*,*,#183871,.T.); #237764=ORIENTED_EDGE('',*,*,#183873,.F.); #237765=ORIENTED_EDGE('',*,*,#183874,.F.); #237766=ORIENTED_EDGE('',*,*,#183875,.T.); #237767=ORIENTED_EDGE('',*,*,#183874,.T.); #237768=ORIENTED_EDGE('',*,*,#183876,.F.); #237769=ORIENTED_EDGE('',*,*,#183877,.F.); #237770=ORIENTED_EDGE('',*,*,#183878,.T.); #237771=ORIENTED_EDGE('',*,*,#183877,.T.); #237772=ORIENTED_EDGE('',*,*,#183879,.F.); #237773=ORIENTED_EDGE('',*,*,#183880,.F.); #237774=ORIENTED_EDGE('',*,*,#183881,.T.); #237775=ORIENTED_EDGE('',*,*,#183880,.T.); #237776=ORIENTED_EDGE('',*,*,#183882,.F.); #237777=ORIENTED_EDGE('',*,*,#183883,.F.); #237778=ORIENTED_EDGE('',*,*,#183884,.T.); #237779=ORIENTED_EDGE('',*,*,#183883,.T.); #237780=ORIENTED_EDGE('',*,*,#183885,.F.); #237781=ORIENTED_EDGE('',*,*,#183886,.F.); #237782=ORIENTED_EDGE('',*,*,#183887,.T.); #237783=ORIENTED_EDGE('',*,*,#183886,.T.); #237784=ORIENTED_EDGE('',*,*,#183888,.F.); #237785=ORIENTED_EDGE('',*,*,#183889,.F.); #237786=ORIENTED_EDGE('',*,*,#183890,.T.); #237787=ORIENTED_EDGE('',*,*,#183889,.T.); #237788=ORIENTED_EDGE('',*,*,#183891,.F.); #237789=ORIENTED_EDGE('',*,*,#183892,.F.); #237790=ORIENTED_EDGE('',*,*,#183893,.T.); #237791=ORIENTED_EDGE('',*,*,#183892,.T.); #237792=ORIENTED_EDGE('',*,*,#183894,.F.); #237793=ORIENTED_EDGE('',*,*,#183895,.F.); #237794=ORIENTED_EDGE('',*,*,#183896,.T.); #237795=ORIENTED_EDGE('',*,*,#183895,.T.); #237796=ORIENTED_EDGE('',*,*,#183897,.F.); #237797=ORIENTED_EDGE('',*,*,#183898,.F.); #237798=ORIENTED_EDGE('',*,*,#183899,.T.); #237799=ORIENTED_EDGE('',*,*,#183898,.T.); #237800=ORIENTED_EDGE('',*,*,#183900,.F.); #237801=ORIENTED_EDGE('',*,*,#183901,.F.); #237802=ORIENTED_EDGE('',*,*,#183902,.T.); #237803=ORIENTED_EDGE('',*,*,#183901,.T.); #237804=ORIENTED_EDGE('',*,*,#183903,.F.); #237805=ORIENTED_EDGE('',*,*,#183904,.F.); #237806=ORIENTED_EDGE('',*,*,#183905,.T.); #237807=ORIENTED_EDGE('',*,*,#183904,.T.); #237808=ORIENTED_EDGE('',*,*,#183906,.F.); #237809=ORIENTED_EDGE('',*,*,#183907,.F.); #237810=ORIENTED_EDGE('',*,*,#183908,.T.); #237811=ORIENTED_EDGE('',*,*,#183907,.T.); #237812=ORIENTED_EDGE('',*,*,#183909,.F.); #237813=ORIENTED_EDGE('',*,*,#183910,.F.); #237814=ORIENTED_EDGE('',*,*,#183911,.T.); #237815=ORIENTED_EDGE('',*,*,#183910,.T.); #237816=ORIENTED_EDGE('',*,*,#183912,.F.); #237817=ORIENTED_EDGE('',*,*,#183913,.F.); #237818=ORIENTED_EDGE('',*,*,#183914,.T.); #237819=ORIENTED_EDGE('',*,*,#183913,.T.); #237820=ORIENTED_EDGE('',*,*,#183915,.F.); #237821=ORIENTED_EDGE('',*,*,#183916,.F.); #237822=ORIENTED_EDGE('',*,*,#183917,.T.); #237823=ORIENTED_EDGE('',*,*,#183916,.T.); #237824=ORIENTED_EDGE('',*,*,#183918,.F.); #237825=ORIENTED_EDGE('',*,*,#183919,.F.); #237826=ORIENTED_EDGE('',*,*,#183920,.T.); #237827=ORIENTED_EDGE('',*,*,#183919,.T.); #237828=ORIENTED_EDGE('',*,*,#183921,.F.); #237829=ORIENTED_EDGE('',*,*,#183922,.F.); #237830=ORIENTED_EDGE('',*,*,#183923,.T.); #237831=ORIENTED_EDGE('',*,*,#183922,.T.); #237832=ORIENTED_EDGE('',*,*,#183924,.F.); #237833=ORIENTED_EDGE('',*,*,#183925,.F.); #237834=ORIENTED_EDGE('',*,*,#183926,.T.); #237835=ORIENTED_EDGE('',*,*,#183925,.T.); #237836=ORIENTED_EDGE('',*,*,#183927,.F.); #237837=ORIENTED_EDGE('',*,*,#183928,.F.); #237838=ORIENTED_EDGE('',*,*,#183929,.T.); #237839=ORIENTED_EDGE('',*,*,#183928,.T.); #237840=ORIENTED_EDGE('',*,*,#183930,.F.); #237841=ORIENTED_EDGE('',*,*,#183931,.F.); #237842=ORIENTED_EDGE('',*,*,#183932,.T.); #237843=ORIENTED_EDGE('',*,*,#183931,.T.); #237844=ORIENTED_EDGE('',*,*,#183933,.F.); #237845=ORIENTED_EDGE('',*,*,#183934,.F.); #237846=ORIENTED_EDGE('',*,*,#183935,.T.); #237847=ORIENTED_EDGE('',*,*,#183934,.T.); #237848=ORIENTED_EDGE('',*,*,#183936,.F.); #237849=ORIENTED_EDGE('',*,*,#183937,.F.); #237850=ORIENTED_EDGE('',*,*,#183938,.T.); #237851=ORIENTED_EDGE('',*,*,#183937,.T.); #237852=ORIENTED_EDGE('',*,*,#183939,.F.); #237853=ORIENTED_EDGE('',*,*,#183940,.F.); #237854=ORIENTED_EDGE('',*,*,#183941,.T.); #237855=ORIENTED_EDGE('',*,*,#183940,.T.); #237856=ORIENTED_EDGE('',*,*,#183942,.F.); #237857=ORIENTED_EDGE('',*,*,#183943,.F.); #237858=ORIENTED_EDGE('',*,*,#183944,.T.); #237859=ORIENTED_EDGE('',*,*,#183943,.T.); #237860=ORIENTED_EDGE('',*,*,#183945,.F.); #237861=ORIENTED_EDGE('',*,*,#183946,.F.); #237862=ORIENTED_EDGE('',*,*,#183947,.T.); #237863=ORIENTED_EDGE('',*,*,#183946,.T.); #237864=ORIENTED_EDGE('',*,*,#183948,.F.); #237865=ORIENTED_EDGE('',*,*,#183949,.F.); #237866=ORIENTED_EDGE('',*,*,#183950,.T.); #237867=ORIENTED_EDGE('',*,*,#183949,.T.); #237868=ORIENTED_EDGE('',*,*,#183951,.F.); #237869=ORIENTED_EDGE('',*,*,#183952,.F.); #237870=ORIENTED_EDGE('',*,*,#183953,.T.); #237871=ORIENTED_EDGE('',*,*,#183952,.T.); #237872=ORIENTED_EDGE('',*,*,#183954,.F.); #237873=ORIENTED_EDGE('',*,*,#183955,.F.); #237874=ORIENTED_EDGE('',*,*,#183956,.T.); #237875=ORIENTED_EDGE('',*,*,#183955,.T.); #237876=ORIENTED_EDGE('',*,*,#183957,.F.); #237877=ORIENTED_EDGE('',*,*,#183958,.F.); #237878=ORIENTED_EDGE('',*,*,#183959,.T.); #237879=ORIENTED_EDGE('',*,*,#183958,.T.); #237880=ORIENTED_EDGE('',*,*,#183960,.F.); #237881=ORIENTED_EDGE('',*,*,#183961,.F.); #237882=ORIENTED_EDGE('',*,*,#183962,.T.); #237883=ORIENTED_EDGE('',*,*,#183961,.T.); #237884=ORIENTED_EDGE('',*,*,#183963,.F.); #237885=ORIENTED_EDGE('',*,*,#183964,.F.); #237886=ORIENTED_EDGE('',*,*,#183965,.T.); #237887=ORIENTED_EDGE('',*,*,#183964,.T.); #237888=ORIENTED_EDGE('',*,*,#183966,.F.); #237889=ORIENTED_EDGE('',*,*,#183967,.F.); #237890=ORIENTED_EDGE('',*,*,#183968,.T.); #237891=ORIENTED_EDGE('',*,*,#183967,.T.); #237892=ORIENTED_EDGE('',*,*,#183969,.F.); #237893=ORIENTED_EDGE('',*,*,#183970,.F.); #237894=ORIENTED_EDGE('',*,*,#183971,.T.); #237895=ORIENTED_EDGE('',*,*,#183970,.T.); #237896=ORIENTED_EDGE('',*,*,#183972,.F.); #237897=ORIENTED_EDGE('',*,*,#183973,.F.); #237898=ORIENTED_EDGE('',*,*,#183974,.T.); #237899=ORIENTED_EDGE('',*,*,#183973,.T.); #237900=ORIENTED_EDGE('',*,*,#183975,.F.); #237901=ORIENTED_EDGE('',*,*,#183976,.F.); #237902=ORIENTED_EDGE('',*,*,#183977,.T.); #237903=ORIENTED_EDGE('',*,*,#183976,.T.); #237904=ORIENTED_EDGE('',*,*,#183978,.F.); #237905=ORIENTED_EDGE('',*,*,#183979,.F.); #237906=ORIENTED_EDGE('',*,*,#183980,.T.); #237907=ORIENTED_EDGE('',*,*,#183979,.T.); #237908=ORIENTED_EDGE('',*,*,#183981,.F.); #237909=ORIENTED_EDGE('',*,*,#183982,.F.); #237910=ORIENTED_EDGE('',*,*,#183983,.T.); #237911=ORIENTED_EDGE('',*,*,#183982,.T.); #237912=ORIENTED_EDGE('',*,*,#183984,.F.); #237913=ORIENTED_EDGE('',*,*,#183985,.F.); #237914=ORIENTED_EDGE('',*,*,#183986,.T.); #237915=ORIENTED_EDGE('',*,*,#183985,.T.); #237916=ORIENTED_EDGE('',*,*,#183987,.F.); #237917=ORIENTED_EDGE('',*,*,#183988,.F.); #237918=ORIENTED_EDGE('',*,*,#183989,.T.); #237919=ORIENTED_EDGE('',*,*,#183988,.T.); #237920=ORIENTED_EDGE('',*,*,#183990,.F.); #237921=ORIENTED_EDGE('',*,*,#183991,.F.); #237922=ORIENTED_EDGE('',*,*,#183992,.T.); #237923=ORIENTED_EDGE('',*,*,#183991,.T.); #237924=ORIENTED_EDGE('',*,*,#183993,.F.); #237925=ORIENTED_EDGE('',*,*,#183994,.F.); #237926=ORIENTED_EDGE('',*,*,#183995,.T.); #237927=ORIENTED_EDGE('',*,*,#183994,.T.); #237928=ORIENTED_EDGE('',*,*,#183996,.F.); #237929=ORIENTED_EDGE('',*,*,#183997,.F.); #237930=ORIENTED_EDGE('',*,*,#183998,.T.); #237931=ORIENTED_EDGE('',*,*,#183997,.T.); #237932=ORIENTED_EDGE('',*,*,#183999,.F.); #237933=ORIENTED_EDGE('',*,*,#184000,.F.); #237934=ORIENTED_EDGE('',*,*,#184001,.T.); #237935=ORIENTED_EDGE('',*,*,#184000,.T.); #237936=ORIENTED_EDGE('',*,*,#184002,.F.); #237937=ORIENTED_EDGE('',*,*,#184003,.F.); #237938=ORIENTED_EDGE('',*,*,#184004,.T.); #237939=ORIENTED_EDGE('',*,*,#184003,.T.); #237940=ORIENTED_EDGE('',*,*,#184005,.F.); #237941=ORIENTED_EDGE('',*,*,#184006,.F.); #237942=ORIENTED_EDGE('',*,*,#184007,.T.); #237943=ORIENTED_EDGE('',*,*,#184006,.T.); #237944=ORIENTED_EDGE('',*,*,#184008,.F.); #237945=ORIENTED_EDGE('',*,*,#184009,.F.); #237946=ORIENTED_EDGE('',*,*,#184010,.T.); #237947=ORIENTED_EDGE('',*,*,#184009,.T.); #237948=ORIENTED_EDGE('',*,*,#184011,.F.); #237949=ORIENTED_EDGE('',*,*,#184012,.F.); #237950=ORIENTED_EDGE('',*,*,#184013,.T.); #237951=ORIENTED_EDGE('',*,*,#184012,.T.); #237952=ORIENTED_EDGE('',*,*,#184014,.F.); #237953=ORIENTED_EDGE('',*,*,#184015,.F.); #237954=ORIENTED_EDGE('',*,*,#184016,.T.); #237955=ORIENTED_EDGE('',*,*,#184015,.T.); #237956=ORIENTED_EDGE('',*,*,#184017,.F.); #237957=ORIENTED_EDGE('',*,*,#184018,.F.); #237958=ORIENTED_EDGE('',*,*,#184019,.T.); #237959=ORIENTED_EDGE('',*,*,#184018,.T.); #237960=ORIENTED_EDGE('',*,*,#184020,.F.); #237961=ORIENTED_EDGE('',*,*,#184021,.F.); #237962=ORIENTED_EDGE('',*,*,#184022,.T.); #237963=ORIENTED_EDGE('',*,*,#184021,.T.); #237964=ORIENTED_EDGE('',*,*,#184023,.F.); #237965=ORIENTED_EDGE('',*,*,#184024,.F.); #237966=ORIENTED_EDGE('',*,*,#184025,.T.); #237967=ORIENTED_EDGE('',*,*,#184024,.T.); #237968=ORIENTED_EDGE('',*,*,#184026,.F.); #237969=ORIENTED_EDGE('',*,*,#184027,.F.); #237970=ORIENTED_EDGE('',*,*,#184028,.T.); #237971=ORIENTED_EDGE('',*,*,#184027,.T.); #237972=ORIENTED_EDGE('',*,*,#184029,.F.); #237973=ORIENTED_EDGE('',*,*,#184030,.F.); #237974=ORIENTED_EDGE('',*,*,#184031,.T.); #237975=ORIENTED_EDGE('',*,*,#184030,.T.); #237976=ORIENTED_EDGE('',*,*,#184032,.F.); #237977=ORIENTED_EDGE('',*,*,#184033,.F.); #237978=ORIENTED_EDGE('',*,*,#184034,.T.); #237979=ORIENTED_EDGE('',*,*,#184033,.T.); #237980=ORIENTED_EDGE('',*,*,#184035,.F.); #237981=ORIENTED_EDGE('',*,*,#184036,.F.); #237982=ORIENTED_EDGE('',*,*,#184037,.T.); #237983=ORIENTED_EDGE('',*,*,#184036,.T.); #237984=ORIENTED_EDGE('',*,*,#184038,.F.); #237985=ORIENTED_EDGE('',*,*,#184039,.F.); #237986=ORIENTED_EDGE('',*,*,#184040,.T.); #237987=ORIENTED_EDGE('',*,*,#184039,.T.); #237988=ORIENTED_EDGE('',*,*,#184041,.F.); #237989=ORIENTED_EDGE('',*,*,#184042,.F.); #237990=ORIENTED_EDGE('',*,*,#184043,.T.); #237991=ORIENTED_EDGE('',*,*,#184042,.T.); #237992=ORIENTED_EDGE('',*,*,#184044,.F.); #237993=ORIENTED_EDGE('',*,*,#184045,.F.); #237994=ORIENTED_EDGE('',*,*,#184046,.T.); #237995=ORIENTED_EDGE('',*,*,#184045,.T.); #237996=ORIENTED_EDGE('',*,*,#184047,.F.); #237997=ORIENTED_EDGE('',*,*,#184048,.F.); #237998=ORIENTED_EDGE('',*,*,#184049,.T.); #237999=ORIENTED_EDGE('',*,*,#184048,.T.); #238000=ORIENTED_EDGE('',*,*,#184050,.F.); #238001=ORIENTED_EDGE('',*,*,#184051,.F.); #238002=ORIENTED_EDGE('',*,*,#184052,.T.); #238003=ORIENTED_EDGE('',*,*,#184051,.T.); #238004=ORIENTED_EDGE('',*,*,#184053,.F.); #238005=ORIENTED_EDGE('',*,*,#184054,.F.); #238006=ORIENTED_EDGE('',*,*,#184055,.T.); #238007=ORIENTED_EDGE('',*,*,#184054,.T.); #238008=ORIENTED_EDGE('',*,*,#184056,.F.); #238009=ORIENTED_EDGE('',*,*,#184057,.F.); #238010=ORIENTED_EDGE('',*,*,#184058,.T.); #238011=ORIENTED_EDGE('',*,*,#184057,.T.); #238012=ORIENTED_EDGE('',*,*,#184059,.F.); #238013=ORIENTED_EDGE('',*,*,#184060,.F.); #238014=ORIENTED_EDGE('',*,*,#184061,.T.); #238015=ORIENTED_EDGE('',*,*,#184060,.T.); #238016=ORIENTED_EDGE('',*,*,#184062,.F.); #238017=ORIENTED_EDGE('',*,*,#184063,.F.); #238018=ORIENTED_EDGE('',*,*,#184064,.T.); #238019=ORIENTED_EDGE('',*,*,#184063,.T.); #238020=ORIENTED_EDGE('',*,*,#184065,.F.); #238021=ORIENTED_EDGE('',*,*,#184066,.F.); #238022=ORIENTED_EDGE('',*,*,#184067,.T.); #238023=ORIENTED_EDGE('',*,*,#184066,.T.); #238024=ORIENTED_EDGE('',*,*,#184068,.F.); #238025=ORIENTED_EDGE('',*,*,#184069,.F.); #238026=ORIENTED_EDGE('',*,*,#184070,.T.); #238027=ORIENTED_EDGE('',*,*,#184069,.T.); #238028=ORIENTED_EDGE('',*,*,#184071,.F.); #238029=ORIENTED_EDGE('',*,*,#184072,.F.); #238030=ORIENTED_EDGE('',*,*,#184073,.T.); #238031=ORIENTED_EDGE('',*,*,#184072,.T.); #238032=ORIENTED_EDGE('',*,*,#184074,.F.); #238033=ORIENTED_EDGE('',*,*,#184075,.F.); #238034=ORIENTED_EDGE('',*,*,#184076,.T.); #238035=ORIENTED_EDGE('',*,*,#184075,.T.); #238036=ORIENTED_EDGE('',*,*,#184077,.F.); #238037=ORIENTED_EDGE('',*,*,#184078,.F.); #238038=ORIENTED_EDGE('',*,*,#184079,.T.); #238039=ORIENTED_EDGE('',*,*,#184078,.T.); #238040=ORIENTED_EDGE('',*,*,#184080,.F.); #238041=ORIENTED_EDGE('',*,*,#184081,.F.); #238042=ORIENTED_EDGE('',*,*,#184082,.T.); #238043=ORIENTED_EDGE('',*,*,#184081,.T.); #238044=ORIENTED_EDGE('',*,*,#184083,.F.); #238045=ORIENTED_EDGE('',*,*,#184084,.F.); #238046=ORIENTED_EDGE('',*,*,#184085,.T.); #238047=ORIENTED_EDGE('',*,*,#184084,.T.); #238048=ORIENTED_EDGE('',*,*,#184086,.F.); #238049=ORIENTED_EDGE('',*,*,#184087,.F.); #238050=ORIENTED_EDGE('',*,*,#184088,.T.); #238051=ORIENTED_EDGE('',*,*,#184087,.T.); #238052=ORIENTED_EDGE('',*,*,#184089,.F.); #238053=ORIENTED_EDGE('',*,*,#184090,.F.); #238054=ORIENTED_EDGE('',*,*,#184091,.T.); #238055=ORIENTED_EDGE('',*,*,#184090,.T.); #238056=ORIENTED_EDGE('',*,*,#184092,.F.); #238057=ORIENTED_EDGE('',*,*,#184093,.F.); #238058=ORIENTED_EDGE('',*,*,#184094,.T.); #238059=ORIENTED_EDGE('',*,*,#184093,.T.); #238060=ORIENTED_EDGE('',*,*,#184095,.F.); #238061=ORIENTED_EDGE('',*,*,#184096,.F.); #238062=ORIENTED_EDGE('',*,*,#184097,.T.); #238063=ORIENTED_EDGE('',*,*,#184096,.T.); #238064=ORIENTED_EDGE('',*,*,#184098,.F.); #238065=ORIENTED_EDGE('',*,*,#184099,.F.); #238066=ORIENTED_EDGE('',*,*,#184100,.T.); #238067=ORIENTED_EDGE('',*,*,#184099,.T.); #238068=ORIENTED_EDGE('',*,*,#184101,.F.); #238069=ORIENTED_EDGE('',*,*,#184102,.F.); #238070=ORIENTED_EDGE('',*,*,#184103,.T.); #238071=ORIENTED_EDGE('',*,*,#184102,.T.); #238072=ORIENTED_EDGE('',*,*,#184104,.F.); #238073=ORIENTED_EDGE('',*,*,#184105,.F.); #238074=ORIENTED_EDGE('',*,*,#184106,.T.); #238075=ORIENTED_EDGE('',*,*,#184105,.T.); #238076=ORIENTED_EDGE('',*,*,#184107,.F.); #238077=ORIENTED_EDGE('',*,*,#184108,.F.); #238078=ORIENTED_EDGE('',*,*,#184109,.T.); #238079=ORIENTED_EDGE('',*,*,#184108,.T.); #238080=ORIENTED_EDGE('',*,*,#184110,.F.); #238081=ORIENTED_EDGE('',*,*,#184111,.F.); #238082=ORIENTED_EDGE('',*,*,#184112,.T.); #238083=ORIENTED_EDGE('',*,*,#184111,.T.); #238084=ORIENTED_EDGE('',*,*,#184113,.F.); #238085=ORIENTED_EDGE('',*,*,#184114,.F.); #238086=ORIENTED_EDGE('',*,*,#184115,.T.); #238087=ORIENTED_EDGE('',*,*,#184114,.T.); #238088=ORIENTED_EDGE('',*,*,#184116,.F.); #238089=ORIENTED_EDGE('',*,*,#184117,.F.); #238090=ORIENTED_EDGE('',*,*,#184118,.T.); #238091=ORIENTED_EDGE('',*,*,#184117,.T.); #238092=ORIENTED_EDGE('',*,*,#184119,.F.); #238093=ORIENTED_EDGE('',*,*,#184120,.F.); #238094=ORIENTED_EDGE('',*,*,#184121,.T.); #238095=ORIENTED_EDGE('',*,*,#184120,.T.); #238096=ORIENTED_EDGE('',*,*,#184122,.F.); #238097=ORIENTED_EDGE('',*,*,#184123,.F.); #238098=ORIENTED_EDGE('',*,*,#184124,.T.); #238099=ORIENTED_EDGE('',*,*,#184123,.T.); #238100=ORIENTED_EDGE('',*,*,#184125,.F.); #238101=ORIENTED_EDGE('',*,*,#184126,.F.); #238102=ORIENTED_EDGE('',*,*,#184127,.T.); #238103=ORIENTED_EDGE('',*,*,#184126,.T.); #238104=ORIENTED_EDGE('',*,*,#184128,.F.); #238105=ORIENTED_EDGE('',*,*,#184129,.F.); #238106=ORIENTED_EDGE('',*,*,#184130,.T.); #238107=ORIENTED_EDGE('',*,*,#184129,.T.); #238108=ORIENTED_EDGE('',*,*,#184131,.F.); #238109=ORIENTED_EDGE('',*,*,#184132,.F.); #238110=ORIENTED_EDGE('',*,*,#184133,.T.); #238111=ORIENTED_EDGE('',*,*,#184132,.T.); #238112=ORIENTED_EDGE('',*,*,#184134,.F.); #238113=ORIENTED_EDGE('',*,*,#184135,.F.); #238114=ORIENTED_EDGE('',*,*,#184136,.T.); #238115=ORIENTED_EDGE('',*,*,#184135,.T.); #238116=ORIENTED_EDGE('',*,*,#184137,.F.); #238117=ORIENTED_EDGE('',*,*,#184138,.F.); #238118=ORIENTED_EDGE('',*,*,#184139,.T.); #238119=ORIENTED_EDGE('',*,*,#184138,.T.); #238120=ORIENTED_EDGE('',*,*,#184140,.F.); #238121=ORIENTED_EDGE('',*,*,#184141,.F.); #238122=ORIENTED_EDGE('',*,*,#184142,.T.); #238123=ORIENTED_EDGE('',*,*,#184141,.T.); #238124=ORIENTED_EDGE('',*,*,#184143,.F.); #238125=ORIENTED_EDGE('',*,*,#184144,.F.); #238126=ORIENTED_EDGE('',*,*,#184145,.T.); #238127=ORIENTED_EDGE('',*,*,#184144,.T.); #238128=ORIENTED_EDGE('',*,*,#184146,.F.); #238129=ORIENTED_EDGE('',*,*,#184147,.F.); #238130=ORIENTED_EDGE('',*,*,#184148,.T.); #238131=ORIENTED_EDGE('',*,*,#184147,.T.); #238132=ORIENTED_EDGE('',*,*,#184149,.F.); #238133=ORIENTED_EDGE('',*,*,#184150,.F.); #238134=ORIENTED_EDGE('',*,*,#184151,.T.); #238135=ORIENTED_EDGE('',*,*,#184150,.T.); #238136=ORIENTED_EDGE('',*,*,#184152,.F.); #238137=ORIENTED_EDGE('',*,*,#184153,.F.); #238138=ORIENTED_EDGE('',*,*,#184154,.T.); #238139=ORIENTED_EDGE('',*,*,#184153,.T.); #238140=ORIENTED_EDGE('',*,*,#184155,.F.); #238141=ORIENTED_EDGE('',*,*,#184156,.F.); #238142=ORIENTED_EDGE('',*,*,#184157,.T.); #238143=ORIENTED_EDGE('',*,*,#184156,.T.); #238144=ORIENTED_EDGE('',*,*,#184158,.F.); #238145=ORIENTED_EDGE('',*,*,#184159,.F.); #238146=ORIENTED_EDGE('',*,*,#184160,.T.); #238147=ORIENTED_EDGE('',*,*,#184159,.T.); #238148=ORIENTED_EDGE('',*,*,#184161,.F.); #238149=ORIENTED_EDGE('',*,*,#184162,.F.); #238150=ORIENTED_EDGE('',*,*,#184163,.T.); #238151=ORIENTED_EDGE('',*,*,#184162,.T.); #238152=ORIENTED_EDGE('',*,*,#184164,.F.); #238153=ORIENTED_EDGE('',*,*,#184165,.F.); #238154=ORIENTED_EDGE('',*,*,#184166,.T.); #238155=ORIENTED_EDGE('',*,*,#184165,.T.); #238156=ORIENTED_EDGE('',*,*,#184167,.F.); #238157=ORIENTED_EDGE('',*,*,#184168,.F.); #238158=ORIENTED_EDGE('',*,*,#184169,.T.); #238159=ORIENTED_EDGE('',*,*,#184168,.T.); #238160=ORIENTED_EDGE('',*,*,#184170,.F.); #238161=ORIENTED_EDGE('',*,*,#184171,.F.); #238162=ORIENTED_EDGE('',*,*,#184172,.T.); #238163=ORIENTED_EDGE('',*,*,#184171,.T.); #238164=ORIENTED_EDGE('',*,*,#184173,.F.); #238165=ORIENTED_EDGE('',*,*,#184174,.F.); #238166=ORIENTED_EDGE('',*,*,#184175,.T.); #238167=ORIENTED_EDGE('',*,*,#184174,.T.); #238168=ORIENTED_EDGE('',*,*,#184176,.F.); #238169=ORIENTED_EDGE('',*,*,#184177,.F.); #238170=ORIENTED_EDGE('',*,*,#184178,.T.); #238171=ORIENTED_EDGE('',*,*,#184177,.T.); #238172=ORIENTED_EDGE('',*,*,#184179,.F.); #238173=ORIENTED_EDGE('',*,*,#184180,.F.); #238174=ORIENTED_EDGE('',*,*,#184181,.T.); #238175=ORIENTED_EDGE('',*,*,#184180,.T.); #238176=ORIENTED_EDGE('',*,*,#184182,.F.); #238177=ORIENTED_EDGE('',*,*,#184183,.F.); #238178=ORIENTED_EDGE('',*,*,#184184,.T.); #238179=ORIENTED_EDGE('',*,*,#184183,.T.); #238180=ORIENTED_EDGE('',*,*,#184185,.F.); #238181=ORIENTED_EDGE('',*,*,#184186,.F.); #238182=ORIENTED_EDGE('',*,*,#184187,.T.); #238183=ORIENTED_EDGE('',*,*,#184186,.T.); #238184=ORIENTED_EDGE('',*,*,#184188,.F.); #238185=ORIENTED_EDGE('',*,*,#184189,.F.); #238186=ORIENTED_EDGE('',*,*,#184190,.T.); #238187=ORIENTED_EDGE('',*,*,#184189,.T.); #238188=ORIENTED_EDGE('',*,*,#184191,.F.); #238189=ORIENTED_EDGE('',*,*,#184192,.F.); #238190=ORIENTED_EDGE('',*,*,#184193,.T.); #238191=ORIENTED_EDGE('',*,*,#184192,.T.); #238192=ORIENTED_EDGE('',*,*,#184194,.F.); #238193=ORIENTED_EDGE('',*,*,#184195,.F.); #238194=ORIENTED_EDGE('',*,*,#184196,.T.); #238195=ORIENTED_EDGE('',*,*,#184195,.T.); #238196=ORIENTED_EDGE('',*,*,#184197,.F.); #238197=ORIENTED_EDGE('',*,*,#184198,.F.); #238198=ORIENTED_EDGE('',*,*,#184199,.T.); #238199=ORIENTED_EDGE('',*,*,#184198,.T.); #238200=ORIENTED_EDGE('',*,*,#184200,.F.); #238201=ORIENTED_EDGE('',*,*,#184201,.F.); #238202=ORIENTED_EDGE('',*,*,#184202,.T.); #238203=ORIENTED_EDGE('',*,*,#184201,.T.); #238204=ORIENTED_EDGE('',*,*,#184203,.F.); #238205=ORIENTED_EDGE('',*,*,#184204,.F.); #238206=ORIENTED_EDGE('',*,*,#184205,.T.); #238207=ORIENTED_EDGE('',*,*,#184204,.T.); #238208=ORIENTED_EDGE('',*,*,#184206,.F.); #238209=ORIENTED_EDGE('',*,*,#184207,.F.); #238210=ORIENTED_EDGE('',*,*,#184208,.T.); #238211=ORIENTED_EDGE('',*,*,#184207,.T.); #238212=ORIENTED_EDGE('',*,*,#184209,.F.); #238213=ORIENTED_EDGE('',*,*,#184210,.F.); #238214=ORIENTED_EDGE('',*,*,#184211,.T.); #238215=ORIENTED_EDGE('',*,*,#184210,.T.); #238216=ORIENTED_EDGE('',*,*,#184212,.F.); #238217=ORIENTED_EDGE('',*,*,#184213,.F.); #238218=ORIENTED_EDGE('',*,*,#184214,.T.); #238219=ORIENTED_EDGE('',*,*,#184213,.T.); #238220=ORIENTED_EDGE('',*,*,#184215,.F.); #238221=ORIENTED_EDGE('',*,*,#184216,.F.); #238222=ORIENTED_EDGE('',*,*,#184217,.T.); #238223=ORIENTED_EDGE('',*,*,#184216,.T.); #238224=ORIENTED_EDGE('',*,*,#184218,.F.); #238225=ORIENTED_EDGE('',*,*,#184219,.F.); #238226=ORIENTED_EDGE('',*,*,#184220,.T.); #238227=ORIENTED_EDGE('',*,*,#184219,.T.); #238228=ORIENTED_EDGE('',*,*,#184221,.F.); #238229=ORIENTED_EDGE('',*,*,#184222,.F.); #238230=ORIENTED_EDGE('',*,*,#184223,.T.); #238231=ORIENTED_EDGE('',*,*,#184222,.T.); #238232=ORIENTED_EDGE('',*,*,#184224,.F.); #238233=ORIENTED_EDGE('',*,*,#184225,.F.); #238234=ORIENTED_EDGE('',*,*,#184226,.T.); #238235=ORIENTED_EDGE('',*,*,#184225,.T.); #238236=ORIENTED_EDGE('',*,*,#184227,.F.); #238237=ORIENTED_EDGE('',*,*,#184228,.F.); #238238=ORIENTED_EDGE('',*,*,#184229,.T.); #238239=ORIENTED_EDGE('',*,*,#184228,.T.); #238240=ORIENTED_EDGE('',*,*,#184230,.F.); #238241=ORIENTED_EDGE('',*,*,#184231,.F.); #238242=ORIENTED_EDGE('',*,*,#184232,.T.); #238243=ORIENTED_EDGE('',*,*,#184231,.T.); #238244=ORIENTED_EDGE('',*,*,#184233,.F.); #238245=ORIENTED_EDGE('',*,*,#184234,.F.); #238246=ORIENTED_EDGE('',*,*,#184235,.T.); #238247=ORIENTED_EDGE('',*,*,#184234,.T.); #238248=ORIENTED_EDGE('',*,*,#184236,.F.); #238249=ORIENTED_EDGE('',*,*,#184237,.F.); #238250=ORIENTED_EDGE('',*,*,#184238,.T.); #238251=ORIENTED_EDGE('',*,*,#184237,.T.); #238252=ORIENTED_EDGE('',*,*,#184239,.F.); #238253=ORIENTED_EDGE('',*,*,#184240,.F.); #238254=ORIENTED_EDGE('',*,*,#184241,.T.); #238255=ORIENTED_EDGE('',*,*,#184240,.T.); #238256=ORIENTED_EDGE('',*,*,#184242,.F.); #238257=ORIENTED_EDGE('',*,*,#184243,.F.); #238258=ORIENTED_EDGE('',*,*,#184244,.T.); #238259=ORIENTED_EDGE('',*,*,#184243,.T.); #238260=ORIENTED_EDGE('',*,*,#184245,.F.); #238261=ORIENTED_EDGE('',*,*,#184246,.F.); #238262=ORIENTED_EDGE('',*,*,#184247,.T.); #238263=ORIENTED_EDGE('',*,*,#184246,.T.); #238264=ORIENTED_EDGE('',*,*,#184248,.F.); #238265=ORIENTED_EDGE('',*,*,#184249,.F.); #238266=ORIENTED_EDGE('',*,*,#184250,.T.); #238267=ORIENTED_EDGE('',*,*,#184249,.T.); #238268=ORIENTED_EDGE('',*,*,#184251,.F.); #238269=ORIENTED_EDGE('',*,*,#184252,.F.); #238270=ORIENTED_EDGE('',*,*,#184253,.T.); #238271=ORIENTED_EDGE('',*,*,#184252,.T.); #238272=ORIENTED_EDGE('',*,*,#184254,.F.); #238273=ORIENTED_EDGE('',*,*,#184255,.F.); #238274=ORIENTED_EDGE('',*,*,#184256,.T.); #238275=ORIENTED_EDGE('',*,*,#184255,.T.); #238276=ORIENTED_EDGE('',*,*,#184257,.F.); #238277=ORIENTED_EDGE('',*,*,#184258,.F.); #238278=ORIENTED_EDGE('',*,*,#184259,.T.); #238279=ORIENTED_EDGE('',*,*,#184258,.T.); #238280=ORIENTED_EDGE('',*,*,#184260,.F.); #238281=ORIENTED_EDGE('',*,*,#184261,.F.); #238282=ORIENTED_EDGE('',*,*,#184262,.T.); #238283=ORIENTED_EDGE('',*,*,#184261,.T.); #238284=ORIENTED_EDGE('',*,*,#184263,.F.); #238285=ORIENTED_EDGE('',*,*,#184264,.F.); #238286=ORIENTED_EDGE('',*,*,#184265,.T.); #238287=ORIENTED_EDGE('',*,*,#184264,.T.); #238288=ORIENTED_EDGE('',*,*,#184266,.F.); #238289=ORIENTED_EDGE('',*,*,#184267,.F.); #238290=ORIENTED_EDGE('',*,*,#184268,.T.); #238291=ORIENTED_EDGE('',*,*,#184267,.T.); #238292=ORIENTED_EDGE('',*,*,#184269,.F.); #238293=ORIENTED_EDGE('',*,*,#184270,.F.); #238294=ORIENTED_EDGE('',*,*,#184271,.T.); #238295=ORIENTED_EDGE('',*,*,#184270,.T.); #238296=ORIENTED_EDGE('',*,*,#184272,.F.); #238297=ORIENTED_EDGE('',*,*,#184273,.F.); #238298=ORIENTED_EDGE('',*,*,#184274,.T.); #238299=ORIENTED_EDGE('',*,*,#184273,.T.); #238300=ORIENTED_EDGE('',*,*,#184275,.F.); #238301=ORIENTED_EDGE('',*,*,#184276,.F.); #238302=ORIENTED_EDGE('',*,*,#184277,.T.); #238303=ORIENTED_EDGE('',*,*,#184276,.T.); #238304=ORIENTED_EDGE('',*,*,#184278,.F.); #238305=ORIENTED_EDGE('',*,*,#184279,.F.); #238306=ORIENTED_EDGE('',*,*,#184280,.T.); #238307=ORIENTED_EDGE('',*,*,#184279,.T.); #238308=ORIENTED_EDGE('',*,*,#184281,.F.); #238309=ORIENTED_EDGE('',*,*,#184282,.F.); #238310=ORIENTED_EDGE('',*,*,#184283,.T.); #238311=ORIENTED_EDGE('',*,*,#184282,.T.); #238312=ORIENTED_EDGE('',*,*,#184284,.F.); #238313=ORIENTED_EDGE('',*,*,#184285,.F.); #238314=ORIENTED_EDGE('',*,*,#184286,.T.); #238315=ORIENTED_EDGE('',*,*,#184285,.T.); #238316=ORIENTED_EDGE('',*,*,#184287,.F.); #238317=ORIENTED_EDGE('',*,*,#184288,.F.); #238318=ORIENTED_EDGE('',*,*,#184289,.T.); #238319=ORIENTED_EDGE('',*,*,#184288,.T.); #238320=ORIENTED_EDGE('',*,*,#184290,.F.); #238321=ORIENTED_EDGE('',*,*,#184291,.F.); #238322=ORIENTED_EDGE('',*,*,#184292,.T.); #238323=ORIENTED_EDGE('',*,*,#184291,.T.); #238324=ORIENTED_EDGE('',*,*,#184293,.F.); #238325=ORIENTED_EDGE('',*,*,#184294,.F.); #238326=ORIENTED_EDGE('',*,*,#184295,.T.); #238327=ORIENTED_EDGE('',*,*,#184294,.T.); #238328=ORIENTED_EDGE('',*,*,#184296,.F.); #238329=ORIENTED_EDGE('',*,*,#184297,.F.); #238330=ORIENTED_EDGE('',*,*,#184298,.T.); #238331=ORIENTED_EDGE('',*,*,#184297,.T.); #238332=ORIENTED_EDGE('',*,*,#184299,.F.); #238333=ORIENTED_EDGE('',*,*,#184300,.F.); #238334=ORIENTED_EDGE('',*,*,#184301,.T.); #238335=ORIENTED_EDGE('',*,*,#184300,.T.); #238336=ORIENTED_EDGE('',*,*,#184302,.F.); #238337=ORIENTED_EDGE('',*,*,#184303,.F.); #238338=ORIENTED_EDGE('',*,*,#184304,.T.); #238339=ORIENTED_EDGE('',*,*,#184303,.T.); #238340=ORIENTED_EDGE('',*,*,#184305,.F.); #238341=ORIENTED_EDGE('',*,*,#184306,.F.); #238342=ORIENTED_EDGE('',*,*,#184307,.T.); #238343=ORIENTED_EDGE('',*,*,#184306,.T.); #238344=ORIENTED_EDGE('',*,*,#184308,.F.); #238345=ORIENTED_EDGE('',*,*,#184309,.F.); #238346=ORIENTED_EDGE('',*,*,#184310,.T.); #238347=ORIENTED_EDGE('',*,*,#184309,.T.); #238348=ORIENTED_EDGE('',*,*,#184311,.F.); #238349=ORIENTED_EDGE('',*,*,#184312,.F.); #238350=ORIENTED_EDGE('',*,*,#184313,.T.); #238351=ORIENTED_EDGE('',*,*,#184312,.T.); #238352=ORIENTED_EDGE('',*,*,#184314,.F.); #238353=ORIENTED_EDGE('',*,*,#184315,.F.); #238354=ORIENTED_EDGE('',*,*,#184316,.T.); #238355=ORIENTED_EDGE('',*,*,#184315,.T.); #238356=ORIENTED_EDGE('',*,*,#184317,.F.); #238357=ORIENTED_EDGE('',*,*,#184318,.F.); #238358=ORIENTED_EDGE('',*,*,#184319,.T.); #238359=ORIENTED_EDGE('',*,*,#184318,.T.); #238360=ORIENTED_EDGE('',*,*,#184320,.F.); #238361=ORIENTED_EDGE('',*,*,#184321,.F.); #238362=ORIENTED_EDGE('',*,*,#184322,.T.); #238363=ORIENTED_EDGE('',*,*,#184321,.T.); #238364=ORIENTED_EDGE('',*,*,#184323,.F.); #238365=ORIENTED_EDGE('',*,*,#184324,.F.); #238366=ORIENTED_EDGE('',*,*,#184325,.T.); #238367=ORIENTED_EDGE('',*,*,#184324,.T.); #238368=ORIENTED_EDGE('',*,*,#184326,.F.); #238369=ORIENTED_EDGE('',*,*,#184327,.F.); #238370=ORIENTED_EDGE('',*,*,#184328,.T.); #238371=ORIENTED_EDGE('',*,*,#184327,.T.); #238372=ORIENTED_EDGE('',*,*,#184329,.F.); #238373=ORIENTED_EDGE('',*,*,#184330,.F.); #238374=ORIENTED_EDGE('',*,*,#184331,.T.); #238375=ORIENTED_EDGE('',*,*,#184330,.T.); #238376=ORIENTED_EDGE('',*,*,#184332,.F.); #238377=ORIENTED_EDGE('',*,*,#184333,.F.); #238378=ORIENTED_EDGE('',*,*,#184334,.T.); #238379=ORIENTED_EDGE('',*,*,#184333,.T.); #238380=ORIENTED_EDGE('',*,*,#184335,.F.); #238381=ORIENTED_EDGE('',*,*,#184336,.F.); #238382=ORIENTED_EDGE('',*,*,#184337,.T.); #238383=ORIENTED_EDGE('',*,*,#184336,.T.); #238384=ORIENTED_EDGE('',*,*,#184338,.F.); #238385=ORIENTED_EDGE('',*,*,#184339,.F.); #238386=ORIENTED_EDGE('',*,*,#184340,.T.); #238387=ORIENTED_EDGE('',*,*,#184339,.T.); #238388=ORIENTED_EDGE('',*,*,#184341,.F.); #238389=ORIENTED_EDGE('',*,*,#184342,.F.); #238390=ORIENTED_EDGE('',*,*,#184343,.T.); #238391=ORIENTED_EDGE('',*,*,#184342,.T.); #238392=ORIENTED_EDGE('',*,*,#184344,.F.); #238393=ORIENTED_EDGE('',*,*,#184345,.F.); #238394=ORIENTED_EDGE('',*,*,#184346,.T.); #238395=ORIENTED_EDGE('',*,*,#184345,.T.); #238396=ORIENTED_EDGE('',*,*,#184347,.F.); #238397=ORIENTED_EDGE('',*,*,#184348,.F.); #238398=ORIENTED_EDGE('',*,*,#184349,.T.); #238399=ORIENTED_EDGE('',*,*,#184348,.T.); #238400=ORIENTED_EDGE('',*,*,#184350,.F.); #238401=ORIENTED_EDGE('',*,*,#184351,.F.); #238402=ORIENTED_EDGE('',*,*,#184352,.T.); #238403=ORIENTED_EDGE('',*,*,#184351,.T.); #238404=ORIENTED_EDGE('',*,*,#184353,.F.); #238405=ORIENTED_EDGE('',*,*,#184354,.F.); #238406=ORIENTED_EDGE('',*,*,#184355,.T.); #238407=ORIENTED_EDGE('',*,*,#184354,.T.); #238408=ORIENTED_EDGE('',*,*,#184356,.F.); #238409=ORIENTED_EDGE('',*,*,#184357,.F.); #238410=ORIENTED_EDGE('',*,*,#184358,.T.); #238411=ORIENTED_EDGE('',*,*,#184357,.T.); #238412=ORIENTED_EDGE('',*,*,#184359,.F.); #238413=ORIENTED_EDGE('',*,*,#184360,.F.); #238414=ORIENTED_EDGE('',*,*,#184361,.T.); #238415=ORIENTED_EDGE('',*,*,#184360,.T.); #238416=ORIENTED_EDGE('',*,*,#184362,.F.); #238417=ORIENTED_EDGE('',*,*,#184363,.F.); #238418=ORIENTED_EDGE('',*,*,#184364,.T.); #238419=ORIENTED_EDGE('',*,*,#184363,.T.); #238420=ORIENTED_EDGE('',*,*,#184365,.F.); #238421=ORIENTED_EDGE('',*,*,#184366,.F.); #238422=ORIENTED_EDGE('',*,*,#184367,.T.); #238423=ORIENTED_EDGE('',*,*,#184366,.T.); #238424=ORIENTED_EDGE('',*,*,#184368,.F.); #238425=ORIENTED_EDGE('',*,*,#184369,.F.); #238426=ORIENTED_EDGE('',*,*,#184370,.T.); #238427=ORIENTED_EDGE('',*,*,#184369,.T.); #238428=ORIENTED_EDGE('',*,*,#184371,.F.); #238429=ORIENTED_EDGE('',*,*,#184372,.F.); #238430=ORIENTED_EDGE('',*,*,#184373,.T.); #238431=ORIENTED_EDGE('',*,*,#184372,.T.); #238432=ORIENTED_EDGE('',*,*,#184374,.F.); #238433=ORIENTED_EDGE('',*,*,#184375,.F.); #238434=ORIENTED_EDGE('',*,*,#184376,.T.); #238435=ORIENTED_EDGE('',*,*,#184375,.T.); #238436=ORIENTED_EDGE('',*,*,#184377,.F.); #238437=ORIENTED_EDGE('',*,*,#184378,.F.); #238438=ORIENTED_EDGE('',*,*,#184379,.T.); #238439=ORIENTED_EDGE('',*,*,#184378,.T.); #238440=ORIENTED_EDGE('',*,*,#184380,.F.); #238441=ORIENTED_EDGE('',*,*,#184381,.F.); #238442=ORIENTED_EDGE('',*,*,#184382,.T.); #238443=ORIENTED_EDGE('',*,*,#184381,.T.); #238444=ORIENTED_EDGE('',*,*,#184383,.F.); #238445=ORIENTED_EDGE('',*,*,#184384,.F.); #238446=ORIENTED_EDGE('',*,*,#184385,.T.); #238447=ORIENTED_EDGE('',*,*,#184384,.T.); #238448=ORIENTED_EDGE('',*,*,#184386,.F.); #238449=ORIENTED_EDGE('',*,*,#184387,.F.); #238450=ORIENTED_EDGE('',*,*,#184388,.T.); #238451=ORIENTED_EDGE('',*,*,#184387,.T.); #238452=ORIENTED_EDGE('',*,*,#184389,.F.); #238453=ORIENTED_EDGE('',*,*,#184390,.F.); #238454=ORIENTED_EDGE('',*,*,#184391,.T.); #238455=ORIENTED_EDGE('',*,*,#184390,.T.); #238456=ORIENTED_EDGE('',*,*,#184392,.F.); #238457=ORIENTED_EDGE('',*,*,#184393,.F.); #238458=ORIENTED_EDGE('',*,*,#184394,.T.); #238459=ORIENTED_EDGE('',*,*,#184393,.T.); #238460=ORIENTED_EDGE('',*,*,#184395,.F.); #238461=ORIENTED_EDGE('',*,*,#184396,.F.); #238462=ORIENTED_EDGE('',*,*,#184397,.T.); #238463=ORIENTED_EDGE('',*,*,#184396,.T.); #238464=ORIENTED_EDGE('',*,*,#184398,.F.); #238465=ORIENTED_EDGE('',*,*,#184399,.F.); #238466=ORIENTED_EDGE('',*,*,#184400,.T.); #238467=ORIENTED_EDGE('',*,*,#184399,.T.); #238468=ORIENTED_EDGE('',*,*,#184401,.F.); #238469=ORIENTED_EDGE('',*,*,#184402,.F.); #238470=ORIENTED_EDGE('',*,*,#184403,.T.); #238471=ORIENTED_EDGE('',*,*,#184402,.T.); #238472=ORIENTED_EDGE('',*,*,#184404,.F.); #238473=ORIENTED_EDGE('',*,*,#184405,.F.); #238474=ORIENTED_EDGE('',*,*,#184406,.T.); #238475=ORIENTED_EDGE('',*,*,#184405,.T.); #238476=ORIENTED_EDGE('',*,*,#184407,.F.); #238477=ORIENTED_EDGE('',*,*,#184408,.F.); #238478=ORIENTED_EDGE('',*,*,#184409,.T.); #238479=ORIENTED_EDGE('',*,*,#184408,.T.); #238480=ORIENTED_EDGE('',*,*,#184410,.F.); #238481=ORIENTED_EDGE('',*,*,#184411,.F.); #238482=ORIENTED_EDGE('',*,*,#184412,.T.); #238483=ORIENTED_EDGE('',*,*,#184411,.T.); #238484=ORIENTED_EDGE('',*,*,#184413,.F.); #238485=ORIENTED_EDGE('',*,*,#184414,.F.); #238486=ORIENTED_EDGE('',*,*,#184415,.T.); #238487=ORIENTED_EDGE('',*,*,#184414,.T.); #238488=ORIENTED_EDGE('',*,*,#184416,.F.); #238489=ORIENTED_EDGE('',*,*,#184417,.F.); #238490=ORIENTED_EDGE('',*,*,#184418,.T.); #238491=ORIENTED_EDGE('',*,*,#184417,.T.); #238492=ORIENTED_EDGE('',*,*,#184419,.F.); #238493=ORIENTED_EDGE('',*,*,#184420,.F.); #238494=ORIENTED_EDGE('',*,*,#184421,.T.); #238495=ORIENTED_EDGE('',*,*,#184420,.T.); #238496=ORIENTED_EDGE('',*,*,#184422,.F.); #238497=ORIENTED_EDGE('',*,*,#184423,.F.); #238498=ORIENTED_EDGE('',*,*,#184424,.T.); #238499=ORIENTED_EDGE('',*,*,#184423,.T.); #238500=ORIENTED_EDGE('',*,*,#184425,.F.); #238501=ORIENTED_EDGE('',*,*,#184426,.F.); #238502=ORIENTED_EDGE('',*,*,#184427,.T.); #238503=ORIENTED_EDGE('',*,*,#184426,.T.); #238504=ORIENTED_EDGE('',*,*,#184428,.F.); #238505=ORIENTED_EDGE('',*,*,#184429,.F.); #238506=ORIENTED_EDGE('',*,*,#184430,.T.); #238507=ORIENTED_EDGE('',*,*,#184429,.T.); #238508=ORIENTED_EDGE('',*,*,#184431,.F.); #238509=ORIENTED_EDGE('',*,*,#184432,.F.); #238510=ORIENTED_EDGE('',*,*,#184433,.T.); #238511=ORIENTED_EDGE('',*,*,#184432,.T.); #238512=ORIENTED_EDGE('',*,*,#184434,.F.); #238513=ORIENTED_EDGE('',*,*,#184435,.F.); #238514=ORIENTED_EDGE('',*,*,#184436,.T.); #238515=ORIENTED_EDGE('',*,*,#184435,.T.); #238516=ORIENTED_EDGE('',*,*,#184437,.F.); #238517=ORIENTED_EDGE('',*,*,#184438,.F.); #238518=ORIENTED_EDGE('',*,*,#184439,.T.); #238519=ORIENTED_EDGE('',*,*,#184438,.T.); #238520=ORIENTED_EDGE('',*,*,#184440,.F.); #238521=ORIENTED_EDGE('',*,*,#184441,.F.); #238522=ORIENTED_EDGE('',*,*,#184442,.T.); #238523=ORIENTED_EDGE('',*,*,#184441,.T.); #238524=ORIENTED_EDGE('',*,*,#184443,.F.); #238525=ORIENTED_EDGE('',*,*,#184444,.F.); #238526=ORIENTED_EDGE('',*,*,#184445,.T.); #238527=ORIENTED_EDGE('',*,*,#184444,.T.); #238528=ORIENTED_EDGE('',*,*,#184446,.F.); #238529=ORIENTED_EDGE('',*,*,#184447,.F.); #238530=ORIENTED_EDGE('',*,*,#184448,.T.); #238531=ORIENTED_EDGE('',*,*,#184447,.T.); #238532=ORIENTED_EDGE('',*,*,#184449,.F.); #238533=ORIENTED_EDGE('',*,*,#184450,.F.); #238534=ORIENTED_EDGE('',*,*,#184451,.T.); #238535=ORIENTED_EDGE('',*,*,#184450,.T.); #238536=ORIENTED_EDGE('',*,*,#184452,.F.); #238537=ORIENTED_EDGE('',*,*,#184453,.F.); #238538=ORIENTED_EDGE('',*,*,#184454,.T.); #238539=ORIENTED_EDGE('',*,*,#184453,.T.); #238540=ORIENTED_EDGE('',*,*,#184455,.F.); #238541=ORIENTED_EDGE('',*,*,#184456,.F.); #238542=ORIENTED_EDGE('',*,*,#184457,.T.); #238543=ORIENTED_EDGE('',*,*,#184456,.T.); #238544=ORIENTED_EDGE('',*,*,#184458,.F.); #238545=ORIENTED_EDGE('',*,*,#184459,.F.); #238546=ORIENTED_EDGE('',*,*,#184460,.T.); #238547=ORIENTED_EDGE('',*,*,#184459,.T.); #238548=ORIENTED_EDGE('',*,*,#184461,.F.); #238549=ORIENTED_EDGE('',*,*,#184462,.F.); #238550=ORIENTED_EDGE('',*,*,#184463,.T.); #238551=ORIENTED_EDGE('',*,*,#184462,.T.); #238552=ORIENTED_EDGE('',*,*,#184464,.F.); #238553=ORIENTED_EDGE('',*,*,#184465,.F.); #238554=ORIENTED_EDGE('',*,*,#184466,.T.); #238555=ORIENTED_EDGE('',*,*,#184465,.T.); #238556=ORIENTED_EDGE('',*,*,#184467,.F.); #238557=ORIENTED_EDGE('',*,*,#184468,.F.); #238558=ORIENTED_EDGE('',*,*,#184469,.T.); #238559=ORIENTED_EDGE('',*,*,#184468,.T.); #238560=ORIENTED_EDGE('',*,*,#184470,.F.); #238561=ORIENTED_EDGE('',*,*,#184471,.F.); #238562=ORIENTED_EDGE('',*,*,#184472,.T.); #238563=ORIENTED_EDGE('',*,*,#184471,.T.); #238564=ORIENTED_EDGE('',*,*,#184473,.F.); #238565=ORIENTED_EDGE('',*,*,#184474,.F.); #238566=ORIENTED_EDGE('',*,*,#184475,.T.); #238567=ORIENTED_EDGE('',*,*,#184474,.T.); #238568=ORIENTED_EDGE('',*,*,#184476,.F.); #238569=ORIENTED_EDGE('',*,*,#184477,.F.); #238570=ORIENTED_EDGE('',*,*,#184478,.T.); #238571=ORIENTED_EDGE('',*,*,#184477,.T.); #238572=ORIENTED_EDGE('',*,*,#184479,.F.); #238573=ORIENTED_EDGE('',*,*,#184480,.F.); #238574=ORIENTED_EDGE('',*,*,#184481,.T.); #238575=ORIENTED_EDGE('',*,*,#184480,.T.); #238576=ORIENTED_EDGE('',*,*,#184482,.F.); #238577=ORIENTED_EDGE('',*,*,#184483,.F.); #238578=ORIENTED_EDGE('',*,*,#184484,.T.); #238579=ORIENTED_EDGE('',*,*,#184483,.T.); #238580=ORIENTED_EDGE('',*,*,#184485,.F.); #238581=ORIENTED_EDGE('',*,*,#184486,.F.); #238582=ORIENTED_EDGE('',*,*,#184487,.T.); #238583=ORIENTED_EDGE('',*,*,#184486,.T.); #238584=ORIENTED_EDGE('',*,*,#184488,.F.); #238585=ORIENTED_EDGE('',*,*,#184489,.F.); #238586=ORIENTED_EDGE('',*,*,#184490,.T.); #238587=ORIENTED_EDGE('',*,*,#184489,.T.); #238588=ORIENTED_EDGE('',*,*,#184491,.F.); #238589=ORIENTED_EDGE('',*,*,#184492,.F.); #238590=ORIENTED_EDGE('',*,*,#184493,.T.); #238591=ORIENTED_EDGE('',*,*,#184492,.T.); #238592=ORIENTED_EDGE('',*,*,#184494,.F.); #238593=ORIENTED_EDGE('',*,*,#184495,.F.); #238594=ORIENTED_EDGE('',*,*,#184496,.T.); #238595=ORIENTED_EDGE('',*,*,#184495,.T.); #238596=ORIENTED_EDGE('',*,*,#184497,.F.); #238597=ORIENTED_EDGE('',*,*,#184498,.F.); #238598=ORIENTED_EDGE('',*,*,#184499,.T.); #238599=ORIENTED_EDGE('',*,*,#184498,.T.); #238600=ORIENTED_EDGE('',*,*,#184500,.F.); #238601=ORIENTED_EDGE('',*,*,#184501,.F.); #238602=ORIENTED_EDGE('',*,*,#184502,.T.); #238603=ORIENTED_EDGE('',*,*,#184501,.T.); #238604=ORIENTED_EDGE('',*,*,#184503,.F.); #238605=ORIENTED_EDGE('',*,*,#184504,.F.); #238606=ORIENTED_EDGE('',*,*,#184505,.T.); #238607=ORIENTED_EDGE('',*,*,#184504,.T.); #238608=ORIENTED_EDGE('',*,*,#184506,.F.); #238609=ORIENTED_EDGE('',*,*,#184507,.F.); #238610=ORIENTED_EDGE('',*,*,#184508,.T.); #238611=ORIENTED_EDGE('',*,*,#184507,.T.); #238612=ORIENTED_EDGE('',*,*,#184509,.F.); #238613=ORIENTED_EDGE('',*,*,#184510,.F.); #238614=ORIENTED_EDGE('',*,*,#184511,.T.); #238615=ORIENTED_EDGE('',*,*,#184510,.T.); #238616=ORIENTED_EDGE('',*,*,#184512,.F.); #238617=ORIENTED_EDGE('',*,*,#184513,.F.); #238618=ORIENTED_EDGE('',*,*,#184514,.T.); #238619=ORIENTED_EDGE('',*,*,#184513,.T.); #238620=ORIENTED_EDGE('',*,*,#184515,.F.); #238621=ORIENTED_EDGE('',*,*,#184516,.F.); #238622=ORIENTED_EDGE('',*,*,#184517,.T.); #238623=ORIENTED_EDGE('',*,*,#184516,.T.); #238624=ORIENTED_EDGE('',*,*,#184518,.F.); #238625=ORIENTED_EDGE('',*,*,#182873,.F.); #238626=ORIENTED_EDGE('',*,*,#184519,.T.); #238627=ORIENTED_EDGE('',*,*,#184520,.T.); #238628=ORIENTED_EDGE('',*,*,#184521,.F.); #238629=ORIENTED_EDGE('',*,*,#184522,.F.); #238630=ORIENTED_EDGE('',*,*,#184523,.T.); #238631=ORIENTED_EDGE('',*,*,#184522,.T.); #238632=ORIENTED_EDGE('',*,*,#184524,.F.); #238633=ORIENTED_EDGE('',*,*,#184525,.F.); #238634=ORIENTED_EDGE('',*,*,#184526,.T.); #238635=ORIENTED_EDGE('',*,*,#184525,.T.); #238636=ORIENTED_EDGE('',*,*,#184527,.F.); #238637=ORIENTED_EDGE('',*,*,#184528,.F.); #238638=ORIENTED_EDGE('',*,*,#184529,.T.); #238639=ORIENTED_EDGE('',*,*,#184528,.T.); #238640=ORIENTED_EDGE('',*,*,#184530,.F.); #238641=ORIENTED_EDGE('',*,*,#184531,.F.); #238642=ORIENTED_EDGE('',*,*,#184532,.T.); #238643=ORIENTED_EDGE('',*,*,#184531,.T.); #238644=ORIENTED_EDGE('',*,*,#184533,.F.); #238645=ORIENTED_EDGE('',*,*,#184534,.F.); #238646=ORIENTED_EDGE('',*,*,#184535,.T.); #238647=ORIENTED_EDGE('',*,*,#184534,.T.); #238648=ORIENTED_EDGE('',*,*,#184536,.F.); #238649=ORIENTED_EDGE('',*,*,#184537,.F.); #238650=ORIENTED_EDGE('',*,*,#184538,.T.); #238651=ORIENTED_EDGE('',*,*,#184537,.T.); #238652=ORIENTED_EDGE('',*,*,#184539,.F.); #238653=ORIENTED_EDGE('',*,*,#184540,.F.); #238654=ORIENTED_EDGE('',*,*,#184541,.T.); #238655=ORIENTED_EDGE('',*,*,#184540,.T.); #238656=ORIENTED_EDGE('',*,*,#184542,.F.); #238657=ORIENTED_EDGE('',*,*,#184543,.F.); #238658=ORIENTED_EDGE('',*,*,#184544,.T.); #238659=ORIENTED_EDGE('',*,*,#184543,.T.); #238660=ORIENTED_EDGE('',*,*,#184545,.F.); #238661=ORIENTED_EDGE('',*,*,#184546,.F.); #238662=ORIENTED_EDGE('',*,*,#184547,.T.); #238663=ORIENTED_EDGE('',*,*,#184546,.T.); #238664=ORIENTED_EDGE('',*,*,#184548,.F.); #238665=ORIENTED_EDGE('',*,*,#184549,.F.); #238666=ORIENTED_EDGE('',*,*,#184550,.T.); #238667=ORIENTED_EDGE('',*,*,#184549,.T.); #238668=ORIENTED_EDGE('',*,*,#184551,.F.); #238669=ORIENTED_EDGE('',*,*,#184552,.F.); #238670=ORIENTED_EDGE('',*,*,#184553,.T.); #238671=ORIENTED_EDGE('',*,*,#184552,.T.); #238672=ORIENTED_EDGE('',*,*,#184554,.F.); #238673=ORIENTED_EDGE('',*,*,#184555,.F.); #238674=ORIENTED_EDGE('',*,*,#184556,.T.); #238675=ORIENTED_EDGE('',*,*,#184555,.T.); #238676=ORIENTED_EDGE('',*,*,#184557,.F.); #238677=ORIENTED_EDGE('',*,*,#184558,.F.); #238678=ORIENTED_EDGE('',*,*,#184559,.T.); #238679=ORIENTED_EDGE('',*,*,#184558,.T.); #238680=ORIENTED_EDGE('',*,*,#184560,.F.); #238681=ORIENTED_EDGE('',*,*,#184561,.F.); #238682=ORIENTED_EDGE('',*,*,#184562,.T.); #238683=ORIENTED_EDGE('',*,*,#184561,.T.); #238684=ORIENTED_EDGE('',*,*,#184563,.F.); #238685=ORIENTED_EDGE('',*,*,#184564,.F.); #238686=ORIENTED_EDGE('',*,*,#184565,.T.); #238687=ORIENTED_EDGE('',*,*,#184564,.T.); #238688=ORIENTED_EDGE('',*,*,#184566,.F.); #238689=ORIENTED_EDGE('',*,*,#184567,.F.); #238690=ORIENTED_EDGE('',*,*,#184568,.T.); #238691=ORIENTED_EDGE('',*,*,#184567,.T.); #238692=ORIENTED_EDGE('',*,*,#184569,.F.); #238693=ORIENTED_EDGE('',*,*,#184570,.F.); #238694=ORIENTED_EDGE('',*,*,#184571,.T.); #238695=ORIENTED_EDGE('',*,*,#184570,.T.); #238696=ORIENTED_EDGE('',*,*,#184572,.F.); #238697=ORIENTED_EDGE('',*,*,#184573,.F.); #238698=ORIENTED_EDGE('',*,*,#184574,.T.); #238699=ORIENTED_EDGE('',*,*,#184573,.T.); #238700=ORIENTED_EDGE('',*,*,#184575,.F.); #238701=ORIENTED_EDGE('',*,*,#184576,.F.); #238702=ORIENTED_EDGE('',*,*,#184577,.T.); #238703=ORIENTED_EDGE('',*,*,#184576,.T.); #238704=ORIENTED_EDGE('',*,*,#184578,.F.); #238705=ORIENTED_EDGE('',*,*,#184579,.F.); #238706=ORIENTED_EDGE('',*,*,#184580,.T.); #238707=ORIENTED_EDGE('',*,*,#184579,.T.); #238708=ORIENTED_EDGE('',*,*,#184581,.F.); #238709=ORIENTED_EDGE('',*,*,#184582,.F.); #238710=ORIENTED_EDGE('',*,*,#184583,.T.); #238711=ORIENTED_EDGE('',*,*,#184582,.T.); #238712=ORIENTED_EDGE('',*,*,#184584,.F.); #238713=ORIENTED_EDGE('',*,*,#184585,.F.); #238714=ORIENTED_EDGE('',*,*,#184586,.T.); #238715=ORIENTED_EDGE('',*,*,#184585,.T.); #238716=ORIENTED_EDGE('',*,*,#184587,.F.); #238717=ORIENTED_EDGE('',*,*,#184588,.F.); #238718=ORIENTED_EDGE('',*,*,#184589,.T.); #238719=ORIENTED_EDGE('',*,*,#184588,.T.); #238720=ORIENTED_EDGE('',*,*,#184590,.F.); #238721=ORIENTED_EDGE('',*,*,#184591,.F.); #238722=ORIENTED_EDGE('',*,*,#184592,.T.); #238723=ORIENTED_EDGE('',*,*,#184591,.T.); #238724=ORIENTED_EDGE('',*,*,#184593,.F.); #238725=ORIENTED_EDGE('',*,*,#184594,.F.); #238726=ORIENTED_EDGE('',*,*,#184595,.T.); #238727=ORIENTED_EDGE('',*,*,#184594,.T.); #238728=ORIENTED_EDGE('',*,*,#184596,.F.); #238729=ORIENTED_EDGE('',*,*,#184597,.F.); #238730=ORIENTED_EDGE('',*,*,#184598,.T.); #238731=ORIENTED_EDGE('',*,*,#184597,.T.); #238732=ORIENTED_EDGE('',*,*,#184599,.F.); #238733=ORIENTED_EDGE('',*,*,#184600,.F.); #238734=ORIENTED_EDGE('',*,*,#184601,.T.); #238735=ORIENTED_EDGE('',*,*,#184600,.T.); #238736=ORIENTED_EDGE('',*,*,#184602,.F.); #238737=ORIENTED_EDGE('',*,*,#184603,.F.); #238738=ORIENTED_EDGE('',*,*,#184604,.T.); #238739=ORIENTED_EDGE('',*,*,#184603,.T.); #238740=ORIENTED_EDGE('',*,*,#184605,.F.); #238741=ORIENTED_EDGE('',*,*,#184606,.F.); #238742=ORIENTED_EDGE('',*,*,#184607,.T.); #238743=ORIENTED_EDGE('',*,*,#184606,.T.); #238744=ORIENTED_EDGE('',*,*,#184608,.F.); #238745=ORIENTED_EDGE('',*,*,#184609,.F.); #238746=ORIENTED_EDGE('',*,*,#184610,.T.); #238747=ORIENTED_EDGE('',*,*,#184609,.T.); #238748=ORIENTED_EDGE('',*,*,#184611,.F.); #238749=ORIENTED_EDGE('',*,*,#184612,.F.); #238750=ORIENTED_EDGE('',*,*,#184613,.T.); #238751=ORIENTED_EDGE('',*,*,#184612,.T.); #238752=ORIENTED_EDGE('',*,*,#184614,.F.); #238753=ORIENTED_EDGE('',*,*,#184615,.F.); #238754=ORIENTED_EDGE('',*,*,#184616,.T.); #238755=ORIENTED_EDGE('',*,*,#184615,.T.); #238756=ORIENTED_EDGE('',*,*,#184617,.F.); #238757=ORIENTED_EDGE('',*,*,#184618,.F.); #238758=ORIENTED_EDGE('',*,*,#184619,.T.); #238759=ORIENTED_EDGE('',*,*,#184618,.T.); #238760=ORIENTED_EDGE('',*,*,#184620,.F.); #238761=ORIENTED_EDGE('',*,*,#184621,.F.); #238762=ORIENTED_EDGE('',*,*,#184622,.T.); #238763=ORIENTED_EDGE('',*,*,#184621,.T.); #238764=ORIENTED_EDGE('',*,*,#184623,.F.); #238765=ORIENTED_EDGE('',*,*,#184624,.F.); #238766=ORIENTED_EDGE('',*,*,#184625,.T.); #238767=ORIENTED_EDGE('',*,*,#184624,.T.); #238768=ORIENTED_EDGE('',*,*,#184626,.F.); #238769=ORIENTED_EDGE('',*,*,#184627,.F.); #238770=ORIENTED_EDGE('',*,*,#184628,.T.); #238771=ORIENTED_EDGE('',*,*,#184627,.T.); #238772=ORIENTED_EDGE('',*,*,#184629,.F.); #238773=ORIENTED_EDGE('',*,*,#184630,.F.); #238774=ORIENTED_EDGE('',*,*,#184631,.T.); #238775=ORIENTED_EDGE('',*,*,#184630,.T.); #238776=ORIENTED_EDGE('',*,*,#184632,.F.); #238777=ORIENTED_EDGE('',*,*,#184633,.F.); #238778=ORIENTED_EDGE('',*,*,#184634,.T.); #238779=ORIENTED_EDGE('',*,*,#184633,.T.); #238780=ORIENTED_EDGE('',*,*,#184635,.F.); #238781=ORIENTED_EDGE('',*,*,#184636,.F.); #238782=ORIENTED_EDGE('',*,*,#184637,.T.); #238783=ORIENTED_EDGE('',*,*,#184636,.T.); #238784=ORIENTED_EDGE('',*,*,#184638,.F.); #238785=ORIENTED_EDGE('',*,*,#184639,.F.); #238786=ORIENTED_EDGE('',*,*,#184640,.T.); #238787=ORIENTED_EDGE('',*,*,#184639,.T.); #238788=ORIENTED_EDGE('',*,*,#184641,.F.); #238789=ORIENTED_EDGE('',*,*,#184642,.F.); #238790=ORIENTED_EDGE('',*,*,#184643,.T.); #238791=ORIENTED_EDGE('',*,*,#184642,.T.); #238792=ORIENTED_EDGE('',*,*,#184644,.F.); #238793=ORIENTED_EDGE('',*,*,#184645,.F.); #238794=ORIENTED_EDGE('',*,*,#184646,.T.); #238795=ORIENTED_EDGE('',*,*,#184645,.T.); #238796=ORIENTED_EDGE('',*,*,#184647,.F.); #238797=ORIENTED_EDGE('',*,*,#184648,.F.); #238798=ORIENTED_EDGE('',*,*,#184649,.T.); #238799=ORIENTED_EDGE('',*,*,#184648,.T.); #238800=ORIENTED_EDGE('',*,*,#184650,.F.); #238801=ORIENTED_EDGE('',*,*,#184651,.F.); #238802=ORIENTED_EDGE('',*,*,#184652,.T.); #238803=ORIENTED_EDGE('',*,*,#184651,.T.); #238804=ORIENTED_EDGE('',*,*,#184653,.F.); #238805=ORIENTED_EDGE('',*,*,#184654,.F.); #238806=ORIENTED_EDGE('',*,*,#184655,.T.); #238807=ORIENTED_EDGE('',*,*,#184654,.T.); #238808=ORIENTED_EDGE('',*,*,#184656,.F.); #238809=ORIENTED_EDGE('',*,*,#184657,.F.); #238810=ORIENTED_EDGE('',*,*,#184658,.T.); #238811=ORIENTED_EDGE('',*,*,#184657,.T.); #238812=ORIENTED_EDGE('',*,*,#184659,.F.); #238813=ORIENTED_EDGE('',*,*,#184660,.F.); #238814=ORIENTED_EDGE('',*,*,#184661,.T.); #238815=ORIENTED_EDGE('',*,*,#184660,.T.); #238816=ORIENTED_EDGE('',*,*,#184662,.F.); #238817=ORIENTED_EDGE('',*,*,#184663,.F.); #238818=ORIENTED_EDGE('',*,*,#184664,.T.); #238819=ORIENTED_EDGE('',*,*,#184663,.T.); #238820=ORIENTED_EDGE('',*,*,#184665,.F.); #238821=ORIENTED_EDGE('',*,*,#184666,.F.); #238822=ORIENTED_EDGE('',*,*,#184667,.T.); #238823=ORIENTED_EDGE('',*,*,#184666,.T.); #238824=ORIENTED_EDGE('',*,*,#184668,.F.); #238825=ORIENTED_EDGE('',*,*,#184669,.F.); #238826=ORIENTED_EDGE('',*,*,#184670,.T.); #238827=ORIENTED_EDGE('',*,*,#184669,.T.); #238828=ORIENTED_EDGE('',*,*,#184671,.F.); #238829=ORIENTED_EDGE('',*,*,#184672,.F.); #238830=ORIENTED_EDGE('',*,*,#184673,.T.); #238831=ORIENTED_EDGE('',*,*,#184672,.T.); #238832=ORIENTED_EDGE('',*,*,#184674,.F.); #238833=ORIENTED_EDGE('',*,*,#184675,.F.); #238834=ORIENTED_EDGE('',*,*,#184676,.T.); #238835=ORIENTED_EDGE('',*,*,#184675,.T.); #238836=ORIENTED_EDGE('',*,*,#184677,.F.); #238837=ORIENTED_EDGE('',*,*,#184678,.F.); #238838=ORIENTED_EDGE('',*,*,#184679,.T.); #238839=ORIENTED_EDGE('',*,*,#184678,.T.); #238840=ORIENTED_EDGE('',*,*,#184680,.F.); #238841=ORIENTED_EDGE('',*,*,#184681,.F.); #238842=ORIENTED_EDGE('',*,*,#184682,.T.); #238843=ORIENTED_EDGE('',*,*,#184681,.T.); #238844=ORIENTED_EDGE('',*,*,#184683,.F.); #238845=ORIENTED_EDGE('',*,*,#184684,.F.); #238846=ORIENTED_EDGE('',*,*,#184685,.T.); #238847=ORIENTED_EDGE('',*,*,#184684,.T.); #238848=ORIENTED_EDGE('',*,*,#184686,.F.); #238849=ORIENTED_EDGE('',*,*,#184687,.F.); #238850=ORIENTED_EDGE('',*,*,#184688,.T.); #238851=ORIENTED_EDGE('',*,*,#184687,.T.); #238852=ORIENTED_EDGE('',*,*,#184689,.F.); #238853=ORIENTED_EDGE('',*,*,#184690,.F.); #238854=ORIENTED_EDGE('',*,*,#184691,.T.); #238855=ORIENTED_EDGE('',*,*,#184690,.T.); #238856=ORIENTED_EDGE('',*,*,#184692,.F.); #238857=ORIENTED_EDGE('',*,*,#184693,.F.); #238858=ORIENTED_EDGE('',*,*,#184694,.T.); #238859=ORIENTED_EDGE('',*,*,#184693,.T.); #238860=ORIENTED_EDGE('',*,*,#184695,.F.); #238861=ORIENTED_EDGE('',*,*,#184696,.F.); #238862=ORIENTED_EDGE('',*,*,#184697,.T.); #238863=ORIENTED_EDGE('',*,*,#184696,.T.); #238864=ORIENTED_EDGE('',*,*,#184698,.F.); #238865=ORIENTED_EDGE('',*,*,#184699,.F.); #238866=ORIENTED_EDGE('',*,*,#184700,.T.); #238867=ORIENTED_EDGE('',*,*,#184699,.T.); #238868=ORIENTED_EDGE('',*,*,#184701,.F.); #238869=ORIENTED_EDGE('',*,*,#184702,.F.); #238870=ORIENTED_EDGE('',*,*,#184703,.T.); #238871=ORIENTED_EDGE('',*,*,#184702,.T.); #238872=ORIENTED_EDGE('',*,*,#184704,.F.); #238873=ORIENTED_EDGE('',*,*,#184705,.F.); #238874=ORIENTED_EDGE('',*,*,#184706,.T.); #238875=ORIENTED_EDGE('',*,*,#184705,.T.); #238876=ORIENTED_EDGE('',*,*,#184707,.F.); #238877=ORIENTED_EDGE('',*,*,#184708,.F.); #238878=ORIENTED_EDGE('',*,*,#184709,.T.); #238879=ORIENTED_EDGE('',*,*,#184708,.T.); #238880=ORIENTED_EDGE('',*,*,#184710,.F.); #238881=ORIENTED_EDGE('',*,*,#184711,.F.); #238882=ORIENTED_EDGE('',*,*,#184712,.T.); #238883=ORIENTED_EDGE('',*,*,#184711,.T.); #238884=ORIENTED_EDGE('',*,*,#184713,.F.); #238885=ORIENTED_EDGE('',*,*,#184714,.F.); #238886=ORIENTED_EDGE('',*,*,#184715,.T.); #238887=ORIENTED_EDGE('',*,*,#184714,.T.); #238888=ORIENTED_EDGE('',*,*,#184716,.F.); #238889=ORIENTED_EDGE('',*,*,#184717,.F.); #238890=ORIENTED_EDGE('',*,*,#184718,.T.); #238891=ORIENTED_EDGE('',*,*,#184717,.T.); #238892=ORIENTED_EDGE('',*,*,#184719,.F.); #238893=ORIENTED_EDGE('',*,*,#184720,.F.); #238894=ORIENTED_EDGE('',*,*,#184721,.T.); #238895=ORIENTED_EDGE('',*,*,#184720,.T.); #238896=ORIENTED_EDGE('',*,*,#184722,.F.); #238897=ORIENTED_EDGE('',*,*,#184723,.F.); #238898=ORIENTED_EDGE('',*,*,#184724,.T.); #238899=ORIENTED_EDGE('',*,*,#184723,.T.); #238900=ORIENTED_EDGE('',*,*,#184725,.F.); #238901=ORIENTED_EDGE('',*,*,#184726,.F.); #238902=ORIENTED_EDGE('',*,*,#184727,.T.); #238903=ORIENTED_EDGE('',*,*,#184726,.T.); #238904=ORIENTED_EDGE('',*,*,#184728,.F.); #238905=ORIENTED_EDGE('',*,*,#184729,.F.); #238906=ORIENTED_EDGE('',*,*,#184730,.T.); #238907=ORIENTED_EDGE('',*,*,#184729,.T.); #238908=ORIENTED_EDGE('',*,*,#184731,.F.); #238909=ORIENTED_EDGE('',*,*,#184732,.F.); #238910=ORIENTED_EDGE('',*,*,#184733,.T.); #238911=ORIENTED_EDGE('',*,*,#184732,.T.); #238912=ORIENTED_EDGE('',*,*,#184734,.F.); #238913=ORIENTED_EDGE('',*,*,#184735,.F.); #238914=ORIENTED_EDGE('',*,*,#184736,.T.); #238915=ORIENTED_EDGE('',*,*,#184735,.T.); #238916=ORIENTED_EDGE('',*,*,#184737,.F.); #238917=ORIENTED_EDGE('',*,*,#184738,.F.); #238918=ORIENTED_EDGE('',*,*,#184739,.T.); #238919=ORIENTED_EDGE('',*,*,#184738,.T.); #238920=ORIENTED_EDGE('',*,*,#184740,.F.); #238921=ORIENTED_EDGE('',*,*,#184741,.F.); #238922=ORIENTED_EDGE('',*,*,#184742,.T.); #238923=ORIENTED_EDGE('',*,*,#184741,.T.); #238924=ORIENTED_EDGE('',*,*,#184743,.F.); #238925=ORIENTED_EDGE('',*,*,#184744,.F.); #238926=ORIENTED_EDGE('',*,*,#184745,.T.); #238927=ORIENTED_EDGE('',*,*,#184744,.T.); #238928=ORIENTED_EDGE('',*,*,#184746,.F.); #238929=ORIENTED_EDGE('',*,*,#184747,.F.); #238930=ORIENTED_EDGE('',*,*,#184748,.T.); #238931=ORIENTED_EDGE('',*,*,#184747,.T.); #238932=ORIENTED_EDGE('',*,*,#184749,.F.); #238933=ORIENTED_EDGE('',*,*,#184750,.F.); #238934=ORIENTED_EDGE('',*,*,#184751,.T.); #238935=ORIENTED_EDGE('',*,*,#184750,.T.); #238936=ORIENTED_EDGE('',*,*,#184752,.F.); #238937=ORIENTED_EDGE('',*,*,#184753,.F.); #238938=ORIENTED_EDGE('',*,*,#184754,.T.); #238939=ORIENTED_EDGE('',*,*,#184753,.T.); #238940=ORIENTED_EDGE('',*,*,#184755,.F.); #238941=ORIENTED_EDGE('',*,*,#184756,.F.); #238942=ORIENTED_EDGE('',*,*,#184757,.T.); #238943=ORIENTED_EDGE('',*,*,#184756,.T.); #238944=ORIENTED_EDGE('',*,*,#184758,.F.); #238945=ORIENTED_EDGE('',*,*,#184759,.F.); #238946=ORIENTED_EDGE('',*,*,#184760,.T.); #238947=ORIENTED_EDGE('',*,*,#184759,.T.); #238948=ORIENTED_EDGE('',*,*,#184761,.F.); #238949=ORIENTED_EDGE('',*,*,#184762,.F.); #238950=ORIENTED_EDGE('',*,*,#184763,.T.); #238951=ORIENTED_EDGE('',*,*,#184762,.T.); #238952=ORIENTED_EDGE('',*,*,#184764,.F.); #238953=ORIENTED_EDGE('',*,*,#184765,.F.); #238954=ORIENTED_EDGE('',*,*,#184766,.T.); #238955=ORIENTED_EDGE('',*,*,#184765,.T.); #238956=ORIENTED_EDGE('',*,*,#184767,.F.); #238957=ORIENTED_EDGE('',*,*,#184768,.F.); #238958=ORIENTED_EDGE('',*,*,#184769,.T.); #238959=ORIENTED_EDGE('',*,*,#184768,.T.); #238960=ORIENTED_EDGE('',*,*,#184770,.F.); #238961=ORIENTED_EDGE('',*,*,#184771,.F.); #238962=ORIENTED_EDGE('',*,*,#184772,.T.); #238963=ORIENTED_EDGE('',*,*,#184771,.T.); #238964=ORIENTED_EDGE('',*,*,#184773,.F.); #238965=ORIENTED_EDGE('',*,*,#184774,.F.); #238966=ORIENTED_EDGE('',*,*,#184775,.T.); #238967=ORIENTED_EDGE('',*,*,#184774,.T.); #238968=ORIENTED_EDGE('',*,*,#184776,.F.); #238969=ORIENTED_EDGE('',*,*,#184777,.F.); #238970=ORIENTED_EDGE('',*,*,#184778,.T.); #238971=ORIENTED_EDGE('',*,*,#184777,.T.); #238972=ORIENTED_EDGE('',*,*,#184779,.F.); #238973=ORIENTED_EDGE('',*,*,#184780,.F.); #238974=ORIENTED_EDGE('',*,*,#184781,.T.); #238975=ORIENTED_EDGE('',*,*,#184780,.T.); #238976=ORIENTED_EDGE('',*,*,#184782,.F.); #238977=ORIENTED_EDGE('',*,*,#184783,.F.); #238978=ORIENTED_EDGE('',*,*,#184784,.T.); #238979=ORIENTED_EDGE('',*,*,#184783,.T.); #238980=ORIENTED_EDGE('',*,*,#184785,.F.); #238981=ORIENTED_EDGE('',*,*,#184786,.F.); #238982=ORIENTED_EDGE('',*,*,#184787,.T.); #238983=ORIENTED_EDGE('',*,*,#184786,.T.); #238984=ORIENTED_EDGE('',*,*,#184788,.F.); #238985=ORIENTED_EDGE('',*,*,#184789,.F.); #238986=ORIENTED_EDGE('',*,*,#184790,.T.); #238987=ORIENTED_EDGE('',*,*,#184789,.T.); #238988=ORIENTED_EDGE('',*,*,#184791,.F.); #238989=ORIENTED_EDGE('',*,*,#184792,.F.); #238990=ORIENTED_EDGE('',*,*,#184793,.T.); #238991=ORIENTED_EDGE('',*,*,#184792,.T.); #238992=ORIENTED_EDGE('',*,*,#184794,.F.); #238993=ORIENTED_EDGE('',*,*,#184795,.F.); #238994=ORIENTED_EDGE('',*,*,#184796,.T.); #238995=ORIENTED_EDGE('',*,*,#184795,.T.); #238996=ORIENTED_EDGE('',*,*,#184797,.F.); #238997=ORIENTED_EDGE('',*,*,#184798,.F.); #238998=ORIENTED_EDGE('',*,*,#184799,.T.); #238999=ORIENTED_EDGE('',*,*,#184798,.T.); #239000=ORIENTED_EDGE('',*,*,#184800,.F.); #239001=ORIENTED_EDGE('',*,*,#184801,.F.); #239002=ORIENTED_EDGE('',*,*,#184802,.T.); #239003=ORIENTED_EDGE('',*,*,#184801,.T.); #239004=ORIENTED_EDGE('',*,*,#184803,.F.); #239005=ORIENTED_EDGE('',*,*,#184804,.F.); #239006=ORIENTED_EDGE('',*,*,#184805,.T.); #239007=ORIENTED_EDGE('',*,*,#184804,.T.); #239008=ORIENTED_EDGE('',*,*,#184806,.F.); #239009=ORIENTED_EDGE('',*,*,#184807,.F.); #239010=ORIENTED_EDGE('',*,*,#184808,.T.); #239011=ORIENTED_EDGE('',*,*,#184807,.T.); #239012=ORIENTED_EDGE('',*,*,#184809,.F.); #239013=ORIENTED_EDGE('',*,*,#184810,.F.); #239014=ORIENTED_EDGE('',*,*,#184811,.T.); #239015=ORIENTED_EDGE('',*,*,#184810,.T.); #239016=ORIENTED_EDGE('',*,*,#184812,.F.); #239017=ORIENTED_EDGE('',*,*,#184813,.F.); #239018=ORIENTED_EDGE('',*,*,#184814,.T.); #239019=ORIENTED_EDGE('',*,*,#184813,.T.); #239020=ORIENTED_EDGE('',*,*,#184815,.F.); #239021=ORIENTED_EDGE('',*,*,#184816,.F.); #239022=ORIENTED_EDGE('',*,*,#184817,.T.); #239023=ORIENTED_EDGE('',*,*,#184816,.T.); #239024=ORIENTED_EDGE('',*,*,#184818,.F.); #239025=ORIENTED_EDGE('',*,*,#184819,.F.); #239026=ORIENTED_EDGE('',*,*,#184820,.T.); #239027=ORIENTED_EDGE('',*,*,#184819,.T.); #239028=ORIENTED_EDGE('',*,*,#184821,.F.); #239029=ORIENTED_EDGE('',*,*,#184822,.F.); #239030=ORIENTED_EDGE('',*,*,#184823,.T.); #239031=ORIENTED_EDGE('',*,*,#184822,.T.); #239032=ORIENTED_EDGE('',*,*,#184824,.F.); #239033=ORIENTED_EDGE('',*,*,#184825,.F.); #239034=ORIENTED_EDGE('',*,*,#184826,.T.); #239035=ORIENTED_EDGE('',*,*,#184825,.T.); #239036=ORIENTED_EDGE('',*,*,#184827,.F.); #239037=ORIENTED_EDGE('',*,*,#184828,.F.); #239038=ORIENTED_EDGE('',*,*,#184829,.T.); #239039=ORIENTED_EDGE('',*,*,#184828,.T.); #239040=ORIENTED_EDGE('',*,*,#184830,.F.); #239041=ORIENTED_EDGE('',*,*,#184831,.F.); #239042=ORIENTED_EDGE('',*,*,#184832,.T.); #239043=ORIENTED_EDGE('',*,*,#184831,.T.); #239044=ORIENTED_EDGE('',*,*,#184833,.F.); #239045=ORIENTED_EDGE('',*,*,#184834,.F.); #239046=ORIENTED_EDGE('',*,*,#184835,.T.); #239047=ORIENTED_EDGE('',*,*,#184834,.T.); #239048=ORIENTED_EDGE('',*,*,#184836,.F.); #239049=ORIENTED_EDGE('',*,*,#184837,.F.); #239050=ORIENTED_EDGE('',*,*,#184838,.T.); #239051=ORIENTED_EDGE('',*,*,#184837,.T.); #239052=ORIENTED_EDGE('',*,*,#184839,.F.); #239053=ORIENTED_EDGE('',*,*,#184840,.F.); #239054=ORIENTED_EDGE('',*,*,#184841,.T.); #239055=ORIENTED_EDGE('',*,*,#184840,.T.); #239056=ORIENTED_EDGE('',*,*,#184842,.F.); #239057=ORIENTED_EDGE('',*,*,#184843,.F.); #239058=ORIENTED_EDGE('',*,*,#184844,.T.); #239059=ORIENTED_EDGE('',*,*,#184843,.T.); #239060=ORIENTED_EDGE('',*,*,#184845,.F.); #239061=ORIENTED_EDGE('',*,*,#184846,.F.); #239062=ORIENTED_EDGE('',*,*,#184847,.T.); #239063=ORIENTED_EDGE('',*,*,#184846,.T.); #239064=ORIENTED_EDGE('',*,*,#184848,.F.); #239065=ORIENTED_EDGE('',*,*,#184849,.F.); #239066=ORIENTED_EDGE('',*,*,#184850,.T.); #239067=ORIENTED_EDGE('',*,*,#184849,.T.); #239068=ORIENTED_EDGE('',*,*,#184851,.F.); #239069=ORIENTED_EDGE('',*,*,#184852,.F.); #239070=ORIENTED_EDGE('',*,*,#184853,.T.); #239071=ORIENTED_EDGE('',*,*,#184852,.T.); #239072=ORIENTED_EDGE('',*,*,#184854,.F.); #239073=ORIENTED_EDGE('',*,*,#184855,.F.); #239074=ORIENTED_EDGE('',*,*,#184856,.T.); #239075=ORIENTED_EDGE('',*,*,#184855,.T.); #239076=ORIENTED_EDGE('',*,*,#184857,.F.); #239077=ORIENTED_EDGE('',*,*,#184858,.F.); #239078=ORIENTED_EDGE('',*,*,#184859,.T.); #239079=ORIENTED_EDGE('',*,*,#184858,.T.); #239080=ORIENTED_EDGE('',*,*,#184860,.F.); #239081=ORIENTED_EDGE('',*,*,#184861,.F.); #239082=ORIENTED_EDGE('',*,*,#184862,.T.); #239083=ORIENTED_EDGE('',*,*,#184861,.T.); #239084=ORIENTED_EDGE('',*,*,#184863,.F.); #239085=ORIENTED_EDGE('',*,*,#184864,.F.); #239086=ORIENTED_EDGE('',*,*,#184865,.T.); #239087=ORIENTED_EDGE('',*,*,#184864,.T.); #239088=ORIENTED_EDGE('',*,*,#184866,.F.); #239089=ORIENTED_EDGE('',*,*,#184867,.F.); #239090=ORIENTED_EDGE('',*,*,#184868,.T.); #239091=ORIENTED_EDGE('',*,*,#184867,.T.); #239092=ORIENTED_EDGE('',*,*,#184869,.F.); #239093=ORIENTED_EDGE('',*,*,#184870,.F.); #239094=ORIENTED_EDGE('',*,*,#184871,.T.); #239095=ORIENTED_EDGE('',*,*,#184870,.T.); #239096=ORIENTED_EDGE('',*,*,#184872,.F.); #239097=ORIENTED_EDGE('',*,*,#184873,.F.); #239098=ORIENTED_EDGE('',*,*,#184874,.T.); #239099=ORIENTED_EDGE('',*,*,#184873,.T.); #239100=ORIENTED_EDGE('',*,*,#184875,.F.); #239101=ORIENTED_EDGE('',*,*,#184520,.F.); #239102=ORIENTED_EDGE('',*,*,#184876,.T.); #239103=ORIENTED_EDGE('',*,*,#184877,.T.); #239104=ORIENTED_EDGE('',*,*,#184878,.F.); #239105=ORIENTED_EDGE('',*,*,#184879,.F.); #239106=ORIENTED_EDGE('',*,*,#184880,.T.); #239107=ORIENTED_EDGE('',*,*,#184879,.T.); #239108=ORIENTED_EDGE('',*,*,#184881,.F.); #239109=ORIENTED_EDGE('',*,*,#184882,.F.); #239110=ORIENTED_EDGE('',*,*,#184883,.T.); #239111=ORIENTED_EDGE('',*,*,#184882,.T.); #239112=ORIENTED_EDGE('',*,*,#184884,.F.); #239113=ORIENTED_EDGE('',*,*,#184885,.F.); #239114=ORIENTED_EDGE('',*,*,#184886,.T.); #239115=ORIENTED_EDGE('',*,*,#184885,.T.); #239116=ORIENTED_EDGE('',*,*,#184887,.F.); #239117=ORIENTED_EDGE('',*,*,#184888,.F.); #239118=ORIENTED_EDGE('',*,*,#184889,.T.); #239119=ORIENTED_EDGE('',*,*,#184888,.T.); #239120=ORIENTED_EDGE('',*,*,#184890,.F.); #239121=ORIENTED_EDGE('',*,*,#184891,.F.); #239122=ORIENTED_EDGE('',*,*,#184892,.T.); #239123=ORIENTED_EDGE('',*,*,#184891,.T.); #239124=ORIENTED_EDGE('',*,*,#184893,.F.); #239125=ORIENTED_EDGE('',*,*,#184894,.F.); #239126=ORIENTED_EDGE('',*,*,#184895,.T.); #239127=ORIENTED_EDGE('',*,*,#184894,.T.); #239128=ORIENTED_EDGE('',*,*,#184896,.F.); #239129=ORIENTED_EDGE('',*,*,#184897,.F.); #239130=ORIENTED_EDGE('',*,*,#184898,.T.); #239131=ORIENTED_EDGE('',*,*,#184897,.T.); #239132=ORIENTED_EDGE('',*,*,#184899,.F.); #239133=ORIENTED_EDGE('',*,*,#184877,.F.); #239134=ORIENTED_EDGE('',*,*,#184900,.T.); #239135=ORIENTED_EDGE('',*,*,#184901,.T.); #239136=ORIENTED_EDGE('',*,*,#184902,.F.); #239137=ORIENTED_EDGE('',*,*,#184903,.F.); #239138=ORIENTED_EDGE('',*,*,#184904,.T.); #239139=ORIENTED_EDGE('',*,*,#184903,.T.); #239140=ORIENTED_EDGE('',*,*,#184905,.F.); #239141=ORIENTED_EDGE('',*,*,#184906,.F.); #239142=ORIENTED_EDGE('',*,*,#184907,.T.); #239143=ORIENTED_EDGE('',*,*,#184906,.T.); #239144=ORIENTED_EDGE('',*,*,#184908,.F.); #239145=ORIENTED_EDGE('',*,*,#184909,.F.); #239146=ORIENTED_EDGE('',*,*,#184910,.T.); #239147=ORIENTED_EDGE('',*,*,#184909,.T.); #239148=ORIENTED_EDGE('',*,*,#184911,.F.); #239149=ORIENTED_EDGE('',*,*,#184912,.F.); #239150=ORIENTED_EDGE('',*,*,#184913,.T.); #239151=ORIENTED_EDGE('',*,*,#184912,.T.); #239152=ORIENTED_EDGE('',*,*,#184914,.F.); #239153=ORIENTED_EDGE('',*,*,#184915,.F.); #239154=ORIENTED_EDGE('',*,*,#184916,.T.); #239155=ORIENTED_EDGE('',*,*,#184915,.T.); #239156=ORIENTED_EDGE('',*,*,#184917,.F.); #239157=ORIENTED_EDGE('',*,*,#184918,.F.); #239158=ORIENTED_EDGE('',*,*,#184919,.T.); #239159=ORIENTED_EDGE('',*,*,#184918,.T.); #239160=ORIENTED_EDGE('',*,*,#184920,.F.); #239161=ORIENTED_EDGE('',*,*,#184921,.F.); #239162=ORIENTED_EDGE('',*,*,#184922,.T.); #239163=ORIENTED_EDGE('',*,*,#184921,.T.); #239164=ORIENTED_EDGE('',*,*,#184923,.F.); #239165=ORIENTED_EDGE('',*,*,#184924,.F.); #239166=ORIENTED_EDGE('',*,*,#184925,.T.); #239167=ORIENTED_EDGE('',*,*,#184924,.T.); #239168=ORIENTED_EDGE('',*,*,#184926,.F.); #239169=ORIENTED_EDGE('',*,*,#184927,.F.); #239170=ORIENTED_EDGE('',*,*,#184928,.T.); #239171=ORIENTED_EDGE('',*,*,#184927,.T.); #239172=ORIENTED_EDGE('',*,*,#184929,.F.); #239173=ORIENTED_EDGE('',*,*,#184930,.F.); #239174=ORIENTED_EDGE('',*,*,#184931,.T.); #239175=ORIENTED_EDGE('',*,*,#184930,.T.); #239176=ORIENTED_EDGE('',*,*,#184932,.F.); #239177=ORIENTED_EDGE('',*,*,#184933,.F.); #239178=ORIENTED_EDGE('',*,*,#184934,.T.); #239179=ORIENTED_EDGE('',*,*,#184933,.T.); #239180=ORIENTED_EDGE('',*,*,#184935,.F.); #239181=ORIENTED_EDGE('',*,*,#184936,.F.); #239182=ORIENTED_EDGE('',*,*,#184937,.T.); #239183=ORIENTED_EDGE('',*,*,#184936,.T.); #239184=ORIENTED_EDGE('',*,*,#184938,.F.); #239185=ORIENTED_EDGE('',*,*,#184939,.F.); #239186=ORIENTED_EDGE('',*,*,#184940,.T.); #239187=ORIENTED_EDGE('',*,*,#184939,.T.); #239188=ORIENTED_EDGE('',*,*,#184941,.F.); #239189=ORIENTED_EDGE('',*,*,#184942,.F.); #239190=ORIENTED_EDGE('',*,*,#184943,.T.); #239191=ORIENTED_EDGE('',*,*,#184942,.T.); #239192=ORIENTED_EDGE('',*,*,#184944,.F.); #239193=ORIENTED_EDGE('',*,*,#184945,.F.); #239194=ORIENTED_EDGE('',*,*,#184946,.T.); #239195=ORIENTED_EDGE('',*,*,#184945,.T.); #239196=ORIENTED_EDGE('',*,*,#184947,.F.); #239197=ORIENTED_EDGE('',*,*,#184948,.F.); #239198=ORIENTED_EDGE('',*,*,#184949,.T.); #239199=ORIENTED_EDGE('',*,*,#184948,.T.); #239200=ORIENTED_EDGE('',*,*,#184950,.F.); #239201=ORIENTED_EDGE('',*,*,#184951,.F.); #239202=ORIENTED_EDGE('',*,*,#184952,.T.); #239203=ORIENTED_EDGE('',*,*,#184951,.T.); #239204=ORIENTED_EDGE('',*,*,#184953,.F.); #239205=ORIENTED_EDGE('',*,*,#184954,.F.); #239206=ORIENTED_EDGE('',*,*,#184955,.T.); #239207=ORIENTED_EDGE('',*,*,#184954,.T.); #239208=ORIENTED_EDGE('',*,*,#184956,.F.); #239209=ORIENTED_EDGE('',*,*,#184957,.F.); #239210=ORIENTED_EDGE('',*,*,#184958,.T.); #239211=ORIENTED_EDGE('',*,*,#184957,.T.); #239212=ORIENTED_EDGE('',*,*,#184959,.F.); #239213=ORIENTED_EDGE('',*,*,#184960,.F.); #239214=ORIENTED_EDGE('',*,*,#184961,.T.); #239215=ORIENTED_EDGE('',*,*,#184960,.T.); #239216=ORIENTED_EDGE('',*,*,#184962,.F.); #239217=ORIENTED_EDGE('',*,*,#184963,.F.); #239218=ORIENTED_EDGE('',*,*,#184964,.T.); #239219=ORIENTED_EDGE('',*,*,#184963,.T.); #239220=ORIENTED_EDGE('',*,*,#184965,.F.); #239221=ORIENTED_EDGE('',*,*,#184966,.F.); #239222=ORIENTED_EDGE('',*,*,#184967,.T.); #239223=ORIENTED_EDGE('',*,*,#184966,.T.); #239224=ORIENTED_EDGE('',*,*,#184968,.F.); #239225=ORIENTED_EDGE('',*,*,#184969,.F.); #239226=ORIENTED_EDGE('',*,*,#184970,.T.); #239227=ORIENTED_EDGE('',*,*,#184969,.T.); #239228=ORIENTED_EDGE('',*,*,#184971,.F.); #239229=ORIENTED_EDGE('',*,*,#184901,.F.); #239230=ORIENTED_EDGE('',*,*,#184972,.T.); #239231=ORIENTED_EDGE('',*,*,#184973,.T.); #239232=ORIENTED_EDGE('',*,*,#184974,.F.); #239233=ORIENTED_EDGE('',*,*,#184975,.F.); #239234=ORIENTED_EDGE('',*,*,#184976,.T.); #239235=ORIENTED_EDGE('',*,*,#184975,.T.); #239236=ORIENTED_EDGE('',*,*,#184977,.F.); #239237=ORIENTED_EDGE('',*,*,#184978,.F.); #239238=ORIENTED_EDGE('',*,*,#184979,.T.); #239239=ORIENTED_EDGE('',*,*,#184978,.T.); #239240=ORIENTED_EDGE('',*,*,#184980,.F.); #239241=ORIENTED_EDGE('',*,*,#184981,.F.); #239242=ORIENTED_EDGE('',*,*,#184982,.T.); #239243=ORIENTED_EDGE('',*,*,#184981,.T.); #239244=ORIENTED_EDGE('',*,*,#184983,.F.); #239245=ORIENTED_EDGE('',*,*,#184984,.F.); #239246=ORIENTED_EDGE('',*,*,#184985,.T.); #239247=ORIENTED_EDGE('',*,*,#184984,.T.); #239248=ORIENTED_EDGE('',*,*,#184986,.F.); #239249=ORIENTED_EDGE('',*,*,#184987,.F.); #239250=ORIENTED_EDGE('',*,*,#184988,.T.); #239251=ORIENTED_EDGE('',*,*,#184987,.T.); #239252=ORIENTED_EDGE('',*,*,#184989,.F.); #239253=ORIENTED_EDGE('',*,*,#184990,.F.); #239254=ORIENTED_EDGE('',*,*,#184991,.T.); #239255=ORIENTED_EDGE('',*,*,#184990,.T.); #239256=ORIENTED_EDGE('',*,*,#184992,.F.); #239257=ORIENTED_EDGE('',*,*,#184993,.F.); #239258=ORIENTED_EDGE('',*,*,#184994,.T.); #239259=ORIENTED_EDGE('',*,*,#184993,.T.); #239260=ORIENTED_EDGE('',*,*,#184995,.F.); #239261=ORIENTED_EDGE('',*,*,#184996,.F.); #239262=ORIENTED_EDGE('',*,*,#184997,.T.); #239263=ORIENTED_EDGE('',*,*,#184996,.T.); #239264=ORIENTED_EDGE('',*,*,#184998,.F.); #239265=ORIENTED_EDGE('',*,*,#184999,.F.); #239266=ORIENTED_EDGE('',*,*,#185000,.T.); #239267=ORIENTED_EDGE('',*,*,#184999,.T.); #239268=ORIENTED_EDGE('',*,*,#185001,.F.); #239269=ORIENTED_EDGE('',*,*,#185002,.F.); #239270=ORIENTED_EDGE('',*,*,#185003,.T.); #239271=ORIENTED_EDGE('',*,*,#185002,.T.); #239272=ORIENTED_EDGE('',*,*,#185004,.F.); #239273=ORIENTED_EDGE('',*,*,#185005,.F.); #239274=ORIENTED_EDGE('',*,*,#185006,.T.); #239275=ORIENTED_EDGE('',*,*,#185005,.T.); #239276=ORIENTED_EDGE('',*,*,#185007,.F.); #239277=ORIENTED_EDGE('',*,*,#185008,.F.); #239278=ORIENTED_EDGE('',*,*,#185009,.T.); #239279=ORIENTED_EDGE('',*,*,#185008,.T.); #239280=ORIENTED_EDGE('',*,*,#185010,.F.); #239281=ORIENTED_EDGE('',*,*,#185011,.F.); #239282=ORIENTED_EDGE('',*,*,#185012,.T.); #239283=ORIENTED_EDGE('',*,*,#185011,.T.); #239284=ORIENTED_EDGE('',*,*,#185013,.F.); #239285=ORIENTED_EDGE('',*,*,#185014,.F.); #239286=ORIENTED_EDGE('',*,*,#185015,.T.); #239287=ORIENTED_EDGE('',*,*,#185014,.T.); #239288=ORIENTED_EDGE('',*,*,#185016,.F.); #239289=ORIENTED_EDGE('',*,*,#185017,.F.); #239290=ORIENTED_EDGE('',*,*,#185018,.T.); #239291=ORIENTED_EDGE('',*,*,#185017,.T.); #239292=ORIENTED_EDGE('',*,*,#185019,.F.); #239293=ORIENTED_EDGE('',*,*,#185020,.F.); #239294=ORIENTED_EDGE('',*,*,#185021,.T.); #239295=ORIENTED_EDGE('',*,*,#185020,.T.); #239296=ORIENTED_EDGE('',*,*,#185022,.F.); #239297=ORIENTED_EDGE('',*,*,#185023,.F.); #239298=ORIENTED_EDGE('',*,*,#185024,.T.); #239299=ORIENTED_EDGE('',*,*,#185023,.T.); #239300=ORIENTED_EDGE('',*,*,#185025,.F.); #239301=ORIENTED_EDGE('',*,*,#185026,.F.); #239302=ORIENTED_EDGE('',*,*,#185027,.T.); #239303=ORIENTED_EDGE('',*,*,#185026,.T.); #239304=ORIENTED_EDGE('',*,*,#185028,.F.); #239305=ORIENTED_EDGE('',*,*,#185029,.F.); #239306=ORIENTED_EDGE('',*,*,#185030,.T.); #239307=ORIENTED_EDGE('',*,*,#185029,.T.); #239308=ORIENTED_EDGE('',*,*,#185031,.F.); #239309=ORIENTED_EDGE('',*,*,#185032,.F.); #239310=ORIENTED_EDGE('',*,*,#185033,.T.); #239311=ORIENTED_EDGE('',*,*,#185032,.T.); #239312=ORIENTED_EDGE('',*,*,#185034,.F.); #239313=ORIENTED_EDGE('',*,*,#185035,.F.); #239314=ORIENTED_EDGE('',*,*,#185036,.T.); #239315=ORIENTED_EDGE('',*,*,#185035,.T.); #239316=ORIENTED_EDGE('',*,*,#185037,.F.); #239317=ORIENTED_EDGE('',*,*,#185038,.F.); #239318=ORIENTED_EDGE('',*,*,#185039,.T.); #239319=ORIENTED_EDGE('',*,*,#185038,.T.); #239320=ORIENTED_EDGE('',*,*,#185040,.F.); #239321=ORIENTED_EDGE('',*,*,#185041,.F.); #239322=ORIENTED_EDGE('',*,*,#185042,.T.); #239323=ORIENTED_EDGE('',*,*,#185041,.T.); #239324=ORIENTED_EDGE('',*,*,#185043,.F.); #239325=ORIENTED_EDGE('',*,*,#185044,.F.); #239326=ORIENTED_EDGE('',*,*,#185045,.T.); #239327=ORIENTED_EDGE('',*,*,#185044,.T.); #239328=ORIENTED_EDGE('',*,*,#185046,.F.); #239329=ORIENTED_EDGE('',*,*,#185047,.F.); #239330=ORIENTED_EDGE('',*,*,#185048,.T.); #239331=ORIENTED_EDGE('',*,*,#185047,.T.); #239332=ORIENTED_EDGE('',*,*,#185049,.F.); #239333=ORIENTED_EDGE('',*,*,#185050,.F.); #239334=ORIENTED_EDGE('',*,*,#185051,.T.); #239335=ORIENTED_EDGE('',*,*,#185050,.T.); #239336=ORIENTED_EDGE('',*,*,#185052,.F.); #239337=ORIENTED_EDGE('',*,*,#185053,.F.); #239338=ORIENTED_EDGE('',*,*,#185054,.T.); #239339=ORIENTED_EDGE('',*,*,#185053,.T.); #239340=ORIENTED_EDGE('',*,*,#185055,.F.); #239341=ORIENTED_EDGE('',*,*,#185056,.F.); #239342=ORIENTED_EDGE('',*,*,#185057,.T.); #239343=ORIENTED_EDGE('',*,*,#185056,.T.); #239344=ORIENTED_EDGE('',*,*,#185058,.F.); #239345=ORIENTED_EDGE('',*,*,#185059,.F.); #239346=ORIENTED_EDGE('',*,*,#185060,.T.); #239347=ORIENTED_EDGE('',*,*,#185059,.T.); #239348=ORIENTED_EDGE('',*,*,#185061,.F.); #239349=ORIENTED_EDGE('',*,*,#185062,.F.); #239350=ORIENTED_EDGE('',*,*,#185063,.T.); #239351=ORIENTED_EDGE('',*,*,#185062,.T.); #239352=ORIENTED_EDGE('',*,*,#185064,.F.); #239353=ORIENTED_EDGE('',*,*,#185065,.F.); #239354=ORIENTED_EDGE('',*,*,#185066,.T.); #239355=ORIENTED_EDGE('',*,*,#185065,.T.); #239356=ORIENTED_EDGE('',*,*,#185067,.F.); #239357=ORIENTED_EDGE('',*,*,#185068,.F.); #239358=ORIENTED_EDGE('',*,*,#185069,.T.); #239359=ORIENTED_EDGE('',*,*,#185068,.T.); #239360=ORIENTED_EDGE('',*,*,#185070,.F.); #239361=ORIENTED_EDGE('',*,*,#185071,.F.); #239362=ORIENTED_EDGE('',*,*,#185072,.T.); #239363=ORIENTED_EDGE('',*,*,#185071,.T.); #239364=ORIENTED_EDGE('',*,*,#185073,.F.); #239365=ORIENTED_EDGE('',*,*,#185074,.F.); #239366=ORIENTED_EDGE('',*,*,#185075,.T.); #239367=ORIENTED_EDGE('',*,*,#185074,.T.); #239368=ORIENTED_EDGE('',*,*,#185076,.F.); #239369=ORIENTED_EDGE('',*,*,#185077,.F.); #239370=ORIENTED_EDGE('',*,*,#185078,.T.); #239371=ORIENTED_EDGE('',*,*,#185077,.T.); #239372=ORIENTED_EDGE('',*,*,#185079,.F.); #239373=ORIENTED_EDGE('',*,*,#185080,.F.); #239374=ORIENTED_EDGE('',*,*,#185081,.T.); #239375=ORIENTED_EDGE('',*,*,#185080,.T.); #239376=ORIENTED_EDGE('',*,*,#185082,.F.); #239377=ORIENTED_EDGE('',*,*,#185083,.F.); #239378=ORIENTED_EDGE('',*,*,#185084,.T.); #239379=ORIENTED_EDGE('',*,*,#185083,.T.); #239380=ORIENTED_EDGE('',*,*,#185085,.F.); #239381=ORIENTED_EDGE('',*,*,#185086,.F.); #239382=ORIENTED_EDGE('',*,*,#185087,.T.); #239383=ORIENTED_EDGE('',*,*,#185086,.T.); #239384=ORIENTED_EDGE('',*,*,#185088,.F.); #239385=ORIENTED_EDGE('',*,*,#185089,.F.); #239386=ORIENTED_EDGE('',*,*,#185090,.T.); #239387=ORIENTED_EDGE('',*,*,#185089,.T.); #239388=ORIENTED_EDGE('',*,*,#185091,.F.); #239389=ORIENTED_EDGE('',*,*,#185092,.F.); #239390=ORIENTED_EDGE('',*,*,#185093,.T.); #239391=ORIENTED_EDGE('',*,*,#185092,.T.); #239392=ORIENTED_EDGE('',*,*,#185094,.F.); #239393=ORIENTED_EDGE('',*,*,#185095,.F.); #239394=ORIENTED_EDGE('',*,*,#185096,.T.); #239395=ORIENTED_EDGE('',*,*,#185095,.T.); #239396=ORIENTED_EDGE('',*,*,#185097,.F.); #239397=ORIENTED_EDGE('',*,*,#185098,.F.); #239398=ORIENTED_EDGE('',*,*,#185099,.T.); #239399=ORIENTED_EDGE('',*,*,#185098,.T.); #239400=ORIENTED_EDGE('',*,*,#185100,.F.); #239401=ORIENTED_EDGE('',*,*,#185101,.F.); #239402=ORIENTED_EDGE('',*,*,#185102,.T.); #239403=ORIENTED_EDGE('',*,*,#185101,.T.); #239404=ORIENTED_EDGE('',*,*,#185103,.F.); #239405=ORIENTED_EDGE('',*,*,#185104,.F.); #239406=ORIENTED_EDGE('',*,*,#185105,.T.); #239407=ORIENTED_EDGE('',*,*,#185104,.T.); #239408=ORIENTED_EDGE('',*,*,#185106,.F.); #239409=ORIENTED_EDGE('',*,*,#185107,.F.); #239410=ORIENTED_EDGE('',*,*,#185108,.T.); #239411=ORIENTED_EDGE('',*,*,#185107,.T.); #239412=ORIENTED_EDGE('',*,*,#185109,.F.); #239413=ORIENTED_EDGE('',*,*,#185110,.F.); #239414=ORIENTED_EDGE('',*,*,#185111,.T.); #239415=ORIENTED_EDGE('',*,*,#185110,.T.); #239416=ORIENTED_EDGE('',*,*,#185112,.F.); #239417=ORIENTED_EDGE('',*,*,#185113,.F.); #239418=ORIENTED_EDGE('',*,*,#185114,.T.); #239419=ORIENTED_EDGE('',*,*,#185113,.T.); #239420=ORIENTED_EDGE('',*,*,#185115,.F.); #239421=ORIENTED_EDGE('',*,*,#185116,.F.); #239422=ORIENTED_EDGE('',*,*,#185117,.T.); #239423=ORIENTED_EDGE('',*,*,#185116,.T.); #239424=ORIENTED_EDGE('',*,*,#185118,.F.); #239425=ORIENTED_EDGE('',*,*,#185119,.F.); #239426=ORIENTED_EDGE('',*,*,#185120,.T.); #239427=ORIENTED_EDGE('',*,*,#185119,.T.); #239428=ORIENTED_EDGE('',*,*,#185121,.F.); #239429=ORIENTED_EDGE('',*,*,#185122,.F.); #239430=ORIENTED_EDGE('',*,*,#185123,.T.); #239431=ORIENTED_EDGE('',*,*,#185122,.T.); #239432=ORIENTED_EDGE('',*,*,#185124,.F.); #239433=ORIENTED_EDGE('',*,*,#185125,.F.); #239434=ORIENTED_EDGE('',*,*,#185126,.T.); #239435=ORIENTED_EDGE('',*,*,#185125,.T.); #239436=ORIENTED_EDGE('',*,*,#185127,.F.); #239437=ORIENTED_EDGE('',*,*,#185128,.F.); #239438=ORIENTED_EDGE('',*,*,#185129,.T.); #239439=ORIENTED_EDGE('',*,*,#185128,.T.); #239440=ORIENTED_EDGE('',*,*,#185130,.F.); #239441=ORIENTED_EDGE('',*,*,#185131,.F.); #239442=ORIENTED_EDGE('',*,*,#185132,.T.); #239443=ORIENTED_EDGE('',*,*,#185131,.T.); #239444=ORIENTED_EDGE('',*,*,#185133,.F.); #239445=ORIENTED_EDGE('',*,*,#185134,.F.); #239446=ORIENTED_EDGE('',*,*,#185135,.T.); #239447=ORIENTED_EDGE('',*,*,#185134,.T.); #239448=ORIENTED_EDGE('',*,*,#185136,.F.); #239449=ORIENTED_EDGE('',*,*,#185137,.F.); #239450=ORIENTED_EDGE('',*,*,#185138,.T.); #239451=ORIENTED_EDGE('',*,*,#185137,.T.); #239452=ORIENTED_EDGE('',*,*,#185139,.F.); #239453=ORIENTED_EDGE('',*,*,#185140,.F.); #239454=ORIENTED_EDGE('',*,*,#185141,.T.); #239455=ORIENTED_EDGE('',*,*,#185140,.T.); #239456=ORIENTED_EDGE('',*,*,#185142,.F.); #239457=ORIENTED_EDGE('',*,*,#185143,.F.); #239458=ORIENTED_EDGE('',*,*,#185144,.T.); #239459=ORIENTED_EDGE('',*,*,#185143,.T.); #239460=ORIENTED_EDGE('',*,*,#185145,.F.); #239461=ORIENTED_EDGE('',*,*,#185146,.F.); #239462=ORIENTED_EDGE('',*,*,#185147,.T.); #239463=ORIENTED_EDGE('',*,*,#185146,.T.); #239464=ORIENTED_EDGE('',*,*,#185148,.F.); #239465=ORIENTED_EDGE('',*,*,#185149,.F.); #239466=ORIENTED_EDGE('',*,*,#185150,.T.); #239467=ORIENTED_EDGE('',*,*,#185149,.T.); #239468=ORIENTED_EDGE('',*,*,#185151,.F.); #239469=ORIENTED_EDGE('',*,*,#185152,.F.); #239470=ORIENTED_EDGE('',*,*,#185153,.T.); #239471=ORIENTED_EDGE('',*,*,#185152,.T.); #239472=ORIENTED_EDGE('',*,*,#185154,.F.); #239473=ORIENTED_EDGE('',*,*,#185155,.F.); #239474=ORIENTED_EDGE('',*,*,#185156,.T.); #239475=ORIENTED_EDGE('',*,*,#185155,.T.); #239476=ORIENTED_EDGE('',*,*,#185157,.F.); #239477=ORIENTED_EDGE('',*,*,#185158,.F.); #239478=ORIENTED_EDGE('',*,*,#185159,.T.); #239479=ORIENTED_EDGE('',*,*,#185158,.T.); #239480=ORIENTED_EDGE('',*,*,#185160,.F.); #239481=ORIENTED_EDGE('',*,*,#185161,.F.); #239482=ORIENTED_EDGE('',*,*,#185162,.T.); #239483=ORIENTED_EDGE('',*,*,#185161,.T.); #239484=ORIENTED_EDGE('',*,*,#185163,.F.); #239485=ORIENTED_EDGE('',*,*,#185164,.F.); #239486=ORIENTED_EDGE('',*,*,#185165,.T.); #239487=ORIENTED_EDGE('',*,*,#185164,.T.); #239488=ORIENTED_EDGE('',*,*,#185166,.F.); #239489=ORIENTED_EDGE('',*,*,#185167,.F.); #239490=ORIENTED_EDGE('',*,*,#185168,.T.); #239491=ORIENTED_EDGE('',*,*,#185167,.T.); #239492=ORIENTED_EDGE('',*,*,#185169,.F.); #239493=ORIENTED_EDGE('',*,*,#185170,.F.); #239494=ORIENTED_EDGE('',*,*,#185171,.T.); #239495=ORIENTED_EDGE('',*,*,#185170,.T.); #239496=ORIENTED_EDGE('',*,*,#185172,.F.); #239497=ORIENTED_EDGE('',*,*,#185173,.F.); #239498=ORIENTED_EDGE('',*,*,#185174,.T.); #239499=ORIENTED_EDGE('',*,*,#185173,.T.); #239500=ORIENTED_EDGE('',*,*,#185175,.F.); #239501=ORIENTED_EDGE('',*,*,#185176,.F.); #239502=ORIENTED_EDGE('',*,*,#185177,.T.); #239503=ORIENTED_EDGE('',*,*,#185176,.T.); #239504=ORIENTED_EDGE('',*,*,#185178,.F.); #239505=ORIENTED_EDGE('',*,*,#185179,.F.); #239506=ORIENTED_EDGE('',*,*,#185180,.T.); #239507=ORIENTED_EDGE('',*,*,#185179,.T.); #239508=ORIENTED_EDGE('',*,*,#185181,.F.); #239509=ORIENTED_EDGE('',*,*,#185182,.F.); #239510=ORIENTED_EDGE('',*,*,#185183,.T.); #239511=ORIENTED_EDGE('',*,*,#185182,.T.); #239512=ORIENTED_EDGE('',*,*,#185184,.F.); #239513=ORIENTED_EDGE('',*,*,#185185,.F.); #239514=ORIENTED_EDGE('',*,*,#185186,.T.); #239515=ORIENTED_EDGE('',*,*,#185185,.T.); #239516=ORIENTED_EDGE('',*,*,#185187,.F.); #239517=ORIENTED_EDGE('',*,*,#185188,.F.); #239518=ORIENTED_EDGE('',*,*,#185189,.T.); #239519=ORIENTED_EDGE('',*,*,#185188,.T.); #239520=ORIENTED_EDGE('',*,*,#185190,.F.); #239521=ORIENTED_EDGE('',*,*,#185191,.F.); #239522=ORIENTED_EDGE('',*,*,#185192,.T.); #239523=ORIENTED_EDGE('',*,*,#185191,.T.); #239524=ORIENTED_EDGE('',*,*,#185193,.F.); #239525=ORIENTED_EDGE('',*,*,#185194,.F.); #239526=ORIENTED_EDGE('',*,*,#185195,.T.); #239527=ORIENTED_EDGE('',*,*,#185194,.T.); #239528=ORIENTED_EDGE('',*,*,#185196,.F.); #239529=ORIENTED_EDGE('',*,*,#185197,.F.); #239530=ORIENTED_EDGE('',*,*,#185198,.T.); #239531=ORIENTED_EDGE('',*,*,#185197,.T.); #239532=ORIENTED_EDGE('',*,*,#185199,.F.); #239533=ORIENTED_EDGE('',*,*,#185200,.F.); #239534=ORIENTED_EDGE('',*,*,#185201,.T.); #239535=ORIENTED_EDGE('',*,*,#185200,.T.); #239536=ORIENTED_EDGE('',*,*,#185202,.F.); #239537=ORIENTED_EDGE('',*,*,#185203,.F.); #239538=ORIENTED_EDGE('',*,*,#185204,.T.); #239539=ORIENTED_EDGE('',*,*,#185203,.T.); #239540=ORIENTED_EDGE('',*,*,#185205,.F.); #239541=ORIENTED_EDGE('',*,*,#185206,.F.); #239542=ORIENTED_EDGE('',*,*,#185207,.T.); #239543=ORIENTED_EDGE('',*,*,#185206,.T.); #239544=ORIENTED_EDGE('',*,*,#185208,.F.); #239545=ORIENTED_EDGE('',*,*,#185209,.F.); #239546=ORIENTED_EDGE('',*,*,#185210,.T.); #239547=ORIENTED_EDGE('',*,*,#185209,.T.); #239548=ORIENTED_EDGE('',*,*,#185211,.F.); #239549=ORIENTED_EDGE('',*,*,#185212,.F.); #239550=ORIENTED_EDGE('',*,*,#185213,.T.); #239551=ORIENTED_EDGE('',*,*,#185212,.T.); #239552=ORIENTED_EDGE('',*,*,#185214,.F.); #239553=ORIENTED_EDGE('',*,*,#185215,.F.); #239554=ORIENTED_EDGE('',*,*,#185216,.T.); #239555=ORIENTED_EDGE('',*,*,#185215,.T.); #239556=ORIENTED_EDGE('',*,*,#185217,.F.); #239557=ORIENTED_EDGE('',*,*,#185218,.F.); #239558=ORIENTED_EDGE('',*,*,#185219,.T.); #239559=ORIENTED_EDGE('',*,*,#185218,.T.); #239560=ORIENTED_EDGE('',*,*,#185220,.F.); #239561=ORIENTED_EDGE('',*,*,#185221,.F.); #239562=ORIENTED_EDGE('',*,*,#185222,.T.); #239563=ORIENTED_EDGE('',*,*,#185221,.T.); #239564=ORIENTED_EDGE('',*,*,#185223,.F.); #239565=ORIENTED_EDGE('',*,*,#185224,.F.); #239566=ORIENTED_EDGE('',*,*,#185225,.T.); #239567=ORIENTED_EDGE('',*,*,#185224,.T.); #239568=ORIENTED_EDGE('',*,*,#185226,.F.); #239569=ORIENTED_EDGE('',*,*,#185227,.F.); #239570=ORIENTED_EDGE('',*,*,#185228,.T.); #239571=ORIENTED_EDGE('',*,*,#185227,.T.); #239572=ORIENTED_EDGE('',*,*,#185229,.F.); #239573=ORIENTED_EDGE('',*,*,#185230,.F.); #239574=ORIENTED_EDGE('',*,*,#185231,.T.); #239575=ORIENTED_EDGE('',*,*,#185230,.T.); #239576=ORIENTED_EDGE('',*,*,#185232,.F.); #239577=ORIENTED_EDGE('',*,*,#185233,.F.); #239578=ORIENTED_EDGE('',*,*,#185234,.T.); #239579=ORIENTED_EDGE('',*,*,#185233,.T.); #239580=ORIENTED_EDGE('',*,*,#185235,.F.); #239581=ORIENTED_EDGE('',*,*,#185236,.F.); #239582=ORIENTED_EDGE('',*,*,#185237,.T.); #239583=ORIENTED_EDGE('',*,*,#185236,.T.); #239584=ORIENTED_EDGE('',*,*,#185238,.F.); #239585=ORIENTED_EDGE('',*,*,#185239,.F.); #239586=ORIENTED_EDGE('',*,*,#185240,.T.); #239587=ORIENTED_EDGE('',*,*,#185239,.T.); #239588=ORIENTED_EDGE('',*,*,#185241,.F.); #239589=ORIENTED_EDGE('',*,*,#185242,.F.); #239590=ORIENTED_EDGE('',*,*,#185243,.T.); #239591=ORIENTED_EDGE('',*,*,#185242,.T.); #239592=ORIENTED_EDGE('',*,*,#185244,.F.); #239593=ORIENTED_EDGE('',*,*,#185245,.F.); #239594=ORIENTED_EDGE('',*,*,#185246,.T.); #239595=ORIENTED_EDGE('',*,*,#185245,.T.); #239596=ORIENTED_EDGE('',*,*,#185247,.F.); #239597=ORIENTED_EDGE('',*,*,#185248,.F.); #239598=ORIENTED_EDGE('',*,*,#185249,.T.); #239599=ORIENTED_EDGE('',*,*,#185248,.T.); #239600=ORIENTED_EDGE('',*,*,#185250,.F.); #239601=ORIENTED_EDGE('',*,*,#185251,.F.); #239602=ORIENTED_EDGE('',*,*,#185252,.T.); #239603=ORIENTED_EDGE('',*,*,#185251,.T.); #239604=ORIENTED_EDGE('',*,*,#185253,.F.); #239605=ORIENTED_EDGE('',*,*,#185254,.F.); #239606=ORIENTED_EDGE('',*,*,#185255,.T.); #239607=ORIENTED_EDGE('',*,*,#185254,.T.); #239608=ORIENTED_EDGE('',*,*,#185256,.F.); #239609=ORIENTED_EDGE('',*,*,#185257,.F.); #239610=ORIENTED_EDGE('',*,*,#185258,.T.); #239611=ORIENTED_EDGE('',*,*,#185257,.T.); #239612=ORIENTED_EDGE('',*,*,#185259,.F.); #239613=ORIENTED_EDGE('',*,*,#185260,.F.); #239614=ORIENTED_EDGE('',*,*,#185261,.T.); #239615=ORIENTED_EDGE('',*,*,#185260,.T.); #239616=ORIENTED_EDGE('',*,*,#185262,.F.); #239617=ORIENTED_EDGE('',*,*,#185263,.F.); #239618=ORIENTED_EDGE('',*,*,#185264,.T.); #239619=ORIENTED_EDGE('',*,*,#185263,.T.); #239620=ORIENTED_EDGE('',*,*,#185265,.F.); #239621=ORIENTED_EDGE('',*,*,#185266,.F.); #239622=ORIENTED_EDGE('',*,*,#185267,.T.); #239623=ORIENTED_EDGE('',*,*,#185266,.T.); #239624=ORIENTED_EDGE('',*,*,#185268,.F.); #239625=ORIENTED_EDGE('',*,*,#185269,.F.); #239626=ORIENTED_EDGE('',*,*,#185270,.T.); #239627=ORIENTED_EDGE('',*,*,#185269,.T.); #239628=ORIENTED_EDGE('',*,*,#185271,.F.); #239629=ORIENTED_EDGE('',*,*,#185272,.F.); #239630=ORIENTED_EDGE('',*,*,#185273,.T.); #239631=ORIENTED_EDGE('',*,*,#185272,.T.); #239632=ORIENTED_EDGE('',*,*,#185274,.F.); #239633=ORIENTED_EDGE('',*,*,#185275,.F.); #239634=ORIENTED_EDGE('',*,*,#185276,.T.); #239635=ORIENTED_EDGE('',*,*,#185275,.T.); #239636=ORIENTED_EDGE('',*,*,#185277,.F.); #239637=ORIENTED_EDGE('',*,*,#185278,.F.); #239638=ORIENTED_EDGE('',*,*,#185279,.T.); #239639=ORIENTED_EDGE('',*,*,#185278,.T.); #239640=ORIENTED_EDGE('',*,*,#185280,.F.); #239641=ORIENTED_EDGE('',*,*,#185281,.F.); #239642=ORIENTED_EDGE('',*,*,#185282,.T.); #239643=ORIENTED_EDGE('',*,*,#185281,.T.); #239644=ORIENTED_EDGE('',*,*,#185283,.F.); #239645=ORIENTED_EDGE('',*,*,#185284,.F.); #239646=ORIENTED_EDGE('',*,*,#185285,.T.); #239647=ORIENTED_EDGE('',*,*,#185284,.T.); #239648=ORIENTED_EDGE('',*,*,#185286,.F.); #239649=ORIENTED_EDGE('',*,*,#185287,.F.); #239650=ORIENTED_EDGE('',*,*,#185288,.T.); #239651=ORIENTED_EDGE('',*,*,#185287,.T.); #239652=ORIENTED_EDGE('',*,*,#185289,.F.); #239653=ORIENTED_EDGE('',*,*,#185290,.F.); #239654=ORIENTED_EDGE('',*,*,#185291,.T.); #239655=ORIENTED_EDGE('',*,*,#185290,.T.); #239656=ORIENTED_EDGE('',*,*,#185292,.F.); #239657=ORIENTED_EDGE('',*,*,#185293,.F.); #239658=ORIENTED_EDGE('',*,*,#185294,.T.); #239659=ORIENTED_EDGE('',*,*,#185293,.T.); #239660=ORIENTED_EDGE('',*,*,#185295,.F.); #239661=ORIENTED_EDGE('',*,*,#185296,.F.); #239662=ORIENTED_EDGE('',*,*,#185297,.T.); #239663=ORIENTED_EDGE('',*,*,#185296,.T.); #239664=ORIENTED_EDGE('',*,*,#185298,.F.); #239665=ORIENTED_EDGE('',*,*,#185299,.F.); #239666=ORIENTED_EDGE('',*,*,#185300,.T.); #239667=ORIENTED_EDGE('',*,*,#185299,.T.); #239668=ORIENTED_EDGE('',*,*,#185301,.F.); #239669=ORIENTED_EDGE('',*,*,#185302,.F.); #239670=ORIENTED_EDGE('',*,*,#185303,.T.); #239671=ORIENTED_EDGE('',*,*,#185302,.T.); #239672=ORIENTED_EDGE('',*,*,#185304,.F.); #239673=ORIENTED_EDGE('',*,*,#185305,.F.); #239674=ORIENTED_EDGE('',*,*,#185306,.T.); #239675=ORIENTED_EDGE('',*,*,#185305,.T.); #239676=ORIENTED_EDGE('',*,*,#185307,.F.); #239677=ORIENTED_EDGE('',*,*,#185308,.F.); #239678=ORIENTED_EDGE('',*,*,#185309,.T.); #239679=ORIENTED_EDGE('',*,*,#185308,.T.); #239680=ORIENTED_EDGE('',*,*,#185310,.F.); #239681=ORIENTED_EDGE('',*,*,#185311,.F.); #239682=ORIENTED_EDGE('',*,*,#185312,.T.); #239683=ORIENTED_EDGE('',*,*,#185311,.T.); #239684=ORIENTED_EDGE('',*,*,#185313,.F.); #239685=ORIENTED_EDGE('',*,*,#185314,.F.); #239686=ORIENTED_EDGE('',*,*,#185315,.T.); #239687=ORIENTED_EDGE('',*,*,#185314,.T.); #239688=ORIENTED_EDGE('',*,*,#185316,.F.); #239689=ORIENTED_EDGE('',*,*,#185317,.F.); #239690=ORIENTED_EDGE('',*,*,#185318,.T.); #239691=ORIENTED_EDGE('',*,*,#185317,.T.); #239692=ORIENTED_EDGE('',*,*,#185319,.F.); #239693=ORIENTED_EDGE('',*,*,#185320,.F.); #239694=ORIENTED_EDGE('',*,*,#185321,.T.); #239695=ORIENTED_EDGE('',*,*,#185320,.T.); #239696=ORIENTED_EDGE('',*,*,#185322,.F.); #239697=ORIENTED_EDGE('',*,*,#185323,.F.); #239698=ORIENTED_EDGE('',*,*,#185324,.T.); #239699=ORIENTED_EDGE('',*,*,#185323,.T.); #239700=ORIENTED_EDGE('',*,*,#185325,.F.); #239701=ORIENTED_EDGE('',*,*,#185326,.F.); #239702=ORIENTED_EDGE('',*,*,#185327,.T.); #239703=ORIENTED_EDGE('',*,*,#185326,.T.); #239704=ORIENTED_EDGE('',*,*,#185328,.F.); #239705=ORIENTED_EDGE('',*,*,#185329,.F.); #239706=ORIENTED_EDGE('',*,*,#185330,.T.); #239707=ORIENTED_EDGE('',*,*,#185329,.T.); #239708=ORIENTED_EDGE('',*,*,#185331,.F.); #239709=ORIENTED_EDGE('',*,*,#184973,.F.); #239710=ORIENTED_EDGE('',*,*,#185331,.T.); #239711=ORIENTED_EDGE('',*,*,#185328,.T.); #239712=ORIENTED_EDGE('',*,*,#185325,.T.); #239713=ORIENTED_EDGE('',*,*,#185322,.T.); #239714=ORIENTED_EDGE('',*,*,#185319,.T.); #239715=ORIENTED_EDGE('',*,*,#185316,.T.); #239716=ORIENTED_EDGE('',*,*,#185313,.T.); #239717=ORIENTED_EDGE('',*,*,#185310,.T.); #239718=ORIENTED_EDGE('',*,*,#185307,.T.); #239719=ORIENTED_EDGE('',*,*,#185304,.T.); #239720=ORIENTED_EDGE('',*,*,#185301,.T.); #239721=ORIENTED_EDGE('',*,*,#185298,.T.); #239722=ORIENTED_EDGE('',*,*,#185295,.T.); #239723=ORIENTED_EDGE('',*,*,#185292,.T.); #239724=ORIENTED_EDGE('',*,*,#185289,.T.); #239725=ORIENTED_EDGE('',*,*,#185286,.T.); #239726=ORIENTED_EDGE('',*,*,#185283,.T.); #239727=ORIENTED_EDGE('',*,*,#185280,.T.); #239728=ORIENTED_EDGE('',*,*,#185277,.T.); #239729=ORIENTED_EDGE('',*,*,#185274,.T.); #239730=ORIENTED_EDGE('',*,*,#185271,.T.); #239731=ORIENTED_EDGE('',*,*,#185268,.T.); #239732=ORIENTED_EDGE('',*,*,#185265,.T.); #239733=ORIENTED_EDGE('',*,*,#185262,.T.); #239734=ORIENTED_EDGE('',*,*,#185259,.T.); #239735=ORIENTED_EDGE('',*,*,#185256,.T.); #239736=ORIENTED_EDGE('',*,*,#185253,.T.); #239737=ORIENTED_EDGE('',*,*,#185250,.T.); #239738=ORIENTED_EDGE('',*,*,#185247,.T.); #239739=ORIENTED_EDGE('',*,*,#185244,.T.); #239740=ORIENTED_EDGE('',*,*,#185241,.T.); #239741=ORIENTED_EDGE('',*,*,#185238,.T.); #239742=ORIENTED_EDGE('',*,*,#185235,.T.); #239743=ORIENTED_EDGE('',*,*,#185232,.T.); #239744=ORIENTED_EDGE('',*,*,#185229,.T.); #239745=ORIENTED_EDGE('',*,*,#185226,.T.); #239746=ORIENTED_EDGE('',*,*,#185223,.T.); #239747=ORIENTED_EDGE('',*,*,#185220,.T.); #239748=ORIENTED_EDGE('',*,*,#185217,.T.); #239749=ORIENTED_EDGE('',*,*,#185214,.T.); #239750=ORIENTED_EDGE('',*,*,#185211,.T.); #239751=ORIENTED_EDGE('',*,*,#185208,.T.); #239752=ORIENTED_EDGE('',*,*,#185205,.T.); #239753=ORIENTED_EDGE('',*,*,#185202,.T.); #239754=ORIENTED_EDGE('',*,*,#185199,.T.); #239755=ORIENTED_EDGE('',*,*,#185196,.T.); #239756=ORIENTED_EDGE('',*,*,#185193,.T.); #239757=ORIENTED_EDGE('',*,*,#185190,.T.); #239758=ORIENTED_EDGE('',*,*,#185187,.T.); #239759=ORIENTED_EDGE('',*,*,#185184,.T.); #239760=ORIENTED_EDGE('',*,*,#185181,.T.); #239761=ORIENTED_EDGE('',*,*,#185178,.T.); #239762=ORIENTED_EDGE('',*,*,#185175,.T.); #239763=ORIENTED_EDGE('',*,*,#185172,.T.); #239764=ORIENTED_EDGE('',*,*,#185169,.T.); #239765=ORIENTED_EDGE('',*,*,#185166,.T.); #239766=ORIENTED_EDGE('',*,*,#185163,.T.); #239767=ORIENTED_EDGE('',*,*,#185160,.T.); #239768=ORIENTED_EDGE('',*,*,#185157,.T.); #239769=ORIENTED_EDGE('',*,*,#185154,.T.); #239770=ORIENTED_EDGE('',*,*,#185151,.T.); #239771=ORIENTED_EDGE('',*,*,#185148,.T.); #239772=ORIENTED_EDGE('',*,*,#185145,.T.); #239773=ORIENTED_EDGE('',*,*,#185142,.T.); #239774=ORIENTED_EDGE('',*,*,#185139,.T.); #239775=ORIENTED_EDGE('',*,*,#185136,.T.); #239776=ORIENTED_EDGE('',*,*,#185133,.T.); #239777=ORIENTED_EDGE('',*,*,#185130,.T.); #239778=ORIENTED_EDGE('',*,*,#185127,.T.); #239779=ORIENTED_EDGE('',*,*,#185124,.T.); #239780=ORIENTED_EDGE('',*,*,#185121,.T.); #239781=ORIENTED_EDGE('',*,*,#185118,.T.); #239782=ORIENTED_EDGE('',*,*,#185115,.T.); #239783=ORIENTED_EDGE('',*,*,#185112,.T.); #239784=ORIENTED_EDGE('',*,*,#185109,.T.); #239785=ORIENTED_EDGE('',*,*,#185106,.T.); #239786=ORIENTED_EDGE('',*,*,#185103,.T.); #239787=ORIENTED_EDGE('',*,*,#185100,.T.); #239788=ORIENTED_EDGE('',*,*,#185097,.T.); #239789=ORIENTED_EDGE('',*,*,#185094,.T.); #239790=ORIENTED_EDGE('',*,*,#185091,.T.); #239791=ORIENTED_EDGE('',*,*,#185088,.T.); #239792=ORIENTED_EDGE('',*,*,#185085,.T.); #239793=ORIENTED_EDGE('',*,*,#185082,.T.); #239794=ORIENTED_EDGE('',*,*,#185079,.T.); #239795=ORIENTED_EDGE('',*,*,#185076,.T.); #239796=ORIENTED_EDGE('',*,*,#185073,.T.); #239797=ORIENTED_EDGE('',*,*,#185070,.T.); #239798=ORIENTED_EDGE('',*,*,#185067,.T.); #239799=ORIENTED_EDGE('',*,*,#185064,.T.); #239800=ORIENTED_EDGE('',*,*,#185061,.T.); #239801=ORIENTED_EDGE('',*,*,#185058,.T.); #239802=ORIENTED_EDGE('',*,*,#185055,.T.); #239803=ORIENTED_EDGE('',*,*,#185052,.T.); #239804=ORIENTED_EDGE('',*,*,#185049,.T.); #239805=ORIENTED_EDGE('',*,*,#185046,.T.); #239806=ORIENTED_EDGE('',*,*,#185043,.T.); #239807=ORIENTED_EDGE('',*,*,#185040,.T.); #239808=ORIENTED_EDGE('',*,*,#185037,.T.); #239809=ORIENTED_EDGE('',*,*,#185034,.T.); #239810=ORIENTED_EDGE('',*,*,#185031,.T.); #239811=ORIENTED_EDGE('',*,*,#185028,.T.); #239812=ORIENTED_EDGE('',*,*,#185025,.T.); #239813=ORIENTED_EDGE('',*,*,#185022,.T.); #239814=ORIENTED_EDGE('',*,*,#185019,.T.); #239815=ORIENTED_EDGE('',*,*,#185016,.T.); #239816=ORIENTED_EDGE('',*,*,#185013,.T.); #239817=ORIENTED_EDGE('',*,*,#185010,.T.); #239818=ORIENTED_EDGE('',*,*,#185007,.T.); #239819=ORIENTED_EDGE('',*,*,#185004,.T.); #239820=ORIENTED_EDGE('',*,*,#185001,.T.); #239821=ORIENTED_EDGE('',*,*,#184998,.T.); #239822=ORIENTED_EDGE('',*,*,#184995,.T.); #239823=ORIENTED_EDGE('',*,*,#184992,.T.); #239824=ORIENTED_EDGE('',*,*,#184989,.T.); #239825=ORIENTED_EDGE('',*,*,#184986,.T.); #239826=ORIENTED_EDGE('',*,*,#184983,.T.); #239827=ORIENTED_EDGE('',*,*,#184980,.T.); #239828=ORIENTED_EDGE('',*,*,#184977,.T.); #239829=ORIENTED_EDGE('',*,*,#184974,.T.); #239830=ORIENTED_EDGE('',*,*,#182412,.T.); #239831=ORIENTED_EDGE('',*,*,#182415,.T.); #239832=ORIENTED_EDGE('',*,*,#182418,.T.); #239833=ORIENTED_EDGE('',*,*,#182421,.T.); #239834=ORIENTED_EDGE('',*,*,#182424,.T.); #239835=ORIENTED_EDGE('',*,*,#182427,.T.); #239836=ORIENTED_EDGE('',*,*,#182430,.T.); #239837=ORIENTED_EDGE('',*,*,#182433,.T.); #239838=ORIENTED_EDGE('',*,*,#182436,.T.); #239839=ORIENTED_EDGE('',*,*,#182444,.T.); #239840=ORIENTED_EDGE('',*,*,#182447,.T.); #239841=ORIENTED_EDGE('',*,*,#182437,.T.); #239842=ORIENTED_EDGE('',*,*,#182441,.T.); #239843=ORIENTED_EDGE('',*,*,#182456,.T.); #239844=ORIENTED_EDGE('',*,*,#182459,.T.); #239845=ORIENTED_EDGE('',*,*,#182449,.T.); #239846=ORIENTED_EDGE('',*,*,#182453,.T.); #239847=ORIENTED_EDGE('',*,*,#182463,.T.); #239848=ORIENTED_EDGE('',*,*,#182466,.T.); #239849=ORIENTED_EDGE('',*,*,#182469,.T.); #239850=ORIENTED_EDGE('',*,*,#182472,.T.); #239851=ORIENTED_EDGE('',*,*,#182480,.T.); #239852=ORIENTED_EDGE('',*,*,#182483,.T.); #239853=ORIENTED_EDGE('',*,*,#182473,.T.); #239854=ORIENTED_EDGE('',*,*,#182477,.T.); #239855=ORIENTED_EDGE('',*,*,#182492,.T.); #239856=ORIENTED_EDGE('',*,*,#182495,.T.); #239857=ORIENTED_EDGE('',*,*,#182485,.T.); #239858=ORIENTED_EDGE('',*,*,#182489,.T.); #239859=ORIENTED_EDGE('',*,*,#182499,.T.); #239860=ORIENTED_EDGE('',*,*,#182502,.T.); #239861=ORIENTED_EDGE('',*,*,#182505,.T.); #239862=ORIENTED_EDGE('',*,*,#182508,.T.); #239863=ORIENTED_EDGE('',*,*,#182511,.T.); #239864=ORIENTED_EDGE('',*,*,#182514,.T.); #239865=ORIENTED_EDGE('',*,*,#182517,.T.); #239866=ORIENTED_EDGE('',*,*,#182520,.T.); #239867=ORIENTED_EDGE('',*,*,#182523,.T.); #239868=ORIENTED_EDGE('',*,*,#182526,.T.); #239869=ORIENTED_EDGE('',*,*,#182529,.T.); #239870=ORIENTED_EDGE('',*,*,#182532,.T.); #239871=ORIENTED_EDGE('',*,*,#182535,.T.); #239872=ORIENTED_EDGE('',*,*,#182538,.T.); #239873=ORIENTED_EDGE('',*,*,#182541,.T.); #239874=ORIENTED_EDGE('',*,*,#182544,.T.); #239875=ORIENTED_EDGE('',*,*,#182547,.T.); #239876=ORIENTED_EDGE('',*,*,#182550,.T.); #239877=ORIENTED_EDGE('',*,*,#182553,.T.); #239878=ORIENTED_EDGE('',*,*,#182556,.T.); #239879=ORIENTED_EDGE('',*,*,#182559,.T.); #239880=ORIENTED_EDGE('',*,*,#182562,.T.); #239881=ORIENTED_EDGE('',*,*,#182565,.T.); #239882=ORIENTED_EDGE('',*,*,#182568,.T.); #239883=ORIENTED_EDGE('',*,*,#182571,.T.); #239884=ORIENTED_EDGE('',*,*,#182574,.T.); #239885=ORIENTED_EDGE('',*,*,#182577,.T.); #239886=ORIENTED_EDGE('',*,*,#182580,.T.); #239887=ORIENTED_EDGE('',*,*,#182583,.T.); #239888=ORIENTED_EDGE('',*,*,#182586,.T.); #239889=ORIENTED_EDGE('',*,*,#182589,.T.); #239890=ORIENTED_EDGE('',*,*,#182592,.T.); #239891=ORIENTED_EDGE('',*,*,#182595,.T.); #239892=ORIENTED_EDGE('',*,*,#182598,.T.); #239893=ORIENTED_EDGE('',*,*,#182601,.T.); #239894=ORIENTED_EDGE('',*,*,#182604,.T.); #239895=ORIENTED_EDGE('',*,*,#182607,.T.); #239896=ORIENTED_EDGE('',*,*,#182610,.T.); #239897=ORIENTED_EDGE('',*,*,#182613,.T.); #239898=ORIENTED_EDGE('',*,*,#182616,.T.); #239899=ORIENTED_EDGE('',*,*,#182619,.T.); #239900=ORIENTED_EDGE('',*,*,#182622,.T.); #239901=ORIENTED_EDGE('',*,*,#182625,.T.); #239902=ORIENTED_EDGE('',*,*,#182628,.T.); #239903=ORIENTED_EDGE('',*,*,#182631,.T.); #239904=ORIENTED_EDGE('',*,*,#184971,.T.); #239905=ORIENTED_EDGE('',*,*,#184968,.T.); #239906=ORIENTED_EDGE('',*,*,#184965,.T.); #239907=ORIENTED_EDGE('',*,*,#184962,.T.); #239908=ORIENTED_EDGE('',*,*,#184959,.T.); #239909=ORIENTED_EDGE('',*,*,#184956,.T.); #239910=ORIENTED_EDGE('',*,*,#184953,.T.); #239911=ORIENTED_EDGE('',*,*,#184950,.T.); #239912=ORIENTED_EDGE('',*,*,#184947,.T.); #239913=ORIENTED_EDGE('',*,*,#184944,.T.); #239914=ORIENTED_EDGE('',*,*,#184941,.T.); #239915=ORIENTED_EDGE('',*,*,#184938,.T.); #239916=ORIENTED_EDGE('',*,*,#184935,.T.); #239917=ORIENTED_EDGE('',*,*,#184932,.T.); #239918=ORIENTED_EDGE('',*,*,#184929,.T.); #239919=ORIENTED_EDGE('',*,*,#184926,.T.); #239920=ORIENTED_EDGE('',*,*,#184923,.T.); #239921=ORIENTED_EDGE('',*,*,#184920,.T.); #239922=ORIENTED_EDGE('',*,*,#184917,.T.); #239923=ORIENTED_EDGE('',*,*,#184914,.T.); #239924=ORIENTED_EDGE('',*,*,#184911,.T.); #239925=ORIENTED_EDGE('',*,*,#184908,.T.); #239926=ORIENTED_EDGE('',*,*,#184905,.T.); #239927=ORIENTED_EDGE('',*,*,#184902,.T.); #239928=ORIENTED_EDGE('',*,*,#184899,.T.); #239929=ORIENTED_EDGE('',*,*,#184896,.T.); #239930=ORIENTED_EDGE('',*,*,#184893,.T.); #239931=ORIENTED_EDGE('',*,*,#184890,.T.); #239932=ORIENTED_EDGE('',*,*,#184887,.T.); #239933=ORIENTED_EDGE('',*,*,#184884,.T.); #239934=ORIENTED_EDGE('',*,*,#184881,.T.); #239935=ORIENTED_EDGE('',*,*,#184878,.T.); #239936=ORIENTED_EDGE('',*,*,#184875,.T.); #239937=ORIENTED_EDGE('',*,*,#184872,.T.); #239938=ORIENTED_EDGE('',*,*,#184869,.T.); #239939=ORIENTED_EDGE('',*,*,#184866,.T.); #239940=ORIENTED_EDGE('',*,*,#184863,.T.); #239941=ORIENTED_EDGE('',*,*,#184860,.T.); #239942=ORIENTED_EDGE('',*,*,#184857,.T.); #239943=ORIENTED_EDGE('',*,*,#184854,.T.); #239944=ORIENTED_EDGE('',*,*,#184851,.T.); #239945=ORIENTED_EDGE('',*,*,#184848,.T.); #239946=ORIENTED_EDGE('',*,*,#184845,.T.); #239947=ORIENTED_EDGE('',*,*,#184842,.T.); #239948=ORIENTED_EDGE('',*,*,#184839,.T.); #239949=ORIENTED_EDGE('',*,*,#184836,.T.); #239950=ORIENTED_EDGE('',*,*,#184833,.T.); #239951=ORIENTED_EDGE('',*,*,#184830,.T.); #239952=ORIENTED_EDGE('',*,*,#184827,.T.); #239953=ORIENTED_EDGE('',*,*,#184824,.T.); #239954=ORIENTED_EDGE('',*,*,#184821,.T.); #239955=ORIENTED_EDGE('',*,*,#184818,.T.); #239956=ORIENTED_EDGE('',*,*,#184815,.T.); #239957=ORIENTED_EDGE('',*,*,#184812,.T.); #239958=ORIENTED_EDGE('',*,*,#184809,.T.); #239959=ORIENTED_EDGE('',*,*,#184806,.T.); #239960=ORIENTED_EDGE('',*,*,#184803,.T.); #239961=ORIENTED_EDGE('',*,*,#184800,.T.); #239962=ORIENTED_EDGE('',*,*,#184797,.T.); #239963=ORIENTED_EDGE('',*,*,#184794,.T.); #239964=ORIENTED_EDGE('',*,*,#184791,.T.); #239965=ORIENTED_EDGE('',*,*,#184788,.T.); #239966=ORIENTED_EDGE('',*,*,#184785,.T.); #239967=ORIENTED_EDGE('',*,*,#184782,.T.); #239968=ORIENTED_EDGE('',*,*,#184779,.T.); #239969=ORIENTED_EDGE('',*,*,#184776,.T.); #239970=ORIENTED_EDGE('',*,*,#184773,.T.); #239971=ORIENTED_EDGE('',*,*,#184770,.T.); #239972=ORIENTED_EDGE('',*,*,#184767,.T.); #239973=ORIENTED_EDGE('',*,*,#184764,.T.); #239974=ORIENTED_EDGE('',*,*,#184761,.T.); #239975=ORIENTED_EDGE('',*,*,#184758,.T.); #239976=ORIENTED_EDGE('',*,*,#184755,.T.); #239977=ORIENTED_EDGE('',*,*,#184752,.T.); #239978=ORIENTED_EDGE('',*,*,#184749,.T.); #239979=ORIENTED_EDGE('',*,*,#184746,.T.); #239980=ORIENTED_EDGE('',*,*,#184743,.T.); #239981=ORIENTED_EDGE('',*,*,#184740,.T.); #239982=ORIENTED_EDGE('',*,*,#184737,.T.); #239983=ORIENTED_EDGE('',*,*,#184734,.T.); #239984=ORIENTED_EDGE('',*,*,#184731,.T.); #239985=ORIENTED_EDGE('',*,*,#184728,.T.); #239986=ORIENTED_EDGE('',*,*,#184725,.T.); #239987=ORIENTED_EDGE('',*,*,#184722,.T.); #239988=ORIENTED_EDGE('',*,*,#184719,.T.); #239989=ORIENTED_EDGE('',*,*,#184716,.T.); #239990=ORIENTED_EDGE('',*,*,#184713,.T.); #239991=ORIENTED_EDGE('',*,*,#184710,.T.); #239992=ORIENTED_EDGE('',*,*,#184707,.T.); #239993=ORIENTED_EDGE('',*,*,#184704,.T.); #239994=ORIENTED_EDGE('',*,*,#184701,.T.); #239995=ORIENTED_EDGE('',*,*,#184698,.T.); #239996=ORIENTED_EDGE('',*,*,#184695,.T.); #239997=ORIENTED_EDGE('',*,*,#184692,.T.); #239998=ORIENTED_EDGE('',*,*,#184689,.T.); #239999=ORIENTED_EDGE('',*,*,#184686,.T.); #240000=ORIENTED_EDGE('',*,*,#184683,.T.); #240001=ORIENTED_EDGE('',*,*,#184680,.T.); #240002=ORIENTED_EDGE('',*,*,#184677,.T.); #240003=ORIENTED_EDGE('',*,*,#184674,.T.); #240004=ORIENTED_EDGE('',*,*,#184671,.T.); #240005=ORIENTED_EDGE('',*,*,#184668,.T.); #240006=ORIENTED_EDGE('',*,*,#184665,.T.); #240007=ORIENTED_EDGE('',*,*,#184662,.T.); #240008=ORIENTED_EDGE('',*,*,#184659,.T.); #240009=ORIENTED_EDGE('',*,*,#184656,.T.); #240010=ORIENTED_EDGE('',*,*,#184653,.T.); #240011=ORIENTED_EDGE('',*,*,#184650,.T.); #240012=ORIENTED_EDGE('',*,*,#184647,.T.); #240013=ORIENTED_EDGE('',*,*,#184644,.T.); #240014=ORIENTED_EDGE('',*,*,#184641,.T.); #240015=ORIENTED_EDGE('',*,*,#184638,.T.); #240016=ORIENTED_EDGE('',*,*,#184635,.T.); #240017=ORIENTED_EDGE('',*,*,#184632,.T.); #240018=ORIENTED_EDGE('',*,*,#184629,.T.); #240019=ORIENTED_EDGE('',*,*,#184626,.T.); #240020=ORIENTED_EDGE('',*,*,#184623,.T.); #240021=ORIENTED_EDGE('',*,*,#184620,.T.); #240022=ORIENTED_EDGE('',*,*,#184617,.T.); #240023=ORIENTED_EDGE('',*,*,#184614,.T.); #240024=ORIENTED_EDGE('',*,*,#184611,.T.); #240025=ORIENTED_EDGE('',*,*,#184608,.T.); #240026=ORIENTED_EDGE('',*,*,#184605,.T.); #240027=ORIENTED_EDGE('',*,*,#184602,.T.); #240028=ORIENTED_EDGE('',*,*,#184599,.T.); #240029=ORIENTED_EDGE('',*,*,#184596,.T.); #240030=ORIENTED_EDGE('',*,*,#184593,.T.); #240031=ORIENTED_EDGE('',*,*,#184590,.T.); #240032=ORIENTED_EDGE('',*,*,#184587,.T.); #240033=ORIENTED_EDGE('',*,*,#184584,.T.); #240034=ORIENTED_EDGE('',*,*,#184581,.T.); #240035=ORIENTED_EDGE('',*,*,#184578,.T.); #240036=ORIENTED_EDGE('',*,*,#184575,.T.); #240037=ORIENTED_EDGE('',*,*,#184572,.T.); #240038=ORIENTED_EDGE('',*,*,#184569,.T.); #240039=ORIENTED_EDGE('',*,*,#184566,.T.); #240040=ORIENTED_EDGE('',*,*,#184563,.T.); #240041=ORIENTED_EDGE('',*,*,#184560,.T.); #240042=ORIENTED_EDGE('',*,*,#184557,.T.); #240043=ORIENTED_EDGE('',*,*,#184554,.T.); #240044=ORIENTED_EDGE('',*,*,#184551,.T.); #240045=ORIENTED_EDGE('',*,*,#184548,.T.); #240046=ORIENTED_EDGE('',*,*,#184545,.T.); #240047=ORIENTED_EDGE('',*,*,#184542,.T.); #240048=ORIENTED_EDGE('',*,*,#184539,.T.); #240049=ORIENTED_EDGE('',*,*,#184536,.T.); #240050=ORIENTED_EDGE('',*,*,#184533,.T.); #240051=ORIENTED_EDGE('',*,*,#184530,.T.); #240052=ORIENTED_EDGE('',*,*,#184527,.T.); #240053=ORIENTED_EDGE('',*,*,#184524,.T.); #240054=ORIENTED_EDGE('',*,*,#184521,.T.); #240055=ORIENTED_EDGE('',*,*,#184518,.T.); #240056=ORIENTED_EDGE('',*,*,#184515,.T.); #240057=ORIENTED_EDGE('',*,*,#184512,.T.); #240058=ORIENTED_EDGE('',*,*,#184509,.T.); #240059=ORIENTED_EDGE('',*,*,#184506,.T.); #240060=ORIENTED_EDGE('',*,*,#184503,.T.); #240061=ORIENTED_EDGE('',*,*,#184500,.T.); #240062=ORIENTED_EDGE('',*,*,#184497,.T.); #240063=ORIENTED_EDGE('',*,*,#184494,.T.); #240064=ORIENTED_EDGE('',*,*,#184491,.T.); #240065=ORIENTED_EDGE('',*,*,#184488,.T.); #240066=ORIENTED_EDGE('',*,*,#184485,.T.); #240067=ORIENTED_EDGE('',*,*,#184482,.T.); #240068=ORIENTED_EDGE('',*,*,#184479,.T.); #240069=ORIENTED_EDGE('',*,*,#184476,.T.); #240070=ORIENTED_EDGE('',*,*,#184473,.T.); #240071=ORIENTED_EDGE('',*,*,#184470,.T.); #240072=ORIENTED_EDGE('',*,*,#184467,.T.); #240073=ORIENTED_EDGE('',*,*,#184464,.T.); #240074=ORIENTED_EDGE('',*,*,#184461,.T.); #240075=ORIENTED_EDGE('',*,*,#184458,.T.); #240076=ORIENTED_EDGE('',*,*,#184455,.T.); #240077=ORIENTED_EDGE('',*,*,#184452,.T.); #240078=ORIENTED_EDGE('',*,*,#184449,.T.); #240079=ORIENTED_EDGE('',*,*,#184446,.T.); #240080=ORIENTED_EDGE('',*,*,#184443,.T.); #240081=ORIENTED_EDGE('',*,*,#184440,.T.); #240082=ORIENTED_EDGE('',*,*,#184437,.T.); #240083=ORIENTED_EDGE('',*,*,#184434,.T.); #240084=ORIENTED_EDGE('',*,*,#184431,.T.); #240085=ORIENTED_EDGE('',*,*,#184428,.T.); #240086=ORIENTED_EDGE('',*,*,#184425,.T.); #240087=ORIENTED_EDGE('',*,*,#184422,.T.); #240088=ORIENTED_EDGE('',*,*,#184419,.T.); #240089=ORIENTED_EDGE('',*,*,#184416,.T.); #240090=ORIENTED_EDGE('',*,*,#184413,.T.); #240091=ORIENTED_EDGE('',*,*,#184410,.T.); #240092=ORIENTED_EDGE('',*,*,#184407,.T.); #240093=ORIENTED_EDGE('',*,*,#184404,.T.); #240094=ORIENTED_EDGE('',*,*,#184401,.T.); #240095=ORIENTED_EDGE('',*,*,#184398,.T.); #240096=ORIENTED_EDGE('',*,*,#184395,.T.); #240097=ORIENTED_EDGE('',*,*,#184392,.T.); #240098=ORIENTED_EDGE('',*,*,#184389,.T.); #240099=ORIENTED_EDGE('',*,*,#184386,.T.); #240100=ORIENTED_EDGE('',*,*,#184383,.T.); #240101=ORIENTED_EDGE('',*,*,#184380,.T.); #240102=ORIENTED_EDGE('',*,*,#184377,.T.); #240103=ORIENTED_EDGE('',*,*,#184374,.T.); #240104=ORIENTED_EDGE('',*,*,#184371,.T.); #240105=ORIENTED_EDGE('',*,*,#184368,.T.); #240106=ORIENTED_EDGE('',*,*,#184365,.T.); #240107=ORIENTED_EDGE('',*,*,#184362,.T.); #240108=ORIENTED_EDGE('',*,*,#184359,.T.); #240109=ORIENTED_EDGE('',*,*,#184356,.T.); #240110=ORIENTED_EDGE('',*,*,#184353,.T.); #240111=ORIENTED_EDGE('',*,*,#184350,.T.); #240112=ORIENTED_EDGE('',*,*,#184347,.T.); #240113=ORIENTED_EDGE('',*,*,#184344,.T.); #240114=ORIENTED_EDGE('',*,*,#184341,.T.); #240115=ORIENTED_EDGE('',*,*,#184338,.T.); #240116=ORIENTED_EDGE('',*,*,#184335,.T.); #240117=ORIENTED_EDGE('',*,*,#184332,.T.); #240118=ORIENTED_EDGE('',*,*,#184329,.T.); #240119=ORIENTED_EDGE('',*,*,#184326,.T.); #240120=ORIENTED_EDGE('',*,*,#184323,.T.); #240121=ORIENTED_EDGE('',*,*,#184320,.T.); #240122=ORIENTED_EDGE('',*,*,#184317,.T.); #240123=ORIENTED_EDGE('',*,*,#184314,.T.); #240124=ORIENTED_EDGE('',*,*,#184311,.T.); #240125=ORIENTED_EDGE('',*,*,#184308,.T.); #240126=ORIENTED_EDGE('',*,*,#184305,.T.); #240127=ORIENTED_EDGE('',*,*,#184302,.T.); #240128=ORIENTED_EDGE('',*,*,#184299,.T.); #240129=ORIENTED_EDGE('',*,*,#184296,.T.); #240130=ORIENTED_EDGE('',*,*,#184293,.T.); #240131=ORIENTED_EDGE('',*,*,#184290,.T.); #240132=ORIENTED_EDGE('',*,*,#184287,.T.); #240133=ORIENTED_EDGE('',*,*,#184284,.T.); #240134=ORIENTED_EDGE('',*,*,#184281,.T.); #240135=ORIENTED_EDGE('',*,*,#184278,.T.); #240136=ORIENTED_EDGE('',*,*,#184275,.T.); #240137=ORIENTED_EDGE('',*,*,#184272,.T.); #240138=ORIENTED_EDGE('',*,*,#184269,.T.); #240139=ORIENTED_EDGE('',*,*,#184266,.T.); #240140=ORIENTED_EDGE('',*,*,#184263,.T.); #240141=ORIENTED_EDGE('',*,*,#184260,.T.); #240142=ORIENTED_EDGE('',*,*,#184257,.T.); #240143=ORIENTED_EDGE('',*,*,#184254,.T.); #240144=ORIENTED_EDGE('',*,*,#184251,.T.); #240145=ORIENTED_EDGE('',*,*,#184248,.T.); #240146=ORIENTED_EDGE('',*,*,#184245,.T.); #240147=ORIENTED_EDGE('',*,*,#184242,.T.); #240148=ORIENTED_EDGE('',*,*,#184239,.T.); #240149=ORIENTED_EDGE('',*,*,#184236,.T.); #240150=ORIENTED_EDGE('',*,*,#184233,.T.); #240151=ORIENTED_EDGE('',*,*,#184230,.T.); #240152=ORIENTED_EDGE('',*,*,#184227,.T.); #240153=ORIENTED_EDGE('',*,*,#184224,.T.); #240154=ORIENTED_EDGE('',*,*,#184221,.T.); #240155=ORIENTED_EDGE('',*,*,#184218,.T.); #240156=ORIENTED_EDGE('',*,*,#184215,.T.); #240157=ORIENTED_EDGE('',*,*,#184212,.T.); #240158=ORIENTED_EDGE('',*,*,#184209,.T.); #240159=ORIENTED_EDGE('',*,*,#184206,.T.); #240160=ORIENTED_EDGE('',*,*,#184203,.T.); #240161=ORIENTED_EDGE('',*,*,#184200,.T.); #240162=ORIENTED_EDGE('',*,*,#184197,.T.); #240163=ORIENTED_EDGE('',*,*,#184194,.T.); #240164=ORIENTED_EDGE('',*,*,#184191,.T.); #240165=ORIENTED_EDGE('',*,*,#184188,.T.); #240166=ORIENTED_EDGE('',*,*,#184185,.T.); #240167=ORIENTED_EDGE('',*,*,#184182,.T.); #240168=ORIENTED_EDGE('',*,*,#184179,.T.); #240169=ORIENTED_EDGE('',*,*,#184176,.T.); #240170=ORIENTED_EDGE('',*,*,#184173,.T.); #240171=ORIENTED_EDGE('',*,*,#184170,.T.); #240172=ORIENTED_EDGE('',*,*,#184167,.T.); #240173=ORIENTED_EDGE('',*,*,#184164,.T.); #240174=ORIENTED_EDGE('',*,*,#184161,.T.); #240175=ORIENTED_EDGE('',*,*,#184158,.T.); #240176=ORIENTED_EDGE('',*,*,#184155,.T.); #240177=ORIENTED_EDGE('',*,*,#184152,.T.); #240178=ORIENTED_EDGE('',*,*,#184149,.T.); #240179=ORIENTED_EDGE('',*,*,#184146,.T.); #240180=ORIENTED_EDGE('',*,*,#184143,.T.); #240181=ORIENTED_EDGE('',*,*,#184140,.T.); #240182=ORIENTED_EDGE('',*,*,#184137,.T.); #240183=ORIENTED_EDGE('',*,*,#184134,.T.); #240184=ORIENTED_EDGE('',*,*,#184131,.T.); #240185=ORIENTED_EDGE('',*,*,#184128,.T.); #240186=ORIENTED_EDGE('',*,*,#184125,.T.); #240187=ORIENTED_EDGE('',*,*,#184122,.T.); #240188=ORIENTED_EDGE('',*,*,#184119,.T.); #240189=ORIENTED_EDGE('',*,*,#184116,.T.); #240190=ORIENTED_EDGE('',*,*,#184113,.T.); #240191=ORIENTED_EDGE('',*,*,#184110,.T.); #240192=ORIENTED_EDGE('',*,*,#184107,.T.); #240193=ORIENTED_EDGE('',*,*,#184104,.T.); #240194=ORIENTED_EDGE('',*,*,#184101,.T.); #240195=ORIENTED_EDGE('',*,*,#184098,.T.); #240196=ORIENTED_EDGE('',*,*,#184095,.T.); #240197=ORIENTED_EDGE('',*,*,#184092,.T.); #240198=ORIENTED_EDGE('',*,*,#184089,.T.); #240199=ORIENTED_EDGE('',*,*,#184086,.T.); #240200=ORIENTED_EDGE('',*,*,#184083,.T.); #240201=ORIENTED_EDGE('',*,*,#184080,.T.); #240202=ORIENTED_EDGE('',*,*,#184077,.T.); #240203=ORIENTED_EDGE('',*,*,#184074,.T.); #240204=ORIENTED_EDGE('',*,*,#184071,.T.); #240205=ORIENTED_EDGE('',*,*,#184068,.T.); #240206=ORIENTED_EDGE('',*,*,#184065,.T.); #240207=ORIENTED_EDGE('',*,*,#184062,.T.); #240208=ORIENTED_EDGE('',*,*,#184059,.T.); #240209=ORIENTED_EDGE('',*,*,#184056,.T.); #240210=ORIENTED_EDGE('',*,*,#184053,.T.); #240211=ORIENTED_EDGE('',*,*,#184050,.T.); #240212=ORIENTED_EDGE('',*,*,#184047,.T.); #240213=ORIENTED_EDGE('',*,*,#184044,.T.); #240214=ORIENTED_EDGE('',*,*,#184041,.T.); #240215=ORIENTED_EDGE('',*,*,#184038,.T.); #240216=ORIENTED_EDGE('',*,*,#184035,.T.); #240217=ORIENTED_EDGE('',*,*,#184032,.T.); #240218=ORIENTED_EDGE('',*,*,#184029,.T.); #240219=ORIENTED_EDGE('',*,*,#184026,.T.); #240220=ORIENTED_EDGE('',*,*,#184023,.T.); #240221=ORIENTED_EDGE('',*,*,#184020,.T.); #240222=ORIENTED_EDGE('',*,*,#184017,.T.); #240223=ORIENTED_EDGE('',*,*,#184014,.T.); #240224=ORIENTED_EDGE('',*,*,#184011,.T.); #240225=ORIENTED_EDGE('',*,*,#184008,.T.); #240226=ORIENTED_EDGE('',*,*,#184005,.T.); #240227=ORIENTED_EDGE('',*,*,#184002,.T.); #240228=ORIENTED_EDGE('',*,*,#183999,.T.); #240229=ORIENTED_EDGE('',*,*,#183996,.T.); #240230=ORIENTED_EDGE('',*,*,#183993,.T.); #240231=ORIENTED_EDGE('',*,*,#183990,.T.); #240232=ORIENTED_EDGE('',*,*,#183987,.T.); #240233=ORIENTED_EDGE('',*,*,#183984,.T.); #240234=ORIENTED_EDGE('',*,*,#183981,.T.); #240235=ORIENTED_EDGE('',*,*,#183978,.T.); #240236=ORIENTED_EDGE('',*,*,#183975,.T.); #240237=ORIENTED_EDGE('',*,*,#183972,.T.); #240238=ORIENTED_EDGE('',*,*,#183969,.T.); #240239=ORIENTED_EDGE('',*,*,#183966,.T.); #240240=ORIENTED_EDGE('',*,*,#183963,.T.); #240241=ORIENTED_EDGE('',*,*,#183960,.T.); #240242=ORIENTED_EDGE('',*,*,#183957,.T.); #240243=ORIENTED_EDGE('',*,*,#183954,.T.); #240244=ORIENTED_EDGE('',*,*,#183951,.T.); #240245=ORIENTED_EDGE('',*,*,#183948,.T.); #240246=ORIENTED_EDGE('',*,*,#183945,.T.); #240247=ORIENTED_EDGE('',*,*,#183942,.T.); #240248=ORIENTED_EDGE('',*,*,#183939,.T.); #240249=ORIENTED_EDGE('',*,*,#183936,.T.); #240250=ORIENTED_EDGE('',*,*,#183933,.T.); #240251=ORIENTED_EDGE('',*,*,#183930,.T.); #240252=ORIENTED_EDGE('',*,*,#183927,.T.); #240253=ORIENTED_EDGE('',*,*,#183924,.T.); #240254=ORIENTED_EDGE('',*,*,#183921,.T.); #240255=ORIENTED_EDGE('',*,*,#183918,.T.); #240256=ORIENTED_EDGE('',*,*,#183915,.T.); #240257=ORIENTED_EDGE('',*,*,#183912,.T.); #240258=ORIENTED_EDGE('',*,*,#183909,.T.); #240259=ORIENTED_EDGE('',*,*,#183906,.T.); #240260=ORIENTED_EDGE('',*,*,#183903,.T.); #240261=ORIENTED_EDGE('',*,*,#183900,.T.); #240262=ORIENTED_EDGE('',*,*,#183897,.T.); #240263=ORIENTED_EDGE('',*,*,#183894,.T.); #240264=ORIENTED_EDGE('',*,*,#183891,.T.); #240265=ORIENTED_EDGE('',*,*,#183888,.T.); #240266=ORIENTED_EDGE('',*,*,#183885,.T.); #240267=ORIENTED_EDGE('',*,*,#183882,.T.); #240268=ORIENTED_EDGE('',*,*,#183879,.T.); #240269=ORIENTED_EDGE('',*,*,#183876,.T.); #240270=ORIENTED_EDGE('',*,*,#183873,.T.); #240271=ORIENTED_EDGE('',*,*,#183870,.T.); #240272=ORIENTED_EDGE('',*,*,#183867,.T.); #240273=ORIENTED_EDGE('',*,*,#183864,.T.); #240274=ORIENTED_EDGE('',*,*,#183861,.T.); #240275=ORIENTED_EDGE('',*,*,#183858,.T.); #240276=ORIENTED_EDGE('',*,*,#183855,.T.); #240277=ORIENTED_EDGE('',*,*,#183852,.T.); #240278=ORIENTED_EDGE('',*,*,#183849,.T.); #240279=ORIENTED_EDGE('',*,*,#183846,.T.); #240280=ORIENTED_EDGE('',*,*,#183843,.T.); #240281=ORIENTED_EDGE('',*,*,#183840,.T.); #240282=ORIENTED_EDGE('',*,*,#183837,.T.); #240283=ORIENTED_EDGE('',*,*,#183834,.T.); #240284=ORIENTED_EDGE('',*,*,#183831,.T.); #240285=ORIENTED_EDGE('',*,*,#183828,.T.); #240286=ORIENTED_EDGE('',*,*,#183825,.T.); #240287=ORIENTED_EDGE('',*,*,#183822,.T.); #240288=ORIENTED_EDGE('',*,*,#183819,.T.); #240289=ORIENTED_EDGE('',*,*,#183816,.T.); #240290=ORIENTED_EDGE('',*,*,#183813,.T.); #240291=ORIENTED_EDGE('',*,*,#183810,.T.); #240292=ORIENTED_EDGE('',*,*,#183807,.T.); #240293=ORIENTED_EDGE('',*,*,#183804,.T.); #240294=ORIENTED_EDGE('',*,*,#183801,.T.); #240295=ORIENTED_EDGE('',*,*,#183798,.T.); #240296=ORIENTED_EDGE('',*,*,#183795,.T.); #240297=ORIENTED_EDGE('',*,*,#183792,.T.); #240298=ORIENTED_EDGE('',*,*,#183789,.T.); #240299=ORIENTED_EDGE('',*,*,#183786,.T.); #240300=ORIENTED_EDGE('',*,*,#183783,.T.); #240301=ORIENTED_EDGE('',*,*,#183780,.T.); #240302=ORIENTED_EDGE('',*,*,#183777,.T.); #240303=ORIENTED_EDGE('',*,*,#183774,.T.); #240304=ORIENTED_EDGE('',*,*,#183771,.T.); #240305=ORIENTED_EDGE('',*,*,#183768,.T.); #240306=ORIENTED_EDGE('',*,*,#183765,.T.); #240307=ORIENTED_EDGE('',*,*,#183762,.T.); #240308=ORIENTED_EDGE('',*,*,#183759,.T.); #240309=ORIENTED_EDGE('',*,*,#183756,.T.); #240310=ORIENTED_EDGE('',*,*,#183753,.T.); #240311=ORIENTED_EDGE('',*,*,#183750,.T.); #240312=ORIENTED_EDGE('',*,*,#183747,.T.); #240313=ORIENTED_EDGE('',*,*,#183744,.T.); #240314=ORIENTED_EDGE('',*,*,#183741,.T.); #240315=ORIENTED_EDGE('',*,*,#183738,.T.); #240316=ORIENTED_EDGE('',*,*,#183735,.T.); #240317=ORIENTED_EDGE('',*,*,#183732,.T.); #240318=ORIENTED_EDGE('',*,*,#183729,.T.); #240319=ORIENTED_EDGE('',*,*,#183726,.T.); #240320=ORIENTED_EDGE('',*,*,#183723,.T.); #240321=ORIENTED_EDGE('',*,*,#183720,.T.); #240322=ORIENTED_EDGE('',*,*,#183717,.T.); #240323=ORIENTED_EDGE('',*,*,#183714,.T.); #240324=ORIENTED_EDGE('',*,*,#183711,.T.); #240325=ORIENTED_EDGE('',*,*,#183708,.T.); #240326=ORIENTED_EDGE('',*,*,#183705,.T.); #240327=ORIENTED_EDGE('',*,*,#183702,.T.); #240328=ORIENTED_EDGE('',*,*,#183699,.T.); #240329=ORIENTED_EDGE('',*,*,#183696,.T.); #240330=ORIENTED_EDGE('',*,*,#183693,.T.); #240331=ORIENTED_EDGE('',*,*,#183690,.T.); #240332=ORIENTED_EDGE('',*,*,#183687,.T.); #240333=ORIENTED_EDGE('',*,*,#183684,.T.); #240334=ORIENTED_EDGE('',*,*,#183681,.T.); #240335=ORIENTED_EDGE('',*,*,#183678,.T.); #240336=ORIENTED_EDGE('',*,*,#183675,.T.); #240337=ORIENTED_EDGE('',*,*,#183672,.T.); #240338=ORIENTED_EDGE('',*,*,#183669,.T.); #240339=ORIENTED_EDGE('',*,*,#183666,.T.); #240340=ORIENTED_EDGE('',*,*,#183663,.T.); #240341=ORIENTED_EDGE('',*,*,#183660,.T.); #240342=ORIENTED_EDGE('',*,*,#183657,.T.); #240343=ORIENTED_EDGE('',*,*,#183654,.T.); #240344=ORIENTED_EDGE('',*,*,#183651,.T.); #240345=ORIENTED_EDGE('',*,*,#183648,.T.); #240346=ORIENTED_EDGE('',*,*,#183645,.T.); #240347=ORIENTED_EDGE('',*,*,#183642,.T.); #240348=ORIENTED_EDGE('',*,*,#183639,.T.); #240349=ORIENTED_EDGE('',*,*,#183636,.T.); #240350=ORIENTED_EDGE('',*,*,#183633,.T.); #240351=ORIENTED_EDGE('',*,*,#183630,.T.); #240352=ORIENTED_EDGE('',*,*,#183627,.T.); #240353=ORIENTED_EDGE('',*,*,#183624,.T.); #240354=ORIENTED_EDGE('',*,*,#183621,.T.); #240355=ORIENTED_EDGE('',*,*,#183618,.T.); #240356=ORIENTED_EDGE('',*,*,#183615,.T.); #240357=ORIENTED_EDGE('',*,*,#183612,.T.); #240358=ORIENTED_EDGE('',*,*,#183609,.T.); #240359=ORIENTED_EDGE('',*,*,#183606,.T.); #240360=ORIENTED_EDGE('',*,*,#183603,.T.); #240361=ORIENTED_EDGE('',*,*,#183600,.T.); #240362=ORIENTED_EDGE('',*,*,#183597,.T.); #240363=ORIENTED_EDGE('',*,*,#183594,.T.); #240364=ORIENTED_EDGE('',*,*,#183591,.T.); #240365=ORIENTED_EDGE('',*,*,#183588,.T.); #240366=ORIENTED_EDGE('',*,*,#183585,.T.); #240367=ORIENTED_EDGE('',*,*,#183582,.T.); #240368=ORIENTED_EDGE('',*,*,#183579,.T.); #240369=ORIENTED_EDGE('',*,*,#183576,.T.); #240370=ORIENTED_EDGE('',*,*,#183573,.T.); #240371=ORIENTED_EDGE('',*,*,#183570,.T.); #240372=ORIENTED_EDGE('',*,*,#183567,.T.); #240373=ORIENTED_EDGE('',*,*,#183564,.T.); #240374=ORIENTED_EDGE('',*,*,#183561,.T.); #240375=ORIENTED_EDGE('',*,*,#183558,.T.); #240376=ORIENTED_EDGE('',*,*,#183555,.T.); #240377=ORIENTED_EDGE('',*,*,#183552,.T.); #240378=ORIENTED_EDGE('',*,*,#183549,.T.); #240379=ORIENTED_EDGE('',*,*,#183546,.T.); #240380=ORIENTED_EDGE('',*,*,#183543,.T.); #240381=ORIENTED_EDGE('',*,*,#183540,.T.); #240382=ORIENTED_EDGE('',*,*,#183537,.T.); #240383=ORIENTED_EDGE('',*,*,#183534,.T.); #240384=ORIENTED_EDGE('',*,*,#183531,.T.); #240385=ORIENTED_EDGE('',*,*,#183528,.T.); #240386=ORIENTED_EDGE('',*,*,#183525,.T.); #240387=ORIENTED_EDGE('',*,*,#183522,.T.); #240388=ORIENTED_EDGE('',*,*,#183519,.T.); #240389=ORIENTED_EDGE('',*,*,#183516,.T.); #240390=ORIENTED_EDGE('',*,*,#183513,.T.); #240391=ORIENTED_EDGE('',*,*,#183510,.T.); #240392=ORIENTED_EDGE('',*,*,#183507,.T.); #240393=ORIENTED_EDGE('',*,*,#183504,.T.); #240394=ORIENTED_EDGE('',*,*,#183501,.T.); #240395=ORIENTED_EDGE('',*,*,#183498,.T.); #240396=ORIENTED_EDGE('',*,*,#183495,.T.); #240397=ORIENTED_EDGE('',*,*,#183492,.T.); #240398=ORIENTED_EDGE('',*,*,#183489,.T.); #240399=ORIENTED_EDGE('',*,*,#183486,.T.); #240400=ORIENTED_EDGE('',*,*,#183483,.T.); #240401=ORIENTED_EDGE('',*,*,#183480,.T.); #240402=ORIENTED_EDGE('',*,*,#183477,.T.); #240403=ORIENTED_EDGE('',*,*,#183474,.T.); #240404=ORIENTED_EDGE('',*,*,#183471,.T.); #240405=ORIENTED_EDGE('',*,*,#183468,.T.); #240406=ORIENTED_EDGE('',*,*,#183465,.T.); #240407=ORIENTED_EDGE('',*,*,#183462,.T.); #240408=ORIENTED_EDGE('',*,*,#183459,.T.); #240409=ORIENTED_EDGE('',*,*,#183456,.T.); #240410=ORIENTED_EDGE('',*,*,#183453,.T.); #240411=ORIENTED_EDGE('',*,*,#183450,.T.); #240412=ORIENTED_EDGE('',*,*,#183447,.T.); #240413=ORIENTED_EDGE('',*,*,#183444,.T.); #240414=ORIENTED_EDGE('',*,*,#183441,.T.); #240415=ORIENTED_EDGE('',*,*,#183438,.T.); #240416=ORIENTED_EDGE('',*,*,#183435,.T.); #240417=ORIENTED_EDGE('',*,*,#183432,.T.); #240418=ORIENTED_EDGE('',*,*,#183429,.T.); #240419=ORIENTED_EDGE('',*,*,#183426,.T.); #240420=ORIENTED_EDGE('',*,*,#183423,.T.); #240421=ORIENTED_EDGE('',*,*,#183420,.T.); #240422=ORIENTED_EDGE('',*,*,#183417,.T.); #240423=ORIENTED_EDGE('',*,*,#183414,.T.); #240424=ORIENTED_EDGE('',*,*,#183411,.T.); #240425=ORIENTED_EDGE('',*,*,#183408,.T.); #240426=ORIENTED_EDGE('',*,*,#183405,.T.); #240427=ORIENTED_EDGE('',*,*,#183402,.T.); #240428=ORIENTED_EDGE('',*,*,#183399,.T.); #240429=ORIENTED_EDGE('',*,*,#183396,.T.); #240430=ORIENTED_EDGE('',*,*,#183393,.T.); #240431=ORIENTED_EDGE('',*,*,#183390,.T.); #240432=ORIENTED_EDGE('',*,*,#183387,.T.); #240433=ORIENTED_EDGE('',*,*,#183384,.T.); #240434=ORIENTED_EDGE('',*,*,#183381,.T.); #240435=ORIENTED_EDGE('',*,*,#183378,.T.); #240436=ORIENTED_EDGE('',*,*,#183375,.T.); #240437=ORIENTED_EDGE('',*,*,#183372,.T.); #240438=ORIENTED_EDGE('',*,*,#183369,.T.); #240439=ORIENTED_EDGE('',*,*,#183366,.T.); #240440=ORIENTED_EDGE('',*,*,#183363,.T.); #240441=ORIENTED_EDGE('',*,*,#183360,.T.); #240442=ORIENTED_EDGE('',*,*,#183357,.T.); #240443=ORIENTED_EDGE('',*,*,#183354,.T.); #240444=ORIENTED_EDGE('',*,*,#183351,.T.); #240445=ORIENTED_EDGE('',*,*,#183348,.T.); #240446=ORIENTED_EDGE('',*,*,#183345,.T.); #240447=ORIENTED_EDGE('',*,*,#183342,.T.); #240448=ORIENTED_EDGE('',*,*,#183339,.T.); #240449=ORIENTED_EDGE('',*,*,#183336,.T.); #240450=ORIENTED_EDGE('',*,*,#183333,.T.); #240451=ORIENTED_EDGE('',*,*,#183330,.T.); #240452=ORIENTED_EDGE('',*,*,#183327,.T.); #240453=ORIENTED_EDGE('',*,*,#183324,.T.); #240454=ORIENTED_EDGE('',*,*,#183321,.T.); #240455=ORIENTED_EDGE('',*,*,#183318,.T.); #240456=ORIENTED_EDGE('',*,*,#183315,.T.); #240457=ORIENTED_EDGE('',*,*,#183312,.T.); #240458=ORIENTED_EDGE('',*,*,#183309,.T.); #240459=ORIENTED_EDGE('',*,*,#183306,.T.); #240460=ORIENTED_EDGE('',*,*,#183303,.T.); #240461=ORIENTED_EDGE('',*,*,#183300,.T.); #240462=ORIENTED_EDGE('',*,*,#183297,.T.); #240463=ORIENTED_EDGE('',*,*,#183294,.T.); #240464=ORIENTED_EDGE('',*,*,#183291,.T.); #240465=ORIENTED_EDGE('',*,*,#183288,.T.); #240466=ORIENTED_EDGE('',*,*,#183285,.T.); #240467=ORIENTED_EDGE('',*,*,#183282,.T.); #240468=ORIENTED_EDGE('',*,*,#183279,.T.); #240469=ORIENTED_EDGE('',*,*,#183276,.T.); #240470=ORIENTED_EDGE('',*,*,#183273,.T.); #240471=ORIENTED_EDGE('',*,*,#183270,.T.); #240472=ORIENTED_EDGE('',*,*,#183267,.T.); #240473=ORIENTED_EDGE('',*,*,#183264,.T.); #240474=ORIENTED_EDGE('',*,*,#183261,.T.); #240475=ORIENTED_EDGE('',*,*,#183258,.T.); #240476=ORIENTED_EDGE('',*,*,#183255,.T.); #240477=ORIENTED_EDGE('',*,*,#183252,.T.); #240478=ORIENTED_EDGE('',*,*,#183249,.T.); #240479=ORIENTED_EDGE('',*,*,#183246,.T.); #240480=ORIENTED_EDGE('',*,*,#183243,.T.); #240481=ORIENTED_EDGE('',*,*,#183240,.T.); #240482=ORIENTED_EDGE('',*,*,#183237,.T.); #240483=ORIENTED_EDGE('',*,*,#183234,.T.); #240484=ORIENTED_EDGE('',*,*,#183231,.T.); #240485=ORIENTED_EDGE('',*,*,#183228,.T.); #240486=ORIENTED_EDGE('',*,*,#183225,.T.); #240487=ORIENTED_EDGE('',*,*,#183222,.T.); #240488=ORIENTED_EDGE('',*,*,#183219,.T.); #240489=ORIENTED_EDGE('',*,*,#183216,.T.); #240490=ORIENTED_EDGE('',*,*,#183213,.T.); #240491=ORIENTED_EDGE('',*,*,#183210,.T.); #240492=ORIENTED_EDGE('',*,*,#183207,.T.); #240493=ORIENTED_EDGE('',*,*,#183204,.T.); #240494=ORIENTED_EDGE('',*,*,#183201,.T.); #240495=ORIENTED_EDGE('',*,*,#183198,.T.); #240496=ORIENTED_EDGE('',*,*,#183195,.T.); #240497=ORIENTED_EDGE('',*,*,#183192,.T.); #240498=ORIENTED_EDGE('',*,*,#183189,.T.); #240499=ORIENTED_EDGE('',*,*,#183186,.T.); #240500=ORIENTED_EDGE('',*,*,#183183,.T.); #240501=ORIENTED_EDGE('',*,*,#183180,.T.); #240502=ORIENTED_EDGE('',*,*,#183177,.T.); #240503=ORIENTED_EDGE('',*,*,#183174,.T.); #240504=ORIENTED_EDGE('',*,*,#183171,.T.); #240505=ORIENTED_EDGE('',*,*,#183168,.T.); #240506=ORIENTED_EDGE('',*,*,#183165,.T.); #240507=ORIENTED_EDGE('',*,*,#183162,.T.); #240508=ORIENTED_EDGE('',*,*,#183159,.T.); #240509=ORIENTED_EDGE('',*,*,#183156,.T.); #240510=ORIENTED_EDGE('',*,*,#183153,.T.); #240511=ORIENTED_EDGE('',*,*,#183150,.T.); #240512=ORIENTED_EDGE('',*,*,#183147,.T.); #240513=ORIENTED_EDGE('',*,*,#183144,.T.); #240514=ORIENTED_EDGE('',*,*,#183141,.T.); #240515=ORIENTED_EDGE('',*,*,#183138,.T.); #240516=ORIENTED_EDGE('',*,*,#183135,.T.); #240517=ORIENTED_EDGE('',*,*,#183132,.T.); #240518=ORIENTED_EDGE('',*,*,#183129,.T.); #240519=ORIENTED_EDGE('',*,*,#183126,.T.); #240520=ORIENTED_EDGE('',*,*,#183123,.T.); #240521=ORIENTED_EDGE('',*,*,#183120,.T.); #240522=ORIENTED_EDGE('',*,*,#183117,.T.); #240523=ORIENTED_EDGE('',*,*,#183114,.T.); #240524=ORIENTED_EDGE('',*,*,#183111,.T.); #240525=ORIENTED_EDGE('',*,*,#183108,.T.); #240526=ORIENTED_EDGE('',*,*,#183105,.T.); #240527=ORIENTED_EDGE('',*,*,#183102,.T.); #240528=ORIENTED_EDGE('',*,*,#183099,.T.); #240529=ORIENTED_EDGE('',*,*,#183096,.T.); #240530=ORIENTED_EDGE('',*,*,#183093,.T.); #240531=ORIENTED_EDGE('',*,*,#183090,.T.); #240532=ORIENTED_EDGE('',*,*,#183087,.T.); #240533=ORIENTED_EDGE('',*,*,#183084,.T.); #240534=ORIENTED_EDGE('',*,*,#183081,.T.); #240535=ORIENTED_EDGE('',*,*,#183078,.T.); #240536=ORIENTED_EDGE('',*,*,#183075,.T.); #240537=ORIENTED_EDGE('',*,*,#183072,.T.); #240538=ORIENTED_EDGE('',*,*,#183069,.T.); #240539=ORIENTED_EDGE('',*,*,#183066,.T.); #240540=ORIENTED_EDGE('',*,*,#183063,.T.); #240541=ORIENTED_EDGE('',*,*,#183060,.T.); #240542=ORIENTED_EDGE('',*,*,#183057,.T.); #240543=ORIENTED_EDGE('',*,*,#183054,.T.); #240544=ORIENTED_EDGE('',*,*,#183051,.T.); #240545=ORIENTED_EDGE('',*,*,#183048,.T.); #240546=ORIENTED_EDGE('',*,*,#183045,.T.); #240547=ORIENTED_EDGE('',*,*,#183042,.T.); #240548=ORIENTED_EDGE('',*,*,#183039,.T.); #240549=ORIENTED_EDGE('',*,*,#183036,.T.); #240550=ORIENTED_EDGE('',*,*,#183033,.T.); #240551=ORIENTED_EDGE('',*,*,#183030,.T.); #240552=ORIENTED_EDGE('',*,*,#183027,.T.); #240553=ORIENTED_EDGE('',*,*,#183024,.T.); #240554=ORIENTED_EDGE('',*,*,#183021,.T.); #240555=ORIENTED_EDGE('',*,*,#183018,.T.); #240556=ORIENTED_EDGE('',*,*,#183015,.T.); #240557=ORIENTED_EDGE('',*,*,#183012,.T.); #240558=ORIENTED_EDGE('',*,*,#183009,.T.); #240559=ORIENTED_EDGE('',*,*,#183006,.T.); #240560=ORIENTED_EDGE('',*,*,#183003,.T.); #240561=ORIENTED_EDGE('',*,*,#183000,.T.); #240562=ORIENTED_EDGE('',*,*,#182997,.T.); #240563=ORIENTED_EDGE('',*,*,#182994,.T.); #240564=ORIENTED_EDGE('',*,*,#182991,.T.); #240565=ORIENTED_EDGE('',*,*,#182988,.T.); #240566=ORIENTED_EDGE('',*,*,#182985,.T.); #240567=ORIENTED_EDGE('',*,*,#182982,.T.); #240568=ORIENTED_EDGE('',*,*,#182979,.T.); #240569=ORIENTED_EDGE('',*,*,#182976,.T.); #240570=ORIENTED_EDGE('',*,*,#182973,.T.); #240571=ORIENTED_EDGE('',*,*,#182970,.T.); #240572=ORIENTED_EDGE('',*,*,#182967,.T.); #240573=ORIENTED_EDGE('',*,*,#182964,.T.); #240574=ORIENTED_EDGE('',*,*,#182961,.T.); #240575=ORIENTED_EDGE('',*,*,#182958,.T.); #240576=ORIENTED_EDGE('',*,*,#182955,.T.); #240577=ORIENTED_EDGE('',*,*,#182952,.T.); #240578=ORIENTED_EDGE('',*,*,#182949,.T.); #240579=ORIENTED_EDGE('',*,*,#182946,.T.); #240580=ORIENTED_EDGE('',*,*,#182943,.T.); #240581=ORIENTED_EDGE('',*,*,#182940,.T.); #240582=ORIENTED_EDGE('',*,*,#182937,.T.); #240583=ORIENTED_EDGE('',*,*,#182934,.T.); #240584=ORIENTED_EDGE('',*,*,#182931,.T.); #240585=ORIENTED_EDGE('',*,*,#182928,.T.); #240586=ORIENTED_EDGE('',*,*,#182925,.T.); #240587=ORIENTED_EDGE('',*,*,#182922,.T.); #240588=ORIENTED_EDGE('',*,*,#182919,.T.); #240589=ORIENTED_EDGE('',*,*,#182916,.T.); #240590=ORIENTED_EDGE('',*,*,#182913,.T.); #240591=ORIENTED_EDGE('',*,*,#182910,.T.); #240592=ORIENTED_EDGE('',*,*,#182907,.T.); #240593=ORIENTED_EDGE('',*,*,#182904,.T.); #240594=ORIENTED_EDGE('',*,*,#182901,.T.); #240595=ORIENTED_EDGE('',*,*,#182898,.T.); #240596=ORIENTED_EDGE('',*,*,#182895,.T.); #240597=ORIENTED_EDGE('',*,*,#182892,.T.); #240598=ORIENTED_EDGE('',*,*,#182889,.T.); #240599=ORIENTED_EDGE('',*,*,#182886,.T.); #240600=ORIENTED_EDGE('',*,*,#182883,.T.); #240601=ORIENTED_EDGE('',*,*,#182880,.T.); #240602=ORIENTED_EDGE('',*,*,#182877,.T.); #240603=ORIENTED_EDGE('',*,*,#182874,.T.); #240604=ORIENTED_EDGE('',*,*,#182871,.T.); #240605=ORIENTED_EDGE('',*,*,#182868,.T.); #240606=ORIENTED_EDGE('',*,*,#182865,.T.); #240607=ORIENTED_EDGE('',*,*,#182862,.T.); #240608=ORIENTED_EDGE('',*,*,#182859,.T.); #240609=ORIENTED_EDGE('',*,*,#182856,.T.); #240610=ORIENTED_EDGE('',*,*,#182853,.T.); #240611=ORIENTED_EDGE('',*,*,#182850,.T.); #240612=ORIENTED_EDGE('',*,*,#182847,.T.); #240613=ORIENTED_EDGE('',*,*,#182844,.T.); #240614=ORIENTED_EDGE('',*,*,#182841,.T.); #240615=ORIENTED_EDGE('',*,*,#182838,.T.); #240616=ORIENTED_EDGE('',*,*,#182835,.T.); #240617=ORIENTED_EDGE('',*,*,#182832,.T.); #240618=ORIENTED_EDGE('',*,*,#182829,.T.); #240619=ORIENTED_EDGE('',*,*,#182826,.T.); #240620=ORIENTED_EDGE('',*,*,#182823,.T.); #240621=ORIENTED_EDGE('',*,*,#182820,.T.); #240622=ORIENTED_EDGE('',*,*,#182817,.T.); #240623=ORIENTED_EDGE('',*,*,#182814,.T.); #240624=ORIENTED_EDGE('',*,*,#182811,.T.); #240625=ORIENTED_EDGE('',*,*,#182808,.T.); #240626=ORIENTED_EDGE('',*,*,#182805,.T.); #240627=ORIENTED_EDGE('',*,*,#182802,.T.); #240628=ORIENTED_EDGE('',*,*,#182799,.T.); #240629=ORIENTED_EDGE('',*,*,#182796,.T.); #240630=ORIENTED_EDGE('',*,*,#182793,.T.); #240631=ORIENTED_EDGE('',*,*,#182790,.T.); #240632=ORIENTED_EDGE('',*,*,#182787,.T.); #240633=ORIENTED_EDGE('',*,*,#182784,.T.); #240634=ORIENTED_EDGE('',*,*,#182781,.T.); #240635=ORIENTED_EDGE('',*,*,#182778,.T.); #240636=ORIENTED_EDGE('',*,*,#182775,.T.); #240637=ORIENTED_EDGE('',*,*,#182772,.T.); #240638=ORIENTED_EDGE('',*,*,#182769,.T.); #240639=ORIENTED_EDGE('',*,*,#182766,.T.); #240640=ORIENTED_EDGE('',*,*,#182763,.T.); #240641=ORIENTED_EDGE('',*,*,#182760,.T.); #240642=ORIENTED_EDGE('',*,*,#182757,.T.); #240643=ORIENTED_EDGE('',*,*,#182754,.T.); #240644=ORIENTED_EDGE('',*,*,#182751,.T.); #240645=ORIENTED_EDGE('',*,*,#182748,.T.); #240646=ORIENTED_EDGE('',*,*,#182745,.T.); #240647=ORIENTED_EDGE('',*,*,#182742,.T.); #240648=ORIENTED_EDGE('',*,*,#182739,.T.); #240649=ORIENTED_EDGE('',*,*,#182736,.T.); #240650=ORIENTED_EDGE('',*,*,#182733,.T.); #240651=ORIENTED_EDGE('',*,*,#182730,.T.); #240652=ORIENTED_EDGE('',*,*,#182727,.T.); #240653=ORIENTED_EDGE('',*,*,#182724,.T.); #240654=ORIENTED_EDGE('',*,*,#182721,.T.); #240655=ORIENTED_EDGE('',*,*,#182718,.T.); #240656=ORIENTED_EDGE('',*,*,#182715,.T.); #240657=ORIENTED_EDGE('',*,*,#182712,.T.); #240658=ORIENTED_EDGE('',*,*,#182709,.T.); #240659=ORIENTED_EDGE('',*,*,#182706,.T.); #240660=ORIENTED_EDGE('',*,*,#182703,.T.); #240661=ORIENTED_EDGE('',*,*,#182700,.T.); #240662=ORIENTED_EDGE('',*,*,#182697,.T.); #240663=ORIENTED_EDGE('',*,*,#182694,.T.); #240664=ORIENTED_EDGE('',*,*,#182691,.T.); #240665=ORIENTED_EDGE('',*,*,#182688,.T.); #240666=ORIENTED_EDGE('',*,*,#182685,.T.); #240667=ORIENTED_EDGE('',*,*,#182682,.T.); #240668=ORIENTED_EDGE('',*,*,#182679,.T.); #240669=ORIENTED_EDGE('',*,*,#182676,.T.); #240670=ORIENTED_EDGE('',*,*,#182673,.T.); #240671=ORIENTED_EDGE('',*,*,#182670,.T.); #240672=ORIENTED_EDGE('',*,*,#182667,.T.); #240673=ORIENTED_EDGE('',*,*,#182664,.T.); #240674=ORIENTED_EDGE('',*,*,#182661,.T.); #240675=ORIENTED_EDGE('',*,*,#182658,.T.); #240676=ORIENTED_EDGE('',*,*,#182655,.T.); #240677=ORIENTED_EDGE('',*,*,#182652,.T.); #240678=ORIENTED_EDGE('',*,*,#182649,.T.); #240679=ORIENTED_EDGE('',*,*,#182646,.T.); #240680=ORIENTED_EDGE('',*,*,#182643,.T.); #240681=ORIENTED_EDGE('',*,*,#182640,.T.); #240682=ORIENTED_EDGE('',*,*,#182637,.T.); #240683=ORIENTED_EDGE('',*,*,#182634,.T.); #240684=ORIENTED_EDGE('',*,*,#185330,.F.); #240685=ORIENTED_EDGE('',*,*,#184972,.F.); #240686=ORIENTED_EDGE('',*,*,#184976,.F.); #240687=ORIENTED_EDGE('',*,*,#184979,.F.); #240688=ORIENTED_EDGE('',*,*,#184982,.F.); #240689=ORIENTED_EDGE('',*,*,#184985,.F.); #240690=ORIENTED_EDGE('',*,*,#184988,.F.); #240691=ORIENTED_EDGE('',*,*,#184991,.F.); #240692=ORIENTED_EDGE('',*,*,#184994,.F.); #240693=ORIENTED_EDGE('',*,*,#184997,.F.); #240694=ORIENTED_EDGE('',*,*,#185000,.F.); #240695=ORIENTED_EDGE('',*,*,#185003,.F.); #240696=ORIENTED_EDGE('',*,*,#185006,.F.); #240697=ORIENTED_EDGE('',*,*,#185009,.F.); #240698=ORIENTED_EDGE('',*,*,#185012,.F.); #240699=ORIENTED_EDGE('',*,*,#185015,.F.); #240700=ORIENTED_EDGE('',*,*,#185018,.F.); #240701=ORIENTED_EDGE('',*,*,#185021,.F.); #240702=ORIENTED_EDGE('',*,*,#185024,.F.); #240703=ORIENTED_EDGE('',*,*,#185027,.F.); #240704=ORIENTED_EDGE('',*,*,#185030,.F.); #240705=ORIENTED_EDGE('',*,*,#185033,.F.); #240706=ORIENTED_EDGE('',*,*,#185036,.F.); #240707=ORIENTED_EDGE('',*,*,#185039,.F.); #240708=ORIENTED_EDGE('',*,*,#185042,.F.); #240709=ORIENTED_EDGE('',*,*,#185045,.F.); #240710=ORIENTED_EDGE('',*,*,#185048,.F.); #240711=ORIENTED_EDGE('',*,*,#185051,.F.); #240712=ORIENTED_EDGE('',*,*,#185054,.F.); #240713=ORIENTED_EDGE('',*,*,#185057,.F.); #240714=ORIENTED_EDGE('',*,*,#185060,.F.); #240715=ORIENTED_EDGE('',*,*,#185063,.F.); #240716=ORIENTED_EDGE('',*,*,#185066,.F.); #240717=ORIENTED_EDGE('',*,*,#185069,.F.); #240718=ORIENTED_EDGE('',*,*,#185072,.F.); #240719=ORIENTED_EDGE('',*,*,#185075,.F.); #240720=ORIENTED_EDGE('',*,*,#185078,.F.); #240721=ORIENTED_EDGE('',*,*,#185081,.F.); #240722=ORIENTED_EDGE('',*,*,#185084,.F.); #240723=ORIENTED_EDGE('',*,*,#185087,.F.); #240724=ORIENTED_EDGE('',*,*,#185090,.F.); #240725=ORIENTED_EDGE('',*,*,#185093,.F.); #240726=ORIENTED_EDGE('',*,*,#185096,.F.); #240727=ORIENTED_EDGE('',*,*,#185099,.F.); #240728=ORIENTED_EDGE('',*,*,#185102,.F.); #240729=ORIENTED_EDGE('',*,*,#185105,.F.); #240730=ORIENTED_EDGE('',*,*,#185108,.F.); #240731=ORIENTED_EDGE('',*,*,#185111,.F.); #240732=ORIENTED_EDGE('',*,*,#185114,.F.); #240733=ORIENTED_EDGE('',*,*,#185117,.F.); #240734=ORIENTED_EDGE('',*,*,#185120,.F.); #240735=ORIENTED_EDGE('',*,*,#185123,.F.); #240736=ORIENTED_EDGE('',*,*,#185126,.F.); #240737=ORIENTED_EDGE('',*,*,#185129,.F.); #240738=ORIENTED_EDGE('',*,*,#185132,.F.); #240739=ORIENTED_EDGE('',*,*,#185135,.F.); #240740=ORIENTED_EDGE('',*,*,#185138,.F.); #240741=ORIENTED_EDGE('',*,*,#185141,.F.); #240742=ORIENTED_EDGE('',*,*,#185144,.F.); #240743=ORIENTED_EDGE('',*,*,#185147,.F.); #240744=ORIENTED_EDGE('',*,*,#185150,.F.); #240745=ORIENTED_EDGE('',*,*,#185153,.F.); #240746=ORIENTED_EDGE('',*,*,#185156,.F.); #240747=ORIENTED_EDGE('',*,*,#185159,.F.); #240748=ORIENTED_EDGE('',*,*,#185162,.F.); #240749=ORIENTED_EDGE('',*,*,#185165,.F.); #240750=ORIENTED_EDGE('',*,*,#185168,.F.); #240751=ORIENTED_EDGE('',*,*,#185171,.F.); #240752=ORIENTED_EDGE('',*,*,#185174,.F.); #240753=ORIENTED_EDGE('',*,*,#185177,.F.); #240754=ORIENTED_EDGE('',*,*,#185180,.F.); #240755=ORIENTED_EDGE('',*,*,#185183,.F.); #240756=ORIENTED_EDGE('',*,*,#185186,.F.); #240757=ORIENTED_EDGE('',*,*,#185189,.F.); #240758=ORIENTED_EDGE('',*,*,#185192,.F.); #240759=ORIENTED_EDGE('',*,*,#185195,.F.); #240760=ORIENTED_EDGE('',*,*,#185198,.F.); #240761=ORIENTED_EDGE('',*,*,#185201,.F.); #240762=ORIENTED_EDGE('',*,*,#185204,.F.); #240763=ORIENTED_EDGE('',*,*,#185207,.F.); #240764=ORIENTED_EDGE('',*,*,#185210,.F.); #240765=ORIENTED_EDGE('',*,*,#185213,.F.); #240766=ORIENTED_EDGE('',*,*,#185216,.F.); #240767=ORIENTED_EDGE('',*,*,#185219,.F.); #240768=ORIENTED_EDGE('',*,*,#185222,.F.); #240769=ORIENTED_EDGE('',*,*,#185225,.F.); #240770=ORIENTED_EDGE('',*,*,#185228,.F.); #240771=ORIENTED_EDGE('',*,*,#185231,.F.); #240772=ORIENTED_EDGE('',*,*,#185234,.F.); #240773=ORIENTED_EDGE('',*,*,#185237,.F.); #240774=ORIENTED_EDGE('',*,*,#185240,.F.); #240775=ORIENTED_EDGE('',*,*,#185243,.F.); #240776=ORIENTED_EDGE('',*,*,#185246,.F.); #240777=ORIENTED_EDGE('',*,*,#185249,.F.); #240778=ORIENTED_EDGE('',*,*,#185252,.F.); #240779=ORIENTED_EDGE('',*,*,#185255,.F.); #240780=ORIENTED_EDGE('',*,*,#185258,.F.); #240781=ORIENTED_EDGE('',*,*,#185261,.F.); #240782=ORIENTED_EDGE('',*,*,#185264,.F.); #240783=ORIENTED_EDGE('',*,*,#185267,.F.); #240784=ORIENTED_EDGE('',*,*,#185270,.F.); #240785=ORIENTED_EDGE('',*,*,#185273,.F.); #240786=ORIENTED_EDGE('',*,*,#185276,.F.); #240787=ORIENTED_EDGE('',*,*,#185279,.F.); #240788=ORIENTED_EDGE('',*,*,#185282,.F.); #240789=ORIENTED_EDGE('',*,*,#185285,.F.); #240790=ORIENTED_EDGE('',*,*,#185288,.F.); #240791=ORIENTED_EDGE('',*,*,#185291,.F.); #240792=ORIENTED_EDGE('',*,*,#185294,.F.); #240793=ORIENTED_EDGE('',*,*,#185297,.F.); #240794=ORIENTED_EDGE('',*,*,#185300,.F.); #240795=ORIENTED_EDGE('',*,*,#185303,.F.); #240796=ORIENTED_EDGE('',*,*,#185306,.F.); #240797=ORIENTED_EDGE('',*,*,#185309,.F.); #240798=ORIENTED_EDGE('',*,*,#185312,.F.); #240799=ORIENTED_EDGE('',*,*,#185315,.F.); #240800=ORIENTED_EDGE('',*,*,#185318,.F.); #240801=ORIENTED_EDGE('',*,*,#185321,.F.); #240802=ORIENTED_EDGE('',*,*,#185324,.F.); #240803=ORIENTED_EDGE('',*,*,#185327,.F.); #240804=ORIENTED_EDGE('',*,*,#182410,.T.); #240805=ORIENTED_EDGE('',*,*,#182413,.T.); #240806=ORIENTED_EDGE('',*,*,#182416,.T.); #240807=ORIENTED_EDGE('',*,*,#182419,.T.); #240808=ORIENTED_EDGE('',*,*,#182422,.T.); #240809=ORIENTED_EDGE('',*,*,#182425,.T.); #240810=ORIENTED_EDGE('',*,*,#182428,.T.); #240811=ORIENTED_EDGE('',*,*,#182431,.T.); #240812=ORIENTED_EDGE('',*,*,#182434,.T.); #240813=ORIENTED_EDGE('',*,*,#182445,.T.); #240814=ORIENTED_EDGE('',*,*,#182442,.T.); #240815=ORIENTED_EDGE('',*,*,#182439,.T.); #240816=ORIENTED_EDGE('',*,*,#182448,.T.); #240817=ORIENTED_EDGE('',*,*,#182457,.T.); #240818=ORIENTED_EDGE('',*,*,#182454,.T.); #240819=ORIENTED_EDGE('',*,*,#182451,.T.); #240820=ORIENTED_EDGE('',*,*,#182460,.T.); #240821=ORIENTED_EDGE('',*,*,#182461,.T.); #240822=ORIENTED_EDGE('',*,*,#182464,.T.); #240823=ORIENTED_EDGE('',*,*,#182467,.T.); #240824=ORIENTED_EDGE('',*,*,#182470,.T.); #240825=ORIENTED_EDGE('',*,*,#182481,.T.); #240826=ORIENTED_EDGE('',*,*,#182478,.T.); #240827=ORIENTED_EDGE('',*,*,#182475,.T.); #240828=ORIENTED_EDGE('',*,*,#182484,.T.); #240829=ORIENTED_EDGE('',*,*,#182493,.T.); #240830=ORIENTED_EDGE('',*,*,#182490,.T.); #240831=ORIENTED_EDGE('',*,*,#182487,.T.); #240832=ORIENTED_EDGE('',*,*,#182496,.T.); #240833=ORIENTED_EDGE('',*,*,#182497,.T.); #240834=ORIENTED_EDGE('',*,*,#182500,.T.); #240835=ORIENTED_EDGE('',*,*,#182503,.T.); #240836=ORIENTED_EDGE('',*,*,#182506,.T.); #240837=ORIENTED_EDGE('',*,*,#182509,.T.); #240838=ORIENTED_EDGE('',*,*,#182512,.T.); #240839=ORIENTED_EDGE('',*,*,#182515,.T.); #240840=ORIENTED_EDGE('',*,*,#182518,.T.); #240841=ORIENTED_EDGE('',*,*,#182521,.T.); #240842=ORIENTED_EDGE('',*,*,#182524,.T.); #240843=ORIENTED_EDGE('',*,*,#182527,.T.); #240844=ORIENTED_EDGE('',*,*,#182530,.T.); #240845=ORIENTED_EDGE('',*,*,#182533,.T.); #240846=ORIENTED_EDGE('',*,*,#182536,.T.); #240847=ORIENTED_EDGE('',*,*,#182539,.T.); #240848=ORIENTED_EDGE('',*,*,#182542,.T.); #240849=ORIENTED_EDGE('',*,*,#182545,.T.); #240850=ORIENTED_EDGE('',*,*,#182548,.T.); #240851=ORIENTED_EDGE('',*,*,#182551,.T.); #240852=ORIENTED_EDGE('',*,*,#182554,.T.); #240853=ORIENTED_EDGE('',*,*,#182557,.T.); #240854=ORIENTED_EDGE('',*,*,#182560,.T.); #240855=ORIENTED_EDGE('',*,*,#182563,.T.); #240856=ORIENTED_EDGE('',*,*,#182566,.T.); #240857=ORIENTED_EDGE('',*,*,#182569,.T.); #240858=ORIENTED_EDGE('',*,*,#182572,.T.); #240859=ORIENTED_EDGE('',*,*,#182575,.T.); #240860=ORIENTED_EDGE('',*,*,#182578,.T.); #240861=ORIENTED_EDGE('',*,*,#182581,.T.); #240862=ORIENTED_EDGE('',*,*,#182584,.T.); #240863=ORIENTED_EDGE('',*,*,#182587,.T.); #240864=ORIENTED_EDGE('',*,*,#182590,.T.); #240865=ORIENTED_EDGE('',*,*,#182593,.T.); #240866=ORIENTED_EDGE('',*,*,#182596,.T.); #240867=ORIENTED_EDGE('',*,*,#182599,.T.); #240868=ORIENTED_EDGE('',*,*,#182602,.T.); #240869=ORIENTED_EDGE('',*,*,#182605,.T.); #240870=ORIENTED_EDGE('',*,*,#182608,.T.); #240871=ORIENTED_EDGE('',*,*,#182611,.T.); #240872=ORIENTED_EDGE('',*,*,#182614,.T.); #240873=ORIENTED_EDGE('',*,*,#182617,.T.); #240874=ORIENTED_EDGE('',*,*,#182620,.T.); #240875=ORIENTED_EDGE('',*,*,#182623,.T.); #240876=ORIENTED_EDGE('',*,*,#182626,.T.); #240877=ORIENTED_EDGE('',*,*,#182629,.T.); #240878=ORIENTED_EDGE('',*,*,#184970,.F.); #240879=ORIENTED_EDGE('',*,*,#184900,.F.); #240880=ORIENTED_EDGE('',*,*,#184904,.F.); #240881=ORIENTED_EDGE('',*,*,#184907,.F.); #240882=ORIENTED_EDGE('',*,*,#184910,.F.); #240883=ORIENTED_EDGE('',*,*,#184913,.F.); #240884=ORIENTED_EDGE('',*,*,#184916,.F.); #240885=ORIENTED_EDGE('',*,*,#184919,.F.); #240886=ORIENTED_EDGE('',*,*,#184922,.F.); #240887=ORIENTED_EDGE('',*,*,#184925,.F.); #240888=ORIENTED_EDGE('',*,*,#184928,.F.); #240889=ORIENTED_EDGE('',*,*,#184931,.F.); #240890=ORIENTED_EDGE('',*,*,#184934,.F.); #240891=ORIENTED_EDGE('',*,*,#184937,.F.); #240892=ORIENTED_EDGE('',*,*,#184940,.F.); #240893=ORIENTED_EDGE('',*,*,#184943,.F.); #240894=ORIENTED_EDGE('',*,*,#184946,.F.); #240895=ORIENTED_EDGE('',*,*,#184949,.F.); #240896=ORIENTED_EDGE('',*,*,#184952,.F.); #240897=ORIENTED_EDGE('',*,*,#184955,.F.); #240898=ORIENTED_EDGE('',*,*,#184958,.F.); #240899=ORIENTED_EDGE('',*,*,#184961,.F.); #240900=ORIENTED_EDGE('',*,*,#184964,.F.); #240901=ORIENTED_EDGE('',*,*,#184967,.F.); #240902=ORIENTED_EDGE('',*,*,#184898,.F.); #240903=ORIENTED_EDGE('',*,*,#184876,.F.); #240904=ORIENTED_EDGE('',*,*,#184880,.F.); #240905=ORIENTED_EDGE('',*,*,#184883,.F.); #240906=ORIENTED_EDGE('',*,*,#184886,.F.); #240907=ORIENTED_EDGE('',*,*,#184889,.F.); #240908=ORIENTED_EDGE('',*,*,#184892,.F.); #240909=ORIENTED_EDGE('',*,*,#184895,.F.); #240910=ORIENTED_EDGE('',*,*,#184874,.F.); #240911=ORIENTED_EDGE('',*,*,#184519,.F.); #240912=ORIENTED_EDGE('',*,*,#184523,.F.); #240913=ORIENTED_EDGE('',*,*,#184526,.F.); #240914=ORIENTED_EDGE('',*,*,#184529,.F.); #240915=ORIENTED_EDGE('',*,*,#184532,.F.); #240916=ORIENTED_EDGE('',*,*,#184535,.F.); #240917=ORIENTED_EDGE('',*,*,#184538,.F.); #240918=ORIENTED_EDGE('',*,*,#184541,.F.); #240919=ORIENTED_EDGE('',*,*,#184544,.F.); #240920=ORIENTED_EDGE('',*,*,#184547,.F.); #240921=ORIENTED_EDGE('',*,*,#184550,.F.); #240922=ORIENTED_EDGE('',*,*,#184553,.F.); #240923=ORIENTED_EDGE('',*,*,#184556,.F.); #240924=ORIENTED_EDGE('',*,*,#184559,.F.); #240925=ORIENTED_EDGE('',*,*,#184562,.F.); #240926=ORIENTED_EDGE('',*,*,#184565,.F.); #240927=ORIENTED_EDGE('',*,*,#184568,.F.); #240928=ORIENTED_EDGE('',*,*,#184571,.F.); #240929=ORIENTED_EDGE('',*,*,#184574,.F.); #240930=ORIENTED_EDGE('',*,*,#184577,.F.); #240931=ORIENTED_EDGE('',*,*,#184580,.F.); #240932=ORIENTED_EDGE('',*,*,#184583,.F.); #240933=ORIENTED_EDGE('',*,*,#184586,.F.); #240934=ORIENTED_EDGE('',*,*,#184589,.F.); #240935=ORIENTED_EDGE('',*,*,#184592,.F.); #240936=ORIENTED_EDGE('',*,*,#184595,.F.); #240937=ORIENTED_EDGE('',*,*,#184598,.F.); #240938=ORIENTED_EDGE('',*,*,#184601,.F.); #240939=ORIENTED_EDGE('',*,*,#184604,.F.); #240940=ORIENTED_EDGE('',*,*,#184607,.F.); #240941=ORIENTED_EDGE('',*,*,#184610,.F.); #240942=ORIENTED_EDGE('',*,*,#184613,.F.); #240943=ORIENTED_EDGE('',*,*,#184616,.F.); #240944=ORIENTED_EDGE('',*,*,#184619,.F.); #240945=ORIENTED_EDGE('',*,*,#184622,.F.); #240946=ORIENTED_EDGE('',*,*,#184625,.F.); #240947=ORIENTED_EDGE('',*,*,#184628,.F.); #240948=ORIENTED_EDGE('',*,*,#184631,.F.); #240949=ORIENTED_EDGE('',*,*,#184634,.F.); #240950=ORIENTED_EDGE('',*,*,#184637,.F.); #240951=ORIENTED_EDGE('',*,*,#184640,.F.); #240952=ORIENTED_EDGE('',*,*,#184643,.F.); #240953=ORIENTED_EDGE('',*,*,#184646,.F.); #240954=ORIENTED_EDGE('',*,*,#184649,.F.); #240955=ORIENTED_EDGE('',*,*,#184652,.F.); #240956=ORIENTED_EDGE('',*,*,#184655,.F.); #240957=ORIENTED_EDGE('',*,*,#184658,.F.); #240958=ORIENTED_EDGE('',*,*,#184661,.F.); #240959=ORIENTED_EDGE('',*,*,#184664,.F.); #240960=ORIENTED_EDGE('',*,*,#184667,.F.); #240961=ORIENTED_EDGE('',*,*,#184670,.F.); #240962=ORIENTED_EDGE('',*,*,#184673,.F.); #240963=ORIENTED_EDGE('',*,*,#184676,.F.); #240964=ORIENTED_EDGE('',*,*,#184679,.F.); #240965=ORIENTED_EDGE('',*,*,#184682,.F.); #240966=ORIENTED_EDGE('',*,*,#184685,.F.); #240967=ORIENTED_EDGE('',*,*,#184688,.F.); #240968=ORIENTED_EDGE('',*,*,#184691,.F.); #240969=ORIENTED_EDGE('',*,*,#184694,.F.); #240970=ORIENTED_EDGE('',*,*,#184697,.F.); #240971=ORIENTED_EDGE('',*,*,#184700,.F.); #240972=ORIENTED_EDGE('',*,*,#184703,.F.); #240973=ORIENTED_EDGE('',*,*,#184706,.F.); #240974=ORIENTED_EDGE('',*,*,#184709,.F.); #240975=ORIENTED_EDGE('',*,*,#184712,.F.); #240976=ORIENTED_EDGE('',*,*,#184715,.F.); #240977=ORIENTED_EDGE('',*,*,#184718,.F.); #240978=ORIENTED_EDGE('',*,*,#184721,.F.); #240979=ORIENTED_EDGE('',*,*,#184724,.F.); #240980=ORIENTED_EDGE('',*,*,#184727,.F.); #240981=ORIENTED_EDGE('',*,*,#184730,.F.); #240982=ORIENTED_EDGE('',*,*,#184733,.F.); #240983=ORIENTED_EDGE('',*,*,#184736,.F.); #240984=ORIENTED_EDGE('',*,*,#184739,.F.); #240985=ORIENTED_EDGE('',*,*,#184742,.F.); #240986=ORIENTED_EDGE('',*,*,#184745,.F.); #240987=ORIENTED_EDGE('',*,*,#184748,.F.); #240988=ORIENTED_EDGE('',*,*,#184751,.F.); #240989=ORIENTED_EDGE('',*,*,#184754,.F.); #240990=ORIENTED_EDGE('',*,*,#184757,.F.); #240991=ORIENTED_EDGE('',*,*,#184760,.F.); #240992=ORIENTED_EDGE('',*,*,#184763,.F.); #240993=ORIENTED_EDGE('',*,*,#184766,.F.); #240994=ORIENTED_EDGE('',*,*,#184769,.F.); #240995=ORIENTED_EDGE('',*,*,#184772,.F.); #240996=ORIENTED_EDGE('',*,*,#184775,.F.); #240997=ORIENTED_EDGE('',*,*,#184778,.F.); #240998=ORIENTED_EDGE('',*,*,#184781,.F.); #240999=ORIENTED_EDGE('',*,*,#184784,.F.); #241000=ORIENTED_EDGE('',*,*,#184787,.F.); #241001=ORIENTED_EDGE('',*,*,#184790,.F.); #241002=ORIENTED_EDGE('',*,*,#184793,.F.); #241003=ORIENTED_EDGE('',*,*,#184796,.F.); #241004=ORIENTED_EDGE('',*,*,#184799,.F.); #241005=ORIENTED_EDGE('',*,*,#184802,.F.); #241006=ORIENTED_EDGE('',*,*,#184805,.F.); #241007=ORIENTED_EDGE('',*,*,#184808,.F.); #241008=ORIENTED_EDGE('',*,*,#184811,.F.); #241009=ORIENTED_EDGE('',*,*,#184814,.F.); #241010=ORIENTED_EDGE('',*,*,#184817,.F.); #241011=ORIENTED_EDGE('',*,*,#184820,.F.); #241012=ORIENTED_EDGE('',*,*,#184823,.F.); #241013=ORIENTED_EDGE('',*,*,#184826,.F.); #241014=ORIENTED_EDGE('',*,*,#184829,.F.); #241015=ORIENTED_EDGE('',*,*,#184832,.F.); #241016=ORIENTED_EDGE('',*,*,#184835,.F.); #241017=ORIENTED_EDGE('',*,*,#184838,.F.); #241018=ORIENTED_EDGE('',*,*,#184841,.F.); #241019=ORIENTED_EDGE('',*,*,#184844,.F.); #241020=ORIENTED_EDGE('',*,*,#184847,.F.); #241021=ORIENTED_EDGE('',*,*,#184850,.F.); #241022=ORIENTED_EDGE('',*,*,#184853,.F.); #241023=ORIENTED_EDGE('',*,*,#184856,.F.); #241024=ORIENTED_EDGE('',*,*,#184859,.F.); #241025=ORIENTED_EDGE('',*,*,#184862,.F.); #241026=ORIENTED_EDGE('',*,*,#184865,.F.); #241027=ORIENTED_EDGE('',*,*,#184868,.F.); #241028=ORIENTED_EDGE('',*,*,#184871,.F.); #241029=ORIENTED_EDGE('',*,*,#184517,.F.); #241030=ORIENTED_EDGE('',*,*,#182872,.F.); #241031=ORIENTED_EDGE('',*,*,#182876,.F.); #241032=ORIENTED_EDGE('',*,*,#182879,.F.); #241033=ORIENTED_EDGE('',*,*,#182882,.F.); #241034=ORIENTED_EDGE('',*,*,#182885,.F.); #241035=ORIENTED_EDGE('',*,*,#182888,.F.); #241036=ORIENTED_EDGE('',*,*,#182891,.F.); #241037=ORIENTED_EDGE('',*,*,#182894,.F.); #241038=ORIENTED_EDGE('',*,*,#182897,.F.); #241039=ORIENTED_EDGE('',*,*,#182900,.F.); #241040=ORIENTED_EDGE('',*,*,#182903,.F.); #241041=ORIENTED_EDGE('',*,*,#182906,.F.); #241042=ORIENTED_EDGE('',*,*,#182909,.F.); #241043=ORIENTED_EDGE('',*,*,#182912,.F.); #241044=ORIENTED_EDGE('',*,*,#182915,.F.); #241045=ORIENTED_EDGE('',*,*,#182918,.F.); #241046=ORIENTED_EDGE('',*,*,#182921,.F.); #241047=ORIENTED_EDGE('',*,*,#182924,.F.); #241048=ORIENTED_EDGE('',*,*,#182927,.F.); #241049=ORIENTED_EDGE('',*,*,#182930,.F.); #241050=ORIENTED_EDGE('',*,*,#182933,.F.); #241051=ORIENTED_EDGE('',*,*,#182936,.F.); #241052=ORIENTED_EDGE('',*,*,#182939,.F.); #241053=ORIENTED_EDGE('',*,*,#182942,.F.); #241054=ORIENTED_EDGE('',*,*,#182945,.F.); #241055=ORIENTED_EDGE('',*,*,#182948,.F.); #241056=ORIENTED_EDGE('',*,*,#182951,.F.); #241057=ORIENTED_EDGE('',*,*,#182954,.F.); #241058=ORIENTED_EDGE('',*,*,#182957,.F.); #241059=ORIENTED_EDGE('',*,*,#182960,.F.); #241060=ORIENTED_EDGE('',*,*,#182963,.F.); #241061=ORIENTED_EDGE('',*,*,#182966,.F.); #241062=ORIENTED_EDGE('',*,*,#182969,.F.); #241063=ORIENTED_EDGE('',*,*,#182972,.F.); #241064=ORIENTED_EDGE('',*,*,#182975,.F.); #241065=ORIENTED_EDGE('',*,*,#182978,.F.); #241066=ORIENTED_EDGE('',*,*,#182981,.F.); #241067=ORIENTED_EDGE('',*,*,#182984,.F.); #241068=ORIENTED_EDGE('',*,*,#182987,.F.); #241069=ORIENTED_EDGE('',*,*,#182990,.F.); #241070=ORIENTED_EDGE('',*,*,#182993,.F.); #241071=ORIENTED_EDGE('',*,*,#182996,.F.); #241072=ORIENTED_EDGE('',*,*,#182999,.F.); #241073=ORIENTED_EDGE('',*,*,#183002,.F.); #241074=ORIENTED_EDGE('',*,*,#183005,.F.); #241075=ORIENTED_EDGE('',*,*,#183008,.F.); #241076=ORIENTED_EDGE('',*,*,#183011,.F.); #241077=ORIENTED_EDGE('',*,*,#183014,.F.); #241078=ORIENTED_EDGE('',*,*,#183017,.F.); #241079=ORIENTED_EDGE('',*,*,#183020,.F.); #241080=ORIENTED_EDGE('',*,*,#183023,.F.); #241081=ORIENTED_EDGE('',*,*,#183026,.F.); #241082=ORIENTED_EDGE('',*,*,#183029,.F.); #241083=ORIENTED_EDGE('',*,*,#183032,.F.); #241084=ORIENTED_EDGE('',*,*,#183035,.F.); #241085=ORIENTED_EDGE('',*,*,#183038,.F.); #241086=ORIENTED_EDGE('',*,*,#183041,.F.); #241087=ORIENTED_EDGE('',*,*,#183044,.F.); #241088=ORIENTED_EDGE('',*,*,#183047,.F.); #241089=ORIENTED_EDGE('',*,*,#183050,.F.); #241090=ORIENTED_EDGE('',*,*,#183053,.F.); #241091=ORIENTED_EDGE('',*,*,#183056,.F.); #241092=ORIENTED_EDGE('',*,*,#183059,.F.); #241093=ORIENTED_EDGE('',*,*,#183062,.F.); #241094=ORIENTED_EDGE('',*,*,#183065,.F.); #241095=ORIENTED_EDGE('',*,*,#183068,.F.); #241096=ORIENTED_EDGE('',*,*,#183071,.F.); #241097=ORIENTED_EDGE('',*,*,#183074,.F.); #241098=ORIENTED_EDGE('',*,*,#183077,.F.); #241099=ORIENTED_EDGE('',*,*,#183080,.F.); #241100=ORIENTED_EDGE('',*,*,#183083,.F.); #241101=ORIENTED_EDGE('',*,*,#183086,.F.); #241102=ORIENTED_EDGE('',*,*,#183089,.F.); #241103=ORIENTED_EDGE('',*,*,#183092,.F.); #241104=ORIENTED_EDGE('',*,*,#183095,.F.); #241105=ORIENTED_EDGE('',*,*,#183098,.F.); #241106=ORIENTED_EDGE('',*,*,#183101,.F.); #241107=ORIENTED_EDGE('',*,*,#183104,.F.); #241108=ORIENTED_EDGE('',*,*,#183107,.F.); #241109=ORIENTED_EDGE('',*,*,#183110,.F.); #241110=ORIENTED_EDGE('',*,*,#183113,.F.); #241111=ORIENTED_EDGE('',*,*,#183116,.F.); #241112=ORIENTED_EDGE('',*,*,#183119,.F.); #241113=ORIENTED_EDGE('',*,*,#183122,.F.); #241114=ORIENTED_EDGE('',*,*,#183125,.F.); #241115=ORIENTED_EDGE('',*,*,#183128,.F.); #241116=ORIENTED_EDGE('',*,*,#183131,.F.); #241117=ORIENTED_EDGE('',*,*,#183134,.F.); #241118=ORIENTED_EDGE('',*,*,#183137,.F.); #241119=ORIENTED_EDGE('',*,*,#183140,.F.); #241120=ORIENTED_EDGE('',*,*,#183143,.F.); #241121=ORIENTED_EDGE('',*,*,#183146,.F.); #241122=ORIENTED_EDGE('',*,*,#183149,.F.); #241123=ORIENTED_EDGE('',*,*,#183152,.F.); #241124=ORIENTED_EDGE('',*,*,#183155,.F.); #241125=ORIENTED_EDGE('',*,*,#183158,.F.); #241126=ORIENTED_EDGE('',*,*,#183161,.F.); #241127=ORIENTED_EDGE('',*,*,#183164,.F.); #241128=ORIENTED_EDGE('',*,*,#183167,.F.); #241129=ORIENTED_EDGE('',*,*,#183170,.F.); #241130=ORIENTED_EDGE('',*,*,#183173,.F.); #241131=ORIENTED_EDGE('',*,*,#183176,.F.); #241132=ORIENTED_EDGE('',*,*,#183179,.F.); #241133=ORIENTED_EDGE('',*,*,#183182,.F.); #241134=ORIENTED_EDGE('',*,*,#183185,.F.); #241135=ORIENTED_EDGE('',*,*,#183188,.F.); #241136=ORIENTED_EDGE('',*,*,#183191,.F.); #241137=ORIENTED_EDGE('',*,*,#183194,.F.); #241138=ORIENTED_EDGE('',*,*,#183197,.F.); #241139=ORIENTED_EDGE('',*,*,#183200,.F.); #241140=ORIENTED_EDGE('',*,*,#183203,.F.); #241141=ORIENTED_EDGE('',*,*,#183206,.F.); #241142=ORIENTED_EDGE('',*,*,#183209,.F.); #241143=ORIENTED_EDGE('',*,*,#183212,.F.); #241144=ORIENTED_EDGE('',*,*,#183215,.F.); #241145=ORIENTED_EDGE('',*,*,#183218,.F.); #241146=ORIENTED_EDGE('',*,*,#183221,.F.); #241147=ORIENTED_EDGE('',*,*,#183224,.F.); #241148=ORIENTED_EDGE('',*,*,#183227,.F.); #241149=ORIENTED_EDGE('',*,*,#183230,.F.); #241150=ORIENTED_EDGE('',*,*,#183233,.F.); #241151=ORIENTED_EDGE('',*,*,#183236,.F.); #241152=ORIENTED_EDGE('',*,*,#183239,.F.); #241153=ORIENTED_EDGE('',*,*,#183242,.F.); #241154=ORIENTED_EDGE('',*,*,#183245,.F.); #241155=ORIENTED_EDGE('',*,*,#183248,.F.); #241156=ORIENTED_EDGE('',*,*,#183251,.F.); #241157=ORIENTED_EDGE('',*,*,#183254,.F.); #241158=ORIENTED_EDGE('',*,*,#183257,.F.); #241159=ORIENTED_EDGE('',*,*,#183260,.F.); #241160=ORIENTED_EDGE('',*,*,#183263,.F.); #241161=ORIENTED_EDGE('',*,*,#183266,.F.); #241162=ORIENTED_EDGE('',*,*,#183269,.F.); #241163=ORIENTED_EDGE('',*,*,#183272,.F.); #241164=ORIENTED_EDGE('',*,*,#183275,.F.); #241165=ORIENTED_EDGE('',*,*,#183278,.F.); #241166=ORIENTED_EDGE('',*,*,#183281,.F.); #241167=ORIENTED_EDGE('',*,*,#183284,.F.); #241168=ORIENTED_EDGE('',*,*,#183287,.F.); #241169=ORIENTED_EDGE('',*,*,#183290,.F.); #241170=ORIENTED_EDGE('',*,*,#183293,.F.); #241171=ORIENTED_EDGE('',*,*,#183296,.F.); #241172=ORIENTED_EDGE('',*,*,#183299,.F.); #241173=ORIENTED_EDGE('',*,*,#183302,.F.); #241174=ORIENTED_EDGE('',*,*,#183305,.F.); #241175=ORIENTED_EDGE('',*,*,#183308,.F.); #241176=ORIENTED_EDGE('',*,*,#183311,.F.); #241177=ORIENTED_EDGE('',*,*,#183314,.F.); #241178=ORIENTED_EDGE('',*,*,#183317,.F.); #241179=ORIENTED_EDGE('',*,*,#183320,.F.); #241180=ORIENTED_EDGE('',*,*,#183323,.F.); #241181=ORIENTED_EDGE('',*,*,#183326,.F.); #241182=ORIENTED_EDGE('',*,*,#183329,.F.); #241183=ORIENTED_EDGE('',*,*,#183332,.F.); #241184=ORIENTED_EDGE('',*,*,#183335,.F.); #241185=ORIENTED_EDGE('',*,*,#183338,.F.); #241186=ORIENTED_EDGE('',*,*,#183341,.F.); #241187=ORIENTED_EDGE('',*,*,#183344,.F.); #241188=ORIENTED_EDGE('',*,*,#183347,.F.); #241189=ORIENTED_EDGE('',*,*,#183350,.F.); #241190=ORIENTED_EDGE('',*,*,#183353,.F.); #241191=ORIENTED_EDGE('',*,*,#183356,.F.); #241192=ORIENTED_EDGE('',*,*,#183359,.F.); #241193=ORIENTED_EDGE('',*,*,#183362,.F.); #241194=ORIENTED_EDGE('',*,*,#183365,.F.); #241195=ORIENTED_EDGE('',*,*,#183368,.F.); #241196=ORIENTED_EDGE('',*,*,#183371,.F.); #241197=ORIENTED_EDGE('',*,*,#183374,.F.); #241198=ORIENTED_EDGE('',*,*,#183377,.F.); #241199=ORIENTED_EDGE('',*,*,#183380,.F.); #241200=ORIENTED_EDGE('',*,*,#183383,.F.); #241201=ORIENTED_EDGE('',*,*,#183386,.F.); #241202=ORIENTED_EDGE('',*,*,#183389,.F.); #241203=ORIENTED_EDGE('',*,*,#183392,.F.); #241204=ORIENTED_EDGE('',*,*,#183395,.F.); #241205=ORIENTED_EDGE('',*,*,#183398,.F.); #241206=ORIENTED_EDGE('',*,*,#183401,.F.); #241207=ORIENTED_EDGE('',*,*,#183404,.F.); #241208=ORIENTED_EDGE('',*,*,#183407,.F.); #241209=ORIENTED_EDGE('',*,*,#183410,.F.); #241210=ORIENTED_EDGE('',*,*,#183413,.F.); #241211=ORIENTED_EDGE('',*,*,#183416,.F.); #241212=ORIENTED_EDGE('',*,*,#183419,.F.); #241213=ORIENTED_EDGE('',*,*,#183422,.F.); #241214=ORIENTED_EDGE('',*,*,#183425,.F.); #241215=ORIENTED_EDGE('',*,*,#183428,.F.); #241216=ORIENTED_EDGE('',*,*,#183431,.F.); #241217=ORIENTED_EDGE('',*,*,#183434,.F.); #241218=ORIENTED_EDGE('',*,*,#183437,.F.); #241219=ORIENTED_EDGE('',*,*,#183440,.F.); #241220=ORIENTED_EDGE('',*,*,#183443,.F.); #241221=ORIENTED_EDGE('',*,*,#183446,.F.); #241222=ORIENTED_EDGE('',*,*,#183449,.F.); #241223=ORIENTED_EDGE('',*,*,#183452,.F.); #241224=ORIENTED_EDGE('',*,*,#183455,.F.); #241225=ORIENTED_EDGE('',*,*,#183458,.F.); #241226=ORIENTED_EDGE('',*,*,#183461,.F.); #241227=ORIENTED_EDGE('',*,*,#183464,.F.); #241228=ORIENTED_EDGE('',*,*,#183467,.F.); #241229=ORIENTED_EDGE('',*,*,#183470,.F.); #241230=ORIENTED_EDGE('',*,*,#183473,.F.); #241231=ORIENTED_EDGE('',*,*,#183476,.F.); #241232=ORIENTED_EDGE('',*,*,#183479,.F.); #241233=ORIENTED_EDGE('',*,*,#183482,.F.); #241234=ORIENTED_EDGE('',*,*,#183485,.F.); #241235=ORIENTED_EDGE('',*,*,#183488,.F.); #241236=ORIENTED_EDGE('',*,*,#183491,.F.); #241237=ORIENTED_EDGE('',*,*,#183494,.F.); #241238=ORIENTED_EDGE('',*,*,#183497,.F.); #241239=ORIENTED_EDGE('',*,*,#183500,.F.); #241240=ORIENTED_EDGE('',*,*,#183503,.F.); #241241=ORIENTED_EDGE('',*,*,#183506,.F.); #241242=ORIENTED_EDGE('',*,*,#183509,.F.); #241243=ORIENTED_EDGE('',*,*,#183512,.F.); #241244=ORIENTED_EDGE('',*,*,#183515,.F.); #241245=ORIENTED_EDGE('',*,*,#183518,.F.); #241246=ORIENTED_EDGE('',*,*,#183521,.F.); #241247=ORIENTED_EDGE('',*,*,#183524,.F.); #241248=ORIENTED_EDGE('',*,*,#183527,.F.); #241249=ORIENTED_EDGE('',*,*,#183530,.F.); #241250=ORIENTED_EDGE('',*,*,#183533,.F.); #241251=ORIENTED_EDGE('',*,*,#183536,.F.); #241252=ORIENTED_EDGE('',*,*,#183539,.F.); #241253=ORIENTED_EDGE('',*,*,#183542,.F.); #241254=ORIENTED_EDGE('',*,*,#183545,.F.); #241255=ORIENTED_EDGE('',*,*,#183548,.F.); #241256=ORIENTED_EDGE('',*,*,#183551,.F.); #241257=ORIENTED_EDGE('',*,*,#183554,.F.); #241258=ORIENTED_EDGE('',*,*,#183557,.F.); #241259=ORIENTED_EDGE('',*,*,#183560,.F.); #241260=ORIENTED_EDGE('',*,*,#183563,.F.); #241261=ORIENTED_EDGE('',*,*,#183566,.F.); #241262=ORIENTED_EDGE('',*,*,#183569,.F.); #241263=ORIENTED_EDGE('',*,*,#183572,.F.); #241264=ORIENTED_EDGE('',*,*,#183575,.F.); #241265=ORIENTED_EDGE('',*,*,#183578,.F.); #241266=ORIENTED_EDGE('',*,*,#183581,.F.); #241267=ORIENTED_EDGE('',*,*,#183584,.F.); #241268=ORIENTED_EDGE('',*,*,#183587,.F.); #241269=ORIENTED_EDGE('',*,*,#183590,.F.); #241270=ORIENTED_EDGE('',*,*,#183593,.F.); #241271=ORIENTED_EDGE('',*,*,#183596,.F.); #241272=ORIENTED_EDGE('',*,*,#183599,.F.); #241273=ORIENTED_EDGE('',*,*,#183602,.F.); #241274=ORIENTED_EDGE('',*,*,#183605,.F.); #241275=ORIENTED_EDGE('',*,*,#183608,.F.); #241276=ORIENTED_EDGE('',*,*,#183611,.F.); #241277=ORIENTED_EDGE('',*,*,#183614,.F.); #241278=ORIENTED_EDGE('',*,*,#183617,.F.); #241279=ORIENTED_EDGE('',*,*,#183620,.F.); #241280=ORIENTED_EDGE('',*,*,#183623,.F.); #241281=ORIENTED_EDGE('',*,*,#183626,.F.); #241282=ORIENTED_EDGE('',*,*,#183629,.F.); #241283=ORIENTED_EDGE('',*,*,#183632,.F.); #241284=ORIENTED_EDGE('',*,*,#183635,.F.); #241285=ORIENTED_EDGE('',*,*,#183638,.F.); #241286=ORIENTED_EDGE('',*,*,#183641,.F.); #241287=ORIENTED_EDGE('',*,*,#183644,.F.); #241288=ORIENTED_EDGE('',*,*,#183647,.F.); #241289=ORIENTED_EDGE('',*,*,#183650,.F.); #241290=ORIENTED_EDGE('',*,*,#183653,.F.); #241291=ORIENTED_EDGE('',*,*,#183656,.F.); #241292=ORIENTED_EDGE('',*,*,#183659,.F.); #241293=ORIENTED_EDGE('',*,*,#183662,.F.); #241294=ORIENTED_EDGE('',*,*,#183665,.F.); #241295=ORIENTED_EDGE('',*,*,#183668,.F.); #241296=ORIENTED_EDGE('',*,*,#183671,.F.); #241297=ORIENTED_EDGE('',*,*,#183674,.F.); #241298=ORIENTED_EDGE('',*,*,#183677,.F.); #241299=ORIENTED_EDGE('',*,*,#183680,.F.); #241300=ORIENTED_EDGE('',*,*,#183683,.F.); #241301=ORIENTED_EDGE('',*,*,#183686,.F.); #241302=ORIENTED_EDGE('',*,*,#183689,.F.); #241303=ORIENTED_EDGE('',*,*,#183692,.F.); #241304=ORIENTED_EDGE('',*,*,#183695,.F.); #241305=ORIENTED_EDGE('',*,*,#183698,.F.); #241306=ORIENTED_EDGE('',*,*,#183701,.F.); #241307=ORIENTED_EDGE('',*,*,#183704,.F.); #241308=ORIENTED_EDGE('',*,*,#183707,.F.); #241309=ORIENTED_EDGE('',*,*,#183710,.F.); #241310=ORIENTED_EDGE('',*,*,#183713,.F.); #241311=ORIENTED_EDGE('',*,*,#183716,.F.); #241312=ORIENTED_EDGE('',*,*,#183719,.F.); #241313=ORIENTED_EDGE('',*,*,#183722,.F.); #241314=ORIENTED_EDGE('',*,*,#183725,.F.); #241315=ORIENTED_EDGE('',*,*,#183728,.F.); #241316=ORIENTED_EDGE('',*,*,#183731,.F.); #241317=ORIENTED_EDGE('',*,*,#183734,.F.); #241318=ORIENTED_EDGE('',*,*,#183737,.F.); #241319=ORIENTED_EDGE('',*,*,#183740,.F.); #241320=ORIENTED_EDGE('',*,*,#183743,.F.); #241321=ORIENTED_EDGE('',*,*,#183746,.F.); #241322=ORIENTED_EDGE('',*,*,#183749,.F.); #241323=ORIENTED_EDGE('',*,*,#183752,.F.); #241324=ORIENTED_EDGE('',*,*,#183755,.F.); #241325=ORIENTED_EDGE('',*,*,#183758,.F.); #241326=ORIENTED_EDGE('',*,*,#183761,.F.); #241327=ORIENTED_EDGE('',*,*,#183764,.F.); #241328=ORIENTED_EDGE('',*,*,#183767,.F.); #241329=ORIENTED_EDGE('',*,*,#183770,.F.); #241330=ORIENTED_EDGE('',*,*,#183773,.F.); #241331=ORIENTED_EDGE('',*,*,#183776,.F.); #241332=ORIENTED_EDGE('',*,*,#183779,.F.); #241333=ORIENTED_EDGE('',*,*,#183782,.F.); #241334=ORIENTED_EDGE('',*,*,#183785,.F.); #241335=ORIENTED_EDGE('',*,*,#183788,.F.); #241336=ORIENTED_EDGE('',*,*,#183791,.F.); #241337=ORIENTED_EDGE('',*,*,#183794,.F.); #241338=ORIENTED_EDGE('',*,*,#183797,.F.); #241339=ORIENTED_EDGE('',*,*,#183800,.F.); #241340=ORIENTED_EDGE('',*,*,#183803,.F.); #241341=ORIENTED_EDGE('',*,*,#183806,.F.); #241342=ORIENTED_EDGE('',*,*,#183809,.F.); #241343=ORIENTED_EDGE('',*,*,#183812,.F.); #241344=ORIENTED_EDGE('',*,*,#183815,.F.); #241345=ORIENTED_EDGE('',*,*,#183818,.F.); #241346=ORIENTED_EDGE('',*,*,#183821,.F.); #241347=ORIENTED_EDGE('',*,*,#183824,.F.); #241348=ORIENTED_EDGE('',*,*,#183827,.F.); #241349=ORIENTED_EDGE('',*,*,#183830,.F.); #241350=ORIENTED_EDGE('',*,*,#183833,.F.); #241351=ORIENTED_EDGE('',*,*,#183836,.F.); #241352=ORIENTED_EDGE('',*,*,#183839,.F.); #241353=ORIENTED_EDGE('',*,*,#183842,.F.); #241354=ORIENTED_EDGE('',*,*,#183845,.F.); #241355=ORIENTED_EDGE('',*,*,#183848,.F.); #241356=ORIENTED_EDGE('',*,*,#183851,.F.); #241357=ORIENTED_EDGE('',*,*,#183854,.F.); #241358=ORIENTED_EDGE('',*,*,#183857,.F.); #241359=ORIENTED_EDGE('',*,*,#183860,.F.); #241360=ORIENTED_EDGE('',*,*,#183863,.F.); #241361=ORIENTED_EDGE('',*,*,#183866,.F.); #241362=ORIENTED_EDGE('',*,*,#183869,.F.); #241363=ORIENTED_EDGE('',*,*,#183872,.F.); #241364=ORIENTED_EDGE('',*,*,#183875,.F.); #241365=ORIENTED_EDGE('',*,*,#183878,.F.); #241366=ORIENTED_EDGE('',*,*,#183881,.F.); #241367=ORIENTED_EDGE('',*,*,#183884,.F.); #241368=ORIENTED_EDGE('',*,*,#183887,.F.); #241369=ORIENTED_EDGE('',*,*,#183890,.F.); #241370=ORIENTED_EDGE('',*,*,#183893,.F.); #241371=ORIENTED_EDGE('',*,*,#183896,.F.); #241372=ORIENTED_EDGE('',*,*,#183899,.F.); #241373=ORIENTED_EDGE('',*,*,#183902,.F.); #241374=ORIENTED_EDGE('',*,*,#183905,.F.); #241375=ORIENTED_EDGE('',*,*,#183908,.F.); #241376=ORIENTED_EDGE('',*,*,#183911,.F.); #241377=ORIENTED_EDGE('',*,*,#183914,.F.); #241378=ORIENTED_EDGE('',*,*,#183917,.F.); #241379=ORIENTED_EDGE('',*,*,#183920,.F.); #241380=ORIENTED_EDGE('',*,*,#183923,.F.); #241381=ORIENTED_EDGE('',*,*,#183926,.F.); #241382=ORIENTED_EDGE('',*,*,#183929,.F.); #241383=ORIENTED_EDGE('',*,*,#183932,.F.); #241384=ORIENTED_EDGE('',*,*,#183935,.F.); #241385=ORIENTED_EDGE('',*,*,#183938,.F.); #241386=ORIENTED_EDGE('',*,*,#183941,.F.); #241387=ORIENTED_EDGE('',*,*,#183944,.F.); #241388=ORIENTED_EDGE('',*,*,#183947,.F.); #241389=ORIENTED_EDGE('',*,*,#183950,.F.); #241390=ORIENTED_EDGE('',*,*,#183953,.F.); #241391=ORIENTED_EDGE('',*,*,#183956,.F.); #241392=ORIENTED_EDGE('',*,*,#183959,.F.); #241393=ORIENTED_EDGE('',*,*,#183962,.F.); #241394=ORIENTED_EDGE('',*,*,#183965,.F.); #241395=ORIENTED_EDGE('',*,*,#183968,.F.); #241396=ORIENTED_EDGE('',*,*,#183971,.F.); #241397=ORIENTED_EDGE('',*,*,#183974,.F.); #241398=ORIENTED_EDGE('',*,*,#183977,.F.); #241399=ORIENTED_EDGE('',*,*,#183980,.F.); #241400=ORIENTED_EDGE('',*,*,#183983,.F.); #241401=ORIENTED_EDGE('',*,*,#183986,.F.); #241402=ORIENTED_EDGE('',*,*,#183989,.F.); #241403=ORIENTED_EDGE('',*,*,#183992,.F.); #241404=ORIENTED_EDGE('',*,*,#183995,.F.); #241405=ORIENTED_EDGE('',*,*,#183998,.F.); #241406=ORIENTED_EDGE('',*,*,#184001,.F.); #241407=ORIENTED_EDGE('',*,*,#184004,.F.); #241408=ORIENTED_EDGE('',*,*,#184007,.F.); #241409=ORIENTED_EDGE('',*,*,#184010,.F.); #241410=ORIENTED_EDGE('',*,*,#184013,.F.); #241411=ORIENTED_EDGE('',*,*,#184016,.F.); #241412=ORIENTED_EDGE('',*,*,#184019,.F.); #241413=ORIENTED_EDGE('',*,*,#184022,.F.); #241414=ORIENTED_EDGE('',*,*,#184025,.F.); #241415=ORIENTED_EDGE('',*,*,#184028,.F.); #241416=ORIENTED_EDGE('',*,*,#184031,.F.); #241417=ORIENTED_EDGE('',*,*,#184034,.F.); #241418=ORIENTED_EDGE('',*,*,#184037,.F.); #241419=ORIENTED_EDGE('',*,*,#184040,.F.); #241420=ORIENTED_EDGE('',*,*,#184043,.F.); #241421=ORIENTED_EDGE('',*,*,#184046,.F.); #241422=ORIENTED_EDGE('',*,*,#184049,.F.); #241423=ORIENTED_EDGE('',*,*,#184052,.F.); #241424=ORIENTED_EDGE('',*,*,#184055,.F.); #241425=ORIENTED_EDGE('',*,*,#184058,.F.); #241426=ORIENTED_EDGE('',*,*,#184061,.F.); #241427=ORIENTED_EDGE('',*,*,#184064,.F.); #241428=ORIENTED_EDGE('',*,*,#184067,.F.); #241429=ORIENTED_EDGE('',*,*,#184070,.F.); #241430=ORIENTED_EDGE('',*,*,#184073,.F.); #241431=ORIENTED_EDGE('',*,*,#184076,.F.); #241432=ORIENTED_EDGE('',*,*,#184079,.F.); #241433=ORIENTED_EDGE('',*,*,#184082,.F.); #241434=ORIENTED_EDGE('',*,*,#184085,.F.); #241435=ORIENTED_EDGE('',*,*,#184088,.F.); #241436=ORIENTED_EDGE('',*,*,#184091,.F.); #241437=ORIENTED_EDGE('',*,*,#184094,.F.); #241438=ORIENTED_EDGE('',*,*,#184097,.F.); #241439=ORIENTED_EDGE('',*,*,#184100,.F.); #241440=ORIENTED_EDGE('',*,*,#184103,.F.); #241441=ORIENTED_EDGE('',*,*,#184106,.F.); #241442=ORIENTED_EDGE('',*,*,#184109,.F.); #241443=ORIENTED_EDGE('',*,*,#184112,.F.); #241444=ORIENTED_EDGE('',*,*,#184115,.F.); #241445=ORIENTED_EDGE('',*,*,#184118,.F.); #241446=ORIENTED_EDGE('',*,*,#184121,.F.); #241447=ORIENTED_EDGE('',*,*,#184124,.F.); #241448=ORIENTED_EDGE('',*,*,#184127,.F.); #241449=ORIENTED_EDGE('',*,*,#184130,.F.); #241450=ORIENTED_EDGE('',*,*,#184133,.F.); #241451=ORIENTED_EDGE('',*,*,#184136,.F.); #241452=ORIENTED_EDGE('',*,*,#184139,.F.); #241453=ORIENTED_EDGE('',*,*,#184142,.F.); #241454=ORIENTED_EDGE('',*,*,#184145,.F.); #241455=ORIENTED_EDGE('',*,*,#184148,.F.); #241456=ORIENTED_EDGE('',*,*,#184151,.F.); #241457=ORIENTED_EDGE('',*,*,#184154,.F.); #241458=ORIENTED_EDGE('',*,*,#184157,.F.); #241459=ORIENTED_EDGE('',*,*,#184160,.F.); #241460=ORIENTED_EDGE('',*,*,#184163,.F.); #241461=ORIENTED_EDGE('',*,*,#184166,.F.); #241462=ORIENTED_EDGE('',*,*,#184169,.F.); #241463=ORIENTED_EDGE('',*,*,#184172,.F.); #241464=ORIENTED_EDGE('',*,*,#184175,.F.); #241465=ORIENTED_EDGE('',*,*,#184178,.F.); #241466=ORIENTED_EDGE('',*,*,#184181,.F.); #241467=ORIENTED_EDGE('',*,*,#184184,.F.); #241468=ORIENTED_EDGE('',*,*,#184187,.F.); #241469=ORIENTED_EDGE('',*,*,#184190,.F.); #241470=ORIENTED_EDGE('',*,*,#184193,.F.); #241471=ORIENTED_EDGE('',*,*,#184196,.F.); #241472=ORIENTED_EDGE('',*,*,#184199,.F.); #241473=ORIENTED_EDGE('',*,*,#184202,.F.); #241474=ORIENTED_EDGE('',*,*,#184205,.F.); #241475=ORIENTED_EDGE('',*,*,#184208,.F.); #241476=ORIENTED_EDGE('',*,*,#184211,.F.); #241477=ORIENTED_EDGE('',*,*,#184214,.F.); #241478=ORIENTED_EDGE('',*,*,#184217,.F.); #241479=ORIENTED_EDGE('',*,*,#184220,.F.); #241480=ORIENTED_EDGE('',*,*,#184223,.F.); #241481=ORIENTED_EDGE('',*,*,#184226,.F.); #241482=ORIENTED_EDGE('',*,*,#184229,.F.); #241483=ORIENTED_EDGE('',*,*,#184232,.F.); #241484=ORIENTED_EDGE('',*,*,#184235,.F.); #241485=ORIENTED_EDGE('',*,*,#184238,.F.); #241486=ORIENTED_EDGE('',*,*,#184241,.F.); #241487=ORIENTED_EDGE('',*,*,#184244,.F.); #241488=ORIENTED_EDGE('',*,*,#184247,.F.); #241489=ORIENTED_EDGE('',*,*,#184250,.F.); #241490=ORIENTED_EDGE('',*,*,#184253,.F.); #241491=ORIENTED_EDGE('',*,*,#184256,.F.); #241492=ORIENTED_EDGE('',*,*,#184259,.F.); #241493=ORIENTED_EDGE('',*,*,#184262,.F.); #241494=ORIENTED_EDGE('',*,*,#184265,.F.); #241495=ORIENTED_EDGE('',*,*,#184268,.F.); #241496=ORIENTED_EDGE('',*,*,#184271,.F.); #241497=ORIENTED_EDGE('',*,*,#184274,.F.); #241498=ORIENTED_EDGE('',*,*,#184277,.F.); #241499=ORIENTED_EDGE('',*,*,#184280,.F.); #241500=ORIENTED_EDGE('',*,*,#184283,.F.); #241501=ORIENTED_EDGE('',*,*,#184286,.F.); #241502=ORIENTED_EDGE('',*,*,#184289,.F.); #241503=ORIENTED_EDGE('',*,*,#184292,.F.); #241504=ORIENTED_EDGE('',*,*,#184295,.F.); #241505=ORIENTED_EDGE('',*,*,#184298,.F.); #241506=ORIENTED_EDGE('',*,*,#184301,.F.); #241507=ORIENTED_EDGE('',*,*,#184304,.F.); #241508=ORIENTED_EDGE('',*,*,#184307,.F.); #241509=ORIENTED_EDGE('',*,*,#184310,.F.); #241510=ORIENTED_EDGE('',*,*,#184313,.F.); #241511=ORIENTED_EDGE('',*,*,#184316,.F.); #241512=ORIENTED_EDGE('',*,*,#184319,.F.); #241513=ORIENTED_EDGE('',*,*,#184322,.F.); #241514=ORIENTED_EDGE('',*,*,#184325,.F.); #241515=ORIENTED_EDGE('',*,*,#184328,.F.); #241516=ORIENTED_EDGE('',*,*,#184331,.F.); #241517=ORIENTED_EDGE('',*,*,#184334,.F.); #241518=ORIENTED_EDGE('',*,*,#184337,.F.); #241519=ORIENTED_EDGE('',*,*,#184340,.F.); #241520=ORIENTED_EDGE('',*,*,#184343,.F.); #241521=ORIENTED_EDGE('',*,*,#184346,.F.); #241522=ORIENTED_EDGE('',*,*,#184349,.F.); #241523=ORIENTED_EDGE('',*,*,#184352,.F.); #241524=ORIENTED_EDGE('',*,*,#184355,.F.); #241525=ORIENTED_EDGE('',*,*,#184358,.F.); #241526=ORIENTED_EDGE('',*,*,#184361,.F.); #241527=ORIENTED_EDGE('',*,*,#184364,.F.); #241528=ORIENTED_EDGE('',*,*,#184367,.F.); #241529=ORIENTED_EDGE('',*,*,#184370,.F.); #241530=ORIENTED_EDGE('',*,*,#184373,.F.); #241531=ORIENTED_EDGE('',*,*,#184376,.F.); #241532=ORIENTED_EDGE('',*,*,#184379,.F.); #241533=ORIENTED_EDGE('',*,*,#184382,.F.); #241534=ORIENTED_EDGE('',*,*,#184385,.F.); #241535=ORIENTED_EDGE('',*,*,#184388,.F.); #241536=ORIENTED_EDGE('',*,*,#184391,.F.); #241537=ORIENTED_EDGE('',*,*,#184394,.F.); #241538=ORIENTED_EDGE('',*,*,#184397,.F.); #241539=ORIENTED_EDGE('',*,*,#184400,.F.); #241540=ORIENTED_EDGE('',*,*,#184403,.F.); #241541=ORIENTED_EDGE('',*,*,#184406,.F.); #241542=ORIENTED_EDGE('',*,*,#184409,.F.); #241543=ORIENTED_EDGE('',*,*,#184412,.F.); #241544=ORIENTED_EDGE('',*,*,#184415,.F.); #241545=ORIENTED_EDGE('',*,*,#184418,.F.); #241546=ORIENTED_EDGE('',*,*,#184421,.F.); #241547=ORIENTED_EDGE('',*,*,#184424,.F.); #241548=ORIENTED_EDGE('',*,*,#184427,.F.); #241549=ORIENTED_EDGE('',*,*,#184430,.F.); #241550=ORIENTED_EDGE('',*,*,#184433,.F.); #241551=ORIENTED_EDGE('',*,*,#184436,.F.); #241552=ORIENTED_EDGE('',*,*,#184439,.F.); #241553=ORIENTED_EDGE('',*,*,#184442,.F.); #241554=ORIENTED_EDGE('',*,*,#184445,.F.); #241555=ORIENTED_EDGE('',*,*,#184448,.F.); #241556=ORIENTED_EDGE('',*,*,#184451,.F.); #241557=ORIENTED_EDGE('',*,*,#184454,.F.); #241558=ORIENTED_EDGE('',*,*,#184457,.F.); #241559=ORIENTED_EDGE('',*,*,#184460,.F.); #241560=ORIENTED_EDGE('',*,*,#184463,.F.); #241561=ORIENTED_EDGE('',*,*,#184466,.F.); #241562=ORIENTED_EDGE('',*,*,#184469,.F.); #241563=ORIENTED_EDGE('',*,*,#184472,.F.); #241564=ORIENTED_EDGE('',*,*,#184475,.F.); #241565=ORIENTED_EDGE('',*,*,#184478,.F.); #241566=ORIENTED_EDGE('',*,*,#184481,.F.); #241567=ORIENTED_EDGE('',*,*,#184484,.F.); #241568=ORIENTED_EDGE('',*,*,#184487,.F.); #241569=ORIENTED_EDGE('',*,*,#184490,.F.); #241570=ORIENTED_EDGE('',*,*,#184493,.F.); #241571=ORIENTED_EDGE('',*,*,#184496,.F.); #241572=ORIENTED_EDGE('',*,*,#184499,.F.); #241573=ORIENTED_EDGE('',*,*,#184502,.F.); #241574=ORIENTED_EDGE('',*,*,#184505,.F.); #241575=ORIENTED_EDGE('',*,*,#184508,.F.); #241576=ORIENTED_EDGE('',*,*,#184511,.F.); #241577=ORIENTED_EDGE('',*,*,#184514,.F.); #241578=ORIENTED_EDGE('',*,*,#182870,.F.); #241579=ORIENTED_EDGE('',*,*,#182764,.F.); #241580=ORIENTED_EDGE('',*,*,#182768,.F.); #241581=ORIENTED_EDGE('',*,*,#182771,.F.); #241582=ORIENTED_EDGE('',*,*,#182774,.F.); #241583=ORIENTED_EDGE('',*,*,#182777,.F.); #241584=ORIENTED_EDGE('',*,*,#182780,.F.); #241585=ORIENTED_EDGE('',*,*,#182783,.F.); #241586=ORIENTED_EDGE('',*,*,#182786,.F.); #241587=ORIENTED_EDGE('',*,*,#182789,.F.); #241588=ORIENTED_EDGE('',*,*,#182792,.F.); #241589=ORIENTED_EDGE('',*,*,#182795,.F.); #241590=ORIENTED_EDGE('',*,*,#182798,.F.); #241591=ORIENTED_EDGE('',*,*,#182801,.F.); #241592=ORIENTED_EDGE('',*,*,#182804,.F.); #241593=ORIENTED_EDGE('',*,*,#182807,.F.); #241594=ORIENTED_EDGE('',*,*,#182810,.F.); #241595=ORIENTED_EDGE('',*,*,#182813,.F.); #241596=ORIENTED_EDGE('',*,*,#182816,.F.); #241597=ORIENTED_EDGE('',*,*,#182819,.F.); #241598=ORIENTED_EDGE('',*,*,#182822,.F.); #241599=ORIENTED_EDGE('',*,*,#182825,.F.); #241600=ORIENTED_EDGE('',*,*,#182828,.F.); #241601=ORIENTED_EDGE('',*,*,#182831,.F.); #241602=ORIENTED_EDGE('',*,*,#182834,.F.); #241603=ORIENTED_EDGE('',*,*,#182837,.F.); #241604=ORIENTED_EDGE('',*,*,#182840,.F.); #241605=ORIENTED_EDGE('',*,*,#182843,.F.); #241606=ORIENTED_EDGE('',*,*,#182846,.F.); #241607=ORIENTED_EDGE('',*,*,#182849,.F.); #241608=ORIENTED_EDGE('',*,*,#182852,.F.); #241609=ORIENTED_EDGE('',*,*,#182855,.F.); #241610=ORIENTED_EDGE('',*,*,#182858,.F.); #241611=ORIENTED_EDGE('',*,*,#182861,.F.); #241612=ORIENTED_EDGE('',*,*,#182864,.F.); #241613=ORIENTED_EDGE('',*,*,#182867,.F.); #241614=ORIENTED_EDGE('',*,*,#182762,.F.); #241615=ORIENTED_EDGE('',*,*,#182656,.F.); #241616=ORIENTED_EDGE('',*,*,#182660,.F.); #241617=ORIENTED_EDGE('',*,*,#182663,.F.); #241618=ORIENTED_EDGE('',*,*,#182666,.F.); #241619=ORIENTED_EDGE('',*,*,#182669,.F.); #241620=ORIENTED_EDGE('',*,*,#182672,.F.); #241621=ORIENTED_EDGE('',*,*,#182675,.F.); #241622=ORIENTED_EDGE('',*,*,#182678,.F.); #241623=ORIENTED_EDGE('',*,*,#182681,.F.); #241624=ORIENTED_EDGE('',*,*,#182684,.F.); #241625=ORIENTED_EDGE('',*,*,#182687,.F.); #241626=ORIENTED_EDGE('',*,*,#182690,.F.); #241627=ORIENTED_EDGE('',*,*,#182693,.F.); #241628=ORIENTED_EDGE('',*,*,#182696,.F.); #241629=ORIENTED_EDGE('',*,*,#182699,.F.); #241630=ORIENTED_EDGE('',*,*,#182702,.F.); #241631=ORIENTED_EDGE('',*,*,#182705,.F.); #241632=ORIENTED_EDGE('',*,*,#182708,.F.); #241633=ORIENTED_EDGE('',*,*,#182711,.F.); #241634=ORIENTED_EDGE('',*,*,#182714,.F.); #241635=ORIENTED_EDGE('',*,*,#182717,.F.); #241636=ORIENTED_EDGE('',*,*,#182720,.F.); #241637=ORIENTED_EDGE('',*,*,#182723,.F.); #241638=ORIENTED_EDGE('',*,*,#182726,.F.); #241639=ORIENTED_EDGE('',*,*,#182729,.F.); #241640=ORIENTED_EDGE('',*,*,#182732,.F.); #241641=ORIENTED_EDGE('',*,*,#182735,.F.); #241642=ORIENTED_EDGE('',*,*,#182738,.F.); #241643=ORIENTED_EDGE('',*,*,#182741,.F.); #241644=ORIENTED_EDGE('',*,*,#182744,.F.); #241645=ORIENTED_EDGE('',*,*,#182747,.F.); #241646=ORIENTED_EDGE('',*,*,#182750,.F.); #241647=ORIENTED_EDGE('',*,*,#182753,.F.); #241648=ORIENTED_EDGE('',*,*,#182756,.F.); #241649=ORIENTED_EDGE('',*,*,#182759,.F.); #241650=ORIENTED_EDGE('',*,*,#182654,.F.); #241651=ORIENTED_EDGE('',*,*,#182632,.F.); #241652=ORIENTED_EDGE('',*,*,#182636,.F.); #241653=ORIENTED_EDGE('',*,*,#182639,.F.); #241654=ORIENTED_EDGE('',*,*,#182642,.F.); #241655=ORIENTED_EDGE('',*,*,#182645,.F.); #241656=ORIENTED_EDGE('',*,*,#182648,.F.); #241657=ORIENTED_EDGE('',*,*,#182651,.F.); #241658=ORIENTED_EDGE('',*,*,#185332,.T.); #241659=ORIENTED_EDGE('',*,*,#185333,.T.); #241660=ORIENTED_EDGE('',*,*,#185334,.F.); #241661=ORIENTED_EDGE('',*,*,#185335,.F.); #241662=ORIENTED_EDGE('',*,*,#185336,.T.); #241663=ORIENTED_EDGE('',*,*,#185335,.T.); #241664=ORIENTED_EDGE('',*,*,#185337,.F.); #241665=ORIENTED_EDGE('',*,*,#185338,.F.); #241666=ORIENTED_EDGE('',*,*,#185339,.T.); #241667=ORIENTED_EDGE('',*,*,#185338,.T.); #241668=ORIENTED_EDGE('',*,*,#185340,.F.); #241669=ORIENTED_EDGE('',*,*,#185341,.F.); #241670=ORIENTED_EDGE('',*,*,#185342,.T.); #241671=ORIENTED_EDGE('',*,*,#185341,.T.); #241672=ORIENTED_EDGE('',*,*,#185343,.F.); #241673=ORIENTED_EDGE('',*,*,#185344,.F.); #241674=ORIENTED_EDGE('',*,*,#185345,.T.); #241675=ORIENTED_EDGE('',*,*,#185344,.T.); #241676=ORIENTED_EDGE('',*,*,#185346,.F.); #241677=ORIENTED_EDGE('',*,*,#185347,.F.); #241678=ORIENTED_EDGE('',*,*,#185348,.T.); #241679=ORIENTED_EDGE('',*,*,#185347,.T.); #241680=ORIENTED_EDGE('',*,*,#185349,.F.); #241681=ORIENTED_EDGE('',*,*,#185350,.F.); #241682=ORIENTED_EDGE('',*,*,#185351,.T.); #241683=ORIENTED_EDGE('',*,*,#185350,.T.); #241684=ORIENTED_EDGE('',*,*,#185352,.F.); #241685=ORIENTED_EDGE('',*,*,#185353,.F.); #241686=ORIENTED_EDGE('',*,*,#185354,.T.); #241687=ORIENTED_EDGE('',*,*,#185353,.T.); #241688=ORIENTED_EDGE('',*,*,#185355,.F.); #241689=ORIENTED_EDGE('',*,*,#185356,.F.); #241690=ORIENTED_EDGE('',*,*,#185357,.T.); #241691=ORIENTED_EDGE('',*,*,#185356,.T.); #241692=ORIENTED_EDGE('',*,*,#185358,.F.); #241693=ORIENTED_EDGE('',*,*,#185359,.F.); #241694=ORIENTED_EDGE('',*,*,#185360,.T.); #241695=ORIENTED_EDGE('',*,*,#185359,.T.); #241696=ORIENTED_EDGE('',*,*,#185361,.F.); #241697=ORIENTED_EDGE('',*,*,#185362,.F.); #241698=ORIENTED_EDGE('',*,*,#185363,.T.); #241699=ORIENTED_EDGE('',*,*,#185362,.T.); #241700=ORIENTED_EDGE('',*,*,#185364,.F.); #241701=ORIENTED_EDGE('',*,*,#185365,.F.); #241702=ORIENTED_EDGE('',*,*,#185366,.T.); #241703=ORIENTED_EDGE('',*,*,#185365,.T.); #241704=ORIENTED_EDGE('',*,*,#185367,.F.); #241705=ORIENTED_EDGE('',*,*,#185368,.F.); #241706=ORIENTED_EDGE('',*,*,#185369,.T.); #241707=ORIENTED_EDGE('',*,*,#185368,.T.); #241708=ORIENTED_EDGE('',*,*,#185370,.F.); #241709=ORIENTED_EDGE('',*,*,#185371,.F.); #241710=ORIENTED_EDGE('',*,*,#185372,.T.); #241711=ORIENTED_EDGE('',*,*,#185371,.T.); #241712=ORIENTED_EDGE('',*,*,#185373,.F.); #241713=ORIENTED_EDGE('',*,*,#185374,.F.); #241714=ORIENTED_EDGE('',*,*,#185375,.T.); #241715=ORIENTED_EDGE('',*,*,#185374,.T.); #241716=ORIENTED_EDGE('',*,*,#185376,.F.); #241717=ORIENTED_EDGE('',*,*,#185377,.F.); #241718=ORIENTED_EDGE('',*,*,#185378,.T.); #241719=ORIENTED_EDGE('',*,*,#185377,.T.); #241720=ORIENTED_EDGE('',*,*,#185379,.F.); #241721=ORIENTED_EDGE('',*,*,#185380,.F.); #241722=ORIENTED_EDGE('',*,*,#185381,.T.); #241723=ORIENTED_EDGE('',*,*,#185380,.T.); #241724=ORIENTED_EDGE('',*,*,#185382,.F.); #241725=ORIENTED_EDGE('',*,*,#185383,.F.); #241726=ORIENTED_EDGE('',*,*,#185384,.T.); #241727=ORIENTED_EDGE('',*,*,#185383,.T.); #241728=ORIENTED_EDGE('',*,*,#185385,.F.); #241729=ORIENTED_EDGE('',*,*,#185386,.F.); #241730=ORIENTED_EDGE('',*,*,#185387,.T.); #241731=ORIENTED_EDGE('',*,*,#185386,.T.); #241732=ORIENTED_EDGE('',*,*,#185388,.F.); #241733=ORIENTED_EDGE('',*,*,#185389,.F.); #241734=ORIENTED_EDGE('',*,*,#185390,.T.); #241735=ORIENTED_EDGE('',*,*,#185389,.T.); #241736=ORIENTED_EDGE('',*,*,#185391,.F.); #241737=ORIENTED_EDGE('',*,*,#185333,.F.); #241738=ORIENTED_EDGE('',*,*,#185391,.T.); #241739=ORIENTED_EDGE('',*,*,#185388,.T.); #241740=ORIENTED_EDGE('',*,*,#185385,.T.); #241741=ORIENTED_EDGE('',*,*,#185382,.T.); #241742=ORIENTED_EDGE('',*,*,#185379,.T.); #241743=ORIENTED_EDGE('',*,*,#185376,.T.); #241744=ORIENTED_EDGE('',*,*,#185373,.T.); #241745=ORIENTED_EDGE('',*,*,#185370,.T.); #241746=ORIENTED_EDGE('',*,*,#185367,.T.); #241747=ORIENTED_EDGE('',*,*,#185364,.T.); #241748=ORIENTED_EDGE('',*,*,#185361,.T.); #241749=ORIENTED_EDGE('',*,*,#185358,.T.); #241750=ORIENTED_EDGE('',*,*,#185355,.T.); #241751=ORIENTED_EDGE('',*,*,#185352,.T.); #241752=ORIENTED_EDGE('',*,*,#185349,.T.); #241753=ORIENTED_EDGE('',*,*,#185346,.T.); #241754=ORIENTED_EDGE('',*,*,#185343,.T.); #241755=ORIENTED_EDGE('',*,*,#185340,.T.); #241756=ORIENTED_EDGE('',*,*,#185337,.T.); #241757=ORIENTED_EDGE('',*,*,#185334,.T.); #241758=ORIENTED_EDGE('',*,*,#185390,.F.); #241759=ORIENTED_EDGE('',*,*,#185332,.F.); #241760=ORIENTED_EDGE('',*,*,#185336,.F.); #241761=ORIENTED_EDGE('',*,*,#185339,.F.); #241762=ORIENTED_EDGE('',*,*,#185342,.F.); #241763=ORIENTED_EDGE('',*,*,#185345,.F.); #241764=ORIENTED_EDGE('',*,*,#185348,.F.); #241765=ORIENTED_EDGE('',*,*,#185351,.F.); #241766=ORIENTED_EDGE('',*,*,#185354,.F.); #241767=ORIENTED_EDGE('',*,*,#185357,.F.); #241768=ORIENTED_EDGE('',*,*,#185360,.F.); #241769=ORIENTED_EDGE('',*,*,#185363,.F.); #241770=ORIENTED_EDGE('',*,*,#185366,.F.); #241771=ORIENTED_EDGE('',*,*,#185369,.F.); #241772=ORIENTED_EDGE('',*,*,#185372,.F.); #241773=ORIENTED_EDGE('',*,*,#185375,.F.); #241774=ORIENTED_EDGE('',*,*,#185378,.F.); #241775=ORIENTED_EDGE('',*,*,#185381,.F.); #241776=ORIENTED_EDGE('',*,*,#185384,.F.); #241777=ORIENTED_EDGE('',*,*,#185387,.F.); #241778=ORIENTED_EDGE('',*,*,#185392,.T.); #241779=ORIENTED_EDGE('',*,*,#185393,.T.); #241780=ORIENTED_EDGE('',*,*,#185394,.F.); #241781=ORIENTED_EDGE('',*,*,#185395,.F.); #241782=ORIENTED_EDGE('',*,*,#185396,.T.); #241783=ORIENTED_EDGE('',*,*,#185395,.T.); #241784=ORIENTED_EDGE('',*,*,#185397,.F.); #241785=ORIENTED_EDGE('',*,*,#185398,.F.); #241786=ORIENTED_EDGE('',*,*,#185399,.T.); #241787=ORIENTED_EDGE('',*,*,#185398,.T.); #241788=ORIENTED_EDGE('',*,*,#185400,.F.); #241789=ORIENTED_EDGE('',*,*,#185401,.F.); #241790=ORIENTED_EDGE('',*,*,#185402,.T.); #241791=ORIENTED_EDGE('',*,*,#185401,.T.); #241792=ORIENTED_EDGE('',*,*,#185403,.F.); #241793=ORIENTED_EDGE('',*,*,#185404,.F.); #241794=ORIENTED_EDGE('',*,*,#185405,.T.); #241795=ORIENTED_EDGE('',*,*,#185404,.T.); #241796=ORIENTED_EDGE('',*,*,#185406,.F.); #241797=ORIENTED_EDGE('',*,*,#185407,.F.); #241798=ORIENTED_EDGE('',*,*,#185408,.T.); #241799=ORIENTED_EDGE('',*,*,#185407,.T.); #241800=ORIENTED_EDGE('',*,*,#185409,.F.); #241801=ORIENTED_EDGE('',*,*,#185410,.F.); #241802=ORIENTED_EDGE('',*,*,#185411,.T.); #241803=ORIENTED_EDGE('',*,*,#185410,.T.); #241804=ORIENTED_EDGE('',*,*,#185412,.F.); #241805=ORIENTED_EDGE('',*,*,#185413,.F.); #241806=ORIENTED_EDGE('',*,*,#185414,.T.); #241807=ORIENTED_EDGE('',*,*,#185413,.T.); #241808=ORIENTED_EDGE('',*,*,#185415,.F.); #241809=ORIENTED_EDGE('',*,*,#185416,.F.); #241810=ORIENTED_EDGE('',*,*,#185417,.T.); #241811=ORIENTED_EDGE('',*,*,#185416,.T.); #241812=ORIENTED_EDGE('',*,*,#185418,.F.); #241813=ORIENTED_EDGE('',*,*,#185419,.F.); #241814=ORIENTED_EDGE('',*,*,#185420,.T.); #241815=ORIENTED_EDGE('',*,*,#185419,.T.); #241816=ORIENTED_EDGE('',*,*,#185421,.F.); #241817=ORIENTED_EDGE('',*,*,#185422,.F.); #241818=ORIENTED_EDGE('',*,*,#185423,.T.); #241819=ORIENTED_EDGE('',*,*,#185422,.T.); #241820=ORIENTED_EDGE('',*,*,#185424,.F.); #241821=ORIENTED_EDGE('',*,*,#185425,.F.); #241822=ORIENTED_EDGE('',*,*,#185426,.T.); #241823=ORIENTED_EDGE('',*,*,#185425,.T.); #241824=ORIENTED_EDGE('',*,*,#185427,.F.); #241825=ORIENTED_EDGE('',*,*,#185428,.F.); #241826=ORIENTED_EDGE('',*,*,#185429,.T.); #241827=ORIENTED_EDGE('',*,*,#185428,.T.); #241828=ORIENTED_EDGE('',*,*,#185430,.F.); #241829=ORIENTED_EDGE('',*,*,#185431,.F.); #241830=ORIENTED_EDGE('',*,*,#185432,.T.); #241831=ORIENTED_EDGE('',*,*,#185431,.T.); #241832=ORIENTED_EDGE('',*,*,#185433,.F.); #241833=ORIENTED_EDGE('',*,*,#185434,.F.); #241834=ORIENTED_EDGE('',*,*,#185435,.T.); #241835=ORIENTED_EDGE('',*,*,#185434,.T.); #241836=ORIENTED_EDGE('',*,*,#185436,.F.); #241837=ORIENTED_EDGE('',*,*,#185437,.F.); #241838=ORIENTED_EDGE('',*,*,#185438,.T.); #241839=ORIENTED_EDGE('',*,*,#185437,.T.); #241840=ORIENTED_EDGE('',*,*,#185439,.F.); #241841=ORIENTED_EDGE('',*,*,#185440,.F.); #241842=ORIENTED_EDGE('',*,*,#185441,.T.); #241843=ORIENTED_EDGE('',*,*,#185440,.T.); #241844=ORIENTED_EDGE('',*,*,#185442,.F.); #241845=ORIENTED_EDGE('',*,*,#185443,.F.); #241846=ORIENTED_EDGE('',*,*,#185444,.T.); #241847=ORIENTED_EDGE('',*,*,#185443,.T.); #241848=ORIENTED_EDGE('',*,*,#185445,.F.); #241849=ORIENTED_EDGE('',*,*,#185446,.F.); #241850=ORIENTED_EDGE('',*,*,#185447,.T.); #241851=ORIENTED_EDGE('',*,*,#185446,.T.); #241852=ORIENTED_EDGE('',*,*,#185448,.F.); #241853=ORIENTED_EDGE('',*,*,#185449,.F.); #241854=ORIENTED_EDGE('',*,*,#185450,.T.); #241855=ORIENTED_EDGE('',*,*,#185449,.T.); #241856=ORIENTED_EDGE('',*,*,#185451,.F.); #241857=ORIENTED_EDGE('',*,*,#185452,.F.); #241858=ORIENTED_EDGE('',*,*,#185453,.T.); #241859=ORIENTED_EDGE('',*,*,#185452,.T.); #241860=ORIENTED_EDGE('',*,*,#185454,.F.); #241861=ORIENTED_EDGE('',*,*,#185455,.F.); #241862=ORIENTED_EDGE('',*,*,#185456,.T.); #241863=ORIENTED_EDGE('',*,*,#185455,.T.); #241864=ORIENTED_EDGE('',*,*,#185457,.F.); #241865=ORIENTED_EDGE('',*,*,#185458,.F.); #241866=ORIENTED_EDGE('',*,*,#185459,.T.); #241867=ORIENTED_EDGE('',*,*,#185458,.T.); #241868=ORIENTED_EDGE('',*,*,#185460,.F.); #241869=ORIENTED_EDGE('',*,*,#185461,.F.); #241870=ORIENTED_EDGE('',*,*,#185462,.T.); #241871=ORIENTED_EDGE('',*,*,#185461,.T.); #241872=ORIENTED_EDGE('',*,*,#185463,.F.); #241873=ORIENTED_EDGE('',*,*,#185464,.F.); #241874=ORIENTED_EDGE('',*,*,#185465,.T.); #241875=ORIENTED_EDGE('',*,*,#185464,.T.); #241876=ORIENTED_EDGE('',*,*,#185466,.F.); #241877=ORIENTED_EDGE('',*,*,#185467,.F.); #241878=ORIENTED_EDGE('',*,*,#185468,.T.); #241879=ORIENTED_EDGE('',*,*,#185467,.T.); #241880=ORIENTED_EDGE('',*,*,#185469,.F.); #241881=ORIENTED_EDGE('',*,*,#185470,.F.); #241882=ORIENTED_EDGE('',*,*,#185471,.T.); #241883=ORIENTED_EDGE('',*,*,#185470,.T.); #241884=ORIENTED_EDGE('',*,*,#185472,.F.); #241885=ORIENTED_EDGE('',*,*,#185473,.F.); #241886=ORIENTED_EDGE('',*,*,#185474,.T.); #241887=ORIENTED_EDGE('',*,*,#185473,.T.); #241888=ORIENTED_EDGE('',*,*,#185475,.F.); #241889=ORIENTED_EDGE('',*,*,#185476,.F.); #241890=ORIENTED_EDGE('',*,*,#185477,.T.); #241891=ORIENTED_EDGE('',*,*,#185476,.T.); #241892=ORIENTED_EDGE('',*,*,#185478,.F.); #241893=ORIENTED_EDGE('',*,*,#185479,.F.); #241894=ORIENTED_EDGE('',*,*,#185480,.T.); #241895=ORIENTED_EDGE('',*,*,#185479,.T.); #241896=ORIENTED_EDGE('',*,*,#185481,.F.); #241897=ORIENTED_EDGE('',*,*,#185482,.F.); #241898=ORIENTED_EDGE('',*,*,#185483,.T.); #241899=ORIENTED_EDGE('',*,*,#185482,.T.); #241900=ORIENTED_EDGE('',*,*,#185484,.F.); #241901=ORIENTED_EDGE('',*,*,#185485,.F.); #241902=ORIENTED_EDGE('',*,*,#185486,.T.); #241903=ORIENTED_EDGE('',*,*,#185485,.T.); #241904=ORIENTED_EDGE('',*,*,#185487,.F.); #241905=ORIENTED_EDGE('',*,*,#185488,.F.); #241906=ORIENTED_EDGE('',*,*,#185489,.T.); #241907=ORIENTED_EDGE('',*,*,#185488,.T.); #241908=ORIENTED_EDGE('',*,*,#185490,.F.); #241909=ORIENTED_EDGE('',*,*,#185491,.F.); #241910=ORIENTED_EDGE('',*,*,#185492,.T.); #241911=ORIENTED_EDGE('',*,*,#185491,.T.); #241912=ORIENTED_EDGE('',*,*,#185493,.F.); #241913=ORIENTED_EDGE('',*,*,#185494,.F.); #241914=ORIENTED_EDGE('',*,*,#185495,.T.); #241915=ORIENTED_EDGE('',*,*,#185494,.T.); #241916=ORIENTED_EDGE('',*,*,#185496,.F.); #241917=ORIENTED_EDGE('',*,*,#185497,.F.); #241918=ORIENTED_EDGE('',*,*,#185498,.T.); #241919=ORIENTED_EDGE('',*,*,#185497,.T.); #241920=ORIENTED_EDGE('',*,*,#185499,.F.); #241921=ORIENTED_EDGE('',*,*,#185500,.F.); #241922=ORIENTED_EDGE('',*,*,#185501,.T.); #241923=ORIENTED_EDGE('',*,*,#185500,.T.); #241924=ORIENTED_EDGE('',*,*,#185502,.F.); #241925=ORIENTED_EDGE('',*,*,#185503,.F.); #241926=ORIENTED_EDGE('',*,*,#185504,.T.); #241927=ORIENTED_EDGE('',*,*,#185503,.T.); #241928=ORIENTED_EDGE('',*,*,#185505,.F.); #241929=ORIENTED_EDGE('',*,*,#185506,.F.); #241930=ORIENTED_EDGE('',*,*,#185507,.T.); #241931=ORIENTED_EDGE('',*,*,#185506,.T.); #241932=ORIENTED_EDGE('',*,*,#185508,.F.); #241933=ORIENTED_EDGE('',*,*,#185509,.F.); #241934=ORIENTED_EDGE('',*,*,#185510,.T.); #241935=ORIENTED_EDGE('',*,*,#185509,.T.); #241936=ORIENTED_EDGE('',*,*,#185511,.F.); #241937=ORIENTED_EDGE('',*,*,#185393,.F.); #241938=ORIENTED_EDGE('',*,*,#185511,.T.); #241939=ORIENTED_EDGE('',*,*,#185508,.T.); #241940=ORIENTED_EDGE('',*,*,#185505,.T.); #241941=ORIENTED_EDGE('',*,*,#185502,.T.); #241942=ORIENTED_EDGE('',*,*,#185499,.T.); #241943=ORIENTED_EDGE('',*,*,#185496,.T.); #241944=ORIENTED_EDGE('',*,*,#185493,.T.); #241945=ORIENTED_EDGE('',*,*,#185490,.T.); #241946=ORIENTED_EDGE('',*,*,#185487,.T.); #241947=ORIENTED_EDGE('',*,*,#185484,.T.); #241948=ORIENTED_EDGE('',*,*,#185481,.T.); #241949=ORIENTED_EDGE('',*,*,#185478,.T.); #241950=ORIENTED_EDGE('',*,*,#185475,.T.); #241951=ORIENTED_EDGE('',*,*,#185472,.T.); #241952=ORIENTED_EDGE('',*,*,#185469,.T.); #241953=ORIENTED_EDGE('',*,*,#185466,.T.); #241954=ORIENTED_EDGE('',*,*,#185463,.T.); #241955=ORIENTED_EDGE('',*,*,#185460,.T.); #241956=ORIENTED_EDGE('',*,*,#185457,.T.); #241957=ORIENTED_EDGE('',*,*,#185454,.T.); #241958=ORIENTED_EDGE('',*,*,#185451,.T.); #241959=ORIENTED_EDGE('',*,*,#185448,.T.); #241960=ORIENTED_EDGE('',*,*,#185445,.T.); #241961=ORIENTED_EDGE('',*,*,#185442,.T.); #241962=ORIENTED_EDGE('',*,*,#185439,.T.); #241963=ORIENTED_EDGE('',*,*,#185436,.T.); #241964=ORIENTED_EDGE('',*,*,#185433,.T.); #241965=ORIENTED_EDGE('',*,*,#185430,.T.); #241966=ORIENTED_EDGE('',*,*,#185427,.T.); #241967=ORIENTED_EDGE('',*,*,#185424,.T.); #241968=ORIENTED_EDGE('',*,*,#185421,.T.); #241969=ORIENTED_EDGE('',*,*,#185418,.T.); #241970=ORIENTED_EDGE('',*,*,#185415,.T.); #241971=ORIENTED_EDGE('',*,*,#185412,.T.); #241972=ORIENTED_EDGE('',*,*,#185409,.T.); #241973=ORIENTED_EDGE('',*,*,#185406,.T.); #241974=ORIENTED_EDGE('',*,*,#185403,.T.); #241975=ORIENTED_EDGE('',*,*,#185400,.T.); #241976=ORIENTED_EDGE('',*,*,#185397,.T.); #241977=ORIENTED_EDGE('',*,*,#185394,.T.); #241978=ORIENTED_EDGE('',*,*,#185510,.F.); #241979=ORIENTED_EDGE('',*,*,#185392,.F.); #241980=ORIENTED_EDGE('',*,*,#185396,.F.); #241981=ORIENTED_EDGE('',*,*,#185399,.F.); #241982=ORIENTED_EDGE('',*,*,#185402,.F.); #241983=ORIENTED_EDGE('',*,*,#185405,.F.); #241984=ORIENTED_EDGE('',*,*,#185408,.F.); #241985=ORIENTED_EDGE('',*,*,#185411,.F.); #241986=ORIENTED_EDGE('',*,*,#185414,.F.); #241987=ORIENTED_EDGE('',*,*,#185417,.F.); #241988=ORIENTED_EDGE('',*,*,#185420,.F.); #241989=ORIENTED_EDGE('',*,*,#185423,.F.); #241990=ORIENTED_EDGE('',*,*,#185426,.F.); #241991=ORIENTED_EDGE('',*,*,#185429,.F.); #241992=ORIENTED_EDGE('',*,*,#185432,.F.); #241993=ORIENTED_EDGE('',*,*,#185435,.F.); #241994=ORIENTED_EDGE('',*,*,#185438,.F.); #241995=ORIENTED_EDGE('',*,*,#185441,.F.); #241996=ORIENTED_EDGE('',*,*,#185444,.F.); #241997=ORIENTED_EDGE('',*,*,#185447,.F.); #241998=ORIENTED_EDGE('',*,*,#185450,.F.); #241999=ORIENTED_EDGE('',*,*,#185453,.F.); #242000=ORIENTED_EDGE('',*,*,#185456,.F.); #242001=ORIENTED_EDGE('',*,*,#185459,.F.); #242002=ORIENTED_EDGE('',*,*,#185462,.F.); #242003=ORIENTED_EDGE('',*,*,#185465,.F.); #242004=ORIENTED_EDGE('',*,*,#185468,.F.); #242005=ORIENTED_EDGE('',*,*,#185471,.F.); #242006=ORIENTED_EDGE('',*,*,#185474,.F.); #242007=ORIENTED_EDGE('',*,*,#185477,.F.); #242008=ORIENTED_EDGE('',*,*,#185480,.F.); #242009=ORIENTED_EDGE('',*,*,#185483,.F.); #242010=ORIENTED_EDGE('',*,*,#185486,.F.); #242011=ORIENTED_EDGE('',*,*,#185489,.F.); #242012=ORIENTED_EDGE('',*,*,#185492,.F.); #242013=ORIENTED_EDGE('',*,*,#185495,.F.); #242014=ORIENTED_EDGE('',*,*,#185498,.F.); #242015=ORIENTED_EDGE('',*,*,#185501,.F.); #242016=ORIENTED_EDGE('',*,*,#185504,.F.); #242017=ORIENTED_EDGE('',*,*,#185507,.F.); #242018=ORIENTED_EDGE('',*,*,#185512,.F.); #242019=ORIENTED_EDGE('',*,*,#185513,.F.); #242020=ORIENTED_EDGE('',*,*,#185514,.F.); #242021=ORIENTED_EDGE('',*,*,#185515,.T.); #242022=ORIENTED_EDGE('',*,*,#185516,.F.); #242023=ORIENTED_EDGE('',*,*,#185515,.F.); #242024=ORIENTED_EDGE('',*,*,#185517,.F.); #242025=ORIENTED_EDGE('',*,*,#185518,.T.); #242026=ORIENTED_EDGE('',*,*,#185519,.F.); #242027=ORIENTED_EDGE('',*,*,#185518,.F.); #242028=ORIENTED_EDGE('',*,*,#185520,.F.); #242029=ORIENTED_EDGE('',*,*,#185521,.T.); #242030=ORIENTED_EDGE('',*,*,#185522,.F.); #242031=ORIENTED_EDGE('',*,*,#185521,.F.); #242032=ORIENTED_EDGE('',*,*,#185523,.F.); #242033=ORIENTED_EDGE('',*,*,#185513,.T.); #242034=ORIENTED_EDGE('',*,*,#185524,.F.); #242035=ORIENTED_EDGE('',*,*,#185525,.T.); #242036=ORIENTED_EDGE('',*,*,#185526,.F.); #242037=ORIENTED_EDGE('',*,*,#185525,.F.); #242038=ORIENTED_EDGE('',*,*,#185527,.F.); #242039=ORIENTED_EDGE('',*,*,#185528,.T.); #242040=ORIENTED_EDGE('',*,*,#185529,.F.); #242041=ORIENTED_EDGE('',*,*,#185528,.F.); #242042=ORIENTED_EDGE('',*,*,#185530,.F.); #242043=ORIENTED_EDGE('',*,*,#185531,.T.); #242044=ORIENTED_EDGE('',*,*,#185532,.F.); #242045=ORIENTED_EDGE('',*,*,#185531,.F.); #242046=ORIENTED_EDGE('',*,*,#185533,.F.); #242047=ORIENTED_EDGE('',*,*,#185534,.T.); #242048=ORIENTED_EDGE('',*,*,#185535,.F.); #242049=ORIENTED_EDGE('',*,*,#185534,.F.); #242050=ORIENTED_EDGE('',*,*,#185536,.F.); #242051=ORIENTED_EDGE('',*,*,#185537,.T.); #242052=ORIENTED_EDGE('',*,*,#185538,.F.); #242053=ORIENTED_EDGE('',*,*,#185537,.F.); #242054=ORIENTED_EDGE('',*,*,#185539,.F.); #242055=ORIENTED_EDGE('',*,*,#185540,.T.); #242056=ORIENTED_EDGE('',*,*,#185541,.F.); #242057=ORIENTED_EDGE('',*,*,#185540,.F.); #242058=ORIENTED_EDGE('',*,*,#185542,.F.); #242059=ORIENTED_EDGE('',*,*,#185543,.T.); #242060=ORIENTED_EDGE('',*,*,#185544,.F.); #242061=ORIENTED_EDGE('',*,*,#185543,.F.); #242062=ORIENTED_EDGE('',*,*,#185545,.F.); #242063=ORIENTED_EDGE('',*,*,#185546,.T.); #242064=ORIENTED_EDGE('',*,*,#185547,.F.); #242065=ORIENTED_EDGE('',*,*,#185546,.F.); #242066=ORIENTED_EDGE('',*,*,#185548,.F.); #242067=ORIENTED_EDGE('',*,*,#185549,.T.); #242068=ORIENTED_EDGE('',*,*,#185550,.F.); #242069=ORIENTED_EDGE('',*,*,#185549,.F.); #242070=ORIENTED_EDGE('',*,*,#185551,.F.); #242071=ORIENTED_EDGE('',*,*,#185552,.T.); #242072=ORIENTED_EDGE('',*,*,#185553,.F.); #242073=ORIENTED_EDGE('',*,*,#185552,.F.); #242074=ORIENTED_EDGE('',*,*,#185554,.F.); #242075=ORIENTED_EDGE('',*,*,#185555,.T.); #242076=ORIENTED_EDGE('',*,*,#185556,.F.); #242077=ORIENTED_EDGE('',*,*,#185555,.F.); #242078=ORIENTED_EDGE('',*,*,#185557,.T.); #242079=ORIENTED_EDGE('',*,*,#185558,.T.); #242080=ORIENTED_EDGE('',*,*,#185559,.F.); #242081=ORIENTED_EDGE('',*,*,#185560,.F.); #242082=ORIENTED_EDGE('',*,*,#185561,.T.); #242083=ORIENTED_EDGE('',*,*,#185560,.T.); #242084=ORIENTED_EDGE('',*,*,#185562,.F.); #242085=ORIENTED_EDGE('',*,*,#185563,.F.); #242086=ORIENTED_EDGE('',*,*,#185564,.T.); #242087=ORIENTED_EDGE('',*,*,#185563,.T.); #242088=ORIENTED_EDGE('',*,*,#185565,.F.); #242089=ORIENTED_EDGE('',*,*,#185566,.F.); #242090=ORIENTED_EDGE('',*,*,#185567,.T.); #242091=ORIENTED_EDGE('',*,*,#185566,.T.); #242092=ORIENTED_EDGE('',*,*,#185568,.F.); #242093=ORIENTED_EDGE('',*,*,#185569,.F.); #242094=ORIENTED_EDGE('',*,*,#185570,.T.); #242095=ORIENTED_EDGE('',*,*,#185569,.T.); #242096=ORIENTED_EDGE('',*,*,#185571,.F.); #242097=ORIENTED_EDGE('',*,*,#185572,.F.); #242098=ORIENTED_EDGE('',*,*,#185573,.T.); #242099=ORIENTED_EDGE('',*,*,#185572,.T.); #242100=ORIENTED_EDGE('',*,*,#185574,.F.); #242101=ORIENTED_EDGE('',*,*,#185575,.F.); #242102=ORIENTED_EDGE('',*,*,#185576,.T.); #242103=ORIENTED_EDGE('',*,*,#185575,.T.); #242104=ORIENTED_EDGE('',*,*,#185577,.F.); #242105=ORIENTED_EDGE('',*,*,#185578,.F.); #242106=ORIENTED_EDGE('',*,*,#185579,.T.); #242107=ORIENTED_EDGE('',*,*,#185578,.T.); #242108=ORIENTED_EDGE('',*,*,#185580,.F.); #242109=ORIENTED_EDGE('',*,*,#185558,.F.); #242110=ORIENTED_EDGE('',*,*,#185581,.T.); #242111=ORIENTED_EDGE('',*,*,#185582,.T.); #242112=ORIENTED_EDGE('',*,*,#185583,.F.); #242113=ORIENTED_EDGE('',*,*,#185584,.F.); #242114=ORIENTED_EDGE('',*,*,#185585,.T.); #242115=ORIENTED_EDGE('',*,*,#185584,.T.); #242116=ORIENTED_EDGE('',*,*,#185586,.F.); #242117=ORIENTED_EDGE('',*,*,#185587,.F.); #242118=ORIENTED_EDGE('',*,*,#185588,.T.); #242119=ORIENTED_EDGE('',*,*,#185587,.T.); #242120=ORIENTED_EDGE('',*,*,#185589,.F.); #242121=ORIENTED_EDGE('',*,*,#185590,.F.); #242122=ORIENTED_EDGE('',*,*,#185591,.T.); #242123=ORIENTED_EDGE('',*,*,#185590,.T.); #242124=ORIENTED_EDGE('',*,*,#185592,.F.); #242125=ORIENTED_EDGE('',*,*,#185593,.F.); #242126=ORIENTED_EDGE('',*,*,#185594,.T.); #242127=ORIENTED_EDGE('',*,*,#185593,.T.); #242128=ORIENTED_EDGE('',*,*,#185595,.F.); #242129=ORIENTED_EDGE('',*,*,#185596,.F.); #242130=ORIENTED_EDGE('',*,*,#185597,.T.); #242131=ORIENTED_EDGE('',*,*,#185596,.T.); #242132=ORIENTED_EDGE('',*,*,#185598,.F.); #242133=ORIENTED_EDGE('',*,*,#185599,.F.); #242134=ORIENTED_EDGE('',*,*,#185600,.T.); #242135=ORIENTED_EDGE('',*,*,#185599,.T.); #242136=ORIENTED_EDGE('',*,*,#185601,.F.); #242137=ORIENTED_EDGE('',*,*,#185602,.F.); #242138=ORIENTED_EDGE('',*,*,#185603,.T.); #242139=ORIENTED_EDGE('',*,*,#185602,.T.); #242140=ORIENTED_EDGE('',*,*,#185604,.F.); #242141=ORIENTED_EDGE('',*,*,#185582,.F.); #242142=ORIENTED_EDGE('',*,*,#185605,.T.); #242143=ORIENTED_EDGE('',*,*,#185606,.T.); #242144=ORIENTED_EDGE('',*,*,#185607,.F.); #242145=ORIENTED_EDGE('',*,*,#185608,.F.); #242146=ORIENTED_EDGE('',*,*,#185609,.T.); #242147=ORIENTED_EDGE('',*,*,#185608,.T.); #242148=ORIENTED_EDGE('',*,*,#185610,.F.); #242149=ORIENTED_EDGE('',*,*,#185611,.F.); #242150=ORIENTED_EDGE('',*,*,#185612,.T.); #242151=ORIENTED_EDGE('',*,*,#185611,.T.); #242152=ORIENTED_EDGE('',*,*,#185613,.F.); #242153=ORIENTED_EDGE('',*,*,#185614,.F.); #242154=ORIENTED_EDGE('',*,*,#185615,.T.); #242155=ORIENTED_EDGE('',*,*,#185614,.T.); #242156=ORIENTED_EDGE('',*,*,#185616,.F.); #242157=ORIENTED_EDGE('',*,*,#185617,.F.); #242158=ORIENTED_EDGE('',*,*,#185618,.T.); #242159=ORIENTED_EDGE('',*,*,#185617,.T.); #242160=ORIENTED_EDGE('',*,*,#185619,.F.); #242161=ORIENTED_EDGE('',*,*,#185620,.F.); #242162=ORIENTED_EDGE('',*,*,#185621,.T.); #242163=ORIENTED_EDGE('',*,*,#185620,.T.); #242164=ORIENTED_EDGE('',*,*,#185622,.F.); #242165=ORIENTED_EDGE('',*,*,#185623,.F.); #242166=ORIENTED_EDGE('',*,*,#185624,.T.); #242167=ORIENTED_EDGE('',*,*,#185623,.T.); #242168=ORIENTED_EDGE('',*,*,#185625,.F.); #242169=ORIENTED_EDGE('',*,*,#185626,.F.); #242170=ORIENTED_EDGE('',*,*,#185627,.T.); #242171=ORIENTED_EDGE('',*,*,#185626,.T.); #242172=ORIENTED_EDGE('',*,*,#185628,.F.); #242173=ORIENTED_EDGE('',*,*,#185629,.F.); #242174=ORIENTED_EDGE('',*,*,#185630,.T.); #242175=ORIENTED_EDGE('',*,*,#185629,.T.); #242176=ORIENTED_EDGE('',*,*,#185631,.F.); #242177=ORIENTED_EDGE('',*,*,#185632,.F.); #242178=ORIENTED_EDGE('',*,*,#185633,.T.); #242179=ORIENTED_EDGE('',*,*,#185632,.T.); #242180=ORIENTED_EDGE('',*,*,#185634,.F.); #242181=ORIENTED_EDGE('',*,*,#185635,.F.); #242182=ORIENTED_EDGE('',*,*,#185636,.T.); #242183=ORIENTED_EDGE('',*,*,#185635,.T.); #242184=ORIENTED_EDGE('',*,*,#185637,.F.); #242185=ORIENTED_EDGE('',*,*,#185638,.F.); #242186=ORIENTED_EDGE('',*,*,#185639,.T.); #242187=ORIENTED_EDGE('',*,*,#185638,.T.); #242188=ORIENTED_EDGE('',*,*,#185640,.F.); #242189=ORIENTED_EDGE('',*,*,#185641,.F.); #242190=ORIENTED_EDGE('',*,*,#185642,.T.); #242191=ORIENTED_EDGE('',*,*,#185641,.T.); #242192=ORIENTED_EDGE('',*,*,#185643,.F.); #242193=ORIENTED_EDGE('',*,*,#185644,.F.); #242194=ORIENTED_EDGE('',*,*,#185645,.T.); #242195=ORIENTED_EDGE('',*,*,#185644,.T.); #242196=ORIENTED_EDGE('',*,*,#185646,.F.); #242197=ORIENTED_EDGE('',*,*,#185647,.F.); #242198=ORIENTED_EDGE('',*,*,#185648,.T.); #242199=ORIENTED_EDGE('',*,*,#185647,.T.); #242200=ORIENTED_EDGE('',*,*,#185649,.F.); #242201=ORIENTED_EDGE('',*,*,#185650,.F.); #242202=ORIENTED_EDGE('',*,*,#185651,.T.); #242203=ORIENTED_EDGE('',*,*,#185650,.T.); #242204=ORIENTED_EDGE('',*,*,#185652,.F.); #242205=ORIENTED_EDGE('',*,*,#185653,.F.); #242206=ORIENTED_EDGE('',*,*,#185654,.T.); #242207=ORIENTED_EDGE('',*,*,#185653,.T.); #242208=ORIENTED_EDGE('',*,*,#185655,.F.); #242209=ORIENTED_EDGE('',*,*,#185656,.F.); #242210=ORIENTED_EDGE('',*,*,#185657,.T.); #242211=ORIENTED_EDGE('',*,*,#185656,.T.); #242212=ORIENTED_EDGE('',*,*,#185658,.F.); #242213=ORIENTED_EDGE('',*,*,#185659,.F.); #242214=ORIENTED_EDGE('',*,*,#185660,.T.); #242215=ORIENTED_EDGE('',*,*,#185659,.T.); #242216=ORIENTED_EDGE('',*,*,#185661,.F.); #242217=ORIENTED_EDGE('',*,*,#185662,.F.); #242218=ORIENTED_EDGE('',*,*,#185663,.T.); #242219=ORIENTED_EDGE('',*,*,#185662,.T.); #242220=ORIENTED_EDGE('',*,*,#185664,.F.); #242221=ORIENTED_EDGE('',*,*,#185665,.F.); #242222=ORIENTED_EDGE('',*,*,#185666,.T.); #242223=ORIENTED_EDGE('',*,*,#185665,.T.); #242224=ORIENTED_EDGE('',*,*,#185667,.F.); #242225=ORIENTED_EDGE('',*,*,#185668,.F.); #242226=ORIENTED_EDGE('',*,*,#185669,.T.); #242227=ORIENTED_EDGE('',*,*,#185668,.T.); #242228=ORIENTED_EDGE('',*,*,#185670,.F.); #242229=ORIENTED_EDGE('',*,*,#185671,.F.); #242230=ORIENTED_EDGE('',*,*,#185672,.T.); #242231=ORIENTED_EDGE('',*,*,#185671,.T.); #242232=ORIENTED_EDGE('',*,*,#185673,.F.); #242233=ORIENTED_EDGE('',*,*,#185674,.F.); #242234=ORIENTED_EDGE('',*,*,#185675,.T.); #242235=ORIENTED_EDGE('',*,*,#185674,.T.); #242236=ORIENTED_EDGE('',*,*,#185676,.F.); #242237=ORIENTED_EDGE('',*,*,#185677,.F.); #242238=ORIENTED_EDGE('',*,*,#185678,.T.); #242239=ORIENTED_EDGE('',*,*,#185677,.T.); #242240=ORIENTED_EDGE('',*,*,#185679,.F.); #242241=ORIENTED_EDGE('',*,*,#185680,.F.); #242242=ORIENTED_EDGE('',*,*,#185681,.T.); #242243=ORIENTED_EDGE('',*,*,#185680,.T.); #242244=ORIENTED_EDGE('',*,*,#185682,.F.); #242245=ORIENTED_EDGE('',*,*,#185683,.F.); #242246=ORIENTED_EDGE('',*,*,#185684,.T.); #242247=ORIENTED_EDGE('',*,*,#185683,.T.); #242248=ORIENTED_EDGE('',*,*,#185685,.F.); #242249=ORIENTED_EDGE('',*,*,#185686,.F.); #242250=ORIENTED_EDGE('',*,*,#185687,.T.); #242251=ORIENTED_EDGE('',*,*,#185686,.T.); #242252=ORIENTED_EDGE('',*,*,#185688,.F.); #242253=ORIENTED_EDGE('',*,*,#185689,.F.); #242254=ORIENTED_EDGE('',*,*,#185690,.T.); #242255=ORIENTED_EDGE('',*,*,#185689,.T.); #242256=ORIENTED_EDGE('',*,*,#185691,.F.); #242257=ORIENTED_EDGE('',*,*,#185692,.F.); #242258=ORIENTED_EDGE('',*,*,#185693,.T.); #242259=ORIENTED_EDGE('',*,*,#185692,.T.); #242260=ORIENTED_EDGE('',*,*,#185694,.F.); #242261=ORIENTED_EDGE('',*,*,#185695,.F.); #242262=ORIENTED_EDGE('',*,*,#185696,.T.); #242263=ORIENTED_EDGE('',*,*,#185695,.T.); #242264=ORIENTED_EDGE('',*,*,#185697,.F.); #242265=ORIENTED_EDGE('',*,*,#185698,.F.); #242266=ORIENTED_EDGE('',*,*,#185699,.T.); #242267=ORIENTED_EDGE('',*,*,#185698,.T.); #242268=ORIENTED_EDGE('',*,*,#185700,.F.); #242269=ORIENTED_EDGE('',*,*,#185701,.F.); #242270=ORIENTED_EDGE('',*,*,#185702,.T.); #242271=ORIENTED_EDGE('',*,*,#185701,.T.); #242272=ORIENTED_EDGE('',*,*,#185703,.F.); #242273=ORIENTED_EDGE('',*,*,#185704,.F.); #242274=ORIENTED_EDGE('',*,*,#185705,.T.); #242275=ORIENTED_EDGE('',*,*,#185704,.T.); #242276=ORIENTED_EDGE('',*,*,#185706,.F.); #242277=ORIENTED_EDGE('',*,*,#185707,.F.); #242278=ORIENTED_EDGE('',*,*,#185708,.T.); #242279=ORIENTED_EDGE('',*,*,#185707,.T.); #242280=ORIENTED_EDGE('',*,*,#185709,.F.); #242281=ORIENTED_EDGE('',*,*,#185710,.F.); #242282=ORIENTED_EDGE('',*,*,#185711,.T.); #242283=ORIENTED_EDGE('',*,*,#185710,.T.); #242284=ORIENTED_EDGE('',*,*,#185712,.F.); #242285=ORIENTED_EDGE('',*,*,#185713,.F.); #242286=ORIENTED_EDGE('',*,*,#185714,.T.); #242287=ORIENTED_EDGE('',*,*,#185713,.T.); #242288=ORIENTED_EDGE('',*,*,#185715,.F.); #242289=ORIENTED_EDGE('',*,*,#185716,.F.); #242290=ORIENTED_EDGE('',*,*,#185717,.T.); #242291=ORIENTED_EDGE('',*,*,#185716,.T.); #242292=ORIENTED_EDGE('',*,*,#185718,.F.); #242293=ORIENTED_EDGE('',*,*,#185719,.F.); #242294=ORIENTED_EDGE('',*,*,#185720,.T.); #242295=ORIENTED_EDGE('',*,*,#185719,.T.); #242296=ORIENTED_EDGE('',*,*,#185721,.F.); #242297=ORIENTED_EDGE('',*,*,#185722,.F.); #242298=ORIENTED_EDGE('',*,*,#185723,.T.); #242299=ORIENTED_EDGE('',*,*,#185722,.T.); #242300=ORIENTED_EDGE('',*,*,#185724,.F.); #242301=ORIENTED_EDGE('',*,*,#185725,.F.); #242302=ORIENTED_EDGE('',*,*,#185726,.T.); #242303=ORIENTED_EDGE('',*,*,#185725,.T.); #242304=ORIENTED_EDGE('',*,*,#185727,.F.); #242305=ORIENTED_EDGE('',*,*,#185728,.F.); #242306=ORIENTED_EDGE('',*,*,#185729,.T.); #242307=ORIENTED_EDGE('',*,*,#185728,.T.); #242308=ORIENTED_EDGE('',*,*,#185730,.F.); #242309=ORIENTED_EDGE('',*,*,#185731,.F.); #242310=ORIENTED_EDGE('',*,*,#185732,.T.); #242311=ORIENTED_EDGE('',*,*,#185731,.T.); #242312=ORIENTED_EDGE('',*,*,#185733,.F.); #242313=ORIENTED_EDGE('',*,*,#185734,.F.); #242314=ORIENTED_EDGE('',*,*,#185735,.T.); #242315=ORIENTED_EDGE('',*,*,#185734,.T.); #242316=ORIENTED_EDGE('',*,*,#185736,.F.); #242317=ORIENTED_EDGE('',*,*,#185737,.F.); #242318=ORIENTED_EDGE('',*,*,#185738,.T.); #242319=ORIENTED_EDGE('',*,*,#185737,.T.); #242320=ORIENTED_EDGE('',*,*,#185739,.F.); #242321=ORIENTED_EDGE('',*,*,#185740,.F.); #242322=ORIENTED_EDGE('',*,*,#185741,.T.); #242323=ORIENTED_EDGE('',*,*,#185740,.T.); #242324=ORIENTED_EDGE('',*,*,#185742,.F.); #242325=ORIENTED_EDGE('',*,*,#185743,.F.); #242326=ORIENTED_EDGE('',*,*,#185744,.T.); #242327=ORIENTED_EDGE('',*,*,#185743,.T.); #242328=ORIENTED_EDGE('',*,*,#185745,.F.); #242329=ORIENTED_EDGE('',*,*,#185746,.F.); #242330=ORIENTED_EDGE('',*,*,#185747,.T.); #242331=ORIENTED_EDGE('',*,*,#185746,.T.); #242332=ORIENTED_EDGE('',*,*,#185748,.F.); #242333=ORIENTED_EDGE('',*,*,#185749,.F.); #242334=ORIENTED_EDGE('',*,*,#185750,.T.); #242335=ORIENTED_EDGE('',*,*,#185749,.T.); #242336=ORIENTED_EDGE('',*,*,#185751,.F.); #242337=ORIENTED_EDGE('',*,*,#185752,.F.); #242338=ORIENTED_EDGE('',*,*,#185753,.T.); #242339=ORIENTED_EDGE('',*,*,#185752,.T.); #242340=ORIENTED_EDGE('',*,*,#185754,.F.); #242341=ORIENTED_EDGE('',*,*,#185755,.F.); #242342=ORIENTED_EDGE('',*,*,#185756,.T.); #242343=ORIENTED_EDGE('',*,*,#185755,.T.); #242344=ORIENTED_EDGE('',*,*,#185757,.F.); #242345=ORIENTED_EDGE('',*,*,#185758,.F.); #242346=ORIENTED_EDGE('',*,*,#185759,.T.); #242347=ORIENTED_EDGE('',*,*,#185758,.T.); #242348=ORIENTED_EDGE('',*,*,#185760,.F.); #242349=ORIENTED_EDGE('',*,*,#185761,.F.); #242350=ORIENTED_EDGE('',*,*,#185762,.T.); #242351=ORIENTED_EDGE('',*,*,#185761,.T.); #242352=ORIENTED_EDGE('',*,*,#185763,.F.); #242353=ORIENTED_EDGE('',*,*,#185764,.F.); #242354=ORIENTED_EDGE('',*,*,#185765,.T.); #242355=ORIENTED_EDGE('',*,*,#185764,.T.); #242356=ORIENTED_EDGE('',*,*,#185766,.F.); #242357=ORIENTED_EDGE('',*,*,#185767,.F.); #242358=ORIENTED_EDGE('',*,*,#185768,.T.); #242359=ORIENTED_EDGE('',*,*,#185767,.T.); #242360=ORIENTED_EDGE('',*,*,#185769,.F.); #242361=ORIENTED_EDGE('',*,*,#185770,.F.); #242362=ORIENTED_EDGE('',*,*,#185771,.T.); #242363=ORIENTED_EDGE('',*,*,#185770,.T.); #242364=ORIENTED_EDGE('',*,*,#185772,.F.); #242365=ORIENTED_EDGE('',*,*,#185773,.F.); #242366=ORIENTED_EDGE('',*,*,#185774,.T.); #242367=ORIENTED_EDGE('',*,*,#185773,.T.); #242368=ORIENTED_EDGE('',*,*,#185775,.F.); #242369=ORIENTED_EDGE('',*,*,#185776,.F.); #242370=ORIENTED_EDGE('',*,*,#185777,.T.); #242371=ORIENTED_EDGE('',*,*,#185776,.T.); #242372=ORIENTED_EDGE('',*,*,#185778,.F.); #242373=ORIENTED_EDGE('',*,*,#185779,.F.); #242374=ORIENTED_EDGE('',*,*,#185780,.T.); #242375=ORIENTED_EDGE('',*,*,#185779,.T.); #242376=ORIENTED_EDGE('',*,*,#185781,.F.); #242377=ORIENTED_EDGE('',*,*,#185782,.F.); #242378=ORIENTED_EDGE('',*,*,#185783,.T.); #242379=ORIENTED_EDGE('',*,*,#185782,.T.); #242380=ORIENTED_EDGE('',*,*,#185784,.F.); #242381=ORIENTED_EDGE('',*,*,#185785,.F.); #242382=ORIENTED_EDGE('',*,*,#185786,.T.); #242383=ORIENTED_EDGE('',*,*,#185785,.T.); #242384=ORIENTED_EDGE('',*,*,#185787,.F.); #242385=ORIENTED_EDGE('',*,*,#185788,.F.); #242386=ORIENTED_EDGE('',*,*,#185789,.T.); #242387=ORIENTED_EDGE('',*,*,#185788,.T.); #242388=ORIENTED_EDGE('',*,*,#185790,.F.); #242389=ORIENTED_EDGE('',*,*,#185791,.F.); #242390=ORIENTED_EDGE('',*,*,#185792,.T.); #242391=ORIENTED_EDGE('',*,*,#185791,.T.); #242392=ORIENTED_EDGE('',*,*,#185793,.F.); #242393=ORIENTED_EDGE('',*,*,#185794,.F.); #242394=ORIENTED_EDGE('',*,*,#185795,.T.); #242395=ORIENTED_EDGE('',*,*,#185794,.T.); #242396=ORIENTED_EDGE('',*,*,#185796,.F.); #242397=ORIENTED_EDGE('',*,*,#185797,.F.); #242398=ORIENTED_EDGE('',*,*,#185798,.T.); #242399=ORIENTED_EDGE('',*,*,#185797,.T.); #242400=ORIENTED_EDGE('',*,*,#185799,.F.); #242401=ORIENTED_EDGE('',*,*,#185800,.F.); #242402=ORIENTED_EDGE('',*,*,#185801,.T.); #242403=ORIENTED_EDGE('',*,*,#185800,.T.); #242404=ORIENTED_EDGE('',*,*,#185802,.F.); #242405=ORIENTED_EDGE('',*,*,#185803,.F.); #242406=ORIENTED_EDGE('',*,*,#185804,.T.); #242407=ORIENTED_EDGE('',*,*,#185803,.T.); #242408=ORIENTED_EDGE('',*,*,#185805,.F.); #242409=ORIENTED_EDGE('',*,*,#185806,.F.); #242410=ORIENTED_EDGE('',*,*,#185807,.T.); #242411=ORIENTED_EDGE('',*,*,#185806,.T.); #242412=ORIENTED_EDGE('',*,*,#185808,.F.); #242413=ORIENTED_EDGE('',*,*,#185809,.F.); #242414=ORIENTED_EDGE('',*,*,#185810,.T.); #242415=ORIENTED_EDGE('',*,*,#185809,.T.); #242416=ORIENTED_EDGE('',*,*,#185811,.F.); #242417=ORIENTED_EDGE('',*,*,#185812,.F.); #242418=ORIENTED_EDGE('',*,*,#185813,.T.); #242419=ORIENTED_EDGE('',*,*,#185812,.T.); #242420=ORIENTED_EDGE('',*,*,#185814,.F.); #242421=ORIENTED_EDGE('',*,*,#185815,.F.); #242422=ORIENTED_EDGE('',*,*,#185816,.T.); #242423=ORIENTED_EDGE('',*,*,#185815,.T.); #242424=ORIENTED_EDGE('',*,*,#185817,.F.); #242425=ORIENTED_EDGE('',*,*,#185818,.F.); #242426=ORIENTED_EDGE('',*,*,#185819,.T.); #242427=ORIENTED_EDGE('',*,*,#185818,.T.); #242428=ORIENTED_EDGE('',*,*,#185820,.F.); #242429=ORIENTED_EDGE('',*,*,#185821,.F.); #242430=ORIENTED_EDGE('',*,*,#185822,.T.); #242431=ORIENTED_EDGE('',*,*,#185821,.T.); #242432=ORIENTED_EDGE('',*,*,#185823,.F.); #242433=ORIENTED_EDGE('',*,*,#185824,.F.); #242434=ORIENTED_EDGE('',*,*,#185825,.T.); #242435=ORIENTED_EDGE('',*,*,#185824,.T.); #242436=ORIENTED_EDGE('',*,*,#185826,.F.); #242437=ORIENTED_EDGE('',*,*,#185827,.F.); #242438=ORIENTED_EDGE('',*,*,#185828,.T.); #242439=ORIENTED_EDGE('',*,*,#185827,.T.); #242440=ORIENTED_EDGE('',*,*,#185829,.F.); #242441=ORIENTED_EDGE('',*,*,#185830,.F.); #242442=ORIENTED_EDGE('',*,*,#185831,.T.); #242443=ORIENTED_EDGE('',*,*,#185830,.T.); #242444=ORIENTED_EDGE('',*,*,#185832,.F.); #242445=ORIENTED_EDGE('',*,*,#185833,.F.); #242446=ORIENTED_EDGE('',*,*,#185834,.T.); #242447=ORIENTED_EDGE('',*,*,#185833,.T.); #242448=ORIENTED_EDGE('',*,*,#185835,.F.); #242449=ORIENTED_EDGE('',*,*,#185836,.F.); #242450=ORIENTED_EDGE('',*,*,#185837,.T.); #242451=ORIENTED_EDGE('',*,*,#185836,.T.); #242452=ORIENTED_EDGE('',*,*,#185838,.F.); #242453=ORIENTED_EDGE('',*,*,#185839,.F.); #242454=ORIENTED_EDGE('',*,*,#185840,.T.); #242455=ORIENTED_EDGE('',*,*,#185839,.T.); #242456=ORIENTED_EDGE('',*,*,#185841,.F.); #242457=ORIENTED_EDGE('',*,*,#185842,.F.); #242458=ORIENTED_EDGE('',*,*,#185843,.T.); #242459=ORIENTED_EDGE('',*,*,#185842,.T.); #242460=ORIENTED_EDGE('',*,*,#185844,.F.); #242461=ORIENTED_EDGE('',*,*,#185845,.F.); #242462=ORIENTED_EDGE('',*,*,#185846,.T.); #242463=ORIENTED_EDGE('',*,*,#185845,.T.); #242464=ORIENTED_EDGE('',*,*,#185847,.F.); #242465=ORIENTED_EDGE('',*,*,#185848,.F.); #242466=ORIENTED_EDGE('',*,*,#185849,.T.); #242467=ORIENTED_EDGE('',*,*,#185848,.T.); #242468=ORIENTED_EDGE('',*,*,#185850,.F.); #242469=ORIENTED_EDGE('',*,*,#185851,.F.); #242470=ORIENTED_EDGE('',*,*,#185852,.T.); #242471=ORIENTED_EDGE('',*,*,#185851,.T.); #242472=ORIENTED_EDGE('',*,*,#185853,.F.); #242473=ORIENTED_EDGE('',*,*,#185854,.F.); #242474=ORIENTED_EDGE('',*,*,#185855,.T.); #242475=ORIENTED_EDGE('',*,*,#185854,.T.); #242476=ORIENTED_EDGE('',*,*,#185856,.F.); #242477=ORIENTED_EDGE('',*,*,#185857,.F.); #242478=ORIENTED_EDGE('',*,*,#185858,.T.); #242479=ORIENTED_EDGE('',*,*,#185857,.T.); #242480=ORIENTED_EDGE('',*,*,#185859,.F.); #242481=ORIENTED_EDGE('',*,*,#185860,.F.); #242482=ORIENTED_EDGE('',*,*,#185861,.T.); #242483=ORIENTED_EDGE('',*,*,#185860,.T.); #242484=ORIENTED_EDGE('',*,*,#185862,.F.); #242485=ORIENTED_EDGE('',*,*,#185863,.F.); #242486=ORIENTED_EDGE('',*,*,#185864,.T.); #242487=ORIENTED_EDGE('',*,*,#185863,.T.); #242488=ORIENTED_EDGE('',*,*,#185865,.F.); #242489=ORIENTED_EDGE('',*,*,#185866,.F.); #242490=ORIENTED_EDGE('',*,*,#185867,.T.); #242491=ORIENTED_EDGE('',*,*,#185866,.T.); #242492=ORIENTED_EDGE('',*,*,#185868,.F.); #242493=ORIENTED_EDGE('',*,*,#185869,.F.); #242494=ORIENTED_EDGE('',*,*,#185870,.T.); #242495=ORIENTED_EDGE('',*,*,#185869,.T.); #242496=ORIENTED_EDGE('',*,*,#185871,.F.); #242497=ORIENTED_EDGE('',*,*,#185872,.F.); #242498=ORIENTED_EDGE('',*,*,#185873,.T.); #242499=ORIENTED_EDGE('',*,*,#185872,.T.); #242500=ORIENTED_EDGE('',*,*,#185874,.F.); #242501=ORIENTED_EDGE('',*,*,#185875,.F.); #242502=ORIENTED_EDGE('',*,*,#185876,.T.); #242503=ORIENTED_EDGE('',*,*,#185875,.T.); #242504=ORIENTED_EDGE('',*,*,#185877,.F.); #242505=ORIENTED_EDGE('',*,*,#185878,.F.); #242506=ORIENTED_EDGE('',*,*,#185879,.T.); #242507=ORIENTED_EDGE('',*,*,#185878,.T.); #242508=ORIENTED_EDGE('',*,*,#185880,.F.); #242509=ORIENTED_EDGE('',*,*,#185881,.F.); #242510=ORIENTED_EDGE('',*,*,#185882,.T.); #242511=ORIENTED_EDGE('',*,*,#185881,.T.); #242512=ORIENTED_EDGE('',*,*,#185883,.F.); #242513=ORIENTED_EDGE('',*,*,#185884,.F.); #242514=ORIENTED_EDGE('',*,*,#185885,.T.); #242515=ORIENTED_EDGE('',*,*,#185884,.T.); #242516=ORIENTED_EDGE('',*,*,#185886,.F.); #242517=ORIENTED_EDGE('',*,*,#185887,.F.); #242518=ORIENTED_EDGE('',*,*,#185888,.T.); #242519=ORIENTED_EDGE('',*,*,#185887,.T.); #242520=ORIENTED_EDGE('',*,*,#185889,.F.); #242521=ORIENTED_EDGE('',*,*,#185890,.F.); #242522=ORIENTED_EDGE('',*,*,#185891,.T.); #242523=ORIENTED_EDGE('',*,*,#185890,.T.); #242524=ORIENTED_EDGE('',*,*,#185892,.F.); #242525=ORIENTED_EDGE('',*,*,#185893,.F.); #242526=ORIENTED_EDGE('',*,*,#185894,.T.); #242527=ORIENTED_EDGE('',*,*,#185893,.T.); #242528=ORIENTED_EDGE('',*,*,#185895,.F.); #242529=ORIENTED_EDGE('',*,*,#185896,.F.); #242530=ORIENTED_EDGE('',*,*,#185897,.T.); #242531=ORIENTED_EDGE('',*,*,#185896,.T.); #242532=ORIENTED_EDGE('',*,*,#185898,.F.); #242533=ORIENTED_EDGE('',*,*,#185899,.F.); #242534=ORIENTED_EDGE('',*,*,#185900,.T.); #242535=ORIENTED_EDGE('',*,*,#185899,.T.); #242536=ORIENTED_EDGE('',*,*,#185901,.F.); #242537=ORIENTED_EDGE('',*,*,#185902,.F.); #242538=ORIENTED_EDGE('',*,*,#185903,.T.); #242539=ORIENTED_EDGE('',*,*,#185902,.T.); #242540=ORIENTED_EDGE('',*,*,#185904,.F.); #242541=ORIENTED_EDGE('',*,*,#185905,.F.); #242542=ORIENTED_EDGE('',*,*,#185906,.T.); #242543=ORIENTED_EDGE('',*,*,#185905,.T.); #242544=ORIENTED_EDGE('',*,*,#185907,.F.); #242545=ORIENTED_EDGE('',*,*,#185908,.F.); #242546=ORIENTED_EDGE('',*,*,#185909,.T.); #242547=ORIENTED_EDGE('',*,*,#185908,.T.); #242548=ORIENTED_EDGE('',*,*,#185910,.F.); #242549=ORIENTED_EDGE('',*,*,#185911,.F.); #242550=ORIENTED_EDGE('',*,*,#185912,.T.); #242551=ORIENTED_EDGE('',*,*,#185911,.T.); #242552=ORIENTED_EDGE('',*,*,#185913,.F.); #242553=ORIENTED_EDGE('',*,*,#185914,.F.); #242554=ORIENTED_EDGE('',*,*,#185915,.T.); #242555=ORIENTED_EDGE('',*,*,#185914,.T.); #242556=ORIENTED_EDGE('',*,*,#185916,.F.); #242557=ORIENTED_EDGE('',*,*,#185917,.F.); #242558=ORIENTED_EDGE('',*,*,#185918,.T.); #242559=ORIENTED_EDGE('',*,*,#185917,.T.); #242560=ORIENTED_EDGE('',*,*,#185919,.F.); #242561=ORIENTED_EDGE('',*,*,#185920,.F.); #242562=ORIENTED_EDGE('',*,*,#185921,.T.); #242563=ORIENTED_EDGE('',*,*,#185920,.T.); #242564=ORIENTED_EDGE('',*,*,#185922,.F.); #242565=ORIENTED_EDGE('',*,*,#185923,.F.); #242566=ORIENTED_EDGE('',*,*,#185924,.T.); #242567=ORIENTED_EDGE('',*,*,#185923,.T.); #242568=ORIENTED_EDGE('',*,*,#185925,.F.); #242569=ORIENTED_EDGE('',*,*,#185926,.F.); #242570=ORIENTED_EDGE('',*,*,#185927,.T.); #242571=ORIENTED_EDGE('',*,*,#185926,.T.); #242572=ORIENTED_EDGE('',*,*,#185928,.F.); #242573=ORIENTED_EDGE('',*,*,#185929,.F.); #242574=ORIENTED_EDGE('',*,*,#185930,.T.); #242575=ORIENTED_EDGE('',*,*,#185929,.T.); #242576=ORIENTED_EDGE('',*,*,#185931,.F.); #242577=ORIENTED_EDGE('',*,*,#185932,.F.); #242578=ORIENTED_EDGE('',*,*,#185933,.T.); #242579=ORIENTED_EDGE('',*,*,#185932,.T.); #242580=ORIENTED_EDGE('',*,*,#185934,.F.); #242581=ORIENTED_EDGE('',*,*,#185935,.F.); #242582=ORIENTED_EDGE('',*,*,#185936,.T.); #242583=ORIENTED_EDGE('',*,*,#185935,.T.); #242584=ORIENTED_EDGE('',*,*,#185937,.F.); #242585=ORIENTED_EDGE('',*,*,#185938,.F.); #242586=ORIENTED_EDGE('',*,*,#185939,.T.); #242587=ORIENTED_EDGE('',*,*,#185938,.T.); #242588=ORIENTED_EDGE('',*,*,#185940,.F.); #242589=ORIENTED_EDGE('',*,*,#185941,.F.); #242590=ORIENTED_EDGE('',*,*,#185942,.T.); #242591=ORIENTED_EDGE('',*,*,#185941,.T.); #242592=ORIENTED_EDGE('',*,*,#185943,.F.); #242593=ORIENTED_EDGE('',*,*,#185944,.F.); #242594=ORIENTED_EDGE('',*,*,#185945,.T.); #242595=ORIENTED_EDGE('',*,*,#185944,.T.); #242596=ORIENTED_EDGE('',*,*,#185946,.F.); #242597=ORIENTED_EDGE('',*,*,#185947,.F.); #242598=ORIENTED_EDGE('',*,*,#185948,.T.); #242599=ORIENTED_EDGE('',*,*,#185947,.T.); #242600=ORIENTED_EDGE('',*,*,#185949,.F.); #242601=ORIENTED_EDGE('',*,*,#185950,.F.); #242602=ORIENTED_EDGE('',*,*,#185951,.T.); #242603=ORIENTED_EDGE('',*,*,#185950,.T.); #242604=ORIENTED_EDGE('',*,*,#185952,.F.); #242605=ORIENTED_EDGE('',*,*,#185953,.F.); #242606=ORIENTED_EDGE('',*,*,#185954,.T.); #242607=ORIENTED_EDGE('',*,*,#185953,.T.); #242608=ORIENTED_EDGE('',*,*,#185955,.F.); #242609=ORIENTED_EDGE('',*,*,#185956,.F.); #242610=ORIENTED_EDGE('',*,*,#185957,.T.); #242611=ORIENTED_EDGE('',*,*,#185956,.T.); #242612=ORIENTED_EDGE('',*,*,#185958,.F.); #242613=ORIENTED_EDGE('',*,*,#185959,.F.); #242614=ORIENTED_EDGE('',*,*,#185960,.T.); #242615=ORIENTED_EDGE('',*,*,#185959,.T.); #242616=ORIENTED_EDGE('',*,*,#185961,.F.); #242617=ORIENTED_EDGE('',*,*,#185962,.F.); #242618=ORIENTED_EDGE('',*,*,#185963,.T.); #242619=ORIENTED_EDGE('',*,*,#185962,.T.); #242620=ORIENTED_EDGE('',*,*,#185964,.F.); #242621=ORIENTED_EDGE('',*,*,#185965,.F.); #242622=ORIENTED_EDGE('',*,*,#185966,.T.); #242623=ORIENTED_EDGE('',*,*,#185965,.T.); #242624=ORIENTED_EDGE('',*,*,#185967,.F.); #242625=ORIENTED_EDGE('',*,*,#185968,.F.); #242626=ORIENTED_EDGE('',*,*,#185969,.T.); #242627=ORIENTED_EDGE('',*,*,#185968,.T.); #242628=ORIENTED_EDGE('',*,*,#185970,.F.); #242629=ORIENTED_EDGE('',*,*,#185971,.F.); #242630=ORIENTED_EDGE('',*,*,#185972,.T.); #242631=ORIENTED_EDGE('',*,*,#185971,.T.); #242632=ORIENTED_EDGE('',*,*,#185973,.F.); #242633=ORIENTED_EDGE('',*,*,#185974,.F.); #242634=ORIENTED_EDGE('',*,*,#185975,.T.); #242635=ORIENTED_EDGE('',*,*,#185974,.T.); #242636=ORIENTED_EDGE('',*,*,#185976,.F.); #242637=ORIENTED_EDGE('',*,*,#185977,.F.); #242638=ORIENTED_EDGE('',*,*,#185978,.T.); #242639=ORIENTED_EDGE('',*,*,#185977,.T.); #242640=ORIENTED_EDGE('',*,*,#185979,.F.); #242641=ORIENTED_EDGE('',*,*,#185980,.F.); #242642=ORIENTED_EDGE('',*,*,#185981,.T.); #242643=ORIENTED_EDGE('',*,*,#185980,.T.); #242644=ORIENTED_EDGE('',*,*,#185982,.F.); #242645=ORIENTED_EDGE('',*,*,#185983,.F.); #242646=ORIENTED_EDGE('',*,*,#185984,.T.); #242647=ORIENTED_EDGE('',*,*,#185983,.T.); #242648=ORIENTED_EDGE('',*,*,#185985,.F.); #242649=ORIENTED_EDGE('',*,*,#185986,.F.); #242650=ORIENTED_EDGE('',*,*,#185987,.T.); #242651=ORIENTED_EDGE('',*,*,#185986,.T.); #242652=ORIENTED_EDGE('',*,*,#185988,.F.); #242653=ORIENTED_EDGE('',*,*,#185989,.F.); #242654=ORIENTED_EDGE('',*,*,#185990,.T.); #242655=ORIENTED_EDGE('',*,*,#185989,.T.); #242656=ORIENTED_EDGE('',*,*,#185991,.F.); #242657=ORIENTED_EDGE('',*,*,#185992,.F.); #242658=ORIENTED_EDGE('',*,*,#185993,.T.); #242659=ORIENTED_EDGE('',*,*,#185992,.T.); #242660=ORIENTED_EDGE('',*,*,#185994,.F.); #242661=ORIENTED_EDGE('',*,*,#185995,.F.); #242662=ORIENTED_EDGE('',*,*,#185996,.T.); #242663=ORIENTED_EDGE('',*,*,#185995,.T.); #242664=ORIENTED_EDGE('',*,*,#185997,.F.); #242665=ORIENTED_EDGE('',*,*,#185998,.F.); #242666=ORIENTED_EDGE('',*,*,#185999,.T.); #242667=ORIENTED_EDGE('',*,*,#185998,.T.); #242668=ORIENTED_EDGE('',*,*,#186000,.F.); #242669=ORIENTED_EDGE('',*,*,#186001,.F.); #242670=ORIENTED_EDGE('',*,*,#186002,.T.); #242671=ORIENTED_EDGE('',*,*,#186001,.T.); #242672=ORIENTED_EDGE('',*,*,#186003,.F.); #242673=ORIENTED_EDGE('',*,*,#186004,.F.); #242674=ORIENTED_EDGE('',*,*,#186005,.T.); #242675=ORIENTED_EDGE('',*,*,#186004,.T.); #242676=ORIENTED_EDGE('',*,*,#186006,.F.); #242677=ORIENTED_EDGE('',*,*,#186007,.F.); #242678=ORIENTED_EDGE('',*,*,#186008,.T.); #242679=ORIENTED_EDGE('',*,*,#186007,.T.); #242680=ORIENTED_EDGE('',*,*,#186009,.F.); #242681=ORIENTED_EDGE('',*,*,#186010,.F.); #242682=ORIENTED_EDGE('',*,*,#186011,.T.); #242683=ORIENTED_EDGE('',*,*,#186010,.T.); #242684=ORIENTED_EDGE('',*,*,#186012,.F.); #242685=ORIENTED_EDGE('',*,*,#186013,.F.); #242686=ORIENTED_EDGE('',*,*,#186014,.T.); #242687=ORIENTED_EDGE('',*,*,#186013,.T.); #242688=ORIENTED_EDGE('',*,*,#186015,.F.); #242689=ORIENTED_EDGE('',*,*,#186016,.F.); #242690=ORIENTED_EDGE('',*,*,#186017,.T.); #242691=ORIENTED_EDGE('',*,*,#186016,.T.); #242692=ORIENTED_EDGE('',*,*,#186018,.F.); #242693=ORIENTED_EDGE('',*,*,#186019,.F.); #242694=ORIENTED_EDGE('',*,*,#186020,.T.); #242695=ORIENTED_EDGE('',*,*,#186019,.T.); #242696=ORIENTED_EDGE('',*,*,#186021,.F.); #242697=ORIENTED_EDGE('',*,*,#186022,.F.); #242698=ORIENTED_EDGE('',*,*,#186023,.T.); #242699=ORIENTED_EDGE('',*,*,#186022,.T.); #242700=ORIENTED_EDGE('',*,*,#186024,.F.); #242701=ORIENTED_EDGE('',*,*,#186025,.F.); #242702=ORIENTED_EDGE('',*,*,#186026,.T.); #242703=ORIENTED_EDGE('',*,*,#186025,.T.); #242704=ORIENTED_EDGE('',*,*,#186027,.F.); #242705=ORIENTED_EDGE('',*,*,#186028,.F.); #242706=ORIENTED_EDGE('',*,*,#186029,.T.); #242707=ORIENTED_EDGE('',*,*,#186028,.T.); #242708=ORIENTED_EDGE('',*,*,#186030,.F.); #242709=ORIENTED_EDGE('',*,*,#186031,.F.); #242710=ORIENTED_EDGE('',*,*,#186032,.T.); #242711=ORIENTED_EDGE('',*,*,#186031,.T.); #242712=ORIENTED_EDGE('',*,*,#186033,.F.); #242713=ORIENTED_EDGE('',*,*,#186034,.F.); #242714=ORIENTED_EDGE('',*,*,#186035,.T.); #242715=ORIENTED_EDGE('',*,*,#186034,.T.); #242716=ORIENTED_EDGE('',*,*,#186036,.F.); #242717=ORIENTED_EDGE('',*,*,#186037,.F.); #242718=ORIENTED_EDGE('',*,*,#186038,.T.); #242719=ORIENTED_EDGE('',*,*,#186037,.T.); #242720=ORIENTED_EDGE('',*,*,#186039,.F.); #242721=ORIENTED_EDGE('',*,*,#186040,.F.); #242722=ORIENTED_EDGE('',*,*,#186041,.T.); #242723=ORIENTED_EDGE('',*,*,#186040,.T.); #242724=ORIENTED_EDGE('',*,*,#186042,.F.); #242725=ORIENTED_EDGE('',*,*,#186043,.F.); #242726=ORIENTED_EDGE('',*,*,#186044,.T.); #242727=ORIENTED_EDGE('',*,*,#186043,.T.); #242728=ORIENTED_EDGE('',*,*,#186045,.F.); #242729=ORIENTED_EDGE('',*,*,#186046,.F.); #242730=ORIENTED_EDGE('',*,*,#186047,.T.); #242731=ORIENTED_EDGE('',*,*,#186046,.T.); #242732=ORIENTED_EDGE('',*,*,#186048,.F.); #242733=ORIENTED_EDGE('',*,*,#186049,.F.); #242734=ORIENTED_EDGE('',*,*,#186050,.T.); #242735=ORIENTED_EDGE('',*,*,#186049,.T.); #242736=ORIENTED_EDGE('',*,*,#186051,.F.); #242737=ORIENTED_EDGE('',*,*,#186052,.F.); #242738=ORIENTED_EDGE('',*,*,#186053,.T.); #242739=ORIENTED_EDGE('',*,*,#186052,.T.); #242740=ORIENTED_EDGE('',*,*,#186054,.F.); #242741=ORIENTED_EDGE('',*,*,#186055,.F.); #242742=ORIENTED_EDGE('',*,*,#186056,.T.); #242743=ORIENTED_EDGE('',*,*,#186055,.T.); #242744=ORIENTED_EDGE('',*,*,#186057,.F.); #242745=ORIENTED_EDGE('',*,*,#186058,.F.); #242746=ORIENTED_EDGE('',*,*,#186059,.T.); #242747=ORIENTED_EDGE('',*,*,#186058,.T.); #242748=ORIENTED_EDGE('',*,*,#186060,.F.); #242749=ORIENTED_EDGE('',*,*,#186061,.F.); #242750=ORIENTED_EDGE('',*,*,#186062,.T.); #242751=ORIENTED_EDGE('',*,*,#186061,.T.); #242752=ORIENTED_EDGE('',*,*,#186063,.F.); #242753=ORIENTED_EDGE('',*,*,#186064,.F.); #242754=ORIENTED_EDGE('',*,*,#186065,.T.); #242755=ORIENTED_EDGE('',*,*,#186064,.T.); #242756=ORIENTED_EDGE('',*,*,#186066,.F.); #242757=ORIENTED_EDGE('',*,*,#186067,.F.); #242758=ORIENTED_EDGE('',*,*,#186068,.T.); #242759=ORIENTED_EDGE('',*,*,#186067,.T.); #242760=ORIENTED_EDGE('',*,*,#186069,.F.); #242761=ORIENTED_EDGE('',*,*,#186070,.F.); #242762=ORIENTED_EDGE('',*,*,#186071,.T.); #242763=ORIENTED_EDGE('',*,*,#186070,.T.); #242764=ORIENTED_EDGE('',*,*,#186072,.F.); #242765=ORIENTED_EDGE('',*,*,#186073,.F.); #242766=ORIENTED_EDGE('',*,*,#186074,.T.); #242767=ORIENTED_EDGE('',*,*,#186073,.T.); #242768=ORIENTED_EDGE('',*,*,#186075,.F.); #242769=ORIENTED_EDGE('',*,*,#186076,.F.); #242770=ORIENTED_EDGE('',*,*,#186077,.T.); #242771=ORIENTED_EDGE('',*,*,#186076,.T.); #242772=ORIENTED_EDGE('',*,*,#186078,.F.); #242773=ORIENTED_EDGE('',*,*,#186079,.F.); #242774=ORIENTED_EDGE('',*,*,#186080,.T.); #242775=ORIENTED_EDGE('',*,*,#186079,.T.); #242776=ORIENTED_EDGE('',*,*,#186081,.F.); #242777=ORIENTED_EDGE('',*,*,#186082,.F.); #242778=ORIENTED_EDGE('',*,*,#186083,.T.); #242779=ORIENTED_EDGE('',*,*,#186082,.T.); #242780=ORIENTED_EDGE('',*,*,#186084,.F.); #242781=ORIENTED_EDGE('',*,*,#186085,.F.); #242782=ORIENTED_EDGE('',*,*,#186086,.T.); #242783=ORIENTED_EDGE('',*,*,#186085,.T.); #242784=ORIENTED_EDGE('',*,*,#186087,.F.); #242785=ORIENTED_EDGE('',*,*,#186088,.F.); #242786=ORIENTED_EDGE('',*,*,#186089,.T.); #242787=ORIENTED_EDGE('',*,*,#186088,.T.); #242788=ORIENTED_EDGE('',*,*,#186090,.F.); #242789=ORIENTED_EDGE('',*,*,#186091,.F.); #242790=ORIENTED_EDGE('',*,*,#186092,.T.); #242791=ORIENTED_EDGE('',*,*,#186091,.T.); #242792=ORIENTED_EDGE('',*,*,#186093,.F.); #242793=ORIENTED_EDGE('',*,*,#186094,.F.); #242794=ORIENTED_EDGE('',*,*,#186095,.T.); #242795=ORIENTED_EDGE('',*,*,#186094,.T.); #242796=ORIENTED_EDGE('',*,*,#186096,.F.); #242797=ORIENTED_EDGE('',*,*,#186097,.F.); #242798=ORIENTED_EDGE('',*,*,#186098,.T.); #242799=ORIENTED_EDGE('',*,*,#186097,.T.); #242800=ORIENTED_EDGE('',*,*,#186099,.F.); #242801=ORIENTED_EDGE('',*,*,#186100,.F.); #242802=ORIENTED_EDGE('',*,*,#186101,.T.); #242803=ORIENTED_EDGE('',*,*,#186100,.T.); #242804=ORIENTED_EDGE('',*,*,#186102,.F.); #242805=ORIENTED_EDGE('',*,*,#186103,.F.); #242806=ORIENTED_EDGE('',*,*,#186104,.T.); #242807=ORIENTED_EDGE('',*,*,#186103,.T.); #242808=ORIENTED_EDGE('',*,*,#186105,.F.); #242809=ORIENTED_EDGE('',*,*,#186106,.F.); #242810=ORIENTED_EDGE('',*,*,#186107,.T.); #242811=ORIENTED_EDGE('',*,*,#186106,.T.); #242812=ORIENTED_EDGE('',*,*,#186108,.F.); #242813=ORIENTED_EDGE('',*,*,#186109,.F.); #242814=ORIENTED_EDGE('',*,*,#186110,.T.); #242815=ORIENTED_EDGE('',*,*,#186109,.T.); #242816=ORIENTED_EDGE('',*,*,#186111,.F.); #242817=ORIENTED_EDGE('',*,*,#186112,.F.); #242818=ORIENTED_EDGE('',*,*,#186113,.T.); #242819=ORIENTED_EDGE('',*,*,#186112,.T.); #242820=ORIENTED_EDGE('',*,*,#186114,.F.); #242821=ORIENTED_EDGE('',*,*,#186115,.F.); #242822=ORIENTED_EDGE('',*,*,#186116,.T.); #242823=ORIENTED_EDGE('',*,*,#186115,.T.); #242824=ORIENTED_EDGE('',*,*,#186117,.F.); #242825=ORIENTED_EDGE('',*,*,#186118,.F.); #242826=ORIENTED_EDGE('',*,*,#186119,.T.); #242827=ORIENTED_EDGE('',*,*,#186118,.T.); #242828=ORIENTED_EDGE('',*,*,#186120,.F.); #242829=ORIENTED_EDGE('',*,*,#186121,.F.); #242830=ORIENTED_EDGE('',*,*,#186122,.T.); #242831=ORIENTED_EDGE('',*,*,#186121,.T.); #242832=ORIENTED_EDGE('',*,*,#186123,.F.); #242833=ORIENTED_EDGE('',*,*,#186124,.F.); #242834=ORIENTED_EDGE('',*,*,#186125,.T.); #242835=ORIENTED_EDGE('',*,*,#186124,.T.); #242836=ORIENTED_EDGE('',*,*,#186126,.F.); #242837=ORIENTED_EDGE('',*,*,#186127,.F.); #242838=ORIENTED_EDGE('',*,*,#186128,.T.); #242839=ORIENTED_EDGE('',*,*,#186127,.T.); #242840=ORIENTED_EDGE('',*,*,#186129,.F.); #242841=ORIENTED_EDGE('',*,*,#186130,.F.); #242842=ORIENTED_EDGE('',*,*,#186131,.T.); #242843=ORIENTED_EDGE('',*,*,#186130,.T.); #242844=ORIENTED_EDGE('',*,*,#186132,.F.); #242845=ORIENTED_EDGE('',*,*,#186133,.F.); #242846=ORIENTED_EDGE('',*,*,#186134,.T.); #242847=ORIENTED_EDGE('',*,*,#186133,.T.); #242848=ORIENTED_EDGE('',*,*,#186135,.F.); #242849=ORIENTED_EDGE('',*,*,#186136,.F.); #242850=ORIENTED_EDGE('',*,*,#186137,.T.); #242851=ORIENTED_EDGE('',*,*,#186136,.T.); #242852=ORIENTED_EDGE('',*,*,#186138,.F.); #242853=ORIENTED_EDGE('',*,*,#186139,.F.); #242854=ORIENTED_EDGE('',*,*,#186140,.T.); #242855=ORIENTED_EDGE('',*,*,#186139,.T.); #242856=ORIENTED_EDGE('',*,*,#186141,.F.); #242857=ORIENTED_EDGE('',*,*,#186142,.F.); #242858=ORIENTED_EDGE('',*,*,#186143,.T.); #242859=ORIENTED_EDGE('',*,*,#186142,.T.); #242860=ORIENTED_EDGE('',*,*,#186144,.F.); #242861=ORIENTED_EDGE('',*,*,#186145,.F.); #242862=ORIENTED_EDGE('',*,*,#186146,.T.); #242863=ORIENTED_EDGE('',*,*,#186145,.T.); #242864=ORIENTED_EDGE('',*,*,#186147,.F.); #242865=ORIENTED_EDGE('',*,*,#186148,.F.); #242866=ORIENTED_EDGE('',*,*,#186149,.T.); #242867=ORIENTED_EDGE('',*,*,#186148,.T.); #242868=ORIENTED_EDGE('',*,*,#186150,.F.); #242869=ORIENTED_EDGE('',*,*,#186151,.F.); #242870=ORIENTED_EDGE('',*,*,#186152,.T.); #242871=ORIENTED_EDGE('',*,*,#186151,.T.); #242872=ORIENTED_EDGE('',*,*,#186153,.F.); #242873=ORIENTED_EDGE('',*,*,#185606,.F.); #242874=ORIENTED_EDGE('',*,*,#186153,.T.); #242875=ORIENTED_EDGE('',*,*,#186150,.T.); #242876=ORIENTED_EDGE('',*,*,#186147,.T.); #242877=ORIENTED_EDGE('',*,*,#186144,.T.); #242878=ORIENTED_EDGE('',*,*,#186141,.T.); #242879=ORIENTED_EDGE('',*,*,#186138,.T.); #242880=ORIENTED_EDGE('',*,*,#186135,.T.); #242881=ORIENTED_EDGE('',*,*,#186132,.T.); #242882=ORIENTED_EDGE('',*,*,#186129,.T.); #242883=ORIENTED_EDGE('',*,*,#186126,.T.); #242884=ORIENTED_EDGE('',*,*,#186123,.T.); #242885=ORIENTED_EDGE('',*,*,#186120,.T.); #242886=ORIENTED_EDGE('',*,*,#186117,.T.); #242887=ORIENTED_EDGE('',*,*,#186114,.T.); #242888=ORIENTED_EDGE('',*,*,#186111,.T.); #242889=ORIENTED_EDGE('',*,*,#186108,.T.); #242890=ORIENTED_EDGE('',*,*,#186105,.T.); #242891=ORIENTED_EDGE('',*,*,#186102,.T.); #242892=ORIENTED_EDGE('',*,*,#186099,.T.); #242893=ORIENTED_EDGE('',*,*,#186096,.T.); #242894=ORIENTED_EDGE('',*,*,#186093,.T.); #242895=ORIENTED_EDGE('',*,*,#186090,.T.); #242896=ORIENTED_EDGE('',*,*,#186087,.T.); #242897=ORIENTED_EDGE('',*,*,#186084,.T.); #242898=ORIENTED_EDGE('',*,*,#186081,.T.); #242899=ORIENTED_EDGE('',*,*,#186078,.T.); #242900=ORIENTED_EDGE('',*,*,#186075,.T.); #242901=ORIENTED_EDGE('',*,*,#186072,.T.); #242902=ORIENTED_EDGE('',*,*,#186069,.T.); #242903=ORIENTED_EDGE('',*,*,#186066,.T.); #242904=ORIENTED_EDGE('',*,*,#186063,.T.); #242905=ORIENTED_EDGE('',*,*,#186060,.T.); #242906=ORIENTED_EDGE('',*,*,#186057,.T.); #242907=ORIENTED_EDGE('',*,*,#186054,.T.); #242908=ORIENTED_EDGE('',*,*,#186051,.T.); #242909=ORIENTED_EDGE('',*,*,#186048,.T.); #242910=ORIENTED_EDGE('',*,*,#186045,.T.); #242911=ORIENTED_EDGE('',*,*,#186042,.T.); #242912=ORIENTED_EDGE('',*,*,#186039,.T.); #242913=ORIENTED_EDGE('',*,*,#186036,.T.); #242914=ORIENTED_EDGE('',*,*,#186033,.T.); #242915=ORIENTED_EDGE('',*,*,#186030,.T.); #242916=ORIENTED_EDGE('',*,*,#186027,.T.); #242917=ORIENTED_EDGE('',*,*,#186024,.T.); #242918=ORIENTED_EDGE('',*,*,#186021,.T.); #242919=ORIENTED_EDGE('',*,*,#186018,.T.); #242920=ORIENTED_EDGE('',*,*,#186015,.T.); #242921=ORIENTED_EDGE('',*,*,#186012,.T.); #242922=ORIENTED_EDGE('',*,*,#186009,.T.); #242923=ORIENTED_EDGE('',*,*,#186006,.T.); #242924=ORIENTED_EDGE('',*,*,#186003,.T.); #242925=ORIENTED_EDGE('',*,*,#186000,.T.); #242926=ORIENTED_EDGE('',*,*,#185997,.T.); #242927=ORIENTED_EDGE('',*,*,#185994,.T.); #242928=ORIENTED_EDGE('',*,*,#185991,.T.); #242929=ORIENTED_EDGE('',*,*,#185988,.T.); #242930=ORIENTED_EDGE('',*,*,#185985,.T.); #242931=ORIENTED_EDGE('',*,*,#185982,.T.); #242932=ORIENTED_EDGE('',*,*,#185979,.T.); #242933=ORIENTED_EDGE('',*,*,#185976,.T.); #242934=ORIENTED_EDGE('',*,*,#185973,.T.); #242935=ORIENTED_EDGE('',*,*,#185970,.T.); #242936=ORIENTED_EDGE('',*,*,#185967,.T.); #242937=ORIENTED_EDGE('',*,*,#185964,.T.); #242938=ORIENTED_EDGE('',*,*,#185961,.T.); #242939=ORIENTED_EDGE('',*,*,#185958,.T.); #242940=ORIENTED_EDGE('',*,*,#185955,.T.); #242941=ORIENTED_EDGE('',*,*,#185952,.T.); #242942=ORIENTED_EDGE('',*,*,#185949,.T.); #242943=ORIENTED_EDGE('',*,*,#185946,.T.); #242944=ORIENTED_EDGE('',*,*,#185943,.T.); #242945=ORIENTED_EDGE('',*,*,#185940,.T.); #242946=ORIENTED_EDGE('',*,*,#185937,.T.); #242947=ORIENTED_EDGE('',*,*,#185934,.T.); #242948=ORIENTED_EDGE('',*,*,#185931,.T.); #242949=ORIENTED_EDGE('',*,*,#185928,.T.); #242950=ORIENTED_EDGE('',*,*,#185925,.T.); #242951=ORIENTED_EDGE('',*,*,#185922,.T.); #242952=ORIENTED_EDGE('',*,*,#185919,.T.); #242953=ORIENTED_EDGE('',*,*,#185916,.T.); #242954=ORIENTED_EDGE('',*,*,#185913,.T.); #242955=ORIENTED_EDGE('',*,*,#185910,.T.); #242956=ORIENTED_EDGE('',*,*,#185907,.T.); #242957=ORIENTED_EDGE('',*,*,#185904,.T.); #242958=ORIENTED_EDGE('',*,*,#185901,.T.); #242959=ORIENTED_EDGE('',*,*,#185898,.T.); #242960=ORIENTED_EDGE('',*,*,#185895,.T.); #242961=ORIENTED_EDGE('',*,*,#185892,.T.); #242962=ORIENTED_EDGE('',*,*,#185889,.T.); #242963=ORIENTED_EDGE('',*,*,#185886,.T.); #242964=ORIENTED_EDGE('',*,*,#185883,.T.); #242965=ORIENTED_EDGE('',*,*,#185880,.T.); #242966=ORIENTED_EDGE('',*,*,#185877,.T.); #242967=ORIENTED_EDGE('',*,*,#185874,.T.); #242968=ORIENTED_EDGE('',*,*,#185871,.T.); #242969=ORIENTED_EDGE('',*,*,#185868,.T.); #242970=ORIENTED_EDGE('',*,*,#185865,.T.); #242971=ORIENTED_EDGE('',*,*,#185862,.T.); #242972=ORIENTED_EDGE('',*,*,#185859,.T.); #242973=ORIENTED_EDGE('',*,*,#185856,.T.); #242974=ORIENTED_EDGE('',*,*,#185853,.T.); #242975=ORIENTED_EDGE('',*,*,#185850,.T.); #242976=ORIENTED_EDGE('',*,*,#185847,.T.); #242977=ORIENTED_EDGE('',*,*,#185844,.T.); #242978=ORIENTED_EDGE('',*,*,#185841,.T.); #242979=ORIENTED_EDGE('',*,*,#185838,.T.); #242980=ORIENTED_EDGE('',*,*,#185835,.T.); #242981=ORIENTED_EDGE('',*,*,#185832,.T.); #242982=ORIENTED_EDGE('',*,*,#185829,.T.); #242983=ORIENTED_EDGE('',*,*,#185826,.T.); #242984=ORIENTED_EDGE('',*,*,#185823,.T.); #242985=ORIENTED_EDGE('',*,*,#185820,.T.); #242986=ORIENTED_EDGE('',*,*,#185817,.T.); #242987=ORIENTED_EDGE('',*,*,#185814,.T.); #242988=ORIENTED_EDGE('',*,*,#185811,.T.); #242989=ORIENTED_EDGE('',*,*,#185808,.T.); #242990=ORIENTED_EDGE('',*,*,#185805,.T.); #242991=ORIENTED_EDGE('',*,*,#185802,.T.); #242992=ORIENTED_EDGE('',*,*,#185799,.T.); #242993=ORIENTED_EDGE('',*,*,#185796,.T.); #242994=ORIENTED_EDGE('',*,*,#185793,.T.); #242995=ORIENTED_EDGE('',*,*,#185790,.T.); #242996=ORIENTED_EDGE('',*,*,#185787,.T.); #242997=ORIENTED_EDGE('',*,*,#185784,.T.); #242998=ORIENTED_EDGE('',*,*,#185781,.T.); #242999=ORIENTED_EDGE('',*,*,#185778,.T.); #243000=ORIENTED_EDGE('',*,*,#185775,.T.); #243001=ORIENTED_EDGE('',*,*,#185772,.T.); #243002=ORIENTED_EDGE('',*,*,#185769,.T.); #243003=ORIENTED_EDGE('',*,*,#185766,.T.); #243004=ORIENTED_EDGE('',*,*,#185763,.T.); #243005=ORIENTED_EDGE('',*,*,#185760,.T.); #243006=ORIENTED_EDGE('',*,*,#185757,.T.); #243007=ORIENTED_EDGE('',*,*,#185754,.T.); #243008=ORIENTED_EDGE('',*,*,#185751,.T.); #243009=ORIENTED_EDGE('',*,*,#185748,.T.); #243010=ORIENTED_EDGE('',*,*,#185745,.T.); #243011=ORIENTED_EDGE('',*,*,#185742,.T.); #243012=ORIENTED_EDGE('',*,*,#185739,.T.); #243013=ORIENTED_EDGE('',*,*,#185736,.T.); #243014=ORIENTED_EDGE('',*,*,#185733,.T.); #243015=ORIENTED_EDGE('',*,*,#185730,.T.); #243016=ORIENTED_EDGE('',*,*,#185727,.T.); #243017=ORIENTED_EDGE('',*,*,#185724,.T.); #243018=ORIENTED_EDGE('',*,*,#185721,.T.); #243019=ORIENTED_EDGE('',*,*,#185718,.T.); #243020=ORIENTED_EDGE('',*,*,#185715,.T.); #243021=ORIENTED_EDGE('',*,*,#185712,.T.); #243022=ORIENTED_EDGE('',*,*,#185709,.T.); #243023=ORIENTED_EDGE('',*,*,#185706,.T.); #243024=ORIENTED_EDGE('',*,*,#185703,.T.); #243025=ORIENTED_EDGE('',*,*,#185700,.T.); #243026=ORIENTED_EDGE('',*,*,#185697,.T.); #243027=ORIENTED_EDGE('',*,*,#185694,.T.); #243028=ORIENTED_EDGE('',*,*,#185691,.T.); #243029=ORIENTED_EDGE('',*,*,#185688,.T.); #243030=ORIENTED_EDGE('',*,*,#185685,.T.); #243031=ORIENTED_EDGE('',*,*,#185682,.T.); #243032=ORIENTED_EDGE('',*,*,#185679,.T.); #243033=ORIENTED_EDGE('',*,*,#185676,.T.); #243034=ORIENTED_EDGE('',*,*,#185673,.T.); #243035=ORIENTED_EDGE('',*,*,#185670,.T.); #243036=ORIENTED_EDGE('',*,*,#185667,.T.); #243037=ORIENTED_EDGE('',*,*,#185664,.T.); #243038=ORIENTED_EDGE('',*,*,#185661,.T.); #243039=ORIENTED_EDGE('',*,*,#185658,.T.); #243040=ORIENTED_EDGE('',*,*,#185655,.T.); #243041=ORIENTED_EDGE('',*,*,#185652,.T.); #243042=ORIENTED_EDGE('',*,*,#185649,.T.); #243043=ORIENTED_EDGE('',*,*,#185646,.T.); #243044=ORIENTED_EDGE('',*,*,#185643,.T.); #243045=ORIENTED_EDGE('',*,*,#185640,.T.); #243046=ORIENTED_EDGE('',*,*,#185637,.T.); #243047=ORIENTED_EDGE('',*,*,#185634,.T.); #243048=ORIENTED_EDGE('',*,*,#185631,.T.); #243049=ORIENTED_EDGE('',*,*,#185628,.T.); #243050=ORIENTED_EDGE('',*,*,#185625,.T.); #243051=ORIENTED_EDGE('',*,*,#185622,.T.); #243052=ORIENTED_EDGE('',*,*,#185619,.T.); #243053=ORIENTED_EDGE('',*,*,#185616,.T.); #243054=ORIENTED_EDGE('',*,*,#185613,.T.); #243055=ORIENTED_EDGE('',*,*,#185610,.T.); #243056=ORIENTED_EDGE('',*,*,#185607,.T.); #243057=ORIENTED_EDGE('',*,*,#185519,.T.); #243058=ORIENTED_EDGE('',*,*,#185522,.T.); #243059=ORIENTED_EDGE('',*,*,#185512,.T.); #243060=ORIENTED_EDGE('',*,*,#185516,.T.); #243061=ORIENTED_EDGE('',*,*,#185526,.T.); #243062=ORIENTED_EDGE('',*,*,#185529,.T.); #243063=ORIENTED_EDGE('',*,*,#185532,.T.); #243064=ORIENTED_EDGE('',*,*,#185535,.T.); #243065=ORIENTED_EDGE('',*,*,#185538,.T.); #243066=ORIENTED_EDGE('',*,*,#185541,.T.); #243067=ORIENTED_EDGE('',*,*,#185544,.T.); #243068=ORIENTED_EDGE('',*,*,#185547,.T.); #243069=ORIENTED_EDGE('',*,*,#185550,.T.); #243070=ORIENTED_EDGE('',*,*,#185553,.T.); #243071=ORIENTED_EDGE('',*,*,#185556,.T.); #243072=ORIENTED_EDGE('',*,*,#185604,.T.); #243073=ORIENTED_EDGE('',*,*,#185601,.T.); #243074=ORIENTED_EDGE('',*,*,#185598,.T.); #243075=ORIENTED_EDGE('',*,*,#185595,.T.); #243076=ORIENTED_EDGE('',*,*,#185592,.T.); #243077=ORIENTED_EDGE('',*,*,#185589,.T.); #243078=ORIENTED_EDGE('',*,*,#185586,.T.); #243079=ORIENTED_EDGE('',*,*,#185583,.T.); #243080=ORIENTED_EDGE('',*,*,#185580,.T.); #243081=ORIENTED_EDGE('',*,*,#185577,.T.); #243082=ORIENTED_EDGE('',*,*,#185574,.T.); #243083=ORIENTED_EDGE('',*,*,#185571,.T.); #243084=ORIENTED_EDGE('',*,*,#185568,.T.); #243085=ORIENTED_EDGE('',*,*,#185565,.T.); #243086=ORIENTED_EDGE('',*,*,#185562,.T.); #243087=ORIENTED_EDGE('',*,*,#185559,.T.); #243088=ORIENTED_EDGE('',*,*,#186152,.F.); #243089=ORIENTED_EDGE('',*,*,#185605,.F.); #243090=ORIENTED_EDGE('',*,*,#185609,.F.); #243091=ORIENTED_EDGE('',*,*,#185612,.F.); #243092=ORIENTED_EDGE('',*,*,#185615,.F.); #243093=ORIENTED_EDGE('',*,*,#185618,.F.); #243094=ORIENTED_EDGE('',*,*,#185621,.F.); #243095=ORIENTED_EDGE('',*,*,#185624,.F.); #243096=ORIENTED_EDGE('',*,*,#185627,.F.); #243097=ORIENTED_EDGE('',*,*,#185630,.F.); #243098=ORIENTED_EDGE('',*,*,#185633,.F.); #243099=ORIENTED_EDGE('',*,*,#185636,.F.); #243100=ORIENTED_EDGE('',*,*,#185639,.F.); #243101=ORIENTED_EDGE('',*,*,#185642,.F.); #243102=ORIENTED_EDGE('',*,*,#185645,.F.); #243103=ORIENTED_EDGE('',*,*,#185648,.F.); #243104=ORIENTED_EDGE('',*,*,#185651,.F.); #243105=ORIENTED_EDGE('',*,*,#185654,.F.); #243106=ORIENTED_EDGE('',*,*,#185657,.F.); #243107=ORIENTED_EDGE('',*,*,#185660,.F.); #243108=ORIENTED_EDGE('',*,*,#185663,.F.); #243109=ORIENTED_EDGE('',*,*,#185666,.F.); #243110=ORIENTED_EDGE('',*,*,#185669,.F.); #243111=ORIENTED_EDGE('',*,*,#185672,.F.); #243112=ORIENTED_EDGE('',*,*,#185675,.F.); #243113=ORIENTED_EDGE('',*,*,#185678,.F.); #243114=ORIENTED_EDGE('',*,*,#185681,.F.); #243115=ORIENTED_EDGE('',*,*,#185684,.F.); #243116=ORIENTED_EDGE('',*,*,#185687,.F.); #243117=ORIENTED_EDGE('',*,*,#185690,.F.); #243118=ORIENTED_EDGE('',*,*,#185693,.F.); #243119=ORIENTED_EDGE('',*,*,#185696,.F.); #243120=ORIENTED_EDGE('',*,*,#185699,.F.); #243121=ORIENTED_EDGE('',*,*,#185702,.F.); #243122=ORIENTED_EDGE('',*,*,#185705,.F.); #243123=ORIENTED_EDGE('',*,*,#185708,.F.); #243124=ORIENTED_EDGE('',*,*,#185711,.F.); #243125=ORIENTED_EDGE('',*,*,#185714,.F.); #243126=ORIENTED_EDGE('',*,*,#185717,.F.); #243127=ORIENTED_EDGE('',*,*,#185720,.F.); #243128=ORIENTED_EDGE('',*,*,#185723,.F.); #243129=ORIENTED_EDGE('',*,*,#185726,.F.); #243130=ORIENTED_EDGE('',*,*,#185729,.F.); #243131=ORIENTED_EDGE('',*,*,#185732,.F.); #243132=ORIENTED_EDGE('',*,*,#185735,.F.); #243133=ORIENTED_EDGE('',*,*,#185738,.F.); #243134=ORIENTED_EDGE('',*,*,#185741,.F.); #243135=ORIENTED_EDGE('',*,*,#185744,.F.); #243136=ORIENTED_EDGE('',*,*,#185747,.F.); #243137=ORIENTED_EDGE('',*,*,#185750,.F.); #243138=ORIENTED_EDGE('',*,*,#185753,.F.); #243139=ORIENTED_EDGE('',*,*,#185756,.F.); #243140=ORIENTED_EDGE('',*,*,#185759,.F.); #243141=ORIENTED_EDGE('',*,*,#185762,.F.); #243142=ORIENTED_EDGE('',*,*,#185765,.F.); #243143=ORIENTED_EDGE('',*,*,#185768,.F.); #243144=ORIENTED_EDGE('',*,*,#185771,.F.); #243145=ORIENTED_EDGE('',*,*,#185774,.F.); #243146=ORIENTED_EDGE('',*,*,#185777,.F.); #243147=ORIENTED_EDGE('',*,*,#185780,.F.); #243148=ORIENTED_EDGE('',*,*,#185783,.F.); #243149=ORIENTED_EDGE('',*,*,#185786,.F.); #243150=ORIENTED_EDGE('',*,*,#185789,.F.); #243151=ORIENTED_EDGE('',*,*,#185792,.F.); #243152=ORIENTED_EDGE('',*,*,#185795,.F.); #243153=ORIENTED_EDGE('',*,*,#185798,.F.); #243154=ORIENTED_EDGE('',*,*,#185801,.F.); #243155=ORIENTED_EDGE('',*,*,#185804,.F.); #243156=ORIENTED_EDGE('',*,*,#185807,.F.); #243157=ORIENTED_EDGE('',*,*,#185810,.F.); #243158=ORIENTED_EDGE('',*,*,#185813,.F.); #243159=ORIENTED_EDGE('',*,*,#185816,.F.); #243160=ORIENTED_EDGE('',*,*,#185819,.F.); #243161=ORIENTED_EDGE('',*,*,#185822,.F.); #243162=ORIENTED_EDGE('',*,*,#185825,.F.); #243163=ORIENTED_EDGE('',*,*,#185828,.F.); #243164=ORIENTED_EDGE('',*,*,#185831,.F.); #243165=ORIENTED_EDGE('',*,*,#185834,.F.); #243166=ORIENTED_EDGE('',*,*,#185837,.F.); #243167=ORIENTED_EDGE('',*,*,#185840,.F.); #243168=ORIENTED_EDGE('',*,*,#185843,.F.); #243169=ORIENTED_EDGE('',*,*,#185846,.F.); #243170=ORIENTED_EDGE('',*,*,#185849,.F.); #243171=ORIENTED_EDGE('',*,*,#185852,.F.); #243172=ORIENTED_EDGE('',*,*,#185855,.F.); #243173=ORIENTED_EDGE('',*,*,#185858,.F.); #243174=ORIENTED_EDGE('',*,*,#185861,.F.); #243175=ORIENTED_EDGE('',*,*,#185864,.F.); #243176=ORIENTED_EDGE('',*,*,#185867,.F.); #243177=ORIENTED_EDGE('',*,*,#185870,.F.); #243178=ORIENTED_EDGE('',*,*,#185873,.F.); #243179=ORIENTED_EDGE('',*,*,#185876,.F.); #243180=ORIENTED_EDGE('',*,*,#185879,.F.); #243181=ORIENTED_EDGE('',*,*,#185882,.F.); #243182=ORIENTED_EDGE('',*,*,#185885,.F.); #243183=ORIENTED_EDGE('',*,*,#185888,.F.); #243184=ORIENTED_EDGE('',*,*,#185891,.F.); #243185=ORIENTED_EDGE('',*,*,#185894,.F.); #243186=ORIENTED_EDGE('',*,*,#185897,.F.); #243187=ORIENTED_EDGE('',*,*,#185900,.F.); #243188=ORIENTED_EDGE('',*,*,#185903,.F.); #243189=ORIENTED_EDGE('',*,*,#185906,.F.); #243190=ORIENTED_EDGE('',*,*,#185909,.F.); #243191=ORIENTED_EDGE('',*,*,#185912,.F.); #243192=ORIENTED_EDGE('',*,*,#185915,.F.); #243193=ORIENTED_EDGE('',*,*,#185918,.F.); #243194=ORIENTED_EDGE('',*,*,#185921,.F.); #243195=ORIENTED_EDGE('',*,*,#185924,.F.); #243196=ORIENTED_EDGE('',*,*,#185927,.F.); #243197=ORIENTED_EDGE('',*,*,#185930,.F.); #243198=ORIENTED_EDGE('',*,*,#185933,.F.); #243199=ORIENTED_EDGE('',*,*,#185936,.F.); #243200=ORIENTED_EDGE('',*,*,#185939,.F.); #243201=ORIENTED_EDGE('',*,*,#185942,.F.); #243202=ORIENTED_EDGE('',*,*,#185945,.F.); #243203=ORIENTED_EDGE('',*,*,#185948,.F.); #243204=ORIENTED_EDGE('',*,*,#185951,.F.); #243205=ORIENTED_EDGE('',*,*,#185954,.F.); #243206=ORIENTED_EDGE('',*,*,#185957,.F.); #243207=ORIENTED_EDGE('',*,*,#185960,.F.); #243208=ORIENTED_EDGE('',*,*,#185963,.F.); #243209=ORIENTED_EDGE('',*,*,#185966,.F.); #243210=ORIENTED_EDGE('',*,*,#185969,.F.); #243211=ORIENTED_EDGE('',*,*,#185972,.F.); #243212=ORIENTED_EDGE('',*,*,#185975,.F.); #243213=ORIENTED_EDGE('',*,*,#185978,.F.); #243214=ORIENTED_EDGE('',*,*,#185981,.F.); #243215=ORIENTED_EDGE('',*,*,#185984,.F.); #243216=ORIENTED_EDGE('',*,*,#185987,.F.); #243217=ORIENTED_EDGE('',*,*,#185990,.F.); #243218=ORIENTED_EDGE('',*,*,#185993,.F.); #243219=ORIENTED_EDGE('',*,*,#185996,.F.); #243220=ORIENTED_EDGE('',*,*,#185999,.F.); #243221=ORIENTED_EDGE('',*,*,#186002,.F.); #243222=ORIENTED_EDGE('',*,*,#186005,.F.); #243223=ORIENTED_EDGE('',*,*,#186008,.F.); #243224=ORIENTED_EDGE('',*,*,#186011,.F.); #243225=ORIENTED_EDGE('',*,*,#186014,.F.); #243226=ORIENTED_EDGE('',*,*,#186017,.F.); #243227=ORIENTED_EDGE('',*,*,#186020,.F.); #243228=ORIENTED_EDGE('',*,*,#186023,.F.); #243229=ORIENTED_EDGE('',*,*,#186026,.F.); #243230=ORIENTED_EDGE('',*,*,#186029,.F.); #243231=ORIENTED_EDGE('',*,*,#186032,.F.); #243232=ORIENTED_EDGE('',*,*,#186035,.F.); #243233=ORIENTED_EDGE('',*,*,#186038,.F.); #243234=ORIENTED_EDGE('',*,*,#186041,.F.); #243235=ORIENTED_EDGE('',*,*,#186044,.F.); #243236=ORIENTED_EDGE('',*,*,#186047,.F.); #243237=ORIENTED_EDGE('',*,*,#186050,.F.); #243238=ORIENTED_EDGE('',*,*,#186053,.F.); #243239=ORIENTED_EDGE('',*,*,#186056,.F.); #243240=ORIENTED_EDGE('',*,*,#186059,.F.); #243241=ORIENTED_EDGE('',*,*,#186062,.F.); #243242=ORIENTED_EDGE('',*,*,#186065,.F.); #243243=ORIENTED_EDGE('',*,*,#186068,.F.); #243244=ORIENTED_EDGE('',*,*,#186071,.F.); #243245=ORIENTED_EDGE('',*,*,#186074,.F.); #243246=ORIENTED_EDGE('',*,*,#186077,.F.); #243247=ORIENTED_EDGE('',*,*,#186080,.F.); #243248=ORIENTED_EDGE('',*,*,#186083,.F.); #243249=ORIENTED_EDGE('',*,*,#186086,.F.); #243250=ORIENTED_EDGE('',*,*,#186089,.F.); #243251=ORIENTED_EDGE('',*,*,#186092,.F.); #243252=ORIENTED_EDGE('',*,*,#186095,.F.); #243253=ORIENTED_EDGE('',*,*,#186098,.F.); #243254=ORIENTED_EDGE('',*,*,#186101,.F.); #243255=ORIENTED_EDGE('',*,*,#186104,.F.); #243256=ORIENTED_EDGE('',*,*,#186107,.F.); #243257=ORIENTED_EDGE('',*,*,#186110,.F.); #243258=ORIENTED_EDGE('',*,*,#186113,.F.); #243259=ORIENTED_EDGE('',*,*,#186116,.F.); #243260=ORIENTED_EDGE('',*,*,#186119,.F.); #243261=ORIENTED_EDGE('',*,*,#186122,.F.); #243262=ORIENTED_EDGE('',*,*,#186125,.F.); #243263=ORIENTED_EDGE('',*,*,#186128,.F.); #243264=ORIENTED_EDGE('',*,*,#186131,.F.); #243265=ORIENTED_EDGE('',*,*,#186134,.F.); #243266=ORIENTED_EDGE('',*,*,#186137,.F.); #243267=ORIENTED_EDGE('',*,*,#186140,.F.); #243268=ORIENTED_EDGE('',*,*,#186143,.F.); #243269=ORIENTED_EDGE('',*,*,#186146,.F.); #243270=ORIENTED_EDGE('',*,*,#186149,.F.); #243271=ORIENTED_EDGE('',*,*,#185520,.T.); #243272=ORIENTED_EDGE('',*,*,#185517,.T.); #243273=ORIENTED_EDGE('',*,*,#185514,.T.); #243274=ORIENTED_EDGE('',*,*,#185523,.T.); #243275=ORIENTED_EDGE('',*,*,#185524,.T.); #243276=ORIENTED_EDGE('',*,*,#185527,.T.); #243277=ORIENTED_EDGE('',*,*,#185530,.T.); #243278=ORIENTED_EDGE('',*,*,#185533,.T.); #243279=ORIENTED_EDGE('',*,*,#185536,.T.); #243280=ORIENTED_EDGE('',*,*,#185539,.T.); #243281=ORIENTED_EDGE('',*,*,#185542,.T.); #243282=ORIENTED_EDGE('',*,*,#185545,.T.); #243283=ORIENTED_EDGE('',*,*,#185548,.T.); #243284=ORIENTED_EDGE('',*,*,#185551,.T.); #243285=ORIENTED_EDGE('',*,*,#185554,.T.); #243286=ORIENTED_EDGE('',*,*,#185603,.F.); #243287=ORIENTED_EDGE('',*,*,#185581,.F.); #243288=ORIENTED_EDGE('',*,*,#185585,.F.); #243289=ORIENTED_EDGE('',*,*,#185588,.F.); #243290=ORIENTED_EDGE('',*,*,#185591,.F.); #243291=ORIENTED_EDGE('',*,*,#185594,.F.); #243292=ORIENTED_EDGE('',*,*,#185597,.F.); #243293=ORIENTED_EDGE('',*,*,#185600,.F.); #243294=ORIENTED_EDGE('',*,*,#185579,.F.); #243295=ORIENTED_EDGE('',*,*,#185557,.F.); #243296=ORIENTED_EDGE('',*,*,#185561,.F.); #243297=ORIENTED_EDGE('',*,*,#185564,.F.); #243298=ORIENTED_EDGE('',*,*,#185567,.F.); #243299=ORIENTED_EDGE('',*,*,#185570,.F.); #243300=ORIENTED_EDGE('',*,*,#185573,.F.); #243301=ORIENTED_EDGE('',*,*,#185576,.F.); #243302=ORIENTED_EDGE('',*,*,#186154,.T.); #243303=ORIENTED_EDGE('',*,*,#186155,.T.); #243304=ORIENTED_EDGE('',*,*,#186156,.F.); #243305=ORIENTED_EDGE('',*,*,#186157,.F.); #243306=ORIENTED_EDGE('',*,*,#186158,.T.); #243307=ORIENTED_EDGE('',*,*,#186157,.T.); #243308=ORIENTED_EDGE('',*,*,#186159,.F.); #243309=ORIENTED_EDGE('',*,*,#186160,.F.); #243310=ORIENTED_EDGE('',*,*,#186161,.T.); #243311=ORIENTED_EDGE('',*,*,#186160,.T.); #243312=ORIENTED_EDGE('',*,*,#186162,.F.); #243313=ORIENTED_EDGE('',*,*,#186163,.F.); #243314=ORIENTED_EDGE('',*,*,#186164,.T.); #243315=ORIENTED_EDGE('',*,*,#186163,.T.); #243316=ORIENTED_EDGE('',*,*,#186165,.F.); #243317=ORIENTED_EDGE('',*,*,#186166,.F.); #243318=ORIENTED_EDGE('',*,*,#186167,.T.); #243319=ORIENTED_EDGE('',*,*,#186166,.T.); #243320=ORIENTED_EDGE('',*,*,#186168,.F.); #243321=ORIENTED_EDGE('',*,*,#186169,.F.); #243322=ORIENTED_EDGE('',*,*,#186170,.T.); #243323=ORIENTED_EDGE('',*,*,#186169,.T.); #243324=ORIENTED_EDGE('',*,*,#186171,.F.); #243325=ORIENTED_EDGE('',*,*,#186172,.F.); #243326=ORIENTED_EDGE('',*,*,#186173,.T.); #243327=ORIENTED_EDGE('',*,*,#186172,.T.); #243328=ORIENTED_EDGE('',*,*,#186174,.F.); #243329=ORIENTED_EDGE('',*,*,#186175,.F.); #243330=ORIENTED_EDGE('',*,*,#186176,.T.); #243331=ORIENTED_EDGE('',*,*,#186175,.T.); #243332=ORIENTED_EDGE('',*,*,#186177,.F.); #243333=ORIENTED_EDGE('',*,*,#186178,.F.); #243334=ORIENTED_EDGE('',*,*,#186179,.T.); #243335=ORIENTED_EDGE('',*,*,#186178,.T.); #243336=ORIENTED_EDGE('',*,*,#186180,.F.); #243337=ORIENTED_EDGE('',*,*,#186181,.F.); #243338=ORIENTED_EDGE('',*,*,#186182,.T.); #243339=ORIENTED_EDGE('',*,*,#186181,.T.); #243340=ORIENTED_EDGE('',*,*,#186183,.F.); #243341=ORIENTED_EDGE('',*,*,#186184,.F.); #243342=ORIENTED_EDGE('',*,*,#186185,.T.); #243343=ORIENTED_EDGE('',*,*,#186184,.T.); #243344=ORIENTED_EDGE('',*,*,#186186,.F.); #243345=ORIENTED_EDGE('',*,*,#186187,.F.); #243346=ORIENTED_EDGE('',*,*,#186188,.T.); #243347=ORIENTED_EDGE('',*,*,#186187,.T.); #243348=ORIENTED_EDGE('',*,*,#186189,.F.); #243349=ORIENTED_EDGE('',*,*,#186190,.F.); #243350=ORIENTED_EDGE('',*,*,#186191,.T.); #243351=ORIENTED_EDGE('',*,*,#186190,.T.); #243352=ORIENTED_EDGE('',*,*,#186192,.F.); #243353=ORIENTED_EDGE('',*,*,#186193,.F.); #243354=ORIENTED_EDGE('',*,*,#186194,.T.); #243355=ORIENTED_EDGE('',*,*,#186193,.T.); #243356=ORIENTED_EDGE('',*,*,#186195,.F.); #243357=ORIENTED_EDGE('',*,*,#186196,.F.); #243358=ORIENTED_EDGE('',*,*,#186197,.T.); #243359=ORIENTED_EDGE('',*,*,#186196,.T.); #243360=ORIENTED_EDGE('',*,*,#186198,.F.); #243361=ORIENTED_EDGE('',*,*,#186199,.F.); #243362=ORIENTED_EDGE('',*,*,#186200,.T.); #243363=ORIENTED_EDGE('',*,*,#186199,.T.); #243364=ORIENTED_EDGE('',*,*,#186201,.F.); #243365=ORIENTED_EDGE('',*,*,#186202,.F.); #243366=ORIENTED_EDGE('',*,*,#186203,.T.); #243367=ORIENTED_EDGE('',*,*,#186202,.T.); #243368=ORIENTED_EDGE('',*,*,#186204,.F.); #243369=ORIENTED_EDGE('',*,*,#186205,.F.); #243370=ORIENTED_EDGE('',*,*,#186206,.T.); #243371=ORIENTED_EDGE('',*,*,#186205,.T.); #243372=ORIENTED_EDGE('',*,*,#186207,.F.); #243373=ORIENTED_EDGE('',*,*,#186208,.F.); #243374=ORIENTED_EDGE('',*,*,#186209,.T.); #243375=ORIENTED_EDGE('',*,*,#186208,.T.); #243376=ORIENTED_EDGE('',*,*,#186210,.F.); #243377=ORIENTED_EDGE('',*,*,#186211,.F.); #243378=ORIENTED_EDGE('',*,*,#186212,.T.); #243379=ORIENTED_EDGE('',*,*,#186211,.T.); #243380=ORIENTED_EDGE('',*,*,#186213,.F.); #243381=ORIENTED_EDGE('',*,*,#186155,.F.); #243382=ORIENTED_EDGE('',*,*,#186213,.T.); #243383=ORIENTED_EDGE('',*,*,#186210,.T.); #243384=ORIENTED_EDGE('',*,*,#186207,.T.); #243385=ORIENTED_EDGE('',*,*,#186204,.T.); #243386=ORIENTED_EDGE('',*,*,#186201,.T.); #243387=ORIENTED_EDGE('',*,*,#186198,.T.); #243388=ORIENTED_EDGE('',*,*,#186195,.T.); #243389=ORIENTED_EDGE('',*,*,#186192,.T.); #243390=ORIENTED_EDGE('',*,*,#186189,.T.); #243391=ORIENTED_EDGE('',*,*,#186186,.T.); #243392=ORIENTED_EDGE('',*,*,#186183,.T.); #243393=ORIENTED_EDGE('',*,*,#186180,.T.); #243394=ORIENTED_EDGE('',*,*,#186177,.T.); #243395=ORIENTED_EDGE('',*,*,#186174,.T.); #243396=ORIENTED_EDGE('',*,*,#186171,.T.); #243397=ORIENTED_EDGE('',*,*,#186168,.T.); #243398=ORIENTED_EDGE('',*,*,#186165,.T.); #243399=ORIENTED_EDGE('',*,*,#186162,.T.); #243400=ORIENTED_EDGE('',*,*,#186159,.T.); #243401=ORIENTED_EDGE('',*,*,#186156,.T.); #243402=ORIENTED_EDGE('',*,*,#186212,.F.); #243403=ORIENTED_EDGE('',*,*,#186154,.F.); #243404=ORIENTED_EDGE('',*,*,#186158,.F.); #243405=ORIENTED_EDGE('',*,*,#186161,.F.); #243406=ORIENTED_EDGE('',*,*,#186164,.F.); #243407=ORIENTED_EDGE('',*,*,#186167,.F.); #243408=ORIENTED_EDGE('',*,*,#186170,.F.); #243409=ORIENTED_EDGE('',*,*,#186173,.F.); #243410=ORIENTED_EDGE('',*,*,#186176,.F.); #243411=ORIENTED_EDGE('',*,*,#186179,.F.); #243412=ORIENTED_EDGE('',*,*,#186182,.F.); #243413=ORIENTED_EDGE('',*,*,#186185,.F.); #243414=ORIENTED_EDGE('',*,*,#186188,.F.); #243415=ORIENTED_EDGE('',*,*,#186191,.F.); #243416=ORIENTED_EDGE('',*,*,#186194,.F.); #243417=ORIENTED_EDGE('',*,*,#186197,.F.); #243418=ORIENTED_EDGE('',*,*,#186200,.F.); #243419=ORIENTED_EDGE('',*,*,#186203,.F.); #243420=ORIENTED_EDGE('',*,*,#186206,.F.); #243421=ORIENTED_EDGE('',*,*,#186209,.F.); #243422=ORIENTED_EDGE('',*,*,#186214,.T.); #243423=ORIENTED_EDGE('',*,*,#186215,.T.); #243424=ORIENTED_EDGE('',*,*,#186216,.F.); #243425=ORIENTED_EDGE('',*,*,#186217,.F.); #243426=ORIENTED_EDGE('',*,*,#186218,.T.); #243427=ORIENTED_EDGE('',*,*,#186217,.T.); #243428=ORIENTED_EDGE('',*,*,#186219,.F.); #243429=ORIENTED_EDGE('',*,*,#186220,.F.); #243430=ORIENTED_EDGE('',*,*,#186221,.T.); #243431=ORIENTED_EDGE('',*,*,#186220,.T.); #243432=ORIENTED_EDGE('',*,*,#186222,.F.); #243433=ORIENTED_EDGE('',*,*,#186223,.F.); #243434=ORIENTED_EDGE('',*,*,#186224,.T.); #243435=ORIENTED_EDGE('',*,*,#186223,.T.); #243436=ORIENTED_EDGE('',*,*,#186225,.F.); #243437=ORIENTED_EDGE('',*,*,#186226,.F.); #243438=ORIENTED_EDGE('',*,*,#186227,.T.); #243439=ORIENTED_EDGE('',*,*,#186226,.T.); #243440=ORIENTED_EDGE('',*,*,#186228,.F.); #243441=ORIENTED_EDGE('',*,*,#186229,.F.); #243442=ORIENTED_EDGE('',*,*,#186230,.T.); #243443=ORIENTED_EDGE('',*,*,#186229,.T.); #243444=ORIENTED_EDGE('',*,*,#186231,.F.); #243445=ORIENTED_EDGE('',*,*,#186232,.F.); #243446=ORIENTED_EDGE('',*,*,#186233,.T.); #243447=ORIENTED_EDGE('',*,*,#186232,.T.); #243448=ORIENTED_EDGE('',*,*,#186234,.F.); #243449=ORIENTED_EDGE('',*,*,#186235,.F.); #243450=ORIENTED_EDGE('',*,*,#186236,.T.); #243451=ORIENTED_EDGE('',*,*,#186235,.T.); #243452=ORIENTED_EDGE('',*,*,#186237,.F.); #243453=ORIENTED_EDGE('',*,*,#186238,.F.); #243454=ORIENTED_EDGE('',*,*,#186239,.T.); #243455=ORIENTED_EDGE('',*,*,#186238,.T.); #243456=ORIENTED_EDGE('',*,*,#186240,.F.); #243457=ORIENTED_EDGE('',*,*,#186241,.F.); #243458=ORIENTED_EDGE('',*,*,#186242,.T.); #243459=ORIENTED_EDGE('',*,*,#186241,.T.); #243460=ORIENTED_EDGE('',*,*,#186243,.F.); #243461=ORIENTED_EDGE('',*,*,#186244,.F.); #243462=ORIENTED_EDGE('',*,*,#186245,.T.); #243463=ORIENTED_EDGE('',*,*,#186244,.T.); #243464=ORIENTED_EDGE('',*,*,#186246,.F.); #243465=ORIENTED_EDGE('',*,*,#186247,.F.); #243466=ORIENTED_EDGE('',*,*,#186248,.T.); #243467=ORIENTED_EDGE('',*,*,#186247,.T.); #243468=ORIENTED_EDGE('',*,*,#186249,.F.); #243469=ORIENTED_EDGE('',*,*,#186250,.F.); #243470=ORIENTED_EDGE('',*,*,#186251,.T.); #243471=ORIENTED_EDGE('',*,*,#186250,.T.); #243472=ORIENTED_EDGE('',*,*,#186252,.F.); #243473=ORIENTED_EDGE('',*,*,#186253,.F.); #243474=ORIENTED_EDGE('',*,*,#186254,.T.); #243475=ORIENTED_EDGE('',*,*,#186253,.T.); #243476=ORIENTED_EDGE('',*,*,#186255,.F.); #243477=ORIENTED_EDGE('',*,*,#186256,.F.); #243478=ORIENTED_EDGE('',*,*,#186257,.T.); #243479=ORIENTED_EDGE('',*,*,#186256,.T.); #243480=ORIENTED_EDGE('',*,*,#186258,.F.); #243481=ORIENTED_EDGE('',*,*,#186259,.F.); #243482=ORIENTED_EDGE('',*,*,#186260,.T.); #243483=ORIENTED_EDGE('',*,*,#186259,.T.); #243484=ORIENTED_EDGE('',*,*,#186261,.F.); #243485=ORIENTED_EDGE('',*,*,#186262,.F.); #243486=ORIENTED_EDGE('',*,*,#186263,.T.); #243487=ORIENTED_EDGE('',*,*,#186262,.T.); #243488=ORIENTED_EDGE('',*,*,#186264,.F.); #243489=ORIENTED_EDGE('',*,*,#186265,.F.); #243490=ORIENTED_EDGE('',*,*,#186266,.T.); #243491=ORIENTED_EDGE('',*,*,#186265,.T.); #243492=ORIENTED_EDGE('',*,*,#186267,.F.); #243493=ORIENTED_EDGE('',*,*,#186268,.F.); #243494=ORIENTED_EDGE('',*,*,#186269,.T.); #243495=ORIENTED_EDGE('',*,*,#186268,.T.); #243496=ORIENTED_EDGE('',*,*,#186270,.F.); #243497=ORIENTED_EDGE('',*,*,#186271,.F.); #243498=ORIENTED_EDGE('',*,*,#186272,.T.); #243499=ORIENTED_EDGE('',*,*,#186271,.T.); #243500=ORIENTED_EDGE('',*,*,#186273,.F.); #243501=ORIENTED_EDGE('',*,*,#186274,.F.); #243502=ORIENTED_EDGE('',*,*,#186275,.T.); #243503=ORIENTED_EDGE('',*,*,#186274,.T.); #243504=ORIENTED_EDGE('',*,*,#186276,.F.); #243505=ORIENTED_EDGE('',*,*,#186215,.F.); #243506=ORIENTED_EDGE('',*,*,#186276,.T.); #243507=ORIENTED_EDGE('',*,*,#186273,.T.); #243508=ORIENTED_EDGE('',*,*,#186270,.T.); #243509=ORIENTED_EDGE('',*,*,#186267,.T.); #243510=ORIENTED_EDGE('',*,*,#186264,.T.); #243511=ORIENTED_EDGE('',*,*,#186261,.T.); #243512=ORIENTED_EDGE('',*,*,#186258,.T.); #243513=ORIENTED_EDGE('',*,*,#186255,.T.); #243514=ORIENTED_EDGE('',*,*,#186252,.T.); #243515=ORIENTED_EDGE('',*,*,#186249,.T.); #243516=ORIENTED_EDGE('',*,*,#186246,.T.); #243517=ORIENTED_EDGE('',*,*,#186243,.T.); #243518=ORIENTED_EDGE('',*,*,#186240,.T.); #243519=ORIENTED_EDGE('',*,*,#186237,.T.); #243520=ORIENTED_EDGE('',*,*,#186234,.T.); #243521=ORIENTED_EDGE('',*,*,#186231,.T.); #243522=ORIENTED_EDGE('',*,*,#186228,.T.); #243523=ORIENTED_EDGE('',*,*,#186225,.T.); #243524=ORIENTED_EDGE('',*,*,#186222,.T.); #243525=ORIENTED_EDGE('',*,*,#186219,.T.); #243526=ORIENTED_EDGE('',*,*,#186216,.T.); #243527=ORIENTED_EDGE('',*,*,#186275,.F.); #243528=ORIENTED_EDGE('',*,*,#186214,.F.); #243529=ORIENTED_EDGE('',*,*,#186218,.F.); #243530=ORIENTED_EDGE('',*,*,#186221,.F.); #243531=ORIENTED_EDGE('',*,*,#186224,.F.); #243532=ORIENTED_EDGE('',*,*,#186227,.F.); #243533=ORIENTED_EDGE('',*,*,#186230,.F.); #243534=ORIENTED_EDGE('',*,*,#186233,.F.); #243535=ORIENTED_EDGE('',*,*,#186236,.F.); #243536=ORIENTED_EDGE('',*,*,#186239,.F.); #243537=ORIENTED_EDGE('',*,*,#186242,.F.); #243538=ORIENTED_EDGE('',*,*,#186245,.F.); #243539=ORIENTED_EDGE('',*,*,#186248,.F.); #243540=ORIENTED_EDGE('',*,*,#186251,.F.); #243541=ORIENTED_EDGE('',*,*,#186254,.F.); #243542=ORIENTED_EDGE('',*,*,#186257,.F.); #243543=ORIENTED_EDGE('',*,*,#186260,.F.); #243544=ORIENTED_EDGE('',*,*,#186263,.F.); #243545=ORIENTED_EDGE('',*,*,#186266,.F.); #243546=ORIENTED_EDGE('',*,*,#186269,.F.); #243547=ORIENTED_EDGE('',*,*,#186272,.F.); #243548=ORIENTED_EDGE('',*,*,#186277,.F.); #243549=ORIENTED_EDGE('',*,*,#186278,.T.); #243550=ORIENTED_EDGE('',*,*,#186279,.F.); #243551=ORIENTED_EDGE('',*,*,#186278,.F.); #243552=ORIENTED_EDGE('',*,*,#186280,.F.); #243553=ORIENTED_EDGE('',*,*,#186281,.T.); #243554=ORIENTED_EDGE('',*,*,#186282,.F.); #243555=ORIENTED_EDGE('',*,*,#186281,.F.); #243556=ORIENTED_EDGE('',*,*,#186283,.F.); #243557=ORIENTED_EDGE('',*,*,#186284,.T.); #243558=ORIENTED_EDGE('',*,*,#186285,.F.); #243559=ORIENTED_EDGE('',*,*,#186284,.F.); #243560=ORIENTED_EDGE('',*,*,#186286,.F.); #243561=ORIENTED_EDGE('',*,*,#186287,.T.); #243562=ORIENTED_EDGE('',*,*,#186288,.F.); #243563=ORIENTED_EDGE('',*,*,#186287,.F.); #243564=ORIENTED_EDGE('',*,*,#186289,.F.); #243565=ORIENTED_EDGE('',*,*,#186290,.T.); #243566=ORIENTED_EDGE('',*,*,#186291,.F.); #243567=ORIENTED_EDGE('',*,*,#186290,.F.); #243568=ORIENTED_EDGE('',*,*,#186292,.F.); #243569=ORIENTED_EDGE('',*,*,#186293,.T.); #243570=ORIENTED_EDGE('',*,*,#186294,.F.); #243571=ORIENTED_EDGE('',*,*,#186293,.F.); #243572=ORIENTED_EDGE('',*,*,#186295,.F.); #243573=ORIENTED_EDGE('',*,*,#186296,.T.); #243574=ORIENTED_EDGE('',*,*,#186297,.F.); #243575=ORIENTED_EDGE('',*,*,#186296,.F.); #243576=ORIENTED_EDGE('',*,*,#186298,.F.); #243577=ORIENTED_EDGE('',*,*,#186299,.T.); #243578=ORIENTED_EDGE('',*,*,#186300,.F.); #243579=ORIENTED_EDGE('',*,*,#186299,.F.); #243580=ORIENTED_EDGE('',*,*,#186301,.F.); #243581=ORIENTED_EDGE('',*,*,#186302,.T.); #243582=ORIENTED_EDGE('',*,*,#186303,.F.); #243583=ORIENTED_EDGE('',*,*,#186302,.F.); #243584=ORIENTED_EDGE('',*,*,#186304,.F.); #243585=ORIENTED_EDGE('',*,*,#186305,.T.); #243586=ORIENTED_EDGE('',*,*,#186306,.F.); #243587=ORIENTED_EDGE('',*,*,#186305,.F.); #243588=ORIENTED_EDGE('',*,*,#186307,.F.); #243589=ORIENTED_EDGE('',*,*,#186308,.T.); #243590=ORIENTED_EDGE('',*,*,#186309,.F.); #243591=ORIENTED_EDGE('',*,*,#186308,.F.); #243592=ORIENTED_EDGE('',*,*,#186310,.F.); #243593=ORIENTED_EDGE('',*,*,#186311,.T.); #243594=ORIENTED_EDGE('',*,*,#186312,.F.); #243595=ORIENTED_EDGE('',*,*,#186311,.F.); #243596=ORIENTED_EDGE('',*,*,#186313,.F.); #243597=ORIENTED_EDGE('',*,*,#186314,.T.); #243598=ORIENTED_EDGE('',*,*,#186315,.F.); #243599=ORIENTED_EDGE('',*,*,#186314,.F.); #243600=ORIENTED_EDGE('',*,*,#186316,.F.); #243601=ORIENTED_EDGE('',*,*,#186317,.T.); #243602=ORIENTED_EDGE('',*,*,#186318,.F.); #243603=ORIENTED_EDGE('',*,*,#186317,.F.); #243604=ORIENTED_EDGE('',*,*,#186319,.F.); #243605=ORIENTED_EDGE('',*,*,#186320,.T.); #243606=ORIENTED_EDGE('',*,*,#186321,.F.); #243607=ORIENTED_EDGE('',*,*,#186320,.F.); #243608=ORIENTED_EDGE('',*,*,#186322,.F.); #243609=ORIENTED_EDGE('',*,*,#186323,.T.); #243610=ORIENTED_EDGE('',*,*,#186324,.F.); #243611=ORIENTED_EDGE('',*,*,#186323,.F.); #243612=ORIENTED_EDGE('',*,*,#186325,.F.); #243613=ORIENTED_EDGE('',*,*,#186326,.T.); #243614=ORIENTED_EDGE('',*,*,#186327,.F.); #243615=ORIENTED_EDGE('',*,*,#186326,.F.); #243616=ORIENTED_EDGE('',*,*,#186328,.F.); #243617=ORIENTED_EDGE('',*,*,#186329,.T.); #243618=ORIENTED_EDGE('',*,*,#186330,.F.); #243619=ORIENTED_EDGE('',*,*,#186329,.F.); #243620=ORIENTED_EDGE('',*,*,#186331,.F.); #243621=ORIENTED_EDGE('',*,*,#186332,.T.); #243622=ORIENTED_EDGE('',*,*,#186333,.F.); #243623=ORIENTED_EDGE('',*,*,#186332,.F.); #243624=ORIENTED_EDGE('',*,*,#186334,.F.); #243625=ORIENTED_EDGE('',*,*,#186335,.T.); #243626=ORIENTED_EDGE('',*,*,#186336,.F.); #243627=ORIENTED_EDGE('',*,*,#186335,.F.); #243628=ORIENTED_EDGE('',*,*,#186337,.F.); #243629=ORIENTED_EDGE('',*,*,#186338,.T.); #243630=ORIENTED_EDGE('',*,*,#186339,.F.); #243631=ORIENTED_EDGE('',*,*,#186338,.F.); #243632=ORIENTED_EDGE('',*,*,#186340,.F.); #243633=ORIENTED_EDGE('',*,*,#186341,.T.); #243634=ORIENTED_EDGE('',*,*,#186342,.F.); #243635=ORIENTED_EDGE('',*,*,#186341,.F.); #243636=ORIENTED_EDGE('',*,*,#186343,.F.); #243637=ORIENTED_EDGE('',*,*,#186344,.T.); #243638=ORIENTED_EDGE('',*,*,#186345,.F.); #243639=ORIENTED_EDGE('',*,*,#186344,.F.); #243640=ORIENTED_EDGE('',*,*,#186346,.F.); #243641=ORIENTED_EDGE('',*,*,#186347,.T.); #243642=ORIENTED_EDGE('',*,*,#186348,.F.); #243643=ORIENTED_EDGE('',*,*,#186347,.F.); #243644=ORIENTED_EDGE('',*,*,#186349,.F.); #243645=ORIENTED_EDGE('',*,*,#186350,.T.); #243646=ORIENTED_EDGE('',*,*,#186351,.F.); #243647=ORIENTED_EDGE('',*,*,#186350,.F.); #243648=ORIENTED_EDGE('',*,*,#186352,.F.); #243649=ORIENTED_EDGE('',*,*,#186353,.T.); #243650=ORIENTED_EDGE('',*,*,#186354,.F.); #243651=ORIENTED_EDGE('',*,*,#186353,.F.); #243652=ORIENTED_EDGE('',*,*,#186355,.F.); #243653=ORIENTED_EDGE('',*,*,#186356,.T.); #243654=ORIENTED_EDGE('',*,*,#186357,.F.); #243655=ORIENTED_EDGE('',*,*,#186356,.F.); #243656=ORIENTED_EDGE('',*,*,#186358,.F.); #243657=ORIENTED_EDGE('',*,*,#186359,.T.); #243658=ORIENTED_EDGE('',*,*,#186360,.F.); #243659=ORIENTED_EDGE('',*,*,#186359,.F.); #243660=ORIENTED_EDGE('',*,*,#186361,.F.); #243661=ORIENTED_EDGE('',*,*,#186362,.T.); #243662=ORIENTED_EDGE('',*,*,#186363,.F.); #243663=ORIENTED_EDGE('',*,*,#186362,.F.); #243664=ORIENTED_EDGE('',*,*,#186364,.F.); #243665=ORIENTED_EDGE('',*,*,#186365,.T.); #243666=ORIENTED_EDGE('',*,*,#186366,.F.); #243667=ORIENTED_EDGE('',*,*,#186365,.F.); #243668=ORIENTED_EDGE('',*,*,#186367,.F.); #243669=ORIENTED_EDGE('',*,*,#186368,.T.); #243670=ORIENTED_EDGE('',*,*,#186369,.F.); #243671=ORIENTED_EDGE('',*,*,#186368,.F.); #243672=ORIENTED_EDGE('',*,*,#186370,.F.); #243673=ORIENTED_EDGE('',*,*,#186371,.T.); #243674=ORIENTED_EDGE('',*,*,#186372,.F.); #243675=ORIENTED_EDGE('',*,*,#186371,.F.); #243676=ORIENTED_EDGE('',*,*,#186373,.F.); #243677=ORIENTED_EDGE('',*,*,#186374,.T.); #243678=ORIENTED_EDGE('',*,*,#186375,.F.); #243679=ORIENTED_EDGE('',*,*,#186374,.F.); #243680=ORIENTED_EDGE('',*,*,#186376,.F.); #243681=ORIENTED_EDGE('',*,*,#186377,.T.); #243682=ORIENTED_EDGE('',*,*,#186378,.F.); #243683=ORIENTED_EDGE('',*,*,#186377,.F.); #243684=ORIENTED_EDGE('',*,*,#186379,.F.); #243685=ORIENTED_EDGE('',*,*,#186380,.T.); #243686=ORIENTED_EDGE('',*,*,#186381,.F.); #243687=ORIENTED_EDGE('',*,*,#186380,.F.); #243688=ORIENTED_EDGE('',*,*,#186382,.F.); #243689=ORIENTED_EDGE('',*,*,#186383,.T.); #243690=ORIENTED_EDGE('',*,*,#186384,.F.); #243691=ORIENTED_EDGE('',*,*,#186383,.F.); #243692=ORIENTED_EDGE('',*,*,#186385,.F.); #243693=ORIENTED_EDGE('',*,*,#186386,.T.); #243694=ORIENTED_EDGE('',*,*,#186387,.F.); #243695=ORIENTED_EDGE('',*,*,#186386,.F.); #243696=ORIENTED_EDGE('',*,*,#186388,.F.); #243697=ORIENTED_EDGE('',*,*,#186389,.T.); #243698=ORIENTED_EDGE('',*,*,#186390,.F.); #243699=ORIENTED_EDGE('',*,*,#186389,.F.); #243700=ORIENTED_EDGE('',*,*,#186391,.F.); #243701=ORIENTED_EDGE('',*,*,#186392,.T.); #243702=ORIENTED_EDGE('',*,*,#186393,.F.); #243703=ORIENTED_EDGE('',*,*,#186392,.F.); #243704=ORIENTED_EDGE('',*,*,#186394,.F.); #243705=ORIENTED_EDGE('',*,*,#186395,.T.); #243706=ORIENTED_EDGE('',*,*,#186396,.F.); #243707=ORIENTED_EDGE('',*,*,#186395,.F.); #243708=ORIENTED_EDGE('',*,*,#186397,.F.); #243709=ORIENTED_EDGE('',*,*,#186398,.T.); #243710=ORIENTED_EDGE('',*,*,#186399,.F.); #243711=ORIENTED_EDGE('',*,*,#186398,.F.); #243712=ORIENTED_EDGE('',*,*,#186400,.F.); #243713=ORIENTED_EDGE('',*,*,#186401,.T.); #243714=ORIENTED_EDGE('',*,*,#186402,.F.); #243715=ORIENTED_EDGE('',*,*,#186401,.F.); #243716=ORIENTED_EDGE('',*,*,#186403,.F.); #243717=ORIENTED_EDGE('',*,*,#186404,.T.); #243718=ORIENTED_EDGE('',*,*,#186405,.F.); #243719=ORIENTED_EDGE('',*,*,#186404,.F.); #243720=ORIENTED_EDGE('',*,*,#186406,.F.); #243721=ORIENTED_EDGE('',*,*,#186407,.T.); #243722=ORIENTED_EDGE('',*,*,#186408,.F.); #243723=ORIENTED_EDGE('',*,*,#186407,.F.); #243724=ORIENTED_EDGE('',*,*,#186409,.F.); #243725=ORIENTED_EDGE('',*,*,#186410,.T.); #243726=ORIENTED_EDGE('',*,*,#186411,.F.); #243727=ORIENTED_EDGE('',*,*,#186410,.F.); #243728=ORIENTED_EDGE('',*,*,#186412,.F.); #243729=ORIENTED_EDGE('',*,*,#186413,.T.); #243730=ORIENTED_EDGE('',*,*,#186414,.F.); #243731=ORIENTED_EDGE('',*,*,#186413,.F.); #243732=ORIENTED_EDGE('',*,*,#186415,.F.); #243733=ORIENTED_EDGE('',*,*,#186416,.T.); #243734=ORIENTED_EDGE('',*,*,#186417,.F.); #243735=ORIENTED_EDGE('',*,*,#186416,.F.); #243736=ORIENTED_EDGE('',*,*,#186418,.F.); #243737=ORIENTED_EDGE('',*,*,#186419,.T.); #243738=ORIENTED_EDGE('',*,*,#186420,.F.); #243739=ORIENTED_EDGE('',*,*,#186419,.F.); #243740=ORIENTED_EDGE('',*,*,#186421,.F.); #243741=ORIENTED_EDGE('',*,*,#186422,.T.); #243742=ORIENTED_EDGE('',*,*,#186423,.F.); #243743=ORIENTED_EDGE('',*,*,#186422,.F.); #243744=ORIENTED_EDGE('',*,*,#186424,.T.); #243745=ORIENTED_EDGE('',*,*,#186425,.T.); #243746=ORIENTED_EDGE('',*,*,#186426,.F.); #243747=ORIENTED_EDGE('',*,*,#186427,.F.); #243748=ORIENTED_EDGE('',*,*,#186428,.T.); #243749=ORIENTED_EDGE('',*,*,#186427,.T.); #243750=ORIENTED_EDGE('',*,*,#186429,.F.); #243751=ORIENTED_EDGE('',*,*,#186430,.F.); #243752=ORIENTED_EDGE('',*,*,#186431,.T.); #243753=ORIENTED_EDGE('',*,*,#186430,.T.); #243754=ORIENTED_EDGE('',*,*,#186432,.F.); #243755=ORIENTED_EDGE('',*,*,#186433,.F.); #243756=ORIENTED_EDGE('',*,*,#186434,.T.); #243757=ORIENTED_EDGE('',*,*,#186433,.T.); #243758=ORIENTED_EDGE('',*,*,#186435,.F.); #243759=ORIENTED_EDGE('',*,*,#186436,.F.); #243760=ORIENTED_EDGE('',*,*,#186437,.T.); #243761=ORIENTED_EDGE('',*,*,#186436,.T.); #243762=ORIENTED_EDGE('',*,*,#186438,.F.); #243763=ORIENTED_EDGE('',*,*,#186439,.F.); #243764=ORIENTED_EDGE('',*,*,#186440,.T.); #243765=ORIENTED_EDGE('',*,*,#186439,.T.); #243766=ORIENTED_EDGE('',*,*,#186441,.F.); #243767=ORIENTED_EDGE('',*,*,#186442,.F.); #243768=ORIENTED_EDGE('',*,*,#186443,.T.); #243769=ORIENTED_EDGE('',*,*,#186442,.T.); #243770=ORIENTED_EDGE('',*,*,#186444,.F.); #243771=ORIENTED_EDGE('',*,*,#186445,.F.); #243772=ORIENTED_EDGE('',*,*,#186446,.T.); #243773=ORIENTED_EDGE('',*,*,#186445,.T.); #243774=ORIENTED_EDGE('',*,*,#186447,.F.); #243775=ORIENTED_EDGE('',*,*,#186448,.F.); #243776=ORIENTED_EDGE('',*,*,#186449,.T.); #243777=ORIENTED_EDGE('',*,*,#186448,.T.); #243778=ORIENTED_EDGE('',*,*,#186450,.F.); #243779=ORIENTED_EDGE('',*,*,#186451,.F.); #243780=ORIENTED_EDGE('',*,*,#186452,.T.); #243781=ORIENTED_EDGE('',*,*,#186451,.T.); #243782=ORIENTED_EDGE('',*,*,#186453,.F.); #243783=ORIENTED_EDGE('',*,*,#186454,.F.); #243784=ORIENTED_EDGE('',*,*,#186455,.T.); #243785=ORIENTED_EDGE('',*,*,#186454,.T.); #243786=ORIENTED_EDGE('',*,*,#186456,.F.); #243787=ORIENTED_EDGE('',*,*,#186457,.F.); #243788=ORIENTED_EDGE('',*,*,#186458,.T.); #243789=ORIENTED_EDGE('',*,*,#186457,.T.); #243790=ORIENTED_EDGE('',*,*,#186459,.F.); #243791=ORIENTED_EDGE('',*,*,#186460,.F.); #243792=ORIENTED_EDGE('',*,*,#186461,.T.); #243793=ORIENTED_EDGE('',*,*,#186460,.T.); #243794=ORIENTED_EDGE('',*,*,#186462,.F.); #243795=ORIENTED_EDGE('',*,*,#186463,.F.); #243796=ORIENTED_EDGE('',*,*,#186464,.T.); #243797=ORIENTED_EDGE('',*,*,#186463,.T.); #243798=ORIENTED_EDGE('',*,*,#186465,.F.); #243799=ORIENTED_EDGE('',*,*,#186466,.F.); #243800=ORIENTED_EDGE('',*,*,#186467,.T.); #243801=ORIENTED_EDGE('',*,*,#186466,.T.); #243802=ORIENTED_EDGE('',*,*,#186468,.F.); #243803=ORIENTED_EDGE('',*,*,#186469,.F.); #243804=ORIENTED_EDGE('',*,*,#186470,.T.); #243805=ORIENTED_EDGE('',*,*,#186469,.T.); #243806=ORIENTED_EDGE('',*,*,#186471,.F.); #243807=ORIENTED_EDGE('',*,*,#186472,.F.); #243808=ORIENTED_EDGE('',*,*,#186473,.T.); #243809=ORIENTED_EDGE('',*,*,#186472,.T.); #243810=ORIENTED_EDGE('',*,*,#186474,.F.); #243811=ORIENTED_EDGE('',*,*,#186475,.F.); #243812=ORIENTED_EDGE('',*,*,#186476,.T.); #243813=ORIENTED_EDGE('',*,*,#186475,.T.); #243814=ORIENTED_EDGE('',*,*,#186477,.F.); #243815=ORIENTED_EDGE('',*,*,#186478,.F.); #243816=ORIENTED_EDGE('',*,*,#186479,.T.); #243817=ORIENTED_EDGE('',*,*,#186478,.T.); #243818=ORIENTED_EDGE('',*,*,#186480,.F.); #243819=ORIENTED_EDGE('',*,*,#186481,.F.); #243820=ORIENTED_EDGE('',*,*,#186482,.T.); #243821=ORIENTED_EDGE('',*,*,#186481,.T.); #243822=ORIENTED_EDGE('',*,*,#186483,.F.); #243823=ORIENTED_EDGE('',*,*,#186484,.F.); #243824=ORIENTED_EDGE('',*,*,#186485,.T.); #243825=ORIENTED_EDGE('',*,*,#186484,.T.); #243826=ORIENTED_EDGE('',*,*,#186486,.F.); #243827=ORIENTED_EDGE('',*,*,#186487,.F.); #243828=ORIENTED_EDGE('',*,*,#186488,.T.); #243829=ORIENTED_EDGE('',*,*,#186487,.T.); #243830=ORIENTED_EDGE('',*,*,#186489,.F.); #243831=ORIENTED_EDGE('',*,*,#186490,.F.); #243832=ORIENTED_EDGE('',*,*,#186491,.T.); #243833=ORIENTED_EDGE('',*,*,#186490,.T.); #243834=ORIENTED_EDGE('',*,*,#186492,.F.); #243835=ORIENTED_EDGE('',*,*,#186493,.F.); #243836=ORIENTED_EDGE('',*,*,#186494,.T.); #243837=ORIENTED_EDGE('',*,*,#186493,.T.); #243838=ORIENTED_EDGE('',*,*,#186495,.F.); #243839=ORIENTED_EDGE('',*,*,#186496,.F.); #243840=ORIENTED_EDGE('',*,*,#186497,.T.); #243841=ORIENTED_EDGE('',*,*,#186496,.T.); #243842=ORIENTED_EDGE('',*,*,#186498,.F.); #243843=ORIENTED_EDGE('',*,*,#186499,.F.); #243844=ORIENTED_EDGE('',*,*,#186500,.T.); #243845=ORIENTED_EDGE('',*,*,#186499,.T.); #243846=ORIENTED_EDGE('',*,*,#186501,.F.); #243847=ORIENTED_EDGE('',*,*,#186502,.F.); #243848=ORIENTED_EDGE('',*,*,#186503,.T.); #243849=ORIENTED_EDGE('',*,*,#186502,.T.); #243850=ORIENTED_EDGE('',*,*,#186504,.F.); #243851=ORIENTED_EDGE('',*,*,#186505,.F.); #243852=ORIENTED_EDGE('',*,*,#186506,.T.); #243853=ORIENTED_EDGE('',*,*,#186505,.T.); #243854=ORIENTED_EDGE('',*,*,#186507,.F.); #243855=ORIENTED_EDGE('',*,*,#186508,.F.); #243856=ORIENTED_EDGE('',*,*,#186509,.T.); #243857=ORIENTED_EDGE('',*,*,#186508,.T.); #243858=ORIENTED_EDGE('',*,*,#186510,.F.); #243859=ORIENTED_EDGE('',*,*,#186511,.F.); #243860=ORIENTED_EDGE('',*,*,#186512,.T.); #243861=ORIENTED_EDGE('',*,*,#186511,.T.); #243862=ORIENTED_EDGE('',*,*,#186513,.F.); #243863=ORIENTED_EDGE('',*,*,#186514,.F.); #243864=ORIENTED_EDGE('',*,*,#186515,.T.); #243865=ORIENTED_EDGE('',*,*,#186514,.T.); #243866=ORIENTED_EDGE('',*,*,#186516,.F.); #243867=ORIENTED_EDGE('',*,*,#186517,.F.); #243868=ORIENTED_EDGE('',*,*,#186518,.T.); #243869=ORIENTED_EDGE('',*,*,#186517,.T.); #243870=ORIENTED_EDGE('',*,*,#186519,.F.); #243871=ORIENTED_EDGE('',*,*,#186520,.F.); #243872=ORIENTED_EDGE('',*,*,#186521,.T.); #243873=ORIENTED_EDGE('',*,*,#186520,.T.); #243874=ORIENTED_EDGE('',*,*,#186522,.F.); #243875=ORIENTED_EDGE('',*,*,#186523,.F.); #243876=ORIENTED_EDGE('',*,*,#186524,.T.); #243877=ORIENTED_EDGE('',*,*,#186523,.T.); #243878=ORIENTED_EDGE('',*,*,#186525,.F.); #243879=ORIENTED_EDGE('',*,*,#186526,.F.); #243880=ORIENTED_EDGE('',*,*,#186527,.T.); #243881=ORIENTED_EDGE('',*,*,#186526,.T.); #243882=ORIENTED_EDGE('',*,*,#186528,.F.); #243883=ORIENTED_EDGE('',*,*,#186529,.F.); #243884=ORIENTED_EDGE('',*,*,#186530,.T.); #243885=ORIENTED_EDGE('',*,*,#186529,.T.); #243886=ORIENTED_EDGE('',*,*,#186531,.F.); #243887=ORIENTED_EDGE('',*,*,#186532,.F.); #243888=ORIENTED_EDGE('',*,*,#186533,.T.); #243889=ORIENTED_EDGE('',*,*,#186532,.T.); #243890=ORIENTED_EDGE('',*,*,#186534,.F.); #243891=ORIENTED_EDGE('',*,*,#186535,.F.); #243892=ORIENTED_EDGE('',*,*,#186536,.T.); #243893=ORIENTED_EDGE('',*,*,#186535,.T.); #243894=ORIENTED_EDGE('',*,*,#186537,.F.); #243895=ORIENTED_EDGE('',*,*,#186538,.F.); #243896=ORIENTED_EDGE('',*,*,#186539,.T.); #243897=ORIENTED_EDGE('',*,*,#186538,.T.); #243898=ORIENTED_EDGE('',*,*,#186540,.F.); #243899=ORIENTED_EDGE('',*,*,#186541,.F.); #243900=ORIENTED_EDGE('',*,*,#186542,.T.); #243901=ORIENTED_EDGE('',*,*,#186541,.T.); #243902=ORIENTED_EDGE('',*,*,#186543,.F.); #243903=ORIENTED_EDGE('',*,*,#186544,.F.); #243904=ORIENTED_EDGE('',*,*,#186545,.T.); #243905=ORIENTED_EDGE('',*,*,#186544,.T.); #243906=ORIENTED_EDGE('',*,*,#186546,.F.); #243907=ORIENTED_EDGE('',*,*,#186547,.F.); #243908=ORIENTED_EDGE('',*,*,#186548,.T.); #243909=ORIENTED_EDGE('',*,*,#186547,.T.); #243910=ORIENTED_EDGE('',*,*,#186549,.F.); #243911=ORIENTED_EDGE('',*,*,#186550,.F.); #243912=ORIENTED_EDGE('',*,*,#186551,.T.); #243913=ORIENTED_EDGE('',*,*,#186550,.T.); #243914=ORIENTED_EDGE('',*,*,#186552,.F.); #243915=ORIENTED_EDGE('',*,*,#186553,.F.); #243916=ORIENTED_EDGE('',*,*,#186554,.T.); #243917=ORIENTED_EDGE('',*,*,#186553,.T.); #243918=ORIENTED_EDGE('',*,*,#186555,.F.); #243919=ORIENTED_EDGE('',*,*,#186556,.F.); #243920=ORIENTED_EDGE('',*,*,#186557,.T.); #243921=ORIENTED_EDGE('',*,*,#186556,.T.); #243922=ORIENTED_EDGE('',*,*,#186558,.F.); #243923=ORIENTED_EDGE('',*,*,#186559,.F.); #243924=ORIENTED_EDGE('',*,*,#186560,.T.); #243925=ORIENTED_EDGE('',*,*,#186559,.T.); #243926=ORIENTED_EDGE('',*,*,#186561,.F.); #243927=ORIENTED_EDGE('',*,*,#186562,.F.); #243928=ORIENTED_EDGE('',*,*,#186563,.T.); #243929=ORIENTED_EDGE('',*,*,#186562,.T.); #243930=ORIENTED_EDGE('',*,*,#186564,.F.); #243931=ORIENTED_EDGE('',*,*,#186565,.F.); #243932=ORIENTED_EDGE('',*,*,#186566,.T.); #243933=ORIENTED_EDGE('',*,*,#186565,.T.); #243934=ORIENTED_EDGE('',*,*,#186567,.F.); #243935=ORIENTED_EDGE('',*,*,#186568,.F.); #243936=ORIENTED_EDGE('',*,*,#186569,.T.); #243937=ORIENTED_EDGE('',*,*,#186568,.T.); #243938=ORIENTED_EDGE('',*,*,#186570,.F.); #243939=ORIENTED_EDGE('',*,*,#186571,.F.); #243940=ORIENTED_EDGE('',*,*,#186572,.T.); #243941=ORIENTED_EDGE('',*,*,#186571,.T.); #243942=ORIENTED_EDGE('',*,*,#186573,.F.); #243943=ORIENTED_EDGE('',*,*,#186574,.F.); #243944=ORIENTED_EDGE('',*,*,#186575,.T.); #243945=ORIENTED_EDGE('',*,*,#186574,.T.); #243946=ORIENTED_EDGE('',*,*,#186576,.F.); #243947=ORIENTED_EDGE('',*,*,#186577,.F.); #243948=ORIENTED_EDGE('',*,*,#186578,.T.); #243949=ORIENTED_EDGE('',*,*,#186577,.T.); #243950=ORIENTED_EDGE('',*,*,#186579,.F.); #243951=ORIENTED_EDGE('',*,*,#186580,.F.); #243952=ORIENTED_EDGE('',*,*,#186581,.T.); #243953=ORIENTED_EDGE('',*,*,#186580,.T.); #243954=ORIENTED_EDGE('',*,*,#186582,.F.); #243955=ORIENTED_EDGE('',*,*,#186583,.F.); #243956=ORIENTED_EDGE('',*,*,#186584,.T.); #243957=ORIENTED_EDGE('',*,*,#186583,.T.); #243958=ORIENTED_EDGE('',*,*,#186585,.F.); #243959=ORIENTED_EDGE('',*,*,#186586,.F.); #243960=ORIENTED_EDGE('',*,*,#186587,.T.); #243961=ORIENTED_EDGE('',*,*,#186586,.T.); #243962=ORIENTED_EDGE('',*,*,#186588,.F.); #243963=ORIENTED_EDGE('',*,*,#186589,.F.); #243964=ORIENTED_EDGE('',*,*,#186590,.T.); #243965=ORIENTED_EDGE('',*,*,#186589,.T.); #243966=ORIENTED_EDGE('',*,*,#186591,.F.); #243967=ORIENTED_EDGE('',*,*,#186592,.F.); #243968=ORIENTED_EDGE('',*,*,#186593,.T.); #243969=ORIENTED_EDGE('',*,*,#186592,.T.); #243970=ORIENTED_EDGE('',*,*,#186594,.F.); #243971=ORIENTED_EDGE('',*,*,#186595,.F.); #243972=ORIENTED_EDGE('',*,*,#186596,.T.); #243973=ORIENTED_EDGE('',*,*,#186595,.T.); #243974=ORIENTED_EDGE('',*,*,#186597,.F.); #243975=ORIENTED_EDGE('',*,*,#186598,.F.); #243976=ORIENTED_EDGE('',*,*,#186599,.T.); #243977=ORIENTED_EDGE('',*,*,#186598,.T.); #243978=ORIENTED_EDGE('',*,*,#186600,.F.); #243979=ORIENTED_EDGE('',*,*,#186601,.F.); #243980=ORIENTED_EDGE('',*,*,#186602,.T.); #243981=ORIENTED_EDGE('',*,*,#186601,.T.); #243982=ORIENTED_EDGE('',*,*,#186603,.F.); #243983=ORIENTED_EDGE('',*,*,#186604,.F.); #243984=ORIENTED_EDGE('',*,*,#186605,.T.); #243985=ORIENTED_EDGE('',*,*,#186604,.T.); #243986=ORIENTED_EDGE('',*,*,#186606,.F.); #243987=ORIENTED_EDGE('',*,*,#186607,.F.); #243988=ORIENTED_EDGE('',*,*,#186608,.T.); #243989=ORIENTED_EDGE('',*,*,#186607,.T.); #243990=ORIENTED_EDGE('',*,*,#186609,.F.); #243991=ORIENTED_EDGE('',*,*,#186610,.F.); #243992=ORIENTED_EDGE('',*,*,#186611,.T.); #243993=ORIENTED_EDGE('',*,*,#186610,.T.); #243994=ORIENTED_EDGE('',*,*,#186612,.F.); #243995=ORIENTED_EDGE('',*,*,#186613,.F.); #243996=ORIENTED_EDGE('',*,*,#186614,.T.); #243997=ORIENTED_EDGE('',*,*,#186613,.T.); #243998=ORIENTED_EDGE('',*,*,#186615,.F.); #243999=ORIENTED_EDGE('',*,*,#186616,.F.); #244000=ORIENTED_EDGE('',*,*,#186617,.T.); #244001=ORIENTED_EDGE('',*,*,#186616,.T.); #244002=ORIENTED_EDGE('',*,*,#186618,.F.); #244003=ORIENTED_EDGE('',*,*,#186619,.F.); #244004=ORIENTED_EDGE('',*,*,#186620,.T.); #244005=ORIENTED_EDGE('',*,*,#186619,.T.); #244006=ORIENTED_EDGE('',*,*,#186621,.F.); #244007=ORIENTED_EDGE('',*,*,#186622,.F.); #244008=ORIENTED_EDGE('',*,*,#186623,.T.); #244009=ORIENTED_EDGE('',*,*,#186622,.T.); #244010=ORIENTED_EDGE('',*,*,#186624,.F.); #244011=ORIENTED_EDGE('',*,*,#186625,.F.); #244012=ORIENTED_EDGE('',*,*,#186626,.T.); #244013=ORIENTED_EDGE('',*,*,#186625,.T.); #244014=ORIENTED_EDGE('',*,*,#186627,.F.); #244015=ORIENTED_EDGE('',*,*,#186628,.F.); #244016=ORIENTED_EDGE('',*,*,#186629,.T.); #244017=ORIENTED_EDGE('',*,*,#186628,.T.); #244018=ORIENTED_EDGE('',*,*,#186630,.F.); #244019=ORIENTED_EDGE('',*,*,#186631,.F.); #244020=ORIENTED_EDGE('',*,*,#186632,.T.); #244021=ORIENTED_EDGE('',*,*,#186631,.T.); #244022=ORIENTED_EDGE('',*,*,#186633,.F.); #244023=ORIENTED_EDGE('',*,*,#186634,.F.); #244024=ORIENTED_EDGE('',*,*,#186635,.T.); #244025=ORIENTED_EDGE('',*,*,#186634,.T.); #244026=ORIENTED_EDGE('',*,*,#186636,.F.); #244027=ORIENTED_EDGE('',*,*,#186637,.F.); #244028=ORIENTED_EDGE('',*,*,#186638,.T.); #244029=ORIENTED_EDGE('',*,*,#186637,.T.); #244030=ORIENTED_EDGE('',*,*,#186639,.F.); #244031=ORIENTED_EDGE('',*,*,#186640,.F.); #244032=ORIENTED_EDGE('',*,*,#186641,.T.); #244033=ORIENTED_EDGE('',*,*,#186640,.T.); #244034=ORIENTED_EDGE('',*,*,#186642,.F.); #244035=ORIENTED_EDGE('',*,*,#186643,.F.); #244036=ORIENTED_EDGE('',*,*,#186644,.T.); #244037=ORIENTED_EDGE('',*,*,#186643,.T.); #244038=ORIENTED_EDGE('',*,*,#186645,.F.); #244039=ORIENTED_EDGE('',*,*,#186646,.F.); #244040=ORIENTED_EDGE('',*,*,#186647,.T.); #244041=ORIENTED_EDGE('',*,*,#186646,.T.); #244042=ORIENTED_EDGE('',*,*,#186648,.F.); #244043=ORIENTED_EDGE('',*,*,#186649,.F.); #244044=ORIENTED_EDGE('',*,*,#186650,.T.); #244045=ORIENTED_EDGE('',*,*,#186649,.T.); #244046=ORIENTED_EDGE('',*,*,#186651,.F.); #244047=ORIENTED_EDGE('',*,*,#186652,.F.); #244048=ORIENTED_EDGE('',*,*,#186653,.T.); #244049=ORIENTED_EDGE('',*,*,#186652,.T.); #244050=ORIENTED_EDGE('',*,*,#186654,.F.); #244051=ORIENTED_EDGE('',*,*,#186655,.F.); #244052=ORIENTED_EDGE('',*,*,#186656,.T.); #244053=ORIENTED_EDGE('',*,*,#186655,.T.); #244054=ORIENTED_EDGE('',*,*,#186657,.F.); #244055=ORIENTED_EDGE('',*,*,#186658,.F.); #244056=ORIENTED_EDGE('',*,*,#186659,.T.); #244057=ORIENTED_EDGE('',*,*,#186658,.T.); #244058=ORIENTED_EDGE('',*,*,#186660,.F.); #244059=ORIENTED_EDGE('',*,*,#186661,.F.); #244060=ORIENTED_EDGE('',*,*,#186662,.T.); #244061=ORIENTED_EDGE('',*,*,#186661,.T.); #244062=ORIENTED_EDGE('',*,*,#186663,.F.); #244063=ORIENTED_EDGE('',*,*,#186664,.F.); #244064=ORIENTED_EDGE('',*,*,#186665,.T.); #244065=ORIENTED_EDGE('',*,*,#186664,.T.); #244066=ORIENTED_EDGE('',*,*,#186666,.F.); #244067=ORIENTED_EDGE('',*,*,#186667,.F.); #244068=ORIENTED_EDGE('',*,*,#186668,.T.); #244069=ORIENTED_EDGE('',*,*,#186667,.T.); #244070=ORIENTED_EDGE('',*,*,#186669,.F.); #244071=ORIENTED_EDGE('',*,*,#186670,.F.); #244072=ORIENTED_EDGE('',*,*,#186671,.T.); #244073=ORIENTED_EDGE('',*,*,#186670,.T.); #244074=ORIENTED_EDGE('',*,*,#186672,.F.); #244075=ORIENTED_EDGE('',*,*,#186673,.F.); #244076=ORIENTED_EDGE('',*,*,#186674,.T.); #244077=ORIENTED_EDGE('',*,*,#186673,.T.); #244078=ORIENTED_EDGE('',*,*,#186675,.F.); #244079=ORIENTED_EDGE('',*,*,#186676,.F.); #244080=ORIENTED_EDGE('',*,*,#186677,.T.); #244081=ORIENTED_EDGE('',*,*,#186676,.T.); #244082=ORIENTED_EDGE('',*,*,#186678,.F.); #244083=ORIENTED_EDGE('',*,*,#186679,.F.); #244084=ORIENTED_EDGE('',*,*,#186680,.T.); #244085=ORIENTED_EDGE('',*,*,#186679,.T.); #244086=ORIENTED_EDGE('',*,*,#186681,.F.); #244087=ORIENTED_EDGE('',*,*,#186682,.F.); #244088=ORIENTED_EDGE('',*,*,#186683,.T.); #244089=ORIENTED_EDGE('',*,*,#186682,.T.); #244090=ORIENTED_EDGE('',*,*,#186684,.F.); #244091=ORIENTED_EDGE('',*,*,#186685,.F.); #244092=ORIENTED_EDGE('',*,*,#186686,.T.); #244093=ORIENTED_EDGE('',*,*,#186685,.T.); #244094=ORIENTED_EDGE('',*,*,#186687,.F.); #244095=ORIENTED_EDGE('',*,*,#186688,.F.); #244096=ORIENTED_EDGE('',*,*,#186689,.T.); #244097=ORIENTED_EDGE('',*,*,#186688,.T.); #244098=ORIENTED_EDGE('',*,*,#186690,.F.); #244099=ORIENTED_EDGE('',*,*,#186691,.F.); #244100=ORIENTED_EDGE('',*,*,#186692,.T.); #244101=ORIENTED_EDGE('',*,*,#186691,.T.); #244102=ORIENTED_EDGE('',*,*,#186693,.F.); #244103=ORIENTED_EDGE('',*,*,#186694,.F.); #244104=ORIENTED_EDGE('',*,*,#186695,.T.); #244105=ORIENTED_EDGE('',*,*,#186694,.T.); #244106=ORIENTED_EDGE('',*,*,#186696,.F.); #244107=ORIENTED_EDGE('',*,*,#186697,.F.); #244108=ORIENTED_EDGE('',*,*,#186698,.T.); #244109=ORIENTED_EDGE('',*,*,#186697,.T.); #244110=ORIENTED_EDGE('',*,*,#186699,.F.); #244111=ORIENTED_EDGE('',*,*,#186700,.F.); #244112=ORIENTED_EDGE('',*,*,#186701,.T.); #244113=ORIENTED_EDGE('',*,*,#186700,.T.); #244114=ORIENTED_EDGE('',*,*,#186702,.F.); #244115=ORIENTED_EDGE('',*,*,#186703,.F.); #244116=ORIENTED_EDGE('',*,*,#186704,.T.); #244117=ORIENTED_EDGE('',*,*,#186703,.T.); #244118=ORIENTED_EDGE('',*,*,#186705,.F.); #244119=ORIENTED_EDGE('',*,*,#186706,.F.); #244120=ORIENTED_EDGE('',*,*,#186707,.T.); #244121=ORIENTED_EDGE('',*,*,#186706,.T.); #244122=ORIENTED_EDGE('',*,*,#186708,.F.); #244123=ORIENTED_EDGE('',*,*,#186709,.F.); #244124=ORIENTED_EDGE('',*,*,#186710,.T.); #244125=ORIENTED_EDGE('',*,*,#186709,.T.); #244126=ORIENTED_EDGE('',*,*,#186711,.F.); #244127=ORIENTED_EDGE('',*,*,#186712,.F.); #244128=ORIENTED_EDGE('',*,*,#186713,.T.); #244129=ORIENTED_EDGE('',*,*,#186712,.T.); #244130=ORIENTED_EDGE('',*,*,#186714,.F.); #244131=ORIENTED_EDGE('',*,*,#186715,.F.); #244132=ORIENTED_EDGE('',*,*,#186716,.T.); #244133=ORIENTED_EDGE('',*,*,#186715,.T.); #244134=ORIENTED_EDGE('',*,*,#186717,.F.); #244135=ORIENTED_EDGE('',*,*,#186718,.F.); #244136=ORIENTED_EDGE('',*,*,#186719,.T.); #244137=ORIENTED_EDGE('',*,*,#186718,.T.); #244138=ORIENTED_EDGE('',*,*,#186720,.F.); #244139=ORIENTED_EDGE('',*,*,#186721,.F.); #244140=ORIENTED_EDGE('',*,*,#186722,.T.); #244141=ORIENTED_EDGE('',*,*,#186721,.T.); #244142=ORIENTED_EDGE('',*,*,#186723,.F.); #244143=ORIENTED_EDGE('',*,*,#186724,.F.); #244144=ORIENTED_EDGE('',*,*,#186725,.T.); #244145=ORIENTED_EDGE('',*,*,#186724,.T.); #244146=ORIENTED_EDGE('',*,*,#186726,.F.); #244147=ORIENTED_EDGE('',*,*,#186727,.F.); #244148=ORIENTED_EDGE('',*,*,#186728,.T.); #244149=ORIENTED_EDGE('',*,*,#186727,.T.); #244150=ORIENTED_EDGE('',*,*,#186729,.F.); #244151=ORIENTED_EDGE('',*,*,#186730,.F.); #244152=ORIENTED_EDGE('',*,*,#186731,.T.); #244153=ORIENTED_EDGE('',*,*,#186730,.T.); #244154=ORIENTED_EDGE('',*,*,#186732,.F.); #244155=ORIENTED_EDGE('',*,*,#186733,.F.); #244156=ORIENTED_EDGE('',*,*,#186734,.T.); #244157=ORIENTED_EDGE('',*,*,#186733,.T.); #244158=ORIENTED_EDGE('',*,*,#186735,.F.); #244159=ORIENTED_EDGE('',*,*,#186736,.F.); #244160=ORIENTED_EDGE('',*,*,#186737,.T.); #244161=ORIENTED_EDGE('',*,*,#186736,.T.); #244162=ORIENTED_EDGE('',*,*,#186738,.F.); #244163=ORIENTED_EDGE('',*,*,#186739,.F.); #244164=ORIENTED_EDGE('',*,*,#186740,.T.); #244165=ORIENTED_EDGE('',*,*,#186739,.T.); #244166=ORIENTED_EDGE('',*,*,#186741,.F.); #244167=ORIENTED_EDGE('',*,*,#186742,.F.); #244168=ORIENTED_EDGE('',*,*,#186743,.T.); #244169=ORIENTED_EDGE('',*,*,#186742,.T.); #244170=ORIENTED_EDGE('',*,*,#186744,.F.); #244171=ORIENTED_EDGE('',*,*,#186745,.F.); #244172=ORIENTED_EDGE('',*,*,#186746,.T.); #244173=ORIENTED_EDGE('',*,*,#186745,.T.); #244174=ORIENTED_EDGE('',*,*,#186747,.F.); #244175=ORIENTED_EDGE('',*,*,#186748,.F.); #244176=ORIENTED_EDGE('',*,*,#186749,.T.); #244177=ORIENTED_EDGE('',*,*,#186748,.T.); #244178=ORIENTED_EDGE('',*,*,#186750,.F.); #244179=ORIENTED_EDGE('',*,*,#186751,.F.); #244180=ORIENTED_EDGE('',*,*,#186752,.T.); #244181=ORIENTED_EDGE('',*,*,#186751,.T.); #244182=ORIENTED_EDGE('',*,*,#186753,.F.); #244183=ORIENTED_EDGE('',*,*,#186754,.F.); #244184=ORIENTED_EDGE('',*,*,#186755,.T.); #244185=ORIENTED_EDGE('',*,*,#186754,.T.); #244186=ORIENTED_EDGE('',*,*,#186756,.F.); #244187=ORIENTED_EDGE('',*,*,#186757,.F.); #244188=ORIENTED_EDGE('',*,*,#186758,.T.); #244189=ORIENTED_EDGE('',*,*,#186757,.T.); #244190=ORIENTED_EDGE('',*,*,#186759,.F.); #244191=ORIENTED_EDGE('',*,*,#186760,.F.); #244192=ORIENTED_EDGE('',*,*,#186761,.T.); #244193=ORIENTED_EDGE('',*,*,#186760,.T.); #244194=ORIENTED_EDGE('',*,*,#186762,.F.); #244195=ORIENTED_EDGE('',*,*,#186763,.F.); #244196=ORIENTED_EDGE('',*,*,#186764,.T.); #244197=ORIENTED_EDGE('',*,*,#186763,.T.); #244198=ORIENTED_EDGE('',*,*,#186765,.F.); #244199=ORIENTED_EDGE('',*,*,#186766,.F.); #244200=ORIENTED_EDGE('',*,*,#186767,.T.); #244201=ORIENTED_EDGE('',*,*,#186766,.T.); #244202=ORIENTED_EDGE('',*,*,#186768,.F.); #244203=ORIENTED_EDGE('',*,*,#186769,.F.); #244204=ORIENTED_EDGE('',*,*,#186770,.T.); #244205=ORIENTED_EDGE('',*,*,#186769,.T.); #244206=ORIENTED_EDGE('',*,*,#186771,.F.); #244207=ORIENTED_EDGE('',*,*,#186772,.F.); #244208=ORIENTED_EDGE('',*,*,#186773,.T.); #244209=ORIENTED_EDGE('',*,*,#186772,.T.); #244210=ORIENTED_EDGE('',*,*,#186774,.F.); #244211=ORIENTED_EDGE('',*,*,#186775,.F.); #244212=ORIENTED_EDGE('',*,*,#186776,.T.); #244213=ORIENTED_EDGE('',*,*,#186775,.T.); #244214=ORIENTED_EDGE('',*,*,#186777,.F.); #244215=ORIENTED_EDGE('',*,*,#186778,.F.); #244216=ORIENTED_EDGE('',*,*,#186779,.T.); #244217=ORIENTED_EDGE('',*,*,#186778,.T.); #244218=ORIENTED_EDGE('',*,*,#186780,.F.); #244219=ORIENTED_EDGE('',*,*,#186781,.F.); #244220=ORIENTED_EDGE('',*,*,#186782,.T.); #244221=ORIENTED_EDGE('',*,*,#186781,.T.); #244222=ORIENTED_EDGE('',*,*,#186783,.F.); #244223=ORIENTED_EDGE('',*,*,#186784,.F.); #244224=ORIENTED_EDGE('',*,*,#186785,.T.); #244225=ORIENTED_EDGE('',*,*,#186784,.T.); #244226=ORIENTED_EDGE('',*,*,#186786,.F.); #244227=ORIENTED_EDGE('',*,*,#186787,.F.); #244228=ORIENTED_EDGE('',*,*,#186788,.T.); #244229=ORIENTED_EDGE('',*,*,#186787,.T.); #244230=ORIENTED_EDGE('',*,*,#186789,.F.); #244231=ORIENTED_EDGE('',*,*,#186790,.F.); #244232=ORIENTED_EDGE('',*,*,#186791,.T.); #244233=ORIENTED_EDGE('',*,*,#186790,.T.); #244234=ORIENTED_EDGE('',*,*,#186792,.F.); #244235=ORIENTED_EDGE('',*,*,#186793,.F.); #244236=ORIENTED_EDGE('',*,*,#186794,.T.); #244237=ORIENTED_EDGE('',*,*,#186793,.T.); #244238=ORIENTED_EDGE('',*,*,#186795,.F.); #244239=ORIENTED_EDGE('',*,*,#186796,.F.); #244240=ORIENTED_EDGE('',*,*,#186797,.T.); #244241=ORIENTED_EDGE('',*,*,#186796,.T.); #244242=ORIENTED_EDGE('',*,*,#186798,.F.); #244243=ORIENTED_EDGE('',*,*,#186799,.F.); #244244=ORIENTED_EDGE('',*,*,#186800,.T.); #244245=ORIENTED_EDGE('',*,*,#186799,.T.); #244246=ORIENTED_EDGE('',*,*,#186801,.F.); #244247=ORIENTED_EDGE('',*,*,#186802,.F.); #244248=ORIENTED_EDGE('',*,*,#186803,.T.); #244249=ORIENTED_EDGE('',*,*,#186802,.T.); #244250=ORIENTED_EDGE('',*,*,#186804,.F.); #244251=ORIENTED_EDGE('',*,*,#186805,.F.); #244252=ORIENTED_EDGE('',*,*,#186806,.T.); #244253=ORIENTED_EDGE('',*,*,#186805,.T.); #244254=ORIENTED_EDGE('',*,*,#186807,.F.); #244255=ORIENTED_EDGE('',*,*,#186808,.F.); #244256=ORIENTED_EDGE('',*,*,#186809,.T.); #244257=ORIENTED_EDGE('',*,*,#186808,.T.); #244258=ORIENTED_EDGE('',*,*,#186810,.F.); #244259=ORIENTED_EDGE('',*,*,#186811,.F.); #244260=ORIENTED_EDGE('',*,*,#186812,.T.); #244261=ORIENTED_EDGE('',*,*,#186811,.T.); #244262=ORIENTED_EDGE('',*,*,#186813,.F.); #244263=ORIENTED_EDGE('',*,*,#186814,.F.); #244264=ORIENTED_EDGE('',*,*,#186815,.T.); #244265=ORIENTED_EDGE('',*,*,#186814,.T.); #244266=ORIENTED_EDGE('',*,*,#186816,.F.); #244267=ORIENTED_EDGE('',*,*,#186817,.F.); #244268=ORIENTED_EDGE('',*,*,#186818,.T.); #244269=ORIENTED_EDGE('',*,*,#186817,.T.); #244270=ORIENTED_EDGE('',*,*,#186819,.F.); #244271=ORIENTED_EDGE('',*,*,#186820,.F.); #244272=ORIENTED_EDGE('',*,*,#186821,.T.); #244273=ORIENTED_EDGE('',*,*,#186820,.T.); #244274=ORIENTED_EDGE('',*,*,#186822,.F.); #244275=ORIENTED_EDGE('',*,*,#186823,.F.); #244276=ORIENTED_EDGE('',*,*,#186824,.T.); #244277=ORIENTED_EDGE('',*,*,#186823,.T.); #244278=ORIENTED_EDGE('',*,*,#186825,.F.); #244279=ORIENTED_EDGE('',*,*,#186826,.F.); #244280=ORIENTED_EDGE('',*,*,#186827,.T.); #244281=ORIENTED_EDGE('',*,*,#186826,.T.); #244282=ORIENTED_EDGE('',*,*,#186828,.F.); #244283=ORIENTED_EDGE('',*,*,#186829,.F.); #244284=ORIENTED_EDGE('',*,*,#186830,.T.); #244285=ORIENTED_EDGE('',*,*,#186829,.T.); #244286=ORIENTED_EDGE('',*,*,#186831,.F.); #244287=ORIENTED_EDGE('',*,*,#186832,.F.); #244288=ORIENTED_EDGE('',*,*,#186833,.T.); #244289=ORIENTED_EDGE('',*,*,#186832,.T.); #244290=ORIENTED_EDGE('',*,*,#186834,.F.); #244291=ORIENTED_EDGE('',*,*,#186835,.F.); #244292=ORIENTED_EDGE('',*,*,#186836,.T.); #244293=ORIENTED_EDGE('',*,*,#186835,.T.); #244294=ORIENTED_EDGE('',*,*,#186837,.F.); #244295=ORIENTED_EDGE('',*,*,#186838,.F.); #244296=ORIENTED_EDGE('',*,*,#186839,.T.); #244297=ORIENTED_EDGE('',*,*,#186838,.T.); #244298=ORIENTED_EDGE('',*,*,#186840,.F.); #244299=ORIENTED_EDGE('',*,*,#186841,.F.); #244300=ORIENTED_EDGE('',*,*,#186842,.T.); #244301=ORIENTED_EDGE('',*,*,#186841,.T.); #244302=ORIENTED_EDGE('',*,*,#186843,.F.); #244303=ORIENTED_EDGE('',*,*,#186844,.F.); #244304=ORIENTED_EDGE('',*,*,#186845,.T.); #244305=ORIENTED_EDGE('',*,*,#186844,.T.); #244306=ORIENTED_EDGE('',*,*,#186846,.F.); #244307=ORIENTED_EDGE('',*,*,#186847,.F.); #244308=ORIENTED_EDGE('',*,*,#186848,.T.); #244309=ORIENTED_EDGE('',*,*,#186847,.T.); #244310=ORIENTED_EDGE('',*,*,#186849,.F.); #244311=ORIENTED_EDGE('',*,*,#186850,.F.); #244312=ORIENTED_EDGE('',*,*,#186851,.T.); #244313=ORIENTED_EDGE('',*,*,#186850,.T.); #244314=ORIENTED_EDGE('',*,*,#186852,.F.); #244315=ORIENTED_EDGE('',*,*,#186853,.F.); #244316=ORIENTED_EDGE('',*,*,#186854,.T.); #244317=ORIENTED_EDGE('',*,*,#186853,.T.); #244318=ORIENTED_EDGE('',*,*,#186855,.F.); #244319=ORIENTED_EDGE('',*,*,#186856,.F.); #244320=ORIENTED_EDGE('',*,*,#186857,.T.); #244321=ORIENTED_EDGE('',*,*,#186856,.T.); #244322=ORIENTED_EDGE('',*,*,#186858,.F.); #244323=ORIENTED_EDGE('',*,*,#186859,.F.); #244324=ORIENTED_EDGE('',*,*,#186860,.T.); #244325=ORIENTED_EDGE('',*,*,#186859,.T.); #244326=ORIENTED_EDGE('',*,*,#186861,.F.); #244327=ORIENTED_EDGE('',*,*,#186862,.F.); #244328=ORIENTED_EDGE('',*,*,#186863,.T.); #244329=ORIENTED_EDGE('',*,*,#186862,.T.); #244330=ORIENTED_EDGE('',*,*,#186864,.F.); #244331=ORIENTED_EDGE('',*,*,#186865,.F.); #244332=ORIENTED_EDGE('',*,*,#186866,.T.); #244333=ORIENTED_EDGE('',*,*,#186865,.T.); #244334=ORIENTED_EDGE('',*,*,#186867,.F.); #244335=ORIENTED_EDGE('',*,*,#186868,.F.); #244336=ORIENTED_EDGE('',*,*,#186869,.T.); #244337=ORIENTED_EDGE('',*,*,#186868,.T.); #244338=ORIENTED_EDGE('',*,*,#186870,.F.); #244339=ORIENTED_EDGE('',*,*,#186871,.F.); #244340=ORIENTED_EDGE('',*,*,#186872,.T.); #244341=ORIENTED_EDGE('',*,*,#186871,.T.); #244342=ORIENTED_EDGE('',*,*,#186873,.F.); #244343=ORIENTED_EDGE('',*,*,#186874,.F.); #244344=ORIENTED_EDGE('',*,*,#186875,.T.); #244345=ORIENTED_EDGE('',*,*,#186874,.T.); #244346=ORIENTED_EDGE('',*,*,#186876,.F.); #244347=ORIENTED_EDGE('',*,*,#186877,.F.); #244348=ORIENTED_EDGE('',*,*,#186878,.T.); #244349=ORIENTED_EDGE('',*,*,#186877,.T.); #244350=ORIENTED_EDGE('',*,*,#186879,.F.); #244351=ORIENTED_EDGE('',*,*,#186880,.F.); #244352=ORIENTED_EDGE('',*,*,#186881,.T.); #244353=ORIENTED_EDGE('',*,*,#186880,.T.); #244354=ORIENTED_EDGE('',*,*,#186882,.F.); #244355=ORIENTED_EDGE('',*,*,#186883,.F.); #244356=ORIENTED_EDGE('',*,*,#186884,.T.); #244357=ORIENTED_EDGE('',*,*,#186883,.T.); #244358=ORIENTED_EDGE('',*,*,#186885,.F.); #244359=ORIENTED_EDGE('',*,*,#186886,.F.); #244360=ORIENTED_EDGE('',*,*,#186887,.T.); #244361=ORIENTED_EDGE('',*,*,#186886,.T.); #244362=ORIENTED_EDGE('',*,*,#186888,.F.); #244363=ORIENTED_EDGE('',*,*,#186889,.F.); #244364=ORIENTED_EDGE('',*,*,#186890,.T.); #244365=ORIENTED_EDGE('',*,*,#186889,.T.); #244366=ORIENTED_EDGE('',*,*,#186891,.F.); #244367=ORIENTED_EDGE('',*,*,#186892,.F.); #244368=ORIENTED_EDGE('',*,*,#186893,.T.); #244369=ORIENTED_EDGE('',*,*,#186892,.T.); #244370=ORIENTED_EDGE('',*,*,#186894,.F.); #244371=ORIENTED_EDGE('',*,*,#186895,.F.); #244372=ORIENTED_EDGE('',*,*,#186896,.T.); #244373=ORIENTED_EDGE('',*,*,#186895,.T.); #244374=ORIENTED_EDGE('',*,*,#186897,.F.); #244375=ORIENTED_EDGE('',*,*,#186898,.F.); #244376=ORIENTED_EDGE('',*,*,#186899,.T.); #244377=ORIENTED_EDGE('',*,*,#186898,.T.); #244378=ORIENTED_EDGE('',*,*,#186900,.F.); #244379=ORIENTED_EDGE('',*,*,#186901,.F.); #244380=ORIENTED_EDGE('',*,*,#186902,.T.); #244381=ORIENTED_EDGE('',*,*,#186901,.T.); #244382=ORIENTED_EDGE('',*,*,#186903,.F.); #244383=ORIENTED_EDGE('',*,*,#186904,.F.); #244384=ORIENTED_EDGE('',*,*,#186905,.T.); #244385=ORIENTED_EDGE('',*,*,#186904,.T.); #244386=ORIENTED_EDGE('',*,*,#186906,.F.); #244387=ORIENTED_EDGE('',*,*,#186907,.F.); #244388=ORIENTED_EDGE('',*,*,#186908,.T.); #244389=ORIENTED_EDGE('',*,*,#186907,.T.); #244390=ORIENTED_EDGE('',*,*,#186909,.F.); #244391=ORIENTED_EDGE('',*,*,#186910,.F.); #244392=ORIENTED_EDGE('',*,*,#186911,.T.); #244393=ORIENTED_EDGE('',*,*,#186910,.T.); #244394=ORIENTED_EDGE('',*,*,#186912,.F.); #244395=ORIENTED_EDGE('',*,*,#186913,.F.); #244396=ORIENTED_EDGE('',*,*,#186914,.T.); #244397=ORIENTED_EDGE('',*,*,#186913,.T.); #244398=ORIENTED_EDGE('',*,*,#186915,.F.); #244399=ORIENTED_EDGE('',*,*,#186916,.F.); #244400=ORIENTED_EDGE('',*,*,#186917,.T.); #244401=ORIENTED_EDGE('',*,*,#186916,.T.); #244402=ORIENTED_EDGE('',*,*,#186918,.F.); #244403=ORIENTED_EDGE('',*,*,#186919,.F.); #244404=ORIENTED_EDGE('',*,*,#186920,.T.); #244405=ORIENTED_EDGE('',*,*,#186919,.T.); #244406=ORIENTED_EDGE('',*,*,#186921,.F.); #244407=ORIENTED_EDGE('',*,*,#186922,.F.); #244408=ORIENTED_EDGE('',*,*,#186923,.T.); #244409=ORIENTED_EDGE('',*,*,#186922,.T.); #244410=ORIENTED_EDGE('',*,*,#186924,.F.); #244411=ORIENTED_EDGE('',*,*,#186925,.F.); #244412=ORIENTED_EDGE('',*,*,#186926,.T.); #244413=ORIENTED_EDGE('',*,*,#186925,.T.); #244414=ORIENTED_EDGE('',*,*,#186927,.F.); #244415=ORIENTED_EDGE('',*,*,#186928,.F.); #244416=ORIENTED_EDGE('',*,*,#186929,.T.); #244417=ORIENTED_EDGE('',*,*,#186928,.T.); #244418=ORIENTED_EDGE('',*,*,#186930,.F.); #244419=ORIENTED_EDGE('',*,*,#186931,.F.); #244420=ORIENTED_EDGE('',*,*,#186932,.T.); #244421=ORIENTED_EDGE('',*,*,#186931,.T.); #244422=ORIENTED_EDGE('',*,*,#186933,.F.); #244423=ORIENTED_EDGE('',*,*,#186934,.F.); #244424=ORIENTED_EDGE('',*,*,#186935,.T.); #244425=ORIENTED_EDGE('',*,*,#186934,.T.); #244426=ORIENTED_EDGE('',*,*,#186936,.F.); #244427=ORIENTED_EDGE('',*,*,#186937,.F.); #244428=ORIENTED_EDGE('',*,*,#186938,.T.); #244429=ORIENTED_EDGE('',*,*,#186937,.T.); #244430=ORIENTED_EDGE('',*,*,#186939,.F.); #244431=ORIENTED_EDGE('',*,*,#186940,.F.); #244432=ORIENTED_EDGE('',*,*,#186941,.T.); #244433=ORIENTED_EDGE('',*,*,#186940,.T.); #244434=ORIENTED_EDGE('',*,*,#186942,.F.); #244435=ORIENTED_EDGE('',*,*,#186943,.F.); #244436=ORIENTED_EDGE('',*,*,#186944,.T.); #244437=ORIENTED_EDGE('',*,*,#186943,.T.); #244438=ORIENTED_EDGE('',*,*,#186945,.F.); #244439=ORIENTED_EDGE('',*,*,#186946,.F.); #244440=ORIENTED_EDGE('',*,*,#186947,.T.); #244441=ORIENTED_EDGE('',*,*,#186946,.T.); #244442=ORIENTED_EDGE('',*,*,#186948,.F.); #244443=ORIENTED_EDGE('',*,*,#186949,.F.); #244444=ORIENTED_EDGE('',*,*,#186950,.T.); #244445=ORIENTED_EDGE('',*,*,#186949,.T.); #244446=ORIENTED_EDGE('',*,*,#186951,.F.); #244447=ORIENTED_EDGE('',*,*,#186952,.F.); #244448=ORIENTED_EDGE('',*,*,#186953,.T.); #244449=ORIENTED_EDGE('',*,*,#186952,.T.); #244450=ORIENTED_EDGE('',*,*,#186954,.F.); #244451=ORIENTED_EDGE('',*,*,#186955,.F.); #244452=ORIENTED_EDGE('',*,*,#186956,.T.); #244453=ORIENTED_EDGE('',*,*,#186955,.T.); #244454=ORIENTED_EDGE('',*,*,#186957,.F.); #244455=ORIENTED_EDGE('',*,*,#186958,.F.); #244456=ORIENTED_EDGE('',*,*,#186959,.T.); #244457=ORIENTED_EDGE('',*,*,#186958,.T.); #244458=ORIENTED_EDGE('',*,*,#186960,.F.); #244459=ORIENTED_EDGE('',*,*,#186961,.F.); #244460=ORIENTED_EDGE('',*,*,#186962,.T.); #244461=ORIENTED_EDGE('',*,*,#186961,.T.); #244462=ORIENTED_EDGE('',*,*,#186963,.F.); #244463=ORIENTED_EDGE('',*,*,#186964,.F.); #244464=ORIENTED_EDGE('',*,*,#186965,.T.); #244465=ORIENTED_EDGE('',*,*,#186964,.T.); #244466=ORIENTED_EDGE('',*,*,#186966,.F.); #244467=ORIENTED_EDGE('',*,*,#186967,.F.); #244468=ORIENTED_EDGE('',*,*,#186968,.T.); #244469=ORIENTED_EDGE('',*,*,#186967,.T.); #244470=ORIENTED_EDGE('',*,*,#186969,.F.); #244471=ORIENTED_EDGE('',*,*,#186970,.F.); #244472=ORIENTED_EDGE('',*,*,#186971,.T.); #244473=ORIENTED_EDGE('',*,*,#186970,.T.); #244474=ORIENTED_EDGE('',*,*,#186972,.F.); #244475=ORIENTED_EDGE('',*,*,#186973,.F.); #244476=ORIENTED_EDGE('',*,*,#186974,.T.); #244477=ORIENTED_EDGE('',*,*,#186973,.T.); #244478=ORIENTED_EDGE('',*,*,#186975,.F.); #244479=ORIENTED_EDGE('',*,*,#186976,.F.); #244480=ORIENTED_EDGE('',*,*,#186977,.T.); #244481=ORIENTED_EDGE('',*,*,#186976,.T.); #244482=ORIENTED_EDGE('',*,*,#186978,.F.); #244483=ORIENTED_EDGE('',*,*,#186979,.F.); #244484=ORIENTED_EDGE('',*,*,#186980,.T.); #244485=ORIENTED_EDGE('',*,*,#186979,.T.); #244486=ORIENTED_EDGE('',*,*,#186981,.F.); #244487=ORIENTED_EDGE('',*,*,#186982,.F.); #244488=ORIENTED_EDGE('',*,*,#186983,.T.); #244489=ORIENTED_EDGE('',*,*,#186982,.T.); #244490=ORIENTED_EDGE('',*,*,#186984,.F.); #244491=ORIENTED_EDGE('',*,*,#186985,.F.); #244492=ORIENTED_EDGE('',*,*,#186986,.T.); #244493=ORIENTED_EDGE('',*,*,#186985,.T.); #244494=ORIENTED_EDGE('',*,*,#186987,.F.); #244495=ORIENTED_EDGE('',*,*,#186988,.F.); #244496=ORIENTED_EDGE('',*,*,#186989,.T.); #244497=ORIENTED_EDGE('',*,*,#186988,.T.); #244498=ORIENTED_EDGE('',*,*,#186990,.F.); #244499=ORIENTED_EDGE('',*,*,#186991,.F.); #244500=ORIENTED_EDGE('',*,*,#186992,.T.); #244501=ORIENTED_EDGE('',*,*,#186991,.T.); #244502=ORIENTED_EDGE('',*,*,#186993,.F.); #244503=ORIENTED_EDGE('',*,*,#186994,.F.); #244504=ORIENTED_EDGE('',*,*,#186995,.T.); #244505=ORIENTED_EDGE('',*,*,#186994,.T.); #244506=ORIENTED_EDGE('',*,*,#186996,.F.); #244507=ORIENTED_EDGE('',*,*,#186997,.F.); #244508=ORIENTED_EDGE('',*,*,#186998,.T.); #244509=ORIENTED_EDGE('',*,*,#186997,.T.); #244510=ORIENTED_EDGE('',*,*,#186999,.F.); #244511=ORIENTED_EDGE('',*,*,#187000,.F.); #244512=ORIENTED_EDGE('',*,*,#187001,.T.); #244513=ORIENTED_EDGE('',*,*,#187000,.T.); #244514=ORIENTED_EDGE('',*,*,#187002,.F.); #244515=ORIENTED_EDGE('',*,*,#187003,.F.); #244516=ORIENTED_EDGE('',*,*,#187004,.T.); #244517=ORIENTED_EDGE('',*,*,#187003,.T.); #244518=ORIENTED_EDGE('',*,*,#187005,.F.); #244519=ORIENTED_EDGE('',*,*,#187006,.F.); #244520=ORIENTED_EDGE('',*,*,#187007,.T.); #244521=ORIENTED_EDGE('',*,*,#187006,.T.); #244522=ORIENTED_EDGE('',*,*,#187008,.F.); #244523=ORIENTED_EDGE('',*,*,#187009,.F.); #244524=ORIENTED_EDGE('',*,*,#187010,.T.); #244525=ORIENTED_EDGE('',*,*,#187009,.T.); #244526=ORIENTED_EDGE('',*,*,#187011,.F.); #244527=ORIENTED_EDGE('',*,*,#187012,.F.); #244528=ORIENTED_EDGE('',*,*,#187013,.T.); #244529=ORIENTED_EDGE('',*,*,#187012,.T.); #244530=ORIENTED_EDGE('',*,*,#187014,.F.); #244531=ORIENTED_EDGE('',*,*,#187015,.F.); #244532=ORIENTED_EDGE('',*,*,#187016,.T.); #244533=ORIENTED_EDGE('',*,*,#187015,.T.); #244534=ORIENTED_EDGE('',*,*,#187017,.F.); #244535=ORIENTED_EDGE('',*,*,#187018,.F.); #244536=ORIENTED_EDGE('',*,*,#187019,.T.); #244537=ORIENTED_EDGE('',*,*,#187018,.T.); #244538=ORIENTED_EDGE('',*,*,#187020,.F.); #244539=ORIENTED_EDGE('',*,*,#187021,.F.); #244540=ORIENTED_EDGE('',*,*,#187022,.T.); #244541=ORIENTED_EDGE('',*,*,#187021,.T.); #244542=ORIENTED_EDGE('',*,*,#187023,.F.); #244543=ORIENTED_EDGE('',*,*,#187024,.F.); #244544=ORIENTED_EDGE('',*,*,#187025,.T.); #244545=ORIENTED_EDGE('',*,*,#187024,.T.); #244546=ORIENTED_EDGE('',*,*,#187026,.F.); #244547=ORIENTED_EDGE('',*,*,#187027,.F.); #244548=ORIENTED_EDGE('',*,*,#187028,.T.); #244549=ORIENTED_EDGE('',*,*,#187027,.T.); #244550=ORIENTED_EDGE('',*,*,#187029,.F.); #244551=ORIENTED_EDGE('',*,*,#187030,.F.); #244552=ORIENTED_EDGE('',*,*,#187031,.T.); #244553=ORIENTED_EDGE('',*,*,#187030,.T.); #244554=ORIENTED_EDGE('',*,*,#187032,.F.); #244555=ORIENTED_EDGE('',*,*,#187033,.F.); #244556=ORIENTED_EDGE('',*,*,#187034,.T.); #244557=ORIENTED_EDGE('',*,*,#187033,.T.); #244558=ORIENTED_EDGE('',*,*,#187035,.F.); #244559=ORIENTED_EDGE('',*,*,#187036,.F.); #244560=ORIENTED_EDGE('',*,*,#187037,.T.); #244561=ORIENTED_EDGE('',*,*,#187036,.T.); #244562=ORIENTED_EDGE('',*,*,#187038,.F.); #244563=ORIENTED_EDGE('',*,*,#187039,.F.); #244564=ORIENTED_EDGE('',*,*,#187040,.T.); #244565=ORIENTED_EDGE('',*,*,#187039,.T.); #244566=ORIENTED_EDGE('',*,*,#187041,.F.); #244567=ORIENTED_EDGE('',*,*,#187042,.F.); #244568=ORIENTED_EDGE('',*,*,#187043,.T.); #244569=ORIENTED_EDGE('',*,*,#187042,.T.); #244570=ORIENTED_EDGE('',*,*,#187044,.F.); #244571=ORIENTED_EDGE('',*,*,#187045,.F.); #244572=ORIENTED_EDGE('',*,*,#187046,.T.); #244573=ORIENTED_EDGE('',*,*,#187045,.T.); #244574=ORIENTED_EDGE('',*,*,#187047,.F.); #244575=ORIENTED_EDGE('',*,*,#187048,.F.); #244576=ORIENTED_EDGE('',*,*,#187049,.T.); #244577=ORIENTED_EDGE('',*,*,#187048,.T.); #244578=ORIENTED_EDGE('',*,*,#187050,.F.); #244579=ORIENTED_EDGE('',*,*,#187051,.F.); #244580=ORIENTED_EDGE('',*,*,#187052,.T.); #244581=ORIENTED_EDGE('',*,*,#187051,.T.); #244582=ORIENTED_EDGE('',*,*,#187053,.F.); #244583=ORIENTED_EDGE('',*,*,#187054,.F.); #244584=ORIENTED_EDGE('',*,*,#187055,.T.); #244585=ORIENTED_EDGE('',*,*,#187054,.T.); #244586=ORIENTED_EDGE('',*,*,#187056,.F.); #244587=ORIENTED_EDGE('',*,*,#187057,.F.); #244588=ORIENTED_EDGE('',*,*,#187058,.T.); #244589=ORIENTED_EDGE('',*,*,#187057,.T.); #244590=ORIENTED_EDGE('',*,*,#187059,.F.); #244591=ORIENTED_EDGE('',*,*,#187060,.F.); #244592=ORIENTED_EDGE('',*,*,#187061,.T.); #244593=ORIENTED_EDGE('',*,*,#187060,.T.); #244594=ORIENTED_EDGE('',*,*,#187062,.F.); #244595=ORIENTED_EDGE('',*,*,#187063,.F.); #244596=ORIENTED_EDGE('',*,*,#187064,.T.); #244597=ORIENTED_EDGE('',*,*,#187063,.T.); #244598=ORIENTED_EDGE('',*,*,#187065,.F.); #244599=ORIENTED_EDGE('',*,*,#187066,.F.); #244600=ORIENTED_EDGE('',*,*,#187067,.T.); #244601=ORIENTED_EDGE('',*,*,#187066,.T.); #244602=ORIENTED_EDGE('',*,*,#187068,.F.); #244603=ORIENTED_EDGE('',*,*,#187069,.F.); #244604=ORIENTED_EDGE('',*,*,#187070,.T.); #244605=ORIENTED_EDGE('',*,*,#187069,.T.); #244606=ORIENTED_EDGE('',*,*,#187071,.F.); #244607=ORIENTED_EDGE('',*,*,#187072,.F.); #244608=ORIENTED_EDGE('',*,*,#187073,.T.); #244609=ORIENTED_EDGE('',*,*,#187072,.T.); #244610=ORIENTED_EDGE('',*,*,#187074,.F.); #244611=ORIENTED_EDGE('',*,*,#187075,.F.); #244612=ORIENTED_EDGE('',*,*,#187076,.T.); #244613=ORIENTED_EDGE('',*,*,#187075,.T.); #244614=ORIENTED_EDGE('',*,*,#187077,.F.); #244615=ORIENTED_EDGE('',*,*,#187078,.F.); #244616=ORIENTED_EDGE('',*,*,#187079,.T.); #244617=ORIENTED_EDGE('',*,*,#187078,.T.); #244618=ORIENTED_EDGE('',*,*,#187080,.F.); #244619=ORIENTED_EDGE('',*,*,#187081,.F.); #244620=ORIENTED_EDGE('',*,*,#187082,.T.); #244621=ORIENTED_EDGE('',*,*,#187081,.T.); #244622=ORIENTED_EDGE('',*,*,#187083,.F.); #244623=ORIENTED_EDGE('',*,*,#187084,.F.); #244624=ORIENTED_EDGE('',*,*,#187085,.T.); #244625=ORIENTED_EDGE('',*,*,#187084,.T.); #244626=ORIENTED_EDGE('',*,*,#187086,.F.); #244627=ORIENTED_EDGE('',*,*,#187087,.F.); #244628=ORIENTED_EDGE('',*,*,#187088,.T.); #244629=ORIENTED_EDGE('',*,*,#187087,.T.); #244630=ORIENTED_EDGE('',*,*,#187089,.F.); #244631=ORIENTED_EDGE('',*,*,#187090,.F.); #244632=ORIENTED_EDGE('',*,*,#187091,.T.); #244633=ORIENTED_EDGE('',*,*,#187090,.T.); #244634=ORIENTED_EDGE('',*,*,#187092,.F.); #244635=ORIENTED_EDGE('',*,*,#187093,.F.); #244636=ORIENTED_EDGE('',*,*,#187094,.T.); #244637=ORIENTED_EDGE('',*,*,#187093,.T.); #244638=ORIENTED_EDGE('',*,*,#187095,.F.); #244639=ORIENTED_EDGE('',*,*,#187096,.F.); #244640=ORIENTED_EDGE('',*,*,#187097,.T.); #244641=ORIENTED_EDGE('',*,*,#187096,.T.); #244642=ORIENTED_EDGE('',*,*,#187098,.F.); #244643=ORIENTED_EDGE('',*,*,#187099,.F.); #244644=ORIENTED_EDGE('',*,*,#187100,.T.); #244645=ORIENTED_EDGE('',*,*,#187099,.T.); #244646=ORIENTED_EDGE('',*,*,#187101,.F.); #244647=ORIENTED_EDGE('',*,*,#187102,.F.); #244648=ORIENTED_EDGE('',*,*,#187103,.T.); #244649=ORIENTED_EDGE('',*,*,#187102,.T.); #244650=ORIENTED_EDGE('',*,*,#187104,.F.); #244651=ORIENTED_EDGE('',*,*,#187105,.F.); #244652=ORIENTED_EDGE('',*,*,#187106,.T.); #244653=ORIENTED_EDGE('',*,*,#187105,.T.); #244654=ORIENTED_EDGE('',*,*,#187107,.F.); #244655=ORIENTED_EDGE('',*,*,#187108,.F.); #244656=ORIENTED_EDGE('',*,*,#187109,.T.); #244657=ORIENTED_EDGE('',*,*,#187108,.T.); #244658=ORIENTED_EDGE('',*,*,#187110,.F.); #244659=ORIENTED_EDGE('',*,*,#187111,.F.); #244660=ORIENTED_EDGE('',*,*,#187112,.T.); #244661=ORIENTED_EDGE('',*,*,#187111,.T.); #244662=ORIENTED_EDGE('',*,*,#187113,.F.); #244663=ORIENTED_EDGE('',*,*,#187114,.F.); #244664=ORIENTED_EDGE('',*,*,#187115,.T.); #244665=ORIENTED_EDGE('',*,*,#187114,.T.); #244666=ORIENTED_EDGE('',*,*,#187116,.F.); #244667=ORIENTED_EDGE('',*,*,#187117,.F.); #244668=ORIENTED_EDGE('',*,*,#187118,.T.); #244669=ORIENTED_EDGE('',*,*,#187117,.T.); #244670=ORIENTED_EDGE('',*,*,#187119,.F.); #244671=ORIENTED_EDGE('',*,*,#187120,.F.); #244672=ORIENTED_EDGE('',*,*,#187121,.T.); #244673=ORIENTED_EDGE('',*,*,#187120,.T.); #244674=ORIENTED_EDGE('',*,*,#187122,.F.); #244675=ORIENTED_EDGE('',*,*,#187123,.F.); #244676=ORIENTED_EDGE('',*,*,#187124,.T.); #244677=ORIENTED_EDGE('',*,*,#187123,.T.); #244678=ORIENTED_EDGE('',*,*,#187125,.F.); #244679=ORIENTED_EDGE('',*,*,#187126,.F.); #244680=ORIENTED_EDGE('',*,*,#187127,.T.); #244681=ORIENTED_EDGE('',*,*,#187126,.T.); #244682=ORIENTED_EDGE('',*,*,#187128,.F.); #244683=ORIENTED_EDGE('',*,*,#187129,.F.); #244684=ORIENTED_EDGE('',*,*,#187130,.T.); #244685=ORIENTED_EDGE('',*,*,#187129,.T.); #244686=ORIENTED_EDGE('',*,*,#187131,.F.); #244687=ORIENTED_EDGE('',*,*,#187132,.F.); #244688=ORIENTED_EDGE('',*,*,#187133,.T.); #244689=ORIENTED_EDGE('',*,*,#187132,.T.); #244690=ORIENTED_EDGE('',*,*,#187134,.F.); #244691=ORIENTED_EDGE('',*,*,#187135,.F.); #244692=ORIENTED_EDGE('',*,*,#187136,.T.); #244693=ORIENTED_EDGE('',*,*,#187135,.T.); #244694=ORIENTED_EDGE('',*,*,#187137,.F.); #244695=ORIENTED_EDGE('',*,*,#187138,.F.); #244696=ORIENTED_EDGE('',*,*,#187139,.T.); #244697=ORIENTED_EDGE('',*,*,#187138,.T.); #244698=ORIENTED_EDGE('',*,*,#187140,.F.); #244699=ORIENTED_EDGE('',*,*,#187141,.F.); #244700=ORIENTED_EDGE('',*,*,#187142,.T.); #244701=ORIENTED_EDGE('',*,*,#187141,.T.); #244702=ORIENTED_EDGE('',*,*,#187143,.F.); #244703=ORIENTED_EDGE('',*,*,#187144,.F.); #244704=ORIENTED_EDGE('',*,*,#187145,.T.); #244705=ORIENTED_EDGE('',*,*,#187144,.T.); #244706=ORIENTED_EDGE('',*,*,#187146,.F.); #244707=ORIENTED_EDGE('',*,*,#187147,.F.); #244708=ORIENTED_EDGE('',*,*,#187148,.T.); #244709=ORIENTED_EDGE('',*,*,#187147,.T.); #244710=ORIENTED_EDGE('',*,*,#187149,.F.); #244711=ORIENTED_EDGE('',*,*,#187150,.F.); #244712=ORIENTED_EDGE('',*,*,#187151,.T.); #244713=ORIENTED_EDGE('',*,*,#187150,.T.); #244714=ORIENTED_EDGE('',*,*,#187152,.F.); #244715=ORIENTED_EDGE('',*,*,#187153,.F.); #244716=ORIENTED_EDGE('',*,*,#187154,.T.); #244717=ORIENTED_EDGE('',*,*,#187153,.T.); #244718=ORIENTED_EDGE('',*,*,#187155,.F.); #244719=ORIENTED_EDGE('',*,*,#187156,.F.); #244720=ORIENTED_EDGE('',*,*,#187157,.T.); #244721=ORIENTED_EDGE('',*,*,#187156,.T.); #244722=ORIENTED_EDGE('',*,*,#187158,.F.); #244723=ORIENTED_EDGE('',*,*,#187159,.F.); #244724=ORIENTED_EDGE('',*,*,#187160,.T.); #244725=ORIENTED_EDGE('',*,*,#187159,.T.); #244726=ORIENTED_EDGE('',*,*,#187161,.F.); #244727=ORIENTED_EDGE('',*,*,#187162,.F.); #244728=ORIENTED_EDGE('',*,*,#187163,.T.); #244729=ORIENTED_EDGE('',*,*,#187162,.T.); #244730=ORIENTED_EDGE('',*,*,#187164,.F.); #244731=ORIENTED_EDGE('',*,*,#187165,.F.); #244732=ORIENTED_EDGE('',*,*,#187166,.T.); #244733=ORIENTED_EDGE('',*,*,#187165,.T.); #244734=ORIENTED_EDGE('',*,*,#187167,.F.); #244735=ORIENTED_EDGE('',*,*,#187168,.F.); #244736=ORIENTED_EDGE('',*,*,#187169,.T.); #244737=ORIENTED_EDGE('',*,*,#187168,.T.); #244738=ORIENTED_EDGE('',*,*,#187170,.F.); #244739=ORIENTED_EDGE('',*,*,#187171,.F.); #244740=ORIENTED_EDGE('',*,*,#187172,.T.); #244741=ORIENTED_EDGE('',*,*,#187171,.T.); #244742=ORIENTED_EDGE('',*,*,#187173,.F.); #244743=ORIENTED_EDGE('',*,*,#187174,.F.); #244744=ORIENTED_EDGE('',*,*,#187175,.T.); #244745=ORIENTED_EDGE('',*,*,#187174,.T.); #244746=ORIENTED_EDGE('',*,*,#187176,.F.); #244747=ORIENTED_EDGE('',*,*,#187177,.F.); #244748=ORIENTED_EDGE('',*,*,#187178,.T.); #244749=ORIENTED_EDGE('',*,*,#187177,.T.); #244750=ORIENTED_EDGE('',*,*,#187179,.F.); #244751=ORIENTED_EDGE('',*,*,#187180,.F.); #244752=ORIENTED_EDGE('',*,*,#187181,.T.); #244753=ORIENTED_EDGE('',*,*,#187180,.T.); #244754=ORIENTED_EDGE('',*,*,#187182,.F.); #244755=ORIENTED_EDGE('',*,*,#187183,.F.); #244756=ORIENTED_EDGE('',*,*,#187184,.T.); #244757=ORIENTED_EDGE('',*,*,#187183,.T.); #244758=ORIENTED_EDGE('',*,*,#187185,.F.); #244759=ORIENTED_EDGE('',*,*,#187186,.F.); #244760=ORIENTED_EDGE('',*,*,#187187,.T.); #244761=ORIENTED_EDGE('',*,*,#187186,.T.); #244762=ORIENTED_EDGE('',*,*,#187188,.F.); #244763=ORIENTED_EDGE('',*,*,#187189,.F.); #244764=ORIENTED_EDGE('',*,*,#187190,.T.); #244765=ORIENTED_EDGE('',*,*,#187189,.T.); #244766=ORIENTED_EDGE('',*,*,#187191,.F.); #244767=ORIENTED_EDGE('',*,*,#187192,.F.); #244768=ORIENTED_EDGE('',*,*,#187193,.T.); #244769=ORIENTED_EDGE('',*,*,#187192,.T.); #244770=ORIENTED_EDGE('',*,*,#187194,.F.); #244771=ORIENTED_EDGE('',*,*,#187195,.F.); #244772=ORIENTED_EDGE('',*,*,#187196,.T.); #244773=ORIENTED_EDGE('',*,*,#187195,.T.); #244774=ORIENTED_EDGE('',*,*,#187197,.F.); #244775=ORIENTED_EDGE('',*,*,#187198,.F.); #244776=ORIENTED_EDGE('',*,*,#187199,.T.); #244777=ORIENTED_EDGE('',*,*,#187198,.T.); #244778=ORIENTED_EDGE('',*,*,#187200,.F.); #244779=ORIENTED_EDGE('',*,*,#187201,.F.); #244780=ORIENTED_EDGE('',*,*,#187202,.T.); #244781=ORIENTED_EDGE('',*,*,#187201,.T.); #244782=ORIENTED_EDGE('',*,*,#187203,.F.); #244783=ORIENTED_EDGE('',*,*,#187204,.F.); #244784=ORIENTED_EDGE('',*,*,#187205,.T.); #244785=ORIENTED_EDGE('',*,*,#187204,.T.); #244786=ORIENTED_EDGE('',*,*,#187206,.F.); #244787=ORIENTED_EDGE('',*,*,#187207,.F.); #244788=ORIENTED_EDGE('',*,*,#187208,.T.); #244789=ORIENTED_EDGE('',*,*,#187207,.T.); #244790=ORIENTED_EDGE('',*,*,#187209,.F.); #244791=ORIENTED_EDGE('',*,*,#187210,.F.); #244792=ORIENTED_EDGE('',*,*,#187211,.T.); #244793=ORIENTED_EDGE('',*,*,#187210,.T.); #244794=ORIENTED_EDGE('',*,*,#187212,.F.); #244795=ORIENTED_EDGE('',*,*,#187213,.F.); #244796=ORIENTED_EDGE('',*,*,#187214,.T.); #244797=ORIENTED_EDGE('',*,*,#187213,.T.); #244798=ORIENTED_EDGE('',*,*,#187215,.F.); #244799=ORIENTED_EDGE('',*,*,#187216,.F.); #244800=ORIENTED_EDGE('',*,*,#187217,.T.); #244801=ORIENTED_EDGE('',*,*,#187216,.T.); #244802=ORIENTED_EDGE('',*,*,#187218,.F.); #244803=ORIENTED_EDGE('',*,*,#187219,.F.); #244804=ORIENTED_EDGE('',*,*,#187220,.T.); #244805=ORIENTED_EDGE('',*,*,#187219,.T.); #244806=ORIENTED_EDGE('',*,*,#187221,.F.); #244807=ORIENTED_EDGE('',*,*,#187222,.F.); #244808=ORIENTED_EDGE('',*,*,#187223,.T.); #244809=ORIENTED_EDGE('',*,*,#187222,.T.); #244810=ORIENTED_EDGE('',*,*,#187224,.F.); #244811=ORIENTED_EDGE('',*,*,#187225,.F.); #244812=ORIENTED_EDGE('',*,*,#187226,.T.); #244813=ORIENTED_EDGE('',*,*,#187225,.T.); #244814=ORIENTED_EDGE('',*,*,#187227,.F.); #244815=ORIENTED_EDGE('',*,*,#187228,.F.); #244816=ORIENTED_EDGE('',*,*,#187229,.T.); #244817=ORIENTED_EDGE('',*,*,#187228,.T.); #244818=ORIENTED_EDGE('',*,*,#187230,.F.); #244819=ORIENTED_EDGE('',*,*,#187231,.F.); #244820=ORIENTED_EDGE('',*,*,#187232,.T.); #244821=ORIENTED_EDGE('',*,*,#187231,.T.); #244822=ORIENTED_EDGE('',*,*,#187233,.F.); #244823=ORIENTED_EDGE('',*,*,#187234,.F.); #244824=ORIENTED_EDGE('',*,*,#187235,.T.); #244825=ORIENTED_EDGE('',*,*,#187234,.T.); #244826=ORIENTED_EDGE('',*,*,#187236,.F.); #244827=ORIENTED_EDGE('',*,*,#187237,.F.); #244828=ORIENTED_EDGE('',*,*,#187238,.T.); #244829=ORIENTED_EDGE('',*,*,#187237,.T.); #244830=ORIENTED_EDGE('',*,*,#187239,.F.); #244831=ORIENTED_EDGE('',*,*,#187240,.F.); #244832=ORIENTED_EDGE('',*,*,#187241,.T.); #244833=ORIENTED_EDGE('',*,*,#187240,.T.); #244834=ORIENTED_EDGE('',*,*,#187242,.F.); #244835=ORIENTED_EDGE('',*,*,#187243,.F.); #244836=ORIENTED_EDGE('',*,*,#187244,.T.); #244837=ORIENTED_EDGE('',*,*,#187243,.T.); #244838=ORIENTED_EDGE('',*,*,#187245,.F.); #244839=ORIENTED_EDGE('',*,*,#187246,.F.); #244840=ORIENTED_EDGE('',*,*,#187247,.T.); #244841=ORIENTED_EDGE('',*,*,#187246,.T.); #244842=ORIENTED_EDGE('',*,*,#187248,.F.); #244843=ORIENTED_EDGE('',*,*,#187249,.F.); #244844=ORIENTED_EDGE('',*,*,#187250,.T.); #244845=ORIENTED_EDGE('',*,*,#187249,.T.); #244846=ORIENTED_EDGE('',*,*,#187251,.F.); #244847=ORIENTED_EDGE('',*,*,#187252,.F.); #244848=ORIENTED_EDGE('',*,*,#187253,.T.); #244849=ORIENTED_EDGE('',*,*,#187252,.T.); #244850=ORIENTED_EDGE('',*,*,#187254,.F.); #244851=ORIENTED_EDGE('',*,*,#187255,.F.); #244852=ORIENTED_EDGE('',*,*,#187256,.T.); #244853=ORIENTED_EDGE('',*,*,#187255,.T.); #244854=ORIENTED_EDGE('',*,*,#187257,.F.); #244855=ORIENTED_EDGE('',*,*,#187258,.F.); #244856=ORIENTED_EDGE('',*,*,#187259,.T.); #244857=ORIENTED_EDGE('',*,*,#187258,.T.); #244858=ORIENTED_EDGE('',*,*,#187260,.F.); #244859=ORIENTED_EDGE('',*,*,#187261,.F.); #244860=ORIENTED_EDGE('',*,*,#187262,.T.); #244861=ORIENTED_EDGE('',*,*,#187261,.T.); #244862=ORIENTED_EDGE('',*,*,#187263,.F.); #244863=ORIENTED_EDGE('',*,*,#187264,.F.); #244864=ORIENTED_EDGE('',*,*,#187265,.T.); #244865=ORIENTED_EDGE('',*,*,#187264,.T.); #244866=ORIENTED_EDGE('',*,*,#187266,.F.); #244867=ORIENTED_EDGE('',*,*,#187267,.F.); #244868=ORIENTED_EDGE('',*,*,#187268,.T.); #244869=ORIENTED_EDGE('',*,*,#187267,.T.); #244870=ORIENTED_EDGE('',*,*,#187269,.F.); #244871=ORIENTED_EDGE('',*,*,#187270,.F.); #244872=ORIENTED_EDGE('',*,*,#187271,.T.); #244873=ORIENTED_EDGE('',*,*,#187270,.T.); #244874=ORIENTED_EDGE('',*,*,#187272,.F.); #244875=ORIENTED_EDGE('',*,*,#187273,.F.); #244876=ORIENTED_EDGE('',*,*,#187274,.T.); #244877=ORIENTED_EDGE('',*,*,#187273,.T.); #244878=ORIENTED_EDGE('',*,*,#187275,.F.); #244879=ORIENTED_EDGE('',*,*,#187276,.F.); #244880=ORIENTED_EDGE('',*,*,#187277,.T.); #244881=ORIENTED_EDGE('',*,*,#187276,.T.); #244882=ORIENTED_EDGE('',*,*,#187278,.F.); #244883=ORIENTED_EDGE('',*,*,#187279,.F.); #244884=ORIENTED_EDGE('',*,*,#187280,.T.); #244885=ORIENTED_EDGE('',*,*,#187279,.T.); #244886=ORIENTED_EDGE('',*,*,#187281,.F.); #244887=ORIENTED_EDGE('',*,*,#187282,.F.); #244888=ORIENTED_EDGE('',*,*,#187283,.T.); #244889=ORIENTED_EDGE('',*,*,#187282,.T.); #244890=ORIENTED_EDGE('',*,*,#187284,.F.); #244891=ORIENTED_EDGE('',*,*,#187285,.F.); #244892=ORIENTED_EDGE('',*,*,#187286,.T.); #244893=ORIENTED_EDGE('',*,*,#187285,.T.); #244894=ORIENTED_EDGE('',*,*,#187287,.F.); #244895=ORIENTED_EDGE('',*,*,#187288,.F.); #244896=ORIENTED_EDGE('',*,*,#187289,.T.); #244897=ORIENTED_EDGE('',*,*,#187288,.T.); #244898=ORIENTED_EDGE('',*,*,#187290,.F.); #244899=ORIENTED_EDGE('',*,*,#187291,.F.); #244900=ORIENTED_EDGE('',*,*,#187292,.T.); #244901=ORIENTED_EDGE('',*,*,#187291,.T.); #244902=ORIENTED_EDGE('',*,*,#187293,.F.); #244903=ORIENTED_EDGE('',*,*,#187294,.F.); #244904=ORIENTED_EDGE('',*,*,#187295,.T.); #244905=ORIENTED_EDGE('',*,*,#187294,.T.); #244906=ORIENTED_EDGE('',*,*,#187296,.F.); #244907=ORIENTED_EDGE('',*,*,#187297,.F.); #244908=ORIENTED_EDGE('',*,*,#187298,.T.); #244909=ORIENTED_EDGE('',*,*,#187297,.T.); #244910=ORIENTED_EDGE('',*,*,#187299,.F.); #244911=ORIENTED_EDGE('',*,*,#187300,.F.); #244912=ORIENTED_EDGE('',*,*,#187301,.T.); #244913=ORIENTED_EDGE('',*,*,#187300,.T.); #244914=ORIENTED_EDGE('',*,*,#187302,.F.); #244915=ORIENTED_EDGE('',*,*,#187303,.F.); #244916=ORIENTED_EDGE('',*,*,#187304,.T.); #244917=ORIENTED_EDGE('',*,*,#187303,.T.); #244918=ORIENTED_EDGE('',*,*,#187305,.F.); #244919=ORIENTED_EDGE('',*,*,#187306,.F.); #244920=ORIENTED_EDGE('',*,*,#187307,.T.); #244921=ORIENTED_EDGE('',*,*,#187306,.T.); #244922=ORIENTED_EDGE('',*,*,#187308,.F.); #244923=ORIENTED_EDGE('',*,*,#187309,.F.); #244924=ORIENTED_EDGE('',*,*,#187310,.T.); #244925=ORIENTED_EDGE('',*,*,#187309,.T.); #244926=ORIENTED_EDGE('',*,*,#187311,.F.); #244927=ORIENTED_EDGE('',*,*,#186425,.F.); #244928=ORIENTED_EDGE('',*,*,#187311,.T.); #244929=ORIENTED_EDGE('',*,*,#187308,.T.); #244930=ORIENTED_EDGE('',*,*,#187305,.T.); #244931=ORIENTED_EDGE('',*,*,#187302,.T.); #244932=ORIENTED_EDGE('',*,*,#187299,.T.); #244933=ORIENTED_EDGE('',*,*,#187296,.T.); #244934=ORIENTED_EDGE('',*,*,#187293,.T.); #244935=ORIENTED_EDGE('',*,*,#187290,.T.); #244936=ORIENTED_EDGE('',*,*,#187287,.T.); #244937=ORIENTED_EDGE('',*,*,#187284,.T.); #244938=ORIENTED_EDGE('',*,*,#187281,.T.); #244939=ORIENTED_EDGE('',*,*,#187278,.T.); #244940=ORIENTED_EDGE('',*,*,#187275,.T.); #244941=ORIENTED_EDGE('',*,*,#187272,.T.); #244942=ORIENTED_EDGE('',*,*,#187269,.T.); #244943=ORIENTED_EDGE('',*,*,#187266,.T.); #244944=ORIENTED_EDGE('',*,*,#187263,.T.); #244945=ORIENTED_EDGE('',*,*,#187260,.T.); #244946=ORIENTED_EDGE('',*,*,#187257,.T.); #244947=ORIENTED_EDGE('',*,*,#187254,.T.); #244948=ORIENTED_EDGE('',*,*,#187251,.T.); #244949=ORIENTED_EDGE('',*,*,#187248,.T.); #244950=ORIENTED_EDGE('',*,*,#187245,.T.); #244951=ORIENTED_EDGE('',*,*,#187242,.T.); #244952=ORIENTED_EDGE('',*,*,#187239,.T.); #244953=ORIENTED_EDGE('',*,*,#187236,.T.); #244954=ORIENTED_EDGE('',*,*,#187233,.T.); #244955=ORIENTED_EDGE('',*,*,#187230,.T.); #244956=ORIENTED_EDGE('',*,*,#187227,.T.); #244957=ORIENTED_EDGE('',*,*,#187224,.T.); #244958=ORIENTED_EDGE('',*,*,#187221,.T.); #244959=ORIENTED_EDGE('',*,*,#187218,.T.); #244960=ORIENTED_EDGE('',*,*,#187215,.T.); #244961=ORIENTED_EDGE('',*,*,#187212,.T.); #244962=ORIENTED_EDGE('',*,*,#187209,.T.); #244963=ORIENTED_EDGE('',*,*,#187206,.T.); #244964=ORIENTED_EDGE('',*,*,#187203,.T.); #244965=ORIENTED_EDGE('',*,*,#187200,.T.); #244966=ORIENTED_EDGE('',*,*,#187197,.T.); #244967=ORIENTED_EDGE('',*,*,#187194,.T.); #244968=ORIENTED_EDGE('',*,*,#187191,.T.); #244969=ORIENTED_EDGE('',*,*,#187188,.T.); #244970=ORIENTED_EDGE('',*,*,#187185,.T.); #244971=ORIENTED_EDGE('',*,*,#187182,.T.); #244972=ORIENTED_EDGE('',*,*,#187179,.T.); #244973=ORIENTED_EDGE('',*,*,#187176,.T.); #244974=ORIENTED_EDGE('',*,*,#187173,.T.); #244975=ORIENTED_EDGE('',*,*,#187170,.T.); #244976=ORIENTED_EDGE('',*,*,#187167,.T.); #244977=ORIENTED_EDGE('',*,*,#187164,.T.); #244978=ORIENTED_EDGE('',*,*,#187161,.T.); #244979=ORIENTED_EDGE('',*,*,#187158,.T.); #244980=ORIENTED_EDGE('',*,*,#187155,.T.); #244981=ORIENTED_EDGE('',*,*,#187152,.T.); #244982=ORIENTED_EDGE('',*,*,#187149,.T.); #244983=ORIENTED_EDGE('',*,*,#187146,.T.); #244984=ORIENTED_EDGE('',*,*,#187143,.T.); #244985=ORIENTED_EDGE('',*,*,#187140,.T.); #244986=ORIENTED_EDGE('',*,*,#187137,.T.); #244987=ORIENTED_EDGE('',*,*,#187134,.T.); #244988=ORIENTED_EDGE('',*,*,#187131,.T.); #244989=ORIENTED_EDGE('',*,*,#187128,.T.); #244990=ORIENTED_EDGE('',*,*,#187125,.T.); #244991=ORIENTED_EDGE('',*,*,#187122,.T.); #244992=ORIENTED_EDGE('',*,*,#187119,.T.); #244993=ORIENTED_EDGE('',*,*,#187116,.T.); #244994=ORIENTED_EDGE('',*,*,#187113,.T.); #244995=ORIENTED_EDGE('',*,*,#187110,.T.); #244996=ORIENTED_EDGE('',*,*,#187107,.T.); #244997=ORIENTED_EDGE('',*,*,#187104,.T.); #244998=ORIENTED_EDGE('',*,*,#187101,.T.); #244999=ORIENTED_EDGE('',*,*,#187098,.T.); #245000=ORIENTED_EDGE('',*,*,#187095,.T.); #245001=ORIENTED_EDGE('',*,*,#187092,.T.); #245002=ORIENTED_EDGE('',*,*,#187089,.T.); #245003=ORIENTED_EDGE('',*,*,#187086,.T.); #245004=ORIENTED_EDGE('',*,*,#187083,.T.); #245005=ORIENTED_EDGE('',*,*,#187080,.T.); #245006=ORIENTED_EDGE('',*,*,#187077,.T.); #245007=ORIENTED_EDGE('',*,*,#187074,.T.); #245008=ORIENTED_EDGE('',*,*,#187071,.T.); #245009=ORIENTED_EDGE('',*,*,#187068,.T.); #245010=ORIENTED_EDGE('',*,*,#187065,.T.); #245011=ORIENTED_EDGE('',*,*,#187062,.T.); #245012=ORIENTED_EDGE('',*,*,#187059,.T.); #245013=ORIENTED_EDGE('',*,*,#187056,.T.); #245014=ORIENTED_EDGE('',*,*,#187053,.T.); #245015=ORIENTED_EDGE('',*,*,#187050,.T.); #245016=ORIENTED_EDGE('',*,*,#187047,.T.); #245017=ORIENTED_EDGE('',*,*,#187044,.T.); #245018=ORIENTED_EDGE('',*,*,#187041,.T.); #245019=ORIENTED_EDGE('',*,*,#187038,.T.); #245020=ORIENTED_EDGE('',*,*,#187035,.T.); #245021=ORIENTED_EDGE('',*,*,#187032,.T.); #245022=ORIENTED_EDGE('',*,*,#187029,.T.); #245023=ORIENTED_EDGE('',*,*,#187026,.T.); #245024=ORIENTED_EDGE('',*,*,#187023,.T.); #245025=ORIENTED_EDGE('',*,*,#187020,.T.); #245026=ORIENTED_EDGE('',*,*,#187017,.T.); #245027=ORIENTED_EDGE('',*,*,#187014,.T.); #245028=ORIENTED_EDGE('',*,*,#187011,.T.); #245029=ORIENTED_EDGE('',*,*,#187008,.T.); #245030=ORIENTED_EDGE('',*,*,#187005,.T.); #245031=ORIENTED_EDGE('',*,*,#187002,.T.); #245032=ORIENTED_EDGE('',*,*,#186999,.T.); #245033=ORIENTED_EDGE('',*,*,#186996,.T.); #245034=ORIENTED_EDGE('',*,*,#186993,.T.); #245035=ORIENTED_EDGE('',*,*,#186990,.T.); #245036=ORIENTED_EDGE('',*,*,#186987,.T.); #245037=ORIENTED_EDGE('',*,*,#186984,.T.); #245038=ORIENTED_EDGE('',*,*,#186981,.T.); #245039=ORIENTED_EDGE('',*,*,#186978,.T.); #245040=ORIENTED_EDGE('',*,*,#186975,.T.); #245041=ORIENTED_EDGE('',*,*,#186972,.T.); #245042=ORIENTED_EDGE('',*,*,#186969,.T.); #245043=ORIENTED_EDGE('',*,*,#186966,.T.); #245044=ORIENTED_EDGE('',*,*,#186963,.T.); #245045=ORIENTED_EDGE('',*,*,#186960,.T.); #245046=ORIENTED_EDGE('',*,*,#186957,.T.); #245047=ORIENTED_EDGE('',*,*,#186954,.T.); #245048=ORIENTED_EDGE('',*,*,#186951,.T.); #245049=ORIENTED_EDGE('',*,*,#186948,.T.); #245050=ORIENTED_EDGE('',*,*,#186945,.T.); #245051=ORIENTED_EDGE('',*,*,#186942,.T.); #245052=ORIENTED_EDGE('',*,*,#186939,.T.); #245053=ORIENTED_EDGE('',*,*,#186936,.T.); #245054=ORIENTED_EDGE('',*,*,#186933,.T.); #245055=ORIENTED_EDGE('',*,*,#186930,.T.); #245056=ORIENTED_EDGE('',*,*,#186927,.T.); #245057=ORIENTED_EDGE('',*,*,#186924,.T.); #245058=ORIENTED_EDGE('',*,*,#186921,.T.); #245059=ORIENTED_EDGE('',*,*,#186918,.T.); #245060=ORIENTED_EDGE('',*,*,#186915,.T.); #245061=ORIENTED_EDGE('',*,*,#186912,.T.); #245062=ORIENTED_EDGE('',*,*,#186909,.T.); #245063=ORIENTED_EDGE('',*,*,#186906,.T.); #245064=ORIENTED_EDGE('',*,*,#186903,.T.); #245065=ORIENTED_EDGE('',*,*,#186900,.T.); #245066=ORIENTED_EDGE('',*,*,#186897,.T.); #245067=ORIENTED_EDGE('',*,*,#186894,.T.); #245068=ORIENTED_EDGE('',*,*,#186891,.T.); #245069=ORIENTED_EDGE('',*,*,#186888,.T.); #245070=ORIENTED_EDGE('',*,*,#186885,.T.); #245071=ORIENTED_EDGE('',*,*,#186882,.T.); #245072=ORIENTED_EDGE('',*,*,#186879,.T.); #245073=ORIENTED_EDGE('',*,*,#186876,.T.); #245074=ORIENTED_EDGE('',*,*,#186873,.T.); #245075=ORIENTED_EDGE('',*,*,#186870,.T.); #245076=ORIENTED_EDGE('',*,*,#186867,.T.); #245077=ORIENTED_EDGE('',*,*,#186864,.T.); #245078=ORIENTED_EDGE('',*,*,#186861,.T.); #245079=ORIENTED_EDGE('',*,*,#186858,.T.); #245080=ORIENTED_EDGE('',*,*,#186855,.T.); #245081=ORIENTED_EDGE('',*,*,#186852,.T.); #245082=ORIENTED_EDGE('',*,*,#186849,.T.); #245083=ORIENTED_EDGE('',*,*,#186846,.T.); #245084=ORIENTED_EDGE('',*,*,#186843,.T.); #245085=ORIENTED_EDGE('',*,*,#186840,.T.); #245086=ORIENTED_EDGE('',*,*,#186837,.T.); #245087=ORIENTED_EDGE('',*,*,#186834,.T.); #245088=ORIENTED_EDGE('',*,*,#186831,.T.); #245089=ORIENTED_EDGE('',*,*,#186828,.T.); #245090=ORIENTED_EDGE('',*,*,#186825,.T.); #245091=ORIENTED_EDGE('',*,*,#186822,.T.); #245092=ORIENTED_EDGE('',*,*,#186819,.T.); #245093=ORIENTED_EDGE('',*,*,#186816,.T.); #245094=ORIENTED_EDGE('',*,*,#186813,.T.); #245095=ORIENTED_EDGE('',*,*,#186810,.T.); #245096=ORIENTED_EDGE('',*,*,#186807,.T.); #245097=ORIENTED_EDGE('',*,*,#186804,.T.); #245098=ORIENTED_EDGE('',*,*,#186801,.T.); #245099=ORIENTED_EDGE('',*,*,#186798,.T.); #245100=ORIENTED_EDGE('',*,*,#186795,.T.); #245101=ORIENTED_EDGE('',*,*,#186792,.T.); #245102=ORIENTED_EDGE('',*,*,#186789,.T.); #245103=ORIENTED_EDGE('',*,*,#186786,.T.); #245104=ORIENTED_EDGE('',*,*,#186783,.T.); #245105=ORIENTED_EDGE('',*,*,#186780,.T.); #245106=ORIENTED_EDGE('',*,*,#186777,.T.); #245107=ORIENTED_EDGE('',*,*,#186774,.T.); #245108=ORIENTED_EDGE('',*,*,#186771,.T.); #245109=ORIENTED_EDGE('',*,*,#186768,.T.); #245110=ORIENTED_EDGE('',*,*,#186765,.T.); #245111=ORIENTED_EDGE('',*,*,#186762,.T.); #245112=ORIENTED_EDGE('',*,*,#186759,.T.); #245113=ORIENTED_EDGE('',*,*,#186756,.T.); #245114=ORIENTED_EDGE('',*,*,#186753,.T.); #245115=ORIENTED_EDGE('',*,*,#186750,.T.); #245116=ORIENTED_EDGE('',*,*,#186747,.T.); #245117=ORIENTED_EDGE('',*,*,#186744,.T.); #245118=ORIENTED_EDGE('',*,*,#186741,.T.); #245119=ORIENTED_EDGE('',*,*,#186738,.T.); #245120=ORIENTED_EDGE('',*,*,#186735,.T.); #245121=ORIENTED_EDGE('',*,*,#186732,.T.); #245122=ORIENTED_EDGE('',*,*,#186729,.T.); #245123=ORIENTED_EDGE('',*,*,#186726,.T.); #245124=ORIENTED_EDGE('',*,*,#186723,.T.); #245125=ORIENTED_EDGE('',*,*,#186720,.T.); #245126=ORIENTED_EDGE('',*,*,#186717,.T.); #245127=ORIENTED_EDGE('',*,*,#186714,.T.); #245128=ORIENTED_EDGE('',*,*,#186711,.T.); #245129=ORIENTED_EDGE('',*,*,#186708,.T.); #245130=ORIENTED_EDGE('',*,*,#186705,.T.); #245131=ORIENTED_EDGE('',*,*,#186702,.T.); #245132=ORIENTED_EDGE('',*,*,#186699,.T.); #245133=ORIENTED_EDGE('',*,*,#186696,.T.); #245134=ORIENTED_EDGE('',*,*,#186693,.T.); #245135=ORIENTED_EDGE('',*,*,#186690,.T.); #245136=ORIENTED_EDGE('',*,*,#186687,.T.); #245137=ORIENTED_EDGE('',*,*,#186684,.T.); #245138=ORIENTED_EDGE('',*,*,#186681,.T.); #245139=ORIENTED_EDGE('',*,*,#186678,.T.); #245140=ORIENTED_EDGE('',*,*,#186675,.T.); #245141=ORIENTED_EDGE('',*,*,#186672,.T.); #245142=ORIENTED_EDGE('',*,*,#186669,.T.); #245143=ORIENTED_EDGE('',*,*,#186666,.T.); #245144=ORIENTED_EDGE('',*,*,#186663,.T.); #245145=ORIENTED_EDGE('',*,*,#186660,.T.); #245146=ORIENTED_EDGE('',*,*,#186657,.T.); #245147=ORIENTED_EDGE('',*,*,#186654,.T.); #245148=ORIENTED_EDGE('',*,*,#186651,.T.); #245149=ORIENTED_EDGE('',*,*,#186648,.T.); #245150=ORIENTED_EDGE('',*,*,#186645,.T.); #245151=ORIENTED_EDGE('',*,*,#186642,.T.); #245152=ORIENTED_EDGE('',*,*,#186639,.T.); #245153=ORIENTED_EDGE('',*,*,#186636,.T.); #245154=ORIENTED_EDGE('',*,*,#186633,.T.); #245155=ORIENTED_EDGE('',*,*,#186630,.T.); #245156=ORIENTED_EDGE('',*,*,#186627,.T.); #245157=ORIENTED_EDGE('',*,*,#186624,.T.); #245158=ORIENTED_EDGE('',*,*,#186621,.T.); #245159=ORIENTED_EDGE('',*,*,#186618,.T.); #245160=ORIENTED_EDGE('',*,*,#186615,.T.); #245161=ORIENTED_EDGE('',*,*,#186612,.T.); #245162=ORIENTED_EDGE('',*,*,#186609,.T.); #245163=ORIENTED_EDGE('',*,*,#186606,.T.); #245164=ORIENTED_EDGE('',*,*,#186603,.T.); #245165=ORIENTED_EDGE('',*,*,#186600,.T.); #245166=ORIENTED_EDGE('',*,*,#186597,.T.); #245167=ORIENTED_EDGE('',*,*,#186594,.T.); #245168=ORIENTED_EDGE('',*,*,#186591,.T.); #245169=ORIENTED_EDGE('',*,*,#186588,.T.); #245170=ORIENTED_EDGE('',*,*,#186585,.T.); #245171=ORIENTED_EDGE('',*,*,#186582,.T.); #245172=ORIENTED_EDGE('',*,*,#186579,.T.); #245173=ORIENTED_EDGE('',*,*,#186576,.T.); #245174=ORIENTED_EDGE('',*,*,#186573,.T.); #245175=ORIENTED_EDGE('',*,*,#186570,.T.); #245176=ORIENTED_EDGE('',*,*,#186567,.T.); #245177=ORIENTED_EDGE('',*,*,#186564,.T.); #245178=ORIENTED_EDGE('',*,*,#186561,.T.); #245179=ORIENTED_EDGE('',*,*,#186558,.T.); #245180=ORIENTED_EDGE('',*,*,#186555,.T.); #245181=ORIENTED_EDGE('',*,*,#186552,.T.); #245182=ORIENTED_EDGE('',*,*,#186549,.T.); #245183=ORIENTED_EDGE('',*,*,#186546,.T.); #245184=ORIENTED_EDGE('',*,*,#186543,.T.); #245185=ORIENTED_EDGE('',*,*,#186540,.T.); #245186=ORIENTED_EDGE('',*,*,#186537,.T.); #245187=ORIENTED_EDGE('',*,*,#186534,.T.); #245188=ORIENTED_EDGE('',*,*,#186531,.T.); #245189=ORIENTED_EDGE('',*,*,#186528,.T.); #245190=ORIENTED_EDGE('',*,*,#186525,.T.); #245191=ORIENTED_EDGE('',*,*,#186522,.T.); #245192=ORIENTED_EDGE('',*,*,#186519,.T.); #245193=ORIENTED_EDGE('',*,*,#186516,.T.); #245194=ORIENTED_EDGE('',*,*,#186513,.T.); #245195=ORIENTED_EDGE('',*,*,#186510,.T.); #245196=ORIENTED_EDGE('',*,*,#186507,.T.); #245197=ORIENTED_EDGE('',*,*,#186504,.T.); #245198=ORIENTED_EDGE('',*,*,#186501,.T.); #245199=ORIENTED_EDGE('',*,*,#186498,.T.); #245200=ORIENTED_EDGE('',*,*,#186495,.T.); #245201=ORIENTED_EDGE('',*,*,#186492,.T.); #245202=ORIENTED_EDGE('',*,*,#186489,.T.); #245203=ORIENTED_EDGE('',*,*,#186486,.T.); #245204=ORIENTED_EDGE('',*,*,#186483,.T.); #245205=ORIENTED_EDGE('',*,*,#186480,.T.); #245206=ORIENTED_EDGE('',*,*,#186477,.T.); #245207=ORIENTED_EDGE('',*,*,#186474,.T.); #245208=ORIENTED_EDGE('',*,*,#186471,.T.); #245209=ORIENTED_EDGE('',*,*,#186468,.T.); #245210=ORIENTED_EDGE('',*,*,#186465,.T.); #245211=ORIENTED_EDGE('',*,*,#186462,.T.); #245212=ORIENTED_EDGE('',*,*,#186459,.T.); #245213=ORIENTED_EDGE('',*,*,#186456,.T.); #245214=ORIENTED_EDGE('',*,*,#186453,.T.); #245215=ORIENTED_EDGE('',*,*,#186450,.T.); #245216=ORIENTED_EDGE('',*,*,#186447,.T.); #245217=ORIENTED_EDGE('',*,*,#186444,.T.); #245218=ORIENTED_EDGE('',*,*,#186441,.T.); #245219=ORIENTED_EDGE('',*,*,#186438,.T.); #245220=ORIENTED_EDGE('',*,*,#186435,.T.); #245221=ORIENTED_EDGE('',*,*,#186432,.T.); #245222=ORIENTED_EDGE('',*,*,#186429,.T.); #245223=ORIENTED_EDGE('',*,*,#186426,.T.); #245224=ORIENTED_EDGE('',*,*,#186279,.T.); #245225=ORIENTED_EDGE('',*,*,#186282,.T.); #245226=ORIENTED_EDGE('',*,*,#186285,.T.); #245227=ORIENTED_EDGE('',*,*,#186288,.T.); #245228=ORIENTED_EDGE('',*,*,#186291,.T.); #245229=ORIENTED_EDGE('',*,*,#186294,.T.); #245230=ORIENTED_EDGE('',*,*,#186297,.T.); #245231=ORIENTED_EDGE('',*,*,#186300,.T.); #245232=ORIENTED_EDGE('',*,*,#186303,.T.); #245233=ORIENTED_EDGE('',*,*,#186306,.T.); #245234=ORIENTED_EDGE('',*,*,#186309,.T.); #245235=ORIENTED_EDGE('',*,*,#186312,.T.); #245236=ORIENTED_EDGE('',*,*,#186315,.T.); #245237=ORIENTED_EDGE('',*,*,#186318,.T.); #245238=ORIENTED_EDGE('',*,*,#186321,.T.); #245239=ORIENTED_EDGE('',*,*,#186324,.T.); #245240=ORIENTED_EDGE('',*,*,#186327,.T.); #245241=ORIENTED_EDGE('',*,*,#186330,.T.); #245242=ORIENTED_EDGE('',*,*,#186333,.T.); #245243=ORIENTED_EDGE('',*,*,#186336,.T.); #245244=ORIENTED_EDGE('',*,*,#186339,.T.); #245245=ORIENTED_EDGE('',*,*,#186342,.T.); #245246=ORIENTED_EDGE('',*,*,#186345,.T.); #245247=ORIENTED_EDGE('',*,*,#186348,.T.); #245248=ORIENTED_EDGE('',*,*,#186351,.T.); #245249=ORIENTED_EDGE('',*,*,#186354,.T.); #245250=ORIENTED_EDGE('',*,*,#186357,.T.); #245251=ORIENTED_EDGE('',*,*,#186360,.T.); #245252=ORIENTED_EDGE('',*,*,#186363,.T.); #245253=ORIENTED_EDGE('',*,*,#186366,.T.); #245254=ORIENTED_EDGE('',*,*,#186369,.T.); #245255=ORIENTED_EDGE('',*,*,#186372,.T.); #245256=ORIENTED_EDGE('',*,*,#186375,.T.); #245257=ORIENTED_EDGE('',*,*,#186378,.T.); #245258=ORIENTED_EDGE('',*,*,#186381,.T.); #245259=ORIENTED_EDGE('',*,*,#186384,.T.); #245260=ORIENTED_EDGE('',*,*,#186387,.T.); #245261=ORIENTED_EDGE('',*,*,#186390,.T.); #245262=ORIENTED_EDGE('',*,*,#186393,.T.); #245263=ORIENTED_EDGE('',*,*,#186396,.T.); #245264=ORIENTED_EDGE('',*,*,#186399,.T.); #245265=ORIENTED_EDGE('',*,*,#186402,.T.); #245266=ORIENTED_EDGE('',*,*,#186405,.T.); #245267=ORIENTED_EDGE('',*,*,#186408,.T.); #245268=ORIENTED_EDGE('',*,*,#186411,.T.); #245269=ORIENTED_EDGE('',*,*,#186414,.T.); #245270=ORIENTED_EDGE('',*,*,#186417,.T.); #245271=ORIENTED_EDGE('',*,*,#186420,.T.); #245272=ORIENTED_EDGE('',*,*,#186423,.T.); #245273=ORIENTED_EDGE('',*,*,#187310,.F.); #245274=ORIENTED_EDGE('',*,*,#186424,.F.); #245275=ORIENTED_EDGE('',*,*,#186428,.F.); #245276=ORIENTED_EDGE('',*,*,#186431,.F.); #245277=ORIENTED_EDGE('',*,*,#186434,.F.); #245278=ORIENTED_EDGE('',*,*,#186437,.F.); #245279=ORIENTED_EDGE('',*,*,#186440,.F.); #245280=ORIENTED_EDGE('',*,*,#186443,.F.); #245281=ORIENTED_EDGE('',*,*,#186446,.F.); #245282=ORIENTED_EDGE('',*,*,#186449,.F.); #245283=ORIENTED_EDGE('',*,*,#186452,.F.); #245284=ORIENTED_EDGE('',*,*,#186455,.F.); #245285=ORIENTED_EDGE('',*,*,#186458,.F.); #245286=ORIENTED_EDGE('',*,*,#186461,.F.); #245287=ORIENTED_EDGE('',*,*,#186464,.F.); #245288=ORIENTED_EDGE('',*,*,#186467,.F.); #245289=ORIENTED_EDGE('',*,*,#186470,.F.); #245290=ORIENTED_EDGE('',*,*,#186473,.F.); #245291=ORIENTED_EDGE('',*,*,#186476,.F.); #245292=ORIENTED_EDGE('',*,*,#186479,.F.); #245293=ORIENTED_EDGE('',*,*,#186482,.F.); #245294=ORIENTED_EDGE('',*,*,#186485,.F.); #245295=ORIENTED_EDGE('',*,*,#186488,.F.); #245296=ORIENTED_EDGE('',*,*,#186491,.F.); #245297=ORIENTED_EDGE('',*,*,#186494,.F.); #245298=ORIENTED_EDGE('',*,*,#186497,.F.); #245299=ORIENTED_EDGE('',*,*,#186500,.F.); #245300=ORIENTED_EDGE('',*,*,#186503,.F.); #245301=ORIENTED_EDGE('',*,*,#186506,.F.); #245302=ORIENTED_EDGE('',*,*,#186509,.F.); #245303=ORIENTED_EDGE('',*,*,#186512,.F.); #245304=ORIENTED_EDGE('',*,*,#186515,.F.); #245305=ORIENTED_EDGE('',*,*,#186518,.F.); #245306=ORIENTED_EDGE('',*,*,#186521,.F.); #245307=ORIENTED_EDGE('',*,*,#186524,.F.); #245308=ORIENTED_EDGE('',*,*,#186527,.F.); #245309=ORIENTED_EDGE('',*,*,#186530,.F.); #245310=ORIENTED_EDGE('',*,*,#186533,.F.); #245311=ORIENTED_EDGE('',*,*,#186536,.F.); #245312=ORIENTED_EDGE('',*,*,#186539,.F.); #245313=ORIENTED_EDGE('',*,*,#186542,.F.); #245314=ORIENTED_EDGE('',*,*,#186545,.F.); #245315=ORIENTED_EDGE('',*,*,#186548,.F.); #245316=ORIENTED_EDGE('',*,*,#186551,.F.); #245317=ORIENTED_EDGE('',*,*,#186554,.F.); #245318=ORIENTED_EDGE('',*,*,#186557,.F.); #245319=ORIENTED_EDGE('',*,*,#186560,.F.); #245320=ORIENTED_EDGE('',*,*,#186563,.F.); #245321=ORIENTED_EDGE('',*,*,#186566,.F.); #245322=ORIENTED_EDGE('',*,*,#186569,.F.); #245323=ORIENTED_EDGE('',*,*,#186572,.F.); #245324=ORIENTED_EDGE('',*,*,#186575,.F.); #245325=ORIENTED_EDGE('',*,*,#186578,.F.); #245326=ORIENTED_EDGE('',*,*,#186581,.F.); #245327=ORIENTED_EDGE('',*,*,#186584,.F.); #245328=ORIENTED_EDGE('',*,*,#186587,.F.); #245329=ORIENTED_EDGE('',*,*,#186590,.F.); #245330=ORIENTED_EDGE('',*,*,#186593,.F.); #245331=ORIENTED_EDGE('',*,*,#186596,.F.); #245332=ORIENTED_EDGE('',*,*,#186599,.F.); #245333=ORIENTED_EDGE('',*,*,#186602,.F.); #245334=ORIENTED_EDGE('',*,*,#186605,.F.); #245335=ORIENTED_EDGE('',*,*,#186608,.F.); #245336=ORIENTED_EDGE('',*,*,#186611,.F.); #245337=ORIENTED_EDGE('',*,*,#186614,.F.); #245338=ORIENTED_EDGE('',*,*,#186617,.F.); #245339=ORIENTED_EDGE('',*,*,#186620,.F.); #245340=ORIENTED_EDGE('',*,*,#186623,.F.); #245341=ORIENTED_EDGE('',*,*,#186626,.F.); #245342=ORIENTED_EDGE('',*,*,#186629,.F.); #245343=ORIENTED_EDGE('',*,*,#186632,.F.); #245344=ORIENTED_EDGE('',*,*,#186635,.F.); #245345=ORIENTED_EDGE('',*,*,#186638,.F.); #245346=ORIENTED_EDGE('',*,*,#186641,.F.); #245347=ORIENTED_EDGE('',*,*,#186644,.F.); #245348=ORIENTED_EDGE('',*,*,#186647,.F.); #245349=ORIENTED_EDGE('',*,*,#186650,.F.); #245350=ORIENTED_EDGE('',*,*,#186653,.F.); #245351=ORIENTED_EDGE('',*,*,#186656,.F.); #245352=ORIENTED_EDGE('',*,*,#186659,.F.); #245353=ORIENTED_EDGE('',*,*,#186662,.F.); #245354=ORIENTED_EDGE('',*,*,#186665,.F.); #245355=ORIENTED_EDGE('',*,*,#186668,.F.); #245356=ORIENTED_EDGE('',*,*,#186671,.F.); #245357=ORIENTED_EDGE('',*,*,#186674,.F.); #245358=ORIENTED_EDGE('',*,*,#186677,.F.); #245359=ORIENTED_EDGE('',*,*,#186680,.F.); #245360=ORIENTED_EDGE('',*,*,#186683,.F.); #245361=ORIENTED_EDGE('',*,*,#186686,.F.); #245362=ORIENTED_EDGE('',*,*,#186689,.F.); #245363=ORIENTED_EDGE('',*,*,#186692,.F.); #245364=ORIENTED_EDGE('',*,*,#186695,.F.); #245365=ORIENTED_EDGE('',*,*,#186698,.F.); #245366=ORIENTED_EDGE('',*,*,#186701,.F.); #245367=ORIENTED_EDGE('',*,*,#186704,.F.); #245368=ORIENTED_EDGE('',*,*,#186707,.F.); #245369=ORIENTED_EDGE('',*,*,#186710,.F.); #245370=ORIENTED_EDGE('',*,*,#186713,.F.); #245371=ORIENTED_EDGE('',*,*,#186716,.F.); #245372=ORIENTED_EDGE('',*,*,#186719,.F.); #245373=ORIENTED_EDGE('',*,*,#186722,.F.); #245374=ORIENTED_EDGE('',*,*,#186725,.F.); #245375=ORIENTED_EDGE('',*,*,#186728,.F.); #245376=ORIENTED_EDGE('',*,*,#186731,.F.); #245377=ORIENTED_EDGE('',*,*,#186734,.F.); #245378=ORIENTED_EDGE('',*,*,#186737,.F.); #245379=ORIENTED_EDGE('',*,*,#186740,.F.); #245380=ORIENTED_EDGE('',*,*,#186743,.F.); #245381=ORIENTED_EDGE('',*,*,#186746,.F.); #245382=ORIENTED_EDGE('',*,*,#186749,.F.); #245383=ORIENTED_EDGE('',*,*,#186752,.F.); #245384=ORIENTED_EDGE('',*,*,#186755,.F.); #245385=ORIENTED_EDGE('',*,*,#186758,.F.); #245386=ORIENTED_EDGE('',*,*,#186761,.F.); #245387=ORIENTED_EDGE('',*,*,#186764,.F.); #245388=ORIENTED_EDGE('',*,*,#186767,.F.); #245389=ORIENTED_EDGE('',*,*,#186770,.F.); #245390=ORIENTED_EDGE('',*,*,#186773,.F.); #245391=ORIENTED_EDGE('',*,*,#186776,.F.); #245392=ORIENTED_EDGE('',*,*,#186779,.F.); #245393=ORIENTED_EDGE('',*,*,#186782,.F.); #245394=ORIENTED_EDGE('',*,*,#186785,.F.); #245395=ORIENTED_EDGE('',*,*,#186788,.F.); #245396=ORIENTED_EDGE('',*,*,#186791,.F.); #245397=ORIENTED_EDGE('',*,*,#186794,.F.); #245398=ORIENTED_EDGE('',*,*,#186797,.F.); #245399=ORIENTED_EDGE('',*,*,#186800,.F.); #245400=ORIENTED_EDGE('',*,*,#186803,.F.); #245401=ORIENTED_EDGE('',*,*,#186806,.F.); #245402=ORIENTED_EDGE('',*,*,#186809,.F.); #245403=ORIENTED_EDGE('',*,*,#186812,.F.); #245404=ORIENTED_EDGE('',*,*,#186815,.F.); #245405=ORIENTED_EDGE('',*,*,#186818,.F.); #245406=ORIENTED_EDGE('',*,*,#186821,.F.); #245407=ORIENTED_EDGE('',*,*,#186824,.F.); #245408=ORIENTED_EDGE('',*,*,#186827,.F.); #245409=ORIENTED_EDGE('',*,*,#186830,.F.); #245410=ORIENTED_EDGE('',*,*,#186833,.F.); #245411=ORIENTED_EDGE('',*,*,#186836,.F.); #245412=ORIENTED_EDGE('',*,*,#186839,.F.); #245413=ORIENTED_EDGE('',*,*,#186842,.F.); #245414=ORIENTED_EDGE('',*,*,#186845,.F.); #245415=ORIENTED_EDGE('',*,*,#186848,.F.); #245416=ORIENTED_EDGE('',*,*,#186851,.F.); #245417=ORIENTED_EDGE('',*,*,#186854,.F.); #245418=ORIENTED_EDGE('',*,*,#186857,.F.); #245419=ORIENTED_EDGE('',*,*,#186860,.F.); #245420=ORIENTED_EDGE('',*,*,#186863,.F.); #245421=ORIENTED_EDGE('',*,*,#186866,.F.); #245422=ORIENTED_EDGE('',*,*,#186869,.F.); #245423=ORIENTED_EDGE('',*,*,#186872,.F.); #245424=ORIENTED_EDGE('',*,*,#186875,.F.); #245425=ORIENTED_EDGE('',*,*,#186878,.F.); #245426=ORIENTED_EDGE('',*,*,#186881,.F.); #245427=ORIENTED_EDGE('',*,*,#186884,.F.); #245428=ORIENTED_EDGE('',*,*,#186887,.F.); #245429=ORIENTED_EDGE('',*,*,#186890,.F.); #245430=ORIENTED_EDGE('',*,*,#186893,.F.); #245431=ORIENTED_EDGE('',*,*,#186896,.F.); #245432=ORIENTED_EDGE('',*,*,#186899,.F.); #245433=ORIENTED_EDGE('',*,*,#186902,.F.); #245434=ORIENTED_EDGE('',*,*,#186905,.F.); #245435=ORIENTED_EDGE('',*,*,#186908,.F.); #245436=ORIENTED_EDGE('',*,*,#186911,.F.); #245437=ORIENTED_EDGE('',*,*,#186914,.F.); #245438=ORIENTED_EDGE('',*,*,#186917,.F.); #245439=ORIENTED_EDGE('',*,*,#186920,.F.); #245440=ORIENTED_EDGE('',*,*,#186923,.F.); #245441=ORIENTED_EDGE('',*,*,#186926,.F.); #245442=ORIENTED_EDGE('',*,*,#186929,.F.); #245443=ORIENTED_EDGE('',*,*,#186932,.F.); #245444=ORIENTED_EDGE('',*,*,#186935,.F.); #245445=ORIENTED_EDGE('',*,*,#186938,.F.); #245446=ORIENTED_EDGE('',*,*,#186941,.F.); #245447=ORIENTED_EDGE('',*,*,#186944,.F.); #245448=ORIENTED_EDGE('',*,*,#186947,.F.); #245449=ORIENTED_EDGE('',*,*,#186950,.F.); #245450=ORIENTED_EDGE('',*,*,#186953,.F.); #245451=ORIENTED_EDGE('',*,*,#186956,.F.); #245452=ORIENTED_EDGE('',*,*,#186959,.F.); #245453=ORIENTED_EDGE('',*,*,#186962,.F.); #245454=ORIENTED_EDGE('',*,*,#186965,.F.); #245455=ORIENTED_EDGE('',*,*,#186968,.F.); #245456=ORIENTED_EDGE('',*,*,#186971,.F.); #245457=ORIENTED_EDGE('',*,*,#186974,.F.); #245458=ORIENTED_EDGE('',*,*,#186977,.F.); #245459=ORIENTED_EDGE('',*,*,#186980,.F.); #245460=ORIENTED_EDGE('',*,*,#186983,.F.); #245461=ORIENTED_EDGE('',*,*,#186986,.F.); #245462=ORIENTED_EDGE('',*,*,#186989,.F.); #245463=ORIENTED_EDGE('',*,*,#186992,.F.); #245464=ORIENTED_EDGE('',*,*,#186995,.F.); #245465=ORIENTED_EDGE('',*,*,#186998,.F.); #245466=ORIENTED_EDGE('',*,*,#187001,.F.); #245467=ORIENTED_EDGE('',*,*,#187004,.F.); #245468=ORIENTED_EDGE('',*,*,#187007,.F.); #245469=ORIENTED_EDGE('',*,*,#187010,.F.); #245470=ORIENTED_EDGE('',*,*,#187013,.F.); #245471=ORIENTED_EDGE('',*,*,#187016,.F.); #245472=ORIENTED_EDGE('',*,*,#187019,.F.); #245473=ORIENTED_EDGE('',*,*,#187022,.F.); #245474=ORIENTED_EDGE('',*,*,#187025,.F.); #245475=ORIENTED_EDGE('',*,*,#187028,.F.); #245476=ORIENTED_EDGE('',*,*,#187031,.F.); #245477=ORIENTED_EDGE('',*,*,#187034,.F.); #245478=ORIENTED_EDGE('',*,*,#187037,.F.); #245479=ORIENTED_EDGE('',*,*,#187040,.F.); #245480=ORIENTED_EDGE('',*,*,#187043,.F.); #245481=ORIENTED_EDGE('',*,*,#187046,.F.); #245482=ORIENTED_EDGE('',*,*,#187049,.F.); #245483=ORIENTED_EDGE('',*,*,#187052,.F.); #245484=ORIENTED_EDGE('',*,*,#187055,.F.); #245485=ORIENTED_EDGE('',*,*,#187058,.F.); #245486=ORIENTED_EDGE('',*,*,#187061,.F.); #245487=ORIENTED_EDGE('',*,*,#187064,.F.); #245488=ORIENTED_EDGE('',*,*,#187067,.F.); #245489=ORIENTED_EDGE('',*,*,#187070,.F.); #245490=ORIENTED_EDGE('',*,*,#187073,.F.); #245491=ORIENTED_EDGE('',*,*,#187076,.F.); #245492=ORIENTED_EDGE('',*,*,#187079,.F.); #245493=ORIENTED_EDGE('',*,*,#187082,.F.); #245494=ORIENTED_EDGE('',*,*,#187085,.F.); #245495=ORIENTED_EDGE('',*,*,#187088,.F.); #245496=ORIENTED_EDGE('',*,*,#187091,.F.); #245497=ORIENTED_EDGE('',*,*,#187094,.F.); #245498=ORIENTED_EDGE('',*,*,#187097,.F.); #245499=ORIENTED_EDGE('',*,*,#187100,.F.); #245500=ORIENTED_EDGE('',*,*,#187103,.F.); #245501=ORIENTED_EDGE('',*,*,#187106,.F.); #245502=ORIENTED_EDGE('',*,*,#187109,.F.); #245503=ORIENTED_EDGE('',*,*,#187112,.F.); #245504=ORIENTED_EDGE('',*,*,#187115,.F.); #245505=ORIENTED_EDGE('',*,*,#187118,.F.); #245506=ORIENTED_EDGE('',*,*,#187121,.F.); #245507=ORIENTED_EDGE('',*,*,#187124,.F.); #245508=ORIENTED_EDGE('',*,*,#187127,.F.); #245509=ORIENTED_EDGE('',*,*,#187130,.F.); #245510=ORIENTED_EDGE('',*,*,#187133,.F.); #245511=ORIENTED_EDGE('',*,*,#187136,.F.); #245512=ORIENTED_EDGE('',*,*,#187139,.F.); #245513=ORIENTED_EDGE('',*,*,#187142,.F.); #245514=ORIENTED_EDGE('',*,*,#187145,.F.); #245515=ORIENTED_EDGE('',*,*,#187148,.F.); #245516=ORIENTED_EDGE('',*,*,#187151,.F.); #245517=ORIENTED_EDGE('',*,*,#187154,.F.); #245518=ORIENTED_EDGE('',*,*,#187157,.F.); #245519=ORIENTED_EDGE('',*,*,#187160,.F.); #245520=ORIENTED_EDGE('',*,*,#187163,.F.); #245521=ORIENTED_EDGE('',*,*,#187166,.F.); #245522=ORIENTED_EDGE('',*,*,#187169,.F.); #245523=ORIENTED_EDGE('',*,*,#187172,.F.); #245524=ORIENTED_EDGE('',*,*,#187175,.F.); #245525=ORIENTED_EDGE('',*,*,#187178,.F.); #245526=ORIENTED_EDGE('',*,*,#187181,.F.); #245527=ORIENTED_EDGE('',*,*,#187184,.F.); #245528=ORIENTED_EDGE('',*,*,#187187,.F.); #245529=ORIENTED_EDGE('',*,*,#187190,.F.); #245530=ORIENTED_EDGE('',*,*,#187193,.F.); #245531=ORIENTED_EDGE('',*,*,#187196,.F.); #245532=ORIENTED_EDGE('',*,*,#187199,.F.); #245533=ORIENTED_EDGE('',*,*,#187202,.F.); #245534=ORIENTED_EDGE('',*,*,#187205,.F.); #245535=ORIENTED_EDGE('',*,*,#187208,.F.); #245536=ORIENTED_EDGE('',*,*,#187211,.F.); #245537=ORIENTED_EDGE('',*,*,#187214,.F.); #245538=ORIENTED_EDGE('',*,*,#187217,.F.); #245539=ORIENTED_EDGE('',*,*,#187220,.F.); #245540=ORIENTED_EDGE('',*,*,#187223,.F.); #245541=ORIENTED_EDGE('',*,*,#187226,.F.); #245542=ORIENTED_EDGE('',*,*,#187229,.F.); #245543=ORIENTED_EDGE('',*,*,#187232,.F.); #245544=ORIENTED_EDGE('',*,*,#187235,.F.); #245545=ORIENTED_EDGE('',*,*,#187238,.F.); #245546=ORIENTED_EDGE('',*,*,#187241,.F.); #245547=ORIENTED_EDGE('',*,*,#187244,.F.); #245548=ORIENTED_EDGE('',*,*,#187247,.F.); #245549=ORIENTED_EDGE('',*,*,#187250,.F.); #245550=ORIENTED_EDGE('',*,*,#187253,.F.); #245551=ORIENTED_EDGE('',*,*,#187256,.F.); #245552=ORIENTED_EDGE('',*,*,#187259,.F.); #245553=ORIENTED_EDGE('',*,*,#187262,.F.); #245554=ORIENTED_EDGE('',*,*,#187265,.F.); #245555=ORIENTED_EDGE('',*,*,#187268,.F.); #245556=ORIENTED_EDGE('',*,*,#187271,.F.); #245557=ORIENTED_EDGE('',*,*,#187274,.F.); #245558=ORIENTED_EDGE('',*,*,#187277,.F.); #245559=ORIENTED_EDGE('',*,*,#187280,.F.); #245560=ORIENTED_EDGE('',*,*,#187283,.F.); #245561=ORIENTED_EDGE('',*,*,#187286,.F.); #245562=ORIENTED_EDGE('',*,*,#187289,.F.); #245563=ORIENTED_EDGE('',*,*,#187292,.F.); #245564=ORIENTED_EDGE('',*,*,#187295,.F.); #245565=ORIENTED_EDGE('',*,*,#187298,.F.); #245566=ORIENTED_EDGE('',*,*,#187301,.F.); #245567=ORIENTED_EDGE('',*,*,#187304,.F.); #245568=ORIENTED_EDGE('',*,*,#187307,.F.); #245569=ORIENTED_EDGE('',*,*,#186277,.T.); #245570=ORIENTED_EDGE('',*,*,#186280,.T.); #245571=ORIENTED_EDGE('',*,*,#186283,.T.); #245572=ORIENTED_EDGE('',*,*,#186286,.T.); #245573=ORIENTED_EDGE('',*,*,#186289,.T.); #245574=ORIENTED_EDGE('',*,*,#186292,.T.); #245575=ORIENTED_EDGE('',*,*,#186295,.T.); #245576=ORIENTED_EDGE('',*,*,#186298,.T.); #245577=ORIENTED_EDGE('',*,*,#186301,.T.); #245578=ORIENTED_EDGE('',*,*,#186304,.T.); #245579=ORIENTED_EDGE('',*,*,#186307,.T.); #245580=ORIENTED_EDGE('',*,*,#186310,.T.); #245581=ORIENTED_EDGE('',*,*,#186313,.T.); #245582=ORIENTED_EDGE('',*,*,#186316,.T.); #245583=ORIENTED_EDGE('',*,*,#186319,.T.); #245584=ORIENTED_EDGE('',*,*,#186322,.T.); #245585=ORIENTED_EDGE('',*,*,#186325,.T.); #245586=ORIENTED_EDGE('',*,*,#186328,.T.); #245587=ORIENTED_EDGE('',*,*,#186331,.T.); #245588=ORIENTED_EDGE('',*,*,#186334,.T.); #245589=ORIENTED_EDGE('',*,*,#186337,.T.); #245590=ORIENTED_EDGE('',*,*,#186340,.T.); #245591=ORIENTED_EDGE('',*,*,#186343,.T.); #245592=ORIENTED_EDGE('',*,*,#186346,.T.); #245593=ORIENTED_EDGE('',*,*,#186349,.T.); #245594=ORIENTED_EDGE('',*,*,#186352,.T.); #245595=ORIENTED_EDGE('',*,*,#186355,.T.); #245596=ORIENTED_EDGE('',*,*,#186358,.T.); #245597=ORIENTED_EDGE('',*,*,#186361,.T.); #245598=ORIENTED_EDGE('',*,*,#186364,.T.); #245599=ORIENTED_EDGE('',*,*,#186367,.T.); #245600=ORIENTED_EDGE('',*,*,#186370,.T.); #245601=ORIENTED_EDGE('',*,*,#186373,.T.); #245602=ORIENTED_EDGE('',*,*,#186376,.T.); #245603=ORIENTED_EDGE('',*,*,#186379,.T.); #245604=ORIENTED_EDGE('',*,*,#186382,.T.); #245605=ORIENTED_EDGE('',*,*,#186385,.T.); #245606=ORIENTED_EDGE('',*,*,#186388,.T.); #245607=ORIENTED_EDGE('',*,*,#186391,.T.); #245608=ORIENTED_EDGE('',*,*,#186394,.T.); #245609=ORIENTED_EDGE('',*,*,#186397,.T.); #245610=ORIENTED_EDGE('',*,*,#186400,.T.); #245611=ORIENTED_EDGE('',*,*,#186403,.T.); #245612=ORIENTED_EDGE('',*,*,#186406,.T.); #245613=ORIENTED_EDGE('',*,*,#186409,.T.); #245614=ORIENTED_EDGE('',*,*,#186412,.T.); #245615=ORIENTED_EDGE('',*,*,#186415,.T.); #245616=ORIENTED_EDGE('',*,*,#186418,.T.); #245617=ORIENTED_EDGE('',*,*,#186421,.T.); #245618=ORIENTED_EDGE('',*,*,#187312,.T.); #245619=ORIENTED_EDGE('',*,*,#187313,.T.); #245620=ORIENTED_EDGE('',*,*,#187314,.F.); #245621=ORIENTED_EDGE('',*,*,#187315,.F.); #245622=ORIENTED_EDGE('',*,*,#187316,.T.); #245623=ORIENTED_EDGE('',*,*,#187315,.T.); #245624=ORIENTED_EDGE('',*,*,#187317,.F.); #245625=ORIENTED_EDGE('',*,*,#187318,.F.); #245626=ORIENTED_EDGE('',*,*,#187319,.T.); #245627=ORIENTED_EDGE('',*,*,#187318,.T.); #245628=ORIENTED_EDGE('',*,*,#187320,.F.); #245629=ORIENTED_EDGE('',*,*,#187321,.F.); #245630=ORIENTED_EDGE('',*,*,#187322,.T.); #245631=ORIENTED_EDGE('',*,*,#187321,.T.); #245632=ORIENTED_EDGE('',*,*,#187323,.F.); #245633=ORIENTED_EDGE('',*,*,#187324,.F.); #245634=ORIENTED_EDGE('',*,*,#187325,.T.); #245635=ORIENTED_EDGE('',*,*,#187324,.T.); #245636=ORIENTED_EDGE('',*,*,#187326,.F.); #245637=ORIENTED_EDGE('',*,*,#187327,.F.); #245638=ORIENTED_EDGE('',*,*,#187328,.T.); #245639=ORIENTED_EDGE('',*,*,#187327,.T.); #245640=ORIENTED_EDGE('',*,*,#187329,.F.); #245641=ORIENTED_EDGE('',*,*,#187330,.F.); #245642=ORIENTED_EDGE('',*,*,#187331,.T.); #245643=ORIENTED_EDGE('',*,*,#187330,.T.); #245644=ORIENTED_EDGE('',*,*,#187332,.F.); #245645=ORIENTED_EDGE('',*,*,#187333,.F.); #245646=ORIENTED_EDGE('',*,*,#187334,.T.); #245647=ORIENTED_EDGE('',*,*,#187333,.T.); #245648=ORIENTED_EDGE('',*,*,#187335,.F.); #245649=ORIENTED_EDGE('',*,*,#187336,.F.); #245650=ORIENTED_EDGE('',*,*,#187337,.T.); #245651=ORIENTED_EDGE('',*,*,#187336,.T.); #245652=ORIENTED_EDGE('',*,*,#187338,.F.); #245653=ORIENTED_EDGE('',*,*,#187339,.F.); #245654=ORIENTED_EDGE('',*,*,#187340,.T.); #245655=ORIENTED_EDGE('',*,*,#187339,.T.); #245656=ORIENTED_EDGE('',*,*,#187341,.F.); #245657=ORIENTED_EDGE('',*,*,#187342,.F.); #245658=ORIENTED_EDGE('',*,*,#187343,.T.); #245659=ORIENTED_EDGE('',*,*,#187342,.T.); #245660=ORIENTED_EDGE('',*,*,#187344,.F.); #245661=ORIENTED_EDGE('',*,*,#187345,.F.); #245662=ORIENTED_EDGE('',*,*,#187346,.T.); #245663=ORIENTED_EDGE('',*,*,#187345,.T.); #245664=ORIENTED_EDGE('',*,*,#187347,.F.); #245665=ORIENTED_EDGE('',*,*,#187348,.F.); #245666=ORIENTED_EDGE('',*,*,#187349,.T.); #245667=ORIENTED_EDGE('',*,*,#187348,.T.); #245668=ORIENTED_EDGE('',*,*,#187350,.F.); #245669=ORIENTED_EDGE('',*,*,#187351,.F.); #245670=ORIENTED_EDGE('',*,*,#187352,.T.); #245671=ORIENTED_EDGE('',*,*,#187351,.T.); #245672=ORIENTED_EDGE('',*,*,#187353,.F.); #245673=ORIENTED_EDGE('',*,*,#187354,.F.); #245674=ORIENTED_EDGE('',*,*,#187355,.T.); #245675=ORIENTED_EDGE('',*,*,#187354,.T.); #245676=ORIENTED_EDGE('',*,*,#187356,.F.); #245677=ORIENTED_EDGE('',*,*,#187357,.F.); #245678=ORIENTED_EDGE('',*,*,#187358,.T.); #245679=ORIENTED_EDGE('',*,*,#187357,.T.); #245680=ORIENTED_EDGE('',*,*,#187359,.F.); #245681=ORIENTED_EDGE('',*,*,#187360,.F.); #245682=ORIENTED_EDGE('',*,*,#187361,.T.); #245683=ORIENTED_EDGE('',*,*,#187360,.T.); #245684=ORIENTED_EDGE('',*,*,#187362,.F.); #245685=ORIENTED_EDGE('',*,*,#187363,.F.); #245686=ORIENTED_EDGE('',*,*,#187364,.T.); #245687=ORIENTED_EDGE('',*,*,#187363,.T.); #245688=ORIENTED_EDGE('',*,*,#187365,.F.); #245689=ORIENTED_EDGE('',*,*,#187366,.F.); #245690=ORIENTED_EDGE('',*,*,#187367,.T.); #245691=ORIENTED_EDGE('',*,*,#187366,.T.); #245692=ORIENTED_EDGE('',*,*,#187368,.F.); #245693=ORIENTED_EDGE('',*,*,#187369,.F.); #245694=ORIENTED_EDGE('',*,*,#187370,.T.); #245695=ORIENTED_EDGE('',*,*,#187369,.T.); #245696=ORIENTED_EDGE('',*,*,#187371,.F.); #245697=ORIENTED_EDGE('',*,*,#187313,.F.); #245698=ORIENTED_EDGE('',*,*,#187371,.T.); #245699=ORIENTED_EDGE('',*,*,#187368,.T.); #245700=ORIENTED_EDGE('',*,*,#187365,.T.); #245701=ORIENTED_EDGE('',*,*,#187362,.T.); #245702=ORIENTED_EDGE('',*,*,#187359,.T.); #245703=ORIENTED_EDGE('',*,*,#187356,.T.); #245704=ORIENTED_EDGE('',*,*,#187353,.T.); #245705=ORIENTED_EDGE('',*,*,#187350,.T.); #245706=ORIENTED_EDGE('',*,*,#187347,.T.); #245707=ORIENTED_EDGE('',*,*,#187344,.T.); #245708=ORIENTED_EDGE('',*,*,#187341,.T.); #245709=ORIENTED_EDGE('',*,*,#187338,.T.); #245710=ORIENTED_EDGE('',*,*,#187335,.T.); #245711=ORIENTED_EDGE('',*,*,#187332,.T.); #245712=ORIENTED_EDGE('',*,*,#187329,.T.); #245713=ORIENTED_EDGE('',*,*,#187326,.T.); #245714=ORIENTED_EDGE('',*,*,#187323,.T.); #245715=ORIENTED_EDGE('',*,*,#187320,.T.); #245716=ORIENTED_EDGE('',*,*,#187317,.T.); #245717=ORIENTED_EDGE('',*,*,#187314,.T.); #245718=ORIENTED_EDGE('',*,*,#187370,.F.); #245719=ORIENTED_EDGE('',*,*,#187312,.F.); #245720=ORIENTED_EDGE('',*,*,#187316,.F.); #245721=ORIENTED_EDGE('',*,*,#187319,.F.); #245722=ORIENTED_EDGE('',*,*,#187322,.F.); #245723=ORIENTED_EDGE('',*,*,#187325,.F.); #245724=ORIENTED_EDGE('',*,*,#187328,.F.); #245725=ORIENTED_EDGE('',*,*,#187331,.F.); #245726=ORIENTED_EDGE('',*,*,#187334,.F.); #245727=ORIENTED_EDGE('',*,*,#187337,.F.); #245728=ORIENTED_EDGE('',*,*,#187340,.F.); #245729=ORIENTED_EDGE('',*,*,#187343,.F.); #245730=ORIENTED_EDGE('',*,*,#187346,.F.); #245731=ORIENTED_EDGE('',*,*,#187349,.F.); #245732=ORIENTED_EDGE('',*,*,#187352,.F.); #245733=ORIENTED_EDGE('',*,*,#187355,.F.); #245734=ORIENTED_EDGE('',*,*,#187358,.F.); #245735=ORIENTED_EDGE('',*,*,#187361,.F.); #245736=ORIENTED_EDGE('',*,*,#187364,.F.); #245737=ORIENTED_EDGE('',*,*,#187367,.F.); #245738=ORIENTED_EDGE('',*,*,#187372,.T.); #245739=ORIENTED_EDGE('',*,*,#187373,.T.); #245740=ORIENTED_EDGE('',*,*,#187374,.F.); #245741=ORIENTED_EDGE('',*,*,#187375,.F.); #245742=ORIENTED_EDGE('',*,*,#187376,.T.); #245743=ORIENTED_EDGE('',*,*,#187375,.T.); #245744=ORIENTED_EDGE('',*,*,#187377,.F.); #245745=ORIENTED_EDGE('',*,*,#187378,.F.); #245746=ORIENTED_EDGE('',*,*,#187379,.T.); #245747=ORIENTED_EDGE('',*,*,#187378,.T.); #245748=ORIENTED_EDGE('',*,*,#187380,.F.); #245749=ORIENTED_EDGE('',*,*,#187381,.F.); #245750=ORIENTED_EDGE('',*,*,#187382,.T.); #245751=ORIENTED_EDGE('',*,*,#187381,.T.); #245752=ORIENTED_EDGE('',*,*,#187383,.F.); #245753=ORIENTED_EDGE('',*,*,#187384,.F.); #245754=ORIENTED_EDGE('',*,*,#187385,.T.); #245755=ORIENTED_EDGE('',*,*,#187384,.T.); #245756=ORIENTED_EDGE('',*,*,#187386,.F.); #245757=ORIENTED_EDGE('',*,*,#187387,.F.); #245758=ORIENTED_EDGE('',*,*,#187388,.T.); #245759=ORIENTED_EDGE('',*,*,#187387,.T.); #245760=ORIENTED_EDGE('',*,*,#187389,.F.); #245761=ORIENTED_EDGE('',*,*,#187390,.F.); #245762=ORIENTED_EDGE('',*,*,#187391,.T.); #245763=ORIENTED_EDGE('',*,*,#187390,.T.); #245764=ORIENTED_EDGE('',*,*,#187392,.F.); #245765=ORIENTED_EDGE('',*,*,#187393,.F.); #245766=ORIENTED_EDGE('',*,*,#187394,.T.); #245767=ORIENTED_EDGE('',*,*,#187393,.T.); #245768=ORIENTED_EDGE('',*,*,#187395,.F.); #245769=ORIENTED_EDGE('',*,*,#187396,.F.); #245770=ORIENTED_EDGE('',*,*,#187397,.T.); #245771=ORIENTED_EDGE('',*,*,#187396,.T.); #245772=ORIENTED_EDGE('',*,*,#187398,.F.); #245773=ORIENTED_EDGE('',*,*,#187399,.F.); #245774=ORIENTED_EDGE('',*,*,#187400,.T.); #245775=ORIENTED_EDGE('',*,*,#187399,.T.); #245776=ORIENTED_EDGE('',*,*,#187401,.F.); #245777=ORIENTED_EDGE('',*,*,#187402,.F.); #245778=ORIENTED_EDGE('',*,*,#187403,.T.); #245779=ORIENTED_EDGE('',*,*,#187402,.T.); #245780=ORIENTED_EDGE('',*,*,#187404,.F.); #245781=ORIENTED_EDGE('',*,*,#187405,.F.); #245782=ORIENTED_EDGE('',*,*,#187406,.T.); #245783=ORIENTED_EDGE('',*,*,#187405,.T.); #245784=ORIENTED_EDGE('',*,*,#187407,.F.); #245785=ORIENTED_EDGE('',*,*,#187408,.F.); #245786=ORIENTED_EDGE('',*,*,#187409,.T.); #245787=ORIENTED_EDGE('',*,*,#187408,.T.); #245788=ORIENTED_EDGE('',*,*,#187410,.F.); #245789=ORIENTED_EDGE('',*,*,#187411,.F.); #245790=ORIENTED_EDGE('',*,*,#187412,.T.); #245791=ORIENTED_EDGE('',*,*,#187411,.T.); #245792=ORIENTED_EDGE('',*,*,#187413,.F.); #245793=ORIENTED_EDGE('',*,*,#187414,.F.); #245794=ORIENTED_EDGE('',*,*,#187415,.T.); #245795=ORIENTED_EDGE('',*,*,#187414,.T.); #245796=ORIENTED_EDGE('',*,*,#187416,.F.); #245797=ORIENTED_EDGE('',*,*,#187417,.F.); #245798=ORIENTED_EDGE('',*,*,#187418,.T.); #245799=ORIENTED_EDGE('',*,*,#187417,.T.); #245800=ORIENTED_EDGE('',*,*,#187419,.F.); #245801=ORIENTED_EDGE('',*,*,#187420,.F.); #245802=ORIENTED_EDGE('',*,*,#187421,.T.); #245803=ORIENTED_EDGE('',*,*,#187420,.T.); #245804=ORIENTED_EDGE('',*,*,#187422,.F.); #245805=ORIENTED_EDGE('',*,*,#187423,.F.); #245806=ORIENTED_EDGE('',*,*,#187424,.T.); #245807=ORIENTED_EDGE('',*,*,#187423,.T.); #245808=ORIENTED_EDGE('',*,*,#187425,.F.); #245809=ORIENTED_EDGE('',*,*,#187426,.F.); #245810=ORIENTED_EDGE('',*,*,#187427,.T.); #245811=ORIENTED_EDGE('',*,*,#187426,.T.); #245812=ORIENTED_EDGE('',*,*,#187428,.F.); #245813=ORIENTED_EDGE('',*,*,#187429,.F.); #245814=ORIENTED_EDGE('',*,*,#187430,.T.); #245815=ORIENTED_EDGE('',*,*,#187429,.T.); #245816=ORIENTED_EDGE('',*,*,#187431,.F.); #245817=ORIENTED_EDGE('',*,*,#187432,.F.); #245818=ORIENTED_EDGE('',*,*,#187433,.T.); #245819=ORIENTED_EDGE('',*,*,#187432,.T.); #245820=ORIENTED_EDGE('',*,*,#187434,.F.); #245821=ORIENTED_EDGE('',*,*,#187435,.F.); #245822=ORIENTED_EDGE('',*,*,#187436,.T.); #245823=ORIENTED_EDGE('',*,*,#187435,.T.); #245824=ORIENTED_EDGE('',*,*,#187437,.F.); #245825=ORIENTED_EDGE('',*,*,#187438,.F.); #245826=ORIENTED_EDGE('',*,*,#187439,.T.); #245827=ORIENTED_EDGE('',*,*,#187438,.T.); #245828=ORIENTED_EDGE('',*,*,#187440,.F.); #245829=ORIENTED_EDGE('',*,*,#187441,.F.); #245830=ORIENTED_EDGE('',*,*,#187442,.T.); #245831=ORIENTED_EDGE('',*,*,#187441,.T.); #245832=ORIENTED_EDGE('',*,*,#187443,.F.); #245833=ORIENTED_EDGE('',*,*,#187444,.F.); #245834=ORIENTED_EDGE('',*,*,#187445,.T.); #245835=ORIENTED_EDGE('',*,*,#187444,.T.); #245836=ORIENTED_EDGE('',*,*,#187446,.F.); #245837=ORIENTED_EDGE('',*,*,#187447,.F.); #245838=ORIENTED_EDGE('',*,*,#187448,.T.); #245839=ORIENTED_EDGE('',*,*,#187447,.T.); #245840=ORIENTED_EDGE('',*,*,#187449,.F.); #245841=ORIENTED_EDGE('',*,*,#187373,.F.); #245842=ORIENTED_EDGE('',*,*,#187449,.T.); #245843=ORIENTED_EDGE('',*,*,#187446,.T.); #245844=ORIENTED_EDGE('',*,*,#187443,.T.); #245845=ORIENTED_EDGE('',*,*,#187440,.T.); #245846=ORIENTED_EDGE('',*,*,#187437,.T.); #245847=ORIENTED_EDGE('',*,*,#187434,.T.); #245848=ORIENTED_EDGE('',*,*,#187431,.T.); #245849=ORIENTED_EDGE('',*,*,#187428,.T.); #245850=ORIENTED_EDGE('',*,*,#187425,.T.); #245851=ORIENTED_EDGE('',*,*,#187422,.T.); #245852=ORIENTED_EDGE('',*,*,#187419,.T.); #245853=ORIENTED_EDGE('',*,*,#187416,.T.); #245854=ORIENTED_EDGE('',*,*,#187413,.T.); #245855=ORIENTED_EDGE('',*,*,#187410,.T.); #245856=ORIENTED_EDGE('',*,*,#187407,.T.); #245857=ORIENTED_EDGE('',*,*,#187404,.T.); #245858=ORIENTED_EDGE('',*,*,#187401,.T.); #245859=ORIENTED_EDGE('',*,*,#187398,.T.); #245860=ORIENTED_EDGE('',*,*,#187395,.T.); #245861=ORIENTED_EDGE('',*,*,#187392,.T.); #245862=ORIENTED_EDGE('',*,*,#187389,.T.); #245863=ORIENTED_EDGE('',*,*,#187386,.T.); #245864=ORIENTED_EDGE('',*,*,#187383,.T.); #245865=ORIENTED_EDGE('',*,*,#187380,.T.); #245866=ORIENTED_EDGE('',*,*,#187377,.T.); #245867=ORIENTED_EDGE('',*,*,#187374,.T.); #245868=ORIENTED_EDGE('',*,*,#187448,.F.); #245869=ORIENTED_EDGE('',*,*,#187372,.F.); #245870=ORIENTED_EDGE('',*,*,#187376,.F.); #245871=ORIENTED_EDGE('',*,*,#187379,.F.); #245872=ORIENTED_EDGE('',*,*,#187382,.F.); #245873=ORIENTED_EDGE('',*,*,#187385,.F.); #245874=ORIENTED_EDGE('',*,*,#187388,.F.); #245875=ORIENTED_EDGE('',*,*,#187391,.F.); #245876=ORIENTED_EDGE('',*,*,#187394,.F.); #245877=ORIENTED_EDGE('',*,*,#187397,.F.); #245878=ORIENTED_EDGE('',*,*,#187400,.F.); #245879=ORIENTED_EDGE('',*,*,#187403,.F.); #245880=ORIENTED_EDGE('',*,*,#187406,.F.); #245881=ORIENTED_EDGE('',*,*,#187409,.F.); #245882=ORIENTED_EDGE('',*,*,#187412,.F.); #245883=ORIENTED_EDGE('',*,*,#187415,.F.); #245884=ORIENTED_EDGE('',*,*,#187418,.F.); #245885=ORIENTED_EDGE('',*,*,#187421,.F.); #245886=ORIENTED_EDGE('',*,*,#187424,.F.); #245887=ORIENTED_EDGE('',*,*,#187427,.F.); #245888=ORIENTED_EDGE('',*,*,#187430,.F.); #245889=ORIENTED_EDGE('',*,*,#187433,.F.); #245890=ORIENTED_EDGE('',*,*,#187436,.F.); #245891=ORIENTED_EDGE('',*,*,#187439,.F.); #245892=ORIENTED_EDGE('',*,*,#187442,.F.); #245893=ORIENTED_EDGE('',*,*,#187445,.F.); #245894=ORIENTED_EDGE('',*,*,#187450,.T.); #245895=ORIENTED_EDGE('',*,*,#187451,.T.); #245896=ORIENTED_EDGE('',*,*,#187452,.F.); #245897=ORIENTED_EDGE('',*,*,#187453,.F.); #245898=ORIENTED_EDGE('',*,*,#187454,.T.); #245899=ORIENTED_EDGE('',*,*,#187453,.T.); #245900=ORIENTED_EDGE('',*,*,#187455,.F.); #245901=ORIENTED_EDGE('',*,*,#187456,.F.); #245902=ORIENTED_EDGE('',*,*,#187457,.T.); #245903=ORIENTED_EDGE('',*,*,#187456,.T.); #245904=ORIENTED_EDGE('',*,*,#187458,.F.); #245905=ORIENTED_EDGE('',*,*,#187459,.F.); #245906=ORIENTED_EDGE('',*,*,#187460,.T.); #245907=ORIENTED_EDGE('',*,*,#187459,.T.); #245908=ORIENTED_EDGE('',*,*,#187461,.F.); #245909=ORIENTED_EDGE('',*,*,#187462,.F.); #245910=ORIENTED_EDGE('',*,*,#187463,.T.); #245911=ORIENTED_EDGE('',*,*,#187462,.T.); #245912=ORIENTED_EDGE('',*,*,#187464,.F.); #245913=ORIENTED_EDGE('',*,*,#187465,.F.); #245914=ORIENTED_EDGE('',*,*,#187466,.T.); #245915=ORIENTED_EDGE('',*,*,#187465,.T.); #245916=ORIENTED_EDGE('',*,*,#187467,.F.); #245917=ORIENTED_EDGE('',*,*,#187468,.F.); #245918=ORIENTED_EDGE('',*,*,#187469,.T.); #245919=ORIENTED_EDGE('',*,*,#187468,.T.); #245920=ORIENTED_EDGE('',*,*,#187470,.F.); #245921=ORIENTED_EDGE('',*,*,#187471,.F.); #245922=ORIENTED_EDGE('',*,*,#187472,.T.); #245923=ORIENTED_EDGE('',*,*,#187471,.T.); #245924=ORIENTED_EDGE('',*,*,#187473,.F.); #245925=ORIENTED_EDGE('',*,*,#187474,.F.); #245926=ORIENTED_EDGE('',*,*,#187475,.T.); #245927=ORIENTED_EDGE('',*,*,#187474,.T.); #245928=ORIENTED_EDGE('',*,*,#187476,.F.); #245929=ORIENTED_EDGE('',*,*,#187477,.F.); #245930=ORIENTED_EDGE('',*,*,#187478,.T.); #245931=ORIENTED_EDGE('',*,*,#187477,.T.); #245932=ORIENTED_EDGE('',*,*,#187479,.F.); #245933=ORIENTED_EDGE('',*,*,#187480,.F.); #245934=ORIENTED_EDGE('',*,*,#187481,.T.); #245935=ORIENTED_EDGE('',*,*,#187480,.T.); #245936=ORIENTED_EDGE('',*,*,#187482,.F.); #245937=ORIENTED_EDGE('',*,*,#187483,.F.); #245938=ORIENTED_EDGE('',*,*,#187484,.T.); #245939=ORIENTED_EDGE('',*,*,#187483,.T.); #245940=ORIENTED_EDGE('',*,*,#187485,.F.); #245941=ORIENTED_EDGE('',*,*,#187486,.F.); #245942=ORIENTED_EDGE('',*,*,#187487,.T.); #245943=ORIENTED_EDGE('',*,*,#187486,.T.); #245944=ORIENTED_EDGE('',*,*,#187488,.F.); #245945=ORIENTED_EDGE('',*,*,#187489,.F.); #245946=ORIENTED_EDGE('',*,*,#187490,.T.); #245947=ORIENTED_EDGE('',*,*,#187489,.T.); #245948=ORIENTED_EDGE('',*,*,#187491,.F.); #245949=ORIENTED_EDGE('',*,*,#187492,.F.); #245950=ORIENTED_EDGE('',*,*,#187493,.T.); #245951=ORIENTED_EDGE('',*,*,#187492,.T.); #245952=ORIENTED_EDGE('',*,*,#187494,.F.); #245953=ORIENTED_EDGE('',*,*,#187495,.F.); #245954=ORIENTED_EDGE('',*,*,#187496,.T.); #245955=ORIENTED_EDGE('',*,*,#187495,.T.); #245956=ORIENTED_EDGE('',*,*,#187497,.F.); #245957=ORIENTED_EDGE('',*,*,#187498,.F.); #245958=ORIENTED_EDGE('',*,*,#187499,.T.); #245959=ORIENTED_EDGE('',*,*,#187498,.T.); #245960=ORIENTED_EDGE('',*,*,#187500,.F.); #245961=ORIENTED_EDGE('',*,*,#187501,.F.); #245962=ORIENTED_EDGE('',*,*,#187502,.T.); #245963=ORIENTED_EDGE('',*,*,#187501,.T.); #245964=ORIENTED_EDGE('',*,*,#187503,.F.); #245965=ORIENTED_EDGE('',*,*,#187504,.F.); #245966=ORIENTED_EDGE('',*,*,#187505,.T.); #245967=ORIENTED_EDGE('',*,*,#187504,.T.); #245968=ORIENTED_EDGE('',*,*,#187506,.F.); #245969=ORIENTED_EDGE('',*,*,#187507,.F.); #245970=ORIENTED_EDGE('',*,*,#187508,.T.); #245971=ORIENTED_EDGE('',*,*,#187507,.T.); #245972=ORIENTED_EDGE('',*,*,#187509,.F.); #245973=ORIENTED_EDGE('',*,*,#187510,.F.); #245974=ORIENTED_EDGE('',*,*,#187511,.T.); #245975=ORIENTED_EDGE('',*,*,#187510,.T.); #245976=ORIENTED_EDGE('',*,*,#187512,.F.); #245977=ORIENTED_EDGE('',*,*,#187451,.F.); #245978=ORIENTED_EDGE('',*,*,#187512,.T.); #245979=ORIENTED_EDGE('',*,*,#187509,.T.); #245980=ORIENTED_EDGE('',*,*,#187506,.T.); #245981=ORIENTED_EDGE('',*,*,#187503,.T.); #245982=ORIENTED_EDGE('',*,*,#187500,.T.); #245983=ORIENTED_EDGE('',*,*,#187497,.T.); #245984=ORIENTED_EDGE('',*,*,#187494,.T.); #245985=ORIENTED_EDGE('',*,*,#187491,.T.); #245986=ORIENTED_EDGE('',*,*,#187488,.T.); #245987=ORIENTED_EDGE('',*,*,#187485,.T.); #245988=ORIENTED_EDGE('',*,*,#187482,.T.); #245989=ORIENTED_EDGE('',*,*,#187479,.T.); #245990=ORIENTED_EDGE('',*,*,#187476,.T.); #245991=ORIENTED_EDGE('',*,*,#187473,.T.); #245992=ORIENTED_EDGE('',*,*,#187470,.T.); #245993=ORIENTED_EDGE('',*,*,#187467,.T.); #245994=ORIENTED_EDGE('',*,*,#187464,.T.); #245995=ORIENTED_EDGE('',*,*,#187461,.T.); #245996=ORIENTED_EDGE('',*,*,#187458,.T.); #245997=ORIENTED_EDGE('',*,*,#187455,.T.); #245998=ORIENTED_EDGE('',*,*,#187452,.T.); #245999=ORIENTED_EDGE('',*,*,#187511,.F.); #246000=ORIENTED_EDGE('',*,*,#187450,.F.); #246001=ORIENTED_EDGE('',*,*,#187454,.F.); #246002=ORIENTED_EDGE('',*,*,#187457,.F.); #246003=ORIENTED_EDGE('',*,*,#187460,.F.); #246004=ORIENTED_EDGE('',*,*,#187463,.F.); #246005=ORIENTED_EDGE('',*,*,#187466,.F.); #246006=ORIENTED_EDGE('',*,*,#187469,.F.); #246007=ORIENTED_EDGE('',*,*,#187472,.F.); #246008=ORIENTED_EDGE('',*,*,#187475,.F.); #246009=ORIENTED_EDGE('',*,*,#187478,.F.); #246010=ORIENTED_EDGE('',*,*,#187481,.F.); #246011=ORIENTED_EDGE('',*,*,#187484,.F.); #246012=ORIENTED_EDGE('',*,*,#187487,.F.); #246013=ORIENTED_EDGE('',*,*,#187490,.F.); #246014=ORIENTED_EDGE('',*,*,#187493,.F.); #246015=ORIENTED_EDGE('',*,*,#187496,.F.); #246016=ORIENTED_EDGE('',*,*,#187499,.F.); #246017=ORIENTED_EDGE('',*,*,#187502,.F.); #246018=ORIENTED_EDGE('',*,*,#187505,.F.); #246019=ORIENTED_EDGE('',*,*,#187508,.F.); #246020=ORIENTED_EDGE('',*,*,#187513,.T.); #246021=ORIENTED_EDGE('',*,*,#187514,.T.); #246022=ORIENTED_EDGE('',*,*,#187515,.F.); #246023=ORIENTED_EDGE('',*,*,#187516,.F.); #246024=ORIENTED_EDGE('',*,*,#187517,.T.); #246025=ORIENTED_EDGE('',*,*,#187516,.T.); #246026=ORIENTED_EDGE('',*,*,#187518,.F.); #246027=ORIENTED_EDGE('',*,*,#187519,.F.); #246028=ORIENTED_EDGE('',*,*,#187520,.T.); #246029=ORIENTED_EDGE('',*,*,#187519,.T.); #246030=ORIENTED_EDGE('',*,*,#187521,.F.); #246031=ORIENTED_EDGE('',*,*,#187522,.F.); #246032=ORIENTED_EDGE('',*,*,#187523,.T.); #246033=ORIENTED_EDGE('',*,*,#187522,.T.); #246034=ORIENTED_EDGE('',*,*,#187524,.F.); #246035=ORIENTED_EDGE('',*,*,#187525,.F.); #246036=ORIENTED_EDGE('',*,*,#187526,.T.); #246037=ORIENTED_EDGE('',*,*,#187525,.T.); #246038=ORIENTED_EDGE('',*,*,#187527,.F.); #246039=ORIENTED_EDGE('',*,*,#187528,.F.); #246040=ORIENTED_EDGE('',*,*,#187529,.T.); #246041=ORIENTED_EDGE('',*,*,#187528,.T.); #246042=ORIENTED_EDGE('',*,*,#187530,.F.); #246043=ORIENTED_EDGE('',*,*,#187531,.F.); #246044=ORIENTED_EDGE('',*,*,#187532,.T.); #246045=ORIENTED_EDGE('',*,*,#187531,.T.); #246046=ORIENTED_EDGE('',*,*,#187533,.F.); #246047=ORIENTED_EDGE('',*,*,#187534,.F.); #246048=ORIENTED_EDGE('',*,*,#187535,.T.); #246049=ORIENTED_EDGE('',*,*,#187534,.T.); #246050=ORIENTED_EDGE('',*,*,#187536,.F.); #246051=ORIENTED_EDGE('',*,*,#187537,.F.); #246052=ORIENTED_EDGE('',*,*,#187538,.T.); #246053=ORIENTED_EDGE('',*,*,#187537,.T.); #246054=ORIENTED_EDGE('',*,*,#187539,.F.); #246055=ORIENTED_EDGE('',*,*,#187540,.F.); #246056=ORIENTED_EDGE('',*,*,#187541,.T.); #246057=ORIENTED_EDGE('',*,*,#187540,.T.); #246058=ORIENTED_EDGE('',*,*,#187542,.F.); #246059=ORIENTED_EDGE('',*,*,#187543,.F.); #246060=ORIENTED_EDGE('',*,*,#187544,.T.); #246061=ORIENTED_EDGE('',*,*,#187543,.T.); #246062=ORIENTED_EDGE('',*,*,#187545,.F.); #246063=ORIENTED_EDGE('',*,*,#187546,.F.); #246064=ORIENTED_EDGE('',*,*,#187547,.T.); #246065=ORIENTED_EDGE('',*,*,#187546,.T.); #246066=ORIENTED_EDGE('',*,*,#187548,.F.); #246067=ORIENTED_EDGE('',*,*,#187549,.F.); #246068=ORIENTED_EDGE('',*,*,#187550,.T.); #246069=ORIENTED_EDGE('',*,*,#187549,.T.); #246070=ORIENTED_EDGE('',*,*,#187551,.F.); #246071=ORIENTED_EDGE('',*,*,#187552,.F.); #246072=ORIENTED_EDGE('',*,*,#187553,.T.); #246073=ORIENTED_EDGE('',*,*,#187552,.T.); #246074=ORIENTED_EDGE('',*,*,#187554,.F.); #246075=ORIENTED_EDGE('',*,*,#187555,.F.); #246076=ORIENTED_EDGE('',*,*,#187556,.T.); #246077=ORIENTED_EDGE('',*,*,#187555,.T.); #246078=ORIENTED_EDGE('',*,*,#187557,.F.); #246079=ORIENTED_EDGE('',*,*,#187558,.F.); #246080=ORIENTED_EDGE('',*,*,#187559,.T.); #246081=ORIENTED_EDGE('',*,*,#187558,.T.); #246082=ORIENTED_EDGE('',*,*,#187560,.F.); #246083=ORIENTED_EDGE('',*,*,#187561,.F.); #246084=ORIENTED_EDGE('',*,*,#187562,.T.); #246085=ORIENTED_EDGE('',*,*,#187561,.T.); #246086=ORIENTED_EDGE('',*,*,#187563,.F.); #246087=ORIENTED_EDGE('',*,*,#187564,.F.); #246088=ORIENTED_EDGE('',*,*,#187565,.T.); #246089=ORIENTED_EDGE('',*,*,#187564,.T.); #246090=ORIENTED_EDGE('',*,*,#187566,.F.); #246091=ORIENTED_EDGE('',*,*,#187567,.F.); #246092=ORIENTED_EDGE('',*,*,#187568,.T.); #246093=ORIENTED_EDGE('',*,*,#187567,.T.); #246094=ORIENTED_EDGE('',*,*,#187569,.F.); #246095=ORIENTED_EDGE('',*,*,#187570,.F.); #246096=ORIENTED_EDGE('',*,*,#187571,.T.); #246097=ORIENTED_EDGE('',*,*,#187570,.T.); #246098=ORIENTED_EDGE('',*,*,#187572,.F.); #246099=ORIENTED_EDGE('',*,*,#187573,.F.); #246100=ORIENTED_EDGE('',*,*,#187574,.T.); #246101=ORIENTED_EDGE('',*,*,#187573,.T.); #246102=ORIENTED_EDGE('',*,*,#187575,.F.); #246103=ORIENTED_EDGE('',*,*,#187576,.F.); #246104=ORIENTED_EDGE('',*,*,#187577,.T.); #246105=ORIENTED_EDGE('',*,*,#187576,.T.); #246106=ORIENTED_EDGE('',*,*,#187578,.F.); #246107=ORIENTED_EDGE('',*,*,#187579,.F.); #246108=ORIENTED_EDGE('',*,*,#187580,.T.); #246109=ORIENTED_EDGE('',*,*,#187579,.T.); #246110=ORIENTED_EDGE('',*,*,#187581,.F.); #246111=ORIENTED_EDGE('',*,*,#187582,.F.); #246112=ORIENTED_EDGE('',*,*,#187583,.T.); #246113=ORIENTED_EDGE('',*,*,#187582,.T.); #246114=ORIENTED_EDGE('',*,*,#187584,.F.); #246115=ORIENTED_EDGE('',*,*,#187585,.F.); #246116=ORIENTED_EDGE('',*,*,#187586,.T.); #246117=ORIENTED_EDGE('',*,*,#187585,.T.); #246118=ORIENTED_EDGE('',*,*,#187587,.F.); #246119=ORIENTED_EDGE('',*,*,#187588,.F.); #246120=ORIENTED_EDGE('',*,*,#187589,.T.); #246121=ORIENTED_EDGE('',*,*,#187588,.T.); #246122=ORIENTED_EDGE('',*,*,#187590,.F.); #246123=ORIENTED_EDGE('',*,*,#187591,.F.); #246124=ORIENTED_EDGE('',*,*,#187592,.T.); #246125=ORIENTED_EDGE('',*,*,#187591,.T.); #246126=ORIENTED_EDGE('',*,*,#187593,.F.); #246127=ORIENTED_EDGE('',*,*,#187594,.F.); #246128=ORIENTED_EDGE('',*,*,#187595,.T.); #246129=ORIENTED_EDGE('',*,*,#187594,.T.); #246130=ORIENTED_EDGE('',*,*,#187596,.F.); #246131=ORIENTED_EDGE('',*,*,#187597,.F.); #246132=ORIENTED_EDGE('',*,*,#187598,.T.); #246133=ORIENTED_EDGE('',*,*,#187597,.T.); #246134=ORIENTED_EDGE('',*,*,#187599,.F.); #246135=ORIENTED_EDGE('',*,*,#187600,.F.); #246136=ORIENTED_EDGE('',*,*,#187601,.T.); #246137=ORIENTED_EDGE('',*,*,#187600,.T.); #246138=ORIENTED_EDGE('',*,*,#187602,.F.); #246139=ORIENTED_EDGE('',*,*,#187603,.F.); #246140=ORIENTED_EDGE('',*,*,#187604,.T.); #246141=ORIENTED_EDGE('',*,*,#187603,.T.); #246142=ORIENTED_EDGE('',*,*,#187605,.F.); #246143=ORIENTED_EDGE('',*,*,#187606,.F.); #246144=ORIENTED_EDGE('',*,*,#187607,.T.); #246145=ORIENTED_EDGE('',*,*,#187606,.T.); #246146=ORIENTED_EDGE('',*,*,#187608,.F.); #246147=ORIENTED_EDGE('',*,*,#187609,.F.); #246148=ORIENTED_EDGE('',*,*,#187610,.T.); #246149=ORIENTED_EDGE('',*,*,#187609,.T.); #246150=ORIENTED_EDGE('',*,*,#187611,.F.); #246151=ORIENTED_EDGE('',*,*,#187514,.F.); #246152=ORIENTED_EDGE('',*,*,#187611,.T.); #246153=ORIENTED_EDGE('',*,*,#187608,.T.); #246154=ORIENTED_EDGE('',*,*,#187605,.T.); #246155=ORIENTED_EDGE('',*,*,#187602,.T.); #246156=ORIENTED_EDGE('',*,*,#187599,.T.); #246157=ORIENTED_EDGE('',*,*,#187596,.T.); #246158=ORIENTED_EDGE('',*,*,#187593,.T.); #246159=ORIENTED_EDGE('',*,*,#187590,.T.); #246160=ORIENTED_EDGE('',*,*,#187587,.T.); #246161=ORIENTED_EDGE('',*,*,#187584,.T.); #246162=ORIENTED_EDGE('',*,*,#187581,.T.); #246163=ORIENTED_EDGE('',*,*,#187578,.T.); #246164=ORIENTED_EDGE('',*,*,#187575,.T.); #246165=ORIENTED_EDGE('',*,*,#187572,.T.); #246166=ORIENTED_EDGE('',*,*,#187569,.T.); #246167=ORIENTED_EDGE('',*,*,#187566,.T.); #246168=ORIENTED_EDGE('',*,*,#187563,.T.); #246169=ORIENTED_EDGE('',*,*,#187560,.T.); #246170=ORIENTED_EDGE('',*,*,#187557,.T.); #246171=ORIENTED_EDGE('',*,*,#187554,.T.); #246172=ORIENTED_EDGE('',*,*,#187551,.T.); #246173=ORIENTED_EDGE('',*,*,#187548,.T.); #246174=ORIENTED_EDGE('',*,*,#187545,.T.); #246175=ORIENTED_EDGE('',*,*,#187542,.T.); #246176=ORIENTED_EDGE('',*,*,#187539,.T.); #246177=ORIENTED_EDGE('',*,*,#187536,.T.); #246178=ORIENTED_EDGE('',*,*,#187533,.T.); #246179=ORIENTED_EDGE('',*,*,#187530,.T.); #246180=ORIENTED_EDGE('',*,*,#187527,.T.); #246181=ORIENTED_EDGE('',*,*,#187524,.T.); #246182=ORIENTED_EDGE('',*,*,#187521,.T.); #246183=ORIENTED_EDGE('',*,*,#187518,.T.); #246184=ORIENTED_EDGE('',*,*,#187515,.T.); #246185=ORIENTED_EDGE('',*,*,#187610,.F.); #246186=ORIENTED_EDGE('',*,*,#187513,.F.); #246187=ORIENTED_EDGE('',*,*,#187517,.F.); #246188=ORIENTED_EDGE('',*,*,#187520,.F.); #246189=ORIENTED_EDGE('',*,*,#187523,.F.); #246190=ORIENTED_EDGE('',*,*,#187526,.F.); #246191=ORIENTED_EDGE('',*,*,#187529,.F.); #246192=ORIENTED_EDGE('',*,*,#187532,.F.); #246193=ORIENTED_EDGE('',*,*,#187535,.F.); #246194=ORIENTED_EDGE('',*,*,#187538,.F.); #246195=ORIENTED_EDGE('',*,*,#187541,.F.); #246196=ORIENTED_EDGE('',*,*,#187544,.F.); #246197=ORIENTED_EDGE('',*,*,#187547,.F.); #246198=ORIENTED_EDGE('',*,*,#187550,.F.); #246199=ORIENTED_EDGE('',*,*,#187553,.F.); #246200=ORIENTED_EDGE('',*,*,#187556,.F.); #246201=ORIENTED_EDGE('',*,*,#187559,.F.); #246202=ORIENTED_EDGE('',*,*,#187562,.F.); #246203=ORIENTED_EDGE('',*,*,#187565,.F.); #246204=ORIENTED_EDGE('',*,*,#187568,.F.); #246205=ORIENTED_EDGE('',*,*,#187571,.F.); #246206=ORIENTED_EDGE('',*,*,#187574,.F.); #246207=ORIENTED_EDGE('',*,*,#187577,.F.); #246208=ORIENTED_EDGE('',*,*,#187580,.F.); #246209=ORIENTED_EDGE('',*,*,#187583,.F.); #246210=ORIENTED_EDGE('',*,*,#187586,.F.); #246211=ORIENTED_EDGE('',*,*,#187589,.F.); #246212=ORIENTED_EDGE('',*,*,#187592,.F.); #246213=ORIENTED_EDGE('',*,*,#187595,.F.); #246214=ORIENTED_EDGE('',*,*,#187598,.F.); #246215=ORIENTED_EDGE('',*,*,#187601,.F.); #246216=ORIENTED_EDGE('',*,*,#187604,.F.); #246217=ORIENTED_EDGE('',*,*,#187607,.F.); #246218=ORIENTED_EDGE('',*,*,#187612,.T.); #246219=ORIENTED_EDGE('',*,*,#187613,.T.); #246220=ORIENTED_EDGE('',*,*,#187614,.F.); #246221=ORIENTED_EDGE('',*,*,#187615,.F.); #246222=ORIENTED_EDGE('',*,*,#187616,.T.); #246223=ORIENTED_EDGE('',*,*,#187615,.T.); #246224=ORIENTED_EDGE('',*,*,#187617,.F.); #246225=ORIENTED_EDGE('',*,*,#187618,.F.); #246226=ORIENTED_EDGE('',*,*,#187619,.T.); #246227=ORIENTED_EDGE('',*,*,#187618,.T.); #246228=ORIENTED_EDGE('',*,*,#187620,.F.); #246229=ORIENTED_EDGE('',*,*,#187621,.F.); #246230=ORIENTED_EDGE('',*,*,#187622,.T.); #246231=ORIENTED_EDGE('',*,*,#187621,.T.); #246232=ORIENTED_EDGE('',*,*,#187623,.F.); #246233=ORIENTED_EDGE('',*,*,#187624,.F.); #246234=ORIENTED_EDGE('',*,*,#187625,.T.); #246235=ORIENTED_EDGE('',*,*,#187624,.T.); #246236=ORIENTED_EDGE('',*,*,#187626,.F.); #246237=ORIENTED_EDGE('',*,*,#187627,.F.); #246238=ORIENTED_EDGE('',*,*,#187628,.T.); #246239=ORIENTED_EDGE('',*,*,#187627,.T.); #246240=ORIENTED_EDGE('',*,*,#187629,.F.); #246241=ORIENTED_EDGE('',*,*,#187630,.F.); #246242=ORIENTED_EDGE('',*,*,#187631,.T.); #246243=ORIENTED_EDGE('',*,*,#187630,.T.); #246244=ORIENTED_EDGE('',*,*,#187632,.F.); #246245=ORIENTED_EDGE('',*,*,#187633,.F.); #246246=ORIENTED_EDGE('',*,*,#187634,.T.); #246247=ORIENTED_EDGE('',*,*,#187633,.T.); #246248=ORIENTED_EDGE('',*,*,#187635,.F.); #246249=ORIENTED_EDGE('',*,*,#187636,.F.); #246250=ORIENTED_EDGE('',*,*,#187637,.T.); #246251=ORIENTED_EDGE('',*,*,#187636,.T.); #246252=ORIENTED_EDGE('',*,*,#187638,.F.); #246253=ORIENTED_EDGE('',*,*,#187639,.F.); #246254=ORIENTED_EDGE('',*,*,#187640,.T.); #246255=ORIENTED_EDGE('',*,*,#187639,.T.); #246256=ORIENTED_EDGE('',*,*,#187641,.F.); #246257=ORIENTED_EDGE('',*,*,#187642,.F.); #246258=ORIENTED_EDGE('',*,*,#187643,.T.); #246259=ORIENTED_EDGE('',*,*,#187642,.T.); #246260=ORIENTED_EDGE('',*,*,#187644,.F.); #246261=ORIENTED_EDGE('',*,*,#187645,.F.); #246262=ORIENTED_EDGE('',*,*,#187646,.T.); #246263=ORIENTED_EDGE('',*,*,#187645,.T.); #246264=ORIENTED_EDGE('',*,*,#187647,.F.); #246265=ORIENTED_EDGE('',*,*,#187648,.F.); #246266=ORIENTED_EDGE('',*,*,#187649,.T.); #246267=ORIENTED_EDGE('',*,*,#187648,.T.); #246268=ORIENTED_EDGE('',*,*,#187650,.F.); #246269=ORIENTED_EDGE('',*,*,#187651,.F.); #246270=ORIENTED_EDGE('',*,*,#187652,.T.); #246271=ORIENTED_EDGE('',*,*,#187651,.T.); #246272=ORIENTED_EDGE('',*,*,#187653,.F.); #246273=ORIENTED_EDGE('',*,*,#187654,.F.); #246274=ORIENTED_EDGE('',*,*,#187655,.T.); #246275=ORIENTED_EDGE('',*,*,#187654,.T.); #246276=ORIENTED_EDGE('',*,*,#187656,.F.); #246277=ORIENTED_EDGE('',*,*,#187657,.F.); #246278=ORIENTED_EDGE('',*,*,#187658,.T.); #246279=ORIENTED_EDGE('',*,*,#187657,.T.); #246280=ORIENTED_EDGE('',*,*,#187659,.F.); #246281=ORIENTED_EDGE('',*,*,#187660,.F.); #246282=ORIENTED_EDGE('',*,*,#187661,.T.); #246283=ORIENTED_EDGE('',*,*,#187660,.T.); #246284=ORIENTED_EDGE('',*,*,#187662,.F.); #246285=ORIENTED_EDGE('',*,*,#187663,.F.); #246286=ORIENTED_EDGE('',*,*,#187664,.T.); #246287=ORIENTED_EDGE('',*,*,#187663,.T.); #246288=ORIENTED_EDGE('',*,*,#187665,.F.); #246289=ORIENTED_EDGE('',*,*,#187666,.F.); #246290=ORIENTED_EDGE('',*,*,#187667,.T.); #246291=ORIENTED_EDGE('',*,*,#187666,.T.); #246292=ORIENTED_EDGE('',*,*,#187668,.F.); #246293=ORIENTED_EDGE('',*,*,#187669,.F.); #246294=ORIENTED_EDGE('',*,*,#187670,.T.); #246295=ORIENTED_EDGE('',*,*,#187669,.T.); #246296=ORIENTED_EDGE('',*,*,#187671,.F.); #246297=ORIENTED_EDGE('',*,*,#187613,.F.); #246298=ORIENTED_EDGE('',*,*,#187671,.T.); #246299=ORIENTED_EDGE('',*,*,#187668,.T.); #246300=ORIENTED_EDGE('',*,*,#187665,.T.); #246301=ORIENTED_EDGE('',*,*,#187662,.T.); #246302=ORIENTED_EDGE('',*,*,#187659,.T.); #246303=ORIENTED_EDGE('',*,*,#187656,.T.); #246304=ORIENTED_EDGE('',*,*,#187653,.T.); #246305=ORIENTED_EDGE('',*,*,#187650,.T.); #246306=ORIENTED_EDGE('',*,*,#187647,.T.); #246307=ORIENTED_EDGE('',*,*,#187644,.T.); #246308=ORIENTED_EDGE('',*,*,#187641,.T.); #246309=ORIENTED_EDGE('',*,*,#187638,.T.); #246310=ORIENTED_EDGE('',*,*,#187635,.T.); #246311=ORIENTED_EDGE('',*,*,#187632,.T.); #246312=ORIENTED_EDGE('',*,*,#187629,.T.); #246313=ORIENTED_EDGE('',*,*,#187626,.T.); #246314=ORIENTED_EDGE('',*,*,#187623,.T.); #246315=ORIENTED_EDGE('',*,*,#187620,.T.); #246316=ORIENTED_EDGE('',*,*,#187617,.T.); #246317=ORIENTED_EDGE('',*,*,#187614,.T.); #246318=ORIENTED_EDGE('',*,*,#187670,.F.); #246319=ORIENTED_EDGE('',*,*,#187612,.F.); #246320=ORIENTED_EDGE('',*,*,#187616,.F.); #246321=ORIENTED_EDGE('',*,*,#187619,.F.); #246322=ORIENTED_EDGE('',*,*,#187622,.F.); #246323=ORIENTED_EDGE('',*,*,#187625,.F.); #246324=ORIENTED_EDGE('',*,*,#187628,.F.); #246325=ORIENTED_EDGE('',*,*,#187631,.F.); #246326=ORIENTED_EDGE('',*,*,#187634,.F.); #246327=ORIENTED_EDGE('',*,*,#187637,.F.); #246328=ORIENTED_EDGE('',*,*,#187640,.F.); #246329=ORIENTED_EDGE('',*,*,#187643,.F.); #246330=ORIENTED_EDGE('',*,*,#187646,.F.); #246331=ORIENTED_EDGE('',*,*,#187649,.F.); #246332=ORIENTED_EDGE('',*,*,#187652,.F.); #246333=ORIENTED_EDGE('',*,*,#187655,.F.); #246334=ORIENTED_EDGE('',*,*,#187658,.F.); #246335=ORIENTED_EDGE('',*,*,#187661,.F.); #246336=ORIENTED_EDGE('',*,*,#187664,.F.); #246337=ORIENTED_EDGE('',*,*,#187667,.F.); #246338=ORIENTED_EDGE('',*,*,#187672,.T.); #246339=ORIENTED_EDGE('',*,*,#187673,.T.); #246340=ORIENTED_EDGE('',*,*,#187674,.F.); #246341=ORIENTED_EDGE('',*,*,#187675,.F.); #246342=ORIENTED_EDGE('',*,*,#187676,.T.); #246343=ORIENTED_EDGE('',*,*,#187675,.T.); #246344=ORIENTED_EDGE('',*,*,#187677,.F.); #246345=ORIENTED_EDGE('',*,*,#187678,.F.); #246346=ORIENTED_EDGE('',*,*,#187679,.T.); #246347=ORIENTED_EDGE('',*,*,#187678,.T.); #246348=ORIENTED_EDGE('',*,*,#187680,.F.); #246349=ORIENTED_EDGE('',*,*,#187681,.F.); #246350=ORIENTED_EDGE('',*,*,#187682,.T.); #246351=ORIENTED_EDGE('',*,*,#187681,.T.); #246352=ORIENTED_EDGE('',*,*,#187683,.F.); #246353=ORIENTED_EDGE('',*,*,#187684,.F.); #246354=ORIENTED_EDGE('',*,*,#187685,.T.); #246355=ORIENTED_EDGE('',*,*,#187684,.T.); #246356=ORIENTED_EDGE('',*,*,#187686,.F.); #246357=ORIENTED_EDGE('',*,*,#187687,.F.); #246358=ORIENTED_EDGE('',*,*,#187688,.T.); #246359=ORIENTED_EDGE('',*,*,#187687,.T.); #246360=ORIENTED_EDGE('',*,*,#187689,.F.); #246361=ORIENTED_EDGE('',*,*,#187690,.F.); #246362=ORIENTED_EDGE('',*,*,#187691,.T.); #246363=ORIENTED_EDGE('',*,*,#187690,.T.); #246364=ORIENTED_EDGE('',*,*,#187692,.F.); #246365=ORIENTED_EDGE('',*,*,#187693,.F.); #246366=ORIENTED_EDGE('',*,*,#187694,.T.); #246367=ORIENTED_EDGE('',*,*,#187693,.T.); #246368=ORIENTED_EDGE('',*,*,#187695,.F.); #246369=ORIENTED_EDGE('',*,*,#187696,.F.); #246370=ORIENTED_EDGE('',*,*,#187697,.T.); #246371=ORIENTED_EDGE('',*,*,#187696,.T.); #246372=ORIENTED_EDGE('',*,*,#187698,.F.); #246373=ORIENTED_EDGE('',*,*,#187699,.F.); #246374=ORIENTED_EDGE('',*,*,#187700,.T.); #246375=ORIENTED_EDGE('',*,*,#187699,.T.); #246376=ORIENTED_EDGE('',*,*,#187701,.F.); #246377=ORIENTED_EDGE('',*,*,#187702,.F.); #246378=ORIENTED_EDGE('',*,*,#187703,.T.); #246379=ORIENTED_EDGE('',*,*,#187702,.T.); #246380=ORIENTED_EDGE('',*,*,#187704,.F.); #246381=ORIENTED_EDGE('',*,*,#187705,.F.); #246382=ORIENTED_EDGE('',*,*,#187706,.T.); #246383=ORIENTED_EDGE('',*,*,#187705,.T.); #246384=ORIENTED_EDGE('',*,*,#187707,.F.); #246385=ORIENTED_EDGE('',*,*,#187708,.F.); #246386=ORIENTED_EDGE('',*,*,#187709,.T.); #246387=ORIENTED_EDGE('',*,*,#187708,.T.); #246388=ORIENTED_EDGE('',*,*,#187710,.F.); #246389=ORIENTED_EDGE('',*,*,#187711,.F.); #246390=ORIENTED_EDGE('',*,*,#187712,.T.); #246391=ORIENTED_EDGE('',*,*,#187711,.T.); #246392=ORIENTED_EDGE('',*,*,#187713,.F.); #246393=ORIENTED_EDGE('',*,*,#187714,.F.); #246394=ORIENTED_EDGE('',*,*,#187715,.T.); #246395=ORIENTED_EDGE('',*,*,#187714,.T.); #246396=ORIENTED_EDGE('',*,*,#187716,.F.); #246397=ORIENTED_EDGE('',*,*,#187717,.F.); #246398=ORIENTED_EDGE('',*,*,#187718,.T.); #246399=ORIENTED_EDGE('',*,*,#187717,.T.); #246400=ORIENTED_EDGE('',*,*,#187719,.F.); #246401=ORIENTED_EDGE('',*,*,#187720,.F.); #246402=ORIENTED_EDGE('',*,*,#187721,.T.); #246403=ORIENTED_EDGE('',*,*,#187720,.T.); #246404=ORIENTED_EDGE('',*,*,#187722,.F.); #246405=ORIENTED_EDGE('',*,*,#187723,.F.); #246406=ORIENTED_EDGE('',*,*,#187724,.T.); #246407=ORIENTED_EDGE('',*,*,#187723,.T.); #246408=ORIENTED_EDGE('',*,*,#187725,.F.); #246409=ORIENTED_EDGE('',*,*,#187726,.F.); #246410=ORIENTED_EDGE('',*,*,#187727,.T.); #246411=ORIENTED_EDGE('',*,*,#187726,.T.); #246412=ORIENTED_EDGE('',*,*,#187728,.F.); #246413=ORIENTED_EDGE('',*,*,#187729,.F.); #246414=ORIENTED_EDGE('',*,*,#187730,.T.); #246415=ORIENTED_EDGE('',*,*,#187729,.T.); #246416=ORIENTED_EDGE('',*,*,#187731,.F.); #246417=ORIENTED_EDGE('',*,*,#187732,.F.); #246418=ORIENTED_EDGE('',*,*,#187733,.T.); #246419=ORIENTED_EDGE('',*,*,#187732,.T.); #246420=ORIENTED_EDGE('',*,*,#187734,.F.); #246421=ORIENTED_EDGE('',*,*,#187735,.F.); #246422=ORIENTED_EDGE('',*,*,#187736,.T.); #246423=ORIENTED_EDGE('',*,*,#187735,.T.); #246424=ORIENTED_EDGE('',*,*,#187737,.F.); #246425=ORIENTED_EDGE('',*,*,#187738,.F.); #246426=ORIENTED_EDGE('',*,*,#187739,.T.); #246427=ORIENTED_EDGE('',*,*,#187738,.T.); #246428=ORIENTED_EDGE('',*,*,#187740,.F.); #246429=ORIENTED_EDGE('',*,*,#187673,.F.); #246430=ORIENTED_EDGE('',*,*,#187740,.T.); #246431=ORIENTED_EDGE('',*,*,#187737,.T.); #246432=ORIENTED_EDGE('',*,*,#187734,.T.); #246433=ORIENTED_EDGE('',*,*,#187731,.T.); #246434=ORIENTED_EDGE('',*,*,#187728,.T.); #246435=ORIENTED_EDGE('',*,*,#187725,.T.); #246436=ORIENTED_EDGE('',*,*,#187722,.T.); #246437=ORIENTED_EDGE('',*,*,#187719,.T.); #246438=ORIENTED_EDGE('',*,*,#187716,.T.); #246439=ORIENTED_EDGE('',*,*,#187713,.T.); #246440=ORIENTED_EDGE('',*,*,#187710,.T.); #246441=ORIENTED_EDGE('',*,*,#187707,.T.); #246442=ORIENTED_EDGE('',*,*,#187704,.T.); #246443=ORIENTED_EDGE('',*,*,#187701,.T.); #246444=ORIENTED_EDGE('',*,*,#187698,.T.); #246445=ORIENTED_EDGE('',*,*,#187695,.T.); #246446=ORIENTED_EDGE('',*,*,#187692,.T.); #246447=ORIENTED_EDGE('',*,*,#187689,.T.); #246448=ORIENTED_EDGE('',*,*,#187686,.T.); #246449=ORIENTED_EDGE('',*,*,#187683,.T.); #246450=ORIENTED_EDGE('',*,*,#187680,.T.); #246451=ORIENTED_EDGE('',*,*,#187677,.T.); #246452=ORIENTED_EDGE('',*,*,#187674,.T.); #246453=ORIENTED_EDGE('',*,*,#187739,.F.); #246454=ORIENTED_EDGE('',*,*,#187672,.F.); #246455=ORIENTED_EDGE('',*,*,#187676,.F.); #246456=ORIENTED_EDGE('',*,*,#187679,.F.); #246457=ORIENTED_EDGE('',*,*,#187682,.F.); #246458=ORIENTED_EDGE('',*,*,#187685,.F.); #246459=ORIENTED_EDGE('',*,*,#187688,.F.); #246460=ORIENTED_EDGE('',*,*,#187691,.F.); #246461=ORIENTED_EDGE('',*,*,#187694,.F.); #246462=ORIENTED_EDGE('',*,*,#187697,.F.); #246463=ORIENTED_EDGE('',*,*,#187700,.F.); #246464=ORIENTED_EDGE('',*,*,#187703,.F.); #246465=ORIENTED_EDGE('',*,*,#187706,.F.); #246466=ORIENTED_EDGE('',*,*,#187709,.F.); #246467=ORIENTED_EDGE('',*,*,#187712,.F.); #246468=ORIENTED_EDGE('',*,*,#187715,.F.); #246469=ORIENTED_EDGE('',*,*,#187718,.F.); #246470=ORIENTED_EDGE('',*,*,#187721,.F.); #246471=ORIENTED_EDGE('',*,*,#187724,.F.); #246472=ORIENTED_EDGE('',*,*,#187727,.F.); #246473=ORIENTED_EDGE('',*,*,#187730,.F.); #246474=ORIENTED_EDGE('',*,*,#187733,.F.); #246475=ORIENTED_EDGE('',*,*,#187736,.F.); #246476=ORIENTED_EDGE('',*,*,#187741,.F.); #246477=ORIENTED_EDGE('',*,*,#187742,.T.); #246478=ORIENTED_EDGE('',*,*,#187743,.F.); #246479=ORIENTED_EDGE('',*,*,#187742,.F.); #246480=ORIENTED_EDGE('',*,*,#187744,.F.); #246481=ORIENTED_EDGE('',*,*,#187745,.T.); #246482=ORIENTED_EDGE('',*,*,#187746,.F.); #246483=ORIENTED_EDGE('',*,*,#187745,.F.); #246484=ORIENTED_EDGE('',*,*,#187747,.F.); #246485=ORIENTED_EDGE('',*,*,#187748,.T.); #246486=ORIENTED_EDGE('',*,*,#187749,.F.); #246487=ORIENTED_EDGE('',*,*,#187748,.F.); #246488=ORIENTED_EDGE('',*,*,#187750,.T.); #246489=ORIENTED_EDGE('',*,*,#187751,.T.); #246490=ORIENTED_EDGE('',*,*,#187752,.F.); #246491=ORIENTED_EDGE('',*,*,#187753,.F.); #246492=ORIENTED_EDGE('',*,*,#187754,.T.); #246493=ORIENTED_EDGE('',*,*,#187753,.T.); #246494=ORIENTED_EDGE('',*,*,#187755,.F.); #246495=ORIENTED_EDGE('',*,*,#187756,.F.); #246496=ORIENTED_EDGE('',*,*,#187757,.T.); #246497=ORIENTED_EDGE('',*,*,#187756,.T.); #246498=ORIENTED_EDGE('',*,*,#187758,.F.); #246499=ORIENTED_EDGE('',*,*,#187759,.F.); #246500=ORIENTED_EDGE('',*,*,#187760,.T.); #246501=ORIENTED_EDGE('',*,*,#187759,.T.); #246502=ORIENTED_EDGE('',*,*,#187761,.F.); #246503=ORIENTED_EDGE('',*,*,#187762,.F.); #246504=ORIENTED_EDGE('',*,*,#187763,.T.); #246505=ORIENTED_EDGE('',*,*,#187762,.T.); #246506=ORIENTED_EDGE('',*,*,#187764,.F.); #246507=ORIENTED_EDGE('',*,*,#187765,.F.); #246508=ORIENTED_EDGE('',*,*,#187766,.T.); #246509=ORIENTED_EDGE('',*,*,#187765,.T.); #246510=ORIENTED_EDGE('',*,*,#187767,.F.); #246511=ORIENTED_EDGE('',*,*,#187768,.F.); #246512=ORIENTED_EDGE('',*,*,#187769,.T.); #246513=ORIENTED_EDGE('',*,*,#187768,.T.); #246514=ORIENTED_EDGE('',*,*,#187770,.F.); #246515=ORIENTED_EDGE('',*,*,#187771,.F.); #246516=ORIENTED_EDGE('',*,*,#187772,.T.); #246517=ORIENTED_EDGE('',*,*,#187771,.T.); #246518=ORIENTED_EDGE('',*,*,#187773,.F.); #246519=ORIENTED_EDGE('',*,*,#187774,.F.); #246520=ORIENTED_EDGE('',*,*,#187775,.T.); #246521=ORIENTED_EDGE('',*,*,#187774,.T.); #246522=ORIENTED_EDGE('',*,*,#187776,.F.); #246523=ORIENTED_EDGE('',*,*,#187777,.F.); #246524=ORIENTED_EDGE('',*,*,#187778,.T.); #246525=ORIENTED_EDGE('',*,*,#187777,.T.); #246526=ORIENTED_EDGE('',*,*,#187779,.F.); #246527=ORIENTED_EDGE('',*,*,#187780,.F.); #246528=ORIENTED_EDGE('',*,*,#187781,.T.); #246529=ORIENTED_EDGE('',*,*,#187780,.T.); #246530=ORIENTED_EDGE('',*,*,#187782,.F.); #246531=ORIENTED_EDGE('',*,*,#187783,.F.); #246532=ORIENTED_EDGE('',*,*,#187784,.T.); #246533=ORIENTED_EDGE('',*,*,#187783,.T.); #246534=ORIENTED_EDGE('',*,*,#187785,.F.); #246535=ORIENTED_EDGE('',*,*,#187786,.F.); #246536=ORIENTED_EDGE('',*,*,#187787,.T.); #246537=ORIENTED_EDGE('',*,*,#187786,.T.); #246538=ORIENTED_EDGE('',*,*,#187788,.F.); #246539=ORIENTED_EDGE('',*,*,#187789,.F.); #246540=ORIENTED_EDGE('',*,*,#187790,.T.); #246541=ORIENTED_EDGE('',*,*,#187789,.T.); #246542=ORIENTED_EDGE('',*,*,#187791,.F.); #246543=ORIENTED_EDGE('',*,*,#187792,.F.); #246544=ORIENTED_EDGE('',*,*,#187793,.T.); #246545=ORIENTED_EDGE('',*,*,#187792,.T.); #246546=ORIENTED_EDGE('',*,*,#187794,.F.); #246547=ORIENTED_EDGE('',*,*,#187795,.F.); #246548=ORIENTED_EDGE('',*,*,#187796,.T.); #246549=ORIENTED_EDGE('',*,*,#187795,.T.); #246550=ORIENTED_EDGE('',*,*,#187797,.F.); #246551=ORIENTED_EDGE('',*,*,#187798,.F.); #246552=ORIENTED_EDGE('',*,*,#187799,.T.); #246553=ORIENTED_EDGE('',*,*,#187798,.T.); #246554=ORIENTED_EDGE('',*,*,#187800,.F.); #246555=ORIENTED_EDGE('',*,*,#187801,.F.); #246556=ORIENTED_EDGE('',*,*,#187802,.T.); #246557=ORIENTED_EDGE('',*,*,#187801,.T.); #246558=ORIENTED_EDGE('',*,*,#187803,.F.); #246559=ORIENTED_EDGE('',*,*,#187804,.F.); #246560=ORIENTED_EDGE('',*,*,#187805,.T.); #246561=ORIENTED_EDGE('',*,*,#187804,.T.); #246562=ORIENTED_EDGE('',*,*,#187806,.F.); #246563=ORIENTED_EDGE('',*,*,#187807,.F.); #246564=ORIENTED_EDGE('',*,*,#187808,.T.); #246565=ORIENTED_EDGE('',*,*,#187807,.T.); #246566=ORIENTED_EDGE('',*,*,#187809,.F.); #246567=ORIENTED_EDGE('',*,*,#187810,.F.); #246568=ORIENTED_EDGE('',*,*,#187811,.T.); #246569=ORIENTED_EDGE('',*,*,#187810,.T.); #246570=ORIENTED_EDGE('',*,*,#187812,.F.); #246571=ORIENTED_EDGE('',*,*,#187813,.F.); #246572=ORIENTED_EDGE('',*,*,#187814,.T.); #246573=ORIENTED_EDGE('',*,*,#187813,.T.); #246574=ORIENTED_EDGE('',*,*,#187815,.F.); #246575=ORIENTED_EDGE('',*,*,#187816,.F.); #246576=ORIENTED_EDGE('',*,*,#187817,.T.); #246577=ORIENTED_EDGE('',*,*,#187816,.T.); #246578=ORIENTED_EDGE('',*,*,#187818,.F.); #246579=ORIENTED_EDGE('',*,*,#187819,.F.); #246580=ORIENTED_EDGE('',*,*,#187820,.T.); #246581=ORIENTED_EDGE('',*,*,#187819,.T.); #246582=ORIENTED_EDGE('',*,*,#187821,.F.); #246583=ORIENTED_EDGE('',*,*,#187822,.F.); #246584=ORIENTED_EDGE('',*,*,#187823,.T.); #246585=ORIENTED_EDGE('',*,*,#187822,.T.); #246586=ORIENTED_EDGE('',*,*,#187824,.F.); #246587=ORIENTED_EDGE('',*,*,#187825,.F.); #246588=ORIENTED_EDGE('',*,*,#187826,.T.); #246589=ORIENTED_EDGE('',*,*,#187825,.T.); #246590=ORIENTED_EDGE('',*,*,#187827,.F.); #246591=ORIENTED_EDGE('',*,*,#187828,.F.); #246592=ORIENTED_EDGE('',*,*,#187829,.T.); #246593=ORIENTED_EDGE('',*,*,#187828,.T.); #246594=ORIENTED_EDGE('',*,*,#187830,.F.); #246595=ORIENTED_EDGE('',*,*,#187831,.F.); #246596=ORIENTED_EDGE('',*,*,#187832,.T.); #246597=ORIENTED_EDGE('',*,*,#187831,.T.); #246598=ORIENTED_EDGE('',*,*,#187833,.F.); #246599=ORIENTED_EDGE('',*,*,#187834,.F.); #246600=ORIENTED_EDGE('',*,*,#187835,.T.); #246601=ORIENTED_EDGE('',*,*,#187834,.T.); #246602=ORIENTED_EDGE('',*,*,#187836,.F.); #246603=ORIENTED_EDGE('',*,*,#187837,.F.); #246604=ORIENTED_EDGE('',*,*,#187838,.T.); #246605=ORIENTED_EDGE('',*,*,#187837,.T.); #246606=ORIENTED_EDGE('',*,*,#187839,.F.); #246607=ORIENTED_EDGE('',*,*,#187840,.F.); #246608=ORIENTED_EDGE('',*,*,#187841,.T.); #246609=ORIENTED_EDGE('',*,*,#187840,.T.); #246610=ORIENTED_EDGE('',*,*,#187842,.F.); #246611=ORIENTED_EDGE('',*,*,#187843,.F.); #246612=ORIENTED_EDGE('',*,*,#187844,.T.); #246613=ORIENTED_EDGE('',*,*,#187843,.T.); #246614=ORIENTED_EDGE('',*,*,#187845,.F.); #246615=ORIENTED_EDGE('',*,*,#187846,.F.); #246616=ORIENTED_EDGE('',*,*,#187847,.T.); #246617=ORIENTED_EDGE('',*,*,#187846,.T.); #246618=ORIENTED_EDGE('',*,*,#187848,.F.); #246619=ORIENTED_EDGE('',*,*,#187849,.F.); #246620=ORIENTED_EDGE('',*,*,#187850,.T.); #246621=ORIENTED_EDGE('',*,*,#187849,.T.); #246622=ORIENTED_EDGE('',*,*,#187851,.F.); #246623=ORIENTED_EDGE('',*,*,#187852,.F.); #246624=ORIENTED_EDGE('',*,*,#187853,.T.); #246625=ORIENTED_EDGE('',*,*,#187852,.T.); #246626=ORIENTED_EDGE('',*,*,#187854,.F.); #246627=ORIENTED_EDGE('',*,*,#187855,.F.); #246628=ORIENTED_EDGE('',*,*,#187856,.T.); #246629=ORIENTED_EDGE('',*,*,#187855,.T.); #246630=ORIENTED_EDGE('',*,*,#187857,.F.); #246631=ORIENTED_EDGE('',*,*,#187858,.F.); #246632=ORIENTED_EDGE('',*,*,#187859,.T.); #246633=ORIENTED_EDGE('',*,*,#187858,.T.); #246634=ORIENTED_EDGE('',*,*,#187860,.F.); #246635=ORIENTED_EDGE('',*,*,#187861,.F.); #246636=ORIENTED_EDGE('',*,*,#187862,.T.); #246637=ORIENTED_EDGE('',*,*,#187861,.T.); #246638=ORIENTED_EDGE('',*,*,#187863,.F.); #246639=ORIENTED_EDGE('',*,*,#187864,.F.); #246640=ORIENTED_EDGE('',*,*,#187865,.T.); #246641=ORIENTED_EDGE('',*,*,#187864,.T.); #246642=ORIENTED_EDGE('',*,*,#187866,.F.); #246643=ORIENTED_EDGE('',*,*,#187867,.F.); #246644=ORIENTED_EDGE('',*,*,#187868,.T.); #246645=ORIENTED_EDGE('',*,*,#187867,.T.); #246646=ORIENTED_EDGE('',*,*,#187869,.F.); #246647=ORIENTED_EDGE('',*,*,#187870,.F.); #246648=ORIENTED_EDGE('',*,*,#187871,.T.); #246649=ORIENTED_EDGE('',*,*,#187870,.T.); #246650=ORIENTED_EDGE('',*,*,#187872,.F.); #246651=ORIENTED_EDGE('',*,*,#187873,.F.); #246652=ORIENTED_EDGE('',*,*,#187874,.T.); #246653=ORIENTED_EDGE('',*,*,#187873,.T.); #246654=ORIENTED_EDGE('',*,*,#187875,.F.); #246655=ORIENTED_EDGE('',*,*,#187876,.F.); #246656=ORIENTED_EDGE('',*,*,#187877,.T.); #246657=ORIENTED_EDGE('',*,*,#187876,.T.); #246658=ORIENTED_EDGE('',*,*,#187878,.F.); #246659=ORIENTED_EDGE('',*,*,#187879,.F.); #246660=ORIENTED_EDGE('',*,*,#187880,.T.); #246661=ORIENTED_EDGE('',*,*,#187879,.T.); #246662=ORIENTED_EDGE('',*,*,#187881,.F.); #246663=ORIENTED_EDGE('',*,*,#187882,.F.); #246664=ORIENTED_EDGE('',*,*,#187883,.T.); #246665=ORIENTED_EDGE('',*,*,#187882,.T.); #246666=ORIENTED_EDGE('',*,*,#187884,.F.); #246667=ORIENTED_EDGE('',*,*,#187885,.F.); #246668=ORIENTED_EDGE('',*,*,#187886,.T.); #246669=ORIENTED_EDGE('',*,*,#187885,.T.); #246670=ORIENTED_EDGE('',*,*,#187887,.F.); #246671=ORIENTED_EDGE('',*,*,#187888,.F.); #246672=ORIENTED_EDGE('',*,*,#187889,.T.); #246673=ORIENTED_EDGE('',*,*,#187888,.T.); #246674=ORIENTED_EDGE('',*,*,#187890,.F.); #246675=ORIENTED_EDGE('',*,*,#187891,.F.); #246676=ORIENTED_EDGE('',*,*,#187892,.T.); #246677=ORIENTED_EDGE('',*,*,#187891,.T.); #246678=ORIENTED_EDGE('',*,*,#187893,.F.); #246679=ORIENTED_EDGE('',*,*,#187894,.F.); #246680=ORIENTED_EDGE('',*,*,#187895,.T.); #246681=ORIENTED_EDGE('',*,*,#187894,.T.); #246682=ORIENTED_EDGE('',*,*,#187896,.F.); #246683=ORIENTED_EDGE('',*,*,#187897,.F.); #246684=ORIENTED_EDGE('',*,*,#187898,.T.); #246685=ORIENTED_EDGE('',*,*,#187897,.T.); #246686=ORIENTED_EDGE('',*,*,#187899,.F.); #246687=ORIENTED_EDGE('',*,*,#187900,.F.); #246688=ORIENTED_EDGE('',*,*,#187901,.T.); #246689=ORIENTED_EDGE('',*,*,#187900,.T.); #246690=ORIENTED_EDGE('',*,*,#187902,.F.); #246691=ORIENTED_EDGE('',*,*,#187903,.F.); #246692=ORIENTED_EDGE('',*,*,#187904,.T.); #246693=ORIENTED_EDGE('',*,*,#187903,.T.); #246694=ORIENTED_EDGE('',*,*,#187905,.F.); #246695=ORIENTED_EDGE('',*,*,#187906,.F.); #246696=ORIENTED_EDGE('',*,*,#187907,.T.); #246697=ORIENTED_EDGE('',*,*,#187906,.T.); #246698=ORIENTED_EDGE('',*,*,#187908,.F.); #246699=ORIENTED_EDGE('',*,*,#187909,.F.); #246700=ORIENTED_EDGE('',*,*,#187910,.T.); #246701=ORIENTED_EDGE('',*,*,#187909,.T.); #246702=ORIENTED_EDGE('',*,*,#187911,.F.); #246703=ORIENTED_EDGE('',*,*,#187912,.F.); #246704=ORIENTED_EDGE('',*,*,#187913,.T.); #246705=ORIENTED_EDGE('',*,*,#187912,.T.); #246706=ORIENTED_EDGE('',*,*,#187914,.F.); #246707=ORIENTED_EDGE('',*,*,#187915,.F.); #246708=ORIENTED_EDGE('',*,*,#187916,.T.); #246709=ORIENTED_EDGE('',*,*,#187915,.T.); #246710=ORIENTED_EDGE('',*,*,#187917,.F.); #246711=ORIENTED_EDGE('',*,*,#187918,.F.); #246712=ORIENTED_EDGE('',*,*,#187919,.T.); #246713=ORIENTED_EDGE('',*,*,#187918,.T.); #246714=ORIENTED_EDGE('',*,*,#187920,.F.); #246715=ORIENTED_EDGE('',*,*,#187921,.F.); #246716=ORIENTED_EDGE('',*,*,#187922,.T.); #246717=ORIENTED_EDGE('',*,*,#187921,.T.); #246718=ORIENTED_EDGE('',*,*,#187923,.F.); #246719=ORIENTED_EDGE('',*,*,#187924,.F.); #246720=ORIENTED_EDGE('',*,*,#187925,.T.); #246721=ORIENTED_EDGE('',*,*,#187924,.T.); #246722=ORIENTED_EDGE('',*,*,#187926,.F.); #246723=ORIENTED_EDGE('',*,*,#187927,.F.); #246724=ORIENTED_EDGE('',*,*,#187928,.T.); #246725=ORIENTED_EDGE('',*,*,#187927,.T.); #246726=ORIENTED_EDGE('',*,*,#187929,.F.); #246727=ORIENTED_EDGE('',*,*,#187930,.F.); #246728=ORIENTED_EDGE('',*,*,#187931,.T.); #246729=ORIENTED_EDGE('',*,*,#187930,.T.); #246730=ORIENTED_EDGE('',*,*,#187932,.F.); #246731=ORIENTED_EDGE('',*,*,#187933,.F.); #246732=ORIENTED_EDGE('',*,*,#187934,.T.); #246733=ORIENTED_EDGE('',*,*,#187933,.T.); #246734=ORIENTED_EDGE('',*,*,#187935,.F.); #246735=ORIENTED_EDGE('',*,*,#187936,.F.); #246736=ORIENTED_EDGE('',*,*,#187937,.T.); #246737=ORIENTED_EDGE('',*,*,#187936,.T.); #246738=ORIENTED_EDGE('',*,*,#187938,.F.); #246739=ORIENTED_EDGE('',*,*,#187939,.F.); #246740=ORIENTED_EDGE('',*,*,#187940,.T.); #246741=ORIENTED_EDGE('',*,*,#187939,.T.); #246742=ORIENTED_EDGE('',*,*,#187941,.F.); #246743=ORIENTED_EDGE('',*,*,#187942,.F.); #246744=ORIENTED_EDGE('',*,*,#187943,.T.); #246745=ORIENTED_EDGE('',*,*,#187942,.T.); #246746=ORIENTED_EDGE('',*,*,#187944,.F.); #246747=ORIENTED_EDGE('',*,*,#187945,.F.); #246748=ORIENTED_EDGE('',*,*,#187946,.T.); #246749=ORIENTED_EDGE('',*,*,#187945,.T.); #246750=ORIENTED_EDGE('',*,*,#187947,.F.); #246751=ORIENTED_EDGE('',*,*,#187948,.F.); #246752=ORIENTED_EDGE('',*,*,#187949,.T.); #246753=ORIENTED_EDGE('',*,*,#187948,.T.); #246754=ORIENTED_EDGE('',*,*,#187950,.F.); #246755=ORIENTED_EDGE('',*,*,#187951,.F.); #246756=ORIENTED_EDGE('',*,*,#187952,.T.); #246757=ORIENTED_EDGE('',*,*,#187951,.T.); #246758=ORIENTED_EDGE('',*,*,#187953,.F.); #246759=ORIENTED_EDGE('',*,*,#187954,.F.); #246760=ORIENTED_EDGE('',*,*,#187955,.T.); #246761=ORIENTED_EDGE('',*,*,#187954,.T.); #246762=ORIENTED_EDGE('',*,*,#187956,.F.); #246763=ORIENTED_EDGE('',*,*,#187957,.F.); #246764=ORIENTED_EDGE('',*,*,#187958,.T.); #246765=ORIENTED_EDGE('',*,*,#187957,.T.); #246766=ORIENTED_EDGE('',*,*,#187959,.F.); #246767=ORIENTED_EDGE('',*,*,#187960,.F.); #246768=ORIENTED_EDGE('',*,*,#187961,.T.); #246769=ORIENTED_EDGE('',*,*,#187960,.T.); #246770=ORIENTED_EDGE('',*,*,#187962,.F.); #246771=ORIENTED_EDGE('',*,*,#187963,.F.); #246772=ORIENTED_EDGE('',*,*,#187964,.T.); #246773=ORIENTED_EDGE('',*,*,#187963,.T.); #246774=ORIENTED_EDGE('',*,*,#187965,.F.); #246775=ORIENTED_EDGE('',*,*,#187966,.F.); #246776=ORIENTED_EDGE('',*,*,#187967,.T.); #246777=ORIENTED_EDGE('',*,*,#187966,.T.); #246778=ORIENTED_EDGE('',*,*,#187968,.F.); #246779=ORIENTED_EDGE('',*,*,#187969,.F.); #246780=ORIENTED_EDGE('',*,*,#187970,.T.); #246781=ORIENTED_EDGE('',*,*,#187969,.T.); #246782=ORIENTED_EDGE('',*,*,#187971,.F.); #246783=ORIENTED_EDGE('',*,*,#187972,.F.); #246784=ORIENTED_EDGE('',*,*,#187973,.T.); #246785=ORIENTED_EDGE('',*,*,#187972,.T.); #246786=ORIENTED_EDGE('',*,*,#187974,.F.); #246787=ORIENTED_EDGE('',*,*,#187975,.F.); #246788=ORIENTED_EDGE('',*,*,#187976,.T.); #246789=ORIENTED_EDGE('',*,*,#187975,.T.); #246790=ORIENTED_EDGE('',*,*,#187977,.F.); #246791=ORIENTED_EDGE('',*,*,#187978,.F.); #246792=ORIENTED_EDGE('',*,*,#187979,.T.); #246793=ORIENTED_EDGE('',*,*,#187978,.T.); #246794=ORIENTED_EDGE('',*,*,#187980,.F.); #246795=ORIENTED_EDGE('',*,*,#187981,.F.); #246796=ORIENTED_EDGE('',*,*,#187982,.T.); #246797=ORIENTED_EDGE('',*,*,#187981,.T.); #246798=ORIENTED_EDGE('',*,*,#187983,.F.); #246799=ORIENTED_EDGE('',*,*,#187984,.F.); #246800=ORIENTED_EDGE('',*,*,#187985,.T.); #246801=ORIENTED_EDGE('',*,*,#187984,.T.); #246802=ORIENTED_EDGE('',*,*,#187986,.F.); #246803=ORIENTED_EDGE('',*,*,#187987,.F.); #246804=ORIENTED_EDGE('',*,*,#187988,.T.); #246805=ORIENTED_EDGE('',*,*,#187987,.T.); #246806=ORIENTED_EDGE('',*,*,#187989,.F.); #246807=ORIENTED_EDGE('',*,*,#187990,.F.); #246808=ORIENTED_EDGE('',*,*,#187991,.T.); #246809=ORIENTED_EDGE('',*,*,#187990,.T.); #246810=ORIENTED_EDGE('',*,*,#187992,.F.); #246811=ORIENTED_EDGE('',*,*,#187993,.F.); #246812=ORIENTED_EDGE('',*,*,#187994,.T.); #246813=ORIENTED_EDGE('',*,*,#187993,.T.); #246814=ORIENTED_EDGE('',*,*,#187995,.F.); #246815=ORIENTED_EDGE('',*,*,#187996,.F.); #246816=ORIENTED_EDGE('',*,*,#187997,.T.); #246817=ORIENTED_EDGE('',*,*,#187996,.T.); #246818=ORIENTED_EDGE('',*,*,#187998,.F.); #246819=ORIENTED_EDGE('',*,*,#187999,.F.); #246820=ORIENTED_EDGE('',*,*,#188000,.T.); #246821=ORIENTED_EDGE('',*,*,#187999,.T.); #246822=ORIENTED_EDGE('',*,*,#188001,.F.); #246823=ORIENTED_EDGE('',*,*,#188002,.F.); #246824=ORIENTED_EDGE('',*,*,#188003,.T.); #246825=ORIENTED_EDGE('',*,*,#188002,.T.); #246826=ORIENTED_EDGE('',*,*,#188004,.F.); #246827=ORIENTED_EDGE('',*,*,#188005,.F.); #246828=ORIENTED_EDGE('',*,*,#188006,.T.); #246829=ORIENTED_EDGE('',*,*,#188005,.T.); #246830=ORIENTED_EDGE('',*,*,#188007,.F.); #246831=ORIENTED_EDGE('',*,*,#188008,.F.); #246832=ORIENTED_EDGE('',*,*,#188009,.T.); #246833=ORIENTED_EDGE('',*,*,#188008,.T.); #246834=ORIENTED_EDGE('',*,*,#188010,.F.); #246835=ORIENTED_EDGE('',*,*,#187751,.F.); #246836=ORIENTED_EDGE('',*,*,#188010,.T.); #246837=ORIENTED_EDGE('',*,*,#188007,.T.); #246838=ORIENTED_EDGE('',*,*,#188004,.T.); #246839=ORIENTED_EDGE('',*,*,#188001,.T.); #246840=ORIENTED_EDGE('',*,*,#187998,.T.); #246841=ORIENTED_EDGE('',*,*,#187995,.T.); #246842=ORIENTED_EDGE('',*,*,#187992,.T.); #246843=ORIENTED_EDGE('',*,*,#187989,.T.); #246844=ORIENTED_EDGE('',*,*,#187986,.T.); #246845=ORIENTED_EDGE('',*,*,#187983,.T.); #246846=ORIENTED_EDGE('',*,*,#187980,.T.); #246847=ORIENTED_EDGE('',*,*,#187977,.T.); #246848=ORIENTED_EDGE('',*,*,#187974,.T.); #246849=ORIENTED_EDGE('',*,*,#187971,.T.); #246850=ORIENTED_EDGE('',*,*,#187968,.T.); #246851=ORIENTED_EDGE('',*,*,#187965,.T.); #246852=ORIENTED_EDGE('',*,*,#187962,.T.); #246853=ORIENTED_EDGE('',*,*,#187959,.T.); #246854=ORIENTED_EDGE('',*,*,#187956,.T.); #246855=ORIENTED_EDGE('',*,*,#187953,.T.); #246856=ORIENTED_EDGE('',*,*,#187950,.T.); #246857=ORIENTED_EDGE('',*,*,#187947,.T.); #246858=ORIENTED_EDGE('',*,*,#187944,.T.); #246859=ORIENTED_EDGE('',*,*,#187941,.T.); #246860=ORIENTED_EDGE('',*,*,#187938,.T.); #246861=ORIENTED_EDGE('',*,*,#187935,.T.); #246862=ORIENTED_EDGE('',*,*,#187932,.T.); #246863=ORIENTED_EDGE('',*,*,#187929,.T.); #246864=ORIENTED_EDGE('',*,*,#187926,.T.); #246865=ORIENTED_EDGE('',*,*,#187923,.T.); #246866=ORIENTED_EDGE('',*,*,#187920,.T.); #246867=ORIENTED_EDGE('',*,*,#187917,.T.); #246868=ORIENTED_EDGE('',*,*,#187914,.T.); #246869=ORIENTED_EDGE('',*,*,#187911,.T.); #246870=ORIENTED_EDGE('',*,*,#187908,.T.); #246871=ORIENTED_EDGE('',*,*,#187905,.T.); #246872=ORIENTED_EDGE('',*,*,#187902,.T.); #246873=ORIENTED_EDGE('',*,*,#187899,.T.); #246874=ORIENTED_EDGE('',*,*,#187896,.T.); #246875=ORIENTED_EDGE('',*,*,#187893,.T.); #246876=ORIENTED_EDGE('',*,*,#187890,.T.); #246877=ORIENTED_EDGE('',*,*,#187887,.T.); #246878=ORIENTED_EDGE('',*,*,#187884,.T.); #246879=ORIENTED_EDGE('',*,*,#187881,.T.); #246880=ORIENTED_EDGE('',*,*,#187878,.T.); #246881=ORIENTED_EDGE('',*,*,#187875,.T.); #246882=ORIENTED_EDGE('',*,*,#187872,.T.); #246883=ORIENTED_EDGE('',*,*,#187869,.T.); #246884=ORIENTED_EDGE('',*,*,#187866,.T.); #246885=ORIENTED_EDGE('',*,*,#187863,.T.); #246886=ORIENTED_EDGE('',*,*,#187860,.T.); #246887=ORIENTED_EDGE('',*,*,#187857,.T.); #246888=ORIENTED_EDGE('',*,*,#187854,.T.); #246889=ORIENTED_EDGE('',*,*,#187851,.T.); #246890=ORIENTED_EDGE('',*,*,#187848,.T.); #246891=ORIENTED_EDGE('',*,*,#187845,.T.); #246892=ORIENTED_EDGE('',*,*,#187842,.T.); #246893=ORIENTED_EDGE('',*,*,#187839,.T.); #246894=ORIENTED_EDGE('',*,*,#187836,.T.); #246895=ORIENTED_EDGE('',*,*,#187833,.T.); #246896=ORIENTED_EDGE('',*,*,#187830,.T.); #246897=ORIENTED_EDGE('',*,*,#187827,.T.); #246898=ORIENTED_EDGE('',*,*,#187824,.T.); #246899=ORIENTED_EDGE('',*,*,#187821,.T.); #246900=ORIENTED_EDGE('',*,*,#187818,.T.); #246901=ORIENTED_EDGE('',*,*,#187815,.T.); #246902=ORIENTED_EDGE('',*,*,#187812,.T.); #246903=ORIENTED_EDGE('',*,*,#187809,.T.); #246904=ORIENTED_EDGE('',*,*,#187806,.T.); #246905=ORIENTED_EDGE('',*,*,#187803,.T.); #246906=ORIENTED_EDGE('',*,*,#187800,.T.); #246907=ORIENTED_EDGE('',*,*,#187797,.T.); #246908=ORIENTED_EDGE('',*,*,#187794,.T.); #246909=ORIENTED_EDGE('',*,*,#187791,.T.); #246910=ORIENTED_EDGE('',*,*,#187788,.T.); #246911=ORIENTED_EDGE('',*,*,#187785,.T.); #246912=ORIENTED_EDGE('',*,*,#187782,.T.); #246913=ORIENTED_EDGE('',*,*,#187779,.T.); #246914=ORIENTED_EDGE('',*,*,#187776,.T.); #246915=ORIENTED_EDGE('',*,*,#187773,.T.); #246916=ORIENTED_EDGE('',*,*,#187770,.T.); #246917=ORIENTED_EDGE('',*,*,#187767,.T.); #246918=ORIENTED_EDGE('',*,*,#187764,.T.); #246919=ORIENTED_EDGE('',*,*,#187761,.T.); #246920=ORIENTED_EDGE('',*,*,#187758,.T.); #246921=ORIENTED_EDGE('',*,*,#187755,.T.); #246922=ORIENTED_EDGE('',*,*,#187752,.T.); #246923=ORIENTED_EDGE('',*,*,#187743,.T.); #246924=ORIENTED_EDGE('',*,*,#187746,.T.); #246925=ORIENTED_EDGE('',*,*,#187749,.T.); #246926=ORIENTED_EDGE('',*,*,#188009,.F.); #246927=ORIENTED_EDGE('',*,*,#187750,.F.); #246928=ORIENTED_EDGE('',*,*,#187754,.F.); #246929=ORIENTED_EDGE('',*,*,#187757,.F.); #246930=ORIENTED_EDGE('',*,*,#187760,.F.); #246931=ORIENTED_EDGE('',*,*,#187763,.F.); #246932=ORIENTED_EDGE('',*,*,#187766,.F.); #246933=ORIENTED_EDGE('',*,*,#187769,.F.); #246934=ORIENTED_EDGE('',*,*,#187772,.F.); #246935=ORIENTED_EDGE('',*,*,#187775,.F.); #246936=ORIENTED_EDGE('',*,*,#187778,.F.); #246937=ORIENTED_EDGE('',*,*,#187781,.F.); #246938=ORIENTED_EDGE('',*,*,#187784,.F.); #246939=ORIENTED_EDGE('',*,*,#187787,.F.); #246940=ORIENTED_EDGE('',*,*,#187790,.F.); #246941=ORIENTED_EDGE('',*,*,#187793,.F.); #246942=ORIENTED_EDGE('',*,*,#187796,.F.); #246943=ORIENTED_EDGE('',*,*,#187799,.F.); #246944=ORIENTED_EDGE('',*,*,#187802,.F.); #246945=ORIENTED_EDGE('',*,*,#187805,.F.); #246946=ORIENTED_EDGE('',*,*,#187808,.F.); #246947=ORIENTED_EDGE('',*,*,#187811,.F.); #246948=ORIENTED_EDGE('',*,*,#187814,.F.); #246949=ORIENTED_EDGE('',*,*,#187817,.F.); #246950=ORIENTED_EDGE('',*,*,#187820,.F.); #246951=ORIENTED_EDGE('',*,*,#187823,.F.); #246952=ORIENTED_EDGE('',*,*,#187826,.F.); #246953=ORIENTED_EDGE('',*,*,#187829,.F.); #246954=ORIENTED_EDGE('',*,*,#187832,.F.); #246955=ORIENTED_EDGE('',*,*,#187835,.F.); #246956=ORIENTED_EDGE('',*,*,#187838,.F.); #246957=ORIENTED_EDGE('',*,*,#187841,.F.); #246958=ORIENTED_EDGE('',*,*,#187844,.F.); #246959=ORIENTED_EDGE('',*,*,#187847,.F.); #246960=ORIENTED_EDGE('',*,*,#187850,.F.); #246961=ORIENTED_EDGE('',*,*,#187853,.F.); #246962=ORIENTED_EDGE('',*,*,#187856,.F.); #246963=ORIENTED_EDGE('',*,*,#187859,.F.); #246964=ORIENTED_EDGE('',*,*,#187862,.F.); #246965=ORIENTED_EDGE('',*,*,#187865,.F.); #246966=ORIENTED_EDGE('',*,*,#187868,.F.); #246967=ORIENTED_EDGE('',*,*,#187871,.F.); #246968=ORIENTED_EDGE('',*,*,#187874,.F.); #246969=ORIENTED_EDGE('',*,*,#187877,.F.); #246970=ORIENTED_EDGE('',*,*,#187880,.F.); #246971=ORIENTED_EDGE('',*,*,#187883,.F.); #246972=ORIENTED_EDGE('',*,*,#187886,.F.); #246973=ORIENTED_EDGE('',*,*,#187889,.F.); #246974=ORIENTED_EDGE('',*,*,#187892,.F.); #246975=ORIENTED_EDGE('',*,*,#187895,.F.); #246976=ORIENTED_EDGE('',*,*,#187898,.F.); #246977=ORIENTED_EDGE('',*,*,#187901,.F.); #246978=ORIENTED_EDGE('',*,*,#187904,.F.); #246979=ORIENTED_EDGE('',*,*,#187907,.F.); #246980=ORIENTED_EDGE('',*,*,#187910,.F.); #246981=ORIENTED_EDGE('',*,*,#187913,.F.); #246982=ORIENTED_EDGE('',*,*,#187916,.F.); #246983=ORIENTED_EDGE('',*,*,#187919,.F.); #246984=ORIENTED_EDGE('',*,*,#187922,.F.); #246985=ORIENTED_EDGE('',*,*,#187925,.F.); #246986=ORIENTED_EDGE('',*,*,#187928,.F.); #246987=ORIENTED_EDGE('',*,*,#187931,.F.); #246988=ORIENTED_EDGE('',*,*,#187934,.F.); #246989=ORIENTED_EDGE('',*,*,#187937,.F.); #246990=ORIENTED_EDGE('',*,*,#187940,.F.); #246991=ORIENTED_EDGE('',*,*,#187943,.F.); #246992=ORIENTED_EDGE('',*,*,#187946,.F.); #246993=ORIENTED_EDGE('',*,*,#187949,.F.); #246994=ORIENTED_EDGE('',*,*,#187952,.F.); #246995=ORIENTED_EDGE('',*,*,#187955,.F.); #246996=ORIENTED_EDGE('',*,*,#187958,.F.); #246997=ORIENTED_EDGE('',*,*,#187961,.F.); #246998=ORIENTED_EDGE('',*,*,#187964,.F.); #246999=ORIENTED_EDGE('',*,*,#187967,.F.); #247000=ORIENTED_EDGE('',*,*,#187970,.F.); #247001=ORIENTED_EDGE('',*,*,#187973,.F.); #247002=ORIENTED_EDGE('',*,*,#187976,.F.); #247003=ORIENTED_EDGE('',*,*,#187979,.F.); #247004=ORIENTED_EDGE('',*,*,#187982,.F.); #247005=ORIENTED_EDGE('',*,*,#187985,.F.); #247006=ORIENTED_EDGE('',*,*,#187988,.F.); #247007=ORIENTED_EDGE('',*,*,#187991,.F.); #247008=ORIENTED_EDGE('',*,*,#187994,.F.); #247009=ORIENTED_EDGE('',*,*,#187997,.F.); #247010=ORIENTED_EDGE('',*,*,#188000,.F.); #247011=ORIENTED_EDGE('',*,*,#188003,.F.); #247012=ORIENTED_EDGE('',*,*,#188006,.F.); #247013=ORIENTED_EDGE('',*,*,#187741,.T.); #247014=ORIENTED_EDGE('',*,*,#187744,.T.); #247015=ORIENTED_EDGE('',*,*,#187747,.T.); #247016=ORIENTED_EDGE('',*,*,#188011,.T.); #247017=ORIENTED_EDGE('',*,*,#188012,.T.); #247018=ORIENTED_EDGE('',*,*,#188013,.F.); #247019=ORIENTED_EDGE('',*,*,#188014,.F.); #247020=ORIENTED_EDGE('',*,*,#188015,.T.); #247021=ORIENTED_EDGE('',*,*,#188014,.T.); #247022=ORIENTED_EDGE('',*,*,#188016,.F.); #247023=ORIENTED_EDGE('',*,*,#188017,.F.); #247024=ORIENTED_EDGE('',*,*,#188018,.T.); #247025=ORIENTED_EDGE('',*,*,#188017,.T.); #247026=ORIENTED_EDGE('',*,*,#188019,.F.); #247027=ORIENTED_EDGE('',*,*,#188020,.F.); #247028=ORIENTED_EDGE('',*,*,#188021,.T.); #247029=ORIENTED_EDGE('',*,*,#188020,.T.); #247030=ORIENTED_EDGE('',*,*,#188022,.F.); #247031=ORIENTED_EDGE('',*,*,#188023,.F.); #247032=ORIENTED_EDGE('',*,*,#188024,.T.); #247033=ORIENTED_EDGE('',*,*,#188023,.T.); #247034=ORIENTED_EDGE('',*,*,#188025,.F.); #247035=ORIENTED_EDGE('',*,*,#188026,.F.); #247036=ORIENTED_EDGE('',*,*,#188027,.T.); #247037=ORIENTED_EDGE('',*,*,#188026,.T.); #247038=ORIENTED_EDGE('',*,*,#188028,.F.); #247039=ORIENTED_EDGE('',*,*,#188029,.F.); #247040=ORIENTED_EDGE('',*,*,#188030,.T.); #247041=ORIENTED_EDGE('',*,*,#188029,.T.); #247042=ORIENTED_EDGE('',*,*,#188031,.F.); #247043=ORIENTED_EDGE('',*,*,#188032,.F.); #247044=ORIENTED_EDGE('',*,*,#188033,.T.); #247045=ORIENTED_EDGE('',*,*,#188032,.T.); #247046=ORIENTED_EDGE('',*,*,#188034,.F.); #247047=ORIENTED_EDGE('',*,*,#188035,.F.); #247048=ORIENTED_EDGE('',*,*,#188036,.T.); #247049=ORIENTED_EDGE('',*,*,#188035,.T.); #247050=ORIENTED_EDGE('',*,*,#188037,.F.); #247051=ORIENTED_EDGE('',*,*,#188038,.F.); #247052=ORIENTED_EDGE('',*,*,#188039,.T.); #247053=ORIENTED_EDGE('',*,*,#188038,.T.); #247054=ORIENTED_EDGE('',*,*,#188040,.F.); #247055=ORIENTED_EDGE('',*,*,#188041,.F.); #247056=ORIENTED_EDGE('',*,*,#188042,.T.); #247057=ORIENTED_EDGE('',*,*,#188041,.T.); #247058=ORIENTED_EDGE('',*,*,#188043,.F.); #247059=ORIENTED_EDGE('',*,*,#188044,.F.); #247060=ORIENTED_EDGE('',*,*,#188045,.T.); #247061=ORIENTED_EDGE('',*,*,#188044,.T.); #247062=ORIENTED_EDGE('',*,*,#188046,.F.); #247063=ORIENTED_EDGE('',*,*,#188047,.F.); #247064=ORIENTED_EDGE('',*,*,#188048,.T.); #247065=ORIENTED_EDGE('',*,*,#188047,.T.); #247066=ORIENTED_EDGE('',*,*,#188049,.F.); #247067=ORIENTED_EDGE('',*,*,#188050,.F.); #247068=ORIENTED_EDGE('',*,*,#188051,.T.); #247069=ORIENTED_EDGE('',*,*,#188050,.T.); #247070=ORIENTED_EDGE('',*,*,#188052,.F.); #247071=ORIENTED_EDGE('',*,*,#188053,.F.); #247072=ORIENTED_EDGE('',*,*,#188054,.T.); #247073=ORIENTED_EDGE('',*,*,#188053,.T.); #247074=ORIENTED_EDGE('',*,*,#188055,.F.); #247075=ORIENTED_EDGE('',*,*,#188056,.F.); #247076=ORIENTED_EDGE('',*,*,#188057,.T.); #247077=ORIENTED_EDGE('',*,*,#188056,.T.); #247078=ORIENTED_EDGE('',*,*,#188058,.F.); #247079=ORIENTED_EDGE('',*,*,#188059,.F.); #247080=ORIENTED_EDGE('',*,*,#188060,.T.); #247081=ORIENTED_EDGE('',*,*,#188059,.T.); #247082=ORIENTED_EDGE('',*,*,#188061,.F.); #247083=ORIENTED_EDGE('',*,*,#188062,.F.); #247084=ORIENTED_EDGE('',*,*,#188063,.T.); #247085=ORIENTED_EDGE('',*,*,#188062,.T.); #247086=ORIENTED_EDGE('',*,*,#188064,.F.); #247087=ORIENTED_EDGE('',*,*,#188065,.F.); #247088=ORIENTED_EDGE('',*,*,#188066,.T.); #247089=ORIENTED_EDGE('',*,*,#188065,.T.); #247090=ORIENTED_EDGE('',*,*,#188067,.F.); #247091=ORIENTED_EDGE('',*,*,#188068,.F.); #247092=ORIENTED_EDGE('',*,*,#188069,.T.); #247093=ORIENTED_EDGE('',*,*,#188068,.T.); #247094=ORIENTED_EDGE('',*,*,#188070,.F.); #247095=ORIENTED_EDGE('',*,*,#188071,.F.); #247096=ORIENTED_EDGE('',*,*,#188072,.T.); #247097=ORIENTED_EDGE('',*,*,#188071,.T.); #247098=ORIENTED_EDGE('',*,*,#188073,.F.); #247099=ORIENTED_EDGE('',*,*,#188012,.F.); #247100=ORIENTED_EDGE('',*,*,#188073,.T.); #247101=ORIENTED_EDGE('',*,*,#188070,.T.); #247102=ORIENTED_EDGE('',*,*,#188067,.T.); #247103=ORIENTED_EDGE('',*,*,#188064,.T.); #247104=ORIENTED_EDGE('',*,*,#188061,.T.); #247105=ORIENTED_EDGE('',*,*,#188058,.T.); #247106=ORIENTED_EDGE('',*,*,#188055,.T.); #247107=ORIENTED_EDGE('',*,*,#188052,.T.); #247108=ORIENTED_EDGE('',*,*,#188049,.T.); #247109=ORIENTED_EDGE('',*,*,#188046,.T.); #247110=ORIENTED_EDGE('',*,*,#188043,.T.); #247111=ORIENTED_EDGE('',*,*,#188040,.T.); #247112=ORIENTED_EDGE('',*,*,#188037,.T.); #247113=ORIENTED_EDGE('',*,*,#188034,.T.); #247114=ORIENTED_EDGE('',*,*,#188031,.T.); #247115=ORIENTED_EDGE('',*,*,#188028,.T.); #247116=ORIENTED_EDGE('',*,*,#188025,.T.); #247117=ORIENTED_EDGE('',*,*,#188022,.T.); #247118=ORIENTED_EDGE('',*,*,#188019,.T.); #247119=ORIENTED_EDGE('',*,*,#188016,.T.); #247120=ORIENTED_EDGE('',*,*,#188013,.T.); #247121=ORIENTED_EDGE('',*,*,#188072,.F.); #247122=ORIENTED_EDGE('',*,*,#188011,.F.); #247123=ORIENTED_EDGE('',*,*,#188015,.F.); #247124=ORIENTED_EDGE('',*,*,#188018,.F.); #247125=ORIENTED_EDGE('',*,*,#188021,.F.); #247126=ORIENTED_EDGE('',*,*,#188024,.F.); #247127=ORIENTED_EDGE('',*,*,#188027,.F.); #247128=ORIENTED_EDGE('',*,*,#188030,.F.); #247129=ORIENTED_EDGE('',*,*,#188033,.F.); #247130=ORIENTED_EDGE('',*,*,#188036,.F.); #247131=ORIENTED_EDGE('',*,*,#188039,.F.); #247132=ORIENTED_EDGE('',*,*,#188042,.F.); #247133=ORIENTED_EDGE('',*,*,#188045,.F.); #247134=ORIENTED_EDGE('',*,*,#188048,.F.); #247135=ORIENTED_EDGE('',*,*,#188051,.F.); #247136=ORIENTED_EDGE('',*,*,#188054,.F.); #247137=ORIENTED_EDGE('',*,*,#188057,.F.); #247138=ORIENTED_EDGE('',*,*,#188060,.F.); #247139=ORIENTED_EDGE('',*,*,#188063,.F.); #247140=ORIENTED_EDGE('',*,*,#188066,.F.); #247141=ORIENTED_EDGE('',*,*,#188069,.F.); #247142=ORIENTED_EDGE('',*,*,#188074,.T.); #247143=ORIENTED_EDGE('',*,*,#188075,.T.); #247144=ORIENTED_EDGE('',*,*,#188076,.F.); #247145=ORIENTED_EDGE('',*,*,#188077,.F.); #247146=ORIENTED_EDGE('',*,*,#188078,.T.); #247147=ORIENTED_EDGE('',*,*,#188077,.T.); #247148=ORIENTED_EDGE('',*,*,#188079,.F.); #247149=ORIENTED_EDGE('',*,*,#188080,.F.); #247150=ORIENTED_EDGE('',*,*,#188081,.T.); #247151=ORIENTED_EDGE('',*,*,#188080,.T.); #247152=ORIENTED_EDGE('',*,*,#188082,.F.); #247153=ORIENTED_EDGE('',*,*,#188083,.F.); #247154=ORIENTED_EDGE('',*,*,#188084,.T.); #247155=ORIENTED_EDGE('',*,*,#188083,.T.); #247156=ORIENTED_EDGE('',*,*,#188085,.F.); #247157=ORIENTED_EDGE('',*,*,#188086,.F.); #247158=ORIENTED_EDGE('',*,*,#188087,.T.); #247159=ORIENTED_EDGE('',*,*,#188086,.T.); #247160=ORIENTED_EDGE('',*,*,#188088,.F.); #247161=ORIENTED_EDGE('',*,*,#188089,.F.); #247162=ORIENTED_EDGE('',*,*,#188090,.T.); #247163=ORIENTED_EDGE('',*,*,#188089,.T.); #247164=ORIENTED_EDGE('',*,*,#188091,.F.); #247165=ORIENTED_EDGE('',*,*,#188092,.F.); #247166=ORIENTED_EDGE('',*,*,#188093,.T.); #247167=ORIENTED_EDGE('',*,*,#188092,.T.); #247168=ORIENTED_EDGE('',*,*,#188094,.F.); #247169=ORIENTED_EDGE('',*,*,#188095,.F.); #247170=ORIENTED_EDGE('',*,*,#188096,.T.); #247171=ORIENTED_EDGE('',*,*,#188095,.T.); #247172=ORIENTED_EDGE('',*,*,#188097,.F.); #247173=ORIENTED_EDGE('',*,*,#188098,.F.); #247174=ORIENTED_EDGE('',*,*,#188099,.T.); #247175=ORIENTED_EDGE('',*,*,#188098,.T.); #247176=ORIENTED_EDGE('',*,*,#188100,.F.); #247177=ORIENTED_EDGE('',*,*,#188101,.F.); #247178=ORIENTED_EDGE('',*,*,#188102,.T.); #247179=ORIENTED_EDGE('',*,*,#188101,.T.); #247180=ORIENTED_EDGE('',*,*,#188103,.F.); #247181=ORIENTED_EDGE('',*,*,#188104,.F.); #247182=ORIENTED_EDGE('',*,*,#188105,.T.); #247183=ORIENTED_EDGE('',*,*,#188104,.T.); #247184=ORIENTED_EDGE('',*,*,#188106,.F.); #247185=ORIENTED_EDGE('',*,*,#188107,.F.); #247186=ORIENTED_EDGE('',*,*,#188108,.T.); #247187=ORIENTED_EDGE('',*,*,#188107,.T.); #247188=ORIENTED_EDGE('',*,*,#188109,.F.); #247189=ORIENTED_EDGE('',*,*,#188110,.F.); #247190=ORIENTED_EDGE('',*,*,#188111,.T.); #247191=ORIENTED_EDGE('',*,*,#188110,.T.); #247192=ORIENTED_EDGE('',*,*,#188112,.F.); #247193=ORIENTED_EDGE('',*,*,#188113,.F.); #247194=ORIENTED_EDGE('',*,*,#188114,.T.); #247195=ORIENTED_EDGE('',*,*,#188113,.T.); #247196=ORIENTED_EDGE('',*,*,#188115,.F.); #247197=ORIENTED_EDGE('',*,*,#188116,.F.); #247198=ORIENTED_EDGE('',*,*,#188117,.T.); #247199=ORIENTED_EDGE('',*,*,#188116,.T.); #247200=ORIENTED_EDGE('',*,*,#188118,.F.); #247201=ORIENTED_EDGE('',*,*,#188119,.F.); #247202=ORIENTED_EDGE('',*,*,#188120,.T.); #247203=ORIENTED_EDGE('',*,*,#188119,.T.); #247204=ORIENTED_EDGE('',*,*,#188121,.F.); #247205=ORIENTED_EDGE('',*,*,#188122,.F.); #247206=ORIENTED_EDGE('',*,*,#188123,.T.); #247207=ORIENTED_EDGE('',*,*,#188122,.T.); #247208=ORIENTED_EDGE('',*,*,#188124,.F.); #247209=ORIENTED_EDGE('',*,*,#188125,.F.); #247210=ORIENTED_EDGE('',*,*,#188126,.T.); #247211=ORIENTED_EDGE('',*,*,#188125,.T.); #247212=ORIENTED_EDGE('',*,*,#188127,.F.); #247213=ORIENTED_EDGE('',*,*,#188128,.F.); #247214=ORIENTED_EDGE('',*,*,#188129,.T.); #247215=ORIENTED_EDGE('',*,*,#188128,.T.); #247216=ORIENTED_EDGE('',*,*,#188130,.F.); #247217=ORIENTED_EDGE('',*,*,#188075,.F.); #247218=ORIENTED_EDGE('',*,*,#188130,.T.); #247219=ORIENTED_EDGE('',*,*,#188127,.T.); #247220=ORIENTED_EDGE('',*,*,#188124,.T.); #247221=ORIENTED_EDGE('',*,*,#188121,.T.); #247222=ORIENTED_EDGE('',*,*,#188118,.T.); #247223=ORIENTED_EDGE('',*,*,#188115,.T.); #247224=ORIENTED_EDGE('',*,*,#188112,.T.); #247225=ORIENTED_EDGE('',*,*,#188109,.T.); #247226=ORIENTED_EDGE('',*,*,#188106,.T.); #247227=ORIENTED_EDGE('',*,*,#188103,.T.); #247228=ORIENTED_EDGE('',*,*,#188100,.T.); #247229=ORIENTED_EDGE('',*,*,#188097,.T.); #247230=ORIENTED_EDGE('',*,*,#188094,.T.); #247231=ORIENTED_EDGE('',*,*,#188091,.T.); #247232=ORIENTED_EDGE('',*,*,#188088,.T.); #247233=ORIENTED_EDGE('',*,*,#188085,.T.); #247234=ORIENTED_EDGE('',*,*,#188082,.T.); #247235=ORIENTED_EDGE('',*,*,#188079,.T.); #247236=ORIENTED_EDGE('',*,*,#188076,.T.); #247237=ORIENTED_EDGE('',*,*,#188129,.F.); #247238=ORIENTED_EDGE('',*,*,#188074,.F.); #247239=ORIENTED_EDGE('',*,*,#188078,.F.); #247240=ORIENTED_EDGE('',*,*,#188081,.F.); #247241=ORIENTED_EDGE('',*,*,#188084,.F.); #247242=ORIENTED_EDGE('',*,*,#188087,.F.); #247243=ORIENTED_EDGE('',*,*,#188090,.F.); #247244=ORIENTED_EDGE('',*,*,#188093,.F.); #247245=ORIENTED_EDGE('',*,*,#188096,.F.); #247246=ORIENTED_EDGE('',*,*,#188099,.F.); #247247=ORIENTED_EDGE('',*,*,#188102,.F.); #247248=ORIENTED_EDGE('',*,*,#188105,.F.); #247249=ORIENTED_EDGE('',*,*,#188108,.F.); #247250=ORIENTED_EDGE('',*,*,#188111,.F.); #247251=ORIENTED_EDGE('',*,*,#188114,.F.); #247252=ORIENTED_EDGE('',*,*,#188117,.F.); #247253=ORIENTED_EDGE('',*,*,#188120,.F.); #247254=ORIENTED_EDGE('',*,*,#188123,.F.); #247255=ORIENTED_EDGE('',*,*,#188126,.F.); #247256=ORIENTED_EDGE('',*,*,#188131,.T.); #247257=ORIENTED_EDGE('',*,*,#188132,.T.); #247258=ORIENTED_EDGE('',*,*,#188133,.F.); #247259=ORIENTED_EDGE('',*,*,#188134,.F.); #247260=ORIENTED_EDGE('',*,*,#188135,.T.); #247261=ORIENTED_EDGE('',*,*,#188134,.T.); #247262=ORIENTED_EDGE('',*,*,#188136,.F.); #247263=ORIENTED_EDGE('',*,*,#188137,.F.); #247264=ORIENTED_EDGE('',*,*,#188138,.T.); #247265=ORIENTED_EDGE('',*,*,#188137,.T.); #247266=ORIENTED_EDGE('',*,*,#188139,.F.); #247267=ORIENTED_EDGE('',*,*,#188140,.F.); #247268=ORIENTED_EDGE('',*,*,#188141,.T.); #247269=ORIENTED_EDGE('',*,*,#188140,.T.); #247270=ORIENTED_EDGE('',*,*,#188142,.F.); #247271=ORIENTED_EDGE('',*,*,#188143,.F.); #247272=ORIENTED_EDGE('',*,*,#188144,.T.); #247273=ORIENTED_EDGE('',*,*,#188143,.T.); #247274=ORIENTED_EDGE('',*,*,#188145,.F.); #247275=ORIENTED_EDGE('',*,*,#188146,.F.); #247276=ORIENTED_EDGE('',*,*,#188147,.T.); #247277=ORIENTED_EDGE('',*,*,#188146,.T.); #247278=ORIENTED_EDGE('',*,*,#188148,.F.); #247279=ORIENTED_EDGE('',*,*,#188149,.F.); #247280=ORIENTED_EDGE('',*,*,#188150,.T.); #247281=ORIENTED_EDGE('',*,*,#188149,.T.); #247282=ORIENTED_EDGE('',*,*,#188151,.F.); #247283=ORIENTED_EDGE('',*,*,#188152,.F.); #247284=ORIENTED_EDGE('',*,*,#188153,.T.); #247285=ORIENTED_EDGE('',*,*,#188152,.T.); #247286=ORIENTED_EDGE('',*,*,#188154,.F.); #247287=ORIENTED_EDGE('',*,*,#188155,.F.); #247288=ORIENTED_EDGE('',*,*,#188156,.T.); #247289=ORIENTED_EDGE('',*,*,#188155,.T.); #247290=ORIENTED_EDGE('',*,*,#188157,.F.); #247291=ORIENTED_EDGE('',*,*,#188158,.F.); #247292=ORIENTED_EDGE('',*,*,#188159,.T.); #247293=ORIENTED_EDGE('',*,*,#188158,.T.); #247294=ORIENTED_EDGE('',*,*,#188160,.F.); #247295=ORIENTED_EDGE('',*,*,#188161,.F.); #247296=ORIENTED_EDGE('',*,*,#188162,.T.); #247297=ORIENTED_EDGE('',*,*,#188161,.T.); #247298=ORIENTED_EDGE('',*,*,#188163,.F.); #247299=ORIENTED_EDGE('',*,*,#188164,.F.); #247300=ORIENTED_EDGE('',*,*,#188165,.T.); #247301=ORIENTED_EDGE('',*,*,#188164,.T.); #247302=ORIENTED_EDGE('',*,*,#188166,.F.); #247303=ORIENTED_EDGE('',*,*,#188167,.F.); #247304=ORIENTED_EDGE('',*,*,#188168,.T.); #247305=ORIENTED_EDGE('',*,*,#188167,.T.); #247306=ORIENTED_EDGE('',*,*,#188169,.F.); #247307=ORIENTED_EDGE('',*,*,#188170,.F.); #247308=ORIENTED_EDGE('',*,*,#188171,.T.); #247309=ORIENTED_EDGE('',*,*,#188170,.T.); #247310=ORIENTED_EDGE('',*,*,#188172,.F.); #247311=ORIENTED_EDGE('',*,*,#188173,.F.); #247312=ORIENTED_EDGE('',*,*,#188174,.T.); #247313=ORIENTED_EDGE('',*,*,#188173,.T.); #247314=ORIENTED_EDGE('',*,*,#188175,.F.); #247315=ORIENTED_EDGE('',*,*,#188176,.F.); #247316=ORIENTED_EDGE('',*,*,#188177,.T.); #247317=ORIENTED_EDGE('',*,*,#188176,.T.); #247318=ORIENTED_EDGE('',*,*,#188178,.F.); #247319=ORIENTED_EDGE('',*,*,#188179,.F.); #247320=ORIENTED_EDGE('',*,*,#188180,.T.); #247321=ORIENTED_EDGE('',*,*,#188179,.T.); #247322=ORIENTED_EDGE('',*,*,#188181,.F.); #247323=ORIENTED_EDGE('',*,*,#188182,.F.); #247324=ORIENTED_EDGE('',*,*,#188183,.T.); #247325=ORIENTED_EDGE('',*,*,#188182,.T.); #247326=ORIENTED_EDGE('',*,*,#188184,.F.); #247327=ORIENTED_EDGE('',*,*,#188185,.F.); #247328=ORIENTED_EDGE('',*,*,#188186,.T.); #247329=ORIENTED_EDGE('',*,*,#188185,.T.); #247330=ORIENTED_EDGE('',*,*,#188187,.F.); #247331=ORIENTED_EDGE('',*,*,#188188,.F.); #247332=ORIENTED_EDGE('',*,*,#188189,.T.); #247333=ORIENTED_EDGE('',*,*,#188188,.T.); #247334=ORIENTED_EDGE('',*,*,#188190,.F.); #247335=ORIENTED_EDGE('',*,*,#188191,.F.); #247336=ORIENTED_EDGE('',*,*,#188192,.T.); #247337=ORIENTED_EDGE('',*,*,#188191,.T.); #247338=ORIENTED_EDGE('',*,*,#188193,.F.); #247339=ORIENTED_EDGE('',*,*,#188194,.F.); #247340=ORIENTED_EDGE('',*,*,#188195,.T.); #247341=ORIENTED_EDGE('',*,*,#188194,.T.); #247342=ORIENTED_EDGE('',*,*,#188196,.F.); #247343=ORIENTED_EDGE('',*,*,#188197,.F.); #247344=ORIENTED_EDGE('',*,*,#188198,.T.); #247345=ORIENTED_EDGE('',*,*,#188197,.T.); #247346=ORIENTED_EDGE('',*,*,#188199,.F.); #247347=ORIENTED_EDGE('',*,*,#188200,.F.); #247348=ORIENTED_EDGE('',*,*,#188201,.T.); #247349=ORIENTED_EDGE('',*,*,#188200,.T.); #247350=ORIENTED_EDGE('',*,*,#188202,.F.); #247351=ORIENTED_EDGE('',*,*,#188203,.F.); #247352=ORIENTED_EDGE('',*,*,#188204,.T.); #247353=ORIENTED_EDGE('',*,*,#188203,.T.); #247354=ORIENTED_EDGE('',*,*,#188205,.F.); #247355=ORIENTED_EDGE('',*,*,#188206,.F.); #247356=ORIENTED_EDGE('',*,*,#188207,.T.); #247357=ORIENTED_EDGE('',*,*,#188206,.T.); #247358=ORIENTED_EDGE('',*,*,#188208,.F.); #247359=ORIENTED_EDGE('',*,*,#188209,.F.); #247360=ORIENTED_EDGE('',*,*,#188210,.T.); #247361=ORIENTED_EDGE('',*,*,#188209,.T.); #247362=ORIENTED_EDGE('',*,*,#188211,.F.); #247363=ORIENTED_EDGE('',*,*,#188212,.F.); #247364=ORIENTED_EDGE('',*,*,#188213,.T.); #247365=ORIENTED_EDGE('',*,*,#188212,.T.); #247366=ORIENTED_EDGE('',*,*,#188214,.F.); #247367=ORIENTED_EDGE('',*,*,#188215,.F.); #247368=ORIENTED_EDGE('',*,*,#188216,.T.); #247369=ORIENTED_EDGE('',*,*,#188215,.T.); #247370=ORIENTED_EDGE('',*,*,#188217,.F.); #247371=ORIENTED_EDGE('',*,*,#188132,.F.); #247372=ORIENTED_EDGE('',*,*,#188217,.T.); #247373=ORIENTED_EDGE('',*,*,#188214,.T.); #247374=ORIENTED_EDGE('',*,*,#188211,.T.); #247375=ORIENTED_EDGE('',*,*,#188208,.T.); #247376=ORIENTED_EDGE('',*,*,#188205,.T.); #247377=ORIENTED_EDGE('',*,*,#188202,.T.); #247378=ORIENTED_EDGE('',*,*,#188199,.T.); #247379=ORIENTED_EDGE('',*,*,#188196,.T.); #247380=ORIENTED_EDGE('',*,*,#188193,.T.); #247381=ORIENTED_EDGE('',*,*,#188190,.T.); #247382=ORIENTED_EDGE('',*,*,#188187,.T.); #247383=ORIENTED_EDGE('',*,*,#188184,.T.); #247384=ORIENTED_EDGE('',*,*,#188181,.T.); #247385=ORIENTED_EDGE('',*,*,#188178,.T.); #247386=ORIENTED_EDGE('',*,*,#188175,.T.); #247387=ORIENTED_EDGE('',*,*,#188172,.T.); #247388=ORIENTED_EDGE('',*,*,#188169,.T.); #247389=ORIENTED_EDGE('',*,*,#188166,.T.); #247390=ORIENTED_EDGE('',*,*,#188163,.T.); #247391=ORIENTED_EDGE('',*,*,#188160,.T.); #247392=ORIENTED_EDGE('',*,*,#188157,.T.); #247393=ORIENTED_EDGE('',*,*,#188154,.T.); #247394=ORIENTED_EDGE('',*,*,#188151,.T.); #247395=ORIENTED_EDGE('',*,*,#188148,.T.); #247396=ORIENTED_EDGE('',*,*,#188145,.T.); #247397=ORIENTED_EDGE('',*,*,#188142,.T.); #247398=ORIENTED_EDGE('',*,*,#188139,.T.); #247399=ORIENTED_EDGE('',*,*,#188136,.T.); #247400=ORIENTED_EDGE('',*,*,#188133,.T.); #247401=ORIENTED_EDGE('',*,*,#188216,.F.); #247402=ORIENTED_EDGE('',*,*,#188131,.F.); #247403=ORIENTED_EDGE('',*,*,#188135,.F.); #247404=ORIENTED_EDGE('',*,*,#188138,.F.); #247405=ORIENTED_EDGE('',*,*,#188141,.F.); #247406=ORIENTED_EDGE('',*,*,#188144,.F.); #247407=ORIENTED_EDGE('',*,*,#188147,.F.); #247408=ORIENTED_EDGE('',*,*,#188150,.F.); #247409=ORIENTED_EDGE('',*,*,#188153,.F.); #247410=ORIENTED_EDGE('',*,*,#188156,.F.); #247411=ORIENTED_EDGE('',*,*,#188159,.F.); #247412=ORIENTED_EDGE('',*,*,#188162,.F.); #247413=ORIENTED_EDGE('',*,*,#188165,.F.); #247414=ORIENTED_EDGE('',*,*,#188168,.F.); #247415=ORIENTED_EDGE('',*,*,#188171,.F.); #247416=ORIENTED_EDGE('',*,*,#188174,.F.); #247417=ORIENTED_EDGE('',*,*,#188177,.F.); #247418=ORIENTED_EDGE('',*,*,#188180,.F.); #247419=ORIENTED_EDGE('',*,*,#188183,.F.); #247420=ORIENTED_EDGE('',*,*,#188186,.F.); #247421=ORIENTED_EDGE('',*,*,#188189,.F.); #247422=ORIENTED_EDGE('',*,*,#188192,.F.); #247423=ORIENTED_EDGE('',*,*,#188195,.F.); #247424=ORIENTED_EDGE('',*,*,#188198,.F.); #247425=ORIENTED_EDGE('',*,*,#188201,.F.); #247426=ORIENTED_EDGE('',*,*,#188204,.F.); #247427=ORIENTED_EDGE('',*,*,#188207,.F.); #247428=ORIENTED_EDGE('',*,*,#188210,.F.); #247429=ORIENTED_EDGE('',*,*,#188213,.F.); #247430=ORIENTED_EDGE('',*,*,#188218,.T.); #247431=ORIENTED_EDGE('',*,*,#188219,.T.); #247432=ORIENTED_EDGE('',*,*,#188220,.F.); #247433=ORIENTED_EDGE('',*,*,#188221,.F.); #247434=ORIENTED_EDGE('',*,*,#188222,.T.); #247435=ORIENTED_EDGE('',*,*,#188221,.T.); #247436=ORIENTED_EDGE('',*,*,#188223,.F.); #247437=ORIENTED_EDGE('',*,*,#188224,.F.); #247438=ORIENTED_EDGE('',*,*,#188225,.T.); #247439=ORIENTED_EDGE('',*,*,#188224,.T.); #247440=ORIENTED_EDGE('',*,*,#188226,.F.); #247441=ORIENTED_EDGE('',*,*,#188227,.F.); #247442=ORIENTED_EDGE('',*,*,#188228,.T.); #247443=ORIENTED_EDGE('',*,*,#188227,.T.); #247444=ORIENTED_EDGE('',*,*,#188229,.F.); #247445=ORIENTED_EDGE('',*,*,#188230,.F.); #247446=ORIENTED_EDGE('',*,*,#188231,.T.); #247447=ORIENTED_EDGE('',*,*,#188230,.T.); #247448=ORIENTED_EDGE('',*,*,#188232,.F.); #247449=ORIENTED_EDGE('',*,*,#188233,.F.); #247450=ORIENTED_EDGE('',*,*,#188234,.T.); #247451=ORIENTED_EDGE('',*,*,#188233,.T.); #247452=ORIENTED_EDGE('',*,*,#188235,.F.); #247453=ORIENTED_EDGE('',*,*,#188236,.F.); #247454=ORIENTED_EDGE('',*,*,#188237,.T.); #247455=ORIENTED_EDGE('',*,*,#188236,.T.); #247456=ORIENTED_EDGE('',*,*,#188238,.F.); #247457=ORIENTED_EDGE('',*,*,#188239,.F.); #247458=ORIENTED_EDGE('',*,*,#188240,.T.); #247459=ORIENTED_EDGE('',*,*,#188239,.T.); #247460=ORIENTED_EDGE('',*,*,#188241,.F.); #247461=ORIENTED_EDGE('',*,*,#188242,.F.); #247462=ORIENTED_EDGE('',*,*,#188243,.T.); #247463=ORIENTED_EDGE('',*,*,#188242,.T.); #247464=ORIENTED_EDGE('',*,*,#188244,.F.); #247465=ORIENTED_EDGE('',*,*,#188245,.F.); #247466=ORIENTED_EDGE('',*,*,#188246,.T.); #247467=ORIENTED_EDGE('',*,*,#188245,.T.); #247468=ORIENTED_EDGE('',*,*,#188247,.F.); #247469=ORIENTED_EDGE('',*,*,#188248,.F.); #247470=ORIENTED_EDGE('',*,*,#188249,.T.); #247471=ORIENTED_EDGE('',*,*,#188248,.T.); #247472=ORIENTED_EDGE('',*,*,#188250,.F.); #247473=ORIENTED_EDGE('',*,*,#188251,.F.); #247474=ORIENTED_EDGE('',*,*,#188252,.T.); #247475=ORIENTED_EDGE('',*,*,#188251,.T.); #247476=ORIENTED_EDGE('',*,*,#188253,.F.); #247477=ORIENTED_EDGE('',*,*,#188254,.F.); #247478=ORIENTED_EDGE('',*,*,#188255,.T.); #247479=ORIENTED_EDGE('',*,*,#188254,.T.); #247480=ORIENTED_EDGE('',*,*,#188256,.F.); #247481=ORIENTED_EDGE('',*,*,#188257,.F.); #247482=ORIENTED_EDGE('',*,*,#188258,.T.); #247483=ORIENTED_EDGE('',*,*,#188257,.T.); #247484=ORIENTED_EDGE('',*,*,#188259,.F.); #247485=ORIENTED_EDGE('',*,*,#188260,.F.); #247486=ORIENTED_EDGE('',*,*,#188261,.T.); #247487=ORIENTED_EDGE('',*,*,#188260,.T.); #247488=ORIENTED_EDGE('',*,*,#188262,.F.); #247489=ORIENTED_EDGE('',*,*,#188263,.F.); #247490=ORIENTED_EDGE('',*,*,#188264,.T.); #247491=ORIENTED_EDGE('',*,*,#188263,.T.); #247492=ORIENTED_EDGE('',*,*,#188265,.F.); #247493=ORIENTED_EDGE('',*,*,#188266,.F.); #247494=ORIENTED_EDGE('',*,*,#188267,.T.); #247495=ORIENTED_EDGE('',*,*,#188266,.T.); #247496=ORIENTED_EDGE('',*,*,#188268,.F.); #247497=ORIENTED_EDGE('',*,*,#188269,.F.); #247498=ORIENTED_EDGE('',*,*,#188270,.T.); #247499=ORIENTED_EDGE('',*,*,#188269,.T.); #247500=ORIENTED_EDGE('',*,*,#188271,.F.); #247501=ORIENTED_EDGE('',*,*,#188272,.F.); #247502=ORIENTED_EDGE('',*,*,#188273,.T.); #247503=ORIENTED_EDGE('',*,*,#188272,.T.); #247504=ORIENTED_EDGE('',*,*,#188274,.F.); #247505=ORIENTED_EDGE('',*,*,#188275,.F.); #247506=ORIENTED_EDGE('',*,*,#188276,.T.); #247507=ORIENTED_EDGE('',*,*,#188275,.T.); #247508=ORIENTED_EDGE('',*,*,#188277,.F.); #247509=ORIENTED_EDGE('',*,*,#188278,.F.); #247510=ORIENTED_EDGE('',*,*,#188279,.T.); #247511=ORIENTED_EDGE('',*,*,#188278,.T.); #247512=ORIENTED_EDGE('',*,*,#188280,.F.); #247513=ORIENTED_EDGE('',*,*,#188219,.F.); #247514=ORIENTED_EDGE('',*,*,#188280,.T.); #247515=ORIENTED_EDGE('',*,*,#188277,.T.); #247516=ORIENTED_EDGE('',*,*,#188274,.T.); #247517=ORIENTED_EDGE('',*,*,#188271,.T.); #247518=ORIENTED_EDGE('',*,*,#188268,.T.); #247519=ORIENTED_EDGE('',*,*,#188265,.T.); #247520=ORIENTED_EDGE('',*,*,#188262,.T.); #247521=ORIENTED_EDGE('',*,*,#188259,.T.); #247522=ORIENTED_EDGE('',*,*,#188256,.T.); #247523=ORIENTED_EDGE('',*,*,#188253,.T.); #247524=ORIENTED_EDGE('',*,*,#188250,.T.); #247525=ORIENTED_EDGE('',*,*,#188247,.T.); #247526=ORIENTED_EDGE('',*,*,#188244,.T.); #247527=ORIENTED_EDGE('',*,*,#188241,.T.); #247528=ORIENTED_EDGE('',*,*,#188238,.T.); #247529=ORIENTED_EDGE('',*,*,#188235,.T.); #247530=ORIENTED_EDGE('',*,*,#188232,.T.); #247531=ORIENTED_EDGE('',*,*,#188229,.T.); #247532=ORIENTED_EDGE('',*,*,#188226,.T.); #247533=ORIENTED_EDGE('',*,*,#188223,.T.); #247534=ORIENTED_EDGE('',*,*,#188220,.T.); #247535=ORIENTED_EDGE('',*,*,#188279,.F.); #247536=ORIENTED_EDGE('',*,*,#188218,.F.); #247537=ORIENTED_EDGE('',*,*,#188222,.F.); #247538=ORIENTED_EDGE('',*,*,#188225,.F.); #247539=ORIENTED_EDGE('',*,*,#188228,.F.); #247540=ORIENTED_EDGE('',*,*,#188231,.F.); #247541=ORIENTED_EDGE('',*,*,#188234,.F.); #247542=ORIENTED_EDGE('',*,*,#188237,.F.); #247543=ORIENTED_EDGE('',*,*,#188240,.F.); #247544=ORIENTED_EDGE('',*,*,#188243,.F.); #247545=ORIENTED_EDGE('',*,*,#188246,.F.); #247546=ORIENTED_EDGE('',*,*,#188249,.F.); #247547=ORIENTED_EDGE('',*,*,#188252,.F.); #247548=ORIENTED_EDGE('',*,*,#188255,.F.); #247549=ORIENTED_EDGE('',*,*,#188258,.F.); #247550=ORIENTED_EDGE('',*,*,#188261,.F.); #247551=ORIENTED_EDGE('',*,*,#188264,.F.); #247552=ORIENTED_EDGE('',*,*,#188267,.F.); #247553=ORIENTED_EDGE('',*,*,#188270,.F.); #247554=ORIENTED_EDGE('',*,*,#188273,.F.); #247555=ORIENTED_EDGE('',*,*,#188276,.F.); #247556=ORIENTED_EDGE('',*,*,#188281,.T.); #247557=ORIENTED_EDGE('',*,*,#188282,.T.); #247558=ORIENTED_EDGE('',*,*,#188283,.F.); #247559=ORIENTED_EDGE('',*,*,#188284,.F.); #247560=ORIENTED_EDGE('',*,*,#188285,.T.); #247561=ORIENTED_EDGE('',*,*,#188284,.T.); #247562=ORIENTED_EDGE('',*,*,#188286,.F.); #247563=ORIENTED_EDGE('',*,*,#188287,.F.); #247564=ORIENTED_EDGE('',*,*,#188288,.T.); #247565=ORIENTED_EDGE('',*,*,#188287,.T.); #247566=ORIENTED_EDGE('',*,*,#188289,.F.); #247567=ORIENTED_EDGE('',*,*,#188290,.F.); #247568=ORIENTED_EDGE('',*,*,#188291,.T.); #247569=ORIENTED_EDGE('',*,*,#188290,.T.); #247570=ORIENTED_EDGE('',*,*,#188292,.F.); #247571=ORIENTED_EDGE('',*,*,#188293,.F.); #247572=ORIENTED_EDGE('',*,*,#188294,.T.); #247573=ORIENTED_EDGE('',*,*,#188293,.T.); #247574=ORIENTED_EDGE('',*,*,#188295,.F.); #247575=ORIENTED_EDGE('',*,*,#188296,.F.); #247576=ORIENTED_EDGE('',*,*,#188297,.T.); #247577=ORIENTED_EDGE('',*,*,#188296,.T.); #247578=ORIENTED_EDGE('',*,*,#188298,.F.); #247579=ORIENTED_EDGE('',*,*,#188299,.F.); #247580=ORIENTED_EDGE('',*,*,#188300,.T.); #247581=ORIENTED_EDGE('',*,*,#188299,.T.); #247582=ORIENTED_EDGE('',*,*,#188301,.F.); #247583=ORIENTED_EDGE('',*,*,#188302,.F.); #247584=ORIENTED_EDGE('',*,*,#188303,.T.); #247585=ORIENTED_EDGE('',*,*,#188302,.T.); #247586=ORIENTED_EDGE('',*,*,#188304,.F.); #247587=ORIENTED_EDGE('',*,*,#188305,.F.); #247588=ORIENTED_EDGE('',*,*,#188306,.T.); #247589=ORIENTED_EDGE('',*,*,#188305,.T.); #247590=ORIENTED_EDGE('',*,*,#188307,.F.); #247591=ORIENTED_EDGE('',*,*,#188308,.F.); #247592=ORIENTED_EDGE('',*,*,#188309,.T.); #247593=ORIENTED_EDGE('',*,*,#188308,.T.); #247594=ORIENTED_EDGE('',*,*,#188310,.F.); #247595=ORIENTED_EDGE('',*,*,#188311,.F.); #247596=ORIENTED_EDGE('',*,*,#188312,.T.); #247597=ORIENTED_EDGE('',*,*,#188311,.T.); #247598=ORIENTED_EDGE('',*,*,#188313,.F.); #247599=ORIENTED_EDGE('',*,*,#188314,.F.); #247600=ORIENTED_EDGE('',*,*,#188315,.T.); #247601=ORIENTED_EDGE('',*,*,#188314,.T.); #247602=ORIENTED_EDGE('',*,*,#188316,.F.); #247603=ORIENTED_EDGE('',*,*,#188317,.F.); #247604=ORIENTED_EDGE('',*,*,#188318,.T.); #247605=ORIENTED_EDGE('',*,*,#188317,.T.); #247606=ORIENTED_EDGE('',*,*,#188319,.F.); #247607=ORIENTED_EDGE('',*,*,#188320,.F.); #247608=ORIENTED_EDGE('',*,*,#188321,.T.); #247609=ORIENTED_EDGE('',*,*,#188320,.T.); #247610=ORIENTED_EDGE('',*,*,#188322,.F.); #247611=ORIENTED_EDGE('',*,*,#188323,.F.); #247612=ORIENTED_EDGE('',*,*,#188324,.T.); #247613=ORIENTED_EDGE('',*,*,#188323,.T.); #247614=ORIENTED_EDGE('',*,*,#188325,.F.); #247615=ORIENTED_EDGE('',*,*,#188326,.F.); #247616=ORIENTED_EDGE('',*,*,#188327,.T.); #247617=ORIENTED_EDGE('',*,*,#188326,.T.); #247618=ORIENTED_EDGE('',*,*,#188328,.F.); #247619=ORIENTED_EDGE('',*,*,#188329,.F.); #247620=ORIENTED_EDGE('',*,*,#188330,.T.); #247621=ORIENTED_EDGE('',*,*,#188329,.T.); #247622=ORIENTED_EDGE('',*,*,#188331,.F.); #247623=ORIENTED_EDGE('',*,*,#188332,.F.); #247624=ORIENTED_EDGE('',*,*,#188333,.T.); #247625=ORIENTED_EDGE('',*,*,#188332,.T.); #247626=ORIENTED_EDGE('',*,*,#188334,.F.); #247627=ORIENTED_EDGE('',*,*,#188335,.F.); #247628=ORIENTED_EDGE('',*,*,#188336,.T.); #247629=ORIENTED_EDGE('',*,*,#188335,.T.); #247630=ORIENTED_EDGE('',*,*,#188337,.F.); #247631=ORIENTED_EDGE('',*,*,#188282,.F.); #247632=ORIENTED_EDGE('',*,*,#188337,.T.); #247633=ORIENTED_EDGE('',*,*,#188334,.T.); #247634=ORIENTED_EDGE('',*,*,#188331,.T.); #247635=ORIENTED_EDGE('',*,*,#188328,.T.); #247636=ORIENTED_EDGE('',*,*,#188325,.T.); #247637=ORIENTED_EDGE('',*,*,#188322,.T.); #247638=ORIENTED_EDGE('',*,*,#188319,.T.); #247639=ORIENTED_EDGE('',*,*,#188316,.T.); #247640=ORIENTED_EDGE('',*,*,#188313,.T.); #247641=ORIENTED_EDGE('',*,*,#188310,.T.); #247642=ORIENTED_EDGE('',*,*,#188307,.T.); #247643=ORIENTED_EDGE('',*,*,#188304,.T.); #247644=ORIENTED_EDGE('',*,*,#188301,.T.); #247645=ORIENTED_EDGE('',*,*,#188298,.T.); #247646=ORIENTED_EDGE('',*,*,#188295,.T.); #247647=ORIENTED_EDGE('',*,*,#188292,.T.); #247648=ORIENTED_EDGE('',*,*,#188289,.T.); #247649=ORIENTED_EDGE('',*,*,#188286,.T.); #247650=ORIENTED_EDGE('',*,*,#188283,.T.); #247651=ORIENTED_EDGE('',*,*,#188336,.F.); #247652=ORIENTED_EDGE('',*,*,#188281,.F.); #247653=ORIENTED_EDGE('',*,*,#188285,.F.); #247654=ORIENTED_EDGE('',*,*,#188288,.F.); #247655=ORIENTED_EDGE('',*,*,#188291,.F.); #247656=ORIENTED_EDGE('',*,*,#188294,.F.); #247657=ORIENTED_EDGE('',*,*,#188297,.F.); #247658=ORIENTED_EDGE('',*,*,#188300,.F.); #247659=ORIENTED_EDGE('',*,*,#188303,.F.); #247660=ORIENTED_EDGE('',*,*,#188306,.F.); #247661=ORIENTED_EDGE('',*,*,#188309,.F.); #247662=ORIENTED_EDGE('',*,*,#188312,.F.); #247663=ORIENTED_EDGE('',*,*,#188315,.F.); #247664=ORIENTED_EDGE('',*,*,#188318,.F.); #247665=ORIENTED_EDGE('',*,*,#188321,.F.); #247666=ORIENTED_EDGE('',*,*,#188324,.F.); #247667=ORIENTED_EDGE('',*,*,#188327,.F.); #247668=ORIENTED_EDGE('',*,*,#188330,.F.); #247669=ORIENTED_EDGE('',*,*,#188333,.F.); #247670=ORIENTED_EDGE('',*,*,#188338,.T.); #247671=ORIENTED_EDGE('',*,*,#188339,.T.); #247672=ORIENTED_EDGE('',*,*,#188340,.F.); #247673=ORIENTED_EDGE('',*,*,#188341,.F.); #247674=ORIENTED_EDGE('',*,*,#188342,.T.); #247675=ORIENTED_EDGE('',*,*,#188341,.T.); #247676=ORIENTED_EDGE('',*,*,#188343,.F.); #247677=ORIENTED_EDGE('',*,*,#188344,.F.); #247678=ORIENTED_EDGE('',*,*,#188345,.T.); #247679=ORIENTED_EDGE('',*,*,#188344,.T.); #247680=ORIENTED_EDGE('',*,*,#188346,.F.); #247681=ORIENTED_EDGE('',*,*,#188347,.F.); #247682=ORIENTED_EDGE('',*,*,#188348,.T.); #247683=ORIENTED_EDGE('',*,*,#188347,.T.); #247684=ORIENTED_EDGE('',*,*,#188349,.F.); #247685=ORIENTED_EDGE('',*,*,#188350,.F.); #247686=ORIENTED_EDGE('',*,*,#188351,.T.); #247687=ORIENTED_EDGE('',*,*,#188350,.T.); #247688=ORIENTED_EDGE('',*,*,#188352,.F.); #247689=ORIENTED_EDGE('',*,*,#188353,.F.); #247690=ORIENTED_EDGE('',*,*,#188354,.T.); #247691=ORIENTED_EDGE('',*,*,#188353,.T.); #247692=ORIENTED_EDGE('',*,*,#188355,.F.); #247693=ORIENTED_EDGE('',*,*,#188356,.F.); #247694=ORIENTED_EDGE('',*,*,#188357,.T.); #247695=ORIENTED_EDGE('',*,*,#188356,.T.); #247696=ORIENTED_EDGE('',*,*,#188358,.F.); #247697=ORIENTED_EDGE('',*,*,#188359,.F.); #247698=ORIENTED_EDGE('',*,*,#188360,.T.); #247699=ORIENTED_EDGE('',*,*,#188359,.T.); #247700=ORIENTED_EDGE('',*,*,#188361,.F.); #247701=ORIENTED_EDGE('',*,*,#188362,.F.); #247702=ORIENTED_EDGE('',*,*,#188363,.T.); #247703=ORIENTED_EDGE('',*,*,#188362,.T.); #247704=ORIENTED_EDGE('',*,*,#188364,.F.); #247705=ORIENTED_EDGE('',*,*,#188365,.F.); #247706=ORIENTED_EDGE('',*,*,#188366,.T.); #247707=ORIENTED_EDGE('',*,*,#188365,.T.); #247708=ORIENTED_EDGE('',*,*,#188367,.F.); #247709=ORIENTED_EDGE('',*,*,#188368,.F.); #247710=ORIENTED_EDGE('',*,*,#188369,.T.); #247711=ORIENTED_EDGE('',*,*,#188368,.T.); #247712=ORIENTED_EDGE('',*,*,#188370,.F.); #247713=ORIENTED_EDGE('',*,*,#188371,.F.); #247714=ORIENTED_EDGE('',*,*,#188372,.T.); #247715=ORIENTED_EDGE('',*,*,#188371,.T.); #247716=ORIENTED_EDGE('',*,*,#188373,.F.); #247717=ORIENTED_EDGE('',*,*,#188374,.F.); #247718=ORIENTED_EDGE('',*,*,#188375,.T.); #247719=ORIENTED_EDGE('',*,*,#188374,.T.); #247720=ORIENTED_EDGE('',*,*,#188376,.F.); #247721=ORIENTED_EDGE('',*,*,#188377,.F.); #247722=ORIENTED_EDGE('',*,*,#188378,.T.); #247723=ORIENTED_EDGE('',*,*,#188377,.T.); #247724=ORIENTED_EDGE('',*,*,#188379,.F.); #247725=ORIENTED_EDGE('',*,*,#188380,.F.); #247726=ORIENTED_EDGE('',*,*,#188381,.T.); #247727=ORIENTED_EDGE('',*,*,#188380,.T.); #247728=ORIENTED_EDGE('',*,*,#188382,.F.); #247729=ORIENTED_EDGE('',*,*,#188383,.F.); #247730=ORIENTED_EDGE('',*,*,#188384,.T.); #247731=ORIENTED_EDGE('',*,*,#188383,.T.); #247732=ORIENTED_EDGE('',*,*,#188385,.F.); #247733=ORIENTED_EDGE('',*,*,#188386,.F.); #247734=ORIENTED_EDGE('',*,*,#188387,.T.); #247735=ORIENTED_EDGE('',*,*,#188386,.T.); #247736=ORIENTED_EDGE('',*,*,#188388,.F.); #247737=ORIENTED_EDGE('',*,*,#188389,.F.); #247738=ORIENTED_EDGE('',*,*,#188390,.T.); #247739=ORIENTED_EDGE('',*,*,#188389,.T.); #247740=ORIENTED_EDGE('',*,*,#188391,.F.); #247741=ORIENTED_EDGE('',*,*,#188392,.F.); #247742=ORIENTED_EDGE('',*,*,#188393,.T.); #247743=ORIENTED_EDGE('',*,*,#188392,.T.); #247744=ORIENTED_EDGE('',*,*,#188394,.F.); #247745=ORIENTED_EDGE('',*,*,#188339,.F.); #247746=ORIENTED_EDGE('',*,*,#188394,.T.); #247747=ORIENTED_EDGE('',*,*,#188391,.T.); #247748=ORIENTED_EDGE('',*,*,#188388,.T.); #247749=ORIENTED_EDGE('',*,*,#188385,.T.); #247750=ORIENTED_EDGE('',*,*,#188382,.T.); #247751=ORIENTED_EDGE('',*,*,#188379,.T.); #247752=ORIENTED_EDGE('',*,*,#188376,.T.); #247753=ORIENTED_EDGE('',*,*,#188373,.T.); #247754=ORIENTED_EDGE('',*,*,#188370,.T.); #247755=ORIENTED_EDGE('',*,*,#188367,.T.); #247756=ORIENTED_EDGE('',*,*,#188364,.T.); #247757=ORIENTED_EDGE('',*,*,#188361,.T.); #247758=ORIENTED_EDGE('',*,*,#188358,.T.); #247759=ORIENTED_EDGE('',*,*,#188355,.T.); #247760=ORIENTED_EDGE('',*,*,#188352,.T.); #247761=ORIENTED_EDGE('',*,*,#188349,.T.); #247762=ORIENTED_EDGE('',*,*,#188346,.T.); #247763=ORIENTED_EDGE('',*,*,#188343,.T.); #247764=ORIENTED_EDGE('',*,*,#188340,.T.); #247765=ORIENTED_EDGE('',*,*,#188393,.F.); #247766=ORIENTED_EDGE('',*,*,#188338,.F.); #247767=ORIENTED_EDGE('',*,*,#188342,.F.); #247768=ORIENTED_EDGE('',*,*,#188345,.F.); #247769=ORIENTED_EDGE('',*,*,#188348,.F.); #247770=ORIENTED_EDGE('',*,*,#188351,.F.); #247771=ORIENTED_EDGE('',*,*,#188354,.F.); #247772=ORIENTED_EDGE('',*,*,#188357,.F.); #247773=ORIENTED_EDGE('',*,*,#188360,.F.); #247774=ORIENTED_EDGE('',*,*,#188363,.F.); #247775=ORIENTED_EDGE('',*,*,#188366,.F.); #247776=ORIENTED_EDGE('',*,*,#188369,.F.); #247777=ORIENTED_EDGE('',*,*,#188372,.F.); #247778=ORIENTED_EDGE('',*,*,#188375,.F.); #247779=ORIENTED_EDGE('',*,*,#188378,.F.); #247780=ORIENTED_EDGE('',*,*,#188381,.F.); #247781=ORIENTED_EDGE('',*,*,#188384,.F.); #247782=ORIENTED_EDGE('',*,*,#188387,.F.); #247783=ORIENTED_EDGE('',*,*,#188390,.F.); #247784=ORIENTED_EDGE('',*,*,#188395,.T.); #247785=ORIENTED_EDGE('',*,*,#188396,.T.); #247786=ORIENTED_EDGE('',*,*,#188397,.F.); #247787=ORIENTED_EDGE('',*,*,#188398,.F.); #247788=ORIENTED_EDGE('',*,*,#188399,.T.); #247789=ORIENTED_EDGE('',*,*,#188398,.T.); #247790=ORIENTED_EDGE('',*,*,#188400,.F.); #247791=ORIENTED_EDGE('',*,*,#188401,.F.); #247792=ORIENTED_EDGE('',*,*,#188402,.T.); #247793=ORIENTED_EDGE('',*,*,#188401,.T.); #247794=ORIENTED_EDGE('',*,*,#188403,.F.); #247795=ORIENTED_EDGE('',*,*,#188404,.F.); #247796=ORIENTED_EDGE('',*,*,#188405,.T.); #247797=ORIENTED_EDGE('',*,*,#188404,.T.); #247798=ORIENTED_EDGE('',*,*,#188406,.F.); #247799=ORIENTED_EDGE('',*,*,#188407,.F.); #247800=ORIENTED_EDGE('',*,*,#188408,.T.); #247801=ORIENTED_EDGE('',*,*,#188407,.T.); #247802=ORIENTED_EDGE('',*,*,#188409,.F.); #247803=ORIENTED_EDGE('',*,*,#188410,.F.); #247804=ORIENTED_EDGE('',*,*,#188411,.T.); #247805=ORIENTED_EDGE('',*,*,#188410,.T.); #247806=ORIENTED_EDGE('',*,*,#188412,.F.); #247807=ORIENTED_EDGE('',*,*,#188413,.F.); #247808=ORIENTED_EDGE('',*,*,#188414,.T.); #247809=ORIENTED_EDGE('',*,*,#188413,.T.); #247810=ORIENTED_EDGE('',*,*,#188415,.F.); #247811=ORIENTED_EDGE('',*,*,#188416,.F.); #247812=ORIENTED_EDGE('',*,*,#188417,.T.); #247813=ORIENTED_EDGE('',*,*,#188416,.T.); #247814=ORIENTED_EDGE('',*,*,#188418,.F.); #247815=ORIENTED_EDGE('',*,*,#188419,.F.); #247816=ORIENTED_EDGE('',*,*,#188420,.T.); #247817=ORIENTED_EDGE('',*,*,#188419,.T.); #247818=ORIENTED_EDGE('',*,*,#188421,.F.); #247819=ORIENTED_EDGE('',*,*,#188422,.F.); #247820=ORIENTED_EDGE('',*,*,#188423,.T.); #247821=ORIENTED_EDGE('',*,*,#188422,.T.); #247822=ORIENTED_EDGE('',*,*,#188424,.F.); #247823=ORIENTED_EDGE('',*,*,#188425,.F.); #247824=ORIENTED_EDGE('',*,*,#188426,.T.); #247825=ORIENTED_EDGE('',*,*,#188425,.T.); #247826=ORIENTED_EDGE('',*,*,#188427,.F.); #247827=ORIENTED_EDGE('',*,*,#188428,.F.); #247828=ORIENTED_EDGE('',*,*,#188429,.T.); #247829=ORIENTED_EDGE('',*,*,#188428,.T.); #247830=ORIENTED_EDGE('',*,*,#188430,.F.); #247831=ORIENTED_EDGE('',*,*,#188431,.F.); #247832=ORIENTED_EDGE('',*,*,#188432,.T.); #247833=ORIENTED_EDGE('',*,*,#188431,.T.); #247834=ORIENTED_EDGE('',*,*,#188433,.F.); #247835=ORIENTED_EDGE('',*,*,#188434,.F.); #247836=ORIENTED_EDGE('',*,*,#188435,.T.); #247837=ORIENTED_EDGE('',*,*,#188434,.T.); #247838=ORIENTED_EDGE('',*,*,#188436,.F.); #247839=ORIENTED_EDGE('',*,*,#188437,.F.); #247840=ORIENTED_EDGE('',*,*,#188438,.T.); #247841=ORIENTED_EDGE('',*,*,#188437,.T.); #247842=ORIENTED_EDGE('',*,*,#188439,.F.); #247843=ORIENTED_EDGE('',*,*,#188440,.F.); #247844=ORIENTED_EDGE('',*,*,#188441,.T.); #247845=ORIENTED_EDGE('',*,*,#188440,.T.); #247846=ORIENTED_EDGE('',*,*,#188442,.F.); #247847=ORIENTED_EDGE('',*,*,#188443,.F.); #247848=ORIENTED_EDGE('',*,*,#188444,.T.); #247849=ORIENTED_EDGE('',*,*,#188443,.T.); #247850=ORIENTED_EDGE('',*,*,#188445,.F.); #247851=ORIENTED_EDGE('',*,*,#188446,.F.); #247852=ORIENTED_EDGE('',*,*,#188447,.T.); #247853=ORIENTED_EDGE('',*,*,#188446,.T.); #247854=ORIENTED_EDGE('',*,*,#188448,.F.); #247855=ORIENTED_EDGE('',*,*,#188449,.F.); #247856=ORIENTED_EDGE('',*,*,#188450,.T.); #247857=ORIENTED_EDGE('',*,*,#188449,.T.); #247858=ORIENTED_EDGE('',*,*,#188451,.F.); #247859=ORIENTED_EDGE('',*,*,#188452,.F.); #247860=ORIENTED_EDGE('',*,*,#188453,.T.); #247861=ORIENTED_EDGE('',*,*,#188452,.T.); #247862=ORIENTED_EDGE('',*,*,#188454,.F.); #247863=ORIENTED_EDGE('',*,*,#188455,.F.); #247864=ORIENTED_EDGE('',*,*,#188456,.T.); #247865=ORIENTED_EDGE('',*,*,#188455,.T.); #247866=ORIENTED_EDGE('',*,*,#188457,.F.); #247867=ORIENTED_EDGE('',*,*,#188396,.F.); #247868=ORIENTED_EDGE('',*,*,#188457,.T.); #247869=ORIENTED_EDGE('',*,*,#188454,.T.); #247870=ORIENTED_EDGE('',*,*,#188451,.T.); #247871=ORIENTED_EDGE('',*,*,#188448,.T.); #247872=ORIENTED_EDGE('',*,*,#188445,.T.); #247873=ORIENTED_EDGE('',*,*,#188442,.T.); #247874=ORIENTED_EDGE('',*,*,#188439,.T.); #247875=ORIENTED_EDGE('',*,*,#188436,.T.); #247876=ORIENTED_EDGE('',*,*,#188433,.T.); #247877=ORIENTED_EDGE('',*,*,#188430,.T.); #247878=ORIENTED_EDGE('',*,*,#188427,.T.); #247879=ORIENTED_EDGE('',*,*,#188424,.T.); #247880=ORIENTED_EDGE('',*,*,#188421,.T.); #247881=ORIENTED_EDGE('',*,*,#188418,.T.); #247882=ORIENTED_EDGE('',*,*,#188415,.T.); #247883=ORIENTED_EDGE('',*,*,#188412,.T.); #247884=ORIENTED_EDGE('',*,*,#188409,.T.); #247885=ORIENTED_EDGE('',*,*,#188406,.T.); #247886=ORIENTED_EDGE('',*,*,#188403,.T.); #247887=ORIENTED_EDGE('',*,*,#188400,.T.); #247888=ORIENTED_EDGE('',*,*,#188397,.T.); #247889=ORIENTED_EDGE('',*,*,#188456,.F.); #247890=ORIENTED_EDGE('',*,*,#188395,.F.); #247891=ORIENTED_EDGE('',*,*,#188399,.F.); #247892=ORIENTED_EDGE('',*,*,#188402,.F.); #247893=ORIENTED_EDGE('',*,*,#188405,.F.); #247894=ORIENTED_EDGE('',*,*,#188408,.F.); #247895=ORIENTED_EDGE('',*,*,#188411,.F.); #247896=ORIENTED_EDGE('',*,*,#188414,.F.); #247897=ORIENTED_EDGE('',*,*,#188417,.F.); #247898=ORIENTED_EDGE('',*,*,#188420,.F.); #247899=ORIENTED_EDGE('',*,*,#188423,.F.); #247900=ORIENTED_EDGE('',*,*,#188426,.F.); #247901=ORIENTED_EDGE('',*,*,#188429,.F.); #247902=ORIENTED_EDGE('',*,*,#188432,.F.); #247903=ORIENTED_EDGE('',*,*,#188435,.F.); #247904=ORIENTED_EDGE('',*,*,#188438,.F.); #247905=ORIENTED_EDGE('',*,*,#188441,.F.); #247906=ORIENTED_EDGE('',*,*,#188444,.F.); #247907=ORIENTED_EDGE('',*,*,#188447,.F.); #247908=ORIENTED_EDGE('',*,*,#188450,.F.); #247909=ORIENTED_EDGE('',*,*,#188453,.F.); #247910=ORIENTED_EDGE('',*,*,#188458,.T.); #247911=ORIENTED_EDGE('',*,*,#188459,.T.); #247912=ORIENTED_EDGE('',*,*,#188460,.F.); #247913=ORIENTED_EDGE('',*,*,#188461,.F.); #247914=ORIENTED_EDGE('',*,*,#188462,.T.); #247915=ORIENTED_EDGE('',*,*,#188461,.T.); #247916=ORIENTED_EDGE('',*,*,#188463,.F.); #247917=ORIENTED_EDGE('',*,*,#188464,.F.); #247918=ORIENTED_EDGE('',*,*,#188465,.T.); #247919=ORIENTED_EDGE('',*,*,#188464,.T.); #247920=ORIENTED_EDGE('',*,*,#188466,.F.); #247921=ORIENTED_EDGE('',*,*,#188467,.F.); #247922=ORIENTED_EDGE('',*,*,#188468,.T.); #247923=ORIENTED_EDGE('',*,*,#188467,.T.); #247924=ORIENTED_EDGE('',*,*,#188469,.F.); #247925=ORIENTED_EDGE('',*,*,#188470,.F.); #247926=ORIENTED_EDGE('',*,*,#188471,.T.); #247927=ORIENTED_EDGE('',*,*,#188470,.T.); #247928=ORIENTED_EDGE('',*,*,#188472,.F.); #247929=ORIENTED_EDGE('',*,*,#188473,.F.); #247930=ORIENTED_EDGE('',*,*,#188474,.T.); #247931=ORIENTED_EDGE('',*,*,#188473,.T.); #247932=ORIENTED_EDGE('',*,*,#188475,.F.); #247933=ORIENTED_EDGE('',*,*,#188476,.F.); #247934=ORIENTED_EDGE('',*,*,#188477,.T.); #247935=ORIENTED_EDGE('',*,*,#188476,.T.); #247936=ORIENTED_EDGE('',*,*,#188478,.F.); #247937=ORIENTED_EDGE('',*,*,#188479,.F.); #247938=ORIENTED_EDGE('',*,*,#188480,.T.); #247939=ORIENTED_EDGE('',*,*,#188479,.T.); #247940=ORIENTED_EDGE('',*,*,#188481,.F.); #247941=ORIENTED_EDGE('',*,*,#188482,.F.); #247942=ORIENTED_EDGE('',*,*,#188483,.T.); #247943=ORIENTED_EDGE('',*,*,#188482,.T.); #247944=ORIENTED_EDGE('',*,*,#188484,.F.); #247945=ORIENTED_EDGE('',*,*,#188485,.F.); #247946=ORIENTED_EDGE('',*,*,#188486,.T.); #247947=ORIENTED_EDGE('',*,*,#188485,.T.); #247948=ORIENTED_EDGE('',*,*,#188487,.F.); #247949=ORIENTED_EDGE('',*,*,#188488,.F.); #247950=ORIENTED_EDGE('',*,*,#188489,.T.); #247951=ORIENTED_EDGE('',*,*,#188488,.T.); #247952=ORIENTED_EDGE('',*,*,#188490,.F.); #247953=ORIENTED_EDGE('',*,*,#188491,.F.); #247954=ORIENTED_EDGE('',*,*,#188492,.T.); #247955=ORIENTED_EDGE('',*,*,#188491,.T.); #247956=ORIENTED_EDGE('',*,*,#188493,.F.); #247957=ORIENTED_EDGE('',*,*,#188494,.F.); #247958=ORIENTED_EDGE('',*,*,#188495,.T.); #247959=ORIENTED_EDGE('',*,*,#188494,.T.); #247960=ORIENTED_EDGE('',*,*,#188496,.F.); #247961=ORIENTED_EDGE('',*,*,#188497,.F.); #247962=ORIENTED_EDGE('',*,*,#188498,.T.); #247963=ORIENTED_EDGE('',*,*,#188497,.T.); #247964=ORIENTED_EDGE('',*,*,#188499,.F.); #247965=ORIENTED_EDGE('',*,*,#188500,.F.); #247966=ORIENTED_EDGE('',*,*,#188501,.T.); #247967=ORIENTED_EDGE('',*,*,#188500,.T.); #247968=ORIENTED_EDGE('',*,*,#188502,.F.); #247969=ORIENTED_EDGE('',*,*,#188503,.F.); #247970=ORIENTED_EDGE('',*,*,#188504,.T.); #247971=ORIENTED_EDGE('',*,*,#188503,.T.); #247972=ORIENTED_EDGE('',*,*,#188505,.F.); #247973=ORIENTED_EDGE('',*,*,#188506,.F.); #247974=ORIENTED_EDGE('',*,*,#188507,.T.); #247975=ORIENTED_EDGE('',*,*,#188506,.T.); #247976=ORIENTED_EDGE('',*,*,#188508,.F.); #247977=ORIENTED_EDGE('',*,*,#188509,.F.); #247978=ORIENTED_EDGE('',*,*,#188510,.T.); #247979=ORIENTED_EDGE('',*,*,#188509,.T.); #247980=ORIENTED_EDGE('',*,*,#188511,.F.); #247981=ORIENTED_EDGE('',*,*,#188512,.F.); #247982=ORIENTED_EDGE('',*,*,#188513,.T.); #247983=ORIENTED_EDGE('',*,*,#188512,.T.); #247984=ORIENTED_EDGE('',*,*,#188514,.F.); #247985=ORIENTED_EDGE('',*,*,#188515,.F.); #247986=ORIENTED_EDGE('',*,*,#188516,.T.); #247987=ORIENTED_EDGE('',*,*,#188515,.T.); #247988=ORIENTED_EDGE('',*,*,#188517,.F.); #247989=ORIENTED_EDGE('',*,*,#188459,.F.); #247990=ORIENTED_EDGE('',*,*,#188517,.T.); #247991=ORIENTED_EDGE('',*,*,#188514,.T.); #247992=ORIENTED_EDGE('',*,*,#188511,.T.); #247993=ORIENTED_EDGE('',*,*,#188508,.T.); #247994=ORIENTED_EDGE('',*,*,#188505,.T.); #247995=ORIENTED_EDGE('',*,*,#188502,.T.); #247996=ORIENTED_EDGE('',*,*,#188499,.T.); #247997=ORIENTED_EDGE('',*,*,#188496,.T.); #247998=ORIENTED_EDGE('',*,*,#188493,.T.); #247999=ORIENTED_EDGE('',*,*,#188490,.T.); #248000=ORIENTED_EDGE('',*,*,#188487,.T.); #248001=ORIENTED_EDGE('',*,*,#188484,.T.); #248002=ORIENTED_EDGE('',*,*,#188481,.T.); #248003=ORIENTED_EDGE('',*,*,#188478,.T.); #248004=ORIENTED_EDGE('',*,*,#188475,.T.); #248005=ORIENTED_EDGE('',*,*,#188472,.T.); #248006=ORIENTED_EDGE('',*,*,#188469,.T.); #248007=ORIENTED_EDGE('',*,*,#188466,.T.); #248008=ORIENTED_EDGE('',*,*,#188463,.T.); #248009=ORIENTED_EDGE('',*,*,#188460,.T.); #248010=ORIENTED_EDGE('',*,*,#188516,.F.); #248011=ORIENTED_EDGE('',*,*,#188458,.F.); #248012=ORIENTED_EDGE('',*,*,#188462,.F.); #248013=ORIENTED_EDGE('',*,*,#188465,.F.); #248014=ORIENTED_EDGE('',*,*,#188468,.F.); #248015=ORIENTED_EDGE('',*,*,#188471,.F.); #248016=ORIENTED_EDGE('',*,*,#188474,.F.); #248017=ORIENTED_EDGE('',*,*,#188477,.F.); #248018=ORIENTED_EDGE('',*,*,#188480,.F.); #248019=ORIENTED_EDGE('',*,*,#188483,.F.); #248020=ORIENTED_EDGE('',*,*,#188486,.F.); #248021=ORIENTED_EDGE('',*,*,#188489,.F.); #248022=ORIENTED_EDGE('',*,*,#188492,.F.); #248023=ORIENTED_EDGE('',*,*,#188495,.F.); #248024=ORIENTED_EDGE('',*,*,#188498,.F.); #248025=ORIENTED_EDGE('',*,*,#188501,.F.); #248026=ORIENTED_EDGE('',*,*,#188504,.F.); #248027=ORIENTED_EDGE('',*,*,#188507,.F.); #248028=ORIENTED_EDGE('',*,*,#188510,.F.); #248029=ORIENTED_EDGE('',*,*,#188513,.F.); #248030=ORIENTED_EDGE('',*,*,#188518,.T.); #248031=ORIENTED_EDGE('',*,*,#188519,.T.); #248032=ORIENTED_EDGE('',*,*,#188520,.F.); #248033=ORIENTED_EDGE('',*,*,#188521,.F.); #248034=ORIENTED_EDGE('',*,*,#188522,.T.); #248035=ORIENTED_EDGE('',*,*,#188521,.T.); #248036=ORIENTED_EDGE('',*,*,#188523,.F.); #248037=ORIENTED_EDGE('',*,*,#188524,.F.); #248038=ORIENTED_EDGE('',*,*,#188525,.T.); #248039=ORIENTED_EDGE('',*,*,#188524,.T.); #248040=ORIENTED_EDGE('',*,*,#188526,.F.); #248041=ORIENTED_EDGE('',*,*,#188527,.F.); #248042=ORIENTED_EDGE('',*,*,#188528,.T.); #248043=ORIENTED_EDGE('',*,*,#188527,.T.); #248044=ORIENTED_EDGE('',*,*,#188529,.F.); #248045=ORIENTED_EDGE('',*,*,#188530,.F.); #248046=ORIENTED_EDGE('',*,*,#188531,.T.); #248047=ORIENTED_EDGE('',*,*,#188530,.T.); #248048=ORIENTED_EDGE('',*,*,#188532,.F.); #248049=ORIENTED_EDGE('',*,*,#188533,.F.); #248050=ORIENTED_EDGE('',*,*,#188534,.T.); #248051=ORIENTED_EDGE('',*,*,#188533,.T.); #248052=ORIENTED_EDGE('',*,*,#188535,.F.); #248053=ORIENTED_EDGE('',*,*,#188536,.F.); #248054=ORIENTED_EDGE('',*,*,#188537,.T.); #248055=ORIENTED_EDGE('',*,*,#188536,.T.); #248056=ORIENTED_EDGE('',*,*,#188538,.F.); #248057=ORIENTED_EDGE('',*,*,#188539,.F.); #248058=ORIENTED_EDGE('',*,*,#188540,.T.); #248059=ORIENTED_EDGE('',*,*,#188539,.T.); #248060=ORIENTED_EDGE('',*,*,#188541,.F.); #248061=ORIENTED_EDGE('',*,*,#188542,.F.); #248062=ORIENTED_EDGE('',*,*,#188543,.T.); #248063=ORIENTED_EDGE('',*,*,#188542,.T.); #248064=ORIENTED_EDGE('',*,*,#188544,.F.); #248065=ORIENTED_EDGE('',*,*,#188545,.F.); #248066=ORIENTED_EDGE('',*,*,#188546,.T.); #248067=ORIENTED_EDGE('',*,*,#188545,.T.); #248068=ORIENTED_EDGE('',*,*,#188547,.F.); #248069=ORIENTED_EDGE('',*,*,#188548,.F.); #248070=ORIENTED_EDGE('',*,*,#188549,.T.); #248071=ORIENTED_EDGE('',*,*,#188548,.T.); #248072=ORIENTED_EDGE('',*,*,#188550,.F.); #248073=ORIENTED_EDGE('',*,*,#188551,.F.); #248074=ORIENTED_EDGE('',*,*,#188552,.T.); #248075=ORIENTED_EDGE('',*,*,#188551,.T.); #248076=ORIENTED_EDGE('',*,*,#188553,.F.); #248077=ORIENTED_EDGE('',*,*,#188554,.F.); #248078=ORIENTED_EDGE('',*,*,#188555,.T.); #248079=ORIENTED_EDGE('',*,*,#188554,.T.); #248080=ORIENTED_EDGE('',*,*,#188556,.F.); #248081=ORIENTED_EDGE('',*,*,#188557,.F.); #248082=ORIENTED_EDGE('',*,*,#188558,.T.); #248083=ORIENTED_EDGE('',*,*,#188557,.T.); #248084=ORIENTED_EDGE('',*,*,#188559,.F.); #248085=ORIENTED_EDGE('',*,*,#188560,.F.); #248086=ORIENTED_EDGE('',*,*,#188561,.T.); #248087=ORIENTED_EDGE('',*,*,#188560,.T.); #248088=ORIENTED_EDGE('',*,*,#188562,.F.); #248089=ORIENTED_EDGE('',*,*,#188563,.F.); #248090=ORIENTED_EDGE('',*,*,#188564,.T.); #248091=ORIENTED_EDGE('',*,*,#188563,.T.); #248092=ORIENTED_EDGE('',*,*,#188565,.F.); #248093=ORIENTED_EDGE('',*,*,#188566,.F.); #248094=ORIENTED_EDGE('',*,*,#188567,.T.); #248095=ORIENTED_EDGE('',*,*,#188566,.T.); #248096=ORIENTED_EDGE('',*,*,#188568,.F.); #248097=ORIENTED_EDGE('',*,*,#188569,.F.); #248098=ORIENTED_EDGE('',*,*,#188570,.T.); #248099=ORIENTED_EDGE('',*,*,#188569,.T.); #248100=ORIENTED_EDGE('',*,*,#188571,.F.); #248101=ORIENTED_EDGE('',*,*,#188572,.F.); #248102=ORIENTED_EDGE('',*,*,#188573,.T.); #248103=ORIENTED_EDGE('',*,*,#188572,.T.); #248104=ORIENTED_EDGE('',*,*,#188574,.F.); #248105=ORIENTED_EDGE('',*,*,#188575,.F.); #248106=ORIENTED_EDGE('',*,*,#188576,.T.); #248107=ORIENTED_EDGE('',*,*,#188575,.T.); #248108=ORIENTED_EDGE('',*,*,#188577,.F.); #248109=ORIENTED_EDGE('',*,*,#188578,.F.); #248110=ORIENTED_EDGE('',*,*,#188579,.T.); #248111=ORIENTED_EDGE('',*,*,#188578,.T.); #248112=ORIENTED_EDGE('',*,*,#188580,.F.); #248113=ORIENTED_EDGE('',*,*,#188581,.F.); #248114=ORIENTED_EDGE('',*,*,#188582,.T.); #248115=ORIENTED_EDGE('',*,*,#188581,.T.); #248116=ORIENTED_EDGE('',*,*,#188583,.F.); #248117=ORIENTED_EDGE('',*,*,#188584,.F.); #248118=ORIENTED_EDGE('',*,*,#188585,.T.); #248119=ORIENTED_EDGE('',*,*,#188584,.T.); #248120=ORIENTED_EDGE('',*,*,#188586,.F.); #248121=ORIENTED_EDGE('',*,*,#188587,.F.); #248122=ORIENTED_EDGE('',*,*,#188588,.T.); #248123=ORIENTED_EDGE('',*,*,#188587,.T.); #248124=ORIENTED_EDGE('',*,*,#188589,.F.); #248125=ORIENTED_EDGE('',*,*,#188590,.F.); #248126=ORIENTED_EDGE('',*,*,#188591,.T.); #248127=ORIENTED_EDGE('',*,*,#188590,.T.); #248128=ORIENTED_EDGE('',*,*,#188592,.F.); #248129=ORIENTED_EDGE('',*,*,#188593,.F.); #248130=ORIENTED_EDGE('',*,*,#188594,.T.); #248131=ORIENTED_EDGE('',*,*,#188593,.T.); #248132=ORIENTED_EDGE('',*,*,#188595,.F.); #248133=ORIENTED_EDGE('',*,*,#188596,.F.); #248134=ORIENTED_EDGE('',*,*,#188597,.T.); #248135=ORIENTED_EDGE('',*,*,#188596,.T.); #248136=ORIENTED_EDGE('',*,*,#188598,.F.); #248137=ORIENTED_EDGE('',*,*,#188599,.F.); #248138=ORIENTED_EDGE('',*,*,#188600,.T.); #248139=ORIENTED_EDGE('',*,*,#188599,.T.); #248140=ORIENTED_EDGE('',*,*,#188601,.F.); #248141=ORIENTED_EDGE('',*,*,#188602,.F.); #248142=ORIENTED_EDGE('',*,*,#188603,.T.); #248143=ORIENTED_EDGE('',*,*,#188602,.T.); #248144=ORIENTED_EDGE('',*,*,#188604,.F.); #248145=ORIENTED_EDGE('',*,*,#188605,.F.); #248146=ORIENTED_EDGE('',*,*,#188606,.T.); #248147=ORIENTED_EDGE('',*,*,#188605,.T.); #248148=ORIENTED_EDGE('',*,*,#188607,.F.); #248149=ORIENTED_EDGE('',*,*,#188608,.F.); #248150=ORIENTED_EDGE('',*,*,#188609,.T.); #248151=ORIENTED_EDGE('',*,*,#188608,.T.); #248152=ORIENTED_EDGE('',*,*,#188610,.F.); #248153=ORIENTED_EDGE('',*,*,#188611,.F.); #248154=ORIENTED_EDGE('',*,*,#188612,.T.); #248155=ORIENTED_EDGE('',*,*,#188611,.T.); #248156=ORIENTED_EDGE('',*,*,#188613,.F.); #248157=ORIENTED_EDGE('',*,*,#188614,.F.); #248158=ORIENTED_EDGE('',*,*,#188615,.T.); #248159=ORIENTED_EDGE('',*,*,#188614,.T.); #248160=ORIENTED_EDGE('',*,*,#188616,.F.); #248161=ORIENTED_EDGE('',*,*,#188617,.F.); #248162=ORIENTED_EDGE('',*,*,#188618,.T.); #248163=ORIENTED_EDGE('',*,*,#188617,.T.); #248164=ORIENTED_EDGE('',*,*,#188619,.F.); #248165=ORIENTED_EDGE('',*,*,#188620,.F.); #248166=ORIENTED_EDGE('',*,*,#188621,.T.); #248167=ORIENTED_EDGE('',*,*,#188620,.T.); #248168=ORIENTED_EDGE('',*,*,#188622,.F.); #248169=ORIENTED_EDGE('',*,*,#188623,.F.); #248170=ORIENTED_EDGE('',*,*,#188624,.T.); #248171=ORIENTED_EDGE('',*,*,#188623,.T.); #248172=ORIENTED_EDGE('',*,*,#188625,.F.); #248173=ORIENTED_EDGE('',*,*,#188626,.F.); #248174=ORIENTED_EDGE('',*,*,#188627,.T.); #248175=ORIENTED_EDGE('',*,*,#188626,.T.); #248176=ORIENTED_EDGE('',*,*,#188628,.F.); #248177=ORIENTED_EDGE('',*,*,#188519,.F.); #248178=ORIENTED_EDGE('',*,*,#188628,.T.); #248179=ORIENTED_EDGE('',*,*,#188625,.T.); #248180=ORIENTED_EDGE('',*,*,#188622,.T.); #248181=ORIENTED_EDGE('',*,*,#188619,.T.); #248182=ORIENTED_EDGE('',*,*,#188616,.T.); #248183=ORIENTED_EDGE('',*,*,#188613,.T.); #248184=ORIENTED_EDGE('',*,*,#188610,.T.); #248185=ORIENTED_EDGE('',*,*,#188607,.T.); #248186=ORIENTED_EDGE('',*,*,#188604,.T.); #248187=ORIENTED_EDGE('',*,*,#188601,.T.); #248188=ORIENTED_EDGE('',*,*,#188598,.T.); #248189=ORIENTED_EDGE('',*,*,#188595,.T.); #248190=ORIENTED_EDGE('',*,*,#188592,.T.); #248191=ORIENTED_EDGE('',*,*,#188589,.T.); #248192=ORIENTED_EDGE('',*,*,#188586,.T.); #248193=ORIENTED_EDGE('',*,*,#188583,.T.); #248194=ORIENTED_EDGE('',*,*,#188580,.T.); #248195=ORIENTED_EDGE('',*,*,#188577,.T.); #248196=ORIENTED_EDGE('',*,*,#188574,.T.); #248197=ORIENTED_EDGE('',*,*,#188571,.T.); #248198=ORIENTED_EDGE('',*,*,#188568,.T.); #248199=ORIENTED_EDGE('',*,*,#188565,.T.); #248200=ORIENTED_EDGE('',*,*,#188562,.T.); #248201=ORIENTED_EDGE('',*,*,#188559,.T.); #248202=ORIENTED_EDGE('',*,*,#188556,.T.); #248203=ORIENTED_EDGE('',*,*,#188553,.T.); #248204=ORIENTED_EDGE('',*,*,#188550,.T.); #248205=ORIENTED_EDGE('',*,*,#188547,.T.); #248206=ORIENTED_EDGE('',*,*,#188544,.T.); #248207=ORIENTED_EDGE('',*,*,#188541,.T.); #248208=ORIENTED_EDGE('',*,*,#188538,.T.); #248209=ORIENTED_EDGE('',*,*,#188535,.T.); #248210=ORIENTED_EDGE('',*,*,#188532,.T.); #248211=ORIENTED_EDGE('',*,*,#188529,.T.); #248212=ORIENTED_EDGE('',*,*,#188526,.T.); #248213=ORIENTED_EDGE('',*,*,#188523,.T.); #248214=ORIENTED_EDGE('',*,*,#188520,.T.); #248215=ORIENTED_EDGE('',*,*,#188627,.F.); #248216=ORIENTED_EDGE('',*,*,#188518,.F.); #248217=ORIENTED_EDGE('',*,*,#188522,.F.); #248218=ORIENTED_EDGE('',*,*,#188525,.F.); #248219=ORIENTED_EDGE('',*,*,#188528,.F.); #248220=ORIENTED_EDGE('',*,*,#188531,.F.); #248221=ORIENTED_EDGE('',*,*,#188534,.F.); #248222=ORIENTED_EDGE('',*,*,#188537,.F.); #248223=ORIENTED_EDGE('',*,*,#188540,.F.); #248224=ORIENTED_EDGE('',*,*,#188543,.F.); #248225=ORIENTED_EDGE('',*,*,#188546,.F.); #248226=ORIENTED_EDGE('',*,*,#188549,.F.); #248227=ORIENTED_EDGE('',*,*,#188552,.F.); #248228=ORIENTED_EDGE('',*,*,#188555,.F.); #248229=ORIENTED_EDGE('',*,*,#188558,.F.); #248230=ORIENTED_EDGE('',*,*,#188561,.F.); #248231=ORIENTED_EDGE('',*,*,#188564,.F.); #248232=ORIENTED_EDGE('',*,*,#188567,.F.); #248233=ORIENTED_EDGE('',*,*,#188570,.F.); #248234=ORIENTED_EDGE('',*,*,#188573,.F.); #248235=ORIENTED_EDGE('',*,*,#188576,.F.); #248236=ORIENTED_EDGE('',*,*,#188579,.F.); #248237=ORIENTED_EDGE('',*,*,#188582,.F.); #248238=ORIENTED_EDGE('',*,*,#188585,.F.); #248239=ORIENTED_EDGE('',*,*,#188588,.F.); #248240=ORIENTED_EDGE('',*,*,#188591,.F.); #248241=ORIENTED_EDGE('',*,*,#188594,.F.); #248242=ORIENTED_EDGE('',*,*,#188597,.F.); #248243=ORIENTED_EDGE('',*,*,#188600,.F.); #248244=ORIENTED_EDGE('',*,*,#188603,.F.); #248245=ORIENTED_EDGE('',*,*,#188606,.F.); #248246=ORIENTED_EDGE('',*,*,#188609,.F.); #248247=ORIENTED_EDGE('',*,*,#188612,.F.); #248248=ORIENTED_EDGE('',*,*,#188615,.F.); #248249=ORIENTED_EDGE('',*,*,#188618,.F.); #248250=ORIENTED_EDGE('',*,*,#188621,.F.); #248251=ORIENTED_EDGE('',*,*,#188624,.F.); #248252=ORIENTED_EDGE('',*,*,#188629,.T.); #248253=ORIENTED_EDGE('',*,*,#188630,.T.); #248254=ORIENTED_EDGE('',*,*,#188631,.F.); #248255=ORIENTED_EDGE('',*,*,#188632,.F.); #248256=ORIENTED_EDGE('',*,*,#188633,.T.); #248257=ORIENTED_EDGE('',*,*,#188632,.T.); #248258=ORIENTED_EDGE('',*,*,#188634,.F.); #248259=ORIENTED_EDGE('',*,*,#188635,.F.); #248260=ORIENTED_EDGE('',*,*,#188636,.T.); #248261=ORIENTED_EDGE('',*,*,#188635,.T.); #248262=ORIENTED_EDGE('',*,*,#188637,.F.); #248263=ORIENTED_EDGE('',*,*,#188638,.F.); #248264=ORIENTED_EDGE('',*,*,#188639,.T.); #248265=ORIENTED_EDGE('',*,*,#188638,.T.); #248266=ORIENTED_EDGE('',*,*,#188640,.F.); #248267=ORIENTED_EDGE('',*,*,#188641,.F.); #248268=ORIENTED_EDGE('',*,*,#188642,.T.); #248269=ORIENTED_EDGE('',*,*,#188641,.T.); #248270=ORIENTED_EDGE('',*,*,#188643,.F.); #248271=ORIENTED_EDGE('',*,*,#188644,.F.); #248272=ORIENTED_EDGE('',*,*,#188645,.T.); #248273=ORIENTED_EDGE('',*,*,#188644,.T.); #248274=ORIENTED_EDGE('',*,*,#188646,.F.); #248275=ORIENTED_EDGE('',*,*,#188647,.F.); #248276=ORIENTED_EDGE('',*,*,#188648,.T.); #248277=ORIENTED_EDGE('',*,*,#188647,.T.); #248278=ORIENTED_EDGE('',*,*,#188649,.F.); #248279=ORIENTED_EDGE('',*,*,#188650,.F.); #248280=ORIENTED_EDGE('',*,*,#188651,.T.); #248281=ORIENTED_EDGE('',*,*,#188650,.T.); #248282=ORIENTED_EDGE('',*,*,#188652,.F.); #248283=ORIENTED_EDGE('',*,*,#188653,.F.); #248284=ORIENTED_EDGE('',*,*,#188654,.T.); #248285=ORIENTED_EDGE('',*,*,#188653,.T.); #248286=ORIENTED_EDGE('',*,*,#188655,.F.); #248287=ORIENTED_EDGE('',*,*,#188656,.F.); #248288=ORIENTED_EDGE('',*,*,#188657,.T.); #248289=ORIENTED_EDGE('',*,*,#188656,.T.); #248290=ORIENTED_EDGE('',*,*,#188658,.F.); #248291=ORIENTED_EDGE('',*,*,#188659,.F.); #248292=ORIENTED_EDGE('',*,*,#188660,.T.); #248293=ORIENTED_EDGE('',*,*,#188659,.T.); #248294=ORIENTED_EDGE('',*,*,#188661,.F.); #248295=ORIENTED_EDGE('',*,*,#188662,.F.); #248296=ORIENTED_EDGE('',*,*,#188663,.T.); #248297=ORIENTED_EDGE('',*,*,#188662,.T.); #248298=ORIENTED_EDGE('',*,*,#188664,.F.); #248299=ORIENTED_EDGE('',*,*,#188665,.F.); #248300=ORIENTED_EDGE('',*,*,#188666,.T.); #248301=ORIENTED_EDGE('',*,*,#188665,.T.); #248302=ORIENTED_EDGE('',*,*,#188667,.F.); #248303=ORIENTED_EDGE('',*,*,#188668,.F.); #248304=ORIENTED_EDGE('',*,*,#188669,.T.); #248305=ORIENTED_EDGE('',*,*,#188668,.T.); #248306=ORIENTED_EDGE('',*,*,#188670,.F.); #248307=ORIENTED_EDGE('',*,*,#188671,.F.); #248308=ORIENTED_EDGE('',*,*,#188672,.T.); #248309=ORIENTED_EDGE('',*,*,#188671,.T.); #248310=ORIENTED_EDGE('',*,*,#188673,.F.); #248311=ORIENTED_EDGE('',*,*,#188674,.F.); #248312=ORIENTED_EDGE('',*,*,#188675,.T.); #248313=ORIENTED_EDGE('',*,*,#188674,.T.); #248314=ORIENTED_EDGE('',*,*,#188676,.F.); #248315=ORIENTED_EDGE('',*,*,#188677,.F.); #248316=ORIENTED_EDGE('',*,*,#188678,.T.); #248317=ORIENTED_EDGE('',*,*,#188677,.T.); #248318=ORIENTED_EDGE('',*,*,#188679,.F.); #248319=ORIENTED_EDGE('',*,*,#188680,.F.); #248320=ORIENTED_EDGE('',*,*,#188681,.T.); #248321=ORIENTED_EDGE('',*,*,#188680,.T.); #248322=ORIENTED_EDGE('',*,*,#188682,.F.); #248323=ORIENTED_EDGE('',*,*,#188683,.F.); #248324=ORIENTED_EDGE('',*,*,#188684,.T.); #248325=ORIENTED_EDGE('',*,*,#188683,.T.); #248326=ORIENTED_EDGE('',*,*,#188685,.F.); #248327=ORIENTED_EDGE('',*,*,#188686,.F.); #248328=ORIENTED_EDGE('',*,*,#188687,.T.); #248329=ORIENTED_EDGE('',*,*,#188686,.T.); #248330=ORIENTED_EDGE('',*,*,#188688,.F.); #248331=ORIENTED_EDGE('',*,*,#188630,.F.); #248332=ORIENTED_EDGE('',*,*,#188688,.T.); #248333=ORIENTED_EDGE('',*,*,#188685,.T.); #248334=ORIENTED_EDGE('',*,*,#188682,.T.); #248335=ORIENTED_EDGE('',*,*,#188679,.T.); #248336=ORIENTED_EDGE('',*,*,#188676,.T.); #248337=ORIENTED_EDGE('',*,*,#188673,.T.); #248338=ORIENTED_EDGE('',*,*,#188670,.T.); #248339=ORIENTED_EDGE('',*,*,#188667,.T.); #248340=ORIENTED_EDGE('',*,*,#188664,.T.); #248341=ORIENTED_EDGE('',*,*,#188661,.T.); #248342=ORIENTED_EDGE('',*,*,#188658,.T.); #248343=ORIENTED_EDGE('',*,*,#188655,.T.); #248344=ORIENTED_EDGE('',*,*,#188652,.T.); #248345=ORIENTED_EDGE('',*,*,#188649,.T.); #248346=ORIENTED_EDGE('',*,*,#188646,.T.); #248347=ORIENTED_EDGE('',*,*,#188643,.T.); #248348=ORIENTED_EDGE('',*,*,#188640,.T.); #248349=ORIENTED_EDGE('',*,*,#188637,.T.); #248350=ORIENTED_EDGE('',*,*,#188634,.T.); #248351=ORIENTED_EDGE('',*,*,#188631,.T.); #248352=ORIENTED_EDGE('',*,*,#188687,.F.); #248353=ORIENTED_EDGE('',*,*,#188629,.F.); #248354=ORIENTED_EDGE('',*,*,#188633,.F.); #248355=ORIENTED_EDGE('',*,*,#188636,.F.); #248356=ORIENTED_EDGE('',*,*,#188639,.F.); #248357=ORIENTED_EDGE('',*,*,#188642,.F.); #248358=ORIENTED_EDGE('',*,*,#188645,.F.); #248359=ORIENTED_EDGE('',*,*,#188648,.F.); #248360=ORIENTED_EDGE('',*,*,#188651,.F.); #248361=ORIENTED_EDGE('',*,*,#188654,.F.); #248362=ORIENTED_EDGE('',*,*,#188657,.F.); #248363=ORIENTED_EDGE('',*,*,#188660,.F.); #248364=ORIENTED_EDGE('',*,*,#188663,.F.); #248365=ORIENTED_EDGE('',*,*,#188666,.F.); #248366=ORIENTED_EDGE('',*,*,#188669,.F.); #248367=ORIENTED_EDGE('',*,*,#188672,.F.); #248368=ORIENTED_EDGE('',*,*,#188675,.F.); #248369=ORIENTED_EDGE('',*,*,#188678,.F.); #248370=ORIENTED_EDGE('',*,*,#188681,.F.); #248371=ORIENTED_EDGE('',*,*,#188684,.F.); #248372=ORIENTED_EDGE('',*,*,#188689,.T.); #248373=ORIENTED_EDGE('',*,*,#188690,.T.); #248374=ORIENTED_EDGE('',*,*,#188691,.F.); #248375=ORIENTED_EDGE('',*,*,#188692,.F.); #248376=ORIENTED_EDGE('',*,*,#188693,.T.); #248377=ORIENTED_EDGE('',*,*,#188692,.T.); #248378=ORIENTED_EDGE('',*,*,#188694,.F.); #248379=ORIENTED_EDGE('',*,*,#188695,.F.); #248380=ORIENTED_EDGE('',*,*,#188696,.T.); #248381=ORIENTED_EDGE('',*,*,#188695,.T.); #248382=ORIENTED_EDGE('',*,*,#188697,.F.); #248383=ORIENTED_EDGE('',*,*,#188698,.F.); #248384=ORIENTED_EDGE('',*,*,#188699,.T.); #248385=ORIENTED_EDGE('',*,*,#188698,.T.); #248386=ORIENTED_EDGE('',*,*,#188700,.F.); #248387=ORIENTED_EDGE('',*,*,#188701,.F.); #248388=ORIENTED_EDGE('',*,*,#188702,.T.); #248389=ORIENTED_EDGE('',*,*,#188701,.T.); #248390=ORIENTED_EDGE('',*,*,#188703,.F.); #248391=ORIENTED_EDGE('',*,*,#188704,.F.); #248392=ORIENTED_EDGE('',*,*,#188705,.T.); #248393=ORIENTED_EDGE('',*,*,#188704,.T.); #248394=ORIENTED_EDGE('',*,*,#188706,.F.); #248395=ORIENTED_EDGE('',*,*,#188707,.F.); #248396=ORIENTED_EDGE('',*,*,#188708,.T.); #248397=ORIENTED_EDGE('',*,*,#188707,.T.); #248398=ORIENTED_EDGE('',*,*,#188709,.F.); #248399=ORIENTED_EDGE('',*,*,#188710,.F.); #248400=ORIENTED_EDGE('',*,*,#188711,.T.); #248401=ORIENTED_EDGE('',*,*,#188710,.T.); #248402=ORIENTED_EDGE('',*,*,#188712,.F.); #248403=ORIENTED_EDGE('',*,*,#188713,.F.); #248404=ORIENTED_EDGE('',*,*,#188714,.T.); #248405=ORIENTED_EDGE('',*,*,#188713,.T.); #248406=ORIENTED_EDGE('',*,*,#188715,.F.); #248407=ORIENTED_EDGE('',*,*,#188716,.F.); #248408=ORIENTED_EDGE('',*,*,#188717,.T.); #248409=ORIENTED_EDGE('',*,*,#188716,.T.); #248410=ORIENTED_EDGE('',*,*,#188718,.F.); #248411=ORIENTED_EDGE('',*,*,#188719,.F.); #248412=ORIENTED_EDGE('',*,*,#188720,.T.); #248413=ORIENTED_EDGE('',*,*,#188719,.T.); #248414=ORIENTED_EDGE('',*,*,#188721,.F.); #248415=ORIENTED_EDGE('',*,*,#188722,.F.); #248416=ORIENTED_EDGE('',*,*,#188723,.T.); #248417=ORIENTED_EDGE('',*,*,#188722,.T.); #248418=ORIENTED_EDGE('',*,*,#188724,.F.); #248419=ORIENTED_EDGE('',*,*,#188725,.F.); #248420=ORIENTED_EDGE('',*,*,#188726,.T.); #248421=ORIENTED_EDGE('',*,*,#188725,.T.); #248422=ORIENTED_EDGE('',*,*,#188727,.F.); #248423=ORIENTED_EDGE('',*,*,#188728,.F.); #248424=ORIENTED_EDGE('',*,*,#188729,.T.); #248425=ORIENTED_EDGE('',*,*,#188728,.T.); #248426=ORIENTED_EDGE('',*,*,#188730,.F.); #248427=ORIENTED_EDGE('',*,*,#188731,.F.); #248428=ORIENTED_EDGE('',*,*,#188732,.T.); #248429=ORIENTED_EDGE('',*,*,#188731,.T.); #248430=ORIENTED_EDGE('',*,*,#188733,.F.); #248431=ORIENTED_EDGE('',*,*,#188734,.F.); #248432=ORIENTED_EDGE('',*,*,#188735,.T.); #248433=ORIENTED_EDGE('',*,*,#188734,.T.); #248434=ORIENTED_EDGE('',*,*,#188736,.F.); #248435=ORIENTED_EDGE('',*,*,#188737,.F.); #248436=ORIENTED_EDGE('',*,*,#188738,.T.); #248437=ORIENTED_EDGE('',*,*,#188737,.T.); #248438=ORIENTED_EDGE('',*,*,#188739,.F.); #248439=ORIENTED_EDGE('',*,*,#188740,.F.); #248440=ORIENTED_EDGE('',*,*,#188741,.T.); #248441=ORIENTED_EDGE('',*,*,#188740,.T.); #248442=ORIENTED_EDGE('',*,*,#188742,.F.); #248443=ORIENTED_EDGE('',*,*,#188743,.F.); #248444=ORIENTED_EDGE('',*,*,#188744,.T.); #248445=ORIENTED_EDGE('',*,*,#188743,.T.); #248446=ORIENTED_EDGE('',*,*,#188745,.F.); #248447=ORIENTED_EDGE('',*,*,#188746,.F.); #248448=ORIENTED_EDGE('',*,*,#188747,.T.); #248449=ORIENTED_EDGE('',*,*,#188746,.T.); #248450=ORIENTED_EDGE('',*,*,#188748,.F.); #248451=ORIENTED_EDGE('',*,*,#188749,.F.); #248452=ORIENTED_EDGE('',*,*,#188750,.T.); #248453=ORIENTED_EDGE('',*,*,#188749,.T.); #248454=ORIENTED_EDGE('',*,*,#188751,.F.); #248455=ORIENTED_EDGE('',*,*,#188752,.F.); #248456=ORIENTED_EDGE('',*,*,#188753,.T.); #248457=ORIENTED_EDGE('',*,*,#188752,.T.); #248458=ORIENTED_EDGE('',*,*,#188754,.F.); #248459=ORIENTED_EDGE('',*,*,#188755,.F.); #248460=ORIENTED_EDGE('',*,*,#188756,.T.); #248461=ORIENTED_EDGE('',*,*,#188755,.T.); #248462=ORIENTED_EDGE('',*,*,#188757,.F.); #248463=ORIENTED_EDGE('',*,*,#188758,.F.); #248464=ORIENTED_EDGE('',*,*,#188759,.T.); #248465=ORIENTED_EDGE('',*,*,#188758,.T.); #248466=ORIENTED_EDGE('',*,*,#188760,.F.); #248467=ORIENTED_EDGE('',*,*,#188761,.F.); #248468=ORIENTED_EDGE('',*,*,#188762,.T.); #248469=ORIENTED_EDGE('',*,*,#188761,.T.); #248470=ORIENTED_EDGE('',*,*,#188763,.F.); #248471=ORIENTED_EDGE('',*,*,#188764,.F.); #248472=ORIENTED_EDGE('',*,*,#188765,.T.); #248473=ORIENTED_EDGE('',*,*,#188764,.T.); #248474=ORIENTED_EDGE('',*,*,#188766,.F.); #248475=ORIENTED_EDGE('',*,*,#188767,.F.); #248476=ORIENTED_EDGE('',*,*,#188768,.T.); #248477=ORIENTED_EDGE('',*,*,#188767,.T.); #248478=ORIENTED_EDGE('',*,*,#188769,.F.); #248479=ORIENTED_EDGE('',*,*,#188770,.F.); #248480=ORIENTED_EDGE('',*,*,#188771,.T.); #248481=ORIENTED_EDGE('',*,*,#188770,.T.); #248482=ORIENTED_EDGE('',*,*,#188772,.F.); #248483=ORIENTED_EDGE('',*,*,#188773,.F.); #248484=ORIENTED_EDGE('',*,*,#188774,.T.); #248485=ORIENTED_EDGE('',*,*,#188773,.T.); #248486=ORIENTED_EDGE('',*,*,#188775,.F.); #248487=ORIENTED_EDGE('',*,*,#188776,.F.); #248488=ORIENTED_EDGE('',*,*,#188777,.T.); #248489=ORIENTED_EDGE('',*,*,#188776,.T.); #248490=ORIENTED_EDGE('',*,*,#188778,.F.); #248491=ORIENTED_EDGE('',*,*,#188779,.F.); #248492=ORIENTED_EDGE('',*,*,#188780,.T.); #248493=ORIENTED_EDGE('',*,*,#188779,.T.); #248494=ORIENTED_EDGE('',*,*,#188781,.F.); #248495=ORIENTED_EDGE('',*,*,#188782,.F.); #248496=ORIENTED_EDGE('',*,*,#188783,.T.); #248497=ORIENTED_EDGE('',*,*,#188782,.T.); #248498=ORIENTED_EDGE('',*,*,#188784,.F.); #248499=ORIENTED_EDGE('',*,*,#188785,.F.); #248500=ORIENTED_EDGE('',*,*,#188786,.T.); #248501=ORIENTED_EDGE('',*,*,#188785,.T.); #248502=ORIENTED_EDGE('',*,*,#188787,.F.); #248503=ORIENTED_EDGE('',*,*,#188788,.F.); #248504=ORIENTED_EDGE('',*,*,#188789,.T.); #248505=ORIENTED_EDGE('',*,*,#188788,.T.); #248506=ORIENTED_EDGE('',*,*,#188790,.F.); #248507=ORIENTED_EDGE('',*,*,#188791,.F.); #248508=ORIENTED_EDGE('',*,*,#188792,.T.); #248509=ORIENTED_EDGE('',*,*,#188791,.T.); #248510=ORIENTED_EDGE('',*,*,#188793,.F.); #248511=ORIENTED_EDGE('',*,*,#188794,.F.); #248512=ORIENTED_EDGE('',*,*,#188795,.T.); #248513=ORIENTED_EDGE('',*,*,#188794,.T.); #248514=ORIENTED_EDGE('',*,*,#188796,.F.); #248515=ORIENTED_EDGE('',*,*,#188797,.F.); #248516=ORIENTED_EDGE('',*,*,#188798,.T.); #248517=ORIENTED_EDGE('',*,*,#188797,.T.); #248518=ORIENTED_EDGE('',*,*,#188799,.F.); #248519=ORIENTED_EDGE('',*,*,#188800,.F.); #248520=ORIENTED_EDGE('',*,*,#188801,.T.); #248521=ORIENTED_EDGE('',*,*,#188800,.T.); #248522=ORIENTED_EDGE('',*,*,#188802,.F.); #248523=ORIENTED_EDGE('',*,*,#188803,.F.); #248524=ORIENTED_EDGE('',*,*,#188804,.T.); #248525=ORIENTED_EDGE('',*,*,#188803,.T.); #248526=ORIENTED_EDGE('',*,*,#188805,.F.); #248527=ORIENTED_EDGE('',*,*,#188806,.F.); #248528=ORIENTED_EDGE('',*,*,#188807,.T.); #248529=ORIENTED_EDGE('',*,*,#188806,.T.); #248530=ORIENTED_EDGE('',*,*,#188808,.F.); #248531=ORIENTED_EDGE('',*,*,#188809,.F.); #248532=ORIENTED_EDGE('',*,*,#188810,.T.); #248533=ORIENTED_EDGE('',*,*,#188809,.T.); #248534=ORIENTED_EDGE('',*,*,#188811,.F.); #248535=ORIENTED_EDGE('',*,*,#188812,.F.); #248536=ORIENTED_EDGE('',*,*,#188813,.T.); #248537=ORIENTED_EDGE('',*,*,#188812,.T.); #248538=ORIENTED_EDGE('',*,*,#188814,.F.); #248539=ORIENTED_EDGE('',*,*,#188815,.F.); #248540=ORIENTED_EDGE('',*,*,#188816,.T.); #248541=ORIENTED_EDGE('',*,*,#188815,.T.); #248542=ORIENTED_EDGE('',*,*,#188817,.F.); #248543=ORIENTED_EDGE('',*,*,#188818,.F.); #248544=ORIENTED_EDGE('',*,*,#188819,.T.); #248545=ORIENTED_EDGE('',*,*,#188818,.T.); #248546=ORIENTED_EDGE('',*,*,#188820,.F.); #248547=ORIENTED_EDGE('',*,*,#188821,.F.); #248548=ORIENTED_EDGE('',*,*,#188822,.T.); #248549=ORIENTED_EDGE('',*,*,#188821,.T.); #248550=ORIENTED_EDGE('',*,*,#188823,.F.); #248551=ORIENTED_EDGE('',*,*,#188824,.F.); #248552=ORIENTED_EDGE('',*,*,#188825,.T.); #248553=ORIENTED_EDGE('',*,*,#188824,.T.); #248554=ORIENTED_EDGE('',*,*,#188826,.F.); #248555=ORIENTED_EDGE('',*,*,#188827,.F.); #248556=ORIENTED_EDGE('',*,*,#188828,.T.); #248557=ORIENTED_EDGE('',*,*,#188827,.T.); #248558=ORIENTED_EDGE('',*,*,#188829,.F.); #248559=ORIENTED_EDGE('',*,*,#188830,.F.); #248560=ORIENTED_EDGE('',*,*,#188831,.T.); #248561=ORIENTED_EDGE('',*,*,#188830,.T.); #248562=ORIENTED_EDGE('',*,*,#188832,.F.); #248563=ORIENTED_EDGE('',*,*,#188690,.F.); #248564=ORIENTED_EDGE('',*,*,#188832,.T.); #248565=ORIENTED_EDGE('',*,*,#188829,.T.); #248566=ORIENTED_EDGE('',*,*,#188826,.T.); #248567=ORIENTED_EDGE('',*,*,#188823,.T.); #248568=ORIENTED_EDGE('',*,*,#188820,.T.); #248569=ORIENTED_EDGE('',*,*,#188817,.T.); #248570=ORIENTED_EDGE('',*,*,#188814,.T.); #248571=ORIENTED_EDGE('',*,*,#188811,.T.); #248572=ORIENTED_EDGE('',*,*,#188808,.T.); #248573=ORIENTED_EDGE('',*,*,#188805,.T.); #248574=ORIENTED_EDGE('',*,*,#188802,.T.); #248575=ORIENTED_EDGE('',*,*,#188799,.T.); #248576=ORIENTED_EDGE('',*,*,#188796,.T.); #248577=ORIENTED_EDGE('',*,*,#188793,.T.); #248578=ORIENTED_EDGE('',*,*,#188790,.T.); #248579=ORIENTED_EDGE('',*,*,#188787,.T.); #248580=ORIENTED_EDGE('',*,*,#188784,.T.); #248581=ORIENTED_EDGE('',*,*,#188781,.T.); #248582=ORIENTED_EDGE('',*,*,#188778,.T.); #248583=ORIENTED_EDGE('',*,*,#188775,.T.); #248584=ORIENTED_EDGE('',*,*,#188772,.T.); #248585=ORIENTED_EDGE('',*,*,#188769,.T.); #248586=ORIENTED_EDGE('',*,*,#188766,.T.); #248587=ORIENTED_EDGE('',*,*,#188763,.T.); #248588=ORIENTED_EDGE('',*,*,#188760,.T.); #248589=ORIENTED_EDGE('',*,*,#188757,.T.); #248590=ORIENTED_EDGE('',*,*,#188754,.T.); #248591=ORIENTED_EDGE('',*,*,#188751,.T.); #248592=ORIENTED_EDGE('',*,*,#188748,.T.); #248593=ORIENTED_EDGE('',*,*,#188745,.T.); #248594=ORIENTED_EDGE('',*,*,#188742,.T.); #248595=ORIENTED_EDGE('',*,*,#188739,.T.); #248596=ORIENTED_EDGE('',*,*,#188736,.T.); #248597=ORIENTED_EDGE('',*,*,#188733,.T.); #248598=ORIENTED_EDGE('',*,*,#188730,.T.); #248599=ORIENTED_EDGE('',*,*,#188727,.T.); #248600=ORIENTED_EDGE('',*,*,#188724,.T.); #248601=ORIENTED_EDGE('',*,*,#188721,.T.); #248602=ORIENTED_EDGE('',*,*,#188718,.T.); #248603=ORIENTED_EDGE('',*,*,#188715,.T.); #248604=ORIENTED_EDGE('',*,*,#188712,.T.); #248605=ORIENTED_EDGE('',*,*,#188709,.T.); #248606=ORIENTED_EDGE('',*,*,#188706,.T.); #248607=ORIENTED_EDGE('',*,*,#188703,.T.); #248608=ORIENTED_EDGE('',*,*,#188700,.T.); #248609=ORIENTED_EDGE('',*,*,#188697,.T.); #248610=ORIENTED_EDGE('',*,*,#188694,.T.); #248611=ORIENTED_EDGE('',*,*,#188691,.T.); #248612=ORIENTED_EDGE('',*,*,#188831,.F.); #248613=ORIENTED_EDGE('',*,*,#188689,.F.); #248614=ORIENTED_EDGE('',*,*,#188693,.F.); #248615=ORIENTED_EDGE('',*,*,#188696,.F.); #248616=ORIENTED_EDGE('',*,*,#188699,.F.); #248617=ORIENTED_EDGE('',*,*,#188702,.F.); #248618=ORIENTED_EDGE('',*,*,#188705,.F.); #248619=ORIENTED_EDGE('',*,*,#188708,.F.); #248620=ORIENTED_EDGE('',*,*,#188711,.F.); #248621=ORIENTED_EDGE('',*,*,#188714,.F.); #248622=ORIENTED_EDGE('',*,*,#188717,.F.); #248623=ORIENTED_EDGE('',*,*,#188720,.F.); #248624=ORIENTED_EDGE('',*,*,#188723,.F.); #248625=ORIENTED_EDGE('',*,*,#188726,.F.); #248626=ORIENTED_EDGE('',*,*,#188729,.F.); #248627=ORIENTED_EDGE('',*,*,#188732,.F.); #248628=ORIENTED_EDGE('',*,*,#188735,.F.); #248629=ORIENTED_EDGE('',*,*,#188738,.F.); #248630=ORIENTED_EDGE('',*,*,#188741,.F.); #248631=ORIENTED_EDGE('',*,*,#188744,.F.); #248632=ORIENTED_EDGE('',*,*,#188747,.F.); #248633=ORIENTED_EDGE('',*,*,#188750,.F.); #248634=ORIENTED_EDGE('',*,*,#188753,.F.); #248635=ORIENTED_EDGE('',*,*,#188756,.F.); #248636=ORIENTED_EDGE('',*,*,#188759,.F.); #248637=ORIENTED_EDGE('',*,*,#188762,.F.); #248638=ORIENTED_EDGE('',*,*,#188765,.F.); #248639=ORIENTED_EDGE('',*,*,#188768,.F.); #248640=ORIENTED_EDGE('',*,*,#188771,.F.); #248641=ORIENTED_EDGE('',*,*,#188774,.F.); #248642=ORIENTED_EDGE('',*,*,#188777,.F.); #248643=ORIENTED_EDGE('',*,*,#188780,.F.); #248644=ORIENTED_EDGE('',*,*,#188783,.F.); #248645=ORIENTED_EDGE('',*,*,#188786,.F.); #248646=ORIENTED_EDGE('',*,*,#188789,.F.); #248647=ORIENTED_EDGE('',*,*,#188792,.F.); #248648=ORIENTED_EDGE('',*,*,#188795,.F.); #248649=ORIENTED_EDGE('',*,*,#188798,.F.); #248650=ORIENTED_EDGE('',*,*,#188801,.F.); #248651=ORIENTED_EDGE('',*,*,#188804,.F.); #248652=ORIENTED_EDGE('',*,*,#188807,.F.); #248653=ORIENTED_EDGE('',*,*,#188810,.F.); #248654=ORIENTED_EDGE('',*,*,#188813,.F.); #248655=ORIENTED_EDGE('',*,*,#188816,.F.); #248656=ORIENTED_EDGE('',*,*,#188819,.F.); #248657=ORIENTED_EDGE('',*,*,#188822,.F.); #248658=ORIENTED_EDGE('',*,*,#188825,.F.); #248659=ORIENTED_EDGE('',*,*,#188828,.F.); #248660=ORIENTED_EDGE('',*,*,#188833,.T.); #248661=ORIENTED_EDGE('',*,*,#188834,.T.); #248662=ORIENTED_EDGE('',*,*,#188835,.F.); #248663=ORIENTED_EDGE('',*,*,#188836,.F.); #248664=ORIENTED_EDGE('',*,*,#188837,.T.); #248665=ORIENTED_EDGE('',*,*,#188836,.T.); #248666=ORIENTED_EDGE('',*,*,#188838,.F.); #248667=ORIENTED_EDGE('',*,*,#188839,.F.); #248668=ORIENTED_EDGE('',*,*,#188840,.T.); #248669=ORIENTED_EDGE('',*,*,#188839,.T.); #248670=ORIENTED_EDGE('',*,*,#188841,.F.); #248671=ORIENTED_EDGE('',*,*,#188842,.F.); #248672=ORIENTED_EDGE('',*,*,#188843,.T.); #248673=ORIENTED_EDGE('',*,*,#188842,.T.); #248674=ORIENTED_EDGE('',*,*,#188844,.F.); #248675=ORIENTED_EDGE('',*,*,#188845,.F.); #248676=ORIENTED_EDGE('',*,*,#188846,.T.); #248677=ORIENTED_EDGE('',*,*,#188845,.T.); #248678=ORIENTED_EDGE('',*,*,#188847,.F.); #248679=ORIENTED_EDGE('',*,*,#188848,.F.); #248680=ORIENTED_EDGE('',*,*,#188849,.T.); #248681=ORIENTED_EDGE('',*,*,#188848,.T.); #248682=ORIENTED_EDGE('',*,*,#188850,.F.); #248683=ORIENTED_EDGE('',*,*,#188851,.F.); #248684=ORIENTED_EDGE('',*,*,#188852,.T.); #248685=ORIENTED_EDGE('',*,*,#188851,.T.); #248686=ORIENTED_EDGE('',*,*,#188853,.F.); #248687=ORIENTED_EDGE('',*,*,#188854,.F.); #248688=ORIENTED_EDGE('',*,*,#188855,.T.); #248689=ORIENTED_EDGE('',*,*,#188854,.T.); #248690=ORIENTED_EDGE('',*,*,#188856,.F.); #248691=ORIENTED_EDGE('',*,*,#188857,.F.); #248692=ORIENTED_EDGE('',*,*,#188858,.T.); #248693=ORIENTED_EDGE('',*,*,#188857,.T.); #248694=ORIENTED_EDGE('',*,*,#188859,.F.); #248695=ORIENTED_EDGE('',*,*,#188860,.F.); #248696=ORIENTED_EDGE('',*,*,#188861,.T.); #248697=ORIENTED_EDGE('',*,*,#188860,.T.); #248698=ORIENTED_EDGE('',*,*,#188862,.F.); #248699=ORIENTED_EDGE('',*,*,#188863,.F.); #248700=ORIENTED_EDGE('',*,*,#188864,.T.); #248701=ORIENTED_EDGE('',*,*,#188863,.T.); #248702=ORIENTED_EDGE('',*,*,#188865,.F.); #248703=ORIENTED_EDGE('',*,*,#188866,.F.); #248704=ORIENTED_EDGE('',*,*,#188867,.T.); #248705=ORIENTED_EDGE('',*,*,#188866,.T.); #248706=ORIENTED_EDGE('',*,*,#188868,.F.); #248707=ORIENTED_EDGE('',*,*,#188869,.F.); #248708=ORIENTED_EDGE('',*,*,#188870,.T.); #248709=ORIENTED_EDGE('',*,*,#188869,.T.); #248710=ORIENTED_EDGE('',*,*,#188871,.F.); #248711=ORIENTED_EDGE('',*,*,#188872,.F.); #248712=ORIENTED_EDGE('',*,*,#188873,.T.); #248713=ORIENTED_EDGE('',*,*,#188872,.T.); #248714=ORIENTED_EDGE('',*,*,#188874,.F.); #248715=ORIENTED_EDGE('',*,*,#188875,.F.); #248716=ORIENTED_EDGE('',*,*,#188876,.T.); #248717=ORIENTED_EDGE('',*,*,#188875,.T.); #248718=ORIENTED_EDGE('',*,*,#188877,.F.); #248719=ORIENTED_EDGE('',*,*,#188878,.F.); #248720=ORIENTED_EDGE('',*,*,#188879,.T.); #248721=ORIENTED_EDGE('',*,*,#188878,.T.); #248722=ORIENTED_EDGE('',*,*,#188880,.F.); #248723=ORIENTED_EDGE('',*,*,#188881,.F.); #248724=ORIENTED_EDGE('',*,*,#188882,.T.); #248725=ORIENTED_EDGE('',*,*,#188881,.T.); #248726=ORIENTED_EDGE('',*,*,#188883,.F.); #248727=ORIENTED_EDGE('',*,*,#188884,.F.); #248728=ORIENTED_EDGE('',*,*,#188885,.T.); #248729=ORIENTED_EDGE('',*,*,#188884,.T.); #248730=ORIENTED_EDGE('',*,*,#188886,.F.); #248731=ORIENTED_EDGE('',*,*,#188887,.F.); #248732=ORIENTED_EDGE('',*,*,#188888,.T.); #248733=ORIENTED_EDGE('',*,*,#188887,.T.); #248734=ORIENTED_EDGE('',*,*,#188889,.F.); #248735=ORIENTED_EDGE('',*,*,#188890,.F.); #248736=ORIENTED_EDGE('',*,*,#188891,.T.); #248737=ORIENTED_EDGE('',*,*,#188890,.T.); #248738=ORIENTED_EDGE('',*,*,#188892,.F.); #248739=ORIENTED_EDGE('',*,*,#188834,.F.); #248740=ORIENTED_EDGE('',*,*,#188892,.T.); #248741=ORIENTED_EDGE('',*,*,#188889,.T.); #248742=ORIENTED_EDGE('',*,*,#188886,.T.); #248743=ORIENTED_EDGE('',*,*,#188883,.T.); #248744=ORIENTED_EDGE('',*,*,#188880,.T.); #248745=ORIENTED_EDGE('',*,*,#188877,.T.); #248746=ORIENTED_EDGE('',*,*,#188874,.T.); #248747=ORIENTED_EDGE('',*,*,#188871,.T.); #248748=ORIENTED_EDGE('',*,*,#188868,.T.); #248749=ORIENTED_EDGE('',*,*,#188865,.T.); #248750=ORIENTED_EDGE('',*,*,#188862,.T.); #248751=ORIENTED_EDGE('',*,*,#188859,.T.); #248752=ORIENTED_EDGE('',*,*,#188856,.T.); #248753=ORIENTED_EDGE('',*,*,#188853,.T.); #248754=ORIENTED_EDGE('',*,*,#188850,.T.); #248755=ORIENTED_EDGE('',*,*,#188847,.T.); #248756=ORIENTED_EDGE('',*,*,#188844,.T.); #248757=ORIENTED_EDGE('',*,*,#188841,.T.); #248758=ORIENTED_EDGE('',*,*,#188838,.T.); #248759=ORIENTED_EDGE('',*,*,#188835,.T.); #248760=ORIENTED_EDGE('',*,*,#188891,.F.); #248761=ORIENTED_EDGE('',*,*,#188833,.F.); #248762=ORIENTED_EDGE('',*,*,#188837,.F.); #248763=ORIENTED_EDGE('',*,*,#188840,.F.); #248764=ORIENTED_EDGE('',*,*,#188843,.F.); #248765=ORIENTED_EDGE('',*,*,#188846,.F.); #248766=ORIENTED_EDGE('',*,*,#188849,.F.); #248767=ORIENTED_EDGE('',*,*,#188852,.F.); #248768=ORIENTED_EDGE('',*,*,#188855,.F.); #248769=ORIENTED_EDGE('',*,*,#188858,.F.); #248770=ORIENTED_EDGE('',*,*,#188861,.F.); #248771=ORIENTED_EDGE('',*,*,#188864,.F.); #248772=ORIENTED_EDGE('',*,*,#188867,.F.); #248773=ORIENTED_EDGE('',*,*,#188870,.F.); #248774=ORIENTED_EDGE('',*,*,#188873,.F.); #248775=ORIENTED_EDGE('',*,*,#188876,.F.); #248776=ORIENTED_EDGE('',*,*,#188879,.F.); #248777=ORIENTED_EDGE('',*,*,#188882,.F.); #248778=ORIENTED_EDGE('',*,*,#188885,.F.); #248779=ORIENTED_EDGE('',*,*,#188888,.F.); #248780=ORIENTED_EDGE('',*,*,#188893,.T.); #248781=ORIENTED_EDGE('',*,*,#188894,.T.); #248782=ORIENTED_EDGE('',*,*,#188895,.F.); #248783=ORIENTED_EDGE('',*,*,#188896,.F.); #248784=ORIENTED_EDGE('',*,*,#188897,.T.); #248785=ORIENTED_EDGE('',*,*,#188896,.T.); #248786=ORIENTED_EDGE('',*,*,#188898,.F.); #248787=ORIENTED_EDGE('',*,*,#188899,.F.); #248788=ORIENTED_EDGE('',*,*,#188900,.T.); #248789=ORIENTED_EDGE('',*,*,#188899,.T.); #248790=ORIENTED_EDGE('',*,*,#188901,.F.); #248791=ORIENTED_EDGE('',*,*,#188902,.F.); #248792=ORIENTED_EDGE('',*,*,#188903,.T.); #248793=ORIENTED_EDGE('',*,*,#188902,.T.); #248794=ORIENTED_EDGE('',*,*,#188904,.F.); #248795=ORIENTED_EDGE('',*,*,#188905,.F.); #248796=ORIENTED_EDGE('',*,*,#188906,.T.); #248797=ORIENTED_EDGE('',*,*,#188905,.T.); #248798=ORIENTED_EDGE('',*,*,#188907,.F.); #248799=ORIENTED_EDGE('',*,*,#188908,.F.); #248800=ORIENTED_EDGE('',*,*,#188909,.T.); #248801=ORIENTED_EDGE('',*,*,#188908,.T.); #248802=ORIENTED_EDGE('',*,*,#188910,.F.); #248803=ORIENTED_EDGE('',*,*,#188911,.F.); #248804=ORIENTED_EDGE('',*,*,#188912,.T.); #248805=ORIENTED_EDGE('',*,*,#188911,.T.); #248806=ORIENTED_EDGE('',*,*,#188913,.F.); #248807=ORIENTED_EDGE('',*,*,#188914,.F.); #248808=ORIENTED_EDGE('',*,*,#188915,.T.); #248809=ORIENTED_EDGE('',*,*,#188914,.T.); #248810=ORIENTED_EDGE('',*,*,#188916,.F.); #248811=ORIENTED_EDGE('',*,*,#188917,.F.); #248812=ORIENTED_EDGE('',*,*,#188918,.T.); #248813=ORIENTED_EDGE('',*,*,#188917,.T.); #248814=ORIENTED_EDGE('',*,*,#188919,.F.); #248815=ORIENTED_EDGE('',*,*,#188920,.F.); #248816=ORIENTED_EDGE('',*,*,#188921,.T.); #248817=ORIENTED_EDGE('',*,*,#188920,.T.); #248818=ORIENTED_EDGE('',*,*,#188922,.F.); #248819=ORIENTED_EDGE('',*,*,#188923,.F.); #248820=ORIENTED_EDGE('',*,*,#188924,.T.); #248821=ORIENTED_EDGE('',*,*,#188923,.T.); #248822=ORIENTED_EDGE('',*,*,#188925,.F.); #248823=ORIENTED_EDGE('',*,*,#188926,.F.); #248824=ORIENTED_EDGE('',*,*,#188927,.T.); #248825=ORIENTED_EDGE('',*,*,#188926,.T.); #248826=ORIENTED_EDGE('',*,*,#188928,.F.); #248827=ORIENTED_EDGE('',*,*,#188929,.F.); #248828=ORIENTED_EDGE('',*,*,#188930,.T.); #248829=ORIENTED_EDGE('',*,*,#188929,.T.); #248830=ORIENTED_EDGE('',*,*,#188931,.F.); #248831=ORIENTED_EDGE('',*,*,#188932,.F.); #248832=ORIENTED_EDGE('',*,*,#188933,.T.); #248833=ORIENTED_EDGE('',*,*,#188932,.T.); #248834=ORIENTED_EDGE('',*,*,#188934,.F.); #248835=ORIENTED_EDGE('',*,*,#188935,.F.); #248836=ORIENTED_EDGE('',*,*,#188936,.T.); #248837=ORIENTED_EDGE('',*,*,#188935,.T.); #248838=ORIENTED_EDGE('',*,*,#188937,.F.); #248839=ORIENTED_EDGE('',*,*,#188938,.F.); #248840=ORIENTED_EDGE('',*,*,#188939,.T.); #248841=ORIENTED_EDGE('',*,*,#188938,.T.); #248842=ORIENTED_EDGE('',*,*,#188940,.F.); #248843=ORIENTED_EDGE('',*,*,#188941,.F.); #248844=ORIENTED_EDGE('',*,*,#188942,.T.); #248845=ORIENTED_EDGE('',*,*,#188941,.T.); #248846=ORIENTED_EDGE('',*,*,#188943,.F.); #248847=ORIENTED_EDGE('',*,*,#188944,.F.); #248848=ORIENTED_EDGE('',*,*,#188945,.T.); #248849=ORIENTED_EDGE('',*,*,#188944,.T.); #248850=ORIENTED_EDGE('',*,*,#188946,.F.); #248851=ORIENTED_EDGE('',*,*,#188947,.F.); #248852=ORIENTED_EDGE('',*,*,#188948,.T.); #248853=ORIENTED_EDGE('',*,*,#188947,.T.); #248854=ORIENTED_EDGE('',*,*,#188949,.F.); #248855=ORIENTED_EDGE('',*,*,#188950,.F.); #248856=ORIENTED_EDGE('',*,*,#188951,.T.); #248857=ORIENTED_EDGE('',*,*,#188950,.T.); #248858=ORIENTED_EDGE('',*,*,#188952,.F.); #248859=ORIENTED_EDGE('',*,*,#188953,.F.); #248860=ORIENTED_EDGE('',*,*,#188954,.T.); #248861=ORIENTED_EDGE('',*,*,#188953,.T.); #248862=ORIENTED_EDGE('',*,*,#188955,.F.); #248863=ORIENTED_EDGE('',*,*,#188956,.F.); #248864=ORIENTED_EDGE('',*,*,#188957,.T.); #248865=ORIENTED_EDGE('',*,*,#188956,.T.); #248866=ORIENTED_EDGE('',*,*,#188958,.F.); #248867=ORIENTED_EDGE('',*,*,#188959,.F.); #248868=ORIENTED_EDGE('',*,*,#188960,.T.); #248869=ORIENTED_EDGE('',*,*,#188959,.T.); #248870=ORIENTED_EDGE('',*,*,#188961,.F.); #248871=ORIENTED_EDGE('',*,*,#188962,.F.); #248872=ORIENTED_EDGE('',*,*,#188963,.T.); #248873=ORIENTED_EDGE('',*,*,#188962,.T.); #248874=ORIENTED_EDGE('',*,*,#188964,.F.); #248875=ORIENTED_EDGE('',*,*,#188965,.F.); #248876=ORIENTED_EDGE('',*,*,#188966,.T.); #248877=ORIENTED_EDGE('',*,*,#188965,.T.); #248878=ORIENTED_EDGE('',*,*,#188967,.F.); #248879=ORIENTED_EDGE('',*,*,#188968,.F.); #248880=ORIENTED_EDGE('',*,*,#188969,.T.); #248881=ORIENTED_EDGE('',*,*,#188968,.T.); #248882=ORIENTED_EDGE('',*,*,#188970,.F.); #248883=ORIENTED_EDGE('',*,*,#188971,.F.); #248884=ORIENTED_EDGE('',*,*,#188972,.T.); #248885=ORIENTED_EDGE('',*,*,#188971,.T.); #248886=ORIENTED_EDGE('',*,*,#188973,.F.); #248887=ORIENTED_EDGE('',*,*,#188974,.F.); #248888=ORIENTED_EDGE('',*,*,#188975,.T.); #248889=ORIENTED_EDGE('',*,*,#188974,.T.); #248890=ORIENTED_EDGE('',*,*,#188976,.F.); #248891=ORIENTED_EDGE('',*,*,#188977,.F.); #248892=ORIENTED_EDGE('',*,*,#188978,.T.); #248893=ORIENTED_EDGE('',*,*,#188977,.T.); #248894=ORIENTED_EDGE('',*,*,#188979,.F.); #248895=ORIENTED_EDGE('',*,*,#188980,.F.); #248896=ORIENTED_EDGE('',*,*,#188981,.T.); #248897=ORIENTED_EDGE('',*,*,#188980,.T.); #248898=ORIENTED_EDGE('',*,*,#188982,.F.); #248899=ORIENTED_EDGE('',*,*,#188983,.F.); #248900=ORIENTED_EDGE('',*,*,#188984,.T.); #248901=ORIENTED_EDGE('',*,*,#188983,.T.); #248902=ORIENTED_EDGE('',*,*,#188985,.F.); #248903=ORIENTED_EDGE('',*,*,#188894,.F.); #248904=ORIENTED_EDGE('',*,*,#188985,.T.); #248905=ORIENTED_EDGE('',*,*,#188982,.T.); #248906=ORIENTED_EDGE('',*,*,#188979,.T.); #248907=ORIENTED_EDGE('',*,*,#188976,.T.); #248908=ORIENTED_EDGE('',*,*,#188973,.T.); #248909=ORIENTED_EDGE('',*,*,#188970,.T.); #248910=ORIENTED_EDGE('',*,*,#188967,.T.); #248911=ORIENTED_EDGE('',*,*,#188964,.T.); #248912=ORIENTED_EDGE('',*,*,#188961,.T.); #248913=ORIENTED_EDGE('',*,*,#188958,.T.); #248914=ORIENTED_EDGE('',*,*,#188955,.T.); #248915=ORIENTED_EDGE('',*,*,#188952,.T.); #248916=ORIENTED_EDGE('',*,*,#188949,.T.); #248917=ORIENTED_EDGE('',*,*,#188946,.T.); #248918=ORIENTED_EDGE('',*,*,#188943,.T.); #248919=ORIENTED_EDGE('',*,*,#188940,.T.); #248920=ORIENTED_EDGE('',*,*,#188937,.T.); #248921=ORIENTED_EDGE('',*,*,#188934,.T.); #248922=ORIENTED_EDGE('',*,*,#188931,.T.); #248923=ORIENTED_EDGE('',*,*,#188928,.T.); #248924=ORIENTED_EDGE('',*,*,#188925,.T.); #248925=ORIENTED_EDGE('',*,*,#188922,.T.); #248926=ORIENTED_EDGE('',*,*,#188919,.T.); #248927=ORIENTED_EDGE('',*,*,#188916,.T.); #248928=ORIENTED_EDGE('',*,*,#188913,.T.); #248929=ORIENTED_EDGE('',*,*,#188910,.T.); #248930=ORIENTED_EDGE('',*,*,#188907,.T.); #248931=ORIENTED_EDGE('',*,*,#188904,.T.); #248932=ORIENTED_EDGE('',*,*,#188901,.T.); #248933=ORIENTED_EDGE('',*,*,#188898,.T.); #248934=ORIENTED_EDGE('',*,*,#188895,.T.); #248935=ORIENTED_EDGE('',*,*,#188984,.F.); #248936=ORIENTED_EDGE('',*,*,#188893,.F.); #248937=ORIENTED_EDGE('',*,*,#188897,.F.); #248938=ORIENTED_EDGE('',*,*,#188900,.F.); #248939=ORIENTED_EDGE('',*,*,#188903,.F.); #248940=ORIENTED_EDGE('',*,*,#188906,.F.); #248941=ORIENTED_EDGE('',*,*,#188909,.F.); #248942=ORIENTED_EDGE('',*,*,#188912,.F.); #248943=ORIENTED_EDGE('',*,*,#188915,.F.); #248944=ORIENTED_EDGE('',*,*,#188918,.F.); #248945=ORIENTED_EDGE('',*,*,#188921,.F.); #248946=ORIENTED_EDGE('',*,*,#188924,.F.); #248947=ORIENTED_EDGE('',*,*,#188927,.F.); #248948=ORIENTED_EDGE('',*,*,#188930,.F.); #248949=ORIENTED_EDGE('',*,*,#188933,.F.); #248950=ORIENTED_EDGE('',*,*,#188936,.F.); #248951=ORIENTED_EDGE('',*,*,#188939,.F.); #248952=ORIENTED_EDGE('',*,*,#188942,.F.); #248953=ORIENTED_EDGE('',*,*,#188945,.F.); #248954=ORIENTED_EDGE('',*,*,#188948,.F.); #248955=ORIENTED_EDGE('',*,*,#188951,.F.); #248956=ORIENTED_EDGE('',*,*,#188954,.F.); #248957=ORIENTED_EDGE('',*,*,#188957,.F.); #248958=ORIENTED_EDGE('',*,*,#188960,.F.); #248959=ORIENTED_EDGE('',*,*,#188963,.F.); #248960=ORIENTED_EDGE('',*,*,#188966,.F.); #248961=ORIENTED_EDGE('',*,*,#188969,.F.); #248962=ORIENTED_EDGE('',*,*,#188972,.F.); #248963=ORIENTED_EDGE('',*,*,#188975,.F.); #248964=ORIENTED_EDGE('',*,*,#188978,.F.); #248965=ORIENTED_EDGE('',*,*,#188981,.F.); #248966=ORIENTED_EDGE('',*,*,#188986,.T.); #248967=ORIENTED_EDGE('',*,*,#188987,.T.); #248968=ORIENTED_EDGE('',*,*,#188988,.F.); #248969=ORIENTED_EDGE('',*,*,#188989,.F.); #248970=ORIENTED_EDGE('',*,*,#188990,.T.); #248971=ORIENTED_EDGE('',*,*,#188989,.T.); #248972=ORIENTED_EDGE('',*,*,#188991,.F.); #248973=ORIENTED_EDGE('',*,*,#188992,.F.); #248974=ORIENTED_EDGE('',*,*,#188993,.T.); #248975=ORIENTED_EDGE('',*,*,#188992,.T.); #248976=ORIENTED_EDGE('',*,*,#188994,.F.); #248977=ORIENTED_EDGE('',*,*,#188995,.F.); #248978=ORIENTED_EDGE('',*,*,#188996,.T.); #248979=ORIENTED_EDGE('',*,*,#188995,.T.); #248980=ORIENTED_EDGE('',*,*,#188997,.F.); #248981=ORIENTED_EDGE('',*,*,#188998,.F.); #248982=ORIENTED_EDGE('',*,*,#188999,.T.); #248983=ORIENTED_EDGE('',*,*,#188998,.T.); #248984=ORIENTED_EDGE('',*,*,#189000,.F.); #248985=ORIENTED_EDGE('',*,*,#189001,.F.); #248986=ORIENTED_EDGE('',*,*,#189002,.T.); #248987=ORIENTED_EDGE('',*,*,#189001,.T.); #248988=ORIENTED_EDGE('',*,*,#189003,.F.); #248989=ORIENTED_EDGE('',*,*,#189004,.F.); #248990=ORIENTED_EDGE('',*,*,#189005,.T.); #248991=ORIENTED_EDGE('',*,*,#189004,.T.); #248992=ORIENTED_EDGE('',*,*,#189006,.F.); #248993=ORIENTED_EDGE('',*,*,#189007,.F.); #248994=ORIENTED_EDGE('',*,*,#189008,.T.); #248995=ORIENTED_EDGE('',*,*,#189007,.T.); #248996=ORIENTED_EDGE('',*,*,#189009,.F.); #248997=ORIENTED_EDGE('',*,*,#189010,.F.); #248998=ORIENTED_EDGE('',*,*,#189011,.T.); #248999=ORIENTED_EDGE('',*,*,#189010,.T.); #249000=ORIENTED_EDGE('',*,*,#189012,.F.); #249001=ORIENTED_EDGE('',*,*,#189013,.F.); #249002=ORIENTED_EDGE('',*,*,#189014,.T.); #249003=ORIENTED_EDGE('',*,*,#189013,.T.); #249004=ORIENTED_EDGE('',*,*,#189015,.F.); #249005=ORIENTED_EDGE('',*,*,#189016,.F.); #249006=ORIENTED_EDGE('',*,*,#189017,.T.); #249007=ORIENTED_EDGE('',*,*,#189016,.T.); #249008=ORIENTED_EDGE('',*,*,#189018,.F.); #249009=ORIENTED_EDGE('',*,*,#189019,.F.); #249010=ORIENTED_EDGE('',*,*,#189020,.T.); #249011=ORIENTED_EDGE('',*,*,#189019,.T.); #249012=ORIENTED_EDGE('',*,*,#189021,.F.); #249013=ORIENTED_EDGE('',*,*,#189022,.F.); #249014=ORIENTED_EDGE('',*,*,#189023,.T.); #249015=ORIENTED_EDGE('',*,*,#189022,.T.); #249016=ORIENTED_EDGE('',*,*,#189024,.F.); #249017=ORIENTED_EDGE('',*,*,#189025,.F.); #249018=ORIENTED_EDGE('',*,*,#189026,.T.); #249019=ORIENTED_EDGE('',*,*,#189025,.T.); #249020=ORIENTED_EDGE('',*,*,#189027,.F.); #249021=ORIENTED_EDGE('',*,*,#189028,.F.); #249022=ORIENTED_EDGE('',*,*,#189029,.T.); #249023=ORIENTED_EDGE('',*,*,#189028,.T.); #249024=ORIENTED_EDGE('',*,*,#189030,.F.); #249025=ORIENTED_EDGE('',*,*,#189031,.F.); #249026=ORIENTED_EDGE('',*,*,#189032,.T.); #249027=ORIENTED_EDGE('',*,*,#189031,.T.); #249028=ORIENTED_EDGE('',*,*,#189033,.F.); #249029=ORIENTED_EDGE('',*,*,#189034,.F.); #249030=ORIENTED_EDGE('',*,*,#189035,.T.); #249031=ORIENTED_EDGE('',*,*,#189034,.T.); #249032=ORIENTED_EDGE('',*,*,#189036,.F.); #249033=ORIENTED_EDGE('',*,*,#189037,.F.); #249034=ORIENTED_EDGE('',*,*,#189038,.T.); #249035=ORIENTED_EDGE('',*,*,#189037,.T.); #249036=ORIENTED_EDGE('',*,*,#189039,.F.); #249037=ORIENTED_EDGE('',*,*,#189040,.F.); #249038=ORIENTED_EDGE('',*,*,#189041,.T.); #249039=ORIENTED_EDGE('',*,*,#189040,.T.); #249040=ORIENTED_EDGE('',*,*,#189042,.F.); #249041=ORIENTED_EDGE('',*,*,#189043,.F.); #249042=ORIENTED_EDGE('',*,*,#189044,.T.); #249043=ORIENTED_EDGE('',*,*,#189043,.T.); #249044=ORIENTED_EDGE('',*,*,#189045,.F.); #249045=ORIENTED_EDGE('',*,*,#188987,.F.); #249046=ORIENTED_EDGE('',*,*,#189045,.T.); #249047=ORIENTED_EDGE('',*,*,#189042,.T.); #249048=ORIENTED_EDGE('',*,*,#189039,.T.); #249049=ORIENTED_EDGE('',*,*,#189036,.T.); #249050=ORIENTED_EDGE('',*,*,#189033,.T.); #249051=ORIENTED_EDGE('',*,*,#189030,.T.); #249052=ORIENTED_EDGE('',*,*,#189027,.T.); #249053=ORIENTED_EDGE('',*,*,#189024,.T.); #249054=ORIENTED_EDGE('',*,*,#189021,.T.); #249055=ORIENTED_EDGE('',*,*,#189018,.T.); #249056=ORIENTED_EDGE('',*,*,#189015,.T.); #249057=ORIENTED_EDGE('',*,*,#189012,.T.); #249058=ORIENTED_EDGE('',*,*,#189009,.T.); #249059=ORIENTED_EDGE('',*,*,#189006,.T.); #249060=ORIENTED_EDGE('',*,*,#189003,.T.); #249061=ORIENTED_EDGE('',*,*,#189000,.T.); #249062=ORIENTED_EDGE('',*,*,#188997,.T.); #249063=ORIENTED_EDGE('',*,*,#188994,.T.); #249064=ORIENTED_EDGE('',*,*,#188991,.T.); #249065=ORIENTED_EDGE('',*,*,#188988,.T.); #249066=ORIENTED_EDGE('',*,*,#189044,.F.); #249067=ORIENTED_EDGE('',*,*,#188986,.F.); #249068=ORIENTED_EDGE('',*,*,#188990,.F.); #249069=ORIENTED_EDGE('',*,*,#188993,.F.); #249070=ORIENTED_EDGE('',*,*,#188996,.F.); #249071=ORIENTED_EDGE('',*,*,#188999,.F.); #249072=ORIENTED_EDGE('',*,*,#189002,.F.); #249073=ORIENTED_EDGE('',*,*,#189005,.F.); #249074=ORIENTED_EDGE('',*,*,#189008,.F.); #249075=ORIENTED_EDGE('',*,*,#189011,.F.); #249076=ORIENTED_EDGE('',*,*,#189014,.F.); #249077=ORIENTED_EDGE('',*,*,#189017,.F.); #249078=ORIENTED_EDGE('',*,*,#189020,.F.); #249079=ORIENTED_EDGE('',*,*,#189023,.F.); #249080=ORIENTED_EDGE('',*,*,#189026,.F.); #249081=ORIENTED_EDGE('',*,*,#189029,.F.); #249082=ORIENTED_EDGE('',*,*,#189032,.F.); #249083=ORIENTED_EDGE('',*,*,#189035,.F.); #249084=ORIENTED_EDGE('',*,*,#189038,.F.); #249085=ORIENTED_EDGE('',*,*,#189041,.F.); #249086=ORIENTED_EDGE('',*,*,#189046,.T.); #249087=ORIENTED_EDGE('',*,*,#189047,.T.); #249088=ORIENTED_EDGE('',*,*,#189048,.F.); #249089=ORIENTED_EDGE('',*,*,#189049,.F.); #249090=ORIENTED_EDGE('',*,*,#189050,.T.); #249091=ORIENTED_EDGE('',*,*,#189049,.T.); #249092=ORIENTED_EDGE('',*,*,#189051,.F.); #249093=ORIENTED_EDGE('',*,*,#189052,.F.); #249094=ORIENTED_EDGE('',*,*,#189053,.T.); #249095=ORIENTED_EDGE('',*,*,#189052,.T.); #249096=ORIENTED_EDGE('',*,*,#189054,.F.); #249097=ORIENTED_EDGE('',*,*,#189055,.F.); #249098=ORIENTED_EDGE('',*,*,#189056,.T.); #249099=ORIENTED_EDGE('',*,*,#189055,.T.); #249100=ORIENTED_EDGE('',*,*,#189057,.F.); #249101=ORIENTED_EDGE('',*,*,#189058,.F.); #249102=ORIENTED_EDGE('',*,*,#189059,.T.); #249103=ORIENTED_EDGE('',*,*,#189058,.T.); #249104=ORIENTED_EDGE('',*,*,#189060,.F.); #249105=ORIENTED_EDGE('',*,*,#189061,.F.); #249106=ORIENTED_EDGE('',*,*,#189062,.T.); #249107=ORIENTED_EDGE('',*,*,#189061,.T.); #249108=ORIENTED_EDGE('',*,*,#189063,.F.); #249109=ORIENTED_EDGE('',*,*,#189064,.F.); #249110=ORIENTED_EDGE('',*,*,#189065,.T.); #249111=ORIENTED_EDGE('',*,*,#189064,.T.); #249112=ORIENTED_EDGE('',*,*,#189066,.F.); #249113=ORIENTED_EDGE('',*,*,#189067,.F.); #249114=ORIENTED_EDGE('',*,*,#189068,.T.); #249115=ORIENTED_EDGE('',*,*,#189067,.T.); #249116=ORIENTED_EDGE('',*,*,#189069,.F.); #249117=ORIENTED_EDGE('',*,*,#189070,.F.); #249118=ORIENTED_EDGE('',*,*,#189071,.T.); #249119=ORIENTED_EDGE('',*,*,#189070,.T.); #249120=ORIENTED_EDGE('',*,*,#189072,.F.); #249121=ORIENTED_EDGE('',*,*,#189073,.F.); #249122=ORIENTED_EDGE('',*,*,#189074,.T.); #249123=ORIENTED_EDGE('',*,*,#189073,.T.); #249124=ORIENTED_EDGE('',*,*,#189075,.F.); #249125=ORIENTED_EDGE('',*,*,#189076,.F.); #249126=ORIENTED_EDGE('',*,*,#189077,.T.); #249127=ORIENTED_EDGE('',*,*,#189076,.T.); #249128=ORIENTED_EDGE('',*,*,#189078,.F.); #249129=ORIENTED_EDGE('',*,*,#189079,.F.); #249130=ORIENTED_EDGE('',*,*,#189080,.T.); #249131=ORIENTED_EDGE('',*,*,#189079,.T.); #249132=ORIENTED_EDGE('',*,*,#189081,.F.); #249133=ORIENTED_EDGE('',*,*,#189082,.F.); #249134=ORIENTED_EDGE('',*,*,#189083,.T.); #249135=ORIENTED_EDGE('',*,*,#189082,.T.); #249136=ORIENTED_EDGE('',*,*,#189084,.F.); #249137=ORIENTED_EDGE('',*,*,#189085,.F.); #249138=ORIENTED_EDGE('',*,*,#189086,.T.); #249139=ORIENTED_EDGE('',*,*,#189085,.T.); #249140=ORIENTED_EDGE('',*,*,#189087,.F.); #249141=ORIENTED_EDGE('',*,*,#189088,.F.); #249142=ORIENTED_EDGE('',*,*,#189089,.T.); #249143=ORIENTED_EDGE('',*,*,#189088,.T.); #249144=ORIENTED_EDGE('',*,*,#189090,.F.); #249145=ORIENTED_EDGE('',*,*,#189091,.F.); #249146=ORIENTED_EDGE('',*,*,#189092,.T.); #249147=ORIENTED_EDGE('',*,*,#189091,.T.); #249148=ORIENTED_EDGE('',*,*,#189093,.F.); #249149=ORIENTED_EDGE('',*,*,#189094,.F.); #249150=ORIENTED_EDGE('',*,*,#189095,.T.); #249151=ORIENTED_EDGE('',*,*,#189094,.T.); #249152=ORIENTED_EDGE('',*,*,#189096,.F.); #249153=ORIENTED_EDGE('',*,*,#189097,.F.); #249154=ORIENTED_EDGE('',*,*,#189098,.T.); #249155=ORIENTED_EDGE('',*,*,#189097,.T.); #249156=ORIENTED_EDGE('',*,*,#189099,.F.); #249157=ORIENTED_EDGE('',*,*,#189100,.F.); #249158=ORIENTED_EDGE('',*,*,#189101,.T.); #249159=ORIENTED_EDGE('',*,*,#189100,.T.); #249160=ORIENTED_EDGE('',*,*,#189102,.F.); #249161=ORIENTED_EDGE('',*,*,#189103,.F.); #249162=ORIENTED_EDGE('',*,*,#189104,.T.); #249163=ORIENTED_EDGE('',*,*,#189103,.T.); #249164=ORIENTED_EDGE('',*,*,#189105,.F.); #249165=ORIENTED_EDGE('',*,*,#189106,.F.); #249166=ORIENTED_EDGE('',*,*,#189107,.T.); #249167=ORIENTED_EDGE('',*,*,#189106,.T.); #249168=ORIENTED_EDGE('',*,*,#189108,.F.); #249169=ORIENTED_EDGE('',*,*,#189109,.F.); #249170=ORIENTED_EDGE('',*,*,#189110,.T.); #249171=ORIENTED_EDGE('',*,*,#189109,.T.); #249172=ORIENTED_EDGE('',*,*,#189111,.F.); #249173=ORIENTED_EDGE('',*,*,#189112,.F.); #249174=ORIENTED_EDGE('',*,*,#189113,.T.); #249175=ORIENTED_EDGE('',*,*,#189112,.T.); #249176=ORIENTED_EDGE('',*,*,#189114,.F.); #249177=ORIENTED_EDGE('',*,*,#189115,.F.); #249178=ORIENTED_EDGE('',*,*,#189116,.T.); #249179=ORIENTED_EDGE('',*,*,#189115,.T.); #249180=ORIENTED_EDGE('',*,*,#189117,.F.); #249181=ORIENTED_EDGE('',*,*,#189118,.F.); #249182=ORIENTED_EDGE('',*,*,#189119,.T.); #249183=ORIENTED_EDGE('',*,*,#189118,.T.); #249184=ORIENTED_EDGE('',*,*,#189120,.F.); #249185=ORIENTED_EDGE('',*,*,#189121,.F.); #249186=ORIENTED_EDGE('',*,*,#189122,.T.); #249187=ORIENTED_EDGE('',*,*,#189121,.T.); #249188=ORIENTED_EDGE('',*,*,#189123,.F.); #249189=ORIENTED_EDGE('',*,*,#189124,.F.); #249190=ORIENTED_EDGE('',*,*,#189125,.T.); #249191=ORIENTED_EDGE('',*,*,#189124,.T.); #249192=ORIENTED_EDGE('',*,*,#189126,.F.); #249193=ORIENTED_EDGE('',*,*,#189127,.F.); #249194=ORIENTED_EDGE('',*,*,#189128,.T.); #249195=ORIENTED_EDGE('',*,*,#189127,.T.); #249196=ORIENTED_EDGE('',*,*,#189129,.F.); #249197=ORIENTED_EDGE('',*,*,#189130,.F.); #249198=ORIENTED_EDGE('',*,*,#189131,.T.); #249199=ORIENTED_EDGE('',*,*,#189130,.T.); #249200=ORIENTED_EDGE('',*,*,#189132,.F.); #249201=ORIENTED_EDGE('',*,*,#189133,.F.); #249202=ORIENTED_EDGE('',*,*,#189134,.T.); #249203=ORIENTED_EDGE('',*,*,#189133,.T.); #249204=ORIENTED_EDGE('',*,*,#189135,.F.); #249205=ORIENTED_EDGE('',*,*,#189136,.F.); #249206=ORIENTED_EDGE('',*,*,#189137,.T.); #249207=ORIENTED_EDGE('',*,*,#189136,.T.); #249208=ORIENTED_EDGE('',*,*,#189138,.F.); #249209=ORIENTED_EDGE('',*,*,#189047,.F.); #249210=ORIENTED_EDGE('',*,*,#189138,.T.); #249211=ORIENTED_EDGE('',*,*,#189135,.T.); #249212=ORIENTED_EDGE('',*,*,#189132,.T.); #249213=ORIENTED_EDGE('',*,*,#189129,.T.); #249214=ORIENTED_EDGE('',*,*,#189126,.T.); #249215=ORIENTED_EDGE('',*,*,#189123,.T.); #249216=ORIENTED_EDGE('',*,*,#189120,.T.); #249217=ORIENTED_EDGE('',*,*,#189117,.T.); #249218=ORIENTED_EDGE('',*,*,#189114,.T.); #249219=ORIENTED_EDGE('',*,*,#189111,.T.); #249220=ORIENTED_EDGE('',*,*,#189108,.T.); #249221=ORIENTED_EDGE('',*,*,#189105,.T.); #249222=ORIENTED_EDGE('',*,*,#189102,.T.); #249223=ORIENTED_EDGE('',*,*,#189099,.T.); #249224=ORIENTED_EDGE('',*,*,#189096,.T.); #249225=ORIENTED_EDGE('',*,*,#189093,.T.); #249226=ORIENTED_EDGE('',*,*,#189090,.T.); #249227=ORIENTED_EDGE('',*,*,#189087,.T.); #249228=ORIENTED_EDGE('',*,*,#189084,.T.); #249229=ORIENTED_EDGE('',*,*,#189081,.T.); #249230=ORIENTED_EDGE('',*,*,#189078,.T.); #249231=ORIENTED_EDGE('',*,*,#189075,.T.); #249232=ORIENTED_EDGE('',*,*,#189072,.T.); #249233=ORIENTED_EDGE('',*,*,#189069,.T.); #249234=ORIENTED_EDGE('',*,*,#189066,.T.); #249235=ORIENTED_EDGE('',*,*,#189063,.T.); #249236=ORIENTED_EDGE('',*,*,#189060,.T.); #249237=ORIENTED_EDGE('',*,*,#189057,.T.); #249238=ORIENTED_EDGE('',*,*,#189054,.T.); #249239=ORIENTED_EDGE('',*,*,#189051,.T.); #249240=ORIENTED_EDGE('',*,*,#189048,.T.); #249241=ORIENTED_EDGE('',*,*,#189137,.F.); #249242=ORIENTED_EDGE('',*,*,#189046,.F.); #249243=ORIENTED_EDGE('',*,*,#189050,.F.); #249244=ORIENTED_EDGE('',*,*,#189053,.F.); #249245=ORIENTED_EDGE('',*,*,#189056,.F.); #249246=ORIENTED_EDGE('',*,*,#189059,.F.); #249247=ORIENTED_EDGE('',*,*,#189062,.F.); #249248=ORIENTED_EDGE('',*,*,#189065,.F.); #249249=ORIENTED_EDGE('',*,*,#189068,.F.); #249250=ORIENTED_EDGE('',*,*,#189071,.F.); #249251=ORIENTED_EDGE('',*,*,#189074,.F.); #249252=ORIENTED_EDGE('',*,*,#189077,.F.); #249253=ORIENTED_EDGE('',*,*,#189080,.F.); #249254=ORIENTED_EDGE('',*,*,#189083,.F.); #249255=ORIENTED_EDGE('',*,*,#189086,.F.); #249256=ORIENTED_EDGE('',*,*,#189089,.F.); #249257=ORIENTED_EDGE('',*,*,#189092,.F.); #249258=ORIENTED_EDGE('',*,*,#189095,.F.); #249259=ORIENTED_EDGE('',*,*,#189098,.F.); #249260=ORIENTED_EDGE('',*,*,#189101,.F.); #249261=ORIENTED_EDGE('',*,*,#189104,.F.); #249262=ORIENTED_EDGE('',*,*,#189107,.F.); #249263=ORIENTED_EDGE('',*,*,#189110,.F.); #249264=ORIENTED_EDGE('',*,*,#189113,.F.); #249265=ORIENTED_EDGE('',*,*,#189116,.F.); #249266=ORIENTED_EDGE('',*,*,#189119,.F.); #249267=ORIENTED_EDGE('',*,*,#189122,.F.); #249268=ORIENTED_EDGE('',*,*,#189125,.F.); #249269=ORIENTED_EDGE('',*,*,#189128,.F.); #249270=ORIENTED_EDGE('',*,*,#189131,.F.); #249271=ORIENTED_EDGE('',*,*,#189134,.F.); #249272=ORIENTED_EDGE('',*,*,#189139,.T.); #249273=ORIENTED_EDGE('',*,*,#189140,.T.); #249274=ORIENTED_EDGE('',*,*,#189141,.F.); #249275=ORIENTED_EDGE('',*,*,#189142,.F.); #249276=ORIENTED_EDGE('',*,*,#189143,.T.); #249277=ORIENTED_EDGE('',*,*,#189142,.T.); #249278=ORIENTED_EDGE('',*,*,#189144,.F.); #249279=ORIENTED_EDGE('',*,*,#189145,.F.); #249280=ORIENTED_EDGE('',*,*,#189146,.T.); #249281=ORIENTED_EDGE('',*,*,#189145,.T.); #249282=ORIENTED_EDGE('',*,*,#189147,.F.); #249283=ORIENTED_EDGE('',*,*,#189148,.F.); #249284=ORIENTED_EDGE('',*,*,#189149,.T.); #249285=ORIENTED_EDGE('',*,*,#189148,.T.); #249286=ORIENTED_EDGE('',*,*,#189150,.F.); #249287=ORIENTED_EDGE('',*,*,#189151,.F.); #249288=ORIENTED_EDGE('',*,*,#189152,.T.); #249289=ORIENTED_EDGE('',*,*,#189151,.T.); #249290=ORIENTED_EDGE('',*,*,#189153,.F.); #249291=ORIENTED_EDGE('',*,*,#189154,.F.); #249292=ORIENTED_EDGE('',*,*,#189155,.T.); #249293=ORIENTED_EDGE('',*,*,#189154,.T.); #249294=ORIENTED_EDGE('',*,*,#189156,.F.); #249295=ORIENTED_EDGE('',*,*,#189157,.F.); #249296=ORIENTED_EDGE('',*,*,#189158,.T.); #249297=ORIENTED_EDGE('',*,*,#189157,.T.); #249298=ORIENTED_EDGE('',*,*,#189159,.F.); #249299=ORIENTED_EDGE('',*,*,#189160,.F.); #249300=ORIENTED_EDGE('',*,*,#189161,.T.); #249301=ORIENTED_EDGE('',*,*,#189160,.T.); #249302=ORIENTED_EDGE('',*,*,#189162,.F.); #249303=ORIENTED_EDGE('',*,*,#189163,.F.); #249304=ORIENTED_EDGE('',*,*,#189164,.T.); #249305=ORIENTED_EDGE('',*,*,#189163,.T.); #249306=ORIENTED_EDGE('',*,*,#189165,.F.); #249307=ORIENTED_EDGE('',*,*,#189166,.F.); #249308=ORIENTED_EDGE('',*,*,#189167,.T.); #249309=ORIENTED_EDGE('',*,*,#189166,.T.); #249310=ORIENTED_EDGE('',*,*,#189168,.F.); #249311=ORIENTED_EDGE('',*,*,#189169,.F.); #249312=ORIENTED_EDGE('',*,*,#189170,.T.); #249313=ORIENTED_EDGE('',*,*,#189169,.T.); #249314=ORIENTED_EDGE('',*,*,#189171,.F.); #249315=ORIENTED_EDGE('',*,*,#189172,.F.); #249316=ORIENTED_EDGE('',*,*,#189173,.T.); #249317=ORIENTED_EDGE('',*,*,#189172,.T.); #249318=ORIENTED_EDGE('',*,*,#189174,.F.); #249319=ORIENTED_EDGE('',*,*,#189175,.F.); #249320=ORIENTED_EDGE('',*,*,#189176,.T.); #249321=ORIENTED_EDGE('',*,*,#189175,.T.); #249322=ORIENTED_EDGE('',*,*,#189177,.F.); #249323=ORIENTED_EDGE('',*,*,#189178,.F.); #249324=ORIENTED_EDGE('',*,*,#189179,.T.); #249325=ORIENTED_EDGE('',*,*,#189178,.T.); #249326=ORIENTED_EDGE('',*,*,#189180,.F.); #249327=ORIENTED_EDGE('',*,*,#189181,.F.); #249328=ORIENTED_EDGE('',*,*,#189182,.T.); #249329=ORIENTED_EDGE('',*,*,#189181,.T.); #249330=ORIENTED_EDGE('',*,*,#189183,.F.); #249331=ORIENTED_EDGE('',*,*,#189184,.F.); #249332=ORIENTED_EDGE('',*,*,#189185,.T.); #249333=ORIENTED_EDGE('',*,*,#189184,.T.); #249334=ORIENTED_EDGE('',*,*,#189186,.F.); #249335=ORIENTED_EDGE('',*,*,#189187,.F.); #249336=ORIENTED_EDGE('',*,*,#189188,.T.); #249337=ORIENTED_EDGE('',*,*,#189187,.T.); #249338=ORIENTED_EDGE('',*,*,#189189,.F.); #249339=ORIENTED_EDGE('',*,*,#189190,.F.); #249340=ORIENTED_EDGE('',*,*,#189191,.T.); #249341=ORIENTED_EDGE('',*,*,#189190,.T.); #249342=ORIENTED_EDGE('',*,*,#189192,.F.); #249343=ORIENTED_EDGE('',*,*,#189193,.F.); #249344=ORIENTED_EDGE('',*,*,#189194,.T.); #249345=ORIENTED_EDGE('',*,*,#189193,.T.); #249346=ORIENTED_EDGE('',*,*,#189195,.F.); #249347=ORIENTED_EDGE('',*,*,#189196,.F.); #249348=ORIENTED_EDGE('',*,*,#189197,.T.); #249349=ORIENTED_EDGE('',*,*,#189196,.T.); #249350=ORIENTED_EDGE('',*,*,#189198,.F.); #249351=ORIENTED_EDGE('',*,*,#189199,.F.); #249352=ORIENTED_EDGE('',*,*,#189200,.T.); #249353=ORIENTED_EDGE('',*,*,#189199,.T.); #249354=ORIENTED_EDGE('',*,*,#189201,.F.); #249355=ORIENTED_EDGE('',*,*,#189140,.F.); #249356=ORIENTED_EDGE('',*,*,#189201,.T.); #249357=ORIENTED_EDGE('',*,*,#189198,.T.); #249358=ORIENTED_EDGE('',*,*,#189195,.T.); #249359=ORIENTED_EDGE('',*,*,#189192,.T.); #249360=ORIENTED_EDGE('',*,*,#189189,.T.); #249361=ORIENTED_EDGE('',*,*,#189186,.T.); #249362=ORIENTED_EDGE('',*,*,#189183,.T.); #249363=ORIENTED_EDGE('',*,*,#189180,.T.); #249364=ORIENTED_EDGE('',*,*,#189177,.T.); #249365=ORIENTED_EDGE('',*,*,#189174,.T.); #249366=ORIENTED_EDGE('',*,*,#189171,.T.); #249367=ORIENTED_EDGE('',*,*,#189168,.T.); #249368=ORIENTED_EDGE('',*,*,#189165,.T.); #249369=ORIENTED_EDGE('',*,*,#189162,.T.); #249370=ORIENTED_EDGE('',*,*,#189159,.T.); #249371=ORIENTED_EDGE('',*,*,#189156,.T.); #249372=ORIENTED_EDGE('',*,*,#189153,.T.); #249373=ORIENTED_EDGE('',*,*,#189150,.T.); #249374=ORIENTED_EDGE('',*,*,#189147,.T.); #249375=ORIENTED_EDGE('',*,*,#189144,.T.); #249376=ORIENTED_EDGE('',*,*,#189141,.T.); #249377=ORIENTED_EDGE('',*,*,#189200,.F.); #249378=ORIENTED_EDGE('',*,*,#189139,.F.); #249379=ORIENTED_EDGE('',*,*,#189143,.F.); #249380=ORIENTED_EDGE('',*,*,#189146,.F.); #249381=ORIENTED_EDGE('',*,*,#189149,.F.); #249382=ORIENTED_EDGE('',*,*,#189152,.F.); #249383=ORIENTED_EDGE('',*,*,#189155,.F.); #249384=ORIENTED_EDGE('',*,*,#189158,.F.); #249385=ORIENTED_EDGE('',*,*,#189161,.F.); #249386=ORIENTED_EDGE('',*,*,#189164,.F.); #249387=ORIENTED_EDGE('',*,*,#189167,.F.); #249388=ORIENTED_EDGE('',*,*,#189170,.F.); #249389=ORIENTED_EDGE('',*,*,#189173,.F.); #249390=ORIENTED_EDGE('',*,*,#189176,.F.); #249391=ORIENTED_EDGE('',*,*,#189179,.F.); #249392=ORIENTED_EDGE('',*,*,#189182,.F.); #249393=ORIENTED_EDGE('',*,*,#189185,.F.); #249394=ORIENTED_EDGE('',*,*,#189188,.F.); #249395=ORIENTED_EDGE('',*,*,#189191,.F.); #249396=ORIENTED_EDGE('',*,*,#189194,.F.); #249397=ORIENTED_EDGE('',*,*,#189197,.F.); #249398=ORIENTED_EDGE('',*,*,#189202,.T.); #249399=ORIENTED_EDGE('',*,*,#189203,.T.); #249400=ORIENTED_EDGE('',*,*,#189204,.F.); #249401=ORIENTED_EDGE('',*,*,#189205,.F.); #249402=ORIENTED_EDGE('',*,*,#189206,.T.); #249403=ORIENTED_EDGE('',*,*,#189205,.T.); #249404=ORIENTED_EDGE('',*,*,#189207,.F.); #249405=ORIENTED_EDGE('',*,*,#189208,.F.); #249406=ORIENTED_EDGE('',*,*,#189209,.T.); #249407=ORIENTED_EDGE('',*,*,#189208,.T.); #249408=ORIENTED_EDGE('',*,*,#189210,.F.); #249409=ORIENTED_EDGE('',*,*,#189211,.F.); #249410=ORIENTED_EDGE('',*,*,#189212,.T.); #249411=ORIENTED_EDGE('',*,*,#189211,.T.); #249412=ORIENTED_EDGE('',*,*,#189213,.F.); #249413=ORIENTED_EDGE('',*,*,#189214,.F.); #249414=ORIENTED_EDGE('',*,*,#189215,.T.); #249415=ORIENTED_EDGE('',*,*,#189214,.T.); #249416=ORIENTED_EDGE('',*,*,#189216,.F.); #249417=ORIENTED_EDGE('',*,*,#189217,.F.); #249418=ORIENTED_EDGE('',*,*,#189218,.T.); #249419=ORIENTED_EDGE('',*,*,#189217,.T.); #249420=ORIENTED_EDGE('',*,*,#189219,.F.); #249421=ORIENTED_EDGE('',*,*,#189220,.F.); #249422=ORIENTED_EDGE('',*,*,#189221,.T.); #249423=ORIENTED_EDGE('',*,*,#189220,.T.); #249424=ORIENTED_EDGE('',*,*,#189222,.F.); #249425=ORIENTED_EDGE('',*,*,#189223,.F.); #249426=ORIENTED_EDGE('',*,*,#189224,.T.); #249427=ORIENTED_EDGE('',*,*,#189223,.T.); #249428=ORIENTED_EDGE('',*,*,#189225,.F.); #249429=ORIENTED_EDGE('',*,*,#189226,.F.); #249430=ORIENTED_EDGE('',*,*,#189227,.T.); #249431=ORIENTED_EDGE('',*,*,#189226,.T.); #249432=ORIENTED_EDGE('',*,*,#189228,.F.); #249433=ORIENTED_EDGE('',*,*,#189229,.F.); #249434=ORIENTED_EDGE('',*,*,#189230,.T.); #249435=ORIENTED_EDGE('',*,*,#189229,.T.); #249436=ORIENTED_EDGE('',*,*,#189231,.F.); #249437=ORIENTED_EDGE('',*,*,#189232,.F.); #249438=ORIENTED_EDGE('',*,*,#189233,.T.); #249439=ORIENTED_EDGE('',*,*,#189232,.T.); #249440=ORIENTED_EDGE('',*,*,#189234,.F.); #249441=ORIENTED_EDGE('',*,*,#189235,.F.); #249442=ORIENTED_EDGE('',*,*,#189236,.T.); #249443=ORIENTED_EDGE('',*,*,#189235,.T.); #249444=ORIENTED_EDGE('',*,*,#189237,.F.); #249445=ORIENTED_EDGE('',*,*,#189238,.F.); #249446=ORIENTED_EDGE('',*,*,#189239,.T.); #249447=ORIENTED_EDGE('',*,*,#189238,.T.); #249448=ORIENTED_EDGE('',*,*,#189240,.F.); #249449=ORIENTED_EDGE('',*,*,#189241,.F.); #249450=ORIENTED_EDGE('',*,*,#189242,.T.); #249451=ORIENTED_EDGE('',*,*,#189241,.T.); #249452=ORIENTED_EDGE('',*,*,#189243,.F.); #249453=ORIENTED_EDGE('',*,*,#189244,.F.); #249454=ORIENTED_EDGE('',*,*,#189245,.T.); #249455=ORIENTED_EDGE('',*,*,#189244,.T.); #249456=ORIENTED_EDGE('',*,*,#189246,.F.); #249457=ORIENTED_EDGE('',*,*,#189247,.F.); #249458=ORIENTED_EDGE('',*,*,#189248,.T.); #249459=ORIENTED_EDGE('',*,*,#189247,.T.); #249460=ORIENTED_EDGE('',*,*,#189249,.F.); #249461=ORIENTED_EDGE('',*,*,#189250,.F.); #249462=ORIENTED_EDGE('',*,*,#189251,.T.); #249463=ORIENTED_EDGE('',*,*,#189250,.T.); #249464=ORIENTED_EDGE('',*,*,#189252,.F.); #249465=ORIENTED_EDGE('',*,*,#189253,.F.); #249466=ORIENTED_EDGE('',*,*,#189254,.T.); #249467=ORIENTED_EDGE('',*,*,#189253,.T.); #249468=ORIENTED_EDGE('',*,*,#189255,.F.); #249469=ORIENTED_EDGE('',*,*,#189256,.F.); #249470=ORIENTED_EDGE('',*,*,#189257,.T.); #249471=ORIENTED_EDGE('',*,*,#189256,.T.); #249472=ORIENTED_EDGE('',*,*,#189258,.F.); #249473=ORIENTED_EDGE('',*,*,#189259,.F.); #249474=ORIENTED_EDGE('',*,*,#189260,.T.); #249475=ORIENTED_EDGE('',*,*,#189259,.T.); #249476=ORIENTED_EDGE('',*,*,#189261,.F.); #249477=ORIENTED_EDGE('',*,*,#189262,.F.); #249478=ORIENTED_EDGE('',*,*,#189263,.T.); #249479=ORIENTED_EDGE('',*,*,#189262,.T.); #249480=ORIENTED_EDGE('',*,*,#189264,.F.); #249481=ORIENTED_EDGE('',*,*,#189265,.F.); #249482=ORIENTED_EDGE('',*,*,#189266,.T.); #249483=ORIENTED_EDGE('',*,*,#189265,.T.); #249484=ORIENTED_EDGE('',*,*,#189267,.F.); #249485=ORIENTED_EDGE('',*,*,#189268,.F.); #249486=ORIENTED_EDGE('',*,*,#189269,.T.); #249487=ORIENTED_EDGE('',*,*,#189268,.T.); #249488=ORIENTED_EDGE('',*,*,#189270,.F.); #249489=ORIENTED_EDGE('',*,*,#189271,.F.); #249490=ORIENTED_EDGE('',*,*,#189272,.T.); #249491=ORIENTED_EDGE('',*,*,#189271,.T.); #249492=ORIENTED_EDGE('',*,*,#189273,.F.); #249493=ORIENTED_EDGE('',*,*,#189274,.F.); #249494=ORIENTED_EDGE('',*,*,#189275,.T.); #249495=ORIENTED_EDGE('',*,*,#189274,.T.); #249496=ORIENTED_EDGE('',*,*,#189276,.F.); #249497=ORIENTED_EDGE('',*,*,#189277,.F.); #249498=ORIENTED_EDGE('',*,*,#189278,.T.); #249499=ORIENTED_EDGE('',*,*,#189277,.T.); #249500=ORIENTED_EDGE('',*,*,#189279,.F.); #249501=ORIENTED_EDGE('',*,*,#189280,.F.); #249502=ORIENTED_EDGE('',*,*,#189281,.T.); #249503=ORIENTED_EDGE('',*,*,#189280,.T.); #249504=ORIENTED_EDGE('',*,*,#189282,.F.); #249505=ORIENTED_EDGE('',*,*,#189283,.F.); #249506=ORIENTED_EDGE('',*,*,#189284,.T.); #249507=ORIENTED_EDGE('',*,*,#189283,.T.); #249508=ORIENTED_EDGE('',*,*,#189285,.F.); #249509=ORIENTED_EDGE('',*,*,#189286,.F.); #249510=ORIENTED_EDGE('',*,*,#189287,.T.); #249511=ORIENTED_EDGE('',*,*,#189286,.T.); #249512=ORIENTED_EDGE('',*,*,#189288,.F.); #249513=ORIENTED_EDGE('',*,*,#189289,.F.); #249514=ORIENTED_EDGE('',*,*,#189290,.T.); #249515=ORIENTED_EDGE('',*,*,#189289,.T.); #249516=ORIENTED_EDGE('',*,*,#189291,.F.); #249517=ORIENTED_EDGE('',*,*,#189292,.F.); #249518=ORIENTED_EDGE('',*,*,#189293,.T.); #249519=ORIENTED_EDGE('',*,*,#189292,.T.); #249520=ORIENTED_EDGE('',*,*,#189294,.F.); #249521=ORIENTED_EDGE('',*,*,#189295,.F.); #249522=ORIENTED_EDGE('',*,*,#189296,.T.); #249523=ORIENTED_EDGE('',*,*,#189295,.T.); #249524=ORIENTED_EDGE('',*,*,#189297,.F.); #249525=ORIENTED_EDGE('',*,*,#189298,.F.); #249526=ORIENTED_EDGE('',*,*,#189299,.T.); #249527=ORIENTED_EDGE('',*,*,#189298,.T.); #249528=ORIENTED_EDGE('',*,*,#189300,.F.); #249529=ORIENTED_EDGE('',*,*,#189301,.F.); #249530=ORIENTED_EDGE('',*,*,#189302,.T.); #249531=ORIENTED_EDGE('',*,*,#189301,.T.); #249532=ORIENTED_EDGE('',*,*,#189303,.F.); #249533=ORIENTED_EDGE('',*,*,#189304,.F.); #249534=ORIENTED_EDGE('',*,*,#189305,.T.); #249535=ORIENTED_EDGE('',*,*,#189304,.T.); #249536=ORIENTED_EDGE('',*,*,#189306,.F.); #249537=ORIENTED_EDGE('',*,*,#189307,.F.); #249538=ORIENTED_EDGE('',*,*,#189308,.T.); #249539=ORIENTED_EDGE('',*,*,#189307,.T.); #249540=ORIENTED_EDGE('',*,*,#189309,.F.); #249541=ORIENTED_EDGE('',*,*,#189310,.F.); #249542=ORIENTED_EDGE('',*,*,#189311,.T.); #249543=ORIENTED_EDGE('',*,*,#189310,.T.); #249544=ORIENTED_EDGE('',*,*,#189312,.F.); #249545=ORIENTED_EDGE('',*,*,#189313,.F.); #249546=ORIENTED_EDGE('',*,*,#189314,.T.); #249547=ORIENTED_EDGE('',*,*,#189313,.T.); #249548=ORIENTED_EDGE('',*,*,#189315,.F.); #249549=ORIENTED_EDGE('',*,*,#189316,.F.); #249550=ORIENTED_EDGE('',*,*,#189317,.T.); #249551=ORIENTED_EDGE('',*,*,#189316,.T.); #249552=ORIENTED_EDGE('',*,*,#189318,.F.); #249553=ORIENTED_EDGE('',*,*,#189319,.F.); #249554=ORIENTED_EDGE('',*,*,#189320,.T.); #249555=ORIENTED_EDGE('',*,*,#189319,.T.); #249556=ORIENTED_EDGE('',*,*,#189321,.F.); #249557=ORIENTED_EDGE('',*,*,#189203,.F.); #249558=ORIENTED_EDGE('',*,*,#189321,.T.); #249559=ORIENTED_EDGE('',*,*,#189318,.T.); #249560=ORIENTED_EDGE('',*,*,#189315,.T.); #249561=ORIENTED_EDGE('',*,*,#189312,.T.); #249562=ORIENTED_EDGE('',*,*,#189309,.T.); #249563=ORIENTED_EDGE('',*,*,#189306,.T.); #249564=ORIENTED_EDGE('',*,*,#189303,.T.); #249565=ORIENTED_EDGE('',*,*,#189300,.T.); #249566=ORIENTED_EDGE('',*,*,#189297,.T.); #249567=ORIENTED_EDGE('',*,*,#189294,.T.); #249568=ORIENTED_EDGE('',*,*,#189291,.T.); #249569=ORIENTED_EDGE('',*,*,#189288,.T.); #249570=ORIENTED_EDGE('',*,*,#189285,.T.); #249571=ORIENTED_EDGE('',*,*,#189282,.T.); #249572=ORIENTED_EDGE('',*,*,#189279,.T.); #249573=ORIENTED_EDGE('',*,*,#189276,.T.); #249574=ORIENTED_EDGE('',*,*,#189273,.T.); #249575=ORIENTED_EDGE('',*,*,#189270,.T.); #249576=ORIENTED_EDGE('',*,*,#189267,.T.); #249577=ORIENTED_EDGE('',*,*,#189264,.T.); #249578=ORIENTED_EDGE('',*,*,#189261,.T.); #249579=ORIENTED_EDGE('',*,*,#189258,.T.); #249580=ORIENTED_EDGE('',*,*,#189255,.T.); #249581=ORIENTED_EDGE('',*,*,#189252,.T.); #249582=ORIENTED_EDGE('',*,*,#189249,.T.); #249583=ORIENTED_EDGE('',*,*,#189246,.T.); #249584=ORIENTED_EDGE('',*,*,#189243,.T.); #249585=ORIENTED_EDGE('',*,*,#189240,.T.); #249586=ORIENTED_EDGE('',*,*,#189237,.T.); #249587=ORIENTED_EDGE('',*,*,#189234,.T.); #249588=ORIENTED_EDGE('',*,*,#189231,.T.); #249589=ORIENTED_EDGE('',*,*,#189228,.T.); #249590=ORIENTED_EDGE('',*,*,#189225,.T.); #249591=ORIENTED_EDGE('',*,*,#189222,.T.); #249592=ORIENTED_EDGE('',*,*,#189219,.T.); #249593=ORIENTED_EDGE('',*,*,#189216,.T.); #249594=ORIENTED_EDGE('',*,*,#189213,.T.); #249595=ORIENTED_EDGE('',*,*,#189210,.T.); #249596=ORIENTED_EDGE('',*,*,#189207,.T.); #249597=ORIENTED_EDGE('',*,*,#189204,.T.); #249598=ORIENTED_EDGE('',*,*,#189320,.F.); #249599=ORIENTED_EDGE('',*,*,#189202,.F.); #249600=ORIENTED_EDGE('',*,*,#189206,.F.); #249601=ORIENTED_EDGE('',*,*,#189209,.F.); #249602=ORIENTED_EDGE('',*,*,#189212,.F.); #249603=ORIENTED_EDGE('',*,*,#189215,.F.); #249604=ORIENTED_EDGE('',*,*,#189218,.F.); #249605=ORIENTED_EDGE('',*,*,#189221,.F.); #249606=ORIENTED_EDGE('',*,*,#189224,.F.); #249607=ORIENTED_EDGE('',*,*,#189227,.F.); #249608=ORIENTED_EDGE('',*,*,#189230,.F.); #249609=ORIENTED_EDGE('',*,*,#189233,.F.); #249610=ORIENTED_EDGE('',*,*,#189236,.F.); #249611=ORIENTED_EDGE('',*,*,#189239,.F.); #249612=ORIENTED_EDGE('',*,*,#189242,.F.); #249613=ORIENTED_EDGE('',*,*,#189245,.F.); #249614=ORIENTED_EDGE('',*,*,#189248,.F.); #249615=ORIENTED_EDGE('',*,*,#189251,.F.); #249616=ORIENTED_EDGE('',*,*,#189254,.F.); #249617=ORIENTED_EDGE('',*,*,#189257,.F.); #249618=ORIENTED_EDGE('',*,*,#189260,.F.); #249619=ORIENTED_EDGE('',*,*,#189263,.F.); #249620=ORIENTED_EDGE('',*,*,#189266,.F.); #249621=ORIENTED_EDGE('',*,*,#189269,.F.); #249622=ORIENTED_EDGE('',*,*,#189272,.F.); #249623=ORIENTED_EDGE('',*,*,#189275,.F.); #249624=ORIENTED_EDGE('',*,*,#189278,.F.); #249625=ORIENTED_EDGE('',*,*,#189281,.F.); #249626=ORIENTED_EDGE('',*,*,#189284,.F.); #249627=ORIENTED_EDGE('',*,*,#189287,.F.); #249628=ORIENTED_EDGE('',*,*,#189290,.F.); #249629=ORIENTED_EDGE('',*,*,#189293,.F.); #249630=ORIENTED_EDGE('',*,*,#189296,.F.); #249631=ORIENTED_EDGE('',*,*,#189299,.F.); #249632=ORIENTED_EDGE('',*,*,#189302,.F.); #249633=ORIENTED_EDGE('',*,*,#189305,.F.); #249634=ORIENTED_EDGE('',*,*,#189308,.F.); #249635=ORIENTED_EDGE('',*,*,#189311,.F.); #249636=ORIENTED_EDGE('',*,*,#189314,.F.); #249637=ORIENTED_EDGE('',*,*,#189317,.F.); #249638=ORIENTED_EDGE('',*,*,#189322,.T.); #249639=ORIENTED_EDGE('',*,*,#189323,.T.); #249640=ORIENTED_EDGE('',*,*,#189324,.F.); #249641=ORIENTED_EDGE('',*,*,#189325,.F.); #249642=ORIENTED_EDGE('',*,*,#189326,.T.); #249643=ORIENTED_EDGE('',*,*,#189325,.T.); #249644=ORIENTED_EDGE('',*,*,#189327,.F.); #249645=ORIENTED_EDGE('',*,*,#189328,.F.); #249646=ORIENTED_EDGE('',*,*,#189329,.T.); #249647=ORIENTED_EDGE('',*,*,#189328,.T.); #249648=ORIENTED_EDGE('',*,*,#189330,.F.); #249649=ORIENTED_EDGE('',*,*,#189331,.F.); #249650=ORIENTED_EDGE('',*,*,#189332,.T.); #249651=ORIENTED_EDGE('',*,*,#189331,.T.); #249652=ORIENTED_EDGE('',*,*,#189333,.F.); #249653=ORIENTED_EDGE('',*,*,#189334,.F.); #249654=ORIENTED_EDGE('',*,*,#189335,.T.); #249655=ORIENTED_EDGE('',*,*,#189334,.T.); #249656=ORIENTED_EDGE('',*,*,#189336,.F.); #249657=ORIENTED_EDGE('',*,*,#189337,.F.); #249658=ORIENTED_EDGE('',*,*,#189338,.T.); #249659=ORIENTED_EDGE('',*,*,#189337,.T.); #249660=ORIENTED_EDGE('',*,*,#189339,.F.); #249661=ORIENTED_EDGE('',*,*,#189340,.F.); #249662=ORIENTED_EDGE('',*,*,#189341,.T.); #249663=ORIENTED_EDGE('',*,*,#189340,.T.); #249664=ORIENTED_EDGE('',*,*,#189342,.F.); #249665=ORIENTED_EDGE('',*,*,#189343,.F.); #249666=ORIENTED_EDGE('',*,*,#189344,.T.); #249667=ORIENTED_EDGE('',*,*,#189343,.T.); #249668=ORIENTED_EDGE('',*,*,#189345,.F.); #249669=ORIENTED_EDGE('',*,*,#189346,.F.); #249670=ORIENTED_EDGE('',*,*,#189347,.T.); #249671=ORIENTED_EDGE('',*,*,#189346,.T.); #249672=ORIENTED_EDGE('',*,*,#189348,.F.); #249673=ORIENTED_EDGE('',*,*,#189349,.F.); #249674=ORIENTED_EDGE('',*,*,#189350,.T.); #249675=ORIENTED_EDGE('',*,*,#189349,.T.); #249676=ORIENTED_EDGE('',*,*,#189351,.F.); #249677=ORIENTED_EDGE('',*,*,#189352,.F.); #249678=ORIENTED_EDGE('',*,*,#189353,.T.); #249679=ORIENTED_EDGE('',*,*,#189352,.T.); #249680=ORIENTED_EDGE('',*,*,#189354,.F.); #249681=ORIENTED_EDGE('',*,*,#189355,.F.); #249682=ORIENTED_EDGE('',*,*,#189356,.T.); #249683=ORIENTED_EDGE('',*,*,#189355,.T.); #249684=ORIENTED_EDGE('',*,*,#189357,.F.); #249685=ORIENTED_EDGE('',*,*,#189358,.F.); #249686=ORIENTED_EDGE('',*,*,#189359,.T.); #249687=ORIENTED_EDGE('',*,*,#189358,.T.); #249688=ORIENTED_EDGE('',*,*,#189360,.F.); #249689=ORIENTED_EDGE('',*,*,#189361,.F.); #249690=ORIENTED_EDGE('',*,*,#189362,.T.); #249691=ORIENTED_EDGE('',*,*,#189361,.T.); #249692=ORIENTED_EDGE('',*,*,#189363,.F.); #249693=ORIENTED_EDGE('',*,*,#189364,.F.); #249694=ORIENTED_EDGE('',*,*,#189365,.T.); #249695=ORIENTED_EDGE('',*,*,#189364,.T.); #249696=ORIENTED_EDGE('',*,*,#189366,.F.); #249697=ORIENTED_EDGE('',*,*,#189367,.F.); #249698=ORIENTED_EDGE('',*,*,#189368,.T.); #249699=ORIENTED_EDGE('',*,*,#189367,.T.); #249700=ORIENTED_EDGE('',*,*,#189369,.F.); #249701=ORIENTED_EDGE('',*,*,#189370,.F.); #249702=ORIENTED_EDGE('',*,*,#189371,.T.); #249703=ORIENTED_EDGE('',*,*,#189370,.T.); #249704=ORIENTED_EDGE('',*,*,#189372,.F.); #249705=ORIENTED_EDGE('',*,*,#189373,.F.); #249706=ORIENTED_EDGE('',*,*,#189374,.T.); #249707=ORIENTED_EDGE('',*,*,#189373,.T.); #249708=ORIENTED_EDGE('',*,*,#189375,.F.); #249709=ORIENTED_EDGE('',*,*,#189376,.F.); #249710=ORIENTED_EDGE('',*,*,#189377,.T.); #249711=ORIENTED_EDGE('',*,*,#189376,.T.); #249712=ORIENTED_EDGE('',*,*,#189378,.F.); #249713=ORIENTED_EDGE('',*,*,#189379,.F.); #249714=ORIENTED_EDGE('',*,*,#189380,.T.); #249715=ORIENTED_EDGE('',*,*,#189379,.T.); #249716=ORIENTED_EDGE('',*,*,#189381,.F.); #249717=ORIENTED_EDGE('',*,*,#189323,.F.); #249718=ORIENTED_EDGE('',*,*,#189381,.T.); #249719=ORIENTED_EDGE('',*,*,#189378,.T.); #249720=ORIENTED_EDGE('',*,*,#189375,.T.); #249721=ORIENTED_EDGE('',*,*,#189372,.T.); #249722=ORIENTED_EDGE('',*,*,#189369,.T.); #249723=ORIENTED_EDGE('',*,*,#189366,.T.); #249724=ORIENTED_EDGE('',*,*,#189363,.T.); #249725=ORIENTED_EDGE('',*,*,#189360,.T.); #249726=ORIENTED_EDGE('',*,*,#189357,.T.); #249727=ORIENTED_EDGE('',*,*,#189354,.T.); #249728=ORIENTED_EDGE('',*,*,#189351,.T.); #249729=ORIENTED_EDGE('',*,*,#189348,.T.); #249730=ORIENTED_EDGE('',*,*,#189345,.T.); #249731=ORIENTED_EDGE('',*,*,#189342,.T.); #249732=ORIENTED_EDGE('',*,*,#189339,.T.); #249733=ORIENTED_EDGE('',*,*,#189336,.T.); #249734=ORIENTED_EDGE('',*,*,#189333,.T.); #249735=ORIENTED_EDGE('',*,*,#189330,.T.); #249736=ORIENTED_EDGE('',*,*,#189327,.T.); #249737=ORIENTED_EDGE('',*,*,#189324,.T.); #249738=ORIENTED_EDGE('',*,*,#189380,.F.); #249739=ORIENTED_EDGE('',*,*,#189322,.F.); #249740=ORIENTED_EDGE('',*,*,#189326,.F.); #249741=ORIENTED_EDGE('',*,*,#189329,.F.); #249742=ORIENTED_EDGE('',*,*,#189332,.F.); #249743=ORIENTED_EDGE('',*,*,#189335,.F.); #249744=ORIENTED_EDGE('',*,*,#189338,.F.); #249745=ORIENTED_EDGE('',*,*,#189341,.F.); #249746=ORIENTED_EDGE('',*,*,#189344,.F.); #249747=ORIENTED_EDGE('',*,*,#189347,.F.); #249748=ORIENTED_EDGE('',*,*,#189350,.F.); #249749=ORIENTED_EDGE('',*,*,#189353,.F.); #249750=ORIENTED_EDGE('',*,*,#189356,.F.); #249751=ORIENTED_EDGE('',*,*,#189359,.F.); #249752=ORIENTED_EDGE('',*,*,#189362,.F.); #249753=ORIENTED_EDGE('',*,*,#189365,.F.); #249754=ORIENTED_EDGE('',*,*,#189368,.F.); #249755=ORIENTED_EDGE('',*,*,#189371,.F.); #249756=ORIENTED_EDGE('',*,*,#189374,.F.); #249757=ORIENTED_EDGE('',*,*,#189377,.F.); #249758=ORIENTED_EDGE('',*,*,#189382,.T.); #249759=ORIENTED_EDGE('',*,*,#189383,.T.); #249760=ORIENTED_EDGE('',*,*,#189384,.F.); #249761=ORIENTED_EDGE('',*,*,#189385,.F.); #249762=ORIENTED_EDGE('',*,*,#189386,.T.); #249763=ORIENTED_EDGE('',*,*,#189385,.T.); #249764=ORIENTED_EDGE('',*,*,#189387,.F.); #249765=ORIENTED_EDGE('',*,*,#189388,.F.); #249766=ORIENTED_EDGE('',*,*,#189389,.T.); #249767=ORIENTED_EDGE('',*,*,#189388,.T.); #249768=ORIENTED_EDGE('',*,*,#189390,.F.); #249769=ORIENTED_EDGE('',*,*,#189391,.F.); #249770=ORIENTED_EDGE('',*,*,#189392,.T.); #249771=ORIENTED_EDGE('',*,*,#189391,.T.); #249772=ORIENTED_EDGE('',*,*,#189393,.F.); #249773=ORIENTED_EDGE('',*,*,#189394,.F.); #249774=ORIENTED_EDGE('',*,*,#189395,.T.); #249775=ORIENTED_EDGE('',*,*,#189394,.T.); #249776=ORIENTED_EDGE('',*,*,#189396,.F.); #249777=ORIENTED_EDGE('',*,*,#189397,.F.); #249778=ORIENTED_EDGE('',*,*,#189398,.T.); #249779=ORIENTED_EDGE('',*,*,#189397,.T.); #249780=ORIENTED_EDGE('',*,*,#189399,.F.); #249781=ORIENTED_EDGE('',*,*,#189400,.F.); #249782=ORIENTED_EDGE('',*,*,#189401,.T.); #249783=ORIENTED_EDGE('',*,*,#189400,.T.); #249784=ORIENTED_EDGE('',*,*,#189402,.F.); #249785=ORIENTED_EDGE('',*,*,#189403,.F.); #249786=ORIENTED_EDGE('',*,*,#189404,.T.); #249787=ORIENTED_EDGE('',*,*,#189403,.T.); #249788=ORIENTED_EDGE('',*,*,#189405,.F.); #249789=ORIENTED_EDGE('',*,*,#189406,.F.); #249790=ORIENTED_EDGE('',*,*,#189407,.T.); #249791=ORIENTED_EDGE('',*,*,#189406,.T.); #249792=ORIENTED_EDGE('',*,*,#189408,.F.); #249793=ORIENTED_EDGE('',*,*,#189409,.F.); #249794=ORIENTED_EDGE('',*,*,#189410,.T.); #249795=ORIENTED_EDGE('',*,*,#189409,.T.); #249796=ORIENTED_EDGE('',*,*,#189411,.F.); #249797=ORIENTED_EDGE('',*,*,#189412,.F.); #249798=ORIENTED_EDGE('',*,*,#189413,.T.); #249799=ORIENTED_EDGE('',*,*,#189412,.T.); #249800=ORIENTED_EDGE('',*,*,#189414,.F.); #249801=ORIENTED_EDGE('',*,*,#189415,.F.); #249802=ORIENTED_EDGE('',*,*,#189416,.T.); #249803=ORIENTED_EDGE('',*,*,#189415,.T.); #249804=ORIENTED_EDGE('',*,*,#189417,.F.); #249805=ORIENTED_EDGE('',*,*,#189418,.F.); #249806=ORIENTED_EDGE('',*,*,#189419,.T.); #249807=ORIENTED_EDGE('',*,*,#189418,.T.); #249808=ORIENTED_EDGE('',*,*,#189420,.F.); #249809=ORIENTED_EDGE('',*,*,#189421,.F.); #249810=ORIENTED_EDGE('',*,*,#189422,.T.); #249811=ORIENTED_EDGE('',*,*,#189421,.T.); #249812=ORIENTED_EDGE('',*,*,#189423,.F.); #249813=ORIENTED_EDGE('',*,*,#189424,.F.); #249814=ORIENTED_EDGE('',*,*,#189425,.T.); #249815=ORIENTED_EDGE('',*,*,#189424,.T.); #249816=ORIENTED_EDGE('',*,*,#189426,.F.); #249817=ORIENTED_EDGE('',*,*,#189427,.F.); #249818=ORIENTED_EDGE('',*,*,#189428,.T.); #249819=ORIENTED_EDGE('',*,*,#189427,.T.); #249820=ORIENTED_EDGE('',*,*,#189429,.F.); #249821=ORIENTED_EDGE('',*,*,#189430,.F.); #249822=ORIENTED_EDGE('',*,*,#189431,.T.); #249823=ORIENTED_EDGE('',*,*,#189430,.T.); #249824=ORIENTED_EDGE('',*,*,#189432,.F.); #249825=ORIENTED_EDGE('',*,*,#189433,.F.); #249826=ORIENTED_EDGE('',*,*,#189434,.T.); #249827=ORIENTED_EDGE('',*,*,#189433,.T.); #249828=ORIENTED_EDGE('',*,*,#189435,.F.); #249829=ORIENTED_EDGE('',*,*,#189436,.F.); #249830=ORIENTED_EDGE('',*,*,#189437,.T.); #249831=ORIENTED_EDGE('',*,*,#189436,.T.); #249832=ORIENTED_EDGE('',*,*,#189438,.F.); #249833=ORIENTED_EDGE('',*,*,#189439,.F.); #249834=ORIENTED_EDGE('',*,*,#189440,.T.); #249835=ORIENTED_EDGE('',*,*,#189439,.T.); #249836=ORIENTED_EDGE('',*,*,#189441,.F.); #249837=ORIENTED_EDGE('',*,*,#189442,.F.); #249838=ORIENTED_EDGE('',*,*,#189443,.T.); #249839=ORIENTED_EDGE('',*,*,#189442,.T.); #249840=ORIENTED_EDGE('',*,*,#189444,.F.); #249841=ORIENTED_EDGE('',*,*,#189445,.F.); #249842=ORIENTED_EDGE('',*,*,#189446,.T.); #249843=ORIENTED_EDGE('',*,*,#189445,.T.); #249844=ORIENTED_EDGE('',*,*,#189447,.F.); #249845=ORIENTED_EDGE('',*,*,#189448,.F.); #249846=ORIENTED_EDGE('',*,*,#189449,.T.); #249847=ORIENTED_EDGE('',*,*,#189448,.T.); #249848=ORIENTED_EDGE('',*,*,#189450,.F.); #249849=ORIENTED_EDGE('',*,*,#189451,.F.); #249850=ORIENTED_EDGE('',*,*,#189452,.T.); #249851=ORIENTED_EDGE('',*,*,#189451,.T.); #249852=ORIENTED_EDGE('',*,*,#189453,.F.); #249853=ORIENTED_EDGE('',*,*,#189454,.F.); #249854=ORIENTED_EDGE('',*,*,#189455,.T.); #249855=ORIENTED_EDGE('',*,*,#189454,.T.); #249856=ORIENTED_EDGE('',*,*,#189456,.F.); #249857=ORIENTED_EDGE('',*,*,#189457,.F.); #249858=ORIENTED_EDGE('',*,*,#189458,.T.); #249859=ORIENTED_EDGE('',*,*,#189457,.T.); #249860=ORIENTED_EDGE('',*,*,#189459,.F.); #249861=ORIENTED_EDGE('',*,*,#189460,.F.); #249862=ORIENTED_EDGE('',*,*,#189461,.T.); #249863=ORIENTED_EDGE('',*,*,#189460,.T.); #249864=ORIENTED_EDGE('',*,*,#189462,.F.); #249865=ORIENTED_EDGE('',*,*,#189463,.F.); #249866=ORIENTED_EDGE('',*,*,#189464,.T.); #249867=ORIENTED_EDGE('',*,*,#189463,.T.); #249868=ORIENTED_EDGE('',*,*,#189465,.F.); #249869=ORIENTED_EDGE('',*,*,#189466,.F.); #249870=ORIENTED_EDGE('',*,*,#189467,.T.); #249871=ORIENTED_EDGE('',*,*,#189466,.T.); #249872=ORIENTED_EDGE('',*,*,#189468,.F.); #249873=ORIENTED_EDGE('',*,*,#189469,.F.); #249874=ORIENTED_EDGE('',*,*,#189470,.T.); #249875=ORIENTED_EDGE('',*,*,#189469,.T.); #249876=ORIENTED_EDGE('',*,*,#189471,.F.); #249877=ORIENTED_EDGE('',*,*,#189472,.F.); #249878=ORIENTED_EDGE('',*,*,#189473,.T.); #249879=ORIENTED_EDGE('',*,*,#189472,.T.); #249880=ORIENTED_EDGE('',*,*,#189474,.F.); #249881=ORIENTED_EDGE('',*,*,#189475,.F.); #249882=ORIENTED_EDGE('',*,*,#189476,.T.); #249883=ORIENTED_EDGE('',*,*,#189475,.T.); #249884=ORIENTED_EDGE('',*,*,#189477,.F.); #249885=ORIENTED_EDGE('',*,*,#189478,.F.); #249886=ORIENTED_EDGE('',*,*,#189479,.T.); #249887=ORIENTED_EDGE('',*,*,#189478,.T.); #249888=ORIENTED_EDGE('',*,*,#189480,.F.); #249889=ORIENTED_EDGE('',*,*,#189481,.F.); #249890=ORIENTED_EDGE('',*,*,#189482,.T.); #249891=ORIENTED_EDGE('',*,*,#189481,.T.); #249892=ORIENTED_EDGE('',*,*,#189483,.F.); #249893=ORIENTED_EDGE('',*,*,#189484,.F.); #249894=ORIENTED_EDGE('',*,*,#189485,.T.); #249895=ORIENTED_EDGE('',*,*,#189484,.T.); #249896=ORIENTED_EDGE('',*,*,#189486,.F.); #249897=ORIENTED_EDGE('',*,*,#189487,.F.); #249898=ORIENTED_EDGE('',*,*,#189488,.T.); #249899=ORIENTED_EDGE('',*,*,#189487,.T.); #249900=ORIENTED_EDGE('',*,*,#189489,.F.); #249901=ORIENTED_EDGE('',*,*,#189490,.F.); #249902=ORIENTED_EDGE('',*,*,#189491,.T.); #249903=ORIENTED_EDGE('',*,*,#189490,.T.); #249904=ORIENTED_EDGE('',*,*,#189492,.F.); #249905=ORIENTED_EDGE('',*,*,#189493,.F.); #249906=ORIENTED_EDGE('',*,*,#189494,.T.); #249907=ORIENTED_EDGE('',*,*,#189493,.T.); #249908=ORIENTED_EDGE('',*,*,#189495,.F.); #249909=ORIENTED_EDGE('',*,*,#189496,.F.); #249910=ORIENTED_EDGE('',*,*,#189497,.T.); #249911=ORIENTED_EDGE('',*,*,#189496,.T.); #249912=ORIENTED_EDGE('',*,*,#189498,.F.); #249913=ORIENTED_EDGE('',*,*,#189499,.F.); #249914=ORIENTED_EDGE('',*,*,#189500,.T.); #249915=ORIENTED_EDGE('',*,*,#189499,.T.); #249916=ORIENTED_EDGE('',*,*,#189501,.F.); #249917=ORIENTED_EDGE('',*,*,#189383,.F.); #249918=ORIENTED_EDGE('',*,*,#189501,.T.); #249919=ORIENTED_EDGE('',*,*,#189498,.T.); #249920=ORIENTED_EDGE('',*,*,#189495,.T.); #249921=ORIENTED_EDGE('',*,*,#189492,.T.); #249922=ORIENTED_EDGE('',*,*,#189489,.T.); #249923=ORIENTED_EDGE('',*,*,#189486,.T.); #249924=ORIENTED_EDGE('',*,*,#189483,.T.); #249925=ORIENTED_EDGE('',*,*,#189480,.T.); #249926=ORIENTED_EDGE('',*,*,#189477,.T.); #249927=ORIENTED_EDGE('',*,*,#189474,.T.); #249928=ORIENTED_EDGE('',*,*,#189471,.T.); #249929=ORIENTED_EDGE('',*,*,#189468,.T.); #249930=ORIENTED_EDGE('',*,*,#189465,.T.); #249931=ORIENTED_EDGE('',*,*,#189462,.T.); #249932=ORIENTED_EDGE('',*,*,#189459,.T.); #249933=ORIENTED_EDGE('',*,*,#189456,.T.); #249934=ORIENTED_EDGE('',*,*,#189453,.T.); #249935=ORIENTED_EDGE('',*,*,#189450,.T.); #249936=ORIENTED_EDGE('',*,*,#189447,.T.); #249937=ORIENTED_EDGE('',*,*,#189444,.T.); #249938=ORIENTED_EDGE('',*,*,#189441,.T.); #249939=ORIENTED_EDGE('',*,*,#189438,.T.); #249940=ORIENTED_EDGE('',*,*,#189435,.T.); #249941=ORIENTED_EDGE('',*,*,#189432,.T.); #249942=ORIENTED_EDGE('',*,*,#189429,.T.); #249943=ORIENTED_EDGE('',*,*,#189426,.T.); #249944=ORIENTED_EDGE('',*,*,#189423,.T.); #249945=ORIENTED_EDGE('',*,*,#189420,.T.); #249946=ORIENTED_EDGE('',*,*,#189417,.T.); #249947=ORIENTED_EDGE('',*,*,#189414,.T.); #249948=ORIENTED_EDGE('',*,*,#189411,.T.); #249949=ORIENTED_EDGE('',*,*,#189408,.T.); #249950=ORIENTED_EDGE('',*,*,#189405,.T.); #249951=ORIENTED_EDGE('',*,*,#189402,.T.); #249952=ORIENTED_EDGE('',*,*,#189399,.T.); #249953=ORIENTED_EDGE('',*,*,#189396,.T.); #249954=ORIENTED_EDGE('',*,*,#189393,.T.); #249955=ORIENTED_EDGE('',*,*,#189390,.T.); #249956=ORIENTED_EDGE('',*,*,#189387,.T.); #249957=ORIENTED_EDGE('',*,*,#189384,.T.); #249958=ORIENTED_EDGE('',*,*,#189500,.F.); #249959=ORIENTED_EDGE('',*,*,#189382,.F.); #249960=ORIENTED_EDGE('',*,*,#189386,.F.); #249961=ORIENTED_EDGE('',*,*,#189389,.F.); #249962=ORIENTED_EDGE('',*,*,#189392,.F.); #249963=ORIENTED_EDGE('',*,*,#189395,.F.); #249964=ORIENTED_EDGE('',*,*,#189398,.F.); #249965=ORIENTED_EDGE('',*,*,#189401,.F.); #249966=ORIENTED_EDGE('',*,*,#189404,.F.); #249967=ORIENTED_EDGE('',*,*,#189407,.F.); #249968=ORIENTED_EDGE('',*,*,#189410,.F.); #249969=ORIENTED_EDGE('',*,*,#189413,.F.); #249970=ORIENTED_EDGE('',*,*,#189416,.F.); #249971=ORIENTED_EDGE('',*,*,#189419,.F.); #249972=ORIENTED_EDGE('',*,*,#189422,.F.); #249973=ORIENTED_EDGE('',*,*,#189425,.F.); #249974=ORIENTED_EDGE('',*,*,#189428,.F.); #249975=ORIENTED_EDGE('',*,*,#189431,.F.); #249976=ORIENTED_EDGE('',*,*,#189434,.F.); #249977=ORIENTED_EDGE('',*,*,#189437,.F.); #249978=ORIENTED_EDGE('',*,*,#189440,.F.); #249979=ORIENTED_EDGE('',*,*,#189443,.F.); #249980=ORIENTED_EDGE('',*,*,#189446,.F.); #249981=ORIENTED_EDGE('',*,*,#189449,.F.); #249982=ORIENTED_EDGE('',*,*,#189452,.F.); #249983=ORIENTED_EDGE('',*,*,#189455,.F.); #249984=ORIENTED_EDGE('',*,*,#189458,.F.); #249985=ORIENTED_EDGE('',*,*,#189461,.F.); #249986=ORIENTED_EDGE('',*,*,#189464,.F.); #249987=ORIENTED_EDGE('',*,*,#189467,.F.); #249988=ORIENTED_EDGE('',*,*,#189470,.F.); #249989=ORIENTED_EDGE('',*,*,#189473,.F.); #249990=ORIENTED_EDGE('',*,*,#189476,.F.); #249991=ORIENTED_EDGE('',*,*,#189479,.F.); #249992=ORIENTED_EDGE('',*,*,#189482,.F.); #249993=ORIENTED_EDGE('',*,*,#189485,.F.); #249994=ORIENTED_EDGE('',*,*,#189488,.F.); #249995=ORIENTED_EDGE('',*,*,#189491,.F.); #249996=ORIENTED_EDGE('',*,*,#189494,.F.); #249997=ORIENTED_EDGE('',*,*,#189497,.F.); #249998=ORIENTED_EDGE('',*,*,#189502,.T.); #249999=ORIENTED_EDGE('',*,*,#189503,.T.); #250000=ORIENTED_EDGE('',*,*,#189504,.F.); #250001=ORIENTED_EDGE('',*,*,#189505,.F.); #250002=ORIENTED_EDGE('',*,*,#189506,.T.); #250003=ORIENTED_EDGE('',*,*,#189505,.T.); #250004=ORIENTED_EDGE('',*,*,#189507,.F.); #250005=ORIENTED_EDGE('',*,*,#189508,.F.); #250006=ORIENTED_EDGE('',*,*,#189509,.T.); #250007=ORIENTED_EDGE('',*,*,#189508,.T.); #250008=ORIENTED_EDGE('',*,*,#189510,.F.); #250009=ORIENTED_EDGE('',*,*,#189511,.F.); #250010=ORIENTED_EDGE('',*,*,#189512,.T.); #250011=ORIENTED_EDGE('',*,*,#189511,.T.); #250012=ORIENTED_EDGE('',*,*,#189513,.F.); #250013=ORIENTED_EDGE('',*,*,#189514,.F.); #250014=ORIENTED_EDGE('',*,*,#189515,.T.); #250015=ORIENTED_EDGE('',*,*,#189514,.T.); #250016=ORIENTED_EDGE('',*,*,#189516,.F.); #250017=ORIENTED_EDGE('',*,*,#189517,.F.); #250018=ORIENTED_EDGE('',*,*,#189518,.T.); #250019=ORIENTED_EDGE('',*,*,#189517,.T.); #250020=ORIENTED_EDGE('',*,*,#189519,.F.); #250021=ORIENTED_EDGE('',*,*,#189520,.F.); #250022=ORIENTED_EDGE('',*,*,#189521,.T.); #250023=ORIENTED_EDGE('',*,*,#189520,.T.); #250024=ORIENTED_EDGE('',*,*,#189522,.F.); #250025=ORIENTED_EDGE('',*,*,#189523,.F.); #250026=ORIENTED_EDGE('',*,*,#189524,.T.); #250027=ORIENTED_EDGE('',*,*,#189523,.T.); #250028=ORIENTED_EDGE('',*,*,#189525,.F.); #250029=ORIENTED_EDGE('',*,*,#189526,.F.); #250030=ORIENTED_EDGE('',*,*,#189527,.T.); #250031=ORIENTED_EDGE('',*,*,#189526,.T.); #250032=ORIENTED_EDGE('',*,*,#189528,.F.); #250033=ORIENTED_EDGE('',*,*,#189529,.F.); #250034=ORIENTED_EDGE('',*,*,#189530,.T.); #250035=ORIENTED_EDGE('',*,*,#189529,.T.); #250036=ORIENTED_EDGE('',*,*,#189531,.F.); #250037=ORIENTED_EDGE('',*,*,#189532,.F.); #250038=ORIENTED_EDGE('',*,*,#189533,.T.); #250039=ORIENTED_EDGE('',*,*,#189532,.T.); #250040=ORIENTED_EDGE('',*,*,#189534,.F.); #250041=ORIENTED_EDGE('',*,*,#189535,.F.); #250042=ORIENTED_EDGE('',*,*,#189536,.T.); #250043=ORIENTED_EDGE('',*,*,#189535,.T.); #250044=ORIENTED_EDGE('',*,*,#189537,.F.); #250045=ORIENTED_EDGE('',*,*,#189538,.F.); #250046=ORIENTED_EDGE('',*,*,#189539,.T.); #250047=ORIENTED_EDGE('',*,*,#189538,.T.); #250048=ORIENTED_EDGE('',*,*,#189540,.F.); #250049=ORIENTED_EDGE('',*,*,#189541,.F.); #250050=ORIENTED_EDGE('',*,*,#189542,.T.); #250051=ORIENTED_EDGE('',*,*,#189541,.T.); #250052=ORIENTED_EDGE('',*,*,#189543,.F.); #250053=ORIENTED_EDGE('',*,*,#189544,.F.); #250054=ORIENTED_EDGE('',*,*,#189545,.T.); #250055=ORIENTED_EDGE('',*,*,#189544,.T.); #250056=ORIENTED_EDGE('',*,*,#189546,.F.); #250057=ORIENTED_EDGE('',*,*,#189547,.F.); #250058=ORIENTED_EDGE('',*,*,#189548,.T.); #250059=ORIENTED_EDGE('',*,*,#189547,.T.); #250060=ORIENTED_EDGE('',*,*,#189549,.F.); #250061=ORIENTED_EDGE('',*,*,#189550,.F.); #250062=ORIENTED_EDGE('',*,*,#189551,.T.); #250063=ORIENTED_EDGE('',*,*,#189550,.T.); #250064=ORIENTED_EDGE('',*,*,#189552,.F.); #250065=ORIENTED_EDGE('',*,*,#189553,.F.); #250066=ORIENTED_EDGE('',*,*,#189554,.T.); #250067=ORIENTED_EDGE('',*,*,#189553,.T.); #250068=ORIENTED_EDGE('',*,*,#189555,.F.); #250069=ORIENTED_EDGE('',*,*,#189556,.F.); #250070=ORIENTED_EDGE('',*,*,#189557,.T.); #250071=ORIENTED_EDGE('',*,*,#189556,.T.); #250072=ORIENTED_EDGE('',*,*,#189558,.F.); #250073=ORIENTED_EDGE('',*,*,#189559,.F.); #250074=ORIENTED_EDGE('',*,*,#189560,.T.); #250075=ORIENTED_EDGE('',*,*,#189559,.T.); #250076=ORIENTED_EDGE('',*,*,#189561,.F.); #250077=ORIENTED_EDGE('',*,*,#189503,.F.); #250078=ORIENTED_EDGE('',*,*,#189561,.T.); #250079=ORIENTED_EDGE('',*,*,#189558,.T.); #250080=ORIENTED_EDGE('',*,*,#189555,.T.); #250081=ORIENTED_EDGE('',*,*,#189552,.T.); #250082=ORIENTED_EDGE('',*,*,#189549,.T.); #250083=ORIENTED_EDGE('',*,*,#189546,.T.); #250084=ORIENTED_EDGE('',*,*,#189543,.T.); #250085=ORIENTED_EDGE('',*,*,#189540,.T.); #250086=ORIENTED_EDGE('',*,*,#189537,.T.); #250087=ORIENTED_EDGE('',*,*,#189534,.T.); #250088=ORIENTED_EDGE('',*,*,#189531,.T.); #250089=ORIENTED_EDGE('',*,*,#189528,.T.); #250090=ORIENTED_EDGE('',*,*,#189525,.T.); #250091=ORIENTED_EDGE('',*,*,#189522,.T.); #250092=ORIENTED_EDGE('',*,*,#189519,.T.); #250093=ORIENTED_EDGE('',*,*,#189516,.T.); #250094=ORIENTED_EDGE('',*,*,#189513,.T.); #250095=ORIENTED_EDGE('',*,*,#189510,.T.); #250096=ORIENTED_EDGE('',*,*,#189507,.T.); #250097=ORIENTED_EDGE('',*,*,#189504,.T.); #250098=ORIENTED_EDGE('',*,*,#189560,.F.); #250099=ORIENTED_EDGE('',*,*,#189502,.F.); #250100=ORIENTED_EDGE('',*,*,#189506,.F.); #250101=ORIENTED_EDGE('',*,*,#189509,.F.); #250102=ORIENTED_EDGE('',*,*,#189512,.F.); #250103=ORIENTED_EDGE('',*,*,#189515,.F.); #250104=ORIENTED_EDGE('',*,*,#189518,.F.); #250105=ORIENTED_EDGE('',*,*,#189521,.F.); #250106=ORIENTED_EDGE('',*,*,#189524,.F.); #250107=ORIENTED_EDGE('',*,*,#189527,.F.); #250108=ORIENTED_EDGE('',*,*,#189530,.F.); #250109=ORIENTED_EDGE('',*,*,#189533,.F.); #250110=ORIENTED_EDGE('',*,*,#189536,.F.); #250111=ORIENTED_EDGE('',*,*,#189539,.F.); #250112=ORIENTED_EDGE('',*,*,#189542,.F.); #250113=ORIENTED_EDGE('',*,*,#189545,.F.); #250114=ORIENTED_EDGE('',*,*,#189548,.F.); #250115=ORIENTED_EDGE('',*,*,#189551,.F.); #250116=ORIENTED_EDGE('',*,*,#189554,.F.); #250117=ORIENTED_EDGE('',*,*,#189557,.F.); #250118=ORIENTED_EDGE('',*,*,#189562,.T.); #250119=ORIENTED_EDGE('',*,*,#189563,.T.); #250120=ORIENTED_EDGE('',*,*,#189564,.F.); #250121=ORIENTED_EDGE('',*,*,#189565,.F.); #250122=ORIENTED_EDGE('',*,*,#189566,.T.); #250123=ORIENTED_EDGE('',*,*,#189565,.T.); #250124=ORIENTED_EDGE('',*,*,#189567,.F.); #250125=ORIENTED_EDGE('',*,*,#189568,.F.); #250126=ORIENTED_EDGE('',*,*,#189569,.T.); #250127=ORIENTED_EDGE('',*,*,#189568,.T.); #250128=ORIENTED_EDGE('',*,*,#189570,.F.); #250129=ORIENTED_EDGE('',*,*,#189571,.F.); #250130=ORIENTED_EDGE('',*,*,#189572,.T.); #250131=ORIENTED_EDGE('',*,*,#189571,.T.); #250132=ORIENTED_EDGE('',*,*,#189573,.F.); #250133=ORIENTED_EDGE('',*,*,#189574,.F.); #250134=ORIENTED_EDGE('',*,*,#189575,.T.); #250135=ORIENTED_EDGE('',*,*,#189574,.T.); #250136=ORIENTED_EDGE('',*,*,#189576,.F.); #250137=ORIENTED_EDGE('',*,*,#189577,.F.); #250138=ORIENTED_EDGE('',*,*,#189578,.T.); #250139=ORIENTED_EDGE('',*,*,#189577,.T.); #250140=ORIENTED_EDGE('',*,*,#189579,.F.); #250141=ORIENTED_EDGE('',*,*,#189580,.F.); #250142=ORIENTED_EDGE('',*,*,#189581,.T.); #250143=ORIENTED_EDGE('',*,*,#189580,.T.); #250144=ORIENTED_EDGE('',*,*,#189582,.F.); #250145=ORIENTED_EDGE('',*,*,#189583,.F.); #250146=ORIENTED_EDGE('',*,*,#189584,.T.); #250147=ORIENTED_EDGE('',*,*,#189583,.T.); #250148=ORIENTED_EDGE('',*,*,#189585,.F.); #250149=ORIENTED_EDGE('',*,*,#189586,.F.); #250150=ORIENTED_EDGE('',*,*,#189587,.T.); #250151=ORIENTED_EDGE('',*,*,#189586,.T.); #250152=ORIENTED_EDGE('',*,*,#189588,.F.); #250153=ORIENTED_EDGE('',*,*,#189589,.F.); #250154=ORIENTED_EDGE('',*,*,#189590,.T.); #250155=ORIENTED_EDGE('',*,*,#189589,.T.); #250156=ORIENTED_EDGE('',*,*,#189591,.F.); #250157=ORIENTED_EDGE('',*,*,#189592,.F.); #250158=ORIENTED_EDGE('',*,*,#189593,.T.); #250159=ORIENTED_EDGE('',*,*,#189592,.T.); #250160=ORIENTED_EDGE('',*,*,#189594,.F.); #250161=ORIENTED_EDGE('',*,*,#189595,.F.); #250162=ORIENTED_EDGE('',*,*,#189596,.T.); #250163=ORIENTED_EDGE('',*,*,#189595,.T.); #250164=ORIENTED_EDGE('',*,*,#189597,.F.); #250165=ORIENTED_EDGE('',*,*,#189598,.F.); #250166=ORIENTED_EDGE('',*,*,#189599,.T.); #250167=ORIENTED_EDGE('',*,*,#189598,.T.); #250168=ORIENTED_EDGE('',*,*,#189600,.F.); #250169=ORIENTED_EDGE('',*,*,#189601,.F.); #250170=ORIENTED_EDGE('',*,*,#189602,.T.); #250171=ORIENTED_EDGE('',*,*,#189601,.T.); #250172=ORIENTED_EDGE('',*,*,#189603,.F.); #250173=ORIENTED_EDGE('',*,*,#189604,.F.); #250174=ORIENTED_EDGE('',*,*,#189605,.T.); #250175=ORIENTED_EDGE('',*,*,#189604,.T.); #250176=ORIENTED_EDGE('',*,*,#189606,.F.); #250177=ORIENTED_EDGE('',*,*,#189607,.F.); #250178=ORIENTED_EDGE('',*,*,#189608,.T.); #250179=ORIENTED_EDGE('',*,*,#189607,.T.); #250180=ORIENTED_EDGE('',*,*,#189609,.F.); #250181=ORIENTED_EDGE('',*,*,#189610,.F.); #250182=ORIENTED_EDGE('',*,*,#189611,.T.); #250183=ORIENTED_EDGE('',*,*,#189610,.T.); #250184=ORIENTED_EDGE('',*,*,#189612,.F.); #250185=ORIENTED_EDGE('',*,*,#189613,.F.); #250186=ORIENTED_EDGE('',*,*,#189614,.T.); #250187=ORIENTED_EDGE('',*,*,#189613,.T.); #250188=ORIENTED_EDGE('',*,*,#189615,.F.); #250189=ORIENTED_EDGE('',*,*,#189616,.F.); #250190=ORIENTED_EDGE('',*,*,#189617,.T.); #250191=ORIENTED_EDGE('',*,*,#189616,.T.); #250192=ORIENTED_EDGE('',*,*,#189618,.F.); #250193=ORIENTED_EDGE('',*,*,#189619,.F.); #250194=ORIENTED_EDGE('',*,*,#189620,.T.); #250195=ORIENTED_EDGE('',*,*,#189619,.T.); #250196=ORIENTED_EDGE('',*,*,#189621,.F.); #250197=ORIENTED_EDGE('',*,*,#189563,.F.); #250198=ORIENTED_EDGE('',*,*,#189621,.T.); #250199=ORIENTED_EDGE('',*,*,#189618,.T.); #250200=ORIENTED_EDGE('',*,*,#189615,.T.); #250201=ORIENTED_EDGE('',*,*,#189612,.T.); #250202=ORIENTED_EDGE('',*,*,#189609,.T.); #250203=ORIENTED_EDGE('',*,*,#189606,.T.); #250204=ORIENTED_EDGE('',*,*,#189603,.T.); #250205=ORIENTED_EDGE('',*,*,#189600,.T.); #250206=ORIENTED_EDGE('',*,*,#189597,.T.); #250207=ORIENTED_EDGE('',*,*,#189594,.T.); #250208=ORIENTED_EDGE('',*,*,#189591,.T.); #250209=ORIENTED_EDGE('',*,*,#189588,.T.); #250210=ORIENTED_EDGE('',*,*,#189585,.T.); #250211=ORIENTED_EDGE('',*,*,#189582,.T.); #250212=ORIENTED_EDGE('',*,*,#189579,.T.); #250213=ORIENTED_EDGE('',*,*,#189576,.T.); #250214=ORIENTED_EDGE('',*,*,#189573,.T.); #250215=ORIENTED_EDGE('',*,*,#189570,.T.); #250216=ORIENTED_EDGE('',*,*,#189567,.T.); #250217=ORIENTED_EDGE('',*,*,#189564,.T.); #250218=ORIENTED_EDGE('',*,*,#189620,.F.); #250219=ORIENTED_EDGE('',*,*,#189562,.F.); #250220=ORIENTED_EDGE('',*,*,#189566,.F.); #250221=ORIENTED_EDGE('',*,*,#189569,.F.); #250222=ORIENTED_EDGE('',*,*,#189572,.F.); #250223=ORIENTED_EDGE('',*,*,#189575,.F.); #250224=ORIENTED_EDGE('',*,*,#189578,.F.); #250225=ORIENTED_EDGE('',*,*,#189581,.F.); #250226=ORIENTED_EDGE('',*,*,#189584,.F.); #250227=ORIENTED_EDGE('',*,*,#189587,.F.); #250228=ORIENTED_EDGE('',*,*,#189590,.F.); #250229=ORIENTED_EDGE('',*,*,#189593,.F.); #250230=ORIENTED_EDGE('',*,*,#189596,.F.); #250231=ORIENTED_EDGE('',*,*,#189599,.F.); #250232=ORIENTED_EDGE('',*,*,#189602,.F.); #250233=ORIENTED_EDGE('',*,*,#189605,.F.); #250234=ORIENTED_EDGE('',*,*,#189608,.F.); #250235=ORIENTED_EDGE('',*,*,#189611,.F.); #250236=ORIENTED_EDGE('',*,*,#189614,.F.); #250237=ORIENTED_EDGE('',*,*,#189617,.F.); #250238=ORIENTED_EDGE('',*,*,#189622,.F.); #250239=ORIENTED_EDGE('',*,*,#189623,.T.); #250240=ORIENTED_EDGE('',*,*,#189624,.F.); #250241=ORIENTED_EDGE('',*,*,#189623,.F.); #250242=ORIENTED_EDGE('',*,*,#189625,.F.); #250243=ORIENTED_EDGE('',*,*,#189626,.F.); #250244=ORIENTED_EDGE('',*,*,#189627,.F.); #250245=ORIENTED_EDGE('',*,*,#189628,.T.); #250246=ORIENTED_EDGE('',*,*,#189629,.F.); #250247=ORIENTED_EDGE('',*,*,#189628,.F.); #250248=ORIENTED_EDGE('',*,*,#189630,.F.); #250249=ORIENTED_EDGE('',*,*,#189631,.T.); #250250=ORIENTED_EDGE('',*,*,#189632,.F.); #250251=ORIENTED_EDGE('',*,*,#189631,.F.); #250252=ORIENTED_EDGE('',*,*,#189633,.F.); #250253=ORIENTED_EDGE('',*,*,#189634,.T.); #250254=ORIENTED_EDGE('',*,*,#189635,.F.); #250255=ORIENTED_EDGE('',*,*,#189634,.F.); #250256=ORIENTED_EDGE('',*,*,#189636,.F.); #250257=ORIENTED_EDGE('',*,*,#189626,.T.); #250258=ORIENTED_EDGE('',*,*,#189637,.T.); #250259=ORIENTED_EDGE('',*,*,#189638,.T.); #250260=ORIENTED_EDGE('',*,*,#189639,.F.); #250261=ORIENTED_EDGE('',*,*,#189640,.F.); #250262=ORIENTED_EDGE('',*,*,#189641,.T.); #250263=ORIENTED_EDGE('',*,*,#189640,.T.); #250264=ORIENTED_EDGE('',*,*,#189642,.F.); #250265=ORIENTED_EDGE('',*,*,#189643,.F.); #250266=ORIENTED_EDGE('',*,*,#189644,.T.); #250267=ORIENTED_EDGE('',*,*,#189643,.T.); #250268=ORIENTED_EDGE('',*,*,#189645,.F.); #250269=ORIENTED_EDGE('',*,*,#189646,.F.); #250270=ORIENTED_EDGE('',*,*,#189647,.T.); #250271=ORIENTED_EDGE('',*,*,#189646,.T.); #250272=ORIENTED_EDGE('',*,*,#189648,.F.); #250273=ORIENTED_EDGE('',*,*,#189649,.F.); #250274=ORIENTED_EDGE('',*,*,#189650,.T.); #250275=ORIENTED_EDGE('',*,*,#189649,.T.); #250276=ORIENTED_EDGE('',*,*,#189651,.F.); #250277=ORIENTED_EDGE('',*,*,#189652,.F.); #250278=ORIENTED_EDGE('',*,*,#189653,.T.); #250279=ORIENTED_EDGE('',*,*,#189652,.T.); #250280=ORIENTED_EDGE('',*,*,#189654,.F.); #250281=ORIENTED_EDGE('',*,*,#189655,.F.); #250282=ORIENTED_EDGE('',*,*,#189656,.T.); #250283=ORIENTED_EDGE('',*,*,#189655,.T.); #250284=ORIENTED_EDGE('',*,*,#189657,.F.); #250285=ORIENTED_EDGE('',*,*,#189658,.F.); #250286=ORIENTED_EDGE('',*,*,#189659,.T.); #250287=ORIENTED_EDGE('',*,*,#189658,.T.); #250288=ORIENTED_EDGE('',*,*,#189660,.F.); #250289=ORIENTED_EDGE('',*,*,#189661,.F.); #250290=ORIENTED_EDGE('',*,*,#189662,.T.); #250291=ORIENTED_EDGE('',*,*,#189661,.T.); #250292=ORIENTED_EDGE('',*,*,#189663,.F.); #250293=ORIENTED_EDGE('',*,*,#189664,.F.); #250294=ORIENTED_EDGE('',*,*,#189665,.T.); #250295=ORIENTED_EDGE('',*,*,#189664,.T.); #250296=ORIENTED_EDGE('',*,*,#189666,.F.); #250297=ORIENTED_EDGE('',*,*,#189667,.F.); #250298=ORIENTED_EDGE('',*,*,#189668,.T.); #250299=ORIENTED_EDGE('',*,*,#189667,.T.); #250300=ORIENTED_EDGE('',*,*,#189669,.F.); #250301=ORIENTED_EDGE('',*,*,#189670,.F.); #250302=ORIENTED_EDGE('',*,*,#189671,.T.); #250303=ORIENTED_EDGE('',*,*,#189670,.T.); #250304=ORIENTED_EDGE('',*,*,#189672,.F.); #250305=ORIENTED_EDGE('',*,*,#189673,.F.); #250306=ORIENTED_EDGE('',*,*,#189674,.T.); #250307=ORIENTED_EDGE('',*,*,#189673,.T.); #250308=ORIENTED_EDGE('',*,*,#189675,.F.); #250309=ORIENTED_EDGE('',*,*,#189676,.F.); #250310=ORIENTED_EDGE('',*,*,#189677,.T.); #250311=ORIENTED_EDGE('',*,*,#189676,.T.); #250312=ORIENTED_EDGE('',*,*,#189678,.F.); #250313=ORIENTED_EDGE('',*,*,#189679,.F.); #250314=ORIENTED_EDGE('',*,*,#189680,.T.); #250315=ORIENTED_EDGE('',*,*,#189679,.T.); #250316=ORIENTED_EDGE('',*,*,#189681,.F.); #250317=ORIENTED_EDGE('',*,*,#189682,.F.); #250318=ORIENTED_EDGE('',*,*,#189683,.T.); #250319=ORIENTED_EDGE('',*,*,#189682,.T.); #250320=ORIENTED_EDGE('',*,*,#189684,.F.); #250321=ORIENTED_EDGE('',*,*,#189685,.F.); #250322=ORIENTED_EDGE('',*,*,#189686,.T.); #250323=ORIENTED_EDGE('',*,*,#189685,.T.); #250324=ORIENTED_EDGE('',*,*,#189687,.F.); #250325=ORIENTED_EDGE('',*,*,#189688,.F.); #250326=ORIENTED_EDGE('',*,*,#189689,.T.); #250327=ORIENTED_EDGE('',*,*,#189688,.T.); #250328=ORIENTED_EDGE('',*,*,#189690,.F.); #250329=ORIENTED_EDGE('',*,*,#189691,.F.); #250330=ORIENTED_EDGE('',*,*,#189692,.T.); #250331=ORIENTED_EDGE('',*,*,#189691,.T.); #250332=ORIENTED_EDGE('',*,*,#189693,.F.); #250333=ORIENTED_EDGE('',*,*,#189694,.F.); #250334=ORIENTED_EDGE('',*,*,#189695,.T.); #250335=ORIENTED_EDGE('',*,*,#189694,.T.); #250336=ORIENTED_EDGE('',*,*,#189696,.F.); #250337=ORIENTED_EDGE('',*,*,#189697,.F.); #250338=ORIENTED_EDGE('',*,*,#189698,.T.); #250339=ORIENTED_EDGE('',*,*,#189697,.T.); #250340=ORIENTED_EDGE('',*,*,#189699,.F.); #250341=ORIENTED_EDGE('',*,*,#189700,.F.); #250342=ORIENTED_EDGE('',*,*,#189701,.T.); #250343=ORIENTED_EDGE('',*,*,#189700,.T.); #250344=ORIENTED_EDGE('',*,*,#189702,.F.); #250345=ORIENTED_EDGE('',*,*,#189703,.F.); #250346=ORIENTED_EDGE('',*,*,#189704,.T.); #250347=ORIENTED_EDGE('',*,*,#189703,.T.); #250348=ORIENTED_EDGE('',*,*,#189705,.F.); #250349=ORIENTED_EDGE('',*,*,#189706,.F.); #250350=ORIENTED_EDGE('',*,*,#189707,.T.); #250351=ORIENTED_EDGE('',*,*,#189706,.T.); #250352=ORIENTED_EDGE('',*,*,#189708,.F.); #250353=ORIENTED_EDGE('',*,*,#189709,.F.); #250354=ORIENTED_EDGE('',*,*,#189710,.T.); #250355=ORIENTED_EDGE('',*,*,#189709,.T.); #250356=ORIENTED_EDGE('',*,*,#189711,.F.); #250357=ORIENTED_EDGE('',*,*,#189712,.F.); #250358=ORIENTED_EDGE('',*,*,#189713,.T.); #250359=ORIENTED_EDGE('',*,*,#189712,.T.); #250360=ORIENTED_EDGE('',*,*,#189714,.F.); #250361=ORIENTED_EDGE('',*,*,#189715,.F.); #250362=ORIENTED_EDGE('',*,*,#189716,.T.); #250363=ORIENTED_EDGE('',*,*,#189715,.T.); #250364=ORIENTED_EDGE('',*,*,#189717,.F.); #250365=ORIENTED_EDGE('',*,*,#189718,.F.); #250366=ORIENTED_EDGE('',*,*,#189719,.T.); #250367=ORIENTED_EDGE('',*,*,#189718,.T.); #250368=ORIENTED_EDGE('',*,*,#189720,.F.); #250369=ORIENTED_EDGE('',*,*,#189721,.F.); #250370=ORIENTED_EDGE('',*,*,#189722,.T.); #250371=ORIENTED_EDGE('',*,*,#189721,.T.); #250372=ORIENTED_EDGE('',*,*,#189723,.F.); #250373=ORIENTED_EDGE('',*,*,#189724,.F.); #250374=ORIENTED_EDGE('',*,*,#189725,.T.); #250375=ORIENTED_EDGE('',*,*,#189724,.T.); #250376=ORIENTED_EDGE('',*,*,#189726,.F.); #250377=ORIENTED_EDGE('',*,*,#189727,.F.); #250378=ORIENTED_EDGE('',*,*,#189728,.T.); #250379=ORIENTED_EDGE('',*,*,#189727,.T.); #250380=ORIENTED_EDGE('',*,*,#189729,.F.); #250381=ORIENTED_EDGE('',*,*,#189730,.F.); #250382=ORIENTED_EDGE('',*,*,#189731,.T.); #250383=ORIENTED_EDGE('',*,*,#189730,.T.); #250384=ORIENTED_EDGE('',*,*,#189732,.F.); #250385=ORIENTED_EDGE('',*,*,#189733,.F.); #250386=ORIENTED_EDGE('',*,*,#189734,.T.); #250387=ORIENTED_EDGE('',*,*,#189733,.T.); #250388=ORIENTED_EDGE('',*,*,#189735,.F.); #250389=ORIENTED_EDGE('',*,*,#189736,.F.); #250390=ORIENTED_EDGE('',*,*,#189737,.T.); #250391=ORIENTED_EDGE('',*,*,#189736,.T.); #250392=ORIENTED_EDGE('',*,*,#189738,.F.); #250393=ORIENTED_EDGE('',*,*,#189739,.F.); #250394=ORIENTED_EDGE('',*,*,#189740,.T.); #250395=ORIENTED_EDGE('',*,*,#189739,.T.); #250396=ORIENTED_EDGE('',*,*,#189741,.F.); #250397=ORIENTED_EDGE('',*,*,#189742,.F.); #250398=ORIENTED_EDGE('',*,*,#189743,.T.); #250399=ORIENTED_EDGE('',*,*,#189742,.T.); #250400=ORIENTED_EDGE('',*,*,#189744,.F.); #250401=ORIENTED_EDGE('',*,*,#189745,.F.); #250402=ORIENTED_EDGE('',*,*,#189746,.T.); #250403=ORIENTED_EDGE('',*,*,#189745,.T.); #250404=ORIENTED_EDGE('',*,*,#189747,.F.); #250405=ORIENTED_EDGE('',*,*,#189748,.F.); #250406=ORIENTED_EDGE('',*,*,#189749,.T.); #250407=ORIENTED_EDGE('',*,*,#189748,.T.); #250408=ORIENTED_EDGE('',*,*,#189750,.F.); #250409=ORIENTED_EDGE('',*,*,#189751,.F.); #250410=ORIENTED_EDGE('',*,*,#189752,.T.); #250411=ORIENTED_EDGE('',*,*,#189751,.T.); #250412=ORIENTED_EDGE('',*,*,#189753,.F.); #250413=ORIENTED_EDGE('',*,*,#189754,.F.); #250414=ORIENTED_EDGE('',*,*,#189755,.T.); #250415=ORIENTED_EDGE('',*,*,#189754,.T.); #250416=ORIENTED_EDGE('',*,*,#189756,.F.); #250417=ORIENTED_EDGE('',*,*,#189757,.F.); #250418=ORIENTED_EDGE('',*,*,#189758,.T.); #250419=ORIENTED_EDGE('',*,*,#189757,.T.); #250420=ORIENTED_EDGE('',*,*,#189759,.F.); #250421=ORIENTED_EDGE('',*,*,#189760,.F.); #250422=ORIENTED_EDGE('',*,*,#189761,.T.); #250423=ORIENTED_EDGE('',*,*,#189760,.T.); #250424=ORIENTED_EDGE('',*,*,#189762,.F.); #250425=ORIENTED_EDGE('',*,*,#189763,.F.); #250426=ORIENTED_EDGE('',*,*,#189764,.T.); #250427=ORIENTED_EDGE('',*,*,#189763,.T.); #250428=ORIENTED_EDGE('',*,*,#189765,.F.); #250429=ORIENTED_EDGE('',*,*,#189766,.F.); #250430=ORIENTED_EDGE('',*,*,#189767,.T.); #250431=ORIENTED_EDGE('',*,*,#189766,.T.); #250432=ORIENTED_EDGE('',*,*,#189768,.F.); #250433=ORIENTED_EDGE('',*,*,#189769,.F.); #250434=ORIENTED_EDGE('',*,*,#189770,.T.); #250435=ORIENTED_EDGE('',*,*,#189769,.T.); #250436=ORIENTED_EDGE('',*,*,#189771,.F.); #250437=ORIENTED_EDGE('',*,*,#189772,.F.); #250438=ORIENTED_EDGE('',*,*,#189773,.T.); #250439=ORIENTED_EDGE('',*,*,#189772,.T.); #250440=ORIENTED_EDGE('',*,*,#189774,.F.); #250441=ORIENTED_EDGE('',*,*,#189775,.F.); #250442=ORIENTED_EDGE('',*,*,#189776,.T.); #250443=ORIENTED_EDGE('',*,*,#189775,.T.); #250444=ORIENTED_EDGE('',*,*,#189777,.F.); #250445=ORIENTED_EDGE('',*,*,#189778,.F.); #250446=ORIENTED_EDGE('',*,*,#189779,.T.); #250447=ORIENTED_EDGE('',*,*,#189778,.T.); #250448=ORIENTED_EDGE('',*,*,#189780,.F.); #250449=ORIENTED_EDGE('',*,*,#189781,.F.); #250450=ORIENTED_EDGE('',*,*,#189782,.T.); #250451=ORIENTED_EDGE('',*,*,#189781,.T.); #250452=ORIENTED_EDGE('',*,*,#189783,.F.); #250453=ORIENTED_EDGE('',*,*,#189784,.F.); #250454=ORIENTED_EDGE('',*,*,#189785,.T.); #250455=ORIENTED_EDGE('',*,*,#189784,.T.); #250456=ORIENTED_EDGE('',*,*,#189786,.F.); #250457=ORIENTED_EDGE('',*,*,#189787,.F.); #250458=ORIENTED_EDGE('',*,*,#189788,.T.); #250459=ORIENTED_EDGE('',*,*,#189787,.T.); #250460=ORIENTED_EDGE('',*,*,#189789,.F.); #250461=ORIENTED_EDGE('',*,*,#189790,.F.); #250462=ORIENTED_EDGE('',*,*,#189791,.T.); #250463=ORIENTED_EDGE('',*,*,#189790,.T.); #250464=ORIENTED_EDGE('',*,*,#189792,.F.); #250465=ORIENTED_EDGE('',*,*,#189793,.F.); #250466=ORIENTED_EDGE('',*,*,#189794,.T.); #250467=ORIENTED_EDGE('',*,*,#189793,.T.); #250468=ORIENTED_EDGE('',*,*,#189795,.F.); #250469=ORIENTED_EDGE('',*,*,#189796,.F.); #250470=ORIENTED_EDGE('',*,*,#189797,.T.); #250471=ORIENTED_EDGE('',*,*,#189796,.T.); #250472=ORIENTED_EDGE('',*,*,#189798,.F.); #250473=ORIENTED_EDGE('',*,*,#189799,.F.); #250474=ORIENTED_EDGE('',*,*,#189800,.T.); #250475=ORIENTED_EDGE('',*,*,#189799,.T.); #250476=ORIENTED_EDGE('',*,*,#189801,.F.); #250477=ORIENTED_EDGE('',*,*,#189802,.F.); #250478=ORIENTED_EDGE('',*,*,#189803,.T.); #250479=ORIENTED_EDGE('',*,*,#189802,.T.); #250480=ORIENTED_EDGE('',*,*,#189804,.F.); #250481=ORIENTED_EDGE('',*,*,#189805,.F.); #250482=ORIENTED_EDGE('',*,*,#189806,.T.); #250483=ORIENTED_EDGE('',*,*,#189805,.T.); #250484=ORIENTED_EDGE('',*,*,#189807,.F.); #250485=ORIENTED_EDGE('',*,*,#189808,.F.); #250486=ORIENTED_EDGE('',*,*,#189809,.T.); #250487=ORIENTED_EDGE('',*,*,#189808,.T.); #250488=ORIENTED_EDGE('',*,*,#189810,.F.); #250489=ORIENTED_EDGE('',*,*,#189811,.F.); #250490=ORIENTED_EDGE('',*,*,#189812,.T.); #250491=ORIENTED_EDGE('',*,*,#189811,.T.); #250492=ORIENTED_EDGE('',*,*,#189813,.F.); #250493=ORIENTED_EDGE('',*,*,#189814,.F.); #250494=ORIENTED_EDGE('',*,*,#189815,.T.); #250495=ORIENTED_EDGE('',*,*,#189814,.T.); #250496=ORIENTED_EDGE('',*,*,#189816,.F.); #250497=ORIENTED_EDGE('',*,*,#189817,.F.); #250498=ORIENTED_EDGE('',*,*,#189818,.T.); #250499=ORIENTED_EDGE('',*,*,#189817,.T.); #250500=ORIENTED_EDGE('',*,*,#189819,.F.); #250501=ORIENTED_EDGE('',*,*,#189820,.F.); #250502=ORIENTED_EDGE('',*,*,#189821,.T.); #250503=ORIENTED_EDGE('',*,*,#189820,.T.); #250504=ORIENTED_EDGE('',*,*,#189822,.F.); #250505=ORIENTED_EDGE('',*,*,#189823,.F.); #250506=ORIENTED_EDGE('',*,*,#189824,.T.); #250507=ORIENTED_EDGE('',*,*,#189823,.T.); #250508=ORIENTED_EDGE('',*,*,#189825,.F.); #250509=ORIENTED_EDGE('',*,*,#189826,.F.); #250510=ORIENTED_EDGE('',*,*,#189827,.T.); #250511=ORIENTED_EDGE('',*,*,#189826,.T.); #250512=ORIENTED_EDGE('',*,*,#189828,.F.); #250513=ORIENTED_EDGE('',*,*,#189829,.F.); #250514=ORIENTED_EDGE('',*,*,#189830,.T.); #250515=ORIENTED_EDGE('',*,*,#189829,.T.); #250516=ORIENTED_EDGE('',*,*,#189831,.F.); #250517=ORIENTED_EDGE('',*,*,#189832,.F.); #250518=ORIENTED_EDGE('',*,*,#189833,.T.); #250519=ORIENTED_EDGE('',*,*,#189832,.T.); #250520=ORIENTED_EDGE('',*,*,#189834,.F.); #250521=ORIENTED_EDGE('',*,*,#189835,.F.); #250522=ORIENTED_EDGE('',*,*,#189836,.T.); #250523=ORIENTED_EDGE('',*,*,#189835,.T.); #250524=ORIENTED_EDGE('',*,*,#189837,.F.); #250525=ORIENTED_EDGE('',*,*,#189838,.F.); #250526=ORIENTED_EDGE('',*,*,#189839,.T.); #250527=ORIENTED_EDGE('',*,*,#189838,.T.); #250528=ORIENTED_EDGE('',*,*,#189840,.F.); #250529=ORIENTED_EDGE('',*,*,#189841,.F.); #250530=ORIENTED_EDGE('',*,*,#189842,.T.); #250531=ORIENTED_EDGE('',*,*,#189841,.T.); #250532=ORIENTED_EDGE('',*,*,#189843,.F.); #250533=ORIENTED_EDGE('',*,*,#189844,.F.); #250534=ORIENTED_EDGE('',*,*,#189845,.T.); #250535=ORIENTED_EDGE('',*,*,#189844,.T.); #250536=ORIENTED_EDGE('',*,*,#189846,.F.); #250537=ORIENTED_EDGE('',*,*,#189847,.F.); #250538=ORIENTED_EDGE('',*,*,#189848,.T.); #250539=ORIENTED_EDGE('',*,*,#189847,.T.); #250540=ORIENTED_EDGE('',*,*,#189849,.F.); #250541=ORIENTED_EDGE('',*,*,#189850,.F.); #250542=ORIENTED_EDGE('',*,*,#189851,.T.); #250543=ORIENTED_EDGE('',*,*,#189850,.T.); #250544=ORIENTED_EDGE('',*,*,#189852,.F.); #250545=ORIENTED_EDGE('',*,*,#189853,.F.); #250546=ORIENTED_EDGE('',*,*,#189854,.T.); #250547=ORIENTED_EDGE('',*,*,#189853,.T.); #250548=ORIENTED_EDGE('',*,*,#189855,.F.); #250549=ORIENTED_EDGE('',*,*,#189856,.F.); #250550=ORIENTED_EDGE('',*,*,#189857,.T.); #250551=ORIENTED_EDGE('',*,*,#189856,.T.); #250552=ORIENTED_EDGE('',*,*,#189858,.F.); #250553=ORIENTED_EDGE('',*,*,#189859,.F.); #250554=ORIENTED_EDGE('',*,*,#189860,.T.); #250555=ORIENTED_EDGE('',*,*,#189859,.T.); #250556=ORIENTED_EDGE('',*,*,#189861,.F.); #250557=ORIENTED_EDGE('',*,*,#189862,.F.); #250558=ORIENTED_EDGE('',*,*,#189863,.T.); #250559=ORIENTED_EDGE('',*,*,#189862,.T.); #250560=ORIENTED_EDGE('',*,*,#189864,.F.); #250561=ORIENTED_EDGE('',*,*,#189865,.F.); #250562=ORIENTED_EDGE('',*,*,#189866,.T.); #250563=ORIENTED_EDGE('',*,*,#189865,.T.); #250564=ORIENTED_EDGE('',*,*,#189867,.F.); #250565=ORIENTED_EDGE('',*,*,#189868,.F.); #250566=ORIENTED_EDGE('',*,*,#189869,.T.); #250567=ORIENTED_EDGE('',*,*,#189868,.T.); #250568=ORIENTED_EDGE('',*,*,#189870,.F.); #250569=ORIENTED_EDGE('',*,*,#189871,.F.); #250570=ORIENTED_EDGE('',*,*,#189872,.T.); #250571=ORIENTED_EDGE('',*,*,#189871,.T.); #250572=ORIENTED_EDGE('',*,*,#189873,.F.); #250573=ORIENTED_EDGE('',*,*,#189874,.F.); #250574=ORIENTED_EDGE('',*,*,#189875,.T.); #250575=ORIENTED_EDGE('',*,*,#189874,.T.); #250576=ORIENTED_EDGE('',*,*,#189876,.F.); #250577=ORIENTED_EDGE('',*,*,#189877,.F.); #250578=ORIENTED_EDGE('',*,*,#189878,.T.); #250579=ORIENTED_EDGE('',*,*,#189877,.T.); #250580=ORIENTED_EDGE('',*,*,#189879,.F.); #250581=ORIENTED_EDGE('',*,*,#189880,.F.); #250582=ORIENTED_EDGE('',*,*,#189881,.T.); #250583=ORIENTED_EDGE('',*,*,#189880,.T.); #250584=ORIENTED_EDGE('',*,*,#189882,.F.); #250585=ORIENTED_EDGE('',*,*,#189883,.F.); #250586=ORIENTED_EDGE('',*,*,#189884,.T.); #250587=ORIENTED_EDGE('',*,*,#189883,.T.); #250588=ORIENTED_EDGE('',*,*,#189885,.F.); #250589=ORIENTED_EDGE('',*,*,#189886,.F.); #250590=ORIENTED_EDGE('',*,*,#189887,.T.); #250591=ORIENTED_EDGE('',*,*,#189886,.T.); #250592=ORIENTED_EDGE('',*,*,#189888,.F.); #250593=ORIENTED_EDGE('',*,*,#189889,.F.); #250594=ORIENTED_EDGE('',*,*,#189890,.T.); #250595=ORIENTED_EDGE('',*,*,#189889,.T.); #250596=ORIENTED_EDGE('',*,*,#189891,.F.); #250597=ORIENTED_EDGE('',*,*,#189892,.F.); #250598=ORIENTED_EDGE('',*,*,#189893,.T.); #250599=ORIENTED_EDGE('',*,*,#189892,.T.); #250600=ORIENTED_EDGE('',*,*,#189894,.F.); #250601=ORIENTED_EDGE('',*,*,#189895,.F.); #250602=ORIENTED_EDGE('',*,*,#189896,.T.); #250603=ORIENTED_EDGE('',*,*,#189895,.T.); #250604=ORIENTED_EDGE('',*,*,#189897,.F.); #250605=ORIENTED_EDGE('',*,*,#189898,.F.); #250606=ORIENTED_EDGE('',*,*,#189899,.T.); #250607=ORIENTED_EDGE('',*,*,#189898,.T.); #250608=ORIENTED_EDGE('',*,*,#189900,.F.); #250609=ORIENTED_EDGE('',*,*,#189901,.F.); #250610=ORIENTED_EDGE('',*,*,#189902,.T.); #250611=ORIENTED_EDGE('',*,*,#189901,.T.); #250612=ORIENTED_EDGE('',*,*,#189903,.F.); #250613=ORIENTED_EDGE('',*,*,#189904,.F.); #250614=ORIENTED_EDGE('',*,*,#189905,.T.); #250615=ORIENTED_EDGE('',*,*,#189904,.T.); #250616=ORIENTED_EDGE('',*,*,#189906,.F.); #250617=ORIENTED_EDGE('',*,*,#189907,.F.); #250618=ORIENTED_EDGE('',*,*,#189908,.T.); #250619=ORIENTED_EDGE('',*,*,#189907,.T.); #250620=ORIENTED_EDGE('',*,*,#189909,.F.); #250621=ORIENTED_EDGE('',*,*,#189910,.F.); #250622=ORIENTED_EDGE('',*,*,#189911,.T.); #250623=ORIENTED_EDGE('',*,*,#189910,.T.); #250624=ORIENTED_EDGE('',*,*,#189912,.F.); #250625=ORIENTED_EDGE('',*,*,#189913,.F.); #250626=ORIENTED_EDGE('',*,*,#189914,.T.); #250627=ORIENTED_EDGE('',*,*,#189913,.T.); #250628=ORIENTED_EDGE('',*,*,#189915,.F.); #250629=ORIENTED_EDGE('',*,*,#189638,.F.); #250630=ORIENTED_EDGE('',*,*,#189915,.T.); #250631=ORIENTED_EDGE('',*,*,#189912,.T.); #250632=ORIENTED_EDGE('',*,*,#189909,.T.); #250633=ORIENTED_EDGE('',*,*,#189906,.T.); #250634=ORIENTED_EDGE('',*,*,#189903,.T.); #250635=ORIENTED_EDGE('',*,*,#189900,.T.); #250636=ORIENTED_EDGE('',*,*,#189897,.T.); #250637=ORIENTED_EDGE('',*,*,#189894,.T.); #250638=ORIENTED_EDGE('',*,*,#189891,.T.); #250639=ORIENTED_EDGE('',*,*,#189888,.T.); #250640=ORIENTED_EDGE('',*,*,#189885,.T.); #250641=ORIENTED_EDGE('',*,*,#189882,.T.); #250642=ORIENTED_EDGE('',*,*,#189879,.T.); #250643=ORIENTED_EDGE('',*,*,#189876,.T.); #250644=ORIENTED_EDGE('',*,*,#189873,.T.); #250645=ORIENTED_EDGE('',*,*,#189870,.T.); #250646=ORIENTED_EDGE('',*,*,#189867,.T.); #250647=ORIENTED_EDGE('',*,*,#189864,.T.); #250648=ORIENTED_EDGE('',*,*,#189861,.T.); #250649=ORIENTED_EDGE('',*,*,#189858,.T.); #250650=ORIENTED_EDGE('',*,*,#189855,.T.); #250651=ORIENTED_EDGE('',*,*,#189852,.T.); #250652=ORIENTED_EDGE('',*,*,#189849,.T.); #250653=ORIENTED_EDGE('',*,*,#189846,.T.); #250654=ORIENTED_EDGE('',*,*,#189843,.T.); #250655=ORIENTED_EDGE('',*,*,#189840,.T.); #250656=ORIENTED_EDGE('',*,*,#189837,.T.); #250657=ORIENTED_EDGE('',*,*,#189834,.T.); #250658=ORIENTED_EDGE('',*,*,#189831,.T.); #250659=ORIENTED_EDGE('',*,*,#189828,.T.); #250660=ORIENTED_EDGE('',*,*,#189825,.T.); #250661=ORIENTED_EDGE('',*,*,#189822,.T.); #250662=ORIENTED_EDGE('',*,*,#189819,.T.); #250663=ORIENTED_EDGE('',*,*,#189816,.T.); #250664=ORIENTED_EDGE('',*,*,#189813,.T.); #250665=ORIENTED_EDGE('',*,*,#189810,.T.); #250666=ORIENTED_EDGE('',*,*,#189807,.T.); #250667=ORIENTED_EDGE('',*,*,#189804,.T.); #250668=ORIENTED_EDGE('',*,*,#189801,.T.); #250669=ORIENTED_EDGE('',*,*,#189798,.T.); #250670=ORIENTED_EDGE('',*,*,#189795,.T.); #250671=ORIENTED_EDGE('',*,*,#189792,.T.); #250672=ORIENTED_EDGE('',*,*,#189789,.T.); #250673=ORIENTED_EDGE('',*,*,#189786,.T.); #250674=ORIENTED_EDGE('',*,*,#189783,.T.); #250675=ORIENTED_EDGE('',*,*,#189780,.T.); #250676=ORIENTED_EDGE('',*,*,#189777,.T.); #250677=ORIENTED_EDGE('',*,*,#189774,.T.); #250678=ORIENTED_EDGE('',*,*,#189771,.T.); #250679=ORIENTED_EDGE('',*,*,#189768,.T.); #250680=ORIENTED_EDGE('',*,*,#189765,.T.); #250681=ORIENTED_EDGE('',*,*,#189762,.T.); #250682=ORIENTED_EDGE('',*,*,#189759,.T.); #250683=ORIENTED_EDGE('',*,*,#189756,.T.); #250684=ORIENTED_EDGE('',*,*,#189753,.T.); #250685=ORIENTED_EDGE('',*,*,#189750,.T.); #250686=ORIENTED_EDGE('',*,*,#189747,.T.); #250687=ORIENTED_EDGE('',*,*,#189744,.T.); #250688=ORIENTED_EDGE('',*,*,#189741,.T.); #250689=ORIENTED_EDGE('',*,*,#189738,.T.); #250690=ORIENTED_EDGE('',*,*,#189735,.T.); #250691=ORIENTED_EDGE('',*,*,#189732,.T.); #250692=ORIENTED_EDGE('',*,*,#189729,.T.); #250693=ORIENTED_EDGE('',*,*,#189726,.T.); #250694=ORIENTED_EDGE('',*,*,#189723,.T.); #250695=ORIENTED_EDGE('',*,*,#189720,.T.); #250696=ORIENTED_EDGE('',*,*,#189717,.T.); #250697=ORIENTED_EDGE('',*,*,#189714,.T.); #250698=ORIENTED_EDGE('',*,*,#189711,.T.); #250699=ORIENTED_EDGE('',*,*,#189708,.T.); #250700=ORIENTED_EDGE('',*,*,#189705,.T.); #250701=ORIENTED_EDGE('',*,*,#189702,.T.); #250702=ORIENTED_EDGE('',*,*,#189699,.T.); #250703=ORIENTED_EDGE('',*,*,#189696,.T.); #250704=ORIENTED_EDGE('',*,*,#189693,.T.); #250705=ORIENTED_EDGE('',*,*,#189690,.T.); #250706=ORIENTED_EDGE('',*,*,#189687,.T.); #250707=ORIENTED_EDGE('',*,*,#189684,.T.); #250708=ORIENTED_EDGE('',*,*,#189681,.T.); #250709=ORIENTED_EDGE('',*,*,#189678,.T.); #250710=ORIENTED_EDGE('',*,*,#189675,.T.); #250711=ORIENTED_EDGE('',*,*,#189672,.T.); #250712=ORIENTED_EDGE('',*,*,#189669,.T.); #250713=ORIENTED_EDGE('',*,*,#189666,.T.); #250714=ORIENTED_EDGE('',*,*,#189663,.T.); #250715=ORIENTED_EDGE('',*,*,#189660,.T.); #250716=ORIENTED_EDGE('',*,*,#189657,.T.); #250717=ORIENTED_EDGE('',*,*,#189654,.T.); #250718=ORIENTED_EDGE('',*,*,#189651,.T.); #250719=ORIENTED_EDGE('',*,*,#189648,.T.); #250720=ORIENTED_EDGE('',*,*,#189645,.T.); #250721=ORIENTED_EDGE('',*,*,#189642,.T.); #250722=ORIENTED_EDGE('',*,*,#189639,.T.); #250723=ORIENTED_EDGE('',*,*,#189624,.T.); #250724=ORIENTED_EDGE('',*,*,#189632,.T.); #250725=ORIENTED_EDGE('',*,*,#189635,.T.); #250726=ORIENTED_EDGE('',*,*,#189625,.T.); #250727=ORIENTED_EDGE('',*,*,#189629,.T.); #250728=ORIENTED_EDGE('',*,*,#189914,.F.); #250729=ORIENTED_EDGE('',*,*,#189637,.F.); #250730=ORIENTED_EDGE('',*,*,#189641,.F.); #250731=ORIENTED_EDGE('',*,*,#189644,.F.); #250732=ORIENTED_EDGE('',*,*,#189647,.F.); #250733=ORIENTED_EDGE('',*,*,#189650,.F.); #250734=ORIENTED_EDGE('',*,*,#189653,.F.); #250735=ORIENTED_EDGE('',*,*,#189656,.F.); #250736=ORIENTED_EDGE('',*,*,#189659,.F.); #250737=ORIENTED_EDGE('',*,*,#189662,.F.); #250738=ORIENTED_EDGE('',*,*,#189665,.F.); #250739=ORIENTED_EDGE('',*,*,#189668,.F.); #250740=ORIENTED_EDGE('',*,*,#189671,.F.); #250741=ORIENTED_EDGE('',*,*,#189674,.F.); #250742=ORIENTED_EDGE('',*,*,#189677,.F.); #250743=ORIENTED_EDGE('',*,*,#189680,.F.); #250744=ORIENTED_EDGE('',*,*,#189683,.F.); #250745=ORIENTED_EDGE('',*,*,#189686,.F.); #250746=ORIENTED_EDGE('',*,*,#189689,.F.); #250747=ORIENTED_EDGE('',*,*,#189692,.F.); #250748=ORIENTED_EDGE('',*,*,#189695,.F.); #250749=ORIENTED_EDGE('',*,*,#189698,.F.); #250750=ORIENTED_EDGE('',*,*,#189701,.F.); #250751=ORIENTED_EDGE('',*,*,#189704,.F.); #250752=ORIENTED_EDGE('',*,*,#189707,.F.); #250753=ORIENTED_EDGE('',*,*,#189710,.F.); #250754=ORIENTED_EDGE('',*,*,#189713,.F.); #250755=ORIENTED_EDGE('',*,*,#189716,.F.); #250756=ORIENTED_EDGE('',*,*,#189719,.F.); #250757=ORIENTED_EDGE('',*,*,#189722,.F.); #250758=ORIENTED_EDGE('',*,*,#189725,.F.); #250759=ORIENTED_EDGE('',*,*,#189728,.F.); #250760=ORIENTED_EDGE('',*,*,#189731,.F.); #250761=ORIENTED_EDGE('',*,*,#189734,.F.); #250762=ORIENTED_EDGE('',*,*,#189737,.F.); #250763=ORIENTED_EDGE('',*,*,#189740,.F.); #250764=ORIENTED_EDGE('',*,*,#189743,.F.); #250765=ORIENTED_EDGE('',*,*,#189746,.F.); #250766=ORIENTED_EDGE('',*,*,#189749,.F.); #250767=ORIENTED_EDGE('',*,*,#189752,.F.); #250768=ORIENTED_EDGE('',*,*,#189755,.F.); #250769=ORIENTED_EDGE('',*,*,#189758,.F.); #250770=ORIENTED_EDGE('',*,*,#189761,.F.); #250771=ORIENTED_EDGE('',*,*,#189764,.F.); #250772=ORIENTED_EDGE('',*,*,#189767,.F.); #250773=ORIENTED_EDGE('',*,*,#189770,.F.); #250774=ORIENTED_EDGE('',*,*,#189773,.F.); #250775=ORIENTED_EDGE('',*,*,#189776,.F.); #250776=ORIENTED_EDGE('',*,*,#189779,.F.); #250777=ORIENTED_EDGE('',*,*,#189782,.F.); #250778=ORIENTED_EDGE('',*,*,#189785,.F.); #250779=ORIENTED_EDGE('',*,*,#189788,.F.); #250780=ORIENTED_EDGE('',*,*,#189791,.F.); #250781=ORIENTED_EDGE('',*,*,#189794,.F.); #250782=ORIENTED_EDGE('',*,*,#189797,.F.); #250783=ORIENTED_EDGE('',*,*,#189800,.F.); #250784=ORIENTED_EDGE('',*,*,#189803,.F.); #250785=ORIENTED_EDGE('',*,*,#189806,.F.); #250786=ORIENTED_EDGE('',*,*,#189809,.F.); #250787=ORIENTED_EDGE('',*,*,#189812,.F.); #250788=ORIENTED_EDGE('',*,*,#189815,.F.); #250789=ORIENTED_EDGE('',*,*,#189818,.F.); #250790=ORIENTED_EDGE('',*,*,#189821,.F.); #250791=ORIENTED_EDGE('',*,*,#189824,.F.); #250792=ORIENTED_EDGE('',*,*,#189827,.F.); #250793=ORIENTED_EDGE('',*,*,#189830,.F.); #250794=ORIENTED_EDGE('',*,*,#189833,.F.); #250795=ORIENTED_EDGE('',*,*,#189836,.F.); #250796=ORIENTED_EDGE('',*,*,#189839,.F.); #250797=ORIENTED_EDGE('',*,*,#189842,.F.); #250798=ORIENTED_EDGE('',*,*,#189845,.F.); #250799=ORIENTED_EDGE('',*,*,#189848,.F.); #250800=ORIENTED_EDGE('',*,*,#189851,.F.); #250801=ORIENTED_EDGE('',*,*,#189854,.F.); #250802=ORIENTED_EDGE('',*,*,#189857,.F.); #250803=ORIENTED_EDGE('',*,*,#189860,.F.); #250804=ORIENTED_EDGE('',*,*,#189863,.F.); #250805=ORIENTED_EDGE('',*,*,#189866,.F.); #250806=ORIENTED_EDGE('',*,*,#189869,.F.); #250807=ORIENTED_EDGE('',*,*,#189872,.F.); #250808=ORIENTED_EDGE('',*,*,#189875,.F.); #250809=ORIENTED_EDGE('',*,*,#189878,.F.); #250810=ORIENTED_EDGE('',*,*,#189881,.F.); #250811=ORIENTED_EDGE('',*,*,#189884,.F.); #250812=ORIENTED_EDGE('',*,*,#189887,.F.); #250813=ORIENTED_EDGE('',*,*,#189890,.F.); #250814=ORIENTED_EDGE('',*,*,#189893,.F.); #250815=ORIENTED_EDGE('',*,*,#189896,.F.); #250816=ORIENTED_EDGE('',*,*,#189899,.F.); #250817=ORIENTED_EDGE('',*,*,#189902,.F.); #250818=ORIENTED_EDGE('',*,*,#189905,.F.); #250819=ORIENTED_EDGE('',*,*,#189908,.F.); #250820=ORIENTED_EDGE('',*,*,#189911,.F.); #250821=ORIENTED_EDGE('',*,*,#189622,.T.); #250822=ORIENTED_EDGE('',*,*,#189633,.T.); #250823=ORIENTED_EDGE('',*,*,#189630,.T.); #250824=ORIENTED_EDGE('',*,*,#189627,.T.); #250825=ORIENTED_EDGE('',*,*,#189636,.T.); #250826=ORIENTED_EDGE('',*,*,#189916,.T.); #250827=ORIENTED_EDGE('',*,*,#189917,.T.); #250828=ORIENTED_EDGE('',*,*,#189918,.F.); #250829=ORIENTED_EDGE('',*,*,#189919,.F.); #250830=ORIENTED_EDGE('',*,*,#189920,.T.); #250831=ORIENTED_EDGE('',*,*,#189919,.T.); #250832=ORIENTED_EDGE('',*,*,#189921,.F.); #250833=ORIENTED_EDGE('',*,*,#189922,.F.); #250834=ORIENTED_EDGE('',*,*,#189923,.T.); #250835=ORIENTED_EDGE('',*,*,#189922,.T.); #250836=ORIENTED_EDGE('',*,*,#189924,.F.); #250837=ORIENTED_EDGE('',*,*,#189925,.F.); #250838=ORIENTED_EDGE('',*,*,#189926,.T.); #250839=ORIENTED_EDGE('',*,*,#189925,.T.); #250840=ORIENTED_EDGE('',*,*,#189927,.F.); #250841=ORIENTED_EDGE('',*,*,#189928,.F.); #250842=ORIENTED_EDGE('',*,*,#189929,.T.); #250843=ORIENTED_EDGE('',*,*,#189928,.T.); #250844=ORIENTED_EDGE('',*,*,#189930,.F.); #250845=ORIENTED_EDGE('',*,*,#189931,.F.); #250846=ORIENTED_EDGE('',*,*,#189932,.T.); #250847=ORIENTED_EDGE('',*,*,#189931,.T.); #250848=ORIENTED_EDGE('',*,*,#189933,.F.); #250849=ORIENTED_EDGE('',*,*,#189934,.F.); #250850=ORIENTED_EDGE('',*,*,#189935,.T.); #250851=ORIENTED_EDGE('',*,*,#189934,.T.); #250852=ORIENTED_EDGE('',*,*,#189936,.F.); #250853=ORIENTED_EDGE('',*,*,#189937,.F.); #250854=ORIENTED_EDGE('',*,*,#189938,.T.); #250855=ORIENTED_EDGE('',*,*,#189937,.T.); #250856=ORIENTED_EDGE('',*,*,#189939,.F.); #250857=ORIENTED_EDGE('',*,*,#189940,.F.); #250858=ORIENTED_EDGE('',*,*,#189941,.T.); #250859=ORIENTED_EDGE('',*,*,#189940,.T.); #250860=ORIENTED_EDGE('',*,*,#189942,.F.); #250861=ORIENTED_EDGE('',*,*,#189943,.F.); #250862=ORIENTED_EDGE('',*,*,#189944,.T.); #250863=ORIENTED_EDGE('',*,*,#189943,.T.); #250864=ORIENTED_EDGE('',*,*,#189945,.F.); #250865=ORIENTED_EDGE('',*,*,#189946,.F.); #250866=ORIENTED_EDGE('',*,*,#189947,.T.); #250867=ORIENTED_EDGE('',*,*,#189946,.T.); #250868=ORIENTED_EDGE('',*,*,#189948,.F.); #250869=ORIENTED_EDGE('',*,*,#189949,.F.); #250870=ORIENTED_EDGE('',*,*,#189950,.T.); #250871=ORIENTED_EDGE('',*,*,#189949,.T.); #250872=ORIENTED_EDGE('',*,*,#189951,.F.); #250873=ORIENTED_EDGE('',*,*,#189952,.F.); #250874=ORIENTED_EDGE('',*,*,#189953,.T.); #250875=ORIENTED_EDGE('',*,*,#189952,.T.); #250876=ORIENTED_EDGE('',*,*,#189954,.F.); #250877=ORIENTED_EDGE('',*,*,#189955,.F.); #250878=ORIENTED_EDGE('',*,*,#189956,.T.); #250879=ORIENTED_EDGE('',*,*,#189955,.T.); #250880=ORIENTED_EDGE('',*,*,#189957,.F.); #250881=ORIENTED_EDGE('',*,*,#189958,.F.); #250882=ORIENTED_EDGE('',*,*,#189959,.T.); #250883=ORIENTED_EDGE('',*,*,#189958,.T.); #250884=ORIENTED_EDGE('',*,*,#189960,.F.); #250885=ORIENTED_EDGE('',*,*,#189961,.F.); #250886=ORIENTED_EDGE('',*,*,#189962,.T.); #250887=ORIENTED_EDGE('',*,*,#189961,.T.); #250888=ORIENTED_EDGE('',*,*,#189963,.F.); #250889=ORIENTED_EDGE('',*,*,#189964,.F.); #250890=ORIENTED_EDGE('',*,*,#189965,.T.); #250891=ORIENTED_EDGE('',*,*,#189964,.T.); #250892=ORIENTED_EDGE('',*,*,#189966,.F.); #250893=ORIENTED_EDGE('',*,*,#189967,.F.); #250894=ORIENTED_EDGE('',*,*,#189968,.T.); #250895=ORIENTED_EDGE('',*,*,#189967,.T.); #250896=ORIENTED_EDGE('',*,*,#189969,.F.); #250897=ORIENTED_EDGE('',*,*,#189970,.F.); #250898=ORIENTED_EDGE('',*,*,#189971,.T.); #250899=ORIENTED_EDGE('',*,*,#189970,.T.); #250900=ORIENTED_EDGE('',*,*,#189972,.F.); #250901=ORIENTED_EDGE('',*,*,#189973,.F.); #250902=ORIENTED_EDGE('',*,*,#189974,.T.); #250903=ORIENTED_EDGE('',*,*,#189973,.T.); #250904=ORIENTED_EDGE('',*,*,#189975,.F.); #250905=ORIENTED_EDGE('',*,*,#189976,.F.); #250906=ORIENTED_EDGE('',*,*,#189977,.T.); #250907=ORIENTED_EDGE('',*,*,#189976,.T.); #250908=ORIENTED_EDGE('',*,*,#189978,.F.); #250909=ORIENTED_EDGE('',*,*,#189979,.F.); #250910=ORIENTED_EDGE('',*,*,#189980,.T.); #250911=ORIENTED_EDGE('',*,*,#189979,.T.); #250912=ORIENTED_EDGE('',*,*,#189981,.F.); #250913=ORIENTED_EDGE('',*,*,#189982,.F.); #250914=ORIENTED_EDGE('',*,*,#189983,.T.); #250915=ORIENTED_EDGE('',*,*,#189982,.T.); #250916=ORIENTED_EDGE('',*,*,#189984,.F.); #250917=ORIENTED_EDGE('',*,*,#189985,.F.); #250918=ORIENTED_EDGE('',*,*,#189986,.T.); #250919=ORIENTED_EDGE('',*,*,#189985,.T.); #250920=ORIENTED_EDGE('',*,*,#189987,.F.); #250921=ORIENTED_EDGE('',*,*,#189988,.F.); #250922=ORIENTED_EDGE('',*,*,#189989,.T.); #250923=ORIENTED_EDGE('',*,*,#189988,.T.); #250924=ORIENTED_EDGE('',*,*,#189990,.F.); #250925=ORIENTED_EDGE('',*,*,#189991,.F.); #250926=ORIENTED_EDGE('',*,*,#189992,.T.); #250927=ORIENTED_EDGE('',*,*,#189991,.T.); #250928=ORIENTED_EDGE('',*,*,#189993,.F.); #250929=ORIENTED_EDGE('',*,*,#189994,.F.); #250930=ORIENTED_EDGE('',*,*,#189995,.T.); #250931=ORIENTED_EDGE('',*,*,#189994,.T.); #250932=ORIENTED_EDGE('',*,*,#189996,.F.); #250933=ORIENTED_EDGE('',*,*,#189997,.F.); #250934=ORIENTED_EDGE('',*,*,#189998,.T.); #250935=ORIENTED_EDGE('',*,*,#189997,.T.); #250936=ORIENTED_EDGE('',*,*,#189999,.F.); #250937=ORIENTED_EDGE('',*,*,#190000,.F.); #250938=ORIENTED_EDGE('',*,*,#190001,.T.); #250939=ORIENTED_EDGE('',*,*,#190000,.T.); #250940=ORIENTED_EDGE('',*,*,#190002,.F.); #250941=ORIENTED_EDGE('',*,*,#190003,.F.); #250942=ORIENTED_EDGE('',*,*,#190004,.T.); #250943=ORIENTED_EDGE('',*,*,#190003,.T.); #250944=ORIENTED_EDGE('',*,*,#190005,.F.); #250945=ORIENTED_EDGE('',*,*,#189917,.F.); #250946=ORIENTED_EDGE('',*,*,#190005,.T.); #250947=ORIENTED_EDGE('',*,*,#190002,.T.); #250948=ORIENTED_EDGE('',*,*,#189999,.T.); #250949=ORIENTED_EDGE('',*,*,#189996,.T.); #250950=ORIENTED_EDGE('',*,*,#189993,.T.); #250951=ORIENTED_EDGE('',*,*,#189990,.T.); #250952=ORIENTED_EDGE('',*,*,#189987,.T.); #250953=ORIENTED_EDGE('',*,*,#189984,.T.); #250954=ORIENTED_EDGE('',*,*,#189981,.T.); #250955=ORIENTED_EDGE('',*,*,#189978,.T.); #250956=ORIENTED_EDGE('',*,*,#189975,.T.); #250957=ORIENTED_EDGE('',*,*,#189972,.T.); #250958=ORIENTED_EDGE('',*,*,#189969,.T.); #250959=ORIENTED_EDGE('',*,*,#189966,.T.); #250960=ORIENTED_EDGE('',*,*,#189963,.T.); #250961=ORIENTED_EDGE('',*,*,#189960,.T.); #250962=ORIENTED_EDGE('',*,*,#189957,.T.); #250963=ORIENTED_EDGE('',*,*,#189954,.T.); #250964=ORIENTED_EDGE('',*,*,#189951,.T.); #250965=ORIENTED_EDGE('',*,*,#189948,.T.); #250966=ORIENTED_EDGE('',*,*,#189945,.T.); #250967=ORIENTED_EDGE('',*,*,#189942,.T.); #250968=ORIENTED_EDGE('',*,*,#189939,.T.); #250969=ORIENTED_EDGE('',*,*,#189936,.T.); #250970=ORIENTED_EDGE('',*,*,#189933,.T.); #250971=ORIENTED_EDGE('',*,*,#189930,.T.); #250972=ORIENTED_EDGE('',*,*,#189927,.T.); #250973=ORIENTED_EDGE('',*,*,#189924,.T.); #250974=ORIENTED_EDGE('',*,*,#189921,.T.); #250975=ORIENTED_EDGE('',*,*,#189918,.T.); #250976=ORIENTED_EDGE('',*,*,#190004,.F.); #250977=ORIENTED_EDGE('',*,*,#189916,.F.); #250978=ORIENTED_EDGE('',*,*,#189920,.F.); #250979=ORIENTED_EDGE('',*,*,#189923,.F.); #250980=ORIENTED_EDGE('',*,*,#189926,.F.); #250981=ORIENTED_EDGE('',*,*,#189929,.F.); #250982=ORIENTED_EDGE('',*,*,#189932,.F.); #250983=ORIENTED_EDGE('',*,*,#189935,.F.); #250984=ORIENTED_EDGE('',*,*,#189938,.F.); #250985=ORIENTED_EDGE('',*,*,#189941,.F.); #250986=ORIENTED_EDGE('',*,*,#189944,.F.); #250987=ORIENTED_EDGE('',*,*,#189947,.F.); #250988=ORIENTED_EDGE('',*,*,#189950,.F.); #250989=ORIENTED_EDGE('',*,*,#189953,.F.); #250990=ORIENTED_EDGE('',*,*,#189956,.F.); #250991=ORIENTED_EDGE('',*,*,#189959,.F.); #250992=ORIENTED_EDGE('',*,*,#189962,.F.); #250993=ORIENTED_EDGE('',*,*,#189965,.F.); #250994=ORIENTED_EDGE('',*,*,#189968,.F.); #250995=ORIENTED_EDGE('',*,*,#189971,.F.); #250996=ORIENTED_EDGE('',*,*,#189974,.F.); #250997=ORIENTED_EDGE('',*,*,#189977,.F.); #250998=ORIENTED_EDGE('',*,*,#189980,.F.); #250999=ORIENTED_EDGE('',*,*,#189983,.F.); #251000=ORIENTED_EDGE('',*,*,#189986,.F.); #251001=ORIENTED_EDGE('',*,*,#189989,.F.); #251002=ORIENTED_EDGE('',*,*,#189992,.F.); #251003=ORIENTED_EDGE('',*,*,#189995,.F.); #251004=ORIENTED_EDGE('',*,*,#189998,.F.); #251005=ORIENTED_EDGE('',*,*,#190001,.F.); #251006=ORIENTED_EDGE('',*,*,#190006,.F.); #251007=ORIENTED_EDGE('',*,*,#190007,.T.); #251008=ORIENTED_EDGE('',*,*,#190008,.F.); #251009=ORIENTED_EDGE('',*,*,#190007,.F.); #251010=ORIENTED_EDGE('',*,*,#190009,.F.); #251011=ORIENTED_EDGE('',*,*,#190010,.T.); #251012=ORIENTED_EDGE('',*,*,#190011,.F.); #251013=ORIENTED_EDGE('',*,*,#190010,.F.); #251014=ORIENTED_EDGE('',*,*,#190012,.F.); #251015=ORIENTED_EDGE('',*,*,#190013,.T.); #251016=ORIENTED_EDGE('',*,*,#190014,.F.); #251017=ORIENTED_EDGE('',*,*,#190013,.F.); #251018=ORIENTED_EDGE('',*,*,#190015,.F.); #251019=ORIENTED_EDGE('',*,*,#190016,.T.); #251020=ORIENTED_EDGE('',*,*,#190017,.F.); #251021=ORIENTED_EDGE('',*,*,#190016,.F.); #251022=ORIENTED_EDGE('',*,*,#190018,.F.); #251023=ORIENTED_EDGE('',*,*,#190019,.T.); #251024=ORIENTED_EDGE('',*,*,#190020,.F.); #251025=ORIENTED_EDGE('',*,*,#190019,.F.); #251026=ORIENTED_EDGE('',*,*,#190021,.F.); #251027=ORIENTED_EDGE('',*,*,#190022,.T.); #251028=ORIENTED_EDGE('',*,*,#190023,.F.); #251029=ORIENTED_EDGE('',*,*,#190022,.F.); #251030=ORIENTED_EDGE('',*,*,#190024,.F.); #251031=ORIENTED_EDGE('',*,*,#190025,.T.); #251032=ORIENTED_EDGE('',*,*,#190026,.F.); #251033=ORIENTED_EDGE('',*,*,#190025,.F.); #251034=ORIENTED_EDGE('',*,*,#190027,.F.); #251035=ORIENTED_EDGE('',*,*,#190028,.T.); #251036=ORIENTED_EDGE('',*,*,#190029,.F.); #251037=ORIENTED_EDGE('',*,*,#190028,.F.); #251038=ORIENTED_EDGE('',*,*,#190030,.F.); #251039=ORIENTED_EDGE('',*,*,#190031,.T.); #251040=ORIENTED_EDGE('',*,*,#190032,.F.); #251041=ORIENTED_EDGE('',*,*,#190031,.F.); #251042=ORIENTED_EDGE('',*,*,#190033,.F.); #251043=ORIENTED_EDGE('',*,*,#190034,.T.); #251044=ORIENTED_EDGE('',*,*,#190035,.F.); #251045=ORIENTED_EDGE('',*,*,#190034,.F.); #251046=ORIENTED_EDGE('',*,*,#190036,.F.); #251047=ORIENTED_EDGE('',*,*,#190037,.T.); #251048=ORIENTED_EDGE('',*,*,#190038,.F.); #251049=ORIENTED_EDGE('',*,*,#190037,.F.); #251050=ORIENTED_EDGE('',*,*,#190039,.F.); #251051=ORIENTED_EDGE('',*,*,#190040,.T.); #251052=ORIENTED_EDGE('',*,*,#190041,.F.); #251053=ORIENTED_EDGE('',*,*,#190040,.F.); #251054=ORIENTED_EDGE('',*,*,#190042,.F.); #251055=ORIENTED_EDGE('',*,*,#190043,.T.); #251056=ORIENTED_EDGE('',*,*,#190044,.F.); #251057=ORIENTED_EDGE('',*,*,#190043,.F.); #251058=ORIENTED_EDGE('',*,*,#190045,.F.); #251059=ORIENTED_EDGE('',*,*,#190046,.T.); #251060=ORIENTED_EDGE('',*,*,#190047,.F.); #251061=ORIENTED_EDGE('',*,*,#190046,.F.); #251062=ORIENTED_EDGE('',*,*,#190048,.F.); #251063=ORIENTED_EDGE('',*,*,#190049,.T.); #251064=ORIENTED_EDGE('',*,*,#190050,.F.); #251065=ORIENTED_EDGE('',*,*,#190049,.F.); #251066=ORIENTED_EDGE('',*,*,#190051,.T.); #251067=ORIENTED_EDGE('',*,*,#190052,.T.); #251068=ORIENTED_EDGE('',*,*,#190053,.F.); #251069=ORIENTED_EDGE('',*,*,#190054,.F.); #251070=ORIENTED_EDGE('',*,*,#190055,.T.); #251071=ORIENTED_EDGE('',*,*,#190054,.T.); #251072=ORIENTED_EDGE('',*,*,#190056,.F.); #251073=ORIENTED_EDGE('',*,*,#190057,.F.); #251074=ORIENTED_EDGE('',*,*,#190058,.T.); #251075=ORIENTED_EDGE('',*,*,#190057,.T.); #251076=ORIENTED_EDGE('',*,*,#190059,.F.); #251077=ORIENTED_EDGE('',*,*,#190060,.F.); #251078=ORIENTED_EDGE('',*,*,#190061,.T.); #251079=ORIENTED_EDGE('',*,*,#190060,.T.); #251080=ORIENTED_EDGE('',*,*,#190062,.F.); #251081=ORIENTED_EDGE('',*,*,#190063,.F.); #251082=ORIENTED_EDGE('',*,*,#190064,.T.); #251083=ORIENTED_EDGE('',*,*,#190063,.T.); #251084=ORIENTED_EDGE('',*,*,#190065,.F.); #251085=ORIENTED_EDGE('',*,*,#190066,.F.); #251086=ORIENTED_EDGE('',*,*,#190067,.T.); #251087=ORIENTED_EDGE('',*,*,#190066,.T.); #251088=ORIENTED_EDGE('',*,*,#190068,.F.); #251089=ORIENTED_EDGE('',*,*,#190069,.F.); #251090=ORIENTED_EDGE('',*,*,#190070,.T.); #251091=ORIENTED_EDGE('',*,*,#190069,.T.); #251092=ORIENTED_EDGE('',*,*,#190071,.F.); #251093=ORIENTED_EDGE('',*,*,#190072,.F.); #251094=ORIENTED_EDGE('',*,*,#190073,.T.); #251095=ORIENTED_EDGE('',*,*,#190072,.T.); #251096=ORIENTED_EDGE('',*,*,#190074,.F.); #251097=ORIENTED_EDGE('',*,*,#190075,.F.); #251098=ORIENTED_EDGE('',*,*,#190076,.T.); #251099=ORIENTED_EDGE('',*,*,#190075,.T.); #251100=ORIENTED_EDGE('',*,*,#190077,.F.); #251101=ORIENTED_EDGE('',*,*,#190078,.F.); #251102=ORIENTED_EDGE('',*,*,#190079,.T.); #251103=ORIENTED_EDGE('',*,*,#190078,.T.); #251104=ORIENTED_EDGE('',*,*,#190080,.F.); #251105=ORIENTED_EDGE('',*,*,#190081,.F.); #251106=ORIENTED_EDGE('',*,*,#190082,.T.); #251107=ORIENTED_EDGE('',*,*,#190081,.T.); #251108=ORIENTED_EDGE('',*,*,#190083,.F.); #251109=ORIENTED_EDGE('',*,*,#190084,.F.); #251110=ORIENTED_EDGE('',*,*,#190085,.T.); #251111=ORIENTED_EDGE('',*,*,#190084,.T.); #251112=ORIENTED_EDGE('',*,*,#190086,.F.); #251113=ORIENTED_EDGE('',*,*,#190087,.F.); #251114=ORIENTED_EDGE('',*,*,#190088,.T.); #251115=ORIENTED_EDGE('',*,*,#190087,.T.); #251116=ORIENTED_EDGE('',*,*,#190089,.F.); #251117=ORIENTED_EDGE('',*,*,#190090,.F.); #251118=ORIENTED_EDGE('',*,*,#190091,.T.); #251119=ORIENTED_EDGE('',*,*,#190090,.T.); #251120=ORIENTED_EDGE('',*,*,#190092,.F.); #251121=ORIENTED_EDGE('',*,*,#190093,.F.); #251122=ORIENTED_EDGE('',*,*,#190094,.T.); #251123=ORIENTED_EDGE('',*,*,#190093,.T.); #251124=ORIENTED_EDGE('',*,*,#190095,.F.); #251125=ORIENTED_EDGE('',*,*,#190096,.F.); #251126=ORIENTED_EDGE('',*,*,#190097,.T.); #251127=ORIENTED_EDGE('',*,*,#190096,.T.); #251128=ORIENTED_EDGE('',*,*,#190098,.F.); #251129=ORIENTED_EDGE('',*,*,#190099,.F.); #251130=ORIENTED_EDGE('',*,*,#190100,.T.); #251131=ORIENTED_EDGE('',*,*,#190099,.T.); #251132=ORIENTED_EDGE('',*,*,#190101,.F.); #251133=ORIENTED_EDGE('',*,*,#190102,.F.); #251134=ORIENTED_EDGE('',*,*,#190103,.T.); #251135=ORIENTED_EDGE('',*,*,#190102,.T.); #251136=ORIENTED_EDGE('',*,*,#190104,.F.); #251137=ORIENTED_EDGE('',*,*,#190105,.F.); #251138=ORIENTED_EDGE('',*,*,#190106,.T.); #251139=ORIENTED_EDGE('',*,*,#190105,.T.); #251140=ORIENTED_EDGE('',*,*,#190107,.F.); #251141=ORIENTED_EDGE('',*,*,#190108,.F.); #251142=ORIENTED_EDGE('',*,*,#190109,.T.); #251143=ORIENTED_EDGE('',*,*,#190108,.T.); #251144=ORIENTED_EDGE('',*,*,#190110,.F.); #251145=ORIENTED_EDGE('',*,*,#190111,.F.); #251146=ORIENTED_EDGE('',*,*,#190112,.T.); #251147=ORIENTED_EDGE('',*,*,#190111,.T.); #251148=ORIENTED_EDGE('',*,*,#190113,.F.); #251149=ORIENTED_EDGE('',*,*,#190114,.F.); #251150=ORIENTED_EDGE('',*,*,#190115,.T.); #251151=ORIENTED_EDGE('',*,*,#190114,.T.); #251152=ORIENTED_EDGE('',*,*,#190116,.F.); #251153=ORIENTED_EDGE('',*,*,#190117,.F.); #251154=ORIENTED_EDGE('',*,*,#190118,.T.); #251155=ORIENTED_EDGE('',*,*,#190117,.T.); #251156=ORIENTED_EDGE('',*,*,#190119,.F.); #251157=ORIENTED_EDGE('',*,*,#190120,.F.); #251158=ORIENTED_EDGE('',*,*,#190121,.T.); #251159=ORIENTED_EDGE('',*,*,#190120,.T.); #251160=ORIENTED_EDGE('',*,*,#190122,.F.); #251161=ORIENTED_EDGE('',*,*,#190123,.F.); #251162=ORIENTED_EDGE('',*,*,#190124,.T.); #251163=ORIENTED_EDGE('',*,*,#190123,.T.); #251164=ORIENTED_EDGE('',*,*,#190125,.F.); #251165=ORIENTED_EDGE('',*,*,#190126,.F.); #251166=ORIENTED_EDGE('',*,*,#190127,.T.); #251167=ORIENTED_EDGE('',*,*,#190126,.T.); #251168=ORIENTED_EDGE('',*,*,#190128,.F.); #251169=ORIENTED_EDGE('',*,*,#190129,.F.); #251170=ORIENTED_EDGE('',*,*,#190130,.T.); #251171=ORIENTED_EDGE('',*,*,#190129,.T.); #251172=ORIENTED_EDGE('',*,*,#190131,.F.); #251173=ORIENTED_EDGE('',*,*,#190132,.F.); #251174=ORIENTED_EDGE('',*,*,#190133,.T.); #251175=ORIENTED_EDGE('',*,*,#190132,.T.); #251176=ORIENTED_EDGE('',*,*,#190134,.F.); #251177=ORIENTED_EDGE('',*,*,#190135,.F.); #251178=ORIENTED_EDGE('',*,*,#190136,.T.); #251179=ORIENTED_EDGE('',*,*,#190135,.T.); #251180=ORIENTED_EDGE('',*,*,#190137,.F.); #251181=ORIENTED_EDGE('',*,*,#190138,.F.); #251182=ORIENTED_EDGE('',*,*,#190139,.T.); #251183=ORIENTED_EDGE('',*,*,#190138,.T.); #251184=ORIENTED_EDGE('',*,*,#190140,.F.); #251185=ORIENTED_EDGE('',*,*,#190141,.F.); #251186=ORIENTED_EDGE('',*,*,#190142,.T.); #251187=ORIENTED_EDGE('',*,*,#190141,.T.); #251188=ORIENTED_EDGE('',*,*,#190143,.F.); #251189=ORIENTED_EDGE('',*,*,#190144,.F.); #251190=ORIENTED_EDGE('',*,*,#190145,.T.); #251191=ORIENTED_EDGE('',*,*,#190144,.T.); #251192=ORIENTED_EDGE('',*,*,#190146,.F.); #251193=ORIENTED_EDGE('',*,*,#190147,.F.); #251194=ORIENTED_EDGE('',*,*,#190148,.T.); #251195=ORIENTED_EDGE('',*,*,#190147,.T.); #251196=ORIENTED_EDGE('',*,*,#190149,.F.); #251197=ORIENTED_EDGE('',*,*,#190150,.F.); #251198=ORIENTED_EDGE('',*,*,#190151,.T.); #251199=ORIENTED_EDGE('',*,*,#190150,.T.); #251200=ORIENTED_EDGE('',*,*,#190152,.F.); #251201=ORIENTED_EDGE('',*,*,#190153,.F.); #251202=ORIENTED_EDGE('',*,*,#190154,.T.); #251203=ORIENTED_EDGE('',*,*,#190153,.T.); #251204=ORIENTED_EDGE('',*,*,#190155,.F.); #251205=ORIENTED_EDGE('',*,*,#190156,.F.); #251206=ORIENTED_EDGE('',*,*,#190157,.T.); #251207=ORIENTED_EDGE('',*,*,#190156,.T.); #251208=ORIENTED_EDGE('',*,*,#190158,.F.); #251209=ORIENTED_EDGE('',*,*,#190159,.F.); #251210=ORIENTED_EDGE('',*,*,#190160,.T.); #251211=ORIENTED_EDGE('',*,*,#190159,.T.); #251212=ORIENTED_EDGE('',*,*,#190161,.F.); #251213=ORIENTED_EDGE('',*,*,#190162,.F.); #251214=ORIENTED_EDGE('',*,*,#190163,.T.); #251215=ORIENTED_EDGE('',*,*,#190162,.T.); #251216=ORIENTED_EDGE('',*,*,#190164,.F.); #251217=ORIENTED_EDGE('',*,*,#190165,.F.); #251218=ORIENTED_EDGE('',*,*,#190166,.T.); #251219=ORIENTED_EDGE('',*,*,#190165,.T.); #251220=ORIENTED_EDGE('',*,*,#190167,.F.); #251221=ORIENTED_EDGE('',*,*,#190168,.F.); #251222=ORIENTED_EDGE('',*,*,#190169,.T.); #251223=ORIENTED_EDGE('',*,*,#190168,.T.); #251224=ORIENTED_EDGE('',*,*,#190170,.F.); #251225=ORIENTED_EDGE('',*,*,#190171,.F.); #251226=ORIENTED_EDGE('',*,*,#190172,.T.); #251227=ORIENTED_EDGE('',*,*,#190171,.T.); #251228=ORIENTED_EDGE('',*,*,#190173,.F.); #251229=ORIENTED_EDGE('',*,*,#190052,.F.); #251230=ORIENTED_EDGE('',*,*,#190173,.T.); #251231=ORIENTED_EDGE('',*,*,#190170,.T.); #251232=ORIENTED_EDGE('',*,*,#190167,.T.); #251233=ORIENTED_EDGE('',*,*,#190164,.T.); #251234=ORIENTED_EDGE('',*,*,#190161,.T.); #251235=ORIENTED_EDGE('',*,*,#190158,.T.); #251236=ORIENTED_EDGE('',*,*,#190155,.T.); #251237=ORIENTED_EDGE('',*,*,#190152,.T.); #251238=ORIENTED_EDGE('',*,*,#190149,.T.); #251239=ORIENTED_EDGE('',*,*,#190146,.T.); #251240=ORIENTED_EDGE('',*,*,#190143,.T.); #251241=ORIENTED_EDGE('',*,*,#190140,.T.); #251242=ORIENTED_EDGE('',*,*,#190137,.T.); #251243=ORIENTED_EDGE('',*,*,#190134,.T.); #251244=ORIENTED_EDGE('',*,*,#190131,.T.); #251245=ORIENTED_EDGE('',*,*,#190128,.T.); #251246=ORIENTED_EDGE('',*,*,#190125,.T.); #251247=ORIENTED_EDGE('',*,*,#190122,.T.); #251248=ORIENTED_EDGE('',*,*,#190119,.T.); #251249=ORIENTED_EDGE('',*,*,#190116,.T.); #251250=ORIENTED_EDGE('',*,*,#190113,.T.); #251251=ORIENTED_EDGE('',*,*,#190110,.T.); #251252=ORIENTED_EDGE('',*,*,#190107,.T.); #251253=ORIENTED_EDGE('',*,*,#190104,.T.); #251254=ORIENTED_EDGE('',*,*,#190101,.T.); #251255=ORIENTED_EDGE('',*,*,#190098,.T.); #251256=ORIENTED_EDGE('',*,*,#190095,.T.); #251257=ORIENTED_EDGE('',*,*,#190092,.T.); #251258=ORIENTED_EDGE('',*,*,#190089,.T.); #251259=ORIENTED_EDGE('',*,*,#190086,.T.); #251260=ORIENTED_EDGE('',*,*,#190083,.T.); #251261=ORIENTED_EDGE('',*,*,#190080,.T.); #251262=ORIENTED_EDGE('',*,*,#190077,.T.); #251263=ORIENTED_EDGE('',*,*,#190074,.T.); #251264=ORIENTED_EDGE('',*,*,#190071,.T.); #251265=ORIENTED_EDGE('',*,*,#190068,.T.); #251266=ORIENTED_EDGE('',*,*,#190065,.T.); #251267=ORIENTED_EDGE('',*,*,#190062,.T.); #251268=ORIENTED_EDGE('',*,*,#190059,.T.); #251269=ORIENTED_EDGE('',*,*,#190056,.T.); #251270=ORIENTED_EDGE('',*,*,#190053,.T.); #251271=ORIENTED_EDGE('',*,*,#190008,.T.); #251272=ORIENTED_EDGE('',*,*,#190011,.T.); #251273=ORIENTED_EDGE('',*,*,#190014,.T.); #251274=ORIENTED_EDGE('',*,*,#190017,.T.); #251275=ORIENTED_EDGE('',*,*,#190020,.T.); #251276=ORIENTED_EDGE('',*,*,#190023,.T.); #251277=ORIENTED_EDGE('',*,*,#190026,.T.); #251278=ORIENTED_EDGE('',*,*,#190029,.T.); #251279=ORIENTED_EDGE('',*,*,#190032,.T.); #251280=ORIENTED_EDGE('',*,*,#190035,.T.); #251281=ORIENTED_EDGE('',*,*,#190038,.T.); #251282=ORIENTED_EDGE('',*,*,#190041,.T.); #251283=ORIENTED_EDGE('',*,*,#190044,.T.); #251284=ORIENTED_EDGE('',*,*,#190047,.T.); #251285=ORIENTED_EDGE('',*,*,#190050,.T.); #251286=ORIENTED_EDGE('',*,*,#190172,.F.); #251287=ORIENTED_EDGE('',*,*,#190051,.F.); #251288=ORIENTED_EDGE('',*,*,#190055,.F.); #251289=ORIENTED_EDGE('',*,*,#190058,.F.); #251290=ORIENTED_EDGE('',*,*,#190061,.F.); #251291=ORIENTED_EDGE('',*,*,#190064,.F.); #251292=ORIENTED_EDGE('',*,*,#190067,.F.); #251293=ORIENTED_EDGE('',*,*,#190070,.F.); #251294=ORIENTED_EDGE('',*,*,#190073,.F.); #251295=ORIENTED_EDGE('',*,*,#190076,.F.); #251296=ORIENTED_EDGE('',*,*,#190079,.F.); #251297=ORIENTED_EDGE('',*,*,#190082,.F.); #251298=ORIENTED_EDGE('',*,*,#190085,.F.); #251299=ORIENTED_EDGE('',*,*,#190088,.F.); #251300=ORIENTED_EDGE('',*,*,#190091,.F.); #251301=ORIENTED_EDGE('',*,*,#190094,.F.); #251302=ORIENTED_EDGE('',*,*,#190097,.F.); #251303=ORIENTED_EDGE('',*,*,#190100,.F.); #251304=ORIENTED_EDGE('',*,*,#190103,.F.); #251305=ORIENTED_EDGE('',*,*,#190106,.F.); #251306=ORIENTED_EDGE('',*,*,#190109,.F.); #251307=ORIENTED_EDGE('',*,*,#190112,.F.); #251308=ORIENTED_EDGE('',*,*,#190115,.F.); #251309=ORIENTED_EDGE('',*,*,#190118,.F.); #251310=ORIENTED_EDGE('',*,*,#190121,.F.); #251311=ORIENTED_EDGE('',*,*,#190124,.F.); #251312=ORIENTED_EDGE('',*,*,#190127,.F.); #251313=ORIENTED_EDGE('',*,*,#190130,.F.); #251314=ORIENTED_EDGE('',*,*,#190133,.F.); #251315=ORIENTED_EDGE('',*,*,#190136,.F.); #251316=ORIENTED_EDGE('',*,*,#190139,.F.); #251317=ORIENTED_EDGE('',*,*,#190142,.F.); #251318=ORIENTED_EDGE('',*,*,#190145,.F.); #251319=ORIENTED_EDGE('',*,*,#190148,.F.); #251320=ORIENTED_EDGE('',*,*,#190151,.F.); #251321=ORIENTED_EDGE('',*,*,#190154,.F.); #251322=ORIENTED_EDGE('',*,*,#190157,.F.); #251323=ORIENTED_EDGE('',*,*,#190160,.F.); #251324=ORIENTED_EDGE('',*,*,#190163,.F.); #251325=ORIENTED_EDGE('',*,*,#190166,.F.); #251326=ORIENTED_EDGE('',*,*,#190169,.F.); #251327=ORIENTED_EDGE('',*,*,#190006,.T.); #251328=ORIENTED_EDGE('',*,*,#190009,.T.); #251329=ORIENTED_EDGE('',*,*,#190012,.T.); #251330=ORIENTED_EDGE('',*,*,#190015,.T.); #251331=ORIENTED_EDGE('',*,*,#190018,.T.); #251332=ORIENTED_EDGE('',*,*,#190021,.T.); #251333=ORIENTED_EDGE('',*,*,#190024,.T.); #251334=ORIENTED_EDGE('',*,*,#190027,.T.); #251335=ORIENTED_EDGE('',*,*,#190030,.T.); #251336=ORIENTED_EDGE('',*,*,#190033,.T.); #251337=ORIENTED_EDGE('',*,*,#190036,.T.); #251338=ORIENTED_EDGE('',*,*,#190039,.T.); #251339=ORIENTED_EDGE('',*,*,#190042,.T.); #251340=ORIENTED_EDGE('',*,*,#190045,.T.); #251341=ORIENTED_EDGE('',*,*,#190048,.T.); #251342=ORIENTED_EDGE('',*,*,#190174,.F.); #251343=ORIENTED_EDGE('',*,*,#190175,.T.); #251344=ORIENTED_EDGE('',*,*,#190176,.F.); #251345=ORIENTED_EDGE('',*,*,#190175,.F.); #251346=ORIENTED_EDGE('',*,*,#190177,.T.); #251347=ORIENTED_EDGE('',*,*,#190178,.T.); #251348=ORIENTED_EDGE('',*,*,#190179,.F.); #251349=ORIENTED_EDGE('',*,*,#190180,.F.); #251350=ORIENTED_EDGE('',*,*,#190181,.T.); #251351=ORIENTED_EDGE('',*,*,#190180,.T.); #251352=ORIENTED_EDGE('',*,*,#190182,.F.); #251353=ORIENTED_EDGE('',*,*,#190183,.F.); #251354=ORIENTED_EDGE('',*,*,#190184,.T.); #251355=ORIENTED_EDGE('',*,*,#190183,.T.); #251356=ORIENTED_EDGE('',*,*,#190185,.F.); #251357=ORIENTED_EDGE('',*,*,#190186,.F.); #251358=ORIENTED_EDGE('',*,*,#190187,.T.); #251359=ORIENTED_EDGE('',*,*,#190186,.T.); #251360=ORIENTED_EDGE('',*,*,#190188,.F.); #251361=ORIENTED_EDGE('',*,*,#190189,.F.); #251362=ORIENTED_EDGE('',*,*,#190190,.T.); #251363=ORIENTED_EDGE('',*,*,#190189,.T.); #251364=ORIENTED_EDGE('',*,*,#190191,.F.); #251365=ORIENTED_EDGE('',*,*,#190192,.F.); #251366=ORIENTED_EDGE('',*,*,#190193,.T.); #251367=ORIENTED_EDGE('',*,*,#190192,.T.); #251368=ORIENTED_EDGE('',*,*,#190194,.F.); #251369=ORIENTED_EDGE('',*,*,#190195,.F.); #251370=ORIENTED_EDGE('',*,*,#190196,.T.); #251371=ORIENTED_EDGE('',*,*,#190195,.T.); #251372=ORIENTED_EDGE('',*,*,#190197,.F.); #251373=ORIENTED_EDGE('',*,*,#190198,.F.); #251374=ORIENTED_EDGE('',*,*,#190199,.T.); #251375=ORIENTED_EDGE('',*,*,#190198,.T.); #251376=ORIENTED_EDGE('',*,*,#190200,.F.); #251377=ORIENTED_EDGE('',*,*,#190201,.F.); #251378=ORIENTED_EDGE('',*,*,#190202,.T.); #251379=ORIENTED_EDGE('',*,*,#190201,.T.); #251380=ORIENTED_EDGE('',*,*,#190203,.F.); #251381=ORIENTED_EDGE('',*,*,#190204,.F.); #251382=ORIENTED_EDGE('',*,*,#190205,.T.); #251383=ORIENTED_EDGE('',*,*,#190204,.T.); #251384=ORIENTED_EDGE('',*,*,#190206,.F.); #251385=ORIENTED_EDGE('',*,*,#190207,.F.); #251386=ORIENTED_EDGE('',*,*,#190208,.T.); #251387=ORIENTED_EDGE('',*,*,#190207,.T.); #251388=ORIENTED_EDGE('',*,*,#190209,.F.); #251389=ORIENTED_EDGE('',*,*,#190210,.F.); #251390=ORIENTED_EDGE('',*,*,#190211,.T.); #251391=ORIENTED_EDGE('',*,*,#190210,.T.); #251392=ORIENTED_EDGE('',*,*,#190212,.F.); #251393=ORIENTED_EDGE('',*,*,#190213,.F.); #251394=ORIENTED_EDGE('',*,*,#190214,.T.); #251395=ORIENTED_EDGE('',*,*,#190213,.T.); #251396=ORIENTED_EDGE('',*,*,#190215,.F.); #251397=ORIENTED_EDGE('',*,*,#190216,.F.); #251398=ORIENTED_EDGE('',*,*,#190217,.T.); #251399=ORIENTED_EDGE('',*,*,#190216,.T.); #251400=ORIENTED_EDGE('',*,*,#190218,.F.); #251401=ORIENTED_EDGE('',*,*,#190219,.F.); #251402=ORIENTED_EDGE('',*,*,#190220,.T.); #251403=ORIENTED_EDGE('',*,*,#190219,.T.); #251404=ORIENTED_EDGE('',*,*,#190221,.F.); #251405=ORIENTED_EDGE('',*,*,#190222,.F.); #251406=ORIENTED_EDGE('',*,*,#190223,.T.); #251407=ORIENTED_EDGE('',*,*,#190222,.T.); #251408=ORIENTED_EDGE('',*,*,#190224,.F.); #251409=ORIENTED_EDGE('',*,*,#190225,.F.); #251410=ORIENTED_EDGE('',*,*,#190226,.T.); #251411=ORIENTED_EDGE('',*,*,#190225,.T.); #251412=ORIENTED_EDGE('',*,*,#190227,.F.); #251413=ORIENTED_EDGE('',*,*,#190228,.F.); #251414=ORIENTED_EDGE('',*,*,#190229,.T.); #251415=ORIENTED_EDGE('',*,*,#190228,.T.); #251416=ORIENTED_EDGE('',*,*,#190230,.F.); #251417=ORIENTED_EDGE('',*,*,#190231,.F.); #251418=ORIENTED_EDGE('',*,*,#190232,.T.); #251419=ORIENTED_EDGE('',*,*,#190231,.T.); #251420=ORIENTED_EDGE('',*,*,#190233,.F.); #251421=ORIENTED_EDGE('',*,*,#190234,.F.); #251422=ORIENTED_EDGE('',*,*,#190235,.T.); #251423=ORIENTED_EDGE('',*,*,#190234,.T.); #251424=ORIENTED_EDGE('',*,*,#190236,.F.); #251425=ORIENTED_EDGE('',*,*,#190237,.F.); #251426=ORIENTED_EDGE('',*,*,#190238,.T.); #251427=ORIENTED_EDGE('',*,*,#190237,.T.); #251428=ORIENTED_EDGE('',*,*,#190239,.F.); #251429=ORIENTED_EDGE('',*,*,#190240,.F.); #251430=ORIENTED_EDGE('',*,*,#190241,.T.); #251431=ORIENTED_EDGE('',*,*,#190240,.T.); #251432=ORIENTED_EDGE('',*,*,#190242,.F.); #251433=ORIENTED_EDGE('',*,*,#190243,.F.); #251434=ORIENTED_EDGE('',*,*,#190244,.T.); #251435=ORIENTED_EDGE('',*,*,#190243,.T.); #251436=ORIENTED_EDGE('',*,*,#190245,.F.); #251437=ORIENTED_EDGE('',*,*,#190246,.F.); #251438=ORIENTED_EDGE('',*,*,#190247,.T.); #251439=ORIENTED_EDGE('',*,*,#190246,.T.); #251440=ORIENTED_EDGE('',*,*,#190248,.F.); #251441=ORIENTED_EDGE('',*,*,#190249,.F.); #251442=ORIENTED_EDGE('',*,*,#190250,.T.); #251443=ORIENTED_EDGE('',*,*,#190249,.T.); #251444=ORIENTED_EDGE('',*,*,#190251,.F.); #251445=ORIENTED_EDGE('',*,*,#190252,.F.); #251446=ORIENTED_EDGE('',*,*,#190253,.T.); #251447=ORIENTED_EDGE('',*,*,#190252,.T.); #251448=ORIENTED_EDGE('',*,*,#190254,.F.); #251449=ORIENTED_EDGE('',*,*,#190255,.F.); #251450=ORIENTED_EDGE('',*,*,#190256,.T.); #251451=ORIENTED_EDGE('',*,*,#190255,.T.); #251452=ORIENTED_EDGE('',*,*,#190257,.F.); #251453=ORIENTED_EDGE('',*,*,#190258,.F.); #251454=ORIENTED_EDGE('',*,*,#190259,.T.); #251455=ORIENTED_EDGE('',*,*,#190258,.T.); #251456=ORIENTED_EDGE('',*,*,#190260,.F.); #251457=ORIENTED_EDGE('',*,*,#190261,.F.); #251458=ORIENTED_EDGE('',*,*,#190262,.T.); #251459=ORIENTED_EDGE('',*,*,#190261,.T.); #251460=ORIENTED_EDGE('',*,*,#190263,.F.); #251461=ORIENTED_EDGE('',*,*,#190264,.F.); #251462=ORIENTED_EDGE('',*,*,#190265,.T.); #251463=ORIENTED_EDGE('',*,*,#190264,.T.); #251464=ORIENTED_EDGE('',*,*,#190266,.F.); #251465=ORIENTED_EDGE('',*,*,#190267,.F.); #251466=ORIENTED_EDGE('',*,*,#190268,.T.); #251467=ORIENTED_EDGE('',*,*,#190267,.T.); #251468=ORIENTED_EDGE('',*,*,#190269,.F.); #251469=ORIENTED_EDGE('',*,*,#190270,.F.); #251470=ORIENTED_EDGE('',*,*,#190271,.T.); #251471=ORIENTED_EDGE('',*,*,#190270,.T.); #251472=ORIENTED_EDGE('',*,*,#190272,.F.); #251473=ORIENTED_EDGE('',*,*,#190273,.F.); #251474=ORIENTED_EDGE('',*,*,#190274,.T.); #251475=ORIENTED_EDGE('',*,*,#190273,.T.); #251476=ORIENTED_EDGE('',*,*,#190275,.F.); #251477=ORIENTED_EDGE('',*,*,#190276,.F.); #251478=ORIENTED_EDGE('',*,*,#190277,.T.); #251479=ORIENTED_EDGE('',*,*,#190276,.T.); #251480=ORIENTED_EDGE('',*,*,#190278,.F.); #251481=ORIENTED_EDGE('',*,*,#190279,.F.); #251482=ORIENTED_EDGE('',*,*,#190280,.T.); #251483=ORIENTED_EDGE('',*,*,#190279,.T.); #251484=ORIENTED_EDGE('',*,*,#190281,.F.); #251485=ORIENTED_EDGE('',*,*,#190282,.F.); #251486=ORIENTED_EDGE('',*,*,#190283,.T.); #251487=ORIENTED_EDGE('',*,*,#190282,.T.); #251488=ORIENTED_EDGE('',*,*,#190284,.F.); #251489=ORIENTED_EDGE('',*,*,#190285,.F.); #251490=ORIENTED_EDGE('',*,*,#190286,.T.); #251491=ORIENTED_EDGE('',*,*,#190285,.T.); #251492=ORIENTED_EDGE('',*,*,#190287,.F.); #251493=ORIENTED_EDGE('',*,*,#190288,.F.); #251494=ORIENTED_EDGE('',*,*,#190289,.T.); #251495=ORIENTED_EDGE('',*,*,#190288,.T.); #251496=ORIENTED_EDGE('',*,*,#190290,.F.); #251497=ORIENTED_EDGE('',*,*,#190291,.F.); #251498=ORIENTED_EDGE('',*,*,#190292,.T.); #251499=ORIENTED_EDGE('',*,*,#190291,.T.); #251500=ORIENTED_EDGE('',*,*,#190293,.F.); #251501=ORIENTED_EDGE('',*,*,#190294,.F.); #251502=ORIENTED_EDGE('',*,*,#190295,.T.); #251503=ORIENTED_EDGE('',*,*,#190294,.T.); #251504=ORIENTED_EDGE('',*,*,#190296,.F.); #251505=ORIENTED_EDGE('',*,*,#190297,.F.); #251506=ORIENTED_EDGE('',*,*,#190298,.T.); #251507=ORIENTED_EDGE('',*,*,#190297,.T.); #251508=ORIENTED_EDGE('',*,*,#190299,.F.); #251509=ORIENTED_EDGE('',*,*,#190300,.F.); #251510=ORIENTED_EDGE('',*,*,#190301,.T.); #251511=ORIENTED_EDGE('',*,*,#190300,.T.); #251512=ORIENTED_EDGE('',*,*,#190302,.F.); #251513=ORIENTED_EDGE('',*,*,#190178,.F.); #251514=ORIENTED_EDGE('',*,*,#190302,.T.); #251515=ORIENTED_EDGE('',*,*,#190299,.T.); #251516=ORIENTED_EDGE('',*,*,#190296,.T.); #251517=ORIENTED_EDGE('',*,*,#190293,.T.); #251518=ORIENTED_EDGE('',*,*,#190290,.T.); #251519=ORIENTED_EDGE('',*,*,#190287,.T.); #251520=ORIENTED_EDGE('',*,*,#190284,.T.); #251521=ORIENTED_EDGE('',*,*,#190281,.T.); #251522=ORIENTED_EDGE('',*,*,#190278,.T.); #251523=ORIENTED_EDGE('',*,*,#190275,.T.); #251524=ORIENTED_EDGE('',*,*,#190272,.T.); #251525=ORIENTED_EDGE('',*,*,#190269,.T.); #251526=ORIENTED_EDGE('',*,*,#190266,.T.); #251527=ORIENTED_EDGE('',*,*,#190263,.T.); #251528=ORIENTED_EDGE('',*,*,#190260,.T.); #251529=ORIENTED_EDGE('',*,*,#190257,.T.); #251530=ORIENTED_EDGE('',*,*,#190254,.T.); #251531=ORIENTED_EDGE('',*,*,#190251,.T.); #251532=ORIENTED_EDGE('',*,*,#190248,.T.); #251533=ORIENTED_EDGE('',*,*,#190245,.T.); #251534=ORIENTED_EDGE('',*,*,#190242,.T.); #251535=ORIENTED_EDGE('',*,*,#190239,.T.); #251536=ORIENTED_EDGE('',*,*,#190236,.T.); #251537=ORIENTED_EDGE('',*,*,#190233,.T.); #251538=ORIENTED_EDGE('',*,*,#190230,.T.); #251539=ORIENTED_EDGE('',*,*,#190227,.T.); #251540=ORIENTED_EDGE('',*,*,#190224,.T.); #251541=ORIENTED_EDGE('',*,*,#190221,.T.); #251542=ORIENTED_EDGE('',*,*,#190218,.T.); #251543=ORIENTED_EDGE('',*,*,#190215,.T.); #251544=ORIENTED_EDGE('',*,*,#190212,.T.); #251545=ORIENTED_EDGE('',*,*,#190209,.T.); #251546=ORIENTED_EDGE('',*,*,#190206,.T.); #251547=ORIENTED_EDGE('',*,*,#190203,.T.); #251548=ORIENTED_EDGE('',*,*,#190200,.T.); #251549=ORIENTED_EDGE('',*,*,#190197,.T.); #251550=ORIENTED_EDGE('',*,*,#190194,.T.); #251551=ORIENTED_EDGE('',*,*,#190191,.T.); #251552=ORIENTED_EDGE('',*,*,#190188,.T.); #251553=ORIENTED_EDGE('',*,*,#190185,.T.); #251554=ORIENTED_EDGE('',*,*,#190182,.T.); #251555=ORIENTED_EDGE('',*,*,#190179,.T.); #251556=ORIENTED_EDGE('',*,*,#190176,.T.); #251557=ORIENTED_EDGE('',*,*,#190301,.F.); #251558=ORIENTED_EDGE('',*,*,#190177,.F.); #251559=ORIENTED_EDGE('',*,*,#190181,.F.); #251560=ORIENTED_EDGE('',*,*,#190184,.F.); #251561=ORIENTED_EDGE('',*,*,#190187,.F.); #251562=ORIENTED_EDGE('',*,*,#190190,.F.); #251563=ORIENTED_EDGE('',*,*,#190193,.F.); #251564=ORIENTED_EDGE('',*,*,#190196,.F.); #251565=ORIENTED_EDGE('',*,*,#190199,.F.); #251566=ORIENTED_EDGE('',*,*,#190202,.F.); #251567=ORIENTED_EDGE('',*,*,#190205,.F.); #251568=ORIENTED_EDGE('',*,*,#190208,.F.); #251569=ORIENTED_EDGE('',*,*,#190211,.F.); #251570=ORIENTED_EDGE('',*,*,#190214,.F.); #251571=ORIENTED_EDGE('',*,*,#190217,.F.); #251572=ORIENTED_EDGE('',*,*,#190220,.F.); #251573=ORIENTED_EDGE('',*,*,#190223,.F.); #251574=ORIENTED_EDGE('',*,*,#190226,.F.); #251575=ORIENTED_EDGE('',*,*,#190229,.F.); #251576=ORIENTED_EDGE('',*,*,#190232,.F.); #251577=ORIENTED_EDGE('',*,*,#190235,.F.); #251578=ORIENTED_EDGE('',*,*,#190238,.F.); #251579=ORIENTED_EDGE('',*,*,#190241,.F.); #251580=ORIENTED_EDGE('',*,*,#190244,.F.); #251581=ORIENTED_EDGE('',*,*,#190247,.F.); #251582=ORIENTED_EDGE('',*,*,#190250,.F.); #251583=ORIENTED_EDGE('',*,*,#190253,.F.); #251584=ORIENTED_EDGE('',*,*,#190256,.F.); #251585=ORIENTED_EDGE('',*,*,#190259,.F.); #251586=ORIENTED_EDGE('',*,*,#190262,.F.); #251587=ORIENTED_EDGE('',*,*,#190265,.F.); #251588=ORIENTED_EDGE('',*,*,#190268,.F.); #251589=ORIENTED_EDGE('',*,*,#190271,.F.); #251590=ORIENTED_EDGE('',*,*,#190274,.F.); #251591=ORIENTED_EDGE('',*,*,#190277,.F.); #251592=ORIENTED_EDGE('',*,*,#190280,.F.); #251593=ORIENTED_EDGE('',*,*,#190283,.F.); #251594=ORIENTED_EDGE('',*,*,#190286,.F.); #251595=ORIENTED_EDGE('',*,*,#190289,.F.); #251596=ORIENTED_EDGE('',*,*,#190292,.F.); #251597=ORIENTED_EDGE('',*,*,#190295,.F.); #251598=ORIENTED_EDGE('',*,*,#190298,.F.); #251599=ORIENTED_EDGE('',*,*,#190174,.T.); #251600=ORIENTED_EDGE('',*,*,#190303,.F.); #251601=ORIENTED_EDGE('',*,*,#190304,.T.); #251602=ORIENTED_EDGE('',*,*,#190305,.F.); #251603=ORIENTED_EDGE('',*,*,#190304,.F.); #251604=ORIENTED_EDGE('',*,*,#190306,.F.); #251605=ORIENTED_EDGE('',*,*,#190307,.T.); #251606=ORIENTED_EDGE('',*,*,#190308,.F.); #251607=ORIENTED_EDGE('',*,*,#190307,.F.); #251608=ORIENTED_EDGE('',*,*,#190309,.F.); #251609=ORIENTED_EDGE('',*,*,#190310,.T.); #251610=ORIENTED_EDGE('',*,*,#190311,.F.); #251611=ORIENTED_EDGE('',*,*,#190310,.F.); #251612=ORIENTED_EDGE('',*,*,#190312,.F.); #251613=ORIENTED_EDGE('',*,*,#190313,.T.); #251614=ORIENTED_EDGE('',*,*,#190314,.F.); #251615=ORIENTED_EDGE('',*,*,#190313,.F.); #251616=ORIENTED_EDGE('',*,*,#190315,.F.); #251617=ORIENTED_EDGE('',*,*,#190316,.T.); #251618=ORIENTED_EDGE('',*,*,#190317,.F.); #251619=ORIENTED_EDGE('',*,*,#190316,.F.); #251620=ORIENTED_EDGE('',*,*,#190318,.F.); #251621=ORIENTED_EDGE('',*,*,#190319,.T.); #251622=ORIENTED_EDGE('',*,*,#190320,.F.); #251623=ORIENTED_EDGE('',*,*,#190319,.F.); #251624=ORIENTED_EDGE('',*,*,#190321,.F.); #251625=ORIENTED_EDGE('',*,*,#190322,.T.); #251626=ORIENTED_EDGE('',*,*,#190323,.F.); #251627=ORIENTED_EDGE('',*,*,#190322,.F.); #251628=ORIENTED_EDGE('',*,*,#190324,.F.); #251629=ORIENTED_EDGE('',*,*,#190325,.T.); #251630=ORIENTED_EDGE('',*,*,#190326,.F.); #251631=ORIENTED_EDGE('',*,*,#190325,.F.); #251632=ORIENTED_EDGE('',*,*,#190327,.F.); #251633=ORIENTED_EDGE('',*,*,#190328,.T.); #251634=ORIENTED_EDGE('',*,*,#190329,.F.); #251635=ORIENTED_EDGE('',*,*,#190328,.F.); #251636=ORIENTED_EDGE('',*,*,#190330,.F.); #251637=ORIENTED_EDGE('',*,*,#190331,.T.); #251638=ORIENTED_EDGE('',*,*,#190332,.F.); #251639=ORIENTED_EDGE('',*,*,#190331,.F.); #251640=ORIENTED_EDGE('',*,*,#190333,.F.); #251641=ORIENTED_EDGE('',*,*,#190334,.T.); #251642=ORIENTED_EDGE('',*,*,#190335,.F.); #251643=ORIENTED_EDGE('',*,*,#190334,.F.); #251644=ORIENTED_EDGE('',*,*,#190336,.F.); #251645=ORIENTED_EDGE('',*,*,#190337,.T.); #251646=ORIENTED_EDGE('',*,*,#190338,.F.); #251647=ORIENTED_EDGE('',*,*,#190337,.F.); #251648=ORIENTED_EDGE('',*,*,#190339,.F.); #251649=ORIENTED_EDGE('',*,*,#190340,.T.); #251650=ORIENTED_EDGE('',*,*,#190341,.F.); #251651=ORIENTED_EDGE('',*,*,#190340,.F.); #251652=ORIENTED_EDGE('',*,*,#190342,.F.); #251653=ORIENTED_EDGE('',*,*,#190343,.T.); #251654=ORIENTED_EDGE('',*,*,#190344,.F.); #251655=ORIENTED_EDGE('',*,*,#190343,.F.); #251656=ORIENTED_EDGE('',*,*,#190345,.F.); #251657=ORIENTED_EDGE('',*,*,#190346,.T.); #251658=ORIENTED_EDGE('',*,*,#190347,.F.); #251659=ORIENTED_EDGE('',*,*,#190346,.F.); #251660=ORIENTED_EDGE('',*,*,#190348,.T.); #251661=ORIENTED_EDGE('',*,*,#190349,.T.); #251662=ORIENTED_EDGE('',*,*,#190350,.F.); #251663=ORIENTED_EDGE('',*,*,#190351,.F.); #251664=ORIENTED_EDGE('',*,*,#190352,.T.); #251665=ORIENTED_EDGE('',*,*,#190351,.T.); #251666=ORIENTED_EDGE('',*,*,#190353,.F.); #251667=ORIENTED_EDGE('',*,*,#190354,.F.); #251668=ORIENTED_EDGE('',*,*,#190355,.T.); #251669=ORIENTED_EDGE('',*,*,#190354,.T.); #251670=ORIENTED_EDGE('',*,*,#190356,.F.); #251671=ORIENTED_EDGE('',*,*,#190357,.F.); #251672=ORIENTED_EDGE('',*,*,#190358,.T.); #251673=ORIENTED_EDGE('',*,*,#190357,.T.); #251674=ORIENTED_EDGE('',*,*,#190359,.F.); #251675=ORIENTED_EDGE('',*,*,#190360,.F.); #251676=ORIENTED_EDGE('',*,*,#190361,.T.); #251677=ORIENTED_EDGE('',*,*,#190360,.T.); #251678=ORIENTED_EDGE('',*,*,#190362,.F.); #251679=ORIENTED_EDGE('',*,*,#190363,.F.); #251680=ORIENTED_EDGE('',*,*,#190364,.T.); #251681=ORIENTED_EDGE('',*,*,#190363,.T.); #251682=ORIENTED_EDGE('',*,*,#190365,.F.); #251683=ORIENTED_EDGE('',*,*,#190366,.F.); #251684=ORIENTED_EDGE('',*,*,#190367,.T.); #251685=ORIENTED_EDGE('',*,*,#190366,.T.); #251686=ORIENTED_EDGE('',*,*,#190368,.F.); #251687=ORIENTED_EDGE('',*,*,#190369,.F.); #251688=ORIENTED_EDGE('',*,*,#190370,.T.); #251689=ORIENTED_EDGE('',*,*,#190369,.T.); #251690=ORIENTED_EDGE('',*,*,#190371,.F.); #251691=ORIENTED_EDGE('',*,*,#190372,.F.); #251692=ORIENTED_EDGE('',*,*,#190373,.T.); #251693=ORIENTED_EDGE('',*,*,#190372,.T.); #251694=ORIENTED_EDGE('',*,*,#190374,.F.); #251695=ORIENTED_EDGE('',*,*,#190375,.F.); #251696=ORIENTED_EDGE('',*,*,#190376,.T.); #251697=ORIENTED_EDGE('',*,*,#190375,.T.); #251698=ORIENTED_EDGE('',*,*,#190377,.F.); #251699=ORIENTED_EDGE('',*,*,#190378,.F.); #251700=ORIENTED_EDGE('',*,*,#190379,.T.); #251701=ORIENTED_EDGE('',*,*,#190378,.T.); #251702=ORIENTED_EDGE('',*,*,#190380,.F.); #251703=ORIENTED_EDGE('',*,*,#190381,.F.); #251704=ORIENTED_EDGE('',*,*,#190382,.T.); #251705=ORIENTED_EDGE('',*,*,#190381,.T.); #251706=ORIENTED_EDGE('',*,*,#190383,.F.); #251707=ORIENTED_EDGE('',*,*,#190384,.F.); #251708=ORIENTED_EDGE('',*,*,#190385,.T.); #251709=ORIENTED_EDGE('',*,*,#190384,.T.); #251710=ORIENTED_EDGE('',*,*,#190386,.F.); #251711=ORIENTED_EDGE('',*,*,#190387,.F.); #251712=ORIENTED_EDGE('',*,*,#190388,.T.); #251713=ORIENTED_EDGE('',*,*,#190387,.T.); #251714=ORIENTED_EDGE('',*,*,#190389,.F.); #251715=ORIENTED_EDGE('',*,*,#190390,.F.); #251716=ORIENTED_EDGE('',*,*,#190391,.T.); #251717=ORIENTED_EDGE('',*,*,#190390,.T.); #251718=ORIENTED_EDGE('',*,*,#190392,.F.); #251719=ORIENTED_EDGE('',*,*,#190393,.F.); #251720=ORIENTED_EDGE('',*,*,#190394,.T.); #251721=ORIENTED_EDGE('',*,*,#190393,.T.); #251722=ORIENTED_EDGE('',*,*,#190395,.F.); #251723=ORIENTED_EDGE('',*,*,#190396,.F.); #251724=ORIENTED_EDGE('',*,*,#190397,.T.); #251725=ORIENTED_EDGE('',*,*,#190396,.T.); #251726=ORIENTED_EDGE('',*,*,#190398,.F.); #251727=ORIENTED_EDGE('',*,*,#190399,.F.); #251728=ORIENTED_EDGE('',*,*,#190400,.T.); #251729=ORIENTED_EDGE('',*,*,#190399,.T.); #251730=ORIENTED_EDGE('',*,*,#190401,.F.); #251731=ORIENTED_EDGE('',*,*,#190402,.F.); #251732=ORIENTED_EDGE('',*,*,#190403,.T.); #251733=ORIENTED_EDGE('',*,*,#190402,.T.); #251734=ORIENTED_EDGE('',*,*,#190404,.F.); #251735=ORIENTED_EDGE('',*,*,#190405,.F.); #251736=ORIENTED_EDGE('',*,*,#190406,.T.); #251737=ORIENTED_EDGE('',*,*,#190405,.T.); #251738=ORIENTED_EDGE('',*,*,#190407,.F.); #251739=ORIENTED_EDGE('',*,*,#190408,.F.); #251740=ORIENTED_EDGE('',*,*,#190409,.T.); #251741=ORIENTED_EDGE('',*,*,#190408,.T.); #251742=ORIENTED_EDGE('',*,*,#190410,.F.); #251743=ORIENTED_EDGE('',*,*,#190411,.F.); #251744=ORIENTED_EDGE('',*,*,#190412,.T.); #251745=ORIENTED_EDGE('',*,*,#190411,.T.); #251746=ORIENTED_EDGE('',*,*,#190413,.F.); #251747=ORIENTED_EDGE('',*,*,#190414,.F.); #251748=ORIENTED_EDGE('',*,*,#190415,.T.); #251749=ORIENTED_EDGE('',*,*,#190414,.T.); #251750=ORIENTED_EDGE('',*,*,#190416,.F.); #251751=ORIENTED_EDGE('',*,*,#190417,.F.); #251752=ORIENTED_EDGE('',*,*,#190418,.T.); #251753=ORIENTED_EDGE('',*,*,#190417,.T.); #251754=ORIENTED_EDGE('',*,*,#190419,.F.); #251755=ORIENTED_EDGE('',*,*,#190420,.F.); #251756=ORIENTED_EDGE('',*,*,#190421,.T.); #251757=ORIENTED_EDGE('',*,*,#190420,.T.); #251758=ORIENTED_EDGE('',*,*,#190422,.F.); #251759=ORIENTED_EDGE('',*,*,#190423,.F.); #251760=ORIENTED_EDGE('',*,*,#190424,.T.); #251761=ORIENTED_EDGE('',*,*,#190423,.T.); #251762=ORIENTED_EDGE('',*,*,#190425,.F.); #251763=ORIENTED_EDGE('',*,*,#190426,.F.); #251764=ORIENTED_EDGE('',*,*,#190427,.T.); #251765=ORIENTED_EDGE('',*,*,#190426,.T.); #251766=ORIENTED_EDGE('',*,*,#190428,.F.); #251767=ORIENTED_EDGE('',*,*,#190429,.F.); #251768=ORIENTED_EDGE('',*,*,#190430,.T.); #251769=ORIENTED_EDGE('',*,*,#190429,.T.); #251770=ORIENTED_EDGE('',*,*,#190431,.F.); #251771=ORIENTED_EDGE('',*,*,#190432,.F.); #251772=ORIENTED_EDGE('',*,*,#190433,.T.); #251773=ORIENTED_EDGE('',*,*,#190432,.T.); #251774=ORIENTED_EDGE('',*,*,#190434,.F.); #251775=ORIENTED_EDGE('',*,*,#190435,.F.); #251776=ORIENTED_EDGE('',*,*,#190436,.T.); #251777=ORIENTED_EDGE('',*,*,#190435,.T.); #251778=ORIENTED_EDGE('',*,*,#190437,.F.); #251779=ORIENTED_EDGE('',*,*,#190438,.F.); #251780=ORIENTED_EDGE('',*,*,#190439,.T.); #251781=ORIENTED_EDGE('',*,*,#190438,.T.); #251782=ORIENTED_EDGE('',*,*,#190440,.F.); #251783=ORIENTED_EDGE('',*,*,#190441,.F.); #251784=ORIENTED_EDGE('',*,*,#190442,.T.); #251785=ORIENTED_EDGE('',*,*,#190441,.T.); #251786=ORIENTED_EDGE('',*,*,#190443,.F.); #251787=ORIENTED_EDGE('',*,*,#190444,.F.); #251788=ORIENTED_EDGE('',*,*,#190445,.T.); #251789=ORIENTED_EDGE('',*,*,#190444,.T.); #251790=ORIENTED_EDGE('',*,*,#190446,.F.); #251791=ORIENTED_EDGE('',*,*,#190447,.F.); #251792=ORIENTED_EDGE('',*,*,#190448,.T.); #251793=ORIENTED_EDGE('',*,*,#190447,.T.); #251794=ORIENTED_EDGE('',*,*,#190449,.F.); #251795=ORIENTED_EDGE('',*,*,#190450,.F.); #251796=ORIENTED_EDGE('',*,*,#190451,.T.); #251797=ORIENTED_EDGE('',*,*,#190450,.T.); #251798=ORIENTED_EDGE('',*,*,#190452,.F.); #251799=ORIENTED_EDGE('',*,*,#190453,.F.); #251800=ORIENTED_EDGE('',*,*,#190454,.T.); #251801=ORIENTED_EDGE('',*,*,#190453,.T.); #251802=ORIENTED_EDGE('',*,*,#190455,.F.); #251803=ORIENTED_EDGE('',*,*,#190456,.F.); #251804=ORIENTED_EDGE('',*,*,#190457,.T.); #251805=ORIENTED_EDGE('',*,*,#190456,.T.); #251806=ORIENTED_EDGE('',*,*,#190458,.F.); #251807=ORIENTED_EDGE('',*,*,#190459,.F.); #251808=ORIENTED_EDGE('',*,*,#190460,.T.); #251809=ORIENTED_EDGE('',*,*,#190459,.T.); #251810=ORIENTED_EDGE('',*,*,#190461,.F.); #251811=ORIENTED_EDGE('',*,*,#190462,.F.); #251812=ORIENTED_EDGE('',*,*,#190463,.T.); #251813=ORIENTED_EDGE('',*,*,#190462,.T.); #251814=ORIENTED_EDGE('',*,*,#190464,.F.); #251815=ORIENTED_EDGE('',*,*,#190465,.F.); #251816=ORIENTED_EDGE('',*,*,#190466,.T.); #251817=ORIENTED_EDGE('',*,*,#190465,.T.); #251818=ORIENTED_EDGE('',*,*,#190467,.F.); #251819=ORIENTED_EDGE('',*,*,#190468,.F.); #251820=ORIENTED_EDGE('',*,*,#190469,.T.); #251821=ORIENTED_EDGE('',*,*,#190468,.T.); #251822=ORIENTED_EDGE('',*,*,#190470,.F.); #251823=ORIENTED_EDGE('',*,*,#190471,.F.); #251824=ORIENTED_EDGE('',*,*,#190472,.T.); #251825=ORIENTED_EDGE('',*,*,#190471,.T.); #251826=ORIENTED_EDGE('',*,*,#190473,.F.); #251827=ORIENTED_EDGE('',*,*,#190474,.F.); #251828=ORIENTED_EDGE('',*,*,#190475,.T.); #251829=ORIENTED_EDGE('',*,*,#190474,.T.); #251830=ORIENTED_EDGE('',*,*,#190476,.F.); #251831=ORIENTED_EDGE('',*,*,#190477,.F.); #251832=ORIENTED_EDGE('',*,*,#190478,.T.); #251833=ORIENTED_EDGE('',*,*,#190477,.T.); #251834=ORIENTED_EDGE('',*,*,#190479,.F.); #251835=ORIENTED_EDGE('',*,*,#190480,.F.); #251836=ORIENTED_EDGE('',*,*,#190481,.T.); #251837=ORIENTED_EDGE('',*,*,#190480,.T.); #251838=ORIENTED_EDGE('',*,*,#190482,.F.); #251839=ORIENTED_EDGE('',*,*,#190483,.F.); #251840=ORIENTED_EDGE('',*,*,#190484,.T.); #251841=ORIENTED_EDGE('',*,*,#190483,.T.); #251842=ORIENTED_EDGE('',*,*,#190485,.F.); #251843=ORIENTED_EDGE('',*,*,#190486,.F.); #251844=ORIENTED_EDGE('',*,*,#190487,.T.); #251845=ORIENTED_EDGE('',*,*,#190486,.T.); #251846=ORIENTED_EDGE('',*,*,#190488,.F.); #251847=ORIENTED_EDGE('',*,*,#190489,.F.); #251848=ORIENTED_EDGE('',*,*,#190490,.T.); #251849=ORIENTED_EDGE('',*,*,#190489,.T.); #251850=ORIENTED_EDGE('',*,*,#190491,.F.); #251851=ORIENTED_EDGE('',*,*,#190492,.F.); #251852=ORIENTED_EDGE('',*,*,#190493,.T.); #251853=ORIENTED_EDGE('',*,*,#190492,.T.); #251854=ORIENTED_EDGE('',*,*,#190494,.F.); #251855=ORIENTED_EDGE('',*,*,#190349,.F.); #251856=ORIENTED_EDGE('',*,*,#190494,.T.); #251857=ORIENTED_EDGE('',*,*,#190491,.T.); #251858=ORIENTED_EDGE('',*,*,#190488,.T.); #251859=ORIENTED_EDGE('',*,*,#190485,.T.); #251860=ORIENTED_EDGE('',*,*,#190482,.T.); #251861=ORIENTED_EDGE('',*,*,#190479,.T.); #251862=ORIENTED_EDGE('',*,*,#190476,.T.); #251863=ORIENTED_EDGE('',*,*,#190473,.T.); #251864=ORIENTED_EDGE('',*,*,#190470,.T.); #251865=ORIENTED_EDGE('',*,*,#190467,.T.); #251866=ORIENTED_EDGE('',*,*,#190464,.T.); #251867=ORIENTED_EDGE('',*,*,#190461,.T.); #251868=ORIENTED_EDGE('',*,*,#190458,.T.); #251869=ORIENTED_EDGE('',*,*,#190455,.T.); #251870=ORIENTED_EDGE('',*,*,#190452,.T.); #251871=ORIENTED_EDGE('',*,*,#190449,.T.); #251872=ORIENTED_EDGE('',*,*,#190446,.T.); #251873=ORIENTED_EDGE('',*,*,#190443,.T.); #251874=ORIENTED_EDGE('',*,*,#190440,.T.); #251875=ORIENTED_EDGE('',*,*,#190437,.T.); #251876=ORIENTED_EDGE('',*,*,#190434,.T.); #251877=ORIENTED_EDGE('',*,*,#190431,.T.); #251878=ORIENTED_EDGE('',*,*,#190428,.T.); #251879=ORIENTED_EDGE('',*,*,#190425,.T.); #251880=ORIENTED_EDGE('',*,*,#190422,.T.); #251881=ORIENTED_EDGE('',*,*,#190419,.T.); #251882=ORIENTED_EDGE('',*,*,#190416,.T.); #251883=ORIENTED_EDGE('',*,*,#190413,.T.); #251884=ORIENTED_EDGE('',*,*,#190410,.T.); #251885=ORIENTED_EDGE('',*,*,#190407,.T.); #251886=ORIENTED_EDGE('',*,*,#190404,.T.); #251887=ORIENTED_EDGE('',*,*,#190401,.T.); #251888=ORIENTED_EDGE('',*,*,#190398,.T.); #251889=ORIENTED_EDGE('',*,*,#190395,.T.); #251890=ORIENTED_EDGE('',*,*,#190392,.T.); #251891=ORIENTED_EDGE('',*,*,#190389,.T.); #251892=ORIENTED_EDGE('',*,*,#190386,.T.); #251893=ORIENTED_EDGE('',*,*,#190383,.T.); #251894=ORIENTED_EDGE('',*,*,#190380,.T.); #251895=ORIENTED_EDGE('',*,*,#190377,.T.); #251896=ORIENTED_EDGE('',*,*,#190374,.T.); #251897=ORIENTED_EDGE('',*,*,#190371,.T.); #251898=ORIENTED_EDGE('',*,*,#190368,.T.); #251899=ORIENTED_EDGE('',*,*,#190365,.T.); #251900=ORIENTED_EDGE('',*,*,#190362,.T.); #251901=ORIENTED_EDGE('',*,*,#190359,.T.); #251902=ORIENTED_EDGE('',*,*,#190356,.T.); #251903=ORIENTED_EDGE('',*,*,#190353,.T.); #251904=ORIENTED_EDGE('',*,*,#190350,.T.); #251905=ORIENTED_EDGE('',*,*,#190305,.T.); #251906=ORIENTED_EDGE('',*,*,#190308,.T.); #251907=ORIENTED_EDGE('',*,*,#190311,.T.); #251908=ORIENTED_EDGE('',*,*,#190314,.T.); #251909=ORIENTED_EDGE('',*,*,#190317,.T.); #251910=ORIENTED_EDGE('',*,*,#190320,.T.); #251911=ORIENTED_EDGE('',*,*,#190323,.T.); #251912=ORIENTED_EDGE('',*,*,#190326,.T.); #251913=ORIENTED_EDGE('',*,*,#190329,.T.); #251914=ORIENTED_EDGE('',*,*,#190332,.T.); #251915=ORIENTED_EDGE('',*,*,#190335,.T.); #251916=ORIENTED_EDGE('',*,*,#190338,.T.); #251917=ORIENTED_EDGE('',*,*,#190341,.T.); #251918=ORIENTED_EDGE('',*,*,#190344,.T.); #251919=ORIENTED_EDGE('',*,*,#190347,.T.); #251920=ORIENTED_EDGE('',*,*,#190493,.F.); #251921=ORIENTED_EDGE('',*,*,#190348,.F.); #251922=ORIENTED_EDGE('',*,*,#190352,.F.); #251923=ORIENTED_EDGE('',*,*,#190355,.F.); #251924=ORIENTED_EDGE('',*,*,#190358,.F.); #251925=ORIENTED_EDGE('',*,*,#190361,.F.); #251926=ORIENTED_EDGE('',*,*,#190364,.F.); #251927=ORIENTED_EDGE('',*,*,#190367,.F.); #251928=ORIENTED_EDGE('',*,*,#190370,.F.); #251929=ORIENTED_EDGE('',*,*,#190373,.F.); #251930=ORIENTED_EDGE('',*,*,#190376,.F.); #251931=ORIENTED_EDGE('',*,*,#190379,.F.); #251932=ORIENTED_EDGE('',*,*,#190382,.F.); #251933=ORIENTED_EDGE('',*,*,#190385,.F.); #251934=ORIENTED_EDGE('',*,*,#190388,.F.); #251935=ORIENTED_EDGE('',*,*,#190391,.F.); #251936=ORIENTED_EDGE('',*,*,#190394,.F.); #251937=ORIENTED_EDGE('',*,*,#190397,.F.); #251938=ORIENTED_EDGE('',*,*,#190400,.F.); #251939=ORIENTED_EDGE('',*,*,#190403,.F.); #251940=ORIENTED_EDGE('',*,*,#190406,.F.); #251941=ORIENTED_EDGE('',*,*,#190409,.F.); #251942=ORIENTED_EDGE('',*,*,#190412,.F.); #251943=ORIENTED_EDGE('',*,*,#190415,.F.); #251944=ORIENTED_EDGE('',*,*,#190418,.F.); #251945=ORIENTED_EDGE('',*,*,#190421,.F.); #251946=ORIENTED_EDGE('',*,*,#190424,.F.); #251947=ORIENTED_EDGE('',*,*,#190427,.F.); #251948=ORIENTED_EDGE('',*,*,#190430,.F.); #251949=ORIENTED_EDGE('',*,*,#190433,.F.); #251950=ORIENTED_EDGE('',*,*,#190436,.F.); #251951=ORIENTED_EDGE('',*,*,#190439,.F.); #251952=ORIENTED_EDGE('',*,*,#190442,.F.); #251953=ORIENTED_EDGE('',*,*,#190445,.F.); #251954=ORIENTED_EDGE('',*,*,#190448,.F.); #251955=ORIENTED_EDGE('',*,*,#190451,.F.); #251956=ORIENTED_EDGE('',*,*,#190454,.F.); #251957=ORIENTED_EDGE('',*,*,#190457,.F.); #251958=ORIENTED_EDGE('',*,*,#190460,.F.); #251959=ORIENTED_EDGE('',*,*,#190463,.F.); #251960=ORIENTED_EDGE('',*,*,#190466,.F.); #251961=ORIENTED_EDGE('',*,*,#190469,.F.); #251962=ORIENTED_EDGE('',*,*,#190472,.F.); #251963=ORIENTED_EDGE('',*,*,#190475,.F.); #251964=ORIENTED_EDGE('',*,*,#190478,.F.); #251965=ORIENTED_EDGE('',*,*,#190481,.F.); #251966=ORIENTED_EDGE('',*,*,#190484,.F.); #251967=ORIENTED_EDGE('',*,*,#190487,.F.); #251968=ORIENTED_EDGE('',*,*,#190490,.F.); #251969=ORIENTED_EDGE('',*,*,#190303,.T.); #251970=ORIENTED_EDGE('',*,*,#190306,.T.); #251971=ORIENTED_EDGE('',*,*,#190309,.T.); #251972=ORIENTED_EDGE('',*,*,#190312,.T.); #251973=ORIENTED_EDGE('',*,*,#190315,.T.); #251974=ORIENTED_EDGE('',*,*,#190318,.T.); #251975=ORIENTED_EDGE('',*,*,#190321,.T.); #251976=ORIENTED_EDGE('',*,*,#190324,.T.); #251977=ORIENTED_EDGE('',*,*,#190327,.T.); #251978=ORIENTED_EDGE('',*,*,#190330,.T.); #251979=ORIENTED_EDGE('',*,*,#190333,.T.); #251980=ORIENTED_EDGE('',*,*,#190336,.T.); #251981=ORIENTED_EDGE('',*,*,#190339,.T.); #251982=ORIENTED_EDGE('',*,*,#190342,.T.); #251983=ORIENTED_EDGE('',*,*,#190345,.T.); #251984=ORIENTED_EDGE('',*,*,#190495,.F.); #251985=ORIENTED_EDGE('',*,*,#190496,.T.); #251986=ORIENTED_EDGE('',*,*,#190497,.F.); #251987=ORIENTED_EDGE('',*,*,#190496,.F.); #251988=ORIENTED_EDGE('',*,*,#190498,.T.); #251989=ORIENTED_EDGE('',*,*,#190499,.T.); #251990=ORIENTED_EDGE('',*,*,#190500,.F.); #251991=ORIENTED_EDGE('',*,*,#190501,.F.); #251992=ORIENTED_EDGE('',*,*,#190502,.T.); #251993=ORIENTED_EDGE('',*,*,#190501,.T.); #251994=ORIENTED_EDGE('',*,*,#190503,.F.); #251995=ORIENTED_EDGE('',*,*,#190504,.F.); #251996=ORIENTED_EDGE('',*,*,#190505,.T.); #251997=ORIENTED_EDGE('',*,*,#190504,.T.); #251998=ORIENTED_EDGE('',*,*,#190506,.F.); #251999=ORIENTED_EDGE('',*,*,#190507,.F.); #252000=ORIENTED_EDGE('',*,*,#190508,.T.); #252001=ORIENTED_EDGE('',*,*,#190507,.T.); #252002=ORIENTED_EDGE('',*,*,#190509,.F.); #252003=ORIENTED_EDGE('',*,*,#190510,.F.); #252004=ORIENTED_EDGE('',*,*,#190511,.T.); #252005=ORIENTED_EDGE('',*,*,#190510,.T.); #252006=ORIENTED_EDGE('',*,*,#190512,.F.); #252007=ORIENTED_EDGE('',*,*,#190513,.F.); #252008=ORIENTED_EDGE('',*,*,#190514,.T.); #252009=ORIENTED_EDGE('',*,*,#190513,.T.); #252010=ORIENTED_EDGE('',*,*,#190515,.F.); #252011=ORIENTED_EDGE('',*,*,#190516,.F.); #252012=ORIENTED_EDGE('',*,*,#190517,.T.); #252013=ORIENTED_EDGE('',*,*,#190516,.T.); #252014=ORIENTED_EDGE('',*,*,#190518,.F.); #252015=ORIENTED_EDGE('',*,*,#190519,.F.); #252016=ORIENTED_EDGE('',*,*,#190520,.T.); #252017=ORIENTED_EDGE('',*,*,#190519,.T.); #252018=ORIENTED_EDGE('',*,*,#190521,.F.); #252019=ORIENTED_EDGE('',*,*,#190522,.F.); #252020=ORIENTED_EDGE('',*,*,#190523,.T.); #252021=ORIENTED_EDGE('',*,*,#190522,.T.); #252022=ORIENTED_EDGE('',*,*,#190524,.F.); #252023=ORIENTED_EDGE('',*,*,#190525,.F.); #252024=ORIENTED_EDGE('',*,*,#190526,.T.); #252025=ORIENTED_EDGE('',*,*,#190525,.T.); #252026=ORIENTED_EDGE('',*,*,#190527,.F.); #252027=ORIENTED_EDGE('',*,*,#190528,.F.); #252028=ORIENTED_EDGE('',*,*,#190529,.T.); #252029=ORIENTED_EDGE('',*,*,#190528,.T.); #252030=ORIENTED_EDGE('',*,*,#190530,.F.); #252031=ORIENTED_EDGE('',*,*,#190531,.F.); #252032=ORIENTED_EDGE('',*,*,#190532,.T.); #252033=ORIENTED_EDGE('',*,*,#190531,.T.); #252034=ORIENTED_EDGE('',*,*,#190533,.F.); #252035=ORIENTED_EDGE('',*,*,#190534,.F.); #252036=ORIENTED_EDGE('',*,*,#190535,.T.); #252037=ORIENTED_EDGE('',*,*,#190534,.T.); #252038=ORIENTED_EDGE('',*,*,#190536,.F.); #252039=ORIENTED_EDGE('',*,*,#190537,.F.); #252040=ORIENTED_EDGE('',*,*,#190538,.T.); #252041=ORIENTED_EDGE('',*,*,#190537,.T.); #252042=ORIENTED_EDGE('',*,*,#190539,.F.); #252043=ORIENTED_EDGE('',*,*,#190540,.F.); #252044=ORIENTED_EDGE('',*,*,#190541,.T.); #252045=ORIENTED_EDGE('',*,*,#190540,.T.); #252046=ORIENTED_EDGE('',*,*,#190542,.F.); #252047=ORIENTED_EDGE('',*,*,#190543,.F.); #252048=ORIENTED_EDGE('',*,*,#190544,.T.); #252049=ORIENTED_EDGE('',*,*,#190543,.T.); #252050=ORIENTED_EDGE('',*,*,#190545,.F.); #252051=ORIENTED_EDGE('',*,*,#190546,.F.); #252052=ORIENTED_EDGE('',*,*,#190547,.T.); #252053=ORIENTED_EDGE('',*,*,#190546,.T.); #252054=ORIENTED_EDGE('',*,*,#190548,.F.); #252055=ORIENTED_EDGE('',*,*,#190549,.F.); #252056=ORIENTED_EDGE('',*,*,#190550,.T.); #252057=ORIENTED_EDGE('',*,*,#190549,.T.); #252058=ORIENTED_EDGE('',*,*,#190551,.F.); #252059=ORIENTED_EDGE('',*,*,#190552,.F.); #252060=ORIENTED_EDGE('',*,*,#190553,.T.); #252061=ORIENTED_EDGE('',*,*,#190552,.T.); #252062=ORIENTED_EDGE('',*,*,#190554,.F.); #252063=ORIENTED_EDGE('',*,*,#190555,.F.); #252064=ORIENTED_EDGE('',*,*,#190556,.T.); #252065=ORIENTED_EDGE('',*,*,#190555,.T.); #252066=ORIENTED_EDGE('',*,*,#190557,.F.); #252067=ORIENTED_EDGE('',*,*,#190558,.F.); #252068=ORIENTED_EDGE('',*,*,#190559,.T.); #252069=ORIENTED_EDGE('',*,*,#190558,.T.); #252070=ORIENTED_EDGE('',*,*,#190560,.F.); #252071=ORIENTED_EDGE('',*,*,#190561,.F.); #252072=ORIENTED_EDGE('',*,*,#190562,.T.); #252073=ORIENTED_EDGE('',*,*,#190561,.T.); #252074=ORIENTED_EDGE('',*,*,#190563,.F.); #252075=ORIENTED_EDGE('',*,*,#190564,.F.); #252076=ORIENTED_EDGE('',*,*,#190565,.T.); #252077=ORIENTED_EDGE('',*,*,#190564,.T.); #252078=ORIENTED_EDGE('',*,*,#190566,.F.); #252079=ORIENTED_EDGE('',*,*,#190567,.F.); #252080=ORIENTED_EDGE('',*,*,#190568,.T.); #252081=ORIENTED_EDGE('',*,*,#190567,.T.); #252082=ORIENTED_EDGE('',*,*,#190569,.F.); #252083=ORIENTED_EDGE('',*,*,#190570,.F.); #252084=ORIENTED_EDGE('',*,*,#190571,.T.); #252085=ORIENTED_EDGE('',*,*,#190570,.T.); #252086=ORIENTED_EDGE('',*,*,#190572,.F.); #252087=ORIENTED_EDGE('',*,*,#190573,.F.); #252088=ORIENTED_EDGE('',*,*,#190574,.T.); #252089=ORIENTED_EDGE('',*,*,#190573,.T.); #252090=ORIENTED_EDGE('',*,*,#190575,.F.); #252091=ORIENTED_EDGE('',*,*,#190576,.F.); #252092=ORIENTED_EDGE('',*,*,#190577,.T.); #252093=ORIENTED_EDGE('',*,*,#190576,.T.); #252094=ORIENTED_EDGE('',*,*,#190578,.F.); #252095=ORIENTED_EDGE('',*,*,#190579,.F.); #252096=ORIENTED_EDGE('',*,*,#190580,.T.); #252097=ORIENTED_EDGE('',*,*,#190579,.T.); #252098=ORIENTED_EDGE('',*,*,#190581,.F.); #252099=ORIENTED_EDGE('',*,*,#190582,.F.); #252100=ORIENTED_EDGE('',*,*,#190583,.T.); #252101=ORIENTED_EDGE('',*,*,#190582,.T.); #252102=ORIENTED_EDGE('',*,*,#190584,.F.); #252103=ORIENTED_EDGE('',*,*,#190585,.F.); #252104=ORIENTED_EDGE('',*,*,#190586,.T.); #252105=ORIENTED_EDGE('',*,*,#190585,.T.); #252106=ORIENTED_EDGE('',*,*,#190587,.F.); #252107=ORIENTED_EDGE('',*,*,#190588,.F.); #252108=ORIENTED_EDGE('',*,*,#190589,.T.); #252109=ORIENTED_EDGE('',*,*,#190588,.T.); #252110=ORIENTED_EDGE('',*,*,#190590,.F.); #252111=ORIENTED_EDGE('',*,*,#190591,.F.); #252112=ORIENTED_EDGE('',*,*,#190592,.T.); #252113=ORIENTED_EDGE('',*,*,#190591,.T.); #252114=ORIENTED_EDGE('',*,*,#190593,.F.); #252115=ORIENTED_EDGE('',*,*,#190594,.F.); #252116=ORIENTED_EDGE('',*,*,#190595,.T.); #252117=ORIENTED_EDGE('',*,*,#190594,.T.); #252118=ORIENTED_EDGE('',*,*,#190596,.F.); #252119=ORIENTED_EDGE('',*,*,#190597,.F.); #252120=ORIENTED_EDGE('',*,*,#190598,.T.); #252121=ORIENTED_EDGE('',*,*,#190597,.T.); #252122=ORIENTED_EDGE('',*,*,#190599,.F.); #252123=ORIENTED_EDGE('',*,*,#190600,.F.); #252124=ORIENTED_EDGE('',*,*,#190601,.T.); #252125=ORIENTED_EDGE('',*,*,#190600,.T.); #252126=ORIENTED_EDGE('',*,*,#190602,.F.); #252127=ORIENTED_EDGE('',*,*,#190603,.F.); #252128=ORIENTED_EDGE('',*,*,#190604,.T.); #252129=ORIENTED_EDGE('',*,*,#190603,.T.); #252130=ORIENTED_EDGE('',*,*,#190605,.F.); #252131=ORIENTED_EDGE('',*,*,#190606,.F.); #252132=ORIENTED_EDGE('',*,*,#190607,.T.); #252133=ORIENTED_EDGE('',*,*,#190606,.T.); #252134=ORIENTED_EDGE('',*,*,#190608,.F.); #252135=ORIENTED_EDGE('',*,*,#190609,.F.); #252136=ORIENTED_EDGE('',*,*,#190610,.T.); #252137=ORIENTED_EDGE('',*,*,#190609,.T.); #252138=ORIENTED_EDGE('',*,*,#190611,.F.); #252139=ORIENTED_EDGE('',*,*,#190612,.F.); #252140=ORIENTED_EDGE('',*,*,#190613,.T.); #252141=ORIENTED_EDGE('',*,*,#190612,.T.); #252142=ORIENTED_EDGE('',*,*,#190614,.F.); #252143=ORIENTED_EDGE('',*,*,#190615,.F.); #252144=ORIENTED_EDGE('',*,*,#190616,.T.); #252145=ORIENTED_EDGE('',*,*,#190615,.T.); #252146=ORIENTED_EDGE('',*,*,#190617,.F.); #252147=ORIENTED_EDGE('',*,*,#190618,.F.); #252148=ORIENTED_EDGE('',*,*,#190619,.T.); #252149=ORIENTED_EDGE('',*,*,#190618,.T.); #252150=ORIENTED_EDGE('',*,*,#190620,.F.); #252151=ORIENTED_EDGE('',*,*,#190621,.F.); #252152=ORIENTED_EDGE('',*,*,#190622,.T.); #252153=ORIENTED_EDGE('',*,*,#190621,.T.); #252154=ORIENTED_EDGE('',*,*,#190623,.F.); #252155=ORIENTED_EDGE('',*,*,#190499,.F.); #252156=ORIENTED_EDGE('',*,*,#190623,.T.); #252157=ORIENTED_EDGE('',*,*,#190620,.T.); #252158=ORIENTED_EDGE('',*,*,#190617,.T.); #252159=ORIENTED_EDGE('',*,*,#190614,.T.); #252160=ORIENTED_EDGE('',*,*,#190611,.T.); #252161=ORIENTED_EDGE('',*,*,#190608,.T.); #252162=ORIENTED_EDGE('',*,*,#190605,.T.); #252163=ORIENTED_EDGE('',*,*,#190602,.T.); #252164=ORIENTED_EDGE('',*,*,#190599,.T.); #252165=ORIENTED_EDGE('',*,*,#190596,.T.); #252166=ORIENTED_EDGE('',*,*,#190593,.T.); #252167=ORIENTED_EDGE('',*,*,#190590,.T.); #252168=ORIENTED_EDGE('',*,*,#190587,.T.); #252169=ORIENTED_EDGE('',*,*,#190584,.T.); #252170=ORIENTED_EDGE('',*,*,#190581,.T.); #252171=ORIENTED_EDGE('',*,*,#190578,.T.); #252172=ORIENTED_EDGE('',*,*,#190575,.T.); #252173=ORIENTED_EDGE('',*,*,#190572,.T.); #252174=ORIENTED_EDGE('',*,*,#190569,.T.); #252175=ORIENTED_EDGE('',*,*,#190566,.T.); #252176=ORIENTED_EDGE('',*,*,#190563,.T.); #252177=ORIENTED_EDGE('',*,*,#190560,.T.); #252178=ORIENTED_EDGE('',*,*,#190557,.T.); #252179=ORIENTED_EDGE('',*,*,#190554,.T.); #252180=ORIENTED_EDGE('',*,*,#190551,.T.); #252181=ORIENTED_EDGE('',*,*,#190548,.T.); #252182=ORIENTED_EDGE('',*,*,#190545,.T.); #252183=ORIENTED_EDGE('',*,*,#190542,.T.); #252184=ORIENTED_EDGE('',*,*,#190539,.T.); #252185=ORIENTED_EDGE('',*,*,#190536,.T.); #252186=ORIENTED_EDGE('',*,*,#190533,.T.); #252187=ORIENTED_EDGE('',*,*,#190530,.T.); #252188=ORIENTED_EDGE('',*,*,#190527,.T.); #252189=ORIENTED_EDGE('',*,*,#190524,.T.); #252190=ORIENTED_EDGE('',*,*,#190521,.T.); #252191=ORIENTED_EDGE('',*,*,#190518,.T.); #252192=ORIENTED_EDGE('',*,*,#190515,.T.); #252193=ORIENTED_EDGE('',*,*,#190512,.T.); #252194=ORIENTED_EDGE('',*,*,#190509,.T.); #252195=ORIENTED_EDGE('',*,*,#190506,.T.); #252196=ORIENTED_EDGE('',*,*,#190503,.T.); #252197=ORIENTED_EDGE('',*,*,#190500,.T.); #252198=ORIENTED_EDGE('',*,*,#190497,.T.); #252199=ORIENTED_EDGE('',*,*,#190622,.F.); #252200=ORIENTED_EDGE('',*,*,#190498,.F.); #252201=ORIENTED_EDGE('',*,*,#190502,.F.); #252202=ORIENTED_EDGE('',*,*,#190505,.F.); #252203=ORIENTED_EDGE('',*,*,#190508,.F.); #252204=ORIENTED_EDGE('',*,*,#190511,.F.); #252205=ORIENTED_EDGE('',*,*,#190514,.F.); #252206=ORIENTED_EDGE('',*,*,#190517,.F.); #252207=ORIENTED_EDGE('',*,*,#190520,.F.); #252208=ORIENTED_EDGE('',*,*,#190523,.F.); #252209=ORIENTED_EDGE('',*,*,#190526,.F.); #252210=ORIENTED_EDGE('',*,*,#190529,.F.); #252211=ORIENTED_EDGE('',*,*,#190532,.F.); #252212=ORIENTED_EDGE('',*,*,#190535,.F.); #252213=ORIENTED_EDGE('',*,*,#190538,.F.); #252214=ORIENTED_EDGE('',*,*,#190541,.F.); #252215=ORIENTED_EDGE('',*,*,#190544,.F.); #252216=ORIENTED_EDGE('',*,*,#190547,.F.); #252217=ORIENTED_EDGE('',*,*,#190550,.F.); #252218=ORIENTED_EDGE('',*,*,#190553,.F.); #252219=ORIENTED_EDGE('',*,*,#190556,.F.); #252220=ORIENTED_EDGE('',*,*,#190559,.F.); #252221=ORIENTED_EDGE('',*,*,#190562,.F.); #252222=ORIENTED_EDGE('',*,*,#190565,.F.); #252223=ORIENTED_EDGE('',*,*,#190568,.F.); #252224=ORIENTED_EDGE('',*,*,#190571,.F.); #252225=ORIENTED_EDGE('',*,*,#190574,.F.); #252226=ORIENTED_EDGE('',*,*,#190577,.F.); #252227=ORIENTED_EDGE('',*,*,#190580,.F.); #252228=ORIENTED_EDGE('',*,*,#190583,.F.); #252229=ORIENTED_EDGE('',*,*,#190586,.F.); #252230=ORIENTED_EDGE('',*,*,#190589,.F.); #252231=ORIENTED_EDGE('',*,*,#190592,.F.); #252232=ORIENTED_EDGE('',*,*,#190595,.F.); #252233=ORIENTED_EDGE('',*,*,#190598,.F.); #252234=ORIENTED_EDGE('',*,*,#190601,.F.); #252235=ORIENTED_EDGE('',*,*,#190604,.F.); #252236=ORIENTED_EDGE('',*,*,#190607,.F.); #252237=ORIENTED_EDGE('',*,*,#190610,.F.); #252238=ORIENTED_EDGE('',*,*,#190613,.F.); #252239=ORIENTED_EDGE('',*,*,#190616,.F.); #252240=ORIENTED_EDGE('',*,*,#190619,.F.); #252241=ORIENTED_EDGE('',*,*,#190495,.T.); #252242=ORIENTED_EDGE('',*,*,#190624,.F.); #252243=ORIENTED_EDGE('',*,*,#190625,.T.); #252244=ORIENTED_EDGE('',*,*,#190626,.F.); #252245=ORIENTED_EDGE('',*,*,#190625,.F.); #252246=ORIENTED_EDGE('',*,*,#190627,.F.); #252247=ORIENTED_EDGE('',*,*,#190628,.T.); #252248=ORIENTED_EDGE('',*,*,#190629,.F.); #252249=ORIENTED_EDGE('',*,*,#190628,.F.); #252250=ORIENTED_EDGE('',*,*,#190630,.F.); #252251=ORIENTED_EDGE('',*,*,#190631,.T.); #252252=ORIENTED_EDGE('',*,*,#190632,.F.); #252253=ORIENTED_EDGE('',*,*,#190631,.F.); #252254=ORIENTED_EDGE('',*,*,#190633,.F.); #252255=ORIENTED_EDGE('',*,*,#190634,.T.); #252256=ORIENTED_EDGE('',*,*,#190635,.F.); #252257=ORIENTED_EDGE('',*,*,#190634,.F.); #252258=ORIENTED_EDGE('',*,*,#190636,.F.); #252259=ORIENTED_EDGE('',*,*,#190637,.T.); #252260=ORIENTED_EDGE('',*,*,#190638,.F.); #252261=ORIENTED_EDGE('',*,*,#190637,.F.); #252262=ORIENTED_EDGE('',*,*,#190639,.F.); #252263=ORIENTED_EDGE('',*,*,#190640,.T.); #252264=ORIENTED_EDGE('',*,*,#190641,.F.); #252265=ORIENTED_EDGE('',*,*,#190640,.F.); #252266=ORIENTED_EDGE('',*,*,#190642,.F.); #252267=ORIENTED_EDGE('',*,*,#190643,.T.); #252268=ORIENTED_EDGE('',*,*,#190644,.F.); #252269=ORIENTED_EDGE('',*,*,#190643,.F.); #252270=ORIENTED_EDGE('',*,*,#190645,.F.); #252271=ORIENTED_EDGE('',*,*,#190646,.T.); #252272=ORIENTED_EDGE('',*,*,#190647,.F.); #252273=ORIENTED_EDGE('',*,*,#190646,.F.); #252274=ORIENTED_EDGE('',*,*,#190648,.F.); #252275=ORIENTED_EDGE('',*,*,#190649,.T.); #252276=ORIENTED_EDGE('',*,*,#190650,.F.); #252277=ORIENTED_EDGE('',*,*,#190649,.F.); #252278=ORIENTED_EDGE('',*,*,#190651,.F.); #252279=ORIENTED_EDGE('',*,*,#190652,.T.); #252280=ORIENTED_EDGE('',*,*,#190653,.F.); #252281=ORIENTED_EDGE('',*,*,#190652,.F.); #252282=ORIENTED_EDGE('',*,*,#190654,.F.); #252283=ORIENTED_EDGE('',*,*,#190655,.T.); #252284=ORIENTED_EDGE('',*,*,#190656,.F.); #252285=ORIENTED_EDGE('',*,*,#190655,.F.); #252286=ORIENTED_EDGE('',*,*,#190657,.F.); #252287=ORIENTED_EDGE('',*,*,#190658,.T.); #252288=ORIENTED_EDGE('',*,*,#190659,.F.); #252289=ORIENTED_EDGE('',*,*,#190658,.F.); #252290=ORIENTED_EDGE('',*,*,#190660,.F.); #252291=ORIENTED_EDGE('',*,*,#190661,.T.); #252292=ORIENTED_EDGE('',*,*,#190662,.F.); #252293=ORIENTED_EDGE('',*,*,#190661,.F.); #252294=ORIENTED_EDGE('',*,*,#190663,.F.); #252295=ORIENTED_EDGE('',*,*,#190664,.T.); #252296=ORIENTED_EDGE('',*,*,#190665,.F.); #252297=ORIENTED_EDGE('',*,*,#190664,.F.); #252298=ORIENTED_EDGE('',*,*,#190666,.F.); #252299=ORIENTED_EDGE('',*,*,#190667,.T.); #252300=ORIENTED_EDGE('',*,*,#190668,.F.); #252301=ORIENTED_EDGE('',*,*,#190667,.F.); #252302=ORIENTED_EDGE('',*,*,#190669,.F.); #252303=ORIENTED_EDGE('',*,*,#190670,.T.); #252304=ORIENTED_EDGE('',*,*,#190671,.F.); #252305=ORIENTED_EDGE('',*,*,#190670,.F.); #252306=ORIENTED_EDGE('',*,*,#190672,.F.); #252307=ORIENTED_EDGE('',*,*,#190673,.T.); #252308=ORIENTED_EDGE('',*,*,#190674,.F.); #252309=ORIENTED_EDGE('',*,*,#190673,.F.); #252310=ORIENTED_EDGE('',*,*,#190675,.F.); #252311=ORIENTED_EDGE('',*,*,#190676,.T.); #252312=ORIENTED_EDGE('',*,*,#190677,.F.); #252313=ORIENTED_EDGE('',*,*,#190676,.F.); #252314=ORIENTED_EDGE('',*,*,#190678,.F.); #252315=ORIENTED_EDGE('',*,*,#190679,.T.); #252316=ORIENTED_EDGE('',*,*,#190680,.F.); #252317=ORIENTED_EDGE('',*,*,#190679,.F.); #252318=ORIENTED_EDGE('',*,*,#190681,.F.); #252319=ORIENTED_EDGE('',*,*,#190682,.T.); #252320=ORIENTED_EDGE('',*,*,#190683,.F.); #252321=ORIENTED_EDGE('',*,*,#190682,.F.); #252322=ORIENTED_EDGE('',*,*,#190684,.F.); #252323=ORIENTED_EDGE('',*,*,#190685,.T.); #252324=ORIENTED_EDGE('',*,*,#190686,.F.); #252325=ORIENTED_EDGE('',*,*,#190685,.F.); #252326=ORIENTED_EDGE('',*,*,#190687,.F.); #252327=ORIENTED_EDGE('',*,*,#190688,.T.); #252328=ORIENTED_EDGE('',*,*,#190689,.F.); #252329=ORIENTED_EDGE('',*,*,#190688,.F.); #252330=ORIENTED_EDGE('',*,*,#190690,.F.); #252331=ORIENTED_EDGE('',*,*,#190691,.T.); #252332=ORIENTED_EDGE('',*,*,#190692,.F.); #252333=ORIENTED_EDGE('',*,*,#190691,.F.); #252334=ORIENTED_EDGE('',*,*,#190693,.F.); #252335=ORIENTED_EDGE('',*,*,#190694,.T.); #252336=ORIENTED_EDGE('',*,*,#190695,.F.); #252337=ORIENTED_EDGE('',*,*,#190694,.F.); #252338=ORIENTED_EDGE('',*,*,#190696,.F.); #252339=ORIENTED_EDGE('',*,*,#190697,.T.); #252340=ORIENTED_EDGE('',*,*,#190698,.F.); #252341=ORIENTED_EDGE('',*,*,#190697,.F.); #252342=ORIENTED_EDGE('',*,*,#190699,.F.); #252343=ORIENTED_EDGE('',*,*,#190700,.T.); #252344=ORIENTED_EDGE('',*,*,#190701,.F.); #252345=ORIENTED_EDGE('',*,*,#190700,.F.); #252346=ORIENTED_EDGE('',*,*,#190702,.F.); #252347=ORIENTED_EDGE('',*,*,#190703,.T.); #252348=ORIENTED_EDGE('',*,*,#190704,.F.); #252349=ORIENTED_EDGE('',*,*,#190703,.F.); #252350=ORIENTED_EDGE('',*,*,#190705,.F.); #252351=ORIENTED_EDGE('',*,*,#190706,.T.); #252352=ORIENTED_EDGE('',*,*,#190707,.F.); #252353=ORIENTED_EDGE('',*,*,#190706,.F.); #252354=ORIENTED_EDGE('',*,*,#190708,.F.); #252355=ORIENTED_EDGE('',*,*,#190709,.T.); #252356=ORIENTED_EDGE('',*,*,#190710,.F.); #252357=ORIENTED_EDGE('',*,*,#190709,.F.); #252358=ORIENTED_EDGE('',*,*,#190711,.F.); #252359=ORIENTED_EDGE('',*,*,#190712,.T.); #252360=ORIENTED_EDGE('',*,*,#190713,.F.); #252361=ORIENTED_EDGE('',*,*,#190712,.F.); #252362=ORIENTED_EDGE('',*,*,#190714,.F.); #252363=ORIENTED_EDGE('',*,*,#190715,.T.); #252364=ORIENTED_EDGE('',*,*,#190716,.F.); #252365=ORIENTED_EDGE('',*,*,#190715,.F.); #252366=ORIENTED_EDGE('',*,*,#190717,.T.); #252367=ORIENTED_EDGE('',*,*,#190718,.T.); #252368=ORIENTED_EDGE('',*,*,#190719,.F.); #252369=ORIENTED_EDGE('',*,*,#190720,.F.); #252370=ORIENTED_EDGE('',*,*,#190721,.T.); #252371=ORIENTED_EDGE('',*,*,#190720,.T.); #252372=ORIENTED_EDGE('',*,*,#190722,.F.); #252373=ORIENTED_EDGE('',*,*,#190723,.F.); #252374=ORIENTED_EDGE('',*,*,#190724,.T.); #252375=ORIENTED_EDGE('',*,*,#190723,.T.); #252376=ORIENTED_EDGE('',*,*,#190725,.F.); #252377=ORIENTED_EDGE('',*,*,#190726,.F.); #252378=ORIENTED_EDGE('',*,*,#190727,.T.); #252379=ORIENTED_EDGE('',*,*,#190726,.T.); #252380=ORIENTED_EDGE('',*,*,#190728,.F.); #252381=ORIENTED_EDGE('',*,*,#190729,.F.); #252382=ORIENTED_EDGE('',*,*,#190730,.T.); #252383=ORIENTED_EDGE('',*,*,#190729,.T.); #252384=ORIENTED_EDGE('',*,*,#190731,.F.); #252385=ORIENTED_EDGE('',*,*,#190732,.F.); #252386=ORIENTED_EDGE('',*,*,#190733,.T.); #252387=ORIENTED_EDGE('',*,*,#190732,.T.); #252388=ORIENTED_EDGE('',*,*,#190734,.F.); #252389=ORIENTED_EDGE('',*,*,#190735,.F.); #252390=ORIENTED_EDGE('',*,*,#190736,.T.); #252391=ORIENTED_EDGE('',*,*,#190735,.T.); #252392=ORIENTED_EDGE('',*,*,#190737,.F.); #252393=ORIENTED_EDGE('',*,*,#190738,.F.); #252394=ORIENTED_EDGE('',*,*,#190739,.T.); #252395=ORIENTED_EDGE('',*,*,#190738,.T.); #252396=ORIENTED_EDGE('',*,*,#190740,.F.); #252397=ORIENTED_EDGE('',*,*,#190741,.F.); #252398=ORIENTED_EDGE('',*,*,#190742,.T.); #252399=ORIENTED_EDGE('',*,*,#190741,.T.); #252400=ORIENTED_EDGE('',*,*,#190743,.F.); #252401=ORIENTED_EDGE('',*,*,#190744,.F.); #252402=ORIENTED_EDGE('',*,*,#190745,.T.); #252403=ORIENTED_EDGE('',*,*,#190744,.T.); #252404=ORIENTED_EDGE('',*,*,#190746,.F.); #252405=ORIENTED_EDGE('',*,*,#190747,.F.); #252406=ORIENTED_EDGE('',*,*,#190748,.T.); #252407=ORIENTED_EDGE('',*,*,#190747,.T.); #252408=ORIENTED_EDGE('',*,*,#190749,.F.); #252409=ORIENTED_EDGE('',*,*,#190750,.F.); #252410=ORIENTED_EDGE('',*,*,#190751,.T.); #252411=ORIENTED_EDGE('',*,*,#190750,.T.); #252412=ORIENTED_EDGE('',*,*,#190752,.F.); #252413=ORIENTED_EDGE('',*,*,#190753,.F.); #252414=ORIENTED_EDGE('',*,*,#190754,.T.); #252415=ORIENTED_EDGE('',*,*,#190753,.T.); #252416=ORIENTED_EDGE('',*,*,#190755,.F.); #252417=ORIENTED_EDGE('',*,*,#190756,.F.); #252418=ORIENTED_EDGE('',*,*,#190757,.T.); #252419=ORIENTED_EDGE('',*,*,#190756,.T.); #252420=ORIENTED_EDGE('',*,*,#190758,.F.); #252421=ORIENTED_EDGE('',*,*,#190759,.F.); #252422=ORIENTED_EDGE('',*,*,#190760,.T.); #252423=ORIENTED_EDGE('',*,*,#190759,.T.); #252424=ORIENTED_EDGE('',*,*,#190761,.F.); #252425=ORIENTED_EDGE('',*,*,#190762,.F.); #252426=ORIENTED_EDGE('',*,*,#190763,.T.); #252427=ORIENTED_EDGE('',*,*,#190762,.T.); #252428=ORIENTED_EDGE('',*,*,#190764,.F.); #252429=ORIENTED_EDGE('',*,*,#190765,.F.); #252430=ORIENTED_EDGE('',*,*,#190766,.T.); #252431=ORIENTED_EDGE('',*,*,#190765,.T.); #252432=ORIENTED_EDGE('',*,*,#190767,.F.); #252433=ORIENTED_EDGE('',*,*,#190768,.F.); #252434=ORIENTED_EDGE('',*,*,#190769,.T.); #252435=ORIENTED_EDGE('',*,*,#190768,.T.); #252436=ORIENTED_EDGE('',*,*,#190770,.F.); #252437=ORIENTED_EDGE('',*,*,#190771,.F.); #252438=ORIENTED_EDGE('',*,*,#190772,.T.); #252439=ORIENTED_EDGE('',*,*,#190771,.T.); #252440=ORIENTED_EDGE('',*,*,#190773,.F.); #252441=ORIENTED_EDGE('',*,*,#190774,.F.); #252442=ORIENTED_EDGE('',*,*,#190775,.T.); #252443=ORIENTED_EDGE('',*,*,#190774,.T.); #252444=ORIENTED_EDGE('',*,*,#190776,.F.); #252445=ORIENTED_EDGE('',*,*,#190777,.F.); #252446=ORIENTED_EDGE('',*,*,#190778,.T.); #252447=ORIENTED_EDGE('',*,*,#190777,.T.); #252448=ORIENTED_EDGE('',*,*,#190779,.F.); #252449=ORIENTED_EDGE('',*,*,#190780,.F.); #252450=ORIENTED_EDGE('',*,*,#190781,.T.); #252451=ORIENTED_EDGE('',*,*,#190780,.T.); #252452=ORIENTED_EDGE('',*,*,#190782,.F.); #252453=ORIENTED_EDGE('',*,*,#190783,.F.); #252454=ORIENTED_EDGE('',*,*,#190784,.T.); #252455=ORIENTED_EDGE('',*,*,#190783,.T.); #252456=ORIENTED_EDGE('',*,*,#190785,.F.); #252457=ORIENTED_EDGE('',*,*,#190786,.F.); #252458=ORIENTED_EDGE('',*,*,#190787,.T.); #252459=ORIENTED_EDGE('',*,*,#190786,.T.); #252460=ORIENTED_EDGE('',*,*,#190788,.F.); #252461=ORIENTED_EDGE('',*,*,#190789,.F.); #252462=ORIENTED_EDGE('',*,*,#190790,.T.); #252463=ORIENTED_EDGE('',*,*,#190789,.T.); #252464=ORIENTED_EDGE('',*,*,#190791,.F.); #252465=ORIENTED_EDGE('',*,*,#190792,.F.); #252466=ORIENTED_EDGE('',*,*,#190793,.T.); #252467=ORIENTED_EDGE('',*,*,#190792,.T.); #252468=ORIENTED_EDGE('',*,*,#190794,.F.); #252469=ORIENTED_EDGE('',*,*,#190795,.F.); #252470=ORIENTED_EDGE('',*,*,#190796,.T.); #252471=ORIENTED_EDGE('',*,*,#190795,.T.); #252472=ORIENTED_EDGE('',*,*,#190797,.F.); #252473=ORIENTED_EDGE('',*,*,#190798,.F.); #252474=ORIENTED_EDGE('',*,*,#190799,.T.); #252475=ORIENTED_EDGE('',*,*,#190798,.T.); #252476=ORIENTED_EDGE('',*,*,#190800,.F.); #252477=ORIENTED_EDGE('',*,*,#190801,.F.); #252478=ORIENTED_EDGE('',*,*,#190802,.T.); #252479=ORIENTED_EDGE('',*,*,#190801,.T.); #252480=ORIENTED_EDGE('',*,*,#190803,.F.); #252481=ORIENTED_EDGE('',*,*,#190804,.F.); #252482=ORIENTED_EDGE('',*,*,#190805,.T.); #252483=ORIENTED_EDGE('',*,*,#190804,.T.); #252484=ORIENTED_EDGE('',*,*,#190806,.F.); #252485=ORIENTED_EDGE('',*,*,#190807,.F.); #252486=ORIENTED_EDGE('',*,*,#190808,.T.); #252487=ORIENTED_EDGE('',*,*,#190807,.T.); #252488=ORIENTED_EDGE('',*,*,#190809,.F.); #252489=ORIENTED_EDGE('',*,*,#190810,.F.); #252490=ORIENTED_EDGE('',*,*,#190811,.T.); #252491=ORIENTED_EDGE('',*,*,#190810,.T.); #252492=ORIENTED_EDGE('',*,*,#190812,.F.); #252493=ORIENTED_EDGE('',*,*,#190813,.F.); #252494=ORIENTED_EDGE('',*,*,#190814,.T.); #252495=ORIENTED_EDGE('',*,*,#190813,.T.); #252496=ORIENTED_EDGE('',*,*,#190815,.F.); #252497=ORIENTED_EDGE('',*,*,#190816,.F.); #252498=ORIENTED_EDGE('',*,*,#190817,.T.); #252499=ORIENTED_EDGE('',*,*,#190816,.T.); #252500=ORIENTED_EDGE('',*,*,#190818,.F.); #252501=ORIENTED_EDGE('',*,*,#190819,.F.); #252502=ORIENTED_EDGE('',*,*,#190820,.T.); #252503=ORIENTED_EDGE('',*,*,#190819,.T.); #252504=ORIENTED_EDGE('',*,*,#190821,.F.); #252505=ORIENTED_EDGE('',*,*,#190822,.F.); #252506=ORIENTED_EDGE('',*,*,#190823,.T.); #252507=ORIENTED_EDGE('',*,*,#190822,.T.); #252508=ORIENTED_EDGE('',*,*,#190824,.F.); #252509=ORIENTED_EDGE('',*,*,#190825,.F.); #252510=ORIENTED_EDGE('',*,*,#190826,.T.); #252511=ORIENTED_EDGE('',*,*,#190825,.T.); #252512=ORIENTED_EDGE('',*,*,#190827,.F.); #252513=ORIENTED_EDGE('',*,*,#190828,.F.); #252514=ORIENTED_EDGE('',*,*,#190829,.T.); #252515=ORIENTED_EDGE('',*,*,#190828,.T.); #252516=ORIENTED_EDGE('',*,*,#190830,.F.); #252517=ORIENTED_EDGE('',*,*,#190831,.F.); #252518=ORIENTED_EDGE('',*,*,#190832,.T.); #252519=ORIENTED_EDGE('',*,*,#190831,.T.); #252520=ORIENTED_EDGE('',*,*,#190833,.F.); #252521=ORIENTED_EDGE('',*,*,#190834,.F.); #252522=ORIENTED_EDGE('',*,*,#190835,.T.); #252523=ORIENTED_EDGE('',*,*,#190834,.T.); #252524=ORIENTED_EDGE('',*,*,#190836,.F.); #252525=ORIENTED_EDGE('',*,*,#190837,.F.); #252526=ORIENTED_EDGE('',*,*,#190838,.T.); #252527=ORIENTED_EDGE('',*,*,#190837,.T.); #252528=ORIENTED_EDGE('',*,*,#190839,.F.); #252529=ORIENTED_EDGE('',*,*,#190840,.F.); #252530=ORIENTED_EDGE('',*,*,#190841,.T.); #252531=ORIENTED_EDGE('',*,*,#190840,.T.); #252532=ORIENTED_EDGE('',*,*,#190842,.F.); #252533=ORIENTED_EDGE('',*,*,#190843,.F.); #252534=ORIENTED_EDGE('',*,*,#190844,.T.); #252535=ORIENTED_EDGE('',*,*,#190843,.T.); #252536=ORIENTED_EDGE('',*,*,#190845,.F.); #252537=ORIENTED_EDGE('',*,*,#190846,.F.); #252538=ORIENTED_EDGE('',*,*,#190847,.T.); #252539=ORIENTED_EDGE('',*,*,#190846,.T.); #252540=ORIENTED_EDGE('',*,*,#190848,.F.); #252541=ORIENTED_EDGE('',*,*,#190849,.F.); #252542=ORIENTED_EDGE('',*,*,#190850,.T.); #252543=ORIENTED_EDGE('',*,*,#190849,.T.); #252544=ORIENTED_EDGE('',*,*,#190851,.F.); #252545=ORIENTED_EDGE('',*,*,#190852,.F.); #252546=ORIENTED_EDGE('',*,*,#190853,.T.); #252547=ORIENTED_EDGE('',*,*,#190852,.T.); #252548=ORIENTED_EDGE('',*,*,#190854,.F.); #252549=ORIENTED_EDGE('',*,*,#190855,.F.); #252550=ORIENTED_EDGE('',*,*,#190856,.T.); #252551=ORIENTED_EDGE('',*,*,#190855,.T.); #252552=ORIENTED_EDGE('',*,*,#190857,.F.); #252553=ORIENTED_EDGE('',*,*,#190858,.F.); #252554=ORIENTED_EDGE('',*,*,#190859,.T.); #252555=ORIENTED_EDGE('',*,*,#190858,.T.); #252556=ORIENTED_EDGE('',*,*,#190860,.F.); #252557=ORIENTED_EDGE('',*,*,#190861,.F.); #252558=ORIENTED_EDGE('',*,*,#190862,.T.); #252559=ORIENTED_EDGE('',*,*,#190861,.T.); #252560=ORIENTED_EDGE('',*,*,#190863,.F.); #252561=ORIENTED_EDGE('',*,*,#190864,.F.); #252562=ORIENTED_EDGE('',*,*,#190865,.T.); #252563=ORIENTED_EDGE('',*,*,#190864,.T.); #252564=ORIENTED_EDGE('',*,*,#190866,.F.); #252565=ORIENTED_EDGE('',*,*,#190867,.F.); #252566=ORIENTED_EDGE('',*,*,#190868,.T.); #252567=ORIENTED_EDGE('',*,*,#190867,.T.); #252568=ORIENTED_EDGE('',*,*,#190869,.F.); #252569=ORIENTED_EDGE('',*,*,#190870,.F.); #252570=ORIENTED_EDGE('',*,*,#190871,.T.); #252571=ORIENTED_EDGE('',*,*,#190870,.T.); #252572=ORIENTED_EDGE('',*,*,#190872,.F.); #252573=ORIENTED_EDGE('',*,*,#190873,.F.); #252574=ORIENTED_EDGE('',*,*,#190874,.T.); #252575=ORIENTED_EDGE('',*,*,#190873,.T.); #252576=ORIENTED_EDGE('',*,*,#190875,.F.); #252577=ORIENTED_EDGE('',*,*,#190876,.F.); #252578=ORIENTED_EDGE('',*,*,#190877,.T.); #252579=ORIENTED_EDGE('',*,*,#190876,.T.); #252580=ORIENTED_EDGE('',*,*,#190878,.F.); #252581=ORIENTED_EDGE('',*,*,#190879,.F.); #252582=ORIENTED_EDGE('',*,*,#190880,.T.); #252583=ORIENTED_EDGE('',*,*,#190879,.T.); #252584=ORIENTED_EDGE('',*,*,#190881,.F.); #252585=ORIENTED_EDGE('',*,*,#190882,.F.); #252586=ORIENTED_EDGE('',*,*,#190883,.T.); #252587=ORIENTED_EDGE('',*,*,#190882,.T.); #252588=ORIENTED_EDGE('',*,*,#190884,.F.); #252589=ORIENTED_EDGE('',*,*,#190885,.F.); #252590=ORIENTED_EDGE('',*,*,#190886,.T.); #252591=ORIENTED_EDGE('',*,*,#190885,.T.); #252592=ORIENTED_EDGE('',*,*,#190887,.F.); #252593=ORIENTED_EDGE('',*,*,#190888,.F.); #252594=ORIENTED_EDGE('',*,*,#190889,.T.); #252595=ORIENTED_EDGE('',*,*,#190888,.T.); #252596=ORIENTED_EDGE('',*,*,#190890,.F.); #252597=ORIENTED_EDGE('',*,*,#190891,.F.); #252598=ORIENTED_EDGE('',*,*,#190892,.T.); #252599=ORIENTED_EDGE('',*,*,#190891,.T.); #252600=ORIENTED_EDGE('',*,*,#190893,.F.); #252601=ORIENTED_EDGE('',*,*,#190894,.F.); #252602=ORIENTED_EDGE('',*,*,#190895,.T.); #252603=ORIENTED_EDGE('',*,*,#190894,.T.); #252604=ORIENTED_EDGE('',*,*,#190896,.F.); #252605=ORIENTED_EDGE('',*,*,#190897,.F.); #252606=ORIENTED_EDGE('',*,*,#190898,.T.); #252607=ORIENTED_EDGE('',*,*,#190897,.T.); #252608=ORIENTED_EDGE('',*,*,#190899,.F.); #252609=ORIENTED_EDGE('',*,*,#190900,.F.); #252610=ORIENTED_EDGE('',*,*,#190901,.T.); #252611=ORIENTED_EDGE('',*,*,#190900,.T.); #252612=ORIENTED_EDGE('',*,*,#190902,.F.); #252613=ORIENTED_EDGE('',*,*,#190903,.F.); #252614=ORIENTED_EDGE('',*,*,#190904,.T.); #252615=ORIENTED_EDGE('',*,*,#190903,.T.); #252616=ORIENTED_EDGE('',*,*,#190905,.F.); #252617=ORIENTED_EDGE('',*,*,#190906,.F.); #252618=ORIENTED_EDGE('',*,*,#190907,.T.); #252619=ORIENTED_EDGE('',*,*,#190906,.T.); #252620=ORIENTED_EDGE('',*,*,#190908,.F.); #252621=ORIENTED_EDGE('',*,*,#190909,.F.); #252622=ORIENTED_EDGE('',*,*,#190910,.T.); #252623=ORIENTED_EDGE('',*,*,#190909,.T.); #252624=ORIENTED_EDGE('',*,*,#190911,.F.); #252625=ORIENTED_EDGE('',*,*,#190912,.F.); #252626=ORIENTED_EDGE('',*,*,#190913,.T.); #252627=ORIENTED_EDGE('',*,*,#190912,.T.); #252628=ORIENTED_EDGE('',*,*,#190914,.F.); #252629=ORIENTED_EDGE('',*,*,#190915,.F.); #252630=ORIENTED_EDGE('',*,*,#190916,.T.); #252631=ORIENTED_EDGE('',*,*,#190915,.T.); #252632=ORIENTED_EDGE('',*,*,#190917,.F.); #252633=ORIENTED_EDGE('',*,*,#190918,.F.); #252634=ORIENTED_EDGE('',*,*,#190919,.T.); #252635=ORIENTED_EDGE('',*,*,#190918,.T.); #252636=ORIENTED_EDGE('',*,*,#190920,.F.); #252637=ORIENTED_EDGE('',*,*,#190921,.F.); #252638=ORIENTED_EDGE('',*,*,#190922,.T.); #252639=ORIENTED_EDGE('',*,*,#190921,.T.); #252640=ORIENTED_EDGE('',*,*,#190923,.F.); #252641=ORIENTED_EDGE('',*,*,#190924,.F.); #252642=ORIENTED_EDGE('',*,*,#190925,.T.); #252643=ORIENTED_EDGE('',*,*,#190924,.T.); #252644=ORIENTED_EDGE('',*,*,#190926,.F.); #252645=ORIENTED_EDGE('',*,*,#190927,.F.); #252646=ORIENTED_EDGE('',*,*,#190928,.T.); #252647=ORIENTED_EDGE('',*,*,#190927,.T.); #252648=ORIENTED_EDGE('',*,*,#190929,.F.); #252649=ORIENTED_EDGE('',*,*,#190930,.F.); #252650=ORIENTED_EDGE('',*,*,#190931,.T.); #252651=ORIENTED_EDGE('',*,*,#190930,.T.); #252652=ORIENTED_EDGE('',*,*,#190932,.F.); #252653=ORIENTED_EDGE('',*,*,#190933,.F.); #252654=ORIENTED_EDGE('',*,*,#190934,.T.); #252655=ORIENTED_EDGE('',*,*,#190933,.T.); #252656=ORIENTED_EDGE('',*,*,#190935,.F.); #252657=ORIENTED_EDGE('',*,*,#190936,.F.); #252658=ORIENTED_EDGE('',*,*,#190937,.T.); #252659=ORIENTED_EDGE('',*,*,#190936,.T.); #252660=ORIENTED_EDGE('',*,*,#190938,.F.); #252661=ORIENTED_EDGE('',*,*,#190939,.F.); #252662=ORIENTED_EDGE('',*,*,#190940,.T.); #252663=ORIENTED_EDGE('',*,*,#190939,.T.); #252664=ORIENTED_EDGE('',*,*,#190941,.F.); #252665=ORIENTED_EDGE('',*,*,#190942,.F.); #252666=ORIENTED_EDGE('',*,*,#190943,.T.); #252667=ORIENTED_EDGE('',*,*,#190942,.T.); #252668=ORIENTED_EDGE('',*,*,#190944,.F.); #252669=ORIENTED_EDGE('',*,*,#190945,.F.); #252670=ORIENTED_EDGE('',*,*,#190946,.T.); #252671=ORIENTED_EDGE('',*,*,#190945,.T.); #252672=ORIENTED_EDGE('',*,*,#190947,.F.); #252673=ORIENTED_EDGE('',*,*,#190948,.F.); #252674=ORIENTED_EDGE('',*,*,#190949,.T.); #252675=ORIENTED_EDGE('',*,*,#190948,.T.); #252676=ORIENTED_EDGE('',*,*,#190950,.F.); #252677=ORIENTED_EDGE('',*,*,#190951,.F.); #252678=ORIENTED_EDGE('',*,*,#190952,.T.); #252679=ORIENTED_EDGE('',*,*,#190951,.T.); #252680=ORIENTED_EDGE('',*,*,#190953,.F.); #252681=ORIENTED_EDGE('',*,*,#190954,.F.); #252682=ORIENTED_EDGE('',*,*,#190955,.T.); #252683=ORIENTED_EDGE('',*,*,#190954,.T.); #252684=ORIENTED_EDGE('',*,*,#190956,.F.); #252685=ORIENTED_EDGE('',*,*,#190957,.F.); #252686=ORIENTED_EDGE('',*,*,#190958,.T.); #252687=ORIENTED_EDGE('',*,*,#190957,.T.); #252688=ORIENTED_EDGE('',*,*,#190959,.F.); #252689=ORIENTED_EDGE('',*,*,#190960,.F.); #252690=ORIENTED_EDGE('',*,*,#190961,.T.); #252691=ORIENTED_EDGE('',*,*,#190960,.T.); #252692=ORIENTED_EDGE('',*,*,#190962,.F.); #252693=ORIENTED_EDGE('',*,*,#190963,.F.); #252694=ORIENTED_EDGE('',*,*,#190964,.T.); #252695=ORIENTED_EDGE('',*,*,#190963,.T.); #252696=ORIENTED_EDGE('',*,*,#190965,.F.); #252697=ORIENTED_EDGE('',*,*,#190966,.F.); #252698=ORIENTED_EDGE('',*,*,#190967,.T.); #252699=ORIENTED_EDGE('',*,*,#190966,.T.); #252700=ORIENTED_EDGE('',*,*,#190968,.F.); #252701=ORIENTED_EDGE('',*,*,#190969,.F.); #252702=ORIENTED_EDGE('',*,*,#190970,.T.); #252703=ORIENTED_EDGE('',*,*,#190969,.T.); #252704=ORIENTED_EDGE('',*,*,#190971,.F.); #252705=ORIENTED_EDGE('',*,*,#190718,.F.); #252706=ORIENTED_EDGE('',*,*,#190971,.T.); #252707=ORIENTED_EDGE('',*,*,#190968,.T.); #252708=ORIENTED_EDGE('',*,*,#190965,.T.); #252709=ORIENTED_EDGE('',*,*,#190962,.T.); #252710=ORIENTED_EDGE('',*,*,#190959,.T.); #252711=ORIENTED_EDGE('',*,*,#190956,.T.); #252712=ORIENTED_EDGE('',*,*,#190953,.T.); #252713=ORIENTED_EDGE('',*,*,#190950,.T.); #252714=ORIENTED_EDGE('',*,*,#190947,.T.); #252715=ORIENTED_EDGE('',*,*,#190944,.T.); #252716=ORIENTED_EDGE('',*,*,#190941,.T.); #252717=ORIENTED_EDGE('',*,*,#190938,.T.); #252718=ORIENTED_EDGE('',*,*,#190935,.T.); #252719=ORIENTED_EDGE('',*,*,#190932,.T.); #252720=ORIENTED_EDGE('',*,*,#190929,.T.); #252721=ORIENTED_EDGE('',*,*,#190926,.T.); #252722=ORIENTED_EDGE('',*,*,#190923,.T.); #252723=ORIENTED_EDGE('',*,*,#190920,.T.); #252724=ORIENTED_EDGE('',*,*,#190917,.T.); #252725=ORIENTED_EDGE('',*,*,#190914,.T.); #252726=ORIENTED_EDGE('',*,*,#190911,.T.); #252727=ORIENTED_EDGE('',*,*,#190908,.T.); #252728=ORIENTED_EDGE('',*,*,#190905,.T.); #252729=ORIENTED_EDGE('',*,*,#190902,.T.); #252730=ORIENTED_EDGE('',*,*,#190899,.T.); #252731=ORIENTED_EDGE('',*,*,#190896,.T.); #252732=ORIENTED_EDGE('',*,*,#190893,.T.); #252733=ORIENTED_EDGE('',*,*,#190890,.T.); #252734=ORIENTED_EDGE('',*,*,#190887,.T.); #252735=ORIENTED_EDGE('',*,*,#190884,.T.); #252736=ORIENTED_EDGE('',*,*,#190881,.T.); #252737=ORIENTED_EDGE('',*,*,#190878,.T.); #252738=ORIENTED_EDGE('',*,*,#190875,.T.); #252739=ORIENTED_EDGE('',*,*,#190872,.T.); #252740=ORIENTED_EDGE('',*,*,#190869,.T.); #252741=ORIENTED_EDGE('',*,*,#190866,.T.); #252742=ORIENTED_EDGE('',*,*,#190863,.T.); #252743=ORIENTED_EDGE('',*,*,#190860,.T.); #252744=ORIENTED_EDGE('',*,*,#190857,.T.); #252745=ORIENTED_EDGE('',*,*,#190854,.T.); #252746=ORIENTED_EDGE('',*,*,#190851,.T.); #252747=ORIENTED_EDGE('',*,*,#190848,.T.); #252748=ORIENTED_EDGE('',*,*,#190845,.T.); #252749=ORIENTED_EDGE('',*,*,#190842,.T.); #252750=ORIENTED_EDGE('',*,*,#190839,.T.); #252751=ORIENTED_EDGE('',*,*,#190836,.T.); #252752=ORIENTED_EDGE('',*,*,#190833,.T.); #252753=ORIENTED_EDGE('',*,*,#190830,.T.); #252754=ORIENTED_EDGE('',*,*,#190827,.T.); #252755=ORIENTED_EDGE('',*,*,#190824,.T.); #252756=ORIENTED_EDGE('',*,*,#190821,.T.); #252757=ORIENTED_EDGE('',*,*,#190818,.T.); #252758=ORIENTED_EDGE('',*,*,#190815,.T.); #252759=ORIENTED_EDGE('',*,*,#190812,.T.); #252760=ORIENTED_EDGE('',*,*,#190809,.T.); #252761=ORIENTED_EDGE('',*,*,#190806,.T.); #252762=ORIENTED_EDGE('',*,*,#190803,.T.); #252763=ORIENTED_EDGE('',*,*,#190800,.T.); #252764=ORIENTED_EDGE('',*,*,#190797,.T.); #252765=ORIENTED_EDGE('',*,*,#190794,.T.); #252766=ORIENTED_EDGE('',*,*,#190791,.T.); #252767=ORIENTED_EDGE('',*,*,#190788,.T.); #252768=ORIENTED_EDGE('',*,*,#190785,.T.); #252769=ORIENTED_EDGE('',*,*,#190782,.T.); #252770=ORIENTED_EDGE('',*,*,#190779,.T.); #252771=ORIENTED_EDGE('',*,*,#190776,.T.); #252772=ORIENTED_EDGE('',*,*,#190773,.T.); #252773=ORIENTED_EDGE('',*,*,#190770,.T.); #252774=ORIENTED_EDGE('',*,*,#190767,.T.); #252775=ORIENTED_EDGE('',*,*,#190764,.T.); #252776=ORIENTED_EDGE('',*,*,#190761,.T.); #252777=ORIENTED_EDGE('',*,*,#190758,.T.); #252778=ORIENTED_EDGE('',*,*,#190755,.T.); #252779=ORIENTED_EDGE('',*,*,#190752,.T.); #252780=ORIENTED_EDGE('',*,*,#190749,.T.); #252781=ORIENTED_EDGE('',*,*,#190746,.T.); #252782=ORIENTED_EDGE('',*,*,#190743,.T.); #252783=ORIENTED_EDGE('',*,*,#190740,.T.); #252784=ORIENTED_EDGE('',*,*,#190737,.T.); #252785=ORIENTED_EDGE('',*,*,#190734,.T.); #252786=ORIENTED_EDGE('',*,*,#190731,.T.); #252787=ORIENTED_EDGE('',*,*,#190728,.T.); #252788=ORIENTED_EDGE('',*,*,#190725,.T.); #252789=ORIENTED_EDGE('',*,*,#190722,.T.); #252790=ORIENTED_EDGE('',*,*,#190719,.T.); #252791=ORIENTED_EDGE('',*,*,#190626,.T.); #252792=ORIENTED_EDGE('',*,*,#190629,.T.); #252793=ORIENTED_EDGE('',*,*,#190632,.T.); #252794=ORIENTED_EDGE('',*,*,#190635,.T.); #252795=ORIENTED_EDGE('',*,*,#190638,.T.); #252796=ORIENTED_EDGE('',*,*,#190641,.T.); #252797=ORIENTED_EDGE('',*,*,#190644,.T.); #252798=ORIENTED_EDGE('',*,*,#190647,.T.); #252799=ORIENTED_EDGE('',*,*,#190650,.T.); #252800=ORIENTED_EDGE('',*,*,#190653,.T.); #252801=ORIENTED_EDGE('',*,*,#190656,.T.); #252802=ORIENTED_EDGE('',*,*,#190659,.T.); #252803=ORIENTED_EDGE('',*,*,#190662,.T.); #252804=ORIENTED_EDGE('',*,*,#190665,.T.); #252805=ORIENTED_EDGE('',*,*,#190668,.T.); #252806=ORIENTED_EDGE('',*,*,#190671,.T.); #252807=ORIENTED_EDGE('',*,*,#190674,.T.); #252808=ORIENTED_EDGE('',*,*,#190677,.T.); #252809=ORIENTED_EDGE('',*,*,#190680,.T.); #252810=ORIENTED_EDGE('',*,*,#190683,.T.); #252811=ORIENTED_EDGE('',*,*,#190686,.T.); #252812=ORIENTED_EDGE('',*,*,#190689,.T.); #252813=ORIENTED_EDGE('',*,*,#190692,.T.); #252814=ORIENTED_EDGE('',*,*,#190695,.T.); #252815=ORIENTED_EDGE('',*,*,#190698,.T.); #252816=ORIENTED_EDGE('',*,*,#190701,.T.); #252817=ORIENTED_EDGE('',*,*,#190704,.T.); #252818=ORIENTED_EDGE('',*,*,#190707,.T.); #252819=ORIENTED_EDGE('',*,*,#190710,.T.); #252820=ORIENTED_EDGE('',*,*,#190713,.T.); #252821=ORIENTED_EDGE('',*,*,#190716,.T.); #252822=ORIENTED_EDGE('',*,*,#190970,.F.); #252823=ORIENTED_EDGE('',*,*,#190717,.F.); #252824=ORIENTED_EDGE('',*,*,#190721,.F.); #252825=ORIENTED_EDGE('',*,*,#190724,.F.); #252826=ORIENTED_EDGE('',*,*,#190727,.F.); #252827=ORIENTED_EDGE('',*,*,#190730,.F.); #252828=ORIENTED_EDGE('',*,*,#190733,.F.); #252829=ORIENTED_EDGE('',*,*,#190736,.F.); #252830=ORIENTED_EDGE('',*,*,#190739,.F.); #252831=ORIENTED_EDGE('',*,*,#190742,.F.); #252832=ORIENTED_EDGE('',*,*,#190745,.F.); #252833=ORIENTED_EDGE('',*,*,#190748,.F.); #252834=ORIENTED_EDGE('',*,*,#190751,.F.); #252835=ORIENTED_EDGE('',*,*,#190754,.F.); #252836=ORIENTED_EDGE('',*,*,#190757,.F.); #252837=ORIENTED_EDGE('',*,*,#190760,.F.); #252838=ORIENTED_EDGE('',*,*,#190763,.F.); #252839=ORIENTED_EDGE('',*,*,#190766,.F.); #252840=ORIENTED_EDGE('',*,*,#190769,.F.); #252841=ORIENTED_EDGE('',*,*,#190772,.F.); #252842=ORIENTED_EDGE('',*,*,#190775,.F.); #252843=ORIENTED_EDGE('',*,*,#190778,.F.); #252844=ORIENTED_EDGE('',*,*,#190781,.F.); #252845=ORIENTED_EDGE('',*,*,#190784,.F.); #252846=ORIENTED_EDGE('',*,*,#190787,.F.); #252847=ORIENTED_EDGE('',*,*,#190790,.F.); #252848=ORIENTED_EDGE('',*,*,#190793,.F.); #252849=ORIENTED_EDGE('',*,*,#190796,.F.); #252850=ORIENTED_EDGE('',*,*,#190799,.F.); #252851=ORIENTED_EDGE('',*,*,#190802,.F.); #252852=ORIENTED_EDGE('',*,*,#190805,.F.); #252853=ORIENTED_EDGE('',*,*,#190808,.F.); #252854=ORIENTED_EDGE('',*,*,#190811,.F.); #252855=ORIENTED_EDGE('',*,*,#190814,.F.); #252856=ORIENTED_EDGE('',*,*,#190817,.F.); #252857=ORIENTED_EDGE('',*,*,#190820,.F.); #252858=ORIENTED_EDGE('',*,*,#190823,.F.); #252859=ORIENTED_EDGE('',*,*,#190826,.F.); #252860=ORIENTED_EDGE('',*,*,#190829,.F.); #252861=ORIENTED_EDGE('',*,*,#190832,.F.); #252862=ORIENTED_EDGE('',*,*,#190835,.F.); #252863=ORIENTED_EDGE('',*,*,#190838,.F.); #252864=ORIENTED_EDGE('',*,*,#190841,.F.); #252865=ORIENTED_EDGE('',*,*,#190844,.F.); #252866=ORIENTED_EDGE('',*,*,#190847,.F.); #252867=ORIENTED_EDGE('',*,*,#190850,.F.); #252868=ORIENTED_EDGE('',*,*,#190853,.F.); #252869=ORIENTED_EDGE('',*,*,#190856,.F.); #252870=ORIENTED_EDGE('',*,*,#190859,.F.); #252871=ORIENTED_EDGE('',*,*,#190862,.F.); #252872=ORIENTED_EDGE('',*,*,#190865,.F.); #252873=ORIENTED_EDGE('',*,*,#190868,.F.); #252874=ORIENTED_EDGE('',*,*,#190871,.F.); #252875=ORIENTED_EDGE('',*,*,#190874,.F.); #252876=ORIENTED_EDGE('',*,*,#190877,.F.); #252877=ORIENTED_EDGE('',*,*,#190880,.F.); #252878=ORIENTED_EDGE('',*,*,#190883,.F.); #252879=ORIENTED_EDGE('',*,*,#190886,.F.); #252880=ORIENTED_EDGE('',*,*,#190889,.F.); #252881=ORIENTED_EDGE('',*,*,#190892,.F.); #252882=ORIENTED_EDGE('',*,*,#190895,.F.); #252883=ORIENTED_EDGE('',*,*,#190898,.F.); #252884=ORIENTED_EDGE('',*,*,#190901,.F.); #252885=ORIENTED_EDGE('',*,*,#190904,.F.); #252886=ORIENTED_EDGE('',*,*,#190907,.F.); #252887=ORIENTED_EDGE('',*,*,#190910,.F.); #252888=ORIENTED_EDGE('',*,*,#190913,.F.); #252889=ORIENTED_EDGE('',*,*,#190916,.F.); #252890=ORIENTED_EDGE('',*,*,#190919,.F.); #252891=ORIENTED_EDGE('',*,*,#190922,.F.); #252892=ORIENTED_EDGE('',*,*,#190925,.F.); #252893=ORIENTED_EDGE('',*,*,#190928,.F.); #252894=ORIENTED_EDGE('',*,*,#190931,.F.); #252895=ORIENTED_EDGE('',*,*,#190934,.F.); #252896=ORIENTED_EDGE('',*,*,#190937,.F.); #252897=ORIENTED_EDGE('',*,*,#190940,.F.); #252898=ORIENTED_EDGE('',*,*,#190943,.F.); #252899=ORIENTED_EDGE('',*,*,#190946,.F.); #252900=ORIENTED_EDGE('',*,*,#190949,.F.); #252901=ORIENTED_EDGE('',*,*,#190952,.F.); #252902=ORIENTED_EDGE('',*,*,#190955,.F.); #252903=ORIENTED_EDGE('',*,*,#190958,.F.); #252904=ORIENTED_EDGE('',*,*,#190961,.F.); #252905=ORIENTED_EDGE('',*,*,#190964,.F.); #252906=ORIENTED_EDGE('',*,*,#190967,.F.); #252907=ORIENTED_EDGE('',*,*,#190624,.T.); #252908=ORIENTED_EDGE('',*,*,#190627,.T.); #252909=ORIENTED_EDGE('',*,*,#190630,.T.); #252910=ORIENTED_EDGE('',*,*,#190633,.T.); #252911=ORIENTED_EDGE('',*,*,#190636,.T.); #252912=ORIENTED_EDGE('',*,*,#190639,.T.); #252913=ORIENTED_EDGE('',*,*,#190642,.T.); #252914=ORIENTED_EDGE('',*,*,#190645,.T.); #252915=ORIENTED_EDGE('',*,*,#190648,.T.); #252916=ORIENTED_EDGE('',*,*,#190651,.T.); #252917=ORIENTED_EDGE('',*,*,#190654,.T.); #252918=ORIENTED_EDGE('',*,*,#190657,.T.); #252919=ORIENTED_EDGE('',*,*,#190660,.T.); #252920=ORIENTED_EDGE('',*,*,#190663,.T.); #252921=ORIENTED_EDGE('',*,*,#190666,.T.); #252922=ORIENTED_EDGE('',*,*,#190669,.T.); #252923=ORIENTED_EDGE('',*,*,#190672,.T.); #252924=ORIENTED_EDGE('',*,*,#190675,.T.); #252925=ORIENTED_EDGE('',*,*,#190678,.T.); #252926=ORIENTED_EDGE('',*,*,#190681,.T.); #252927=ORIENTED_EDGE('',*,*,#190684,.T.); #252928=ORIENTED_EDGE('',*,*,#190687,.T.); #252929=ORIENTED_EDGE('',*,*,#190690,.T.); #252930=ORIENTED_EDGE('',*,*,#190693,.T.); #252931=ORIENTED_EDGE('',*,*,#190696,.T.); #252932=ORIENTED_EDGE('',*,*,#190699,.T.); #252933=ORIENTED_EDGE('',*,*,#190702,.T.); #252934=ORIENTED_EDGE('',*,*,#190705,.T.); #252935=ORIENTED_EDGE('',*,*,#190708,.T.); #252936=ORIENTED_EDGE('',*,*,#190711,.T.); #252937=ORIENTED_EDGE('',*,*,#190714,.T.); #252938=ORIENTED_EDGE('',*,*,#190972,.F.); #252939=ORIENTED_EDGE('',*,*,#190973,.T.); #252940=ORIENTED_EDGE('',*,*,#190974,.F.); #252941=ORIENTED_EDGE('',*,*,#190973,.F.); #252942=ORIENTED_EDGE('',*,*,#190975,.T.); #252943=ORIENTED_EDGE('',*,*,#190976,.T.); #252944=ORIENTED_EDGE('',*,*,#190977,.F.); #252945=ORIENTED_EDGE('',*,*,#190978,.F.); #252946=ORIENTED_EDGE('',*,*,#190979,.T.); #252947=ORIENTED_EDGE('',*,*,#190978,.T.); #252948=ORIENTED_EDGE('',*,*,#190980,.F.); #252949=ORIENTED_EDGE('',*,*,#190981,.F.); #252950=ORIENTED_EDGE('',*,*,#190982,.T.); #252951=ORIENTED_EDGE('',*,*,#190981,.T.); #252952=ORIENTED_EDGE('',*,*,#190983,.F.); #252953=ORIENTED_EDGE('',*,*,#190984,.F.); #252954=ORIENTED_EDGE('',*,*,#190985,.T.); #252955=ORIENTED_EDGE('',*,*,#190984,.T.); #252956=ORIENTED_EDGE('',*,*,#190986,.F.); #252957=ORIENTED_EDGE('',*,*,#190987,.F.); #252958=ORIENTED_EDGE('',*,*,#190988,.T.); #252959=ORIENTED_EDGE('',*,*,#190987,.T.); #252960=ORIENTED_EDGE('',*,*,#190989,.F.); #252961=ORIENTED_EDGE('',*,*,#190990,.F.); #252962=ORIENTED_EDGE('',*,*,#190991,.T.); #252963=ORIENTED_EDGE('',*,*,#190990,.T.); #252964=ORIENTED_EDGE('',*,*,#190992,.F.); #252965=ORIENTED_EDGE('',*,*,#190993,.F.); #252966=ORIENTED_EDGE('',*,*,#190994,.T.); #252967=ORIENTED_EDGE('',*,*,#190993,.T.); #252968=ORIENTED_EDGE('',*,*,#190995,.F.); #252969=ORIENTED_EDGE('',*,*,#190996,.F.); #252970=ORIENTED_EDGE('',*,*,#190997,.T.); #252971=ORIENTED_EDGE('',*,*,#190996,.T.); #252972=ORIENTED_EDGE('',*,*,#190998,.F.); #252973=ORIENTED_EDGE('',*,*,#190999,.F.); #252974=ORIENTED_EDGE('',*,*,#191000,.T.); #252975=ORIENTED_EDGE('',*,*,#190999,.T.); #252976=ORIENTED_EDGE('',*,*,#191001,.F.); #252977=ORIENTED_EDGE('',*,*,#191002,.F.); #252978=ORIENTED_EDGE('',*,*,#191003,.T.); #252979=ORIENTED_EDGE('',*,*,#191002,.T.); #252980=ORIENTED_EDGE('',*,*,#191004,.F.); #252981=ORIENTED_EDGE('',*,*,#191005,.F.); #252982=ORIENTED_EDGE('',*,*,#191006,.T.); #252983=ORIENTED_EDGE('',*,*,#191005,.T.); #252984=ORIENTED_EDGE('',*,*,#191007,.F.); #252985=ORIENTED_EDGE('',*,*,#191008,.F.); #252986=ORIENTED_EDGE('',*,*,#191009,.T.); #252987=ORIENTED_EDGE('',*,*,#191008,.T.); #252988=ORIENTED_EDGE('',*,*,#191010,.F.); #252989=ORIENTED_EDGE('',*,*,#191011,.F.); #252990=ORIENTED_EDGE('',*,*,#191012,.T.); #252991=ORIENTED_EDGE('',*,*,#191011,.T.); #252992=ORIENTED_EDGE('',*,*,#191013,.F.); #252993=ORIENTED_EDGE('',*,*,#191014,.F.); #252994=ORIENTED_EDGE('',*,*,#191015,.T.); #252995=ORIENTED_EDGE('',*,*,#191014,.T.); #252996=ORIENTED_EDGE('',*,*,#191016,.F.); #252997=ORIENTED_EDGE('',*,*,#191017,.F.); #252998=ORIENTED_EDGE('',*,*,#191018,.T.); #252999=ORIENTED_EDGE('',*,*,#191017,.T.); #253000=ORIENTED_EDGE('',*,*,#191019,.F.); #253001=ORIENTED_EDGE('',*,*,#191020,.F.); #253002=ORIENTED_EDGE('',*,*,#191021,.T.); #253003=ORIENTED_EDGE('',*,*,#191020,.T.); #253004=ORIENTED_EDGE('',*,*,#191022,.F.); #253005=ORIENTED_EDGE('',*,*,#191023,.F.); #253006=ORIENTED_EDGE('',*,*,#191024,.T.); #253007=ORIENTED_EDGE('',*,*,#191023,.T.); #253008=ORIENTED_EDGE('',*,*,#191025,.F.); #253009=ORIENTED_EDGE('',*,*,#191026,.F.); #253010=ORIENTED_EDGE('',*,*,#191027,.T.); #253011=ORIENTED_EDGE('',*,*,#191026,.T.); #253012=ORIENTED_EDGE('',*,*,#191028,.F.); #253013=ORIENTED_EDGE('',*,*,#191029,.F.); #253014=ORIENTED_EDGE('',*,*,#191030,.T.); #253015=ORIENTED_EDGE('',*,*,#191029,.T.); #253016=ORIENTED_EDGE('',*,*,#191031,.F.); #253017=ORIENTED_EDGE('',*,*,#191032,.F.); #253018=ORIENTED_EDGE('',*,*,#191033,.T.); #253019=ORIENTED_EDGE('',*,*,#191032,.T.); #253020=ORIENTED_EDGE('',*,*,#191034,.F.); #253021=ORIENTED_EDGE('',*,*,#191035,.F.); #253022=ORIENTED_EDGE('',*,*,#191036,.T.); #253023=ORIENTED_EDGE('',*,*,#191035,.T.); #253024=ORIENTED_EDGE('',*,*,#191037,.F.); #253025=ORIENTED_EDGE('',*,*,#190976,.F.); #253026=ORIENTED_EDGE('',*,*,#191037,.T.); #253027=ORIENTED_EDGE('',*,*,#191034,.T.); #253028=ORIENTED_EDGE('',*,*,#191031,.T.); #253029=ORIENTED_EDGE('',*,*,#191028,.T.); #253030=ORIENTED_EDGE('',*,*,#191025,.T.); #253031=ORIENTED_EDGE('',*,*,#191022,.T.); #253032=ORIENTED_EDGE('',*,*,#191019,.T.); #253033=ORIENTED_EDGE('',*,*,#191016,.T.); #253034=ORIENTED_EDGE('',*,*,#191013,.T.); #253035=ORIENTED_EDGE('',*,*,#191010,.T.); #253036=ORIENTED_EDGE('',*,*,#191007,.T.); #253037=ORIENTED_EDGE('',*,*,#191004,.T.); #253038=ORIENTED_EDGE('',*,*,#191001,.T.); #253039=ORIENTED_EDGE('',*,*,#190998,.T.); #253040=ORIENTED_EDGE('',*,*,#190995,.T.); #253041=ORIENTED_EDGE('',*,*,#190992,.T.); #253042=ORIENTED_EDGE('',*,*,#190989,.T.); #253043=ORIENTED_EDGE('',*,*,#190986,.T.); #253044=ORIENTED_EDGE('',*,*,#190983,.T.); #253045=ORIENTED_EDGE('',*,*,#190980,.T.); #253046=ORIENTED_EDGE('',*,*,#190977,.T.); #253047=ORIENTED_EDGE('',*,*,#190974,.T.); #253048=ORIENTED_EDGE('',*,*,#191036,.F.); #253049=ORIENTED_EDGE('',*,*,#190975,.F.); #253050=ORIENTED_EDGE('',*,*,#190979,.F.); #253051=ORIENTED_EDGE('',*,*,#190982,.F.); #253052=ORIENTED_EDGE('',*,*,#190985,.F.); #253053=ORIENTED_EDGE('',*,*,#190988,.F.); #253054=ORIENTED_EDGE('',*,*,#190991,.F.); #253055=ORIENTED_EDGE('',*,*,#190994,.F.); #253056=ORIENTED_EDGE('',*,*,#190997,.F.); #253057=ORIENTED_EDGE('',*,*,#191000,.F.); #253058=ORIENTED_EDGE('',*,*,#191003,.F.); #253059=ORIENTED_EDGE('',*,*,#191006,.F.); #253060=ORIENTED_EDGE('',*,*,#191009,.F.); #253061=ORIENTED_EDGE('',*,*,#191012,.F.); #253062=ORIENTED_EDGE('',*,*,#191015,.F.); #253063=ORIENTED_EDGE('',*,*,#191018,.F.); #253064=ORIENTED_EDGE('',*,*,#191021,.F.); #253065=ORIENTED_EDGE('',*,*,#191024,.F.); #253066=ORIENTED_EDGE('',*,*,#191027,.F.); #253067=ORIENTED_EDGE('',*,*,#191030,.F.); #253068=ORIENTED_EDGE('',*,*,#191033,.F.); #253069=ORIENTED_EDGE('',*,*,#190972,.T.); #253070=ORIENTED_EDGE('',*,*,#191038,.F.); #253071=ORIENTED_EDGE('',*,*,#191039,.T.); #253072=ORIENTED_EDGE('',*,*,#191040,.F.); #253073=ORIENTED_EDGE('',*,*,#191039,.F.); #253074=ORIENTED_EDGE('',*,*,#191041,.F.); #253075=ORIENTED_EDGE('',*,*,#191042,.T.); #253076=ORIENTED_EDGE('',*,*,#191043,.F.); #253077=ORIENTED_EDGE('',*,*,#191042,.F.); #253078=ORIENTED_EDGE('',*,*,#191044,.F.); #253079=ORIENTED_EDGE('',*,*,#191045,.T.); #253080=ORIENTED_EDGE('',*,*,#191046,.F.); #253081=ORIENTED_EDGE('',*,*,#191045,.F.); #253082=ORIENTED_EDGE('',*,*,#191047,.F.); #253083=ORIENTED_EDGE('',*,*,#191048,.T.); #253084=ORIENTED_EDGE('',*,*,#191049,.F.); #253085=ORIENTED_EDGE('',*,*,#191048,.F.); #253086=ORIENTED_EDGE('',*,*,#191050,.F.); #253087=ORIENTED_EDGE('',*,*,#191051,.T.); #253088=ORIENTED_EDGE('',*,*,#191052,.F.); #253089=ORIENTED_EDGE('',*,*,#191051,.F.); #253090=ORIENTED_EDGE('',*,*,#191053,.F.); #253091=ORIENTED_EDGE('',*,*,#191054,.T.); #253092=ORIENTED_EDGE('',*,*,#191055,.F.); #253093=ORIENTED_EDGE('',*,*,#191054,.F.); #253094=ORIENTED_EDGE('',*,*,#191056,.F.); #253095=ORIENTED_EDGE('',*,*,#191057,.T.); #253096=ORIENTED_EDGE('',*,*,#191058,.F.); #253097=ORIENTED_EDGE('',*,*,#191057,.F.); #253098=ORIENTED_EDGE('',*,*,#191059,.F.); #253099=ORIENTED_EDGE('',*,*,#191060,.T.); #253100=ORIENTED_EDGE('',*,*,#191061,.F.); #253101=ORIENTED_EDGE('',*,*,#191060,.F.); #253102=ORIENTED_EDGE('',*,*,#191062,.F.); #253103=ORIENTED_EDGE('',*,*,#191063,.T.); #253104=ORIENTED_EDGE('',*,*,#191064,.F.); #253105=ORIENTED_EDGE('',*,*,#191063,.F.); #253106=ORIENTED_EDGE('',*,*,#191065,.F.); #253107=ORIENTED_EDGE('',*,*,#191066,.T.); #253108=ORIENTED_EDGE('',*,*,#191067,.F.); #253109=ORIENTED_EDGE('',*,*,#191066,.F.); #253110=ORIENTED_EDGE('',*,*,#191068,.F.); #253111=ORIENTED_EDGE('',*,*,#191069,.T.); #253112=ORIENTED_EDGE('',*,*,#191070,.F.); #253113=ORIENTED_EDGE('',*,*,#191069,.F.); #253114=ORIENTED_EDGE('',*,*,#191071,.F.); #253115=ORIENTED_EDGE('',*,*,#191072,.T.); #253116=ORIENTED_EDGE('',*,*,#191073,.F.); #253117=ORIENTED_EDGE('',*,*,#191072,.F.); #253118=ORIENTED_EDGE('',*,*,#191074,.F.); #253119=ORIENTED_EDGE('',*,*,#191075,.T.); #253120=ORIENTED_EDGE('',*,*,#191076,.F.); #253121=ORIENTED_EDGE('',*,*,#191075,.F.); #253122=ORIENTED_EDGE('',*,*,#191077,.F.); #253123=ORIENTED_EDGE('',*,*,#191078,.T.); #253124=ORIENTED_EDGE('',*,*,#191079,.F.); #253125=ORIENTED_EDGE('',*,*,#191078,.F.); #253126=ORIENTED_EDGE('',*,*,#191080,.F.); #253127=ORIENTED_EDGE('',*,*,#191081,.T.); #253128=ORIENTED_EDGE('',*,*,#191082,.F.); #253129=ORIENTED_EDGE('',*,*,#191081,.F.); #253130=ORIENTED_EDGE('',*,*,#191083,.F.); #253131=ORIENTED_EDGE('',*,*,#191084,.T.); #253132=ORIENTED_EDGE('',*,*,#191085,.F.); #253133=ORIENTED_EDGE('',*,*,#191084,.F.); #253134=ORIENTED_EDGE('',*,*,#191086,.F.); #253135=ORIENTED_EDGE('',*,*,#191087,.T.); #253136=ORIENTED_EDGE('',*,*,#191088,.F.); #253137=ORIENTED_EDGE('',*,*,#191087,.F.); #253138=ORIENTED_EDGE('',*,*,#191089,.F.); #253139=ORIENTED_EDGE('',*,*,#191090,.T.); #253140=ORIENTED_EDGE('',*,*,#191091,.F.); #253141=ORIENTED_EDGE('',*,*,#191090,.F.); #253142=ORIENTED_EDGE('',*,*,#191092,.F.); #253143=ORIENTED_EDGE('',*,*,#191093,.T.); #253144=ORIENTED_EDGE('',*,*,#191094,.F.); #253145=ORIENTED_EDGE('',*,*,#191093,.F.); #253146=ORIENTED_EDGE('',*,*,#191095,.F.); #253147=ORIENTED_EDGE('',*,*,#191096,.T.); #253148=ORIENTED_EDGE('',*,*,#191097,.F.); #253149=ORIENTED_EDGE('',*,*,#191096,.F.); #253150=ORIENTED_EDGE('',*,*,#191098,.F.); #253151=ORIENTED_EDGE('',*,*,#191099,.T.); #253152=ORIENTED_EDGE('',*,*,#191100,.F.); #253153=ORIENTED_EDGE('',*,*,#191099,.F.); #253154=ORIENTED_EDGE('',*,*,#191101,.F.); #253155=ORIENTED_EDGE('',*,*,#191102,.T.); #253156=ORIENTED_EDGE('',*,*,#191103,.F.); #253157=ORIENTED_EDGE('',*,*,#191102,.F.); #253158=ORIENTED_EDGE('',*,*,#191104,.F.); #253159=ORIENTED_EDGE('',*,*,#191105,.T.); #253160=ORIENTED_EDGE('',*,*,#191106,.F.); #253161=ORIENTED_EDGE('',*,*,#191105,.F.); #253162=ORIENTED_EDGE('',*,*,#191107,.F.); #253163=ORIENTED_EDGE('',*,*,#191108,.T.); #253164=ORIENTED_EDGE('',*,*,#191109,.F.); #253165=ORIENTED_EDGE('',*,*,#191108,.F.); #253166=ORIENTED_EDGE('',*,*,#191110,.F.); #253167=ORIENTED_EDGE('',*,*,#191111,.T.); #253168=ORIENTED_EDGE('',*,*,#191112,.F.); #253169=ORIENTED_EDGE('',*,*,#191111,.F.); #253170=ORIENTED_EDGE('',*,*,#191113,.F.); #253171=ORIENTED_EDGE('',*,*,#191114,.T.); #253172=ORIENTED_EDGE('',*,*,#191115,.F.); #253173=ORIENTED_EDGE('',*,*,#191114,.F.); #253174=ORIENTED_EDGE('',*,*,#191116,.F.); #253175=ORIENTED_EDGE('',*,*,#191117,.T.); #253176=ORIENTED_EDGE('',*,*,#191118,.F.); #253177=ORIENTED_EDGE('',*,*,#191117,.F.); #253178=ORIENTED_EDGE('',*,*,#191119,.F.); #253179=ORIENTED_EDGE('',*,*,#191120,.T.); #253180=ORIENTED_EDGE('',*,*,#191121,.F.); #253181=ORIENTED_EDGE('',*,*,#191120,.F.); #253182=ORIENTED_EDGE('',*,*,#191122,.F.); #253183=ORIENTED_EDGE('',*,*,#191123,.T.); #253184=ORIENTED_EDGE('',*,*,#191124,.F.); #253185=ORIENTED_EDGE('',*,*,#191123,.F.); #253186=ORIENTED_EDGE('',*,*,#191125,.F.); #253187=ORIENTED_EDGE('',*,*,#191126,.T.); #253188=ORIENTED_EDGE('',*,*,#191127,.F.); #253189=ORIENTED_EDGE('',*,*,#191126,.F.); #253190=ORIENTED_EDGE('',*,*,#191128,.F.); #253191=ORIENTED_EDGE('',*,*,#191129,.T.); #253192=ORIENTED_EDGE('',*,*,#191130,.F.); #253193=ORIENTED_EDGE('',*,*,#191129,.F.); #253194=ORIENTED_EDGE('',*,*,#191131,.T.); #253195=ORIENTED_EDGE('',*,*,#191132,.T.); #253196=ORIENTED_EDGE('',*,*,#191133,.F.); #253197=ORIENTED_EDGE('',*,*,#191134,.F.); #253198=ORIENTED_EDGE('',*,*,#191135,.T.); #253199=ORIENTED_EDGE('',*,*,#191134,.T.); #253200=ORIENTED_EDGE('',*,*,#191136,.F.); #253201=ORIENTED_EDGE('',*,*,#191137,.F.); #253202=ORIENTED_EDGE('',*,*,#191138,.T.); #253203=ORIENTED_EDGE('',*,*,#191137,.T.); #253204=ORIENTED_EDGE('',*,*,#191139,.F.); #253205=ORIENTED_EDGE('',*,*,#191140,.F.); #253206=ORIENTED_EDGE('',*,*,#191141,.T.); #253207=ORIENTED_EDGE('',*,*,#191140,.T.); #253208=ORIENTED_EDGE('',*,*,#191142,.F.); #253209=ORIENTED_EDGE('',*,*,#191143,.F.); #253210=ORIENTED_EDGE('',*,*,#191144,.T.); #253211=ORIENTED_EDGE('',*,*,#191143,.T.); #253212=ORIENTED_EDGE('',*,*,#191145,.F.); #253213=ORIENTED_EDGE('',*,*,#191146,.F.); #253214=ORIENTED_EDGE('',*,*,#191147,.T.); #253215=ORIENTED_EDGE('',*,*,#191146,.T.); #253216=ORIENTED_EDGE('',*,*,#191148,.F.); #253217=ORIENTED_EDGE('',*,*,#191149,.F.); #253218=ORIENTED_EDGE('',*,*,#191150,.T.); #253219=ORIENTED_EDGE('',*,*,#191149,.T.); #253220=ORIENTED_EDGE('',*,*,#191151,.F.); #253221=ORIENTED_EDGE('',*,*,#191152,.F.); #253222=ORIENTED_EDGE('',*,*,#191153,.T.); #253223=ORIENTED_EDGE('',*,*,#191152,.T.); #253224=ORIENTED_EDGE('',*,*,#191154,.F.); #253225=ORIENTED_EDGE('',*,*,#191155,.F.); #253226=ORIENTED_EDGE('',*,*,#191156,.T.); #253227=ORIENTED_EDGE('',*,*,#191155,.T.); #253228=ORIENTED_EDGE('',*,*,#191157,.F.); #253229=ORIENTED_EDGE('',*,*,#191158,.F.); #253230=ORIENTED_EDGE('',*,*,#191159,.T.); #253231=ORIENTED_EDGE('',*,*,#191158,.T.); #253232=ORIENTED_EDGE('',*,*,#191160,.F.); #253233=ORIENTED_EDGE('',*,*,#191161,.F.); #253234=ORIENTED_EDGE('',*,*,#191162,.T.); #253235=ORIENTED_EDGE('',*,*,#191161,.T.); #253236=ORIENTED_EDGE('',*,*,#191163,.F.); #253237=ORIENTED_EDGE('',*,*,#191164,.F.); #253238=ORIENTED_EDGE('',*,*,#191165,.T.); #253239=ORIENTED_EDGE('',*,*,#191164,.T.); #253240=ORIENTED_EDGE('',*,*,#191166,.F.); #253241=ORIENTED_EDGE('',*,*,#191167,.F.); #253242=ORIENTED_EDGE('',*,*,#191168,.T.); #253243=ORIENTED_EDGE('',*,*,#191167,.T.); #253244=ORIENTED_EDGE('',*,*,#191169,.F.); #253245=ORIENTED_EDGE('',*,*,#191170,.F.); #253246=ORIENTED_EDGE('',*,*,#191171,.T.); #253247=ORIENTED_EDGE('',*,*,#191170,.T.); #253248=ORIENTED_EDGE('',*,*,#191172,.F.); #253249=ORIENTED_EDGE('',*,*,#191173,.F.); #253250=ORIENTED_EDGE('',*,*,#191174,.T.); #253251=ORIENTED_EDGE('',*,*,#191173,.T.); #253252=ORIENTED_EDGE('',*,*,#191175,.F.); #253253=ORIENTED_EDGE('',*,*,#191176,.F.); #253254=ORIENTED_EDGE('',*,*,#191177,.T.); #253255=ORIENTED_EDGE('',*,*,#191176,.T.); #253256=ORIENTED_EDGE('',*,*,#191178,.F.); #253257=ORIENTED_EDGE('',*,*,#191179,.F.); #253258=ORIENTED_EDGE('',*,*,#191180,.T.); #253259=ORIENTED_EDGE('',*,*,#191179,.T.); #253260=ORIENTED_EDGE('',*,*,#191181,.F.); #253261=ORIENTED_EDGE('',*,*,#191182,.F.); #253262=ORIENTED_EDGE('',*,*,#191183,.T.); #253263=ORIENTED_EDGE('',*,*,#191182,.T.); #253264=ORIENTED_EDGE('',*,*,#191184,.F.); #253265=ORIENTED_EDGE('',*,*,#191185,.F.); #253266=ORIENTED_EDGE('',*,*,#191186,.T.); #253267=ORIENTED_EDGE('',*,*,#191185,.T.); #253268=ORIENTED_EDGE('',*,*,#191187,.F.); #253269=ORIENTED_EDGE('',*,*,#191188,.F.); #253270=ORIENTED_EDGE('',*,*,#191189,.T.); #253271=ORIENTED_EDGE('',*,*,#191188,.T.); #253272=ORIENTED_EDGE('',*,*,#191190,.F.); #253273=ORIENTED_EDGE('',*,*,#191191,.F.); #253274=ORIENTED_EDGE('',*,*,#191192,.T.); #253275=ORIENTED_EDGE('',*,*,#191191,.T.); #253276=ORIENTED_EDGE('',*,*,#191193,.F.); #253277=ORIENTED_EDGE('',*,*,#191194,.F.); #253278=ORIENTED_EDGE('',*,*,#191195,.T.); #253279=ORIENTED_EDGE('',*,*,#191194,.T.); #253280=ORIENTED_EDGE('',*,*,#191196,.F.); #253281=ORIENTED_EDGE('',*,*,#191197,.F.); #253282=ORIENTED_EDGE('',*,*,#191198,.T.); #253283=ORIENTED_EDGE('',*,*,#191197,.T.); #253284=ORIENTED_EDGE('',*,*,#191199,.F.); #253285=ORIENTED_EDGE('',*,*,#191200,.F.); #253286=ORIENTED_EDGE('',*,*,#191201,.T.); #253287=ORIENTED_EDGE('',*,*,#191200,.T.); #253288=ORIENTED_EDGE('',*,*,#191202,.F.); #253289=ORIENTED_EDGE('',*,*,#191203,.F.); #253290=ORIENTED_EDGE('',*,*,#191204,.T.); #253291=ORIENTED_EDGE('',*,*,#191203,.T.); #253292=ORIENTED_EDGE('',*,*,#191205,.F.); #253293=ORIENTED_EDGE('',*,*,#191206,.F.); #253294=ORIENTED_EDGE('',*,*,#191207,.T.); #253295=ORIENTED_EDGE('',*,*,#191206,.T.); #253296=ORIENTED_EDGE('',*,*,#191208,.F.); #253297=ORIENTED_EDGE('',*,*,#191209,.F.); #253298=ORIENTED_EDGE('',*,*,#191210,.T.); #253299=ORIENTED_EDGE('',*,*,#191209,.T.); #253300=ORIENTED_EDGE('',*,*,#191211,.F.); #253301=ORIENTED_EDGE('',*,*,#191212,.F.); #253302=ORIENTED_EDGE('',*,*,#191213,.T.); #253303=ORIENTED_EDGE('',*,*,#191212,.T.); #253304=ORIENTED_EDGE('',*,*,#191214,.F.); #253305=ORIENTED_EDGE('',*,*,#191215,.F.); #253306=ORIENTED_EDGE('',*,*,#191216,.T.); #253307=ORIENTED_EDGE('',*,*,#191215,.T.); #253308=ORIENTED_EDGE('',*,*,#191217,.F.); #253309=ORIENTED_EDGE('',*,*,#191218,.F.); #253310=ORIENTED_EDGE('',*,*,#191219,.T.); #253311=ORIENTED_EDGE('',*,*,#191218,.T.); #253312=ORIENTED_EDGE('',*,*,#191220,.F.); #253313=ORIENTED_EDGE('',*,*,#191221,.F.); #253314=ORIENTED_EDGE('',*,*,#191222,.T.); #253315=ORIENTED_EDGE('',*,*,#191221,.T.); #253316=ORIENTED_EDGE('',*,*,#191223,.F.); #253317=ORIENTED_EDGE('',*,*,#191224,.F.); #253318=ORIENTED_EDGE('',*,*,#191225,.T.); #253319=ORIENTED_EDGE('',*,*,#191224,.T.); #253320=ORIENTED_EDGE('',*,*,#191226,.F.); #253321=ORIENTED_EDGE('',*,*,#191227,.F.); #253322=ORIENTED_EDGE('',*,*,#191228,.T.); #253323=ORIENTED_EDGE('',*,*,#191227,.T.); #253324=ORIENTED_EDGE('',*,*,#191229,.F.); #253325=ORIENTED_EDGE('',*,*,#191230,.F.); #253326=ORIENTED_EDGE('',*,*,#191231,.T.); #253327=ORIENTED_EDGE('',*,*,#191230,.T.); #253328=ORIENTED_EDGE('',*,*,#191232,.F.); #253329=ORIENTED_EDGE('',*,*,#191233,.F.); #253330=ORIENTED_EDGE('',*,*,#191234,.T.); #253331=ORIENTED_EDGE('',*,*,#191233,.T.); #253332=ORIENTED_EDGE('',*,*,#191235,.F.); #253333=ORIENTED_EDGE('',*,*,#191236,.F.); #253334=ORIENTED_EDGE('',*,*,#191237,.T.); #253335=ORIENTED_EDGE('',*,*,#191236,.T.); #253336=ORIENTED_EDGE('',*,*,#191238,.F.); #253337=ORIENTED_EDGE('',*,*,#191239,.F.); #253338=ORIENTED_EDGE('',*,*,#191240,.T.); #253339=ORIENTED_EDGE('',*,*,#191239,.T.); #253340=ORIENTED_EDGE('',*,*,#191241,.F.); #253341=ORIENTED_EDGE('',*,*,#191242,.F.); #253342=ORIENTED_EDGE('',*,*,#191243,.T.); #253343=ORIENTED_EDGE('',*,*,#191242,.T.); #253344=ORIENTED_EDGE('',*,*,#191244,.F.); #253345=ORIENTED_EDGE('',*,*,#191245,.F.); #253346=ORIENTED_EDGE('',*,*,#191246,.T.); #253347=ORIENTED_EDGE('',*,*,#191245,.T.); #253348=ORIENTED_EDGE('',*,*,#191247,.F.); #253349=ORIENTED_EDGE('',*,*,#191248,.F.); #253350=ORIENTED_EDGE('',*,*,#191249,.T.); #253351=ORIENTED_EDGE('',*,*,#191248,.T.); #253352=ORIENTED_EDGE('',*,*,#191250,.F.); #253353=ORIENTED_EDGE('',*,*,#191251,.F.); #253354=ORIENTED_EDGE('',*,*,#191252,.T.); #253355=ORIENTED_EDGE('',*,*,#191251,.T.); #253356=ORIENTED_EDGE('',*,*,#191253,.F.); #253357=ORIENTED_EDGE('',*,*,#191254,.F.); #253358=ORIENTED_EDGE('',*,*,#191255,.T.); #253359=ORIENTED_EDGE('',*,*,#191254,.T.); #253360=ORIENTED_EDGE('',*,*,#191256,.F.); #253361=ORIENTED_EDGE('',*,*,#191257,.F.); #253362=ORIENTED_EDGE('',*,*,#191258,.T.); #253363=ORIENTED_EDGE('',*,*,#191257,.T.); #253364=ORIENTED_EDGE('',*,*,#191259,.F.); #253365=ORIENTED_EDGE('',*,*,#191260,.F.); #253366=ORIENTED_EDGE('',*,*,#191261,.T.); #253367=ORIENTED_EDGE('',*,*,#191260,.T.); #253368=ORIENTED_EDGE('',*,*,#191262,.F.); #253369=ORIENTED_EDGE('',*,*,#191263,.F.); #253370=ORIENTED_EDGE('',*,*,#191264,.T.); #253371=ORIENTED_EDGE('',*,*,#191263,.T.); #253372=ORIENTED_EDGE('',*,*,#191265,.F.); #253373=ORIENTED_EDGE('',*,*,#191266,.F.); #253374=ORIENTED_EDGE('',*,*,#191267,.T.); #253375=ORIENTED_EDGE('',*,*,#191266,.T.); #253376=ORIENTED_EDGE('',*,*,#191268,.F.); #253377=ORIENTED_EDGE('',*,*,#191269,.F.); #253378=ORIENTED_EDGE('',*,*,#191270,.T.); #253379=ORIENTED_EDGE('',*,*,#191269,.T.); #253380=ORIENTED_EDGE('',*,*,#191271,.F.); #253381=ORIENTED_EDGE('',*,*,#191272,.F.); #253382=ORIENTED_EDGE('',*,*,#191273,.T.); #253383=ORIENTED_EDGE('',*,*,#191272,.T.); #253384=ORIENTED_EDGE('',*,*,#191274,.F.); #253385=ORIENTED_EDGE('',*,*,#191275,.F.); #253386=ORIENTED_EDGE('',*,*,#191276,.T.); #253387=ORIENTED_EDGE('',*,*,#191275,.T.); #253388=ORIENTED_EDGE('',*,*,#191277,.F.); #253389=ORIENTED_EDGE('',*,*,#191278,.F.); #253390=ORIENTED_EDGE('',*,*,#191279,.T.); #253391=ORIENTED_EDGE('',*,*,#191278,.T.); #253392=ORIENTED_EDGE('',*,*,#191280,.F.); #253393=ORIENTED_EDGE('',*,*,#191281,.F.); #253394=ORIENTED_EDGE('',*,*,#191282,.T.); #253395=ORIENTED_EDGE('',*,*,#191281,.T.); #253396=ORIENTED_EDGE('',*,*,#191283,.F.); #253397=ORIENTED_EDGE('',*,*,#191284,.F.); #253398=ORIENTED_EDGE('',*,*,#191285,.T.); #253399=ORIENTED_EDGE('',*,*,#191284,.T.); #253400=ORIENTED_EDGE('',*,*,#191286,.F.); #253401=ORIENTED_EDGE('',*,*,#191287,.F.); #253402=ORIENTED_EDGE('',*,*,#191288,.T.); #253403=ORIENTED_EDGE('',*,*,#191287,.T.); #253404=ORIENTED_EDGE('',*,*,#191289,.F.); #253405=ORIENTED_EDGE('',*,*,#191290,.F.); #253406=ORIENTED_EDGE('',*,*,#191291,.T.); #253407=ORIENTED_EDGE('',*,*,#191290,.T.); #253408=ORIENTED_EDGE('',*,*,#191292,.F.); #253409=ORIENTED_EDGE('',*,*,#191293,.F.); #253410=ORIENTED_EDGE('',*,*,#191294,.T.); #253411=ORIENTED_EDGE('',*,*,#191293,.T.); #253412=ORIENTED_EDGE('',*,*,#191295,.F.); #253413=ORIENTED_EDGE('',*,*,#191296,.F.); #253414=ORIENTED_EDGE('',*,*,#191297,.T.); #253415=ORIENTED_EDGE('',*,*,#191296,.T.); #253416=ORIENTED_EDGE('',*,*,#191298,.F.); #253417=ORIENTED_EDGE('',*,*,#191299,.F.); #253418=ORIENTED_EDGE('',*,*,#191300,.T.); #253419=ORIENTED_EDGE('',*,*,#191299,.T.); #253420=ORIENTED_EDGE('',*,*,#191301,.F.); #253421=ORIENTED_EDGE('',*,*,#191302,.F.); #253422=ORIENTED_EDGE('',*,*,#191303,.T.); #253423=ORIENTED_EDGE('',*,*,#191302,.T.); #253424=ORIENTED_EDGE('',*,*,#191304,.F.); #253425=ORIENTED_EDGE('',*,*,#191305,.F.); #253426=ORIENTED_EDGE('',*,*,#191306,.T.); #253427=ORIENTED_EDGE('',*,*,#191305,.T.); #253428=ORIENTED_EDGE('',*,*,#191307,.F.); #253429=ORIENTED_EDGE('',*,*,#191308,.F.); #253430=ORIENTED_EDGE('',*,*,#191309,.T.); #253431=ORIENTED_EDGE('',*,*,#191308,.T.); #253432=ORIENTED_EDGE('',*,*,#191310,.F.); #253433=ORIENTED_EDGE('',*,*,#191311,.F.); #253434=ORIENTED_EDGE('',*,*,#191312,.T.); #253435=ORIENTED_EDGE('',*,*,#191311,.T.); #253436=ORIENTED_EDGE('',*,*,#191313,.F.); #253437=ORIENTED_EDGE('',*,*,#191314,.F.); #253438=ORIENTED_EDGE('',*,*,#191315,.T.); #253439=ORIENTED_EDGE('',*,*,#191314,.T.); #253440=ORIENTED_EDGE('',*,*,#191316,.F.); #253441=ORIENTED_EDGE('',*,*,#191317,.F.); #253442=ORIENTED_EDGE('',*,*,#191318,.T.); #253443=ORIENTED_EDGE('',*,*,#191317,.T.); #253444=ORIENTED_EDGE('',*,*,#191319,.F.); #253445=ORIENTED_EDGE('',*,*,#191320,.F.); #253446=ORIENTED_EDGE('',*,*,#191321,.T.); #253447=ORIENTED_EDGE('',*,*,#191320,.T.); #253448=ORIENTED_EDGE('',*,*,#191322,.F.); #253449=ORIENTED_EDGE('',*,*,#191323,.F.); #253450=ORIENTED_EDGE('',*,*,#191324,.T.); #253451=ORIENTED_EDGE('',*,*,#191323,.T.); #253452=ORIENTED_EDGE('',*,*,#191325,.F.); #253453=ORIENTED_EDGE('',*,*,#191326,.F.); #253454=ORIENTED_EDGE('',*,*,#191327,.T.); #253455=ORIENTED_EDGE('',*,*,#191326,.T.); #253456=ORIENTED_EDGE('',*,*,#191328,.F.); #253457=ORIENTED_EDGE('',*,*,#191329,.F.); #253458=ORIENTED_EDGE('',*,*,#191330,.T.); #253459=ORIENTED_EDGE('',*,*,#191329,.T.); #253460=ORIENTED_EDGE('',*,*,#191331,.F.); #253461=ORIENTED_EDGE('',*,*,#191332,.F.); #253462=ORIENTED_EDGE('',*,*,#191333,.T.); #253463=ORIENTED_EDGE('',*,*,#191332,.T.); #253464=ORIENTED_EDGE('',*,*,#191334,.F.); #253465=ORIENTED_EDGE('',*,*,#191335,.F.); #253466=ORIENTED_EDGE('',*,*,#191336,.T.); #253467=ORIENTED_EDGE('',*,*,#191335,.T.); #253468=ORIENTED_EDGE('',*,*,#191337,.F.); #253469=ORIENTED_EDGE('',*,*,#191338,.F.); #253470=ORIENTED_EDGE('',*,*,#191339,.T.); #253471=ORIENTED_EDGE('',*,*,#191338,.T.); #253472=ORIENTED_EDGE('',*,*,#191340,.F.); #253473=ORIENTED_EDGE('',*,*,#191341,.F.); #253474=ORIENTED_EDGE('',*,*,#191342,.T.); #253475=ORIENTED_EDGE('',*,*,#191341,.T.); #253476=ORIENTED_EDGE('',*,*,#191343,.F.); #253477=ORIENTED_EDGE('',*,*,#191344,.F.); #253478=ORIENTED_EDGE('',*,*,#191345,.T.); #253479=ORIENTED_EDGE('',*,*,#191344,.T.); #253480=ORIENTED_EDGE('',*,*,#191346,.F.); #253481=ORIENTED_EDGE('',*,*,#191347,.F.); #253482=ORIENTED_EDGE('',*,*,#191348,.T.); #253483=ORIENTED_EDGE('',*,*,#191347,.T.); #253484=ORIENTED_EDGE('',*,*,#191349,.F.); #253485=ORIENTED_EDGE('',*,*,#191350,.F.); #253486=ORIENTED_EDGE('',*,*,#191351,.T.); #253487=ORIENTED_EDGE('',*,*,#191350,.T.); #253488=ORIENTED_EDGE('',*,*,#191352,.F.); #253489=ORIENTED_EDGE('',*,*,#191353,.F.); #253490=ORIENTED_EDGE('',*,*,#191354,.T.); #253491=ORIENTED_EDGE('',*,*,#191353,.T.); #253492=ORIENTED_EDGE('',*,*,#191355,.F.); #253493=ORIENTED_EDGE('',*,*,#191356,.F.); #253494=ORIENTED_EDGE('',*,*,#191357,.T.); #253495=ORIENTED_EDGE('',*,*,#191356,.T.); #253496=ORIENTED_EDGE('',*,*,#191358,.F.); #253497=ORIENTED_EDGE('',*,*,#191359,.F.); #253498=ORIENTED_EDGE('',*,*,#191360,.T.); #253499=ORIENTED_EDGE('',*,*,#191359,.T.); #253500=ORIENTED_EDGE('',*,*,#191361,.F.); #253501=ORIENTED_EDGE('',*,*,#191362,.F.); #253502=ORIENTED_EDGE('',*,*,#191363,.T.); #253503=ORIENTED_EDGE('',*,*,#191362,.T.); #253504=ORIENTED_EDGE('',*,*,#191364,.F.); #253505=ORIENTED_EDGE('',*,*,#191365,.F.); #253506=ORIENTED_EDGE('',*,*,#191366,.T.); #253507=ORIENTED_EDGE('',*,*,#191365,.T.); #253508=ORIENTED_EDGE('',*,*,#191367,.F.); #253509=ORIENTED_EDGE('',*,*,#191368,.F.); #253510=ORIENTED_EDGE('',*,*,#191369,.T.); #253511=ORIENTED_EDGE('',*,*,#191368,.T.); #253512=ORIENTED_EDGE('',*,*,#191370,.F.); #253513=ORIENTED_EDGE('',*,*,#191132,.F.); #253514=ORIENTED_EDGE('',*,*,#191370,.T.); #253515=ORIENTED_EDGE('',*,*,#191367,.T.); #253516=ORIENTED_EDGE('',*,*,#191364,.T.); #253517=ORIENTED_EDGE('',*,*,#191361,.T.); #253518=ORIENTED_EDGE('',*,*,#191358,.T.); #253519=ORIENTED_EDGE('',*,*,#191355,.T.); #253520=ORIENTED_EDGE('',*,*,#191352,.T.); #253521=ORIENTED_EDGE('',*,*,#191349,.T.); #253522=ORIENTED_EDGE('',*,*,#191346,.T.); #253523=ORIENTED_EDGE('',*,*,#191343,.T.); #253524=ORIENTED_EDGE('',*,*,#191340,.T.); #253525=ORIENTED_EDGE('',*,*,#191337,.T.); #253526=ORIENTED_EDGE('',*,*,#191334,.T.); #253527=ORIENTED_EDGE('',*,*,#191331,.T.); #253528=ORIENTED_EDGE('',*,*,#191328,.T.); #253529=ORIENTED_EDGE('',*,*,#191325,.T.); #253530=ORIENTED_EDGE('',*,*,#191322,.T.); #253531=ORIENTED_EDGE('',*,*,#191319,.T.); #253532=ORIENTED_EDGE('',*,*,#191316,.T.); #253533=ORIENTED_EDGE('',*,*,#191313,.T.); #253534=ORIENTED_EDGE('',*,*,#191310,.T.); #253535=ORIENTED_EDGE('',*,*,#191307,.T.); #253536=ORIENTED_EDGE('',*,*,#191304,.T.); #253537=ORIENTED_EDGE('',*,*,#191301,.T.); #253538=ORIENTED_EDGE('',*,*,#191298,.T.); #253539=ORIENTED_EDGE('',*,*,#191295,.T.); #253540=ORIENTED_EDGE('',*,*,#191292,.T.); #253541=ORIENTED_EDGE('',*,*,#191289,.T.); #253542=ORIENTED_EDGE('',*,*,#191286,.T.); #253543=ORIENTED_EDGE('',*,*,#191283,.T.); #253544=ORIENTED_EDGE('',*,*,#191280,.T.); #253545=ORIENTED_EDGE('',*,*,#191277,.T.); #253546=ORIENTED_EDGE('',*,*,#191274,.T.); #253547=ORIENTED_EDGE('',*,*,#191271,.T.); #253548=ORIENTED_EDGE('',*,*,#191268,.T.); #253549=ORIENTED_EDGE('',*,*,#191265,.T.); #253550=ORIENTED_EDGE('',*,*,#191262,.T.); #253551=ORIENTED_EDGE('',*,*,#191259,.T.); #253552=ORIENTED_EDGE('',*,*,#191256,.T.); #253553=ORIENTED_EDGE('',*,*,#191253,.T.); #253554=ORIENTED_EDGE('',*,*,#191250,.T.); #253555=ORIENTED_EDGE('',*,*,#191247,.T.); #253556=ORIENTED_EDGE('',*,*,#191244,.T.); #253557=ORIENTED_EDGE('',*,*,#191241,.T.); #253558=ORIENTED_EDGE('',*,*,#191238,.T.); #253559=ORIENTED_EDGE('',*,*,#191235,.T.); #253560=ORIENTED_EDGE('',*,*,#191232,.T.); #253561=ORIENTED_EDGE('',*,*,#191229,.T.); #253562=ORIENTED_EDGE('',*,*,#191226,.T.); #253563=ORIENTED_EDGE('',*,*,#191223,.T.); #253564=ORIENTED_EDGE('',*,*,#191220,.T.); #253565=ORIENTED_EDGE('',*,*,#191217,.T.); #253566=ORIENTED_EDGE('',*,*,#191214,.T.); #253567=ORIENTED_EDGE('',*,*,#191211,.T.); #253568=ORIENTED_EDGE('',*,*,#191208,.T.); #253569=ORIENTED_EDGE('',*,*,#191205,.T.); #253570=ORIENTED_EDGE('',*,*,#191202,.T.); #253571=ORIENTED_EDGE('',*,*,#191199,.T.); #253572=ORIENTED_EDGE('',*,*,#191196,.T.); #253573=ORIENTED_EDGE('',*,*,#191193,.T.); #253574=ORIENTED_EDGE('',*,*,#191190,.T.); #253575=ORIENTED_EDGE('',*,*,#191187,.T.); #253576=ORIENTED_EDGE('',*,*,#191184,.T.); #253577=ORIENTED_EDGE('',*,*,#191181,.T.); #253578=ORIENTED_EDGE('',*,*,#191178,.T.); #253579=ORIENTED_EDGE('',*,*,#191175,.T.); #253580=ORIENTED_EDGE('',*,*,#191172,.T.); #253581=ORIENTED_EDGE('',*,*,#191169,.T.); #253582=ORIENTED_EDGE('',*,*,#191166,.T.); #253583=ORIENTED_EDGE('',*,*,#191163,.T.); #253584=ORIENTED_EDGE('',*,*,#191160,.T.); #253585=ORIENTED_EDGE('',*,*,#191157,.T.); #253586=ORIENTED_EDGE('',*,*,#191154,.T.); #253587=ORIENTED_EDGE('',*,*,#191151,.T.); #253588=ORIENTED_EDGE('',*,*,#191148,.T.); #253589=ORIENTED_EDGE('',*,*,#191145,.T.); #253590=ORIENTED_EDGE('',*,*,#191142,.T.); #253591=ORIENTED_EDGE('',*,*,#191139,.T.); #253592=ORIENTED_EDGE('',*,*,#191136,.T.); #253593=ORIENTED_EDGE('',*,*,#191133,.T.); #253594=ORIENTED_EDGE('',*,*,#191040,.T.); #253595=ORIENTED_EDGE('',*,*,#191043,.T.); #253596=ORIENTED_EDGE('',*,*,#191046,.T.); #253597=ORIENTED_EDGE('',*,*,#191049,.T.); #253598=ORIENTED_EDGE('',*,*,#191052,.T.); #253599=ORIENTED_EDGE('',*,*,#191055,.T.); #253600=ORIENTED_EDGE('',*,*,#191058,.T.); #253601=ORIENTED_EDGE('',*,*,#191061,.T.); #253602=ORIENTED_EDGE('',*,*,#191064,.T.); #253603=ORIENTED_EDGE('',*,*,#191067,.T.); #253604=ORIENTED_EDGE('',*,*,#191070,.T.); #253605=ORIENTED_EDGE('',*,*,#191073,.T.); #253606=ORIENTED_EDGE('',*,*,#191076,.T.); #253607=ORIENTED_EDGE('',*,*,#191079,.T.); #253608=ORIENTED_EDGE('',*,*,#191082,.T.); #253609=ORIENTED_EDGE('',*,*,#191085,.T.); #253610=ORIENTED_EDGE('',*,*,#191088,.T.); #253611=ORIENTED_EDGE('',*,*,#191091,.T.); #253612=ORIENTED_EDGE('',*,*,#191094,.T.); #253613=ORIENTED_EDGE('',*,*,#191097,.T.); #253614=ORIENTED_EDGE('',*,*,#191100,.T.); #253615=ORIENTED_EDGE('',*,*,#191103,.T.); #253616=ORIENTED_EDGE('',*,*,#191106,.T.); #253617=ORIENTED_EDGE('',*,*,#191109,.T.); #253618=ORIENTED_EDGE('',*,*,#191112,.T.); #253619=ORIENTED_EDGE('',*,*,#191115,.T.); #253620=ORIENTED_EDGE('',*,*,#191118,.T.); #253621=ORIENTED_EDGE('',*,*,#191121,.T.); #253622=ORIENTED_EDGE('',*,*,#191124,.T.); #253623=ORIENTED_EDGE('',*,*,#191127,.T.); #253624=ORIENTED_EDGE('',*,*,#191130,.T.); #253625=ORIENTED_EDGE('',*,*,#191369,.F.); #253626=ORIENTED_EDGE('',*,*,#191131,.F.); #253627=ORIENTED_EDGE('',*,*,#191135,.F.); #253628=ORIENTED_EDGE('',*,*,#191138,.F.); #253629=ORIENTED_EDGE('',*,*,#191141,.F.); #253630=ORIENTED_EDGE('',*,*,#191144,.F.); #253631=ORIENTED_EDGE('',*,*,#191147,.F.); #253632=ORIENTED_EDGE('',*,*,#191150,.F.); #253633=ORIENTED_EDGE('',*,*,#191153,.F.); #253634=ORIENTED_EDGE('',*,*,#191156,.F.); #253635=ORIENTED_EDGE('',*,*,#191159,.F.); #253636=ORIENTED_EDGE('',*,*,#191162,.F.); #253637=ORIENTED_EDGE('',*,*,#191165,.F.); #253638=ORIENTED_EDGE('',*,*,#191168,.F.); #253639=ORIENTED_EDGE('',*,*,#191171,.F.); #253640=ORIENTED_EDGE('',*,*,#191174,.F.); #253641=ORIENTED_EDGE('',*,*,#191177,.F.); #253642=ORIENTED_EDGE('',*,*,#191180,.F.); #253643=ORIENTED_EDGE('',*,*,#191183,.F.); #253644=ORIENTED_EDGE('',*,*,#191186,.F.); #253645=ORIENTED_EDGE('',*,*,#191189,.F.); #253646=ORIENTED_EDGE('',*,*,#191192,.F.); #253647=ORIENTED_EDGE('',*,*,#191195,.F.); #253648=ORIENTED_EDGE('',*,*,#191198,.F.); #253649=ORIENTED_EDGE('',*,*,#191201,.F.); #253650=ORIENTED_EDGE('',*,*,#191204,.F.); #253651=ORIENTED_EDGE('',*,*,#191207,.F.); #253652=ORIENTED_EDGE('',*,*,#191210,.F.); #253653=ORIENTED_EDGE('',*,*,#191213,.F.); #253654=ORIENTED_EDGE('',*,*,#191216,.F.); #253655=ORIENTED_EDGE('',*,*,#191219,.F.); #253656=ORIENTED_EDGE('',*,*,#191222,.F.); #253657=ORIENTED_EDGE('',*,*,#191225,.F.); #253658=ORIENTED_EDGE('',*,*,#191228,.F.); #253659=ORIENTED_EDGE('',*,*,#191231,.F.); #253660=ORIENTED_EDGE('',*,*,#191234,.F.); #253661=ORIENTED_EDGE('',*,*,#191237,.F.); #253662=ORIENTED_EDGE('',*,*,#191240,.F.); #253663=ORIENTED_EDGE('',*,*,#191243,.F.); #253664=ORIENTED_EDGE('',*,*,#191246,.F.); #253665=ORIENTED_EDGE('',*,*,#191249,.F.); #253666=ORIENTED_EDGE('',*,*,#191252,.F.); #253667=ORIENTED_EDGE('',*,*,#191255,.F.); #253668=ORIENTED_EDGE('',*,*,#191258,.F.); #253669=ORIENTED_EDGE('',*,*,#191261,.F.); #253670=ORIENTED_EDGE('',*,*,#191264,.F.); #253671=ORIENTED_EDGE('',*,*,#191267,.F.); #253672=ORIENTED_EDGE('',*,*,#191270,.F.); #253673=ORIENTED_EDGE('',*,*,#191273,.F.); #253674=ORIENTED_EDGE('',*,*,#191276,.F.); #253675=ORIENTED_EDGE('',*,*,#191279,.F.); #253676=ORIENTED_EDGE('',*,*,#191282,.F.); #253677=ORIENTED_EDGE('',*,*,#191285,.F.); #253678=ORIENTED_EDGE('',*,*,#191288,.F.); #253679=ORIENTED_EDGE('',*,*,#191291,.F.); #253680=ORIENTED_EDGE('',*,*,#191294,.F.); #253681=ORIENTED_EDGE('',*,*,#191297,.F.); #253682=ORIENTED_EDGE('',*,*,#191300,.F.); #253683=ORIENTED_EDGE('',*,*,#191303,.F.); #253684=ORIENTED_EDGE('',*,*,#191306,.F.); #253685=ORIENTED_EDGE('',*,*,#191309,.F.); #253686=ORIENTED_EDGE('',*,*,#191312,.F.); #253687=ORIENTED_EDGE('',*,*,#191315,.F.); #253688=ORIENTED_EDGE('',*,*,#191318,.F.); #253689=ORIENTED_EDGE('',*,*,#191321,.F.); #253690=ORIENTED_EDGE('',*,*,#191324,.F.); #253691=ORIENTED_EDGE('',*,*,#191327,.F.); #253692=ORIENTED_EDGE('',*,*,#191330,.F.); #253693=ORIENTED_EDGE('',*,*,#191333,.F.); #253694=ORIENTED_EDGE('',*,*,#191336,.F.); #253695=ORIENTED_EDGE('',*,*,#191339,.F.); #253696=ORIENTED_EDGE('',*,*,#191342,.F.); #253697=ORIENTED_EDGE('',*,*,#191345,.F.); #253698=ORIENTED_EDGE('',*,*,#191348,.F.); #253699=ORIENTED_EDGE('',*,*,#191351,.F.); #253700=ORIENTED_EDGE('',*,*,#191354,.F.); #253701=ORIENTED_EDGE('',*,*,#191357,.F.); #253702=ORIENTED_EDGE('',*,*,#191360,.F.); #253703=ORIENTED_EDGE('',*,*,#191363,.F.); #253704=ORIENTED_EDGE('',*,*,#191366,.F.); #253705=ORIENTED_EDGE('',*,*,#191038,.T.); #253706=ORIENTED_EDGE('',*,*,#191041,.T.); #253707=ORIENTED_EDGE('',*,*,#191044,.T.); #253708=ORIENTED_EDGE('',*,*,#191047,.T.); #253709=ORIENTED_EDGE('',*,*,#191050,.T.); #253710=ORIENTED_EDGE('',*,*,#191053,.T.); #253711=ORIENTED_EDGE('',*,*,#191056,.T.); #253712=ORIENTED_EDGE('',*,*,#191059,.T.); #253713=ORIENTED_EDGE('',*,*,#191062,.T.); #253714=ORIENTED_EDGE('',*,*,#191065,.T.); #253715=ORIENTED_EDGE('',*,*,#191068,.T.); #253716=ORIENTED_EDGE('',*,*,#191071,.T.); #253717=ORIENTED_EDGE('',*,*,#191074,.T.); #253718=ORIENTED_EDGE('',*,*,#191077,.T.); #253719=ORIENTED_EDGE('',*,*,#191080,.T.); #253720=ORIENTED_EDGE('',*,*,#191083,.T.); #253721=ORIENTED_EDGE('',*,*,#191086,.T.); #253722=ORIENTED_EDGE('',*,*,#191089,.T.); #253723=ORIENTED_EDGE('',*,*,#191092,.T.); #253724=ORIENTED_EDGE('',*,*,#191095,.T.); #253725=ORIENTED_EDGE('',*,*,#191098,.T.); #253726=ORIENTED_EDGE('',*,*,#191101,.T.); #253727=ORIENTED_EDGE('',*,*,#191104,.T.); #253728=ORIENTED_EDGE('',*,*,#191107,.T.); #253729=ORIENTED_EDGE('',*,*,#191110,.T.); #253730=ORIENTED_EDGE('',*,*,#191113,.T.); #253731=ORIENTED_EDGE('',*,*,#191116,.T.); #253732=ORIENTED_EDGE('',*,*,#191119,.T.); #253733=ORIENTED_EDGE('',*,*,#191122,.T.); #253734=ORIENTED_EDGE('',*,*,#191125,.T.); #253735=ORIENTED_EDGE('',*,*,#191128,.T.); #253736=ORIENTED_EDGE('',*,*,#191371,.F.); #253737=ORIENTED_EDGE('',*,*,#191372,.T.); #253738=ORIENTED_EDGE('',*,*,#191373,.F.); #253739=ORIENTED_EDGE('',*,*,#191372,.F.); #253740=ORIENTED_EDGE('',*,*,#191374,.T.); #253741=ORIENTED_EDGE('',*,*,#191375,.T.); #253742=ORIENTED_EDGE('',*,*,#191376,.F.); #253743=ORIENTED_EDGE('',*,*,#191377,.F.); #253744=ORIENTED_EDGE('',*,*,#191378,.T.); #253745=ORIENTED_EDGE('',*,*,#191377,.T.); #253746=ORIENTED_EDGE('',*,*,#191379,.F.); #253747=ORIENTED_EDGE('',*,*,#191380,.F.); #253748=ORIENTED_EDGE('',*,*,#191381,.T.); #253749=ORIENTED_EDGE('',*,*,#191380,.T.); #253750=ORIENTED_EDGE('',*,*,#191382,.F.); #253751=ORIENTED_EDGE('',*,*,#191383,.F.); #253752=ORIENTED_EDGE('',*,*,#191384,.T.); #253753=ORIENTED_EDGE('',*,*,#191383,.T.); #253754=ORIENTED_EDGE('',*,*,#191385,.F.); #253755=ORIENTED_EDGE('',*,*,#191386,.F.); #253756=ORIENTED_EDGE('',*,*,#191387,.T.); #253757=ORIENTED_EDGE('',*,*,#191386,.T.); #253758=ORIENTED_EDGE('',*,*,#191388,.F.); #253759=ORIENTED_EDGE('',*,*,#191389,.F.); #253760=ORIENTED_EDGE('',*,*,#191390,.T.); #253761=ORIENTED_EDGE('',*,*,#191389,.T.); #253762=ORIENTED_EDGE('',*,*,#191391,.F.); #253763=ORIENTED_EDGE('',*,*,#191392,.F.); #253764=ORIENTED_EDGE('',*,*,#191393,.T.); #253765=ORIENTED_EDGE('',*,*,#191392,.T.); #253766=ORIENTED_EDGE('',*,*,#191394,.F.); #253767=ORIENTED_EDGE('',*,*,#191395,.F.); #253768=ORIENTED_EDGE('',*,*,#191396,.T.); #253769=ORIENTED_EDGE('',*,*,#191395,.T.); #253770=ORIENTED_EDGE('',*,*,#191397,.F.); #253771=ORIENTED_EDGE('',*,*,#191398,.F.); #253772=ORIENTED_EDGE('',*,*,#191399,.T.); #253773=ORIENTED_EDGE('',*,*,#191398,.T.); #253774=ORIENTED_EDGE('',*,*,#191400,.F.); #253775=ORIENTED_EDGE('',*,*,#191401,.F.); #253776=ORIENTED_EDGE('',*,*,#191402,.T.); #253777=ORIENTED_EDGE('',*,*,#191401,.T.); #253778=ORIENTED_EDGE('',*,*,#191403,.F.); #253779=ORIENTED_EDGE('',*,*,#191404,.F.); #253780=ORIENTED_EDGE('',*,*,#191405,.T.); #253781=ORIENTED_EDGE('',*,*,#191404,.T.); #253782=ORIENTED_EDGE('',*,*,#191406,.F.); #253783=ORIENTED_EDGE('',*,*,#191407,.F.); #253784=ORIENTED_EDGE('',*,*,#191408,.T.); #253785=ORIENTED_EDGE('',*,*,#191407,.T.); #253786=ORIENTED_EDGE('',*,*,#191409,.F.); #253787=ORIENTED_EDGE('',*,*,#191410,.F.); #253788=ORIENTED_EDGE('',*,*,#191411,.T.); #253789=ORIENTED_EDGE('',*,*,#191410,.T.); #253790=ORIENTED_EDGE('',*,*,#191412,.F.); #253791=ORIENTED_EDGE('',*,*,#191413,.F.); #253792=ORIENTED_EDGE('',*,*,#191414,.T.); #253793=ORIENTED_EDGE('',*,*,#191413,.T.); #253794=ORIENTED_EDGE('',*,*,#191415,.F.); #253795=ORIENTED_EDGE('',*,*,#191416,.F.); #253796=ORIENTED_EDGE('',*,*,#191417,.T.); #253797=ORIENTED_EDGE('',*,*,#191416,.T.); #253798=ORIENTED_EDGE('',*,*,#191418,.F.); #253799=ORIENTED_EDGE('',*,*,#191419,.F.); #253800=ORIENTED_EDGE('',*,*,#191420,.T.); #253801=ORIENTED_EDGE('',*,*,#191419,.T.); #253802=ORIENTED_EDGE('',*,*,#191421,.F.); #253803=ORIENTED_EDGE('',*,*,#191422,.F.); #253804=ORIENTED_EDGE('',*,*,#191423,.T.); #253805=ORIENTED_EDGE('',*,*,#191422,.T.); #253806=ORIENTED_EDGE('',*,*,#191424,.F.); #253807=ORIENTED_EDGE('',*,*,#191425,.F.); #253808=ORIENTED_EDGE('',*,*,#191426,.T.); #253809=ORIENTED_EDGE('',*,*,#191425,.T.); #253810=ORIENTED_EDGE('',*,*,#191427,.F.); #253811=ORIENTED_EDGE('',*,*,#191428,.F.); #253812=ORIENTED_EDGE('',*,*,#191429,.T.); #253813=ORIENTED_EDGE('',*,*,#191428,.T.); #253814=ORIENTED_EDGE('',*,*,#191430,.F.); #253815=ORIENTED_EDGE('',*,*,#191431,.F.); #253816=ORIENTED_EDGE('',*,*,#191432,.T.); #253817=ORIENTED_EDGE('',*,*,#191431,.T.); #253818=ORIENTED_EDGE('',*,*,#191433,.F.); #253819=ORIENTED_EDGE('',*,*,#191434,.F.); #253820=ORIENTED_EDGE('',*,*,#191435,.T.); #253821=ORIENTED_EDGE('',*,*,#191434,.T.); #253822=ORIENTED_EDGE('',*,*,#191436,.F.); #253823=ORIENTED_EDGE('',*,*,#191375,.F.); #253824=ORIENTED_EDGE('',*,*,#191436,.T.); #253825=ORIENTED_EDGE('',*,*,#191433,.T.); #253826=ORIENTED_EDGE('',*,*,#191430,.T.); #253827=ORIENTED_EDGE('',*,*,#191427,.T.); #253828=ORIENTED_EDGE('',*,*,#191424,.T.); #253829=ORIENTED_EDGE('',*,*,#191421,.T.); #253830=ORIENTED_EDGE('',*,*,#191418,.T.); #253831=ORIENTED_EDGE('',*,*,#191415,.T.); #253832=ORIENTED_EDGE('',*,*,#191412,.T.); #253833=ORIENTED_EDGE('',*,*,#191409,.T.); #253834=ORIENTED_EDGE('',*,*,#191406,.T.); #253835=ORIENTED_EDGE('',*,*,#191403,.T.); #253836=ORIENTED_EDGE('',*,*,#191400,.T.); #253837=ORIENTED_EDGE('',*,*,#191397,.T.); #253838=ORIENTED_EDGE('',*,*,#191394,.T.); #253839=ORIENTED_EDGE('',*,*,#191391,.T.); #253840=ORIENTED_EDGE('',*,*,#191388,.T.); #253841=ORIENTED_EDGE('',*,*,#191385,.T.); #253842=ORIENTED_EDGE('',*,*,#191382,.T.); #253843=ORIENTED_EDGE('',*,*,#191379,.T.); #253844=ORIENTED_EDGE('',*,*,#191376,.T.); #253845=ORIENTED_EDGE('',*,*,#191373,.T.); #253846=ORIENTED_EDGE('',*,*,#191435,.F.); #253847=ORIENTED_EDGE('',*,*,#191374,.F.); #253848=ORIENTED_EDGE('',*,*,#191378,.F.); #253849=ORIENTED_EDGE('',*,*,#191381,.F.); #253850=ORIENTED_EDGE('',*,*,#191384,.F.); #253851=ORIENTED_EDGE('',*,*,#191387,.F.); #253852=ORIENTED_EDGE('',*,*,#191390,.F.); #253853=ORIENTED_EDGE('',*,*,#191393,.F.); #253854=ORIENTED_EDGE('',*,*,#191396,.F.); #253855=ORIENTED_EDGE('',*,*,#191399,.F.); #253856=ORIENTED_EDGE('',*,*,#191402,.F.); #253857=ORIENTED_EDGE('',*,*,#191405,.F.); #253858=ORIENTED_EDGE('',*,*,#191408,.F.); #253859=ORIENTED_EDGE('',*,*,#191411,.F.); #253860=ORIENTED_EDGE('',*,*,#191414,.F.); #253861=ORIENTED_EDGE('',*,*,#191417,.F.); #253862=ORIENTED_EDGE('',*,*,#191420,.F.); #253863=ORIENTED_EDGE('',*,*,#191423,.F.); #253864=ORIENTED_EDGE('',*,*,#191426,.F.); #253865=ORIENTED_EDGE('',*,*,#191429,.F.); #253866=ORIENTED_EDGE('',*,*,#191432,.F.); #253867=ORIENTED_EDGE('',*,*,#191371,.T.); #253868=ORIENTED_EDGE('',*,*,#191437,.F.); #253869=ORIENTED_EDGE('',*,*,#191438,.T.); #253870=ORIENTED_EDGE('',*,*,#191439,.F.); #253871=ORIENTED_EDGE('',*,*,#191438,.F.); #253872=ORIENTED_EDGE('',*,*,#191440,.F.); #253873=ORIENTED_EDGE('',*,*,#191441,.T.); #253874=ORIENTED_EDGE('',*,*,#191442,.F.); #253875=ORIENTED_EDGE('',*,*,#191441,.F.); #253876=ORIENTED_EDGE('',*,*,#191443,.F.); #253877=ORIENTED_EDGE('',*,*,#191444,.T.); #253878=ORIENTED_EDGE('',*,*,#191445,.F.); #253879=ORIENTED_EDGE('',*,*,#191444,.F.); #253880=ORIENTED_EDGE('',*,*,#191446,.F.); #253881=ORIENTED_EDGE('',*,*,#191447,.T.); #253882=ORIENTED_EDGE('',*,*,#191448,.F.); #253883=ORIENTED_EDGE('',*,*,#191447,.F.); #253884=ORIENTED_EDGE('',*,*,#191449,.F.); #253885=ORIENTED_EDGE('',*,*,#191450,.T.); #253886=ORIENTED_EDGE('',*,*,#191451,.F.); #253887=ORIENTED_EDGE('',*,*,#191450,.F.); #253888=ORIENTED_EDGE('',*,*,#191452,.F.); #253889=ORIENTED_EDGE('',*,*,#191453,.T.); #253890=ORIENTED_EDGE('',*,*,#191454,.F.); #253891=ORIENTED_EDGE('',*,*,#191453,.F.); #253892=ORIENTED_EDGE('',*,*,#191455,.F.); #253893=ORIENTED_EDGE('',*,*,#191456,.T.); #253894=ORIENTED_EDGE('',*,*,#191457,.F.); #253895=ORIENTED_EDGE('',*,*,#191456,.F.); #253896=ORIENTED_EDGE('',*,*,#191458,.F.); #253897=ORIENTED_EDGE('',*,*,#191459,.T.); #253898=ORIENTED_EDGE('',*,*,#191460,.F.); #253899=ORIENTED_EDGE('',*,*,#191459,.F.); #253900=ORIENTED_EDGE('',*,*,#191461,.F.); #253901=ORIENTED_EDGE('',*,*,#191462,.T.); #253902=ORIENTED_EDGE('',*,*,#191463,.F.); #253903=ORIENTED_EDGE('',*,*,#191462,.F.); #253904=ORIENTED_EDGE('',*,*,#191464,.F.); #253905=ORIENTED_EDGE('',*,*,#191465,.T.); #253906=ORIENTED_EDGE('',*,*,#191466,.F.); #253907=ORIENTED_EDGE('',*,*,#191465,.F.); #253908=ORIENTED_EDGE('',*,*,#191467,.F.); #253909=ORIENTED_EDGE('',*,*,#191468,.T.); #253910=ORIENTED_EDGE('',*,*,#191469,.F.); #253911=ORIENTED_EDGE('',*,*,#191468,.F.); #253912=ORIENTED_EDGE('',*,*,#191470,.F.); #253913=ORIENTED_EDGE('',*,*,#191471,.T.); #253914=ORIENTED_EDGE('',*,*,#191472,.F.); #253915=ORIENTED_EDGE('',*,*,#191471,.F.); #253916=ORIENTED_EDGE('',*,*,#191473,.F.); #253917=ORIENTED_EDGE('',*,*,#191474,.T.); #253918=ORIENTED_EDGE('',*,*,#191475,.F.); #253919=ORIENTED_EDGE('',*,*,#191474,.F.); #253920=ORIENTED_EDGE('',*,*,#191476,.F.); #253921=ORIENTED_EDGE('',*,*,#191477,.T.); #253922=ORIENTED_EDGE('',*,*,#191478,.F.); #253923=ORIENTED_EDGE('',*,*,#191477,.F.); #253924=ORIENTED_EDGE('',*,*,#191479,.F.); #253925=ORIENTED_EDGE('',*,*,#191480,.T.); #253926=ORIENTED_EDGE('',*,*,#191481,.F.); #253927=ORIENTED_EDGE('',*,*,#191480,.F.); #253928=ORIENTED_EDGE('',*,*,#191482,.F.); #253929=ORIENTED_EDGE('',*,*,#191483,.T.); #253930=ORIENTED_EDGE('',*,*,#191484,.F.); #253931=ORIENTED_EDGE('',*,*,#191483,.F.); #253932=ORIENTED_EDGE('',*,*,#191485,.T.); #253933=ORIENTED_EDGE('',*,*,#191486,.T.); #253934=ORIENTED_EDGE('',*,*,#191487,.F.); #253935=ORIENTED_EDGE('',*,*,#191488,.F.); #253936=ORIENTED_EDGE('',*,*,#191489,.T.); #253937=ORIENTED_EDGE('',*,*,#191488,.T.); #253938=ORIENTED_EDGE('',*,*,#191490,.F.); #253939=ORIENTED_EDGE('',*,*,#191491,.F.); #253940=ORIENTED_EDGE('',*,*,#191492,.T.); #253941=ORIENTED_EDGE('',*,*,#191491,.T.); #253942=ORIENTED_EDGE('',*,*,#191493,.F.); #253943=ORIENTED_EDGE('',*,*,#191494,.F.); #253944=ORIENTED_EDGE('',*,*,#191495,.T.); #253945=ORIENTED_EDGE('',*,*,#191494,.T.); #253946=ORIENTED_EDGE('',*,*,#191496,.F.); #253947=ORIENTED_EDGE('',*,*,#191497,.F.); #253948=ORIENTED_EDGE('',*,*,#191498,.T.); #253949=ORIENTED_EDGE('',*,*,#191497,.T.); #253950=ORIENTED_EDGE('',*,*,#191499,.F.); #253951=ORIENTED_EDGE('',*,*,#191500,.F.); #253952=ORIENTED_EDGE('',*,*,#191501,.T.); #253953=ORIENTED_EDGE('',*,*,#191500,.T.); #253954=ORIENTED_EDGE('',*,*,#191502,.F.); #253955=ORIENTED_EDGE('',*,*,#191503,.F.); #253956=ORIENTED_EDGE('',*,*,#191504,.T.); #253957=ORIENTED_EDGE('',*,*,#191503,.T.); #253958=ORIENTED_EDGE('',*,*,#191505,.F.); #253959=ORIENTED_EDGE('',*,*,#191506,.F.); #253960=ORIENTED_EDGE('',*,*,#191507,.T.); #253961=ORIENTED_EDGE('',*,*,#191506,.T.); #253962=ORIENTED_EDGE('',*,*,#191508,.F.); #253963=ORIENTED_EDGE('',*,*,#191509,.F.); #253964=ORIENTED_EDGE('',*,*,#191510,.T.); #253965=ORIENTED_EDGE('',*,*,#191509,.T.); #253966=ORIENTED_EDGE('',*,*,#191511,.F.); #253967=ORIENTED_EDGE('',*,*,#191512,.F.); #253968=ORIENTED_EDGE('',*,*,#191513,.T.); #253969=ORIENTED_EDGE('',*,*,#191512,.T.); #253970=ORIENTED_EDGE('',*,*,#191514,.F.); #253971=ORIENTED_EDGE('',*,*,#191515,.F.); #253972=ORIENTED_EDGE('',*,*,#191516,.T.); #253973=ORIENTED_EDGE('',*,*,#191515,.T.); #253974=ORIENTED_EDGE('',*,*,#191517,.F.); #253975=ORIENTED_EDGE('',*,*,#191518,.F.); #253976=ORIENTED_EDGE('',*,*,#191519,.T.); #253977=ORIENTED_EDGE('',*,*,#191518,.T.); #253978=ORIENTED_EDGE('',*,*,#191520,.F.); #253979=ORIENTED_EDGE('',*,*,#191521,.F.); #253980=ORIENTED_EDGE('',*,*,#191522,.T.); #253981=ORIENTED_EDGE('',*,*,#191521,.T.); #253982=ORIENTED_EDGE('',*,*,#191523,.F.); #253983=ORIENTED_EDGE('',*,*,#191524,.F.); #253984=ORIENTED_EDGE('',*,*,#191525,.T.); #253985=ORIENTED_EDGE('',*,*,#191524,.T.); #253986=ORIENTED_EDGE('',*,*,#191526,.F.); #253987=ORIENTED_EDGE('',*,*,#191527,.F.); #253988=ORIENTED_EDGE('',*,*,#191528,.T.); #253989=ORIENTED_EDGE('',*,*,#191527,.T.); #253990=ORIENTED_EDGE('',*,*,#191529,.F.); #253991=ORIENTED_EDGE('',*,*,#191530,.F.); #253992=ORIENTED_EDGE('',*,*,#191531,.T.); #253993=ORIENTED_EDGE('',*,*,#191530,.T.); #253994=ORIENTED_EDGE('',*,*,#191532,.F.); #253995=ORIENTED_EDGE('',*,*,#191533,.F.); #253996=ORIENTED_EDGE('',*,*,#191534,.T.); #253997=ORIENTED_EDGE('',*,*,#191533,.T.); #253998=ORIENTED_EDGE('',*,*,#191535,.F.); #253999=ORIENTED_EDGE('',*,*,#191536,.F.); #254000=ORIENTED_EDGE('',*,*,#191537,.T.); #254001=ORIENTED_EDGE('',*,*,#191536,.T.); #254002=ORIENTED_EDGE('',*,*,#191538,.F.); #254003=ORIENTED_EDGE('',*,*,#191539,.F.); #254004=ORIENTED_EDGE('',*,*,#191540,.T.); #254005=ORIENTED_EDGE('',*,*,#191539,.T.); #254006=ORIENTED_EDGE('',*,*,#191541,.F.); #254007=ORIENTED_EDGE('',*,*,#191542,.F.); #254008=ORIENTED_EDGE('',*,*,#191543,.T.); #254009=ORIENTED_EDGE('',*,*,#191542,.T.); #254010=ORIENTED_EDGE('',*,*,#191544,.F.); #254011=ORIENTED_EDGE('',*,*,#191545,.F.); #254012=ORIENTED_EDGE('',*,*,#191546,.T.); #254013=ORIENTED_EDGE('',*,*,#191545,.T.); #254014=ORIENTED_EDGE('',*,*,#191547,.F.); #254015=ORIENTED_EDGE('',*,*,#191548,.F.); #254016=ORIENTED_EDGE('',*,*,#191549,.T.); #254017=ORIENTED_EDGE('',*,*,#191548,.T.); #254018=ORIENTED_EDGE('',*,*,#191550,.F.); #254019=ORIENTED_EDGE('',*,*,#191551,.F.); #254020=ORIENTED_EDGE('',*,*,#191552,.T.); #254021=ORIENTED_EDGE('',*,*,#191551,.T.); #254022=ORIENTED_EDGE('',*,*,#191553,.F.); #254023=ORIENTED_EDGE('',*,*,#191554,.F.); #254024=ORIENTED_EDGE('',*,*,#191555,.T.); #254025=ORIENTED_EDGE('',*,*,#191554,.T.); #254026=ORIENTED_EDGE('',*,*,#191556,.F.); #254027=ORIENTED_EDGE('',*,*,#191486,.F.); #254028=ORIENTED_EDGE('',*,*,#191557,.T.); #254029=ORIENTED_EDGE('',*,*,#191558,.T.); #254030=ORIENTED_EDGE('',*,*,#191559,.F.); #254031=ORIENTED_EDGE('',*,*,#191560,.F.); #254032=ORIENTED_EDGE('',*,*,#191561,.T.); #254033=ORIENTED_EDGE('',*,*,#191560,.T.); #254034=ORIENTED_EDGE('',*,*,#191562,.F.); #254035=ORIENTED_EDGE('',*,*,#191563,.F.); #254036=ORIENTED_EDGE('',*,*,#191564,.T.); #254037=ORIENTED_EDGE('',*,*,#191563,.T.); #254038=ORIENTED_EDGE('',*,*,#191565,.F.); #254039=ORIENTED_EDGE('',*,*,#191566,.F.); #254040=ORIENTED_EDGE('',*,*,#191567,.T.); #254041=ORIENTED_EDGE('',*,*,#191566,.T.); #254042=ORIENTED_EDGE('',*,*,#191568,.F.); #254043=ORIENTED_EDGE('',*,*,#191569,.F.); #254044=ORIENTED_EDGE('',*,*,#191570,.T.); #254045=ORIENTED_EDGE('',*,*,#191569,.T.); #254046=ORIENTED_EDGE('',*,*,#191571,.F.); #254047=ORIENTED_EDGE('',*,*,#191572,.F.); #254048=ORIENTED_EDGE('',*,*,#191573,.T.); #254049=ORIENTED_EDGE('',*,*,#191572,.T.); #254050=ORIENTED_EDGE('',*,*,#191574,.F.); #254051=ORIENTED_EDGE('',*,*,#191575,.F.); #254052=ORIENTED_EDGE('',*,*,#191576,.T.); #254053=ORIENTED_EDGE('',*,*,#191575,.T.); #254054=ORIENTED_EDGE('',*,*,#191577,.F.); #254055=ORIENTED_EDGE('',*,*,#191578,.F.); #254056=ORIENTED_EDGE('',*,*,#191579,.T.); #254057=ORIENTED_EDGE('',*,*,#191578,.T.); #254058=ORIENTED_EDGE('',*,*,#191580,.F.); #254059=ORIENTED_EDGE('',*,*,#191581,.F.); #254060=ORIENTED_EDGE('',*,*,#191582,.T.); #254061=ORIENTED_EDGE('',*,*,#191581,.T.); #254062=ORIENTED_EDGE('',*,*,#191583,.F.); #254063=ORIENTED_EDGE('',*,*,#191584,.F.); #254064=ORIENTED_EDGE('',*,*,#191585,.T.); #254065=ORIENTED_EDGE('',*,*,#191584,.T.); #254066=ORIENTED_EDGE('',*,*,#191586,.F.); #254067=ORIENTED_EDGE('',*,*,#191587,.F.); #254068=ORIENTED_EDGE('',*,*,#191588,.T.); #254069=ORIENTED_EDGE('',*,*,#191587,.T.); #254070=ORIENTED_EDGE('',*,*,#191589,.F.); #254071=ORIENTED_EDGE('',*,*,#191590,.F.); #254072=ORIENTED_EDGE('',*,*,#191591,.T.); #254073=ORIENTED_EDGE('',*,*,#191590,.T.); #254074=ORIENTED_EDGE('',*,*,#191592,.F.); #254075=ORIENTED_EDGE('',*,*,#191593,.F.); #254076=ORIENTED_EDGE('',*,*,#191594,.T.); #254077=ORIENTED_EDGE('',*,*,#191593,.T.); #254078=ORIENTED_EDGE('',*,*,#191595,.F.); #254079=ORIENTED_EDGE('',*,*,#191596,.F.); #254080=ORIENTED_EDGE('',*,*,#191597,.T.); #254081=ORIENTED_EDGE('',*,*,#191596,.T.); #254082=ORIENTED_EDGE('',*,*,#191598,.F.); #254083=ORIENTED_EDGE('',*,*,#191599,.F.); #254084=ORIENTED_EDGE('',*,*,#191600,.T.); #254085=ORIENTED_EDGE('',*,*,#191599,.T.); #254086=ORIENTED_EDGE('',*,*,#191601,.F.); #254087=ORIENTED_EDGE('',*,*,#191602,.F.); #254088=ORIENTED_EDGE('',*,*,#191603,.T.); #254089=ORIENTED_EDGE('',*,*,#191602,.T.); #254090=ORIENTED_EDGE('',*,*,#191604,.F.); #254091=ORIENTED_EDGE('',*,*,#191605,.F.); #254092=ORIENTED_EDGE('',*,*,#191606,.T.); #254093=ORIENTED_EDGE('',*,*,#191605,.T.); #254094=ORIENTED_EDGE('',*,*,#191607,.F.); #254095=ORIENTED_EDGE('',*,*,#191608,.F.); #254096=ORIENTED_EDGE('',*,*,#191609,.T.); #254097=ORIENTED_EDGE('',*,*,#191608,.T.); #254098=ORIENTED_EDGE('',*,*,#191610,.F.); #254099=ORIENTED_EDGE('',*,*,#191611,.F.); #254100=ORIENTED_EDGE('',*,*,#191612,.T.); #254101=ORIENTED_EDGE('',*,*,#191611,.T.); #254102=ORIENTED_EDGE('',*,*,#191613,.F.); #254103=ORIENTED_EDGE('',*,*,#191614,.F.); #254104=ORIENTED_EDGE('',*,*,#191615,.T.); #254105=ORIENTED_EDGE('',*,*,#191614,.T.); #254106=ORIENTED_EDGE('',*,*,#191616,.F.); #254107=ORIENTED_EDGE('',*,*,#191617,.F.); #254108=ORIENTED_EDGE('',*,*,#191618,.T.); #254109=ORIENTED_EDGE('',*,*,#191617,.T.); #254110=ORIENTED_EDGE('',*,*,#191619,.F.); #254111=ORIENTED_EDGE('',*,*,#191620,.F.); #254112=ORIENTED_EDGE('',*,*,#191621,.T.); #254113=ORIENTED_EDGE('',*,*,#191620,.T.); #254114=ORIENTED_EDGE('',*,*,#191622,.F.); #254115=ORIENTED_EDGE('',*,*,#191623,.F.); #254116=ORIENTED_EDGE('',*,*,#191624,.T.); #254117=ORIENTED_EDGE('',*,*,#191623,.T.); #254118=ORIENTED_EDGE('',*,*,#191625,.F.); #254119=ORIENTED_EDGE('',*,*,#191626,.F.); #254120=ORIENTED_EDGE('',*,*,#191627,.T.); #254121=ORIENTED_EDGE('',*,*,#191626,.T.); #254122=ORIENTED_EDGE('',*,*,#191628,.F.); #254123=ORIENTED_EDGE('',*,*,#191629,.F.); #254124=ORIENTED_EDGE('',*,*,#191630,.T.); #254125=ORIENTED_EDGE('',*,*,#191629,.T.); #254126=ORIENTED_EDGE('',*,*,#191631,.F.); #254127=ORIENTED_EDGE('',*,*,#191632,.F.); #254128=ORIENTED_EDGE('',*,*,#191633,.T.); #254129=ORIENTED_EDGE('',*,*,#191632,.T.); #254130=ORIENTED_EDGE('',*,*,#191634,.F.); #254131=ORIENTED_EDGE('',*,*,#191635,.F.); #254132=ORIENTED_EDGE('',*,*,#191636,.T.); #254133=ORIENTED_EDGE('',*,*,#191635,.T.); #254134=ORIENTED_EDGE('',*,*,#191637,.F.); #254135=ORIENTED_EDGE('',*,*,#191638,.F.); #254136=ORIENTED_EDGE('',*,*,#191639,.T.); #254137=ORIENTED_EDGE('',*,*,#191638,.T.); #254138=ORIENTED_EDGE('',*,*,#191640,.F.); #254139=ORIENTED_EDGE('',*,*,#191641,.F.); #254140=ORIENTED_EDGE('',*,*,#191642,.T.); #254141=ORIENTED_EDGE('',*,*,#191641,.T.); #254142=ORIENTED_EDGE('',*,*,#191643,.F.); #254143=ORIENTED_EDGE('',*,*,#191644,.F.); #254144=ORIENTED_EDGE('',*,*,#191645,.T.); #254145=ORIENTED_EDGE('',*,*,#191644,.T.); #254146=ORIENTED_EDGE('',*,*,#191646,.F.); #254147=ORIENTED_EDGE('',*,*,#191647,.F.); #254148=ORIENTED_EDGE('',*,*,#191648,.T.); #254149=ORIENTED_EDGE('',*,*,#191647,.T.); #254150=ORIENTED_EDGE('',*,*,#191649,.F.); #254151=ORIENTED_EDGE('',*,*,#191650,.F.); #254152=ORIENTED_EDGE('',*,*,#191651,.T.); #254153=ORIENTED_EDGE('',*,*,#191650,.T.); #254154=ORIENTED_EDGE('',*,*,#191652,.F.); #254155=ORIENTED_EDGE('',*,*,#191653,.F.); #254156=ORIENTED_EDGE('',*,*,#191654,.T.); #254157=ORIENTED_EDGE('',*,*,#191653,.T.); #254158=ORIENTED_EDGE('',*,*,#191655,.F.); #254159=ORIENTED_EDGE('',*,*,#191656,.F.); #254160=ORIENTED_EDGE('',*,*,#191657,.T.); #254161=ORIENTED_EDGE('',*,*,#191656,.T.); #254162=ORIENTED_EDGE('',*,*,#191658,.F.); #254163=ORIENTED_EDGE('',*,*,#191659,.F.); #254164=ORIENTED_EDGE('',*,*,#191660,.T.); #254165=ORIENTED_EDGE('',*,*,#191659,.T.); #254166=ORIENTED_EDGE('',*,*,#191661,.F.); #254167=ORIENTED_EDGE('',*,*,#191662,.F.); #254168=ORIENTED_EDGE('',*,*,#191663,.T.); #254169=ORIENTED_EDGE('',*,*,#191662,.T.); #254170=ORIENTED_EDGE('',*,*,#191664,.F.); #254171=ORIENTED_EDGE('',*,*,#191665,.F.); #254172=ORIENTED_EDGE('',*,*,#191666,.T.); #254173=ORIENTED_EDGE('',*,*,#191665,.T.); #254174=ORIENTED_EDGE('',*,*,#191667,.F.); #254175=ORIENTED_EDGE('',*,*,#191668,.F.); #254176=ORIENTED_EDGE('',*,*,#191669,.T.); #254177=ORIENTED_EDGE('',*,*,#191668,.T.); #254178=ORIENTED_EDGE('',*,*,#191670,.F.); #254179=ORIENTED_EDGE('',*,*,#191671,.F.); #254180=ORIENTED_EDGE('',*,*,#191672,.T.); #254181=ORIENTED_EDGE('',*,*,#191671,.T.); #254182=ORIENTED_EDGE('',*,*,#191673,.F.); #254183=ORIENTED_EDGE('',*,*,#191674,.F.); #254184=ORIENTED_EDGE('',*,*,#191675,.T.); #254185=ORIENTED_EDGE('',*,*,#191674,.T.); #254186=ORIENTED_EDGE('',*,*,#191676,.F.); #254187=ORIENTED_EDGE('',*,*,#191677,.F.); #254188=ORIENTED_EDGE('',*,*,#191678,.T.); #254189=ORIENTED_EDGE('',*,*,#191677,.T.); #254190=ORIENTED_EDGE('',*,*,#191679,.F.); #254191=ORIENTED_EDGE('',*,*,#191680,.F.); #254192=ORIENTED_EDGE('',*,*,#191681,.T.); #254193=ORIENTED_EDGE('',*,*,#191680,.T.); #254194=ORIENTED_EDGE('',*,*,#191682,.F.); #254195=ORIENTED_EDGE('',*,*,#191683,.F.); #254196=ORIENTED_EDGE('',*,*,#191684,.T.); #254197=ORIENTED_EDGE('',*,*,#191683,.T.); #254198=ORIENTED_EDGE('',*,*,#191685,.F.); #254199=ORIENTED_EDGE('',*,*,#191686,.F.); #254200=ORIENTED_EDGE('',*,*,#191687,.T.); #254201=ORIENTED_EDGE('',*,*,#191686,.T.); #254202=ORIENTED_EDGE('',*,*,#191688,.F.); #254203=ORIENTED_EDGE('',*,*,#191689,.F.); #254204=ORIENTED_EDGE('',*,*,#191690,.T.); #254205=ORIENTED_EDGE('',*,*,#191689,.T.); #254206=ORIENTED_EDGE('',*,*,#191691,.F.); #254207=ORIENTED_EDGE('',*,*,#191692,.F.); #254208=ORIENTED_EDGE('',*,*,#191693,.T.); #254209=ORIENTED_EDGE('',*,*,#191692,.T.); #254210=ORIENTED_EDGE('',*,*,#191694,.F.); #254211=ORIENTED_EDGE('',*,*,#191695,.F.); #254212=ORIENTED_EDGE('',*,*,#191696,.T.); #254213=ORIENTED_EDGE('',*,*,#191695,.T.); #254214=ORIENTED_EDGE('',*,*,#191697,.F.); #254215=ORIENTED_EDGE('',*,*,#191698,.F.); #254216=ORIENTED_EDGE('',*,*,#191699,.T.); #254217=ORIENTED_EDGE('',*,*,#191698,.T.); #254218=ORIENTED_EDGE('',*,*,#191700,.F.); #254219=ORIENTED_EDGE('',*,*,#191701,.F.); #254220=ORIENTED_EDGE('',*,*,#191702,.T.); #254221=ORIENTED_EDGE('',*,*,#191701,.T.); #254222=ORIENTED_EDGE('',*,*,#191703,.F.); #254223=ORIENTED_EDGE('',*,*,#191704,.F.); #254224=ORIENTED_EDGE('',*,*,#191705,.T.); #254225=ORIENTED_EDGE('',*,*,#191704,.T.); #254226=ORIENTED_EDGE('',*,*,#191706,.F.); #254227=ORIENTED_EDGE('',*,*,#191707,.F.); #254228=ORIENTED_EDGE('',*,*,#191708,.T.); #254229=ORIENTED_EDGE('',*,*,#191707,.T.); #254230=ORIENTED_EDGE('',*,*,#191709,.F.); #254231=ORIENTED_EDGE('',*,*,#191710,.F.); #254232=ORIENTED_EDGE('',*,*,#191711,.T.); #254233=ORIENTED_EDGE('',*,*,#191710,.T.); #254234=ORIENTED_EDGE('',*,*,#191712,.F.); #254235=ORIENTED_EDGE('',*,*,#191713,.F.); #254236=ORIENTED_EDGE('',*,*,#191714,.T.); #254237=ORIENTED_EDGE('',*,*,#191713,.T.); #254238=ORIENTED_EDGE('',*,*,#191715,.F.); #254239=ORIENTED_EDGE('',*,*,#191716,.F.); #254240=ORIENTED_EDGE('',*,*,#191717,.T.); #254241=ORIENTED_EDGE('',*,*,#191716,.T.); #254242=ORIENTED_EDGE('',*,*,#191718,.F.); #254243=ORIENTED_EDGE('',*,*,#191719,.F.); #254244=ORIENTED_EDGE('',*,*,#191720,.T.); #254245=ORIENTED_EDGE('',*,*,#191719,.T.); #254246=ORIENTED_EDGE('',*,*,#191721,.F.); #254247=ORIENTED_EDGE('',*,*,#191722,.F.); #254248=ORIENTED_EDGE('',*,*,#191723,.T.); #254249=ORIENTED_EDGE('',*,*,#191722,.T.); #254250=ORIENTED_EDGE('',*,*,#191724,.F.); #254251=ORIENTED_EDGE('',*,*,#191725,.F.); #254252=ORIENTED_EDGE('',*,*,#191726,.T.); #254253=ORIENTED_EDGE('',*,*,#191725,.T.); #254254=ORIENTED_EDGE('',*,*,#191727,.F.); #254255=ORIENTED_EDGE('',*,*,#191728,.F.); #254256=ORIENTED_EDGE('',*,*,#191729,.T.); #254257=ORIENTED_EDGE('',*,*,#191728,.T.); #254258=ORIENTED_EDGE('',*,*,#191730,.F.); #254259=ORIENTED_EDGE('',*,*,#191731,.F.); #254260=ORIENTED_EDGE('',*,*,#191732,.T.); #254261=ORIENTED_EDGE('',*,*,#191731,.T.); #254262=ORIENTED_EDGE('',*,*,#191733,.F.); #254263=ORIENTED_EDGE('',*,*,#191734,.F.); #254264=ORIENTED_EDGE('',*,*,#191735,.T.); #254265=ORIENTED_EDGE('',*,*,#191734,.T.); #254266=ORIENTED_EDGE('',*,*,#191736,.F.); #254267=ORIENTED_EDGE('',*,*,#191737,.F.); #254268=ORIENTED_EDGE('',*,*,#191738,.T.); #254269=ORIENTED_EDGE('',*,*,#191737,.T.); #254270=ORIENTED_EDGE('',*,*,#191739,.F.); #254271=ORIENTED_EDGE('',*,*,#191740,.F.); #254272=ORIENTED_EDGE('',*,*,#191741,.T.); #254273=ORIENTED_EDGE('',*,*,#191740,.T.); #254274=ORIENTED_EDGE('',*,*,#191742,.F.); #254275=ORIENTED_EDGE('',*,*,#191743,.F.); #254276=ORIENTED_EDGE('',*,*,#191744,.T.); #254277=ORIENTED_EDGE('',*,*,#191743,.T.); #254278=ORIENTED_EDGE('',*,*,#191745,.F.); #254279=ORIENTED_EDGE('',*,*,#191746,.F.); #254280=ORIENTED_EDGE('',*,*,#191747,.T.); #254281=ORIENTED_EDGE('',*,*,#191746,.T.); #254282=ORIENTED_EDGE('',*,*,#191748,.F.); #254283=ORIENTED_EDGE('',*,*,#191749,.F.); #254284=ORIENTED_EDGE('',*,*,#191750,.T.); #254285=ORIENTED_EDGE('',*,*,#191749,.T.); #254286=ORIENTED_EDGE('',*,*,#191751,.F.); #254287=ORIENTED_EDGE('',*,*,#191752,.F.); #254288=ORIENTED_EDGE('',*,*,#191753,.T.); #254289=ORIENTED_EDGE('',*,*,#191752,.T.); #254290=ORIENTED_EDGE('',*,*,#191754,.F.); #254291=ORIENTED_EDGE('',*,*,#191755,.F.); #254292=ORIENTED_EDGE('',*,*,#191756,.T.); #254293=ORIENTED_EDGE('',*,*,#191755,.T.); #254294=ORIENTED_EDGE('',*,*,#191757,.F.); #254295=ORIENTED_EDGE('',*,*,#191758,.F.); #254296=ORIENTED_EDGE('',*,*,#191759,.T.); #254297=ORIENTED_EDGE('',*,*,#191758,.T.); #254298=ORIENTED_EDGE('',*,*,#191760,.F.); #254299=ORIENTED_EDGE('',*,*,#191761,.F.); #254300=ORIENTED_EDGE('',*,*,#191762,.T.); #254301=ORIENTED_EDGE('',*,*,#191761,.T.); #254302=ORIENTED_EDGE('',*,*,#191763,.F.); #254303=ORIENTED_EDGE('',*,*,#191764,.F.); #254304=ORIENTED_EDGE('',*,*,#191765,.T.); #254305=ORIENTED_EDGE('',*,*,#191764,.T.); #254306=ORIENTED_EDGE('',*,*,#191766,.F.); #254307=ORIENTED_EDGE('',*,*,#191767,.F.); #254308=ORIENTED_EDGE('',*,*,#191768,.T.); #254309=ORIENTED_EDGE('',*,*,#191767,.T.); #254310=ORIENTED_EDGE('',*,*,#191769,.F.); #254311=ORIENTED_EDGE('',*,*,#191770,.F.); #254312=ORIENTED_EDGE('',*,*,#191771,.T.); #254313=ORIENTED_EDGE('',*,*,#191770,.T.); #254314=ORIENTED_EDGE('',*,*,#191772,.F.); #254315=ORIENTED_EDGE('',*,*,#191773,.F.); #254316=ORIENTED_EDGE('',*,*,#191774,.T.); #254317=ORIENTED_EDGE('',*,*,#191773,.T.); #254318=ORIENTED_EDGE('',*,*,#191775,.F.); #254319=ORIENTED_EDGE('',*,*,#191776,.F.); #254320=ORIENTED_EDGE('',*,*,#191777,.T.); #254321=ORIENTED_EDGE('',*,*,#191776,.T.); #254322=ORIENTED_EDGE('',*,*,#191778,.F.); #254323=ORIENTED_EDGE('',*,*,#191779,.F.); #254324=ORIENTED_EDGE('',*,*,#191780,.T.); #254325=ORIENTED_EDGE('',*,*,#191779,.T.); #254326=ORIENTED_EDGE('',*,*,#191781,.F.); #254327=ORIENTED_EDGE('',*,*,#191782,.F.); #254328=ORIENTED_EDGE('',*,*,#191783,.T.); #254329=ORIENTED_EDGE('',*,*,#191782,.T.); #254330=ORIENTED_EDGE('',*,*,#191784,.F.); #254331=ORIENTED_EDGE('',*,*,#191785,.F.); #254332=ORIENTED_EDGE('',*,*,#191786,.T.); #254333=ORIENTED_EDGE('',*,*,#191785,.T.); #254334=ORIENTED_EDGE('',*,*,#191787,.F.); #254335=ORIENTED_EDGE('',*,*,#191788,.F.); #254336=ORIENTED_EDGE('',*,*,#191789,.T.); #254337=ORIENTED_EDGE('',*,*,#191788,.T.); #254338=ORIENTED_EDGE('',*,*,#191790,.F.); #254339=ORIENTED_EDGE('',*,*,#191791,.F.); #254340=ORIENTED_EDGE('',*,*,#191792,.T.); #254341=ORIENTED_EDGE('',*,*,#191791,.T.); #254342=ORIENTED_EDGE('',*,*,#191793,.F.); #254343=ORIENTED_EDGE('',*,*,#191794,.F.); #254344=ORIENTED_EDGE('',*,*,#191795,.T.); #254345=ORIENTED_EDGE('',*,*,#191794,.T.); #254346=ORIENTED_EDGE('',*,*,#191796,.F.); #254347=ORIENTED_EDGE('',*,*,#191797,.F.); #254348=ORIENTED_EDGE('',*,*,#191798,.T.); #254349=ORIENTED_EDGE('',*,*,#191797,.T.); #254350=ORIENTED_EDGE('',*,*,#191799,.F.); #254351=ORIENTED_EDGE('',*,*,#191800,.F.); #254352=ORIENTED_EDGE('',*,*,#191801,.T.); #254353=ORIENTED_EDGE('',*,*,#191800,.T.); #254354=ORIENTED_EDGE('',*,*,#191802,.F.); #254355=ORIENTED_EDGE('',*,*,#191803,.F.); #254356=ORIENTED_EDGE('',*,*,#191804,.T.); #254357=ORIENTED_EDGE('',*,*,#191803,.T.); #254358=ORIENTED_EDGE('',*,*,#191805,.F.); #254359=ORIENTED_EDGE('',*,*,#191806,.F.); #254360=ORIENTED_EDGE('',*,*,#191807,.T.); #254361=ORIENTED_EDGE('',*,*,#191806,.T.); #254362=ORIENTED_EDGE('',*,*,#191808,.F.); #254363=ORIENTED_EDGE('',*,*,#191809,.F.); #254364=ORIENTED_EDGE('',*,*,#191810,.T.); #254365=ORIENTED_EDGE('',*,*,#191809,.T.); #254366=ORIENTED_EDGE('',*,*,#191811,.F.); #254367=ORIENTED_EDGE('',*,*,#191812,.F.); #254368=ORIENTED_EDGE('',*,*,#191813,.T.); #254369=ORIENTED_EDGE('',*,*,#191812,.T.); #254370=ORIENTED_EDGE('',*,*,#191814,.F.); #254371=ORIENTED_EDGE('',*,*,#191815,.F.); #254372=ORIENTED_EDGE('',*,*,#191816,.T.); #254373=ORIENTED_EDGE('',*,*,#191815,.T.); #254374=ORIENTED_EDGE('',*,*,#191817,.F.); #254375=ORIENTED_EDGE('',*,*,#191818,.F.); #254376=ORIENTED_EDGE('',*,*,#191819,.T.); #254377=ORIENTED_EDGE('',*,*,#191818,.T.); #254378=ORIENTED_EDGE('',*,*,#191820,.F.); #254379=ORIENTED_EDGE('',*,*,#191821,.F.); #254380=ORIENTED_EDGE('',*,*,#191822,.T.); #254381=ORIENTED_EDGE('',*,*,#191821,.T.); #254382=ORIENTED_EDGE('',*,*,#191823,.F.); #254383=ORIENTED_EDGE('',*,*,#191824,.F.); #254384=ORIENTED_EDGE('',*,*,#191825,.T.); #254385=ORIENTED_EDGE('',*,*,#191824,.T.); #254386=ORIENTED_EDGE('',*,*,#191826,.F.); #254387=ORIENTED_EDGE('',*,*,#191827,.F.); #254388=ORIENTED_EDGE('',*,*,#191828,.T.); #254389=ORIENTED_EDGE('',*,*,#191827,.T.); #254390=ORIENTED_EDGE('',*,*,#191829,.F.); #254391=ORIENTED_EDGE('',*,*,#191830,.F.); #254392=ORIENTED_EDGE('',*,*,#191831,.T.); #254393=ORIENTED_EDGE('',*,*,#191830,.T.); #254394=ORIENTED_EDGE('',*,*,#191832,.F.); #254395=ORIENTED_EDGE('',*,*,#191833,.F.); #254396=ORIENTED_EDGE('',*,*,#191834,.T.); #254397=ORIENTED_EDGE('',*,*,#191833,.T.); #254398=ORIENTED_EDGE('',*,*,#191835,.F.); #254399=ORIENTED_EDGE('',*,*,#191836,.F.); #254400=ORIENTED_EDGE('',*,*,#191837,.T.); #254401=ORIENTED_EDGE('',*,*,#191836,.T.); #254402=ORIENTED_EDGE('',*,*,#191838,.F.); #254403=ORIENTED_EDGE('',*,*,#191839,.F.); #254404=ORIENTED_EDGE('',*,*,#191840,.T.); #254405=ORIENTED_EDGE('',*,*,#191839,.T.); #254406=ORIENTED_EDGE('',*,*,#191841,.F.); #254407=ORIENTED_EDGE('',*,*,#191842,.F.); #254408=ORIENTED_EDGE('',*,*,#191843,.T.); #254409=ORIENTED_EDGE('',*,*,#191842,.T.); #254410=ORIENTED_EDGE('',*,*,#191844,.F.); #254411=ORIENTED_EDGE('',*,*,#191845,.F.); #254412=ORIENTED_EDGE('',*,*,#191846,.T.); #254413=ORIENTED_EDGE('',*,*,#191845,.T.); #254414=ORIENTED_EDGE('',*,*,#191847,.F.); #254415=ORIENTED_EDGE('',*,*,#191848,.F.); #254416=ORIENTED_EDGE('',*,*,#191849,.T.); #254417=ORIENTED_EDGE('',*,*,#191848,.T.); #254418=ORIENTED_EDGE('',*,*,#191850,.F.); #254419=ORIENTED_EDGE('',*,*,#191851,.F.); #254420=ORIENTED_EDGE('',*,*,#191852,.T.); #254421=ORIENTED_EDGE('',*,*,#191851,.T.); #254422=ORIENTED_EDGE('',*,*,#191853,.F.); #254423=ORIENTED_EDGE('',*,*,#191854,.F.); #254424=ORIENTED_EDGE('',*,*,#191855,.T.); #254425=ORIENTED_EDGE('',*,*,#191854,.T.); #254426=ORIENTED_EDGE('',*,*,#191856,.F.); #254427=ORIENTED_EDGE('',*,*,#191857,.F.); #254428=ORIENTED_EDGE('',*,*,#191858,.T.); #254429=ORIENTED_EDGE('',*,*,#191857,.T.); #254430=ORIENTED_EDGE('',*,*,#191859,.F.); #254431=ORIENTED_EDGE('',*,*,#191860,.F.); #254432=ORIENTED_EDGE('',*,*,#191861,.T.); #254433=ORIENTED_EDGE('',*,*,#191860,.T.); #254434=ORIENTED_EDGE('',*,*,#191862,.F.); #254435=ORIENTED_EDGE('',*,*,#191863,.F.); #254436=ORIENTED_EDGE('',*,*,#191864,.T.); #254437=ORIENTED_EDGE('',*,*,#191863,.T.); #254438=ORIENTED_EDGE('',*,*,#191865,.F.); #254439=ORIENTED_EDGE('',*,*,#191866,.F.); #254440=ORIENTED_EDGE('',*,*,#191867,.T.); #254441=ORIENTED_EDGE('',*,*,#191866,.T.); #254442=ORIENTED_EDGE('',*,*,#191868,.F.); #254443=ORIENTED_EDGE('',*,*,#191869,.F.); #254444=ORIENTED_EDGE('',*,*,#191870,.T.); #254445=ORIENTED_EDGE('',*,*,#191869,.T.); #254446=ORIENTED_EDGE('',*,*,#191871,.F.); #254447=ORIENTED_EDGE('',*,*,#191872,.F.); #254448=ORIENTED_EDGE('',*,*,#191873,.T.); #254449=ORIENTED_EDGE('',*,*,#191872,.T.); #254450=ORIENTED_EDGE('',*,*,#191874,.F.); #254451=ORIENTED_EDGE('',*,*,#191875,.F.); #254452=ORIENTED_EDGE('',*,*,#191876,.T.); #254453=ORIENTED_EDGE('',*,*,#191875,.T.); #254454=ORIENTED_EDGE('',*,*,#191877,.F.); #254455=ORIENTED_EDGE('',*,*,#191878,.F.); #254456=ORIENTED_EDGE('',*,*,#191879,.T.); #254457=ORIENTED_EDGE('',*,*,#191878,.T.); #254458=ORIENTED_EDGE('',*,*,#191880,.F.); #254459=ORIENTED_EDGE('',*,*,#191881,.F.); #254460=ORIENTED_EDGE('',*,*,#191882,.T.); #254461=ORIENTED_EDGE('',*,*,#191881,.T.); #254462=ORIENTED_EDGE('',*,*,#191883,.F.); #254463=ORIENTED_EDGE('',*,*,#191884,.F.); #254464=ORIENTED_EDGE('',*,*,#191885,.T.); #254465=ORIENTED_EDGE('',*,*,#191884,.T.); #254466=ORIENTED_EDGE('',*,*,#191886,.F.); #254467=ORIENTED_EDGE('',*,*,#191887,.F.); #254468=ORIENTED_EDGE('',*,*,#191888,.T.); #254469=ORIENTED_EDGE('',*,*,#191887,.T.); #254470=ORIENTED_EDGE('',*,*,#191889,.F.); #254471=ORIENTED_EDGE('',*,*,#191890,.F.); #254472=ORIENTED_EDGE('',*,*,#191891,.T.); #254473=ORIENTED_EDGE('',*,*,#191890,.T.); #254474=ORIENTED_EDGE('',*,*,#191892,.F.); #254475=ORIENTED_EDGE('',*,*,#191893,.F.); #254476=ORIENTED_EDGE('',*,*,#191894,.T.); #254477=ORIENTED_EDGE('',*,*,#191893,.T.); #254478=ORIENTED_EDGE('',*,*,#191895,.F.); #254479=ORIENTED_EDGE('',*,*,#191896,.F.); #254480=ORIENTED_EDGE('',*,*,#191897,.T.); #254481=ORIENTED_EDGE('',*,*,#191896,.T.); #254482=ORIENTED_EDGE('',*,*,#191898,.F.); #254483=ORIENTED_EDGE('',*,*,#191899,.F.); #254484=ORIENTED_EDGE('',*,*,#191900,.T.); #254485=ORIENTED_EDGE('',*,*,#191899,.T.); #254486=ORIENTED_EDGE('',*,*,#191901,.F.); #254487=ORIENTED_EDGE('',*,*,#191902,.F.); #254488=ORIENTED_EDGE('',*,*,#191903,.T.); #254489=ORIENTED_EDGE('',*,*,#191902,.T.); #254490=ORIENTED_EDGE('',*,*,#191904,.F.); #254491=ORIENTED_EDGE('',*,*,#191905,.F.); #254492=ORIENTED_EDGE('',*,*,#191906,.T.); #254493=ORIENTED_EDGE('',*,*,#191905,.T.); #254494=ORIENTED_EDGE('',*,*,#191907,.F.); #254495=ORIENTED_EDGE('',*,*,#191908,.F.); #254496=ORIENTED_EDGE('',*,*,#191909,.T.); #254497=ORIENTED_EDGE('',*,*,#191908,.T.); #254498=ORIENTED_EDGE('',*,*,#191910,.F.); #254499=ORIENTED_EDGE('',*,*,#191911,.F.); #254500=ORIENTED_EDGE('',*,*,#191912,.T.); #254501=ORIENTED_EDGE('',*,*,#191911,.T.); #254502=ORIENTED_EDGE('',*,*,#191913,.F.); #254503=ORIENTED_EDGE('',*,*,#191914,.F.); #254504=ORIENTED_EDGE('',*,*,#191915,.T.); #254505=ORIENTED_EDGE('',*,*,#191914,.T.); #254506=ORIENTED_EDGE('',*,*,#191916,.F.); #254507=ORIENTED_EDGE('',*,*,#191917,.F.); #254508=ORIENTED_EDGE('',*,*,#191918,.T.); #254509=ORIENTED_EDGE('',*,*,#191917,.T.); #254510=ORIENTED_EDGE('',*,*,#191919,.F.); #254511=ORIENTED_EDGE('',*,*,#191920,.F.); #254512=ORIENTED_EDGE('',*,*,#191921,.T.); #254513=ORIENTED_EDGE('',*,*,#191920,.T.); #254514=ORIENTED_EDGE('',*,*,#191922,.F.); #254515=ORIENTED_EDGE('',*,*,#191923,.F.); #254516=ORIENTED_EDGE('',*,*,#191924,.T.); #254517=ORIENTED_EDGE('',*,*,#191923,.T.); #254518=ORIENTED_EDGE('',*,*,#191925,.F.); #254519=ORIENTED_EDGE('',*,*,#191926,.F.); #254520=ORIENTED_EDGE('',*,*,#191927,.T.); #254521=ORIENTED_EDGE('',*,*,#191926,.T.); #254522=ORIENTED_EDGE('',*,*,#191928,.F.); #254523=ORIENTED_EDGE('',*,*,#191929,.F.); #254524=ORIENTED_EDGE('',*,*,#191930,.T.); #254525=ORIENTED_EDGE('',*,*,#191929,.T.); #254526=ORIENTED_EDGE('',*,*,#191931,.F.); #254527=ORIENTED_EDGE('',*,*,#191932,.F.); #254528=ORIENTED_EDGE('',*,*,#191933,.T.); #254529=ORIENTED_EDGE('',*,*,#191932,.T.); #254530=ORIENTED_EDGE('',*,*,#191934,.F.); #254531=ORIENTED_EDGE('',*,*,#191935,.F.); #254532=ORIENTED_EDGE('',*,*,#191936,.T.); #254533=ORIENTED_EDGE('',*,*,#191935,.T.); #254534=ORIENTED_EDGE('',*,*,#191937,.F.); #254535=ORIENTED_EDGE('',*,*,#191938,.F.); #254536=ORIENTED_EDGE('',*,*,#191939,.T.); #254537=ORIENTED_EDGE('',*,*,#191938,.T.); #254538=ORIENTED_EDGE('',*,*,#191940,.F.); #254539=ORIENTED_EDGE('',*,*,#191941,.F.); #254540=ORIENTED_EDGE('',*,*,#191942,.T.); #254541=ORIENTED_EDGE('',*,*,#191941,.T.); #254542=ORIENTED_EDGE('',*,*,#191943,.F.); #254543=ORIENTED_EDGE('',*,*,#191944,.F.); #254544=ORIENTED_EDGE('',*,*,#191945,.T.); #254545=ORIENTED_EDGE('',*,*,#191944,.T.); #254546=ORIENTED_EDGE('',*,*,#191946,.F.); #254547=ORIENTED_EDGE('',*,*,#191947,.F.); #254548=ORIENTED_EDGE('',*,*,#191948,.T.); #254549=ORIENTED_EDGE('',*,*,#191947,.T.); #254550=ORIENTED_EDGE('',*,*,#191949,.F.); #254551=ORIENTED_EDGE('',*,*,#191950,.F.); #254552=ORIENTED_EDGE('',*,*,#191951,.T.); #254553=ORIENTED_EDGE('',*,*,#191950,.T.); #254554=ORIENTED_EDGE('',*,*,#191952,.F.); #254555=ORIENTED_EDGE('',*,*,#191953,.F.); #254556=ORIENTED_EDGE('',*,*,#191954,.T.); #254557=ORIENTED_EDGE('',*,*,#191953,.T.); #254558=ORIENTED_EDGE('',*,*,#191955,.F.); #254559=ORIENTED_EDGE('',*,*,#191956,.F.); #254560=ORIENTED_EDGE('',*,*,#191957,.T.); #254561=ORIENTED_EDGE('',*,*,#191956,.T.); #254562=ORIENTED_EDGE('',*,*,#191958,.F.); #254563=ORIENTED_EDGE('',*,*,#191959,.F.); #254564=ORIENTED_EDGE('',*,*,#191960,.T.); #254565=ORIENTED_EDGE('',*,*,#191959,.T.); #254566=ORIENTED_EDGE('',*,*,#191961,.F.); #254567=ORIENTED_EDGE('',*,*,#191962,.F.); #254568=ORIENTED_EDGE('',*,*,#191963,.T.); #254569=ORIENTED_EDGE('',*,*,#191962,.T.); #254570=ORIENTED_EDGE('',*,*,#191964,.F.); #254571=ORIENTED_EDGE('',*,*,#191965,.F.); #254572=ORIENTED_EDGE('',*,*,#191966,.T.); #254573=ORIENTED_EDGE('',*,*,#191965,.T.); #254574=ORIENTED_EDGE('',*,*,#191967,.F.); #254575=ORIENTED_EDGE('',*,*,#191968,.F.); #254576=ORIENTED_EDGE('',*,*,#191969,.T.); #254577=ORIENTED_EDGE('',*,*,#191968,.T.); #254578=ORIENTED_EDGE('',*,*,#191970,.F.); #254579=ORIENTED_EDGE('',*,*,#191971,.F.); #254580=ORIENTED_EDGE('',*,*,#191972,.T.); #254581=ORIENTED_EDGE('',*,*,#191971,.T.); #254582=ORIENTED_EDGE('',*,*,#191973,.F.); #254583=ORIENTED_EDGE('',*,*,#191974,.F.); #254584=ORIENTED_EDGE('',*,*,#191975,.T.); #254585=ORIENTED_EDGE('',*,*,#191974,.T.); #254586=ORIENTED_EDGE('',*,*,#191976,.F.); #254587=ORIENTED_EDGE('',*,*,#191977,.F.); #254588=ORIENTED_EDGE('',*,*,#191978,.T.); #254589=ORIENTED_EDGE('',*,*,#191977,.T.); #254590=ORIENTED_EDGE('',*,*,#191979,.F.); #254591=ORIENTED_EDGE('',*,*,#191980,.F.); #254592=ORIENTED_EDGE('',*,*,#191981,.T.); #254593=ORIENTED_EDGE('',*,*,#191980,.T.); #254594=ORIENTED_EDGE('',*,*,#191982,.F.); #254595=ORIENTED_EDGE('',*,*,#191983,.F.); #254596=ORIENTED_EDGE('',*,*,#191984,.T.); #254597=ORIENTED_EDGE('',*,*,#191983,.T.); #254598=ORIENTED_EDGE('',*,*,#191985,.F.); #254599=ORIENTED_EDGE('',*,*,#191986,.F.); #254600=ORIENTED_EDGE('',*,*,#191987,.T.); #254601=ORIENTED_EDGE('',*,*,#191986,.T.); #254602=ORIENTED_EDGE('',*,*,#191988,.F.); #254603=ORIENTED_EDGE('',*,*,#191989,.F.); #254604=ORIENTED_EDGE('',*,*,#191990,.T.); #254605=ORIENTED_EDGE('',*,*,#191989,.T.); #254606=ORIENTED_EDGE('',*,*,#191991,.F.); #254607=ORIENTED_EDGE('',*,*,#191992,.F.); #254608=ORIENTED_EDGE('',*,*,#191993,.T.); #254609=ORIENTED_EDGE('',*,*,#191992,.T.); #254610=ORIENTED_EDGE('',*,*,#191994,.F.); #254611=ORIENTED_EDGE('',*,*,#191995,.F.); #254612=ORIENTED_EDGE('',*,*,#191996,.T.); #254613=ORIENTED_EDGE('',*,*,#191995,.T.); #254614=ORIENTED_EDGE('',*,*,#191997,.F.); #254615=ORIENTED_EDGE('',*,*,#191998,.F.); #254616=ORIENTED_EDGE('',*,*,#191999,.T.); #254617=ORIENTED_EDGE('',*,*,#191998,.T.); #254618=ORIENTED_EDGE('',*,*,#192000,.F.); #254619=ORIENTED_EDGE('',*,*,#192001,.F.); #254620=ORIENTED_EDGE('',*,*,#192002,.T.); #254621=ORIENTED_EDGE('',*,*,#192001,.T.); #254622=ORIENTED_EDGE('',*,*,#192003,.F.); #254623=ORIENTED_EDGE('',*,*,#192004,.F.); #254624=ORIENTED_EDGE('',*,*,#192005,.T.); #254625=ORIENTED_EDGE('',*,*,#192004,.T.); #254626=ORIENTED_EDGE('',*,*,#192006,.F.); #254627=ORIENTED_EDGE('',*,*,#192007,.F.); #254628=ORIENTED_EDGE('',*,*,#192008,.T.); #254629=ORIENTED_EDGE('',*,*,#192007,.T.); #254630=ORIENTED_EDGE('',*,*,#192009,.F.); #254631=ORIENTED_EDGE('',*,*,#192010,.F.); #254632=ORIENTED_EDGE('',*,*,#192011,.T.); #254633=ORIENTED_EDGE('',*,*,#192010,.T.); #254634=ORIENTED_EDGE('',*,*,#192012,.F.); #254635=ORIENTED_EDGE('',*,*,#192013,.F.); #254636=ORIENTED_EDGE('',*,*,#192014,.T.); #254637=ORIENTED_EDGE('',*,*,#192013,.T.); #254638=ORIENTED_EDGE('',*,*,#192015,.F.); #254639=ORIENTED_EDGE('',*,*,#192016,.F.); #254640=ORIENTED_EDGE('',*,*,#192017,.T.); #254641=ORIENTED_EDGE('',*,*,#192016,.T.); #254642=ORIENTED_EDGE('',*,*,#192018,.F.); #254643=ORIENTED_EDGE('',*,*,#192019,.F.); #254644=ORIENTED_EDGE('',*,*,#192020,.T.); #254645=ORIENTED_EDGE('',*,*,#192019,.T.); #254646=ORIENTED_EDGE('',*,*,#192021,.F.); #254647=ORIENTED_EDGE('',*,*,#192022,.F.); #254648=ORIENTED_EDGE('',*,*,#192023,.T.); #254649=ORIENTED_EDGE('',*,*,#192022,.T.); #254650=ORIENTED_EDGE('',*,*,#192024,.F.); #254651=ORIENTED_EDGE('',*,*,#192025,.F.); #254652=ORIENTED_EDGE('',*,*,#192026,.T.); #254653=ORIENTED_EDGE('',*,*,#192025,.T.); #254654=ORIENTED_EDGE('',*,*,#192027,.F.); #254655=ORIENTED_EDGE('',*,*,#192028,.F.); #254656=ORIENTED_EDGE('',*,*,#192029,.T.); #254657=ORIENTED_EDGE('',*,*,#192028,.T.); #254658=ORIENTED_EDGE('',*,*,#192030,.F.); #254659=ORIENTED_EDGE('',*,*,#192031,.F.); #254660=ORIENTED_EDGE('',*,*,#192032,.T.); #254661=ORIENTED_EDGE('',*,*,#192031,.T.); #254662=ORIENTED_EDGE('',*,*,#192033,.F.); #254663=ORIENTED_EDGE('',*,*,#192034,.F.); #254664=ORIENTED_EDGE('',*,*,#192035,.T.); #254665=ORIENTED_EDGE('',*,*,#192034,.T.); #254666=ORIENTED_EDGE('',*,*,#192036,.F.); #254667=ORIENTED_EDGE('',*,*,#192037,.F.); #254668=ORIENTED_EDGE('',*,*,#192038,.T.); #254669=ORIENTED_EDGE('',*,*,#192037,.T.); #254670=ORIENTED_EDGE('',*,*,#192039,.F.); #254671=ORIENTED_EDGE('',*,*,#192040,.F.); #254672=ORIENTED_EDGE('',*,*,#192041,.T.); #254673=ORIENTED_EDGE('',*,*,#192040,.T.); #254674=ORIENTED_EDGE('',*,*,#192042,.F.); #254675=ORIENTED_EDGE('',*,*,#192043,.F.); #254676=ORIENTED_EDGE('',*,*,#192044,.T.); #254677=ORIENTED_EDGE('',*,*,#192043,.T.); #254678=ORIENTED_EDGE('',*,*,#192045,.F.); #254679=ORIENTED_EDGE('',*,*,#192046,.F.); #254680=ORIENTED_EDGE('',*,*,#192047,.T.); #254681=ORIENTED_EDGE('',*,*,#192046,.T.); #254682=ORIENTED_EDGE('',*,*,#192048,.F.); #254683=ORIENTED_EDGE('',*,*,#192049,.F.); #254684=ORIENTED_EDGE('',*,*,#192050,.T.); #254685=ORIENTED_EDGE('',*,*,#192049,.T.); #254686=ORIENTED_EDGE('',*,*,#192051,.F.); #254687=ORIENTED_EDGE('',*,*,#192052,.F.); #254688=ORIENTED_EDGE('',*,*,#192053,.T.); #254689=ORIENTED_EDGE('',*,*,#192052,.T.); #254690=ORIENTED_EDGE('',*,*,#192054,.F.); #254691=ORIENTED_EDGE('',*,*,#192055,.F.); #254692=ORIENTED_EDGE('',*,*,#192056,.T.); #254693=ORIENTED_EDGE('',*,*,#192055,.T.); #254694=ORIENTED_EDGE('',*,*,#192057,.F.); #254695=ORIENTED_EDGE('',*,*,#192058,.F.); #254696=ORIENTED_EDGE('',*,*,#192059,.T.); #254697=ORIENTED_EDGE('',*,*,#192058,.T.); #254698=ORIENTED_EDGE('',*,*,#192060,.F.); #254699=ORIENTED_EDGE('',*,*,#192061,.F.); #254700=ORIENTED_EDGE('',*,*,#192062,.T.); #254701=ORIENTED_EDGE('',*,*,#192061,.T.); #254702=ORIENTED_EDGE('',*,*,#192063,.F.); #254703=ORIENTED_EDGE('',*,*,#192064,.F.); #254704=ORIENTED_EDGE('',*,*,#192065,.T.); #254705=ORIENTED_EDGE('',*,*,#192064,.T.); #254706=ORIENTED_EDGE('',*,*,#192066,.F.); #254707=ORIENTED_EDGE('',*,*,#192067,.F.); #254708=ORIENTED_EDGE('',*,*,#192068,.T.); #254709=ORIENTED_EDGE('',*,*,#192067,.T.); #254710=ORIENTED_EDGE('',*,*,#192069,.F.); #254711=ORIENTED_EDGE('',*,*,#192070,.F.); #254712=ORIENTED_EDGE('',*,*,#192071,.T.); #254713=ORIENTED_EDGE('',*,*,#192070,.T.); #254714=ORIENTED_EDGE('',*,*,#192072,.F.); #254715=ORIENTED_EDGE('',*,*,#192073,.F.); #254716=ORIENTED_EDGE('',*,*,#192074,.T.); #254717=ORIENTED_EDGE('',*,*,#192073,.T.); #254718=ORIENTED_EDGE('',*,*,#192075,.F.); #254719=ORIENTED_EDGE('',*,*,#192076,.F.); #254720=ORIENTED_EDGE('',*,*,#192077,.T.); #254721=ORIENTED_EDGE('',*,*,#192076,.T.); #254722=ORIENTED_EDGE('',*,*,#192078,.F.); #254723=ORIENTED_EDGE('',*,*,#192079,.F.); #254724=ORIENTED_EDGE('',*,*,#192080,.T.); #254725=ORIENTED_EDGE('',*,*,#192079,.T.); #254726=ORIENTED_EDGE('',*,*,#192081,.F.); #254727=ORIENTED_EDGE('',*,*,#192082,.F.); #254728=ORIENTED_EDGE('',*,*,#192083,.T.); #254729=ORIENTED_EDGE('',*,*,#192082,.T.); #254730=ORIENTED_EDGE('',*,*,#192084,.F.); #254731=ORIENTED_EDGE('',*,*,#192085,.F.); #254732=ORIENTED_EDGE('',*,*,#192086,.T.); #254733=ORIENTED_EDGE('',*,*,#192085,.T.); #254734=ORIENTED_EDGE('',*,*,#192087,.F.); #254735=ORIENTED_EDGE('',*,*,#192088,.F.); #254736=ORIENTED_EDGE('',*,*,#192089,.T.); #254737=ORIENTED_EDGE('',*,*,#192088,.T.); #254738=ORIENTED_EDGE('',*,*,#192090,.F.); #254739=ORIENTED_EDGE('',*,*,#192091,.F.); #254740=ORIENTED_EDGE('',*,*,#192092,.T.); #254741=ORIENTED_EDGE('',*,*,#192091,.T.); #254742=ORIENTED_EDGE('',*,*,#192093,.F.); #254743=ORIENTED_EDGE('',*,*,#192094,.F.); #254744=ORIENTED_EDGE('',*,*,#192095,.T.); #254745=ORIENTED_EDGE('',*,*,#192094,.T.); #254746=ORIENTED_EDGE('',*,*,#192096,.F.); #254747=ORIENTED_EDGE('',*,*,#192097,.F.); #254748=ORIENTED_EDGE('',*,*,#192098,.T.); #254749=ORIENTED_EDGE('',*,*,#192097,.T.); #254750=ORIENTED_EDGE('',*,*,#192099,.F.); #254751=ORIENTED_EDGE('',*,*,#192100,.F.); #254752=ORIENTED_EDGE('',*,*,#192101,.T.); #254753=ORIENTED_EDGE('',*,*,#192100,.T.); #254754=ORIENTED_EDGE('',*,*,#192102,.F.); #254755=ORIENTED_EDGE('',*,*,#192103,.F.); #254756=ORIENTED_EDGE('',*,*,#192104,.T.); #254757=ORIENTED_EDGE('',*,*,#192103,.T.); #254758=ORIENTED_EDGE('',*,*,#192105,.F.); #254759=ORIENTED_EDGE('',*,*,#192106,.F.); #254760=ORIENTED_EDGE('',*,*,#192107,.T.); #254761=ORIENTED_EDGE('',*,*,#192106,.T.); #254762=ORIENTED_EDGE('',*,*,#192108,.F.); #254763=ORIENTED_EDGE('',*,*,#192109,.F.); #254764=ORIENTED_EDGE('',*,*,#192110,.T.); #254765=ORIENTED_EDGE('',*,*,#192109,.T.); #254766=ORIENTED_EDGE('',*,*,#192111,.F.); #254767=ORIENTED_EDGE('',*,*,#192112,.F.); #254768=ORIENTED_EDGE('',*,*,#192113,.T.); #254769=ORIENTED_EDGE('',*,*,#192112,.T.); #254770=ORIENTED_EDGE('',*,*,#192114,.F.); #254771=ORIENTED_EDGE('',*,*,#192115,.F.); #254772=ORIENTED_EDGE('',*,*,#192116,.T.); #254773=ORIENTED_EDGE('',*,*,#192115,.T.); #254774=ORIENTED_EDGE('',*,*,#192117,.F.); #254775=ORIENTED_EDGE('',*,*,#192118,.F.); #254776=ORIENTED_EDGE('',*,*,#192119,.T.); #254777=ORIENTED_EDGE('',*,*,#192118,.T.); #254778=ORIENTED_EDGE('',*,*,#192120,.F.); #254779=ORIENTED_EDGE('',*,*,#192121,.F.); #254780=ORIENTED_EDGE('',*,*,#192122,.T.); #254781=ORIENTED_EDGE('',*,*,#192121,.T.); #254782=ORIENTED_EDGE('',*,*,#192123,.F.); #254783=ORIENTED_EDGE('',*,*,#192124,.F.); #254784=ORIENTED_EDGE('',*,*,#192125,.T.); #254785=ORIENTED_EDGE('',*,*,#192124,.T.); #254786=ORIENTED_EDGE('',*,*,#192126,.F.); #254787=ORIENTED_EDGE('',*,*,#192127,.F.); #254788=ORIENTED_EDGE('',*,*,#192128,.T.); #254789=ORIENTED_EDGE('',*,*,#192127,.T.); #254790=ORIENTED_EDGE('',*,*,#192129,.F.); #254791=ORIENTED_EDGE('',*,*,#192130,.F.); #254792=ORIENTED_EDGE('',*,*,#192131,.T.); #254793=ORIENTED_EDGE('',*,*,#192130,.T.); #254794=ORIENTED_EDGE('',*,*,#192132,.F.); #254795=ORIENTED_EDGE('',*,*,#192133,.F.); #254796=ORIENTED_EDGE('',*,*,#192134,.T.); #254797=ORIENTED_EDGE('',*,*,#192133,.T.); #254798=ORIENTED_EDGE('',*,*,#192135,.F.); #254799=ORIENTED_EDGE('',*,*,#192136,.F.); #254800=ORIENTED_EDGE('',*,*,#192137,.T.); #254801=ORIENTED_EDGE('',*,*,#192136,.T.); #254802=ORIENTED_EDGE('',*,*,#192138,.F.); #254803=ORIENTED_EDGE('',*,*,#192139,.F.); #254804=ORIENTED_EDGE('',*,*,#192140,.T.); #254805=ORIENTED_EDGE('',*,*,#192139,.T.); #254806=ORIENTED_EDGE('',*,*,#192141,.F.); #254807=ORIENTED_EDGE('',*,*,#192142,.F.); #254808=ORIENTED_EDGE('',*,*,#192143,.T.); #254809=ORIENTED_EDGE('',*,*,#192142,.T.); #254810=ORIENTED_EDGE('',*,*,#192144,.F.); #254811=ORIENTED_EDGE('',*,*,#192145,.F.); #254812=ORIENTED_EDGE('',*,*,#192146,.T.); #254813=ORIENTED_EDGE('',*,*,#192145,.T.); #254814=ORIENTED_EDGE('',*,*,#192147,.F.); #254815=ORIENTED_EDGE('',*,*,#192148,.F.); #254816=ORIENTED_EDGE('',*,*,#192149,.T.); #254817=ORIENTED_EDGE('',*,*,#192148,.T.); #254818=ORIENTED_EDGE('',*,*,#192150,.F.); #254819=ORIENTED_EDGE('',*,*,#192151,.F.); #254820=ORIENTED_EDGE('',*,*,#192152,.T.); #254821=ORIENTED_EDGE('',*,*,#192151,.T.); #254822=ORIENTED_EDGE('',*,*,#192153,.F.); #254823=ORIENTED_EDGE('',*,*,#192154,.F.); #254824=ORIENTED_EDGE('',*,*,#192155,.T.); #254825=ORIENTED_EDGE('',*,*,#192154,.T.); #254826=ORIENTED_EDGE('',*,*,#192156,.F.); #254827=ORIENTED_EDGE('',*,*,#192157,.F.); #254828=ORIENTED_EDGE('',*,*,#192158,.T.); #254829=ORIENTED_EDGE('',*,*,#192157,.T.); #254830=ORIENTED_EDGE('',*,*,#192159,.F.); #254831=ORIENTED_EDGE('',*,*,#192160,.F.); #254832=ORIENTED_EDGE('',*,*,#192161,.T.); #254833=ORIENTED_EDGE('',*,*,#192160,.T.); #254834=ORIENTED_EDGE('',*,*,#192162,.F.); #254835=ORIENTED_EDGE('',*,*,#192163,.F.); #254836=ORIENTED_EDGE('',*,*,#192164,.T.); #254837=ORIENTED_EDGE('',*,*,#192163,.T.); #254838=ORIENTED_EDGE('',*,*,#192165,.F.); #254839=ORIENTED_EDGE('',*,*,#192166,.F.); #254840=ORIENTED_EDGE('',*,*,#192167,.T.); #254841=ORIENTED_EDGE('',*,*,#192166,.T.); #254842=ORIENTED_EDGE('',*,*,#192168,.F.); #254843=ORIENTED_EDGE('',*,*,#192169,.F.); #254844=ORIENTED_EDGE('',*,*,#192170,.T.); #254845=ORIENTED_EDGE('',*,*,#192169,.T.); #254846=ORIENTED_EDGE('',*,*,#192171,.F.); #254847=ORIENTED_EDGE('',*,*,#192172,.F.); #254848=ORIENTED_EDGE('',*,*,#192173,.T.); #254849=ORIENTED_EDGE('',*,*,#192172,.T.); #254850=ORIENTED_EDGE('',*,*,#192174,.F.); #254851=ORIENTED_EDGE('',*,*,#192175,.F.); #254852=ORIENTED_EDGE('',*,*,#192176,.T.); #254853=ORIENTED_EDGE('',*,*,#192175,.T.); #254854=ORIENTED_EDGE('',*,*,#192177,.F.); #254855=ORIENTED_EDGE('',*,*,#192178,.F.); #254856=ORIENTED_EDGE('',*,*,#192179,.T.); #254857=ORIENTED_EDGE('',*,*,#192178,.T.); #254858=ORIENTED_EDGE('',*,*,#192180,.F.); #254859=ORIENTED_EDGE('',*,*,#192181,.F.); #254860=ORIENTED_EDGE('',*,*,#192182,.T.); #254861=ORIENTED_EDGE('',*,*,#192181,.T.); #254862=ORIENTED_EDGE('',*,*,#192183,.F.); #254863=ORIENTED_EDGE('',*,*,#192184,.F.); #254864=ORIENTED_EDGE('',*,*,#192185,.T.); #254865=ORIENTED_EDGE('',*,*,#192184,.T.); #254866=ORIENTED_EDGE('',*,*,#192186,.F.); #254867=ORIENTED_EDGE('',*,*,#192187,.F.); #254868=ORIENTED_EDGE('',*,*,#192188,.T.); #254869=ORIENTED_EDGE('',*,*,#192187,.T.); #254870=ORIENTED_EDGE('',*,*,#192189,.F.); #254871=ORIENTED_EDGE('',*,*,#192190,.F.); #254872=ORIENTED_EDGE('',*,*,#192191,.T.); #254873=ORIENTED_EDGE('',*,*,#192190,.T.); #254874=ORIENTED_EDGE('',*,*,#192192,.F.); #254875=ORIENTED_EDGE('',*,*,#192193,.F.); #254876=ORIENTED_EDGE('',*,*,#192194,.T.); #254877=ORIENTED_EDGE('',*,*,#192193,.T.); #254878=ORIENTED_EDGE('',*,*,#192195,.F.); #254879=ORIENTED_EDGE('',*,*,#192196,.F.); #254880=ORIENTED_EDGE('',*,*,#192197,.T.); #254881=ORIENTED_EDGE('',*,*,#192196,.T.); #254882=ORIENTED_EDGE('',*,*,#192198,.F.); #254883=ORIENTED_EDGE('',*,*,#192199,.F.); #254884=ORIENTED_EDGE('',*,*,#192200,.T.); #254885=ORIENTED_EDGE('',*,*,#192199,.T.); #254886=ORIENTED_EDGE('',*,*,#192201,.F.); #254887=ORIENTED_EDGE('',*,*,#192202,.F.); #254888=ORIENTED_EDGE('',*,*,#192203,.T.); #254889=ORIENTED_EDGE('',*,*,#192202,.T.); #254890=ORIENTED_EDGE('',*,*,#192204,.F.); #254891=ORIENTED_EDGE('',*,*,#192205,.F.); #254892=ORIENTED_EDGE('',*,*,#192206,.T.); #254893=ORIENTED_EDGE('',*,*,#192205,.T.); #254894=ORIENTED_EDGE('',*,*,#192207,.F.); #254895=ORIENTED_EDGE('',*,*,#192208,.F.); #254896=ORIENTED_EDGE('',*,*,#192209,.T.); #254897=ORIENTED_EDGE('',*,*,#192208,.T.); #254898=ORIENTED_EDGE('',*,*,#192210,.F.); #254899=ORIENTED_EDGE('',*,*,#192211,.F.); #254900=ORIENTED_EDGE('',*,*,#192212,.T.); #254901=ORIENTED_EDGE('',*,*,#192211,.T.); #254902=ORIENTED_EDGE('',*,*,#192213,.F.); #254903=ORIENTED_EDGE('',*,*,#192214,.F.); #254904=ORIENTED_EDGE('',*,*,#192215,.T.); #254905=ORIENTED_EDGE('',*,*,#192214,.T.); #254906=ORIENTED_EDGE('',*,*,#192216,.F.); #254907=ORIENTED_EDGE('',*,*,#192217,.F.); #254908=ORIENTED_EDGE('',*,*,#192218,.T.); #254909=ORIENTED_EDGE('',*,*,#192217,.T.); #254910=ORIENTED_EDGE('',*,*,#192219,.F.); #254911=ORIENTED_EDGE('',*,*,#192220,.F.); #254912=ORIENTED_EDGE('',*,*,#192221,.T.); #254913=ORIENTED_EDGE('',*,*,#192220,.T.); #254914=ORIENTED_EDGE('',*,*,#192222,.F.); #254915=ORIENTED_EDGE('',*,*,#192223,.F.); #254916=ORIENTED_EDGE('',*,*,#192224,.T.); #254917=ORIENTED_EDGE('',*,*,#192223,.T.); #254918=ORIENTED_EDGE('',*,*,#192225,.F.); #254919=ORIENTED_EDGE('',*,*,#192226,.F.); #254920=ORIENTED_EDGE('',*,*,#192227,.T.); #254921=ORIENTED_EDGE('',*,*,#192226,.T.); #254922=ORIENTED_EDGE('',*,*,#192228,.F.); #254923=ORIENTED_EDGE('',*,*,#192229,.F.); #254924=ORIENTED_EDGE('',*,*,#192230,.T.); #254925=ORIENTED_EDGE('',*,*,#192229,.T.); #254926=ORIENTED_EDGE('',*,*,#192231,.F.); #254927=ORIENTED_EDGE('',*,*,#192232,.F.); #254928=ORIENTED_EDGE('',*,*,#192233,.T.); #254929=ORIENTED_EDGE('',*,*,#192232,.T.); #254930=ORIENTED_EDGE('',*,*,#192234,.F.); #254931=ORIENTED_EDGE('',*,*,#192235,.F.); #254932=ORIENTED_EDGE('',*,*,#192236,.T.); #254933=ORIENTED_EDGE('',*,*,#192235,.T.); #254934=ORIENTED_EDGE('',*,*,#192237,.F.); #254935=ORIENTED_EDGE('',*,*,#192238,.F.); #254936=ORIENTED_EDGE('',*,*,#192239,.T.); #254937=ORIENTED_EDGE('',*,*,#192238,.T.); #254938=ORIENTED_EDGE('',*,*,#192240,.F.); #254939=ORIENTED_EDGE('',*,*,#192241,.F.); #254940=ORIENTED_EDGE('',*,*,#192242,.T.); #254941=ORIENTED_EDGE('',*,*,#192241,.T.); #254942=ORIENTED_EDGE('',*,*,#192243,.F.); #254943=ORIENTED_EDGE('',*,*,#192244,.F.); #254944=ORIENTED_EDGE('',*,*,#192245,.T.); #254945=ORIENTED_EDGE('',*,*,#192244,.T.); #254946=ORIENTED_EDGE('',*,*,#192246,.F.); #254947=ORIENTED_EDGE('',*,*,#192247,.F.); #254948=ORIENTED_EDGE('',*,*,#192248,.T.); #254949=ORIENTED_EDGE('',*,*,#192247,.T.); #254950=ORIENTED_EDGE('',*,*,#192249,.F.); #254951=ORIENTED_EDGE('',*,*,#192250,.F.); #254952=ORIENTED_EDGE('',*,*,#192251,.T.); #254953=ORIENTED_EDGE('',*,*,#192250,.T.); #254954=ORIENTED_EDGE('',*,*,#192252,.F.); #254955=ORIENTED_EDGE('',*,*,#192253,.F.); #254956=ORIENTED_EDGE('',*,*,#192254,.T.); #254957=ORIENTED_EDGE('',*,*,#192253,.T.); #254958=ORIENTED_EDGE('',*,*,#192255,.F.); #254959=ORIENTED_EDGE('',*,*,#192256,.F.); #254960=ORIENTED_EDGE('',*,*,#192257,.T.); #254961=ORIENTED_EDGE('',*,*,#192256,.T.); #254962=ORIENTED_EDGE('',*,*,#192258,.F.); #254963=ORIENTED_EDGE('',*,*,#192259,.F.); #254964=ORIENTED_EDGE('',*,*,#192260,.T.); #254965=ORIENTED_EDGE('',*,*,#192259,.T.); #254966=ORIENTED_EDGE('',*,*,#192261,.F.); #254967=ORIENTED_EDGE('',*,*,#192262,.F.); #254968=ORIENTED_EDGE('',*,*,#192263,.T.); #254969=ORIENTED_EDGE('',*,*,#192262,.T.); #254970=ORIENTED_EDGE('',*,*,#192264,.F.); #254971=ORIENTED_EDGE('',*,*,#192265,.F.); #254972=ORIENTED_EDGE('',*,*,#192266,.T.); #254973=ORIENTED_EDGE('',*,*,#192265,.T.); #254974=ORIENTED_EDGE('',*,*,#192267,.F.); #254975=ORIENTED_EDGE('',*,*,#192268,.F.); #254976=ORIENTED_EDGE('',*,*,#192269,.T.); #254977=ORIENTED_EDGE('',*,*,#192268,.T.); #254978=ORIENTED_EDGE('',*,*,#192270,.F.); #254979=ORIENTED_EDGE('',*,*,#192271,.F.); #254980=ORIENTED_EDGE('',*,*,#192272,.T.); #254981=ORIENTED_EDGE('',*,*,#192271,.T.); #254982=ORIENTED_EDGE('',*,*,#192273,.F.); #254983=ORIENTED_EDGE('',*,*,#192274,.F.); #254984=ORIENTED_EDGE('',*,*,#192275,.T.); #254985=ORIENTED_EDGE('',*,*,#192274,.T.); #254986=ORIENTED_EDGE('',*,*,#192276,.F.); #254987=ORIENTED_EDGE('',*,*,#192277,.F.); #254988=ORIENTED_EDGE('',*,*,#192278,.T.); #254989=ORIENTED_EDGE('',*,*,#192277,.T.); #254990=ORIENTED_EDGE('',*,*,#192279,.F.); #254991=ORIENTED_EDGE('',*,*,#192280,.F.); #254992=ORIENTED_EDGE('',*,*,#192281,.T.); #254993=ORIENTED_EDGE('',*,*,#192280,.T.); #254994=ORIENTED_EDGE('',*,*,#192282,.F.); #254995=ORIENTED_EDGE('',*,*,#192283,.F.); #254996=ORIENTED_EDGE('',*,*,#192284,.T.); #254997=ORIENTED_EDGE('',*,*,#192283,.T.); #254998=ORIENTED_EDGE('',*,*,#192285,.F.); #254999=ORIENTED_EDGE('',*,*,#192286,.F.); #255000=ORIENTED_EDGE('',*,*,#192287,.T.); #255001=ORIENTED_EDGE('',*,*,#192286,.T.); #255002=ORIENTED_EDGE('',*,*,#192288,.F.); #255003=ORIENTED_EDGE('',*,*,#192289,.F.); #255004=ORIENTED_EDGE('',*,*,#192290,.T.); #255005=ORIENTED_EDGE('',*,*,#192289,.T.); #255006=ORIENTED_EDGE('',*,*,#192291,.F.); #255007=ORIENTED_EDGE('',*,*,#192292,.F.); #255008=ORIENTED_EDGE('',*,*,#192293,.T.); #255009=ORIENTED_EDGE('',*,*,#192292,.T.); #255010=ORIENTED_EDGE('',*,*,#192294,.F.); #255011=ORIENTED_EDGE('',*,*,#192295,.F.); #255012=ORIENTED_EDGE('',*,*,#192296,.T.); #255013=ORIENTED_EDGE('',*,*,#192295,.T.); #255014=ORIENTED_EDGE('',*,*,#192297,.F.); #255015=ORIENTED_EDGE('',*,*,#192298,.F.); #255016=ORIENTED_EDGE('',*,*,#192299,.T.); #255017=ORIENTED_EDGE('',*,*,#192298,.T.); #255018=ORIENTED_EDGE('',*,*,#192300,.F.); #255019=ORIENTED_EDGE('',*,*,#192301,.F.); #255020=ORIENTED_EDGE('',*,*,#192302,.T.); #255021=ORIENTED_EDGE('',*,*,#192301,.T.); #255022=ORIENTED_EDGE('',*,*,#192303,.F.); #255023=ORIENTED_EDGE('',*,*,#192304,.F.); #255024=ORIENTED_EDGE('',*,*,#192305,.T.); #255025=ORIENTED_EDGE('',*,*,#192304,.T.); #255026=ORIENTED_EDGE('',*,*,#192306,.F.); #255027=ORIENTED_EDGE('',*,*,#192307,.F.); #255028=ORIENTED_EDGE('',*,*,#192308,.T.); #255029=ORIENTED_EDGE('',*,*,#192307,.T.); #255030=ORIENTED_EDGE('',*,*,#192309,.F.); #255031=ORIENTED_EDGE('',*,*,#192310,.F.); #255032=ORIENTED_EDGE('',*,*,#192311,.T.); #255033=ORIENTED_EDGE('',*,*,#192310,.T.); #255034=ORIENTED_EDGE('',*,*,#192312,.F.); #255035=ORIENTED_EDGE('',*,*,#192313,.F.); #255036=ORIENTED_EDGE('',*,*,#192314,.T.); #255037=ORIENTED_EDGE('',*,*,#192313,.T.); #255038=ORIENTED_EDGE('',*,*,#192315,.F.); #255039=ORIENTED_EDGE('',*,*,#192316,.F.); #255040=ORIENTED_EDGE('',*,*,#192317,.T.); #255041=ORIENTED_EDGE('',*,*,#192316,.T.); #255042=ORIENTED_EDGE('',*,*,#192318,.F.); #255043=ORIENTED_EDGE('',*,*,#192319,.F.); #255044=ORIENTED_EDGE('',*,*,#192320,.T.); #255045=ORIENTED_EDGE('',*,*,#192319,.T.); #255046=ORIENTED_EDGE('',*,*,#192321,.F.); #255047=ORIENTED_EDGE('',*,*,#192322,.F.); #255048=ORIENTED_EDGE('',*,*,#192323,.T.); #255049=ORIENTED_EDGE('',*,*,#192322,.T.); #255050=ORIENTED_EDGE('',*,*,#192324,.F.); #255051=ORIENTED_EDGE('',*,*,#192325,.F.); #255052=ORIENTED_EDGE('',*,*,#192326,.T.); #255053=ORIENTED_EDGE('',*,*,#192325,.T.); #255054=ORIENTED_EDGE('',*,*,#192327,.F.); #255055=ORIENTED_EDGE('',*,*,#192328,.F.); #255056=ORIENTED_EDGE('',*,*,#192329,.T.); #255057=ORIENTED_EDGE('',*,*,#192328,.T.); #255058=ORIENTED_EDGE('',*,*,#192330,.F.); #255059=ORIENTED_EDGE('',*,*,#192331,.F.); #255060=ORIENTED_EDGE('',*,*,#192332,.T.); #255061=ORIENTED_EDGE('',*,*,#192331,.T.); #255062=ORIENTED_EDGE('',*,*,#192333,.F.); #255063=ORIENTED_EDGE('',*,*,#192334,.F.); #255064=ORIENTED_EDGE('',*,*,#192335,.T.); #255065=ORIENTED_EDGE('',*,*,#192334,.T.); #255066=ORIENTED_EDGE('',*,*,#192336,.F.); #255067=ORIENTED_EDGE('',*,*,#192337,.F.); #255068=ORIENTED_EDGE('',*,*,#192338,.T.); #255069=ORIENTED_EDGE('',*,*,#192337,.T.); #255070=ORIENTED_EDGE('',*,*,#192339,.F.); #255071=ORIENTED_EDGE('',*,*,#192340,.F.); #255072=ORIENTED_EDGE('',*,*,#192341,.T.); #255073=ORIENTED_EDGE('',*,*,#192340,.T.); #255074=ORIENTED_EDGE('',*,*,#192342,.F.); #255075=ORIENTED_EDGE('',*,*,#192343,.F.); #255076=ORIENTED_EDGE('',*,*,#192344,.T.); #255077=ORIENTED_EDGE('',*,*,#192343,.T.); #255078=ORIENTED_EDGE('',*,*,#192345,.F.); #255079=ORIENTED_EDGE('',*,*,#192346,.F.); #255080=ORIENTED_EDGE('',*,*,#192347,.T.); #255081=ORIENTED_EDGE('',*,*,#192346,.T.); #255082=ORIENTED_EDGE('',*,*,#192348,.F.); #255083=ORIENTED_EDGE('',*,*,#192349,.F.); #255084=ORIENTED_EDGE('',*,*,#192350,.T.); #255085=ORIENTED_EDGE('',*,*,#192349,.T.); #255086=ORIENTED_EDGE('',*,*,#192351,.F.); #255087=ORIENTED_EDGE('',*,*,#192352,.F.); #255088=ORIENTED_EDGE('',*,*,#192353,.T.); #255089=ORIENTED_EDGE('',*,*,#192352,.T.); #255090=ORIENTED_EDGE('',*,*,#192354,.F.); #255091=ORIENTED_EDGE('',*,*,#192355,.F.); #255092=ORIENTED_EDGE('',*,*,#192356,.T.); #255093=ORIENTED_EDGE('',*,*,#192355,.T.); #255094=ORIENTED_EDGE('',*,*,#192357,.F.); #255095=ORIENTED_EDGE('',*,*,#192358,.F.); #255096=ORIENTED_EDGE('',*,*,#192359,.T.); #255097=ORIENTED_EDGE('',*,*,#192358,.T.); #255098=ORIENTED_EDGE('',*,*,#192360,.F.); #255099=ORIENTED_EDGE('',*,*,#192361,.F.); #255100=ORIENTED_EDGE('',*,*,#192362,.T.); #255101=ORIENTED_EDGE('',*,*,#192361,.T.); #255102=ORIENTED_EDGE('',*,*,#192363,.F.); #255103=ORIENTED_EDGE('',*,*,#192364,.F.); #255104=ORIENTED_EDGE('',*,*,#192365,.T.); #255105=ORIENTED_EDGE('',*,*,#192364,.T.); #255106=ORIENTED_EDGE('',*,*,#192366,.F.); #255107=ORIENTED_EDGE('',*,*,#192367,.F.); #255108=ORIENTED_EDGE('',*,*,#192368,.T.); #255109=ORIENTED_EDGE('',*,*,#192367,.T.); #255110=ORIENTED_EDGE('',*,*,#192369,.F.); #255111=ORIENTED_EDGE('',*,*,#192370,.F.); #255112=ORIENTED_EDGE('',*,*,#192371,.T.); #255113=ORIENTED_EDGE('',*,*,#192370,.T.); #255114=ORIENTED_EDGE('',*,*,#192372,.F.); #255115=ORIENTED_EDGE('',*,*,#192373,.F.); #255116=ORIENTED_EDGE('',*,*,#192374,.T.); #255117=ORIENTED_EDGE('',*,*,#192373,.T.); #255118=ORIENTED_EDGE('',*,*,#192375,.F.); #255119=ORIENTED_EDGE('',*,*,#192376,.F.); #255120=ORIENTED_EDGE('',*,*,#192377,.T.); #255121=ORIENTED_EDGE('',*,*,#192376,.T.); #255122=ORIENTED_EDGE('',*,*,#192378,.F.); #255123=ORIENTED_EDGE('',*,*,#192379,.F.); #255124=ORIENTED_EDGE('',*,*,#192380,.T.); #255125=ORIENTED_EDGE('',*,*,#192379,.T.); #255126=ORIENTED_EDGE('',*,*,#192381,.F.); #255127=ORIENTED_EDGE('',*,*,#192382,.F.); #255128=ORIENTED_EDGE('',*,*,#192383,.T.); #255129=ORIENTED_EDGE('',*,*,#192382,.T.); #255130=ORIENTED_EDGE('',*,*,#192384,.F.); #255131=ORIENTED_EDGE('',*,*,#192385,.F.); #255132=ORIENTED_EDGE('',*,*,#192386,.T.); #255133=ORIENTED_EDGE('',*,*,#192385,.T.); #255134=ORIENTED_EDGE('',*,*,#192387,.F.); #255135=ORIENTED_EDGE('',*,*,#192388,.F.); #255136=ORIENTED_EDGE('',*,*,#192389,.T.); #255137=ORIENTED_EDGE('',*,*,#192388,.T.); #255138=ORIENTED_EDGE('',*,*,#192390,.F.); #255139=ORIENTED_EDGE('',*,*,#192391,.F.); #255140=ORIENTED_EDGE('',*,*,#192392,.T.); #255141=ORIENTED_EDGE('',*,*,#192391,.T.); #255142=ORIENTED_EDGE('',*,*,#192393,.F.); #255143=ORIENTED_EDGE('',*,*,#192394,.F.); #255144=ORIENTED_EDGE('',*,*,#192395,.T.); #255145=ORIENTED_EDGE('',*,*,#192394,.T.); #255146=ORIENTED_EDGE('',*,*,#192396,.F.); #255147=ORIENTED_EDGE('',*,*,#192397,.F.); #255148=ORIENTED_EDGE('',*,*,#192398,.T.); #255149=ORIENTED_EDGE('',*,*,#192397,.T.); #255150=ORIENTED_EDGE('',*,*,#192399,.F.); #255151=ORIENTED_EDGE('',*,*,#192400,.F.); #255152=ORIENTED_EDGE('',*,*,#192401,.T.); #255153=ORIENTED_EDGE('',*,*,#192400,.T.); #255154=ORIENTED_EDGE('',*,*,#192402,.F.); #255155=ORIENTED_EDGE('',*,*,#192403,.F.); #255156=ORIENTED_EDGE('',*,*,#192404,.T.); #255157=ORIENTED_EDGE('',*,*,#192403,.T.); #255158=ORIENTED_EDGE('',*,*,#192405,.F.); #255159=ORIENTED_EDGE('',*,*,#192406,.F.); #255160=ORIENTED_EDGE('',*,*,#192407,.T.); #255161=ORIENTED_EDGE('',*,*,#192406,.T.); #255162=ORIENTED_EDGE('',*,*,#192408,.F.); #255163=ORIENTED_EDGE('',*,*,#192409,.F.); #255164=ORIENTED_EDGE('',*,*,#192410,.T.); #255165=ORIENTED_EDGE('',*,*,#192409,.T.); #255166=ORIENTED_EDGE('',*,*,#192411,.F.); #255167=ORIENTED_EDGE('',*,*,#192412,.F.); #255168=ORIENTED_EDGE('',*,*,#192413,.T.); #255169=ORIENTED_EDGE('',*,*,#192412,.T.); #255170=ORIENTED_EDGE('',*,*,#192414,.F.); #255171=ORIENTED_EDGE('',*,*,#192415,.F.); #255172=ORIENTED_EDGE('',*,*,#192416,.T.); #255173=ORIENTED_EDGE('',*,*,#192415,.T.); #255174=ORIENTED_EDGE('',*,*,#192417,.F.); #255175=ORIENTED_EDGE('',*,*,#192418,.F.); #255176=ORIENTED_EDGE('',*,*,#192419,.T.); #255177=ORIENTED_EDGE('',*,*,#192418,.T.); #255178=ORIENTED_EDGE('',*,*,#192420,.F.); #255179=ORIENTED_EDGE('',*,*,#192421,.F.); #255180=ORIENTED_EDGE('',*,*,#192422,.T.); #255181=ORIENTED_EDGE('',*,*,#192421,.T.); #255182=ORIENTED_EDGE('',*,*,#192423,.F.); #255183=ORIENTED_EDGE('',*,*,#192424,.F.); #255184=ORIENTED_EDGE('',*,*,#192425,.T.); #255185=ORIENTED_EDGE('',*,*,#192424,.T.); #255186=ORIENTED_EDGE('',*,*,#192426,.F.); #255187=ORIENTED_EDGE('',*,*,#192427,.F.); #255188=ORIENTED_EDGE('',*,*,#192428,.T.); #255189=ORIENTED_EDGE('',*,*,#192427,.T.); #255190=ORIENTED_EDGE('',*,*,#192429,.F.); #255191=ORIENTED_EDGE('',*,*,#191558,.F.); #255192=ORIENTED_EDGE('',*,*,#192429,.T.); #255193=ORIENTED_EDGE('',*,*,#192426,.T.); #255194=ORIENTED_EDGE('',*,*,#192423,.T.); #255195=ORIENTED_EDGE('',*,*,#192420,.T.); #255196=ORIENTED_EDGE('',*,*,#192417,.T.); #255197=ORIENTED_EDGE('',*,*,#192414,.T.); #255198=ORIENTED_EDGE('',*,*,#192411,.T.); #255199=ORIENTED_EDGE('',*,*,#192408,.T.); #255200=ORIENTED_EDGE('',*,*,#192405,.T.); #255201=ORIENTED_EDGE('',*,*,#192402,.T.); #255202=ORIENTED_EDGE('',*,*,#192399,.T.); #255203=ORIENTED_EDGE('',*,*,#192396,.T.); #255204=ORIENTED_EDGE('',*,*,#192393,.T.); #255205=ORIENTED_EDGE('',*,*,#192390,.T.); #255206=ORIENTED_EDGE('',*,*,#192387,.T.); #255207=ORIENTED_EDGE('',*,*,#192384,.T.); #255208=ORIENTED_EDGE('',*,*,#192381,.T.); #255209=ORIENTED_EDGE('',*,*,#192378,.T.); #255210=ORIENTED_EDGE('',*,*,#192375,.T.); #255211=ORIENTED_EDGE('',*,*,#192372,.T.); #255212=ORIENTED_EDGE('',*,*,#192369,.T.); #255213=ORIENTED_EDGE('',*,*,#192366,.T.); #255214=ORIENTED_EDGE('',*,*,#192363,.T.); #255215=ORIENTED_EDGE('',*,*,#192360,.T.); #255216=ORIENTED_EDGE('',*,*,#192357,.T.); #255217=ORIENTED_EDGE('',*,*,#192354,.T.); #255218=ORIENTED_EDGE('',*,*,#192351,.T.); #255219=ORIENTED_EDGE('',*,*,#192348,.T.); #255220=ORIENTED_EDGE('',*,*,#192345,.T.); #255221=ORIENTED_EDGE('',*,*,#192342,.T.); #255222=ORIENTED_EDGE('',*,*,#192339,.T.); #255223=ORIENTED_EDGE('',*,*,#192336,.T.); #255224=ORIENTED_EDGE('',*,*,#192333,.T.); #255225=ORIENTED_EDGE('',*,*,#192330,.T.); #255226=ORIENTED_EDGE('',*,*,#192327,.T.); #255227=ORIENTED_EDGE('',*,*,#192324,.T.); #255228=ORIENTED_EDGE('',*,*,#192321,.T.); #255229=ORIENTED_EDGE('',*,*,#192318,.T.); #255230=ORIENTED_EDGE('',*,*,#192315,.T.); #255231=ORIENTED_EDGE('',*,*,#192312,.T.); #255232=ORIENTED_EDGE('',*,*,#192309,.T.); #255233=ORIENTED_EDGE('',*,*,#192306,.T.); #255234=ORIENTED_EDGE('',*,*,#192303,.T.); #255235=ORIENTED_EDGE('',*,*,#192300,.T.); #255236=ORIENTED_EDGE('',*,*,#192297,.T.); #255237=ORIENTED_EDGE('',*,*,#192294,.T.); #255238=ORIENTED_EDGE('',*,*,#192291,.T.); #255239=ORIENTED_EDGE('',*,*,#192288,.T.); #255240=ORIENTED_EDGE('',*,*,#192285,.T.); #255241=ORIENTED_EDGE('',*,*,#192282,.T.); #255242=ORIENTED_EDGE('',*,*,#192279,.T.); #255243=ORIENTED_EDGE('',*,*,#192276,.T.); #255244=ORIENTED_EDGE('',*,*,#192273,.T.); #255245=ORIENTED_EDGE('',*,*,#192270,.T.); #255246=ORIENTED_EDGE('',*,*,#192267,.T.); #255247=ORIENTED_EDGE('',*,*,#192264,.T.); #255248=ORIENTED_EDGE('',*,*,#192261,.T.); #255249=ORIENTED_EDGE('',*,*,#192258,.T.); #255250=ORIENTED_EDGE('',*,*,#192255,.T.); #255251=ORIENTED_EDGE('',*,*,#192252,.T.); #255252=ORIENTED_EDGE('',*,*,#192249,.T.); #255253=ORIENTED_EDGE('',*,*,#192246,.T.); #255254=ORIENTED_EDGE('',*,*,#192243,.T.); #255255=ORIENTED_EDGE('',*,*,#192240,.T.); #255256=ORIENTED_EDGE('',*,*,#192237,.T.); #255257=ORIENTED_EDGE('',*,*,#192234,.T.); #255258=ORIENTED_EDGE('',*,*,#192231,.T.); #255259=ORIENTED_EDGE('',*,*,#192228,.T.); #255260=ORIENTED_EDGE('',*,*,#192225,.T.); #255261=ORIENTED_EDGE('',*,*,#192222,.T.); #255262=ORIENTED_EDGE('',*,*,#192219,.T.); #255263=ORIENTED_EDGE('',*,*,#192216,.T.); #255264=ORIENTED_EDGE('',*,*,#192213,.T.); #255265=ORIENTED_EDGE('',*,*,#192210,.T.); #255266=ORIENTED_EDGE('',*,*,#192207,.T.); #255267=ORIENTED_EDGE('',*,*,#192204,.T.); #255268=ORIENTED_EDGE('',*,*,#192201,.T.); #255269=ORIENTED_EDGE('',*,*,#192198,.T.); #255270=ORIENTED_EDGE('',*,*,#192195,.T.); #255271=ORIENTED_EDGE('',*,*,#192192,.T.); #255272=ORIENTED_EDGE('',*,*,#192189,.T.); #255273=ORIENTED_EDGE('',*,*,#192186,.T.); #255274=ORIENTED_EDGE('',*,*,#192183,.T.); #255275=ORIENTED_EDGE('',*,*,#192180,.T.); #255276=ORIENTED_EDGE('',*,*,#192177,.T.); #255277=ORIENTED_EDGE('',*,*,#192174,.T.); #255278=ORIENTED_EDGE('',*,*,#192171,.T.); #255279=ORIENTED_EDGE('',*,*,#192168,.T.); #255280=ORIENTED_EDGE('',*,*,#192165,.T.); #255281=ORIENTED_EDGE('',*,*,#192162,.T.); #255282=ORIENTED_EDGE('',*,*,#192159,.T.); #255283=ORIENTED_EDGE('',*,*,#192156,.T.); #255284=ORIENTED_EDGE('',*,*,#192153,.T.); #255285=ORIENTED_EDGE('',*,*,#192150,.T.); #255286=ORIENTED_EDGE('',*,*,#192147,.T.); #255287=ORIENTED_EDGE('',*,*,#192144,.T.); #255288=ORIENTED_EDGE('',*,*,#192141,.T.); #255289=ORIENTED_EDGE('',*,*,#192138,.T.); #255290=ORIENTED_EDGE('',*,*,#192135,.T.); #255291=ORIENTED_EDGE('',*,*,#192132,.T.); #255292=ORIENTED_EDGE('',*,*,#192129,.T.); #255293=ORIENTED_EDGE('',*,*,#192126,.T.); #255294=ORIENTED_EDGE('',*,*,#192123,.T.); #255295=ORIENTED_EDGE('',*,*,#192120,.T.); #255296=ORIENTED_EDGE('',*,*,#192117,.T.); #255297=ORIENTED_EDGE('',*,*,#192114,.T.); #255298=ORIENTED_EDGE('',*,*,#192111,.T.); #255299=ORIENTED_EDGE('',*,*,#192108,.T.); #255300=ORIENTED_EDGE('',*,*,#192105,.T.); #255301=ORIENTED_EDGE('',*,*,#192102,.T.); #255302=ORIENTED_EDGE('',*,*,#192099,.T.); #255303=ORIENTED_EDGE('',*,*,#192096,.T.); #255304=ORIENTED_EDGE('',*,*,#192093,.T.); #255305=ORIENTED_EDGE('',*,*,#192090,.T.); #255306=ORIENTED_EDGE('',*,*,#192087,.T.); #255307=ORIENTED_EDGE('',*,*,#192084,.T.); #255308=ORIENTED_EDGE('',*,*,#192081,.T.); #255309=ORIENTED_EDGE('',*,*,#192078,.T.); #255310=ORIENTED_EDGE('',*,*,#192075,.T.); #255311=ORIENTED_EDGE('',*,*,#192072,.T.); #255312=ORIENTED_EDGE('',*,*,#192069,.T.); #255313=ORIENTED_EDGE('',*,*,#192066,.T.); #255314=ORIENTED_EDGE('',*,*,#192063,.T.); #255315=ORIENTED_EDGE('',*,*,#192060,.T.); #255316=ORIENTED_EDGE('',*,*,#192057,.T.); #255317=ORIENTED_EDGE('',*,*,#192054,.T.); #255318=ORIENTED_EDGE('',*,*,#192051,.T.); #255319=ORIENTED_EDGE('',*,*,#192048,.T.); #255320=ORIENTED_EDGE('',*,*,#192045,.T.); #255321=ORIENTED_EDGE('',*,*,#192042,.T.); #255322=ORIENTED_EDGE('',*,*,#192039,.T.); #255323=ORIENTED_EDGE('',*,*,#192036,.T.); #255324=ORIENTED_EDGE('',*,*,#192033,.T.); #255325=ORIENTED_EDGE('',*,*,#192030,.T.); #255326=ORIENTED_EDGE('',*,*,#192027,.T.); #255327=ORIENTED_EDGE('',*,*,#192024,.T.); #255328=ORIENTED_EDGE('',*,*,#192021,.T.); #255329=ORIENTED_EDGE('',*,*,#192018,.T.); #255330=ORIENTED_EDGE('',*,*,#192015,.T.); #255331=ORIENTED_EDGE('',*,*,#192012,.T.); #255332=ORIENTED_EDGE('',*,*,#192009,.T.); #255333=ORIENTED_EDGE('',*,*,#192006,.T.); #255334=ORIENTED_EDGE('',*,*,#192003,.T.); #255335=ORIENTED_EDGE('',*,*,#192000,.T.); #255336=ORIENTED_EDGE('',*,*,#191997,.T.); #255337=ORIENTED_EDGE('',*,*,#191994,.T.); #255338=ORIENTED_EDGE('',*,*,#191991,.T.); #255339=ORIENTED_EDGE('',*,*,#191988,.T.); #255340=ORIENTED_EDGE('',*,*,#191985,.T.); #255341=ORIENTED_EDGE('',*,*,#191982,.T.); #255342=ORIENTED_EDGE('',*,*,#191979,.T.); #255343=ORIENTED_EDGE('',*,*,#191976,.T.); #255344=ORIENTED_EDGE('',*,*,#191973,.T.); #255345=ORIENTED_EDGE('',*,*,#191970,.T.); #255346=ORIENTED_EDGE('',*,*,#191967,.T.); #255347=ORIENTED_EDGE('',*,*,#191964,.T.); #255348=ORIENTED_EDGE('',*,*,#191961,.T.); #255349=ORIENTED_EDGE('',*,*,#191958,.T.); #255350=ORIENTED_EDGE('',*,*,#191955,.T.); #255351=ORIENTED_EDGE('',*,*,#191952,.T.); #255352=ORIENTED_EDGE('',*,*,#191949,.T.); #255353=ORIENTED_EDGE('',*,*,#191946,.T.); #255354=ORIENTED_EDGE('',*,*,#191943,.T.); #255355=ORIENTED_EDGE('',*,*,#191940,.T.); #255356=ORIENTED_EDGE('',*,*,#191937,.T.); #255357=ORIENTED_EDGE('',*,*,#191934,.T.); #255358=ORIENTED_EDGE('',*,*,#191931,.T.); #255359=ORIENTED_EDGE('',*,*,#191928,.T.); #255360=ORIENTED_EDGE('',*,*,#191925,.T.); #255361=ORIENTED_EDGE('',*,*,#191922,.T.); #255362=ORIENTED_EDGE('',*,*,#191919,.T.); #255363=ORIENTED_EDGE('',*,*,#191916,.T.); #255364=ORIENTED_EDGE('',*,*,#191913,.T.); #255365=ORIENTED_EDGE('',*,*,#191910,.T.); #255366=ORIENTED_EDGE('',*,*,#191907,.T.); #255367=ORIENTED_EDGE('',*,*,#191904,.T.); #255368=ORIENTED_EDGE('',*,*,#191901,.T.); #255369=ORIENTED_EDGE('',*,*,#191898,.T.); #255370=ORIENTED_EDGE('',*,*,#191895,.T.); #255371=ORIENTED_EDGE('',*,*,#191892,.T.); #255372=ORIENTED_EDGE('',*,*,#191889,.T.); #255373=ORIENTED_EDGE('',*,*,#191886,.T.); #255374=ORIENTED_EDGE('',*,*,#191883,.T.); #255375=ORIENTED_EDGE('',*,*,#191880,.T.); #255376=ORIENTED_EDGE('',*,*,#191877,.T.); #255377=ORIENTED_EDGE('',*,*,#191874,.T.); #255378=ORIENTED_EDGE('',*,*,#191871,.T.); #255379=ORIENTED_EDGE('',*,*,#191868,.T.); #255380=ORIENTED_EDGE('',*,*,#191865,.T.); #255381=ORIENTED_EDGE('',*,*,#191862,.T.); #255382=ORIENTED_EDGE('',*,*,#191859,.T.); #255383=ORIENTED_EDGE('',*,*,#191856,.T.); #255384=ORIENTED_EDGE('',*,*,#191853,.T.); #255385=ORIENTED_EDGE('',*,*,#191850,.T.); #255386=ORIENTED_EDGE('',*,*,#191847,.T.); #255387=ORIENTED_EDGE('',*,*,#191844,.T.); #255388=ORIENTED_EDGE('',*,*,#191841,.T.); #255389=ORIENTED_EDGE('',*,*,#191838,.T.); #255390=ORIENTED_EDGE('',*,*,#191835,.T.); #255391=ORIENTED_EDGE('',*,*,#191832,.T.); #255392=ORIENTED_EDGE('',*,*,#191829,.T.); #255393=ORIENTED_EDGE('',*,*,#191826,.T.); #255394=ORIENTED_EDGE('',*,*,#191823,.T.); #255395=ORIENTED_EDGE('',*,*,#191820,.T.); #255396=ORIENTED_EDGE('',*,*,#191817,.T.); #255397=ORIENTED_EDGE('',*,*,#191814,.T.); #255398=ORIENTED_EDGE('',*,*,#191811,.T.); #255399=ORIENTED_EDGE('',*,*,#191808,.T.); #255400=ORIENTED_EDGE('',*,*,#191805,.T.); #255401=ORIENTED_EDGE('',*,*,#191802,.T.); #255402=ORIENTED_EDGE('',*,*,#191799,.T.); #255403=ORIENTED_EDGE('',*,*,#191796,.T.); #255404=ORIENTED_EDGE('',*,*,#191793,.T.); #255405=ORIENTED_EDGE('',*,*,#191790,.T.); #255406=ORIENTED_EDGE('',*,*,#191787,.T.); #255407=ORIENTED_EDGE('',*,*,#191784,.T.); #255408=ORIENTED_EDGE('',*,*,#191781,.T.); #255409=ORIENTED_EDGE('',*,*,#191778,.T.); #255410=ORIENTED_EDGE('',*,*,#191775,.T.); #255411=ORIENTED_EDGE('',*,*,#191772,.T.); #255412=ORIENTED_EDGE('',*,*,#191769,.T.); #255413=ORIENTED_EDGE('',*,*,#191766,.T.); #255414=ORIENTED_EDGE('',*,*,#191763,.T.); #255415=ORIENTED_EDGE('',*,*,#191760,.T.); #255416=ORIENTED_EDGE('',*,*,#191757,.T.); #255417=ORIENTED_EDGE('',*,*,#191754,.T.); #255418=ORIENTED_EDGE('',*,*,#191751,.T.); #255419=ORIENTED_EDGE('',*,*,#191748,.T.); #255420=ORIENTED_EDGE('',*,*,#191745,.T.); #255421=ORIENTED_EDGE('',*,*,#191742,.T.); #255422=ORIENTED_EDGE('',*,*,#191739,.T.); #255423=ORIENTED_EDGE('',*,*,#191736,.T.); #255424=ORIENTED_EDGE('',*,*,#191733,.T.); #255425=ORIENTED_EDGE('',*,*,#191730,.T.); #255426=ORIENTED_EDGE('',*,*,#191727,.T.); #255427=ORIENTED_EDGE('',*,*,#191724,.T.); #255428=ORIENTED_EDGE('',*,*,#191721,.T.); #255429=ORIENTED_EDGE('',*,*,#191718,.T.); #255430=ORIENTED_EDGE('',*,*,#191715,.T.); #255431=ORIENTED_EDGE('',*,*,#191712,.T.); #255432=ORIENTED_EDGE('',*,*,#191709,.T.); #255433=ORIENTED_EDGE('',*,*,#191706,.T.); #255434=ORIENTED_EDGE('',*,*,#191703,.T.); #255435=ORIENTED_EDGE('',*,*,#191700,.T.); #255436=ORIENTED_EDGE('',*,*,#191697,.T.); #255437=ORIENTED_EDGE('',*,*,#191694,.T.); #255438=ORIENTED_EDGE('',*,*,#191691,.T.); #255439=ORIENTED_EDGE('',*,*,#191688,.T.); #255440=ORIENTED_EDGE('',*,*,#191685,.T.); #255441=ORIENTED_EDGE('',*,*,#191682,.T.); #255442=ORIENTED_EDGE('',*,*,#191679,.T.); #255443=ORIENTED_EDGE('',*,*,#191676,.T.); #255444=ORIENTED_EDGE('',*,*,#191673,.T.); #255445=ORIENTED_EDGE('',*,*,#191670,.T.); #255446=ORIENTED_EDGE('',*,*,#191667,.T.); #255447=ORIENTED_EDGE('',*,*,#191664,.T.); #255448=ORIENTED_EDGE('',*,*,#191661,.T.); #255449=ORIENTED_EDGE('',*,*,#191658,.T.); #255450=ORIENTED_EDGE('',*,*,#191655,.T.); #255451=ORIENTED_EDGE('',*,*,#191652,.T.); #255452=ORIENTED_EDGE('',*,*,#191649,.T.); #255453=ORIENTED_EDGE('',*,*,#191646,.T.); #255454=ORIENTED_EDGE('',*,*,#191643,.T.); #255455=ORIENTED_EDGE('',*,*,#191640,.T.); #255456=ORIENTED_EDGE('',*,*,#191637,.T.); #255457=ORIENTED_EDGE('',*,*,#191634,.T.); #255458=ORIENTED_EDGE('',*,*,#191631,.T.); #255459=ORIENTED_EDGE('',*,*,#191628,.T.); #255460=ORIENTED_EDGE('',*,*,#191625,.T.); #255461=ORIENTED_EDGE('',*,*,#191622,.T.); #255462=ORIENTED_EDGE('',*,*,#191619,.T.); #255463=ORIENTED_EDGE('',*,*,#191616,.T.); #255464=ORIENTED_EDGE('',*,*,#191613,.T.); #255465=ORIENTED_EDGE('',*,*,#191610,.T.); #255466=ORIENTED_EDGE('',*,*,#191607,.T.); #255467=ORIENTED_EDGE('',*,*,#191604,.T.); #255468=ORIENTED_EDGE('',*,*,#191601,.T.); #255469=ORIENTED_EDGE('',*,*,#191598,.T.); #255470=ORIENTED_EDGE('',*,*,#191595,.T.); #255471=ORIENTED_EDGE('',*,*,#191592,.T.); #255472=ORIENTED_EDGE('',*,*,#191589,.T.); #255473=ORIENTED_EDGE('',*,*,#191586,.T.); #255474=ORIENTED_EDGE('',*,*,#191583,.T.); #255475=ORIENTED_EDGE('',*,*,#191580,.T.); #255476=ORIENTED_EDGE('',*,*,#191577,.T.); #255477=ORIENTED_EDGE('',*,*,#191574,.T.); #255478=ORIENTED_EDGE('',*,*,#191571,.T.); #255479=ORIENTED_EDGE('',*,*,#191568,.T.); #255480=ORIENTED_EDGE('',*,*,#191565,.T.); #255481=ORIENTED_EDGE('',*,*,#191562,.T.); #255482=ORIENTED_EDGE('',*,*,#191559,.T.); #255483=ORIENTED_EDGE('',*,*,#191439,.T.); #255484=ORIENTED_EDGE('',*,*,#191442,.T.); #255485=ORIENTED_EDGE('',*,*,#191445,.T.); #255486=ORIENTED_EDGE('',*,*,#191448,.T.); #255487=ORIENTED_EDGE('',*,*,#191451,.T.); #255488=ORIENTED_EDGE('',*,*,#191454,.T.); #255489=ORIENTED_EDGE('',*,*,#191457,.T.); #255490=ORIENTED_EDGE('',*,*,#191460,.T.); #255491=ORIENTED_EDGE('',*,*,#191463,.T.); #255492=ORIENTED_EDGE('',*,*,#191466,.T.); #255493=ORIENTED_EDGE('',*,*,#191469,.T.); #255494=ORIENTED_EDGE('',*,*,#191472,.T.); #255495=ORIENTED_EDGE('',*,*,#191475,.T.); #255496=ORIENTED_EDGE('',*,*,#191478,.T.); #255497=ORIENTED_EDGE('',*,*,#191481,.T.); #255498=ORIENTED_EDGE('',*,*,#191484,.T.); #255499=ORIENTED_EDGE('',*,*,#191556,.T.); #255500=ORIENTED_EDGE('',*,*,#191553,.T.); #255501=ORIENTED_EDGE('',*,*,#191550,.T.); #255502=ORIENTED_EDGE('',*,*,#191547,.T.); #255503=ORIENTED_EDGE('',*,*,#191544,.T.); #255504=ORIENTED_EDGE('',*,*,#191541,.T.); #255505=ORIENTED_EDGE('',*,*,#191538,.T.); #255506=ORIENTED_EDGE('',*,*,#191535,.T.); #255507=ORIENTED_EDGE('',*,*,#191532,.T.); #255508=ORIENTED_EDGE('',*,*,#191529,.T.); #255509=ORIENTED_EDGE('',*,*,#191526,.T.); #255510=ORIENTED_EDGE('',*,*,#191523,.T.); #255511=ORIENTED_EDGE('',*,*,#191520,.T.); #255512=ORIENTED_EDGE('',*,*,#191517,.T.); #255513=ORIENTED_EDGE('',*,*,#191514,.T.); #255514=ORIENTED_EDGE('',*,*,#191511,.T.); #255515=ORIENTED_EDGE('',*,*,#191508,.T.); #255516=ORIENTED_EDGE('',*,*,#191505,.T.); #255517=ORIENTED_EDGE('',*,*,#191502,.T.); #255518=ORIENTED_EDGE('',*,*,#191499,.T.); #255519=ORIENTED_EDGE('',*,*,#191496,.T.); #255520=ORIENTED_EDGE('',*,*,#191493,.T.); #255521=ORIENTED_EDGE('',*,*,#191490,.T.); #255522=ORIENTED_EDGE('',*,*,#191487,.T.); #255523=ORIENTED_EDGE('',*,*,#192428,.F.); #255524=ORIENTED_EDGE('',*,*,#191557,.F.); #255525=ORIENTED_EDGE('',*,*,#191561,.F.); #255526=ORIENTED_EDGE('',*,*,#191564,.F.); #255527=ORIENTED_EDGE('',*,*,#191567,.F.); #255528=ORIENTED_EDGE('',*,*,#191570,.F.); #255529=ORIENTED_EDGE('',*,*,#191573,.F.); #255530=ORIENTED_EDGE('',*,*,#191576,.F.); #255531=ORIENTED_EDGE('',*,*,#191579,.F.); #255532=ORIENTED_EDGE('',*,*,#191582,.F.); #255533=ORIENTED_EDGE('',*,*,#191585,.F.); #255534=ORIENTED_EDGE('',*,*,#191588,.F.); #255535=ORIENTED_EDGE('',*,*,#191591,.F.); #255536=ORIENTED_EDGE('',*,*,#191594,.F.); #255537=ORIENTED_EDGE('',*,*,#191597,.F.); #255538=ORIENTED_EDGE('',*,*,#191600,.F.); #255539=ORIENTED_EDGE('',*,*,#191603,.F.); #255540=ORIENTED_EDGE('',*,*,#191606,.F.); #255541=ORIENTED_EDGE('',*,*,#191609,.F.); #255542=ORIENTED_EDGE('',*,*,#191612,.F.); #255543=ORIENTED_EDGE('',*,*,#191615,.F.); #255544=ORIENTED_EDGE('',*,*,#191618,.F.); #255545=ORIENTED_EDGE('',*,*,#191621,.F.); #255546=ORIENTED_EDGE('',*,*,#191624,.F.); #255547=ORIENTED_EDGE('',*,*,#191627,.F.); #255548=ORIENTED_EDGE('',*,*,#191630,.F.); #255549=ORIENTED_EDGE('',*,*,#191633,.F.); #255550=ORIENTED_EDGE('',*,*,#191636,.F.); #255551=ORIENTED_EDGE('',*,*,#191639,.F.); #255552=ORIENTED_EDGE('',*,*,#191642,.F.); #255553=ORIENTED_EDGE('',*,*,#191645,.F.); #255554=ORIENTED_EDGE('',*,*,#191648,.F.); #255555=ORIENTED_EDGE('',*,*,#191651,.F.); #255556=ORIENTED_EDGE('',*,*,#191654,.F.); #255557=ORIENTED_EDGE('',*,*,#191657,.F.); #255558=ORIENTED_EDGE('',*,*,#191660,.F.); #255559=ORIENTED_EDGE('',*,*,#191663,.F.); #255560=ORIENTED_EDGE('',*,*,#191666,.F.); #255561=ORIENTED_EDGE('',*,*,#191669,.F.); #255562=ORIENTED_EDGE('',*,*,#191672,.F.); #255563=ORIENTED_EDGE('',*,*,#191675,.F.); #255564=ORIENTED_EDGE('',*,*,#191678,.F.); #255565=ORIENTED_EDGE('',*,*,#191681,.F.); #255566=ORIENTED_EDGE('',*,*,#191684,.F.); #255567=ORIENTED_EDGE('',*,*,#191687,.F.); #255568=ORIENTED_EDGE('',*,*,#191690,.F.); #255569=ORIENTED_EDGE('',*,*,#191693,.F.); #255570=ORIENTED_EDGE('',*,*,#191696,.F.); #255571=ORIENTED_EDGE('',*,*,#191699,.F.); #255572=ORIENTED_EDGE('',*,*,#191702,.F.); #255573=ORIENTED_EDGE('',*,*,#191705,.F.); #255574=ORIENTED_EDGE('',*,*,#191708,.F.); #255575=ORIENTED_EDGE('',*,*,#191711,.F.); #255576=ORIENTED_EDGE('',*,*,#191714,.F.); #255577=ORIENTED_EDGE('',*,*,#191717,.F.); #255578=ORIENTED_EDGE('',*,*,#191720,.F.); #255579=ORIENTED_EDGE('',*,*,#191723,.F.); #255580=ORIENTED_EDGE('',*,*,#191726,.F.); #255581=ORIENTED_EDGE('',*,*,#191729,.F.); #255582=ORIENTED_EDGE('',*,*,#191732,.F.); #255583=ORIENTED_EDGE('',*,*,#191735,.F.); #255584=ORIENTED_EDGE('',*,*,#191738,.F.); #255585=ORIENTED_EDGE('',*,*,#191741,.F.); #255586=ORIENTED_EDGE('',*,*,#191744,.F.); #255587=ORIENTED_EDGE('',*,*,#191747,.F.); #255588=ORIENTED_EDGE('',*,*,#191750,.F.); #255589=ORIENTED_EDGE('',*,*,#191753,.F.); #255590=ORIENTED_EDGE('',*,*,#191756,.F.); #255591=ORIENTED_EDGE('',*,*,#191759,.F.); #255592=ORIENTED_EDGE('',*,*,#191762,.F.); #255593=ORIENTED_EDGE('',*,*,#191765,.F.); #255594=ORIENTED_EDGE('',*,*,#191768,.F.); #255595=ORIENTED_EDGE('',*,*,#191771,.F.); #255596=ORIENTED_EDGE('',*,*,#191774,.F.); #255597=ORIENTED_EDGE('',*,*,#191777,.F.); #255598=ORIENTED_EDGE('',*,*,#191780,.F.); #255599=ORIENTED_EDGE('',*,*,#191783,.F.); #255600=ORIENTED_EDGE('',*,*,#191786,.F.); #255601=ORIENTED_EDGE('',*,*,#191789,.F.); #255602=ORIENTED_EDGE('',*,*,#191792,.F.); #255603=ORIENTED_EDGE('',*,*,#191795,.F.); #255604=ORIENTED_EDGE('',*,*,#191798,.F.); #255605=ORIENTED_EDGE('',*,*,#191801,.F.); #255606=ORIENTED_EDGE('',*,*,#191804,.F.); #255607=ORIENTED_EDGE('',*,*,#191807,.F.); #255608=ORIENTED_EDGE('',*,*,#191810,.F.); #255609=ORIENTED_EDGE('',*,*,#191813,.F.); #255610=ORIENTED_EDGE('',*,*,#191816,.F.); #255611=ORIENTED_EDGE('',*,*,#191819,.F.); #255612=ORIENTED_EDGE('',*,*,#191822,.F.); #255613=ORIENTED_EDGE('',*,*,#191825,.F.); #255614=ORIENTED_EDGE('',*,*,#191828,.F.); #255615=ORIENTED_EDGE('',*,*,#191831,.F.); #255616=ORIENTED_EDGE('',*,*,#191834,.F.); #255617=ORIENTED_EDGE('',*,*,#191837,.F.); #255618=ORIENTED_EDGE('',*,*,#191840,.F.); #255619=ORIENTED_EDGE('',*,*,#191843,.F.); #255620=ORIENTED_EDGE('',*,*,#191846,.F.); #255621=ORIENTED_EDGE('',*,*,#191849,.F.); #255622=ORIENTED_EDGE('',*,*,#191852,.F.); #255623=ORIENTED_EDGE('',*,*,#191855,.F.); #255624=ORIENTED_EDGE('',*,*,#191858,.F.); #255625=ORIENTED_EDGE('',*,*,#191861,.F.); #255626=ORIENTED_EDGE('',*,*,#191864,.F.); #255627=ORIENTED_EDGE('',*,*,#191867,.F.); #255628=ORIENTED_EDGE('',*,*,#191870,.F.); #255629=ORIENTED_EDGE('',*,*,#191873,.F.); #255630=ORIENTED_EDGE('',*,*,#191876,.F.); #255631=ORIENTED_EDGE('',*,*,#191879,.F.); #255632=ORIENTED_EDGE('',*,*,#191882,.F.); #255633=ORIENTED_EDGE('',*,*,#191885,.F.); #255634=ORIENTED_EDGE('',*,*,#191888,.F.); #255635=ORIENTED_EDGE('',*,*,#191891,.F.); #255636=ORIENTED_EDGE('',*,*,#191894,.F.); #255637=ORIENTED_EDGE('',*,*,#191897,.F.); #255638=ORIENTED_EDGE('',*,*,#191900,.F.); #255639=ORIENTED_EDGE('',*,*,#191903,.F.); #255640=ORIENTED_EDGE('',*,*,#191906,.F.); #255641=ORIENTED_EDGE('',*,*,#191909,.F.); #255642=ORIENTED_EDGE('',*,*,#191912,.F.); #255643=ORIENTED_EDGE('',*,*,#191915,.F.); #255644=ORIENTED_EDGE('',*,*,#191918,.F.); #255645=ORIENTED_EDGE('',*,*,#191921,.F.); #255646=ORIENTED_EDGE('',*,*,#191924,.F.); #255647=ORIENTED_EDGE('',*,*,#191927,.F.); #255648=ORIENTED_EDGE('',*,*,#191930,.F.); #255649=ORIENTED_EDGE('',*,*,#191933,.F.); #255650=ORIENTED_EDGE('',*,*,#191936,.F.); #255651=ORIENTED_EDGE('',*,*,#191939,.F.); #255652=ORIENTED_EDGE('',*,*,#191942,.F.); #255653=ORIENTED_EDGE('',*,*,#191945,.F.); #255654=ORIENTED_EDGE('',*,*,#191948,.F.); #255655=ORIENTED_EDGE('',*,*,#191951,.F.); #255656=ORIENTED_EDGE('',*,*,#191954,.F.); #255657=ORIENTED_EDGE('',*,*,#191957,.F.); #255658=ORIENTED_EDGE('',*,*,#191960,.F.); #255659=ORIENTED_EDGE('',*,*,#191963,.F.); #255660=ORIENTED_EDGE('',*,*,#191966,.F.); #255661=ORIENTED_EDGE('',*,*,#191969,.F.); #255662=ORIENTED_EDGE('',*,*,#191972,.F.); #255663=ORIENTED_EDGE('',*,*,#191975,.F.); #255664=ORIENTED_EDGE('',*,*,#191978,.F.); #255665=ORIENTED_EDGE('',*,*,#191981,.F.); #255666=ORIENTED_EDGE('',*,*,#191984,.F.); #255667=ORIENTED_EDGE('',*,*,#191987,.F.); #255668=ORIENTED_EDGE('',*,*,#191990,.F.); #255669=ORIENTED_EDGE('',*,*,#191993,.F.); #255670=ORIENTED_EDGE('',*,*,#191996,.F.); #255671=ORIENTED_EDGE('',*,*,#191999,.F.); #255672=ORIENTED_EDGE('',*,*,#192002,.F.); #255673=ORIENTED_EDGE('',*,*,#192005,.F.); #255674=ORIENTED_EDGE('',*,*,#192008,.F.); #255675=ORIENTED_EDGE('',*,*,#192011,.F.); #255676=ORIENTED_EDGE('',*,*,#192014,.F.); #255677=ORIENTED_EDGE('',*,*,#192017,.F.); #255678=ORIENTED_EDGE('',*,*,#192020,.F.); #255679=ORIENTED_EDGE('',*,*,#192023,.F.); #255680=ORIENTED_EDGE('',*,*,#192026,.F.); #255681=ORIENTED_EDGE('',*,*,#192029,.F.); #255682=ORIENTED_EDGE('',*,*,#192032,.F.); #255683=ORIENTED_EDGE('',*,*,#192035,.F.); #255684=ORIENTED_EDGE('',*,*,#192038,.F.); #255685=ORIENTED_EDGE('',*,*,#192041,.F.); #255686=ORIENTED_EDGE('',*,*,#192044,.F.); #255687=ORIENTED_EDGE('',*,*,#192047,.F.); #255688=ORIENTED_EDGE('',*,*,#192050,.F.); #255689=ORIENTED_EDGE('',*,*,#192053,.F.); #255690=ORIENTED_EDGE('',*,*,#192056,.F.); #255691=ORIENTED_EDGE('',*,*,#192059,.F.); #255692=ORIENTED_EDGE('',*,*,#192062,.F.); #255693=ORIENTED_EDGE('',*,*,#192065,.F.); #255694=ORIENTED_EDGE('',*,*,#192068,.F.); #255695=ORIENTED_EDGE('',*,*,#192071,.F.); #255696=ORIENTED_EDGE('',*,*,#192074,.F.); #255697=ORIENTED_EDGE('',*,*,#192077,.F.); #255698=ORIENTED_EDGE('',*,*,#192080,.F.); #255699=ORIENTED_EDGE('',*,*,#192083,.F.); #255700=ORIENTED_EDGE('',*,*,#192086,.F.); #255701=ORIENTED_EDGE('',*,*,#192089,.F.); #255702=ORIENTED_EDGE('',*,*,#192092,.F.); #255703=ORIENTED_EDGE('',*,*,#192095,.F.); #255704=ORIENTED_EDGE('',*,*,#192098,.F.); #255705=ORIENTED_EDGE('',*,*,#192101,.F.); #255706=ORIENTED_EDGE('',*,*,#192104,.F.); #255707=ORIENTED_EDGE('',*,*,#192107,.F.); #255708=ORIENTED_EDGE('',*,*,#192110,.F.); #255709=ORIENTED_EDGE('',*,*,#192113,.F.); #255710=ORIENTED_EDGE('',*,*,#192116,.F.); #255711=ORIENTED_EDGE('',*,*,#192119,.F.); #255712=ORIENTED_EDGE('',*,*,#192122,.F.); #255713=ORIENTED_EDGE('',*,*,#192125,.F.); #255714=ORIENTED_EDGE('',*,*,#192128,.F.); #255715=ORIENTED_EDGE('',*,*,#192131,.F.); #255716=ORIENTED_EDGE('',*,*,#192134,.F.); #255717=ORIENTED_EDGE('',*,*,#192137,.F.); #255718=ORIENTED_EDGE('',*,*,#192140,.F.); #255719=ORIENTED_EDGE('',*,*,#192143,.F.); #255720=ORIENTED_EDGE('',*,*,#192146,.F.); #255721=ORIENTED_EDGE('',*,*,#192149,.F.); #255722=ORIENTED_EDGE('',*,*,#192152,.F.); #255723=ORIENTED_EDGE('',*,*,#192155,.F.); #255724=ORIENTED_EDGE('',*,*,#192158,.F.); #255725=ORIENTED_EDGE('',*,*,#192161,.F.); #255726=ORIENTED_EDGE('',*,*,#192164,.F.); #255727=ORIENTED_EDGE('',*,*,#192167,.F.); #255728=ORIENTED_EDGE('',*,*,#192170,.F.); #255729=ORIENTED_EDGE('',*,*,#192173,.F.); #255730=ORIENTED_EDGE('',*,*,#192176,.F.); #255731=ORIENTED_EDGE('',*,*,#192179,.F.); #255732=ORIENTED_EDGE('',*,*,#192182,.F.); #255733=ORIENTED_EDGE('',*,*,#192185,.F.); #255734=ORIENTED_EDGE('',*,*,#192188,.F.); #255735=ORIENTED_EDGE('',*,*,#192191,.F.); #255736=ORIENTED_EDGE('',*,*,#192194,.F.); #255737=ORIENTED_EDGE('',*,*,#192197,.F.); #255738=ORIENTED_EDGE('',*,*,#192200,.F.); #255739=ORIENTED_EDGE('',*,*,#192203,.F.); #255740=ORIENTED_EDGE('',*,*,#192206,.F.); #255741=ORIENTED_EDGE('',*,*,#192209,.F.); #255742=ORIENTED_EDGE('',*,*,#192212,.F.); #255743=ORIENTED_EDGE('',*,*,#192215,.F.); #255744=ORIENTED_EDGE('',*,*,#192218,.F.); #255745=ORIENTED_EDGE('',*,*,#192221,.F.); #255746=ORIENTED_EDGE('',*,*,#192224,.F.); #255747=ORIENTED_EDGE('',*,*,#192227,.F.); #255748=ORIENTED_EDGE('',*,*,#192230,.F.); #255749=ORIENTED_EDGE('',*,*,#192233,.F.); #255750=ORIENTED_EDGE('',*,*,#192236,.F.); #255751=ORIENTED_EDGE('',*,*,#192239,.F.); #255752=ORIENTED_EDGE('',*,*,#192242,.F.); #255753=ORIENTED_EDGE('',*,*,#192245,.F.); #255754=ORIENTED_EDGE('',*,*,#192248,.F.); #255755=ORIENTED_EDGE('',*,*,#192251,.F.); #255756=ORIENTED_EDGE('',*,*,#192254,.F.); #255757=ORIENTED_EDGE('',*,*,#192257,.F.); #255758=ORIENTED_EDGE('',*,*,#192260,.F.); #255759=ORIENTED_EDGE('',*,*,#192263,.F.); #255760=ORIENTED_EDGE('',*,*,#192266,.F.); #255761=ORIENTED_EDGE('',*,*,#192269,.F.); #255762=ORIENTED_EDGE('',*,*,#192272,.F.); #255763=ORIENTED_EDGE('',*,*,#192275,.F.); #255764=ORIENTED_EDGE('',*,*,#192278,.F.); #255765=ORIENTED_EDGE('',*,*,#192281,.F.); #255766=ORIENTED_EDGE('',*,*,#192284,.F.); #255767=ORIENTED_EDGE('',*,*,#192287,.F.); #255768=ORIENTED_EDGE('',*,*,#192290,.F.); #255769=ORIENTED_EDGE('',*,*,#192293,.F.); #255770=ORIENTED_EDGE('',*,*,#192296,.F.); #255771=ORIENTED_EDGE('',*,*,#192299,.F.); #255772=ORIENTED_EDGE('',*,*,#192302,.F.); #255773=ORIENTED_EDGE('',*,*,#192305,.F.); #255774=ORIENTED_EDGE('',*,*,#192308,.F.); #255775=ORIENTED_EDGE('',*,*,#192311,.F.); #255776=ORIENTED_EDGE('',*,*,#192314,.F.); #255777=ORIENTED_EDGE('',*,*,#192317,.F.); #255778=ORIENTED_EDGE('',*,*,#192320,.F.); #255779=ORIENTED_EDGE('',*,*,#192323,.F.); #255780=ORIENTED_EDGE('',*,*,#192326,.F.); #255781=ORIENTED_EDGE('',*,*,#192329,.F.); #255782=ORIENTED_EDGE('',*,*,#192332,.F.); #255783=ORIENTED_EDGE('',*,*,#192335,.F.); #255784=ORIENTED_EDGE('',*,*,#192338,.F.); #255785=ORIENTED_EDGE('',*,*,#192341,.F.); #255786=ORIENTED_EDGE('',*,*,#192344,.F.); #255787=ORIENTED_EDGE('',*,*,#192347,.F.); #255788=ORIENTED_EDGE('',*,*,#192350,.F.); #255789=ORIENTED_EDGE('',*,*,#192353,.F.); #255790=ORIENTED_EDGE('',*,*,#192356,.F.); #255791=ORIENTED_EDGE('',*,*,#192359,.F.); #255792=ORIENTED_EDGE('',*,*,#192362,.F.); #255793=ORIENTED_EDGE('',*,*,#192365,.F.); #255794=ORIENTED_EDGE('',*,*,#192368,.F.); #255795=ORIENTED_EDGE('',*,*,#192371,.F.); #255796=ORIENTED_EDGE('',*,*,#192374,.F.); #255797=ORIENTED_EDGE('',*,*,#192377,.F.); #255798=ORIENTED_EDGE('',*,*,#192380,.F.); #255799=ORIENTED_EDGE('',*,*,#192383,.F.); #255800=ORIENTED_EDGE('',*,*,#192386,.F.); #255801=ORIENTED_EDGE('',*,*,#192389,.F.); #255802=ORIENTED_EDGE('',*,*,#192392,.F.); #255803=ORIENTED_EDGE('',*,*,#192395,.F.); #255804=ORIENTED_EDGE('',*,*,#192398,.F.); #255805=ORIENTED_EDGE('',*,*,#192401,.F.); #255806=ORIENTED_EDGE('',*,*,#192404,.F.); #255807=ORIENTED_EDGE('',*,*,#192407,.F.); #255808=ORIENTED_EDGE('',*,*,#192410,.F.); #255809=ORIENTED_EDGE('',*,*,#192413,.F.); #255810=ORIENTED_EDGE('',*,*,#192416,.F.); #255811=ORIENTED_EDGE('',*,*,#192419,.F.); #255812=ORIENTED_EDGE('',*,*,#192422,.F.); #255813=ORIENTED_EDGE('',*,*,#192425,.F.); #255814=ORIENTED_EDGE('',*,*,#191437,.T.); #255815=ORIENTED_EDGE('',*,*,#191440,.T.); #255816=ORIENTED_EDGE('',*,*,#191443,.T.); #255817=ORIENTED_EDGE('',*,*,#191446,.T.); #255818=ORIENTED_EDGE('',*,*,#191449,.T.); #255819=ORIENTED_EDGE('',*,*,#191452,.T.); #255820=ORIENTED_EDGE('',*,*,#191455,.T.); #255821=ORIENTED_EDGE('',*,*,#191458,.T.); #255822=ORIENTED_EDGE('',*,*,#191461,.T.); #255823=ORIENTED_EDGE('',*,*,#191464,.T.); #255824=ORIENTED_EDGE('',*,*,#191467,.T.); #255825=ORIENTED_EDGE('',*,*,#191470,.T.); #255826=ORIENTED_EDGE('',*,*,#191473,.T.); #255827=ORIENTED_EDGE('',*,*,#191476,.T.); #255828=ORIENTED_EDGE('',*,*,#191479,.T.); #255829=ORIENTED_EDGE('',*,*,#191482,.T.); #255830=ORIENTED_EDGE('',*,*,#191555,.F.); #255831=ORIENTED_EDGE('',*,*,#191485,.F.); #255832=ORIENTED_EDGE('',*,*,#191489,.F.); #255833=ORIENTED_EDGE('',*,*,#191492,.F.); #255834=ORIENTED_EDGE('',*,*,#191495,.F.); #255835=ORIENTED_EDGE('',*,*,#191498,.F.); #255836=ORIENTED_EDGE('',*,*,#191501,.F.); #255837=ORIENTED_EDGE('',*,*,#191504,.F.); #255838=ORIENTED_EDGE('',*,*,#191507,.F.); #255839=ORIENTED_EDGE('',*,*,#191510,.F.); #255840=ORIENTED_EDGE('',*,*,#191513,.F.); #255841=ORIENTED_EDGE('',*,*,#191516,.F.); #255842=ORIENTED_EDGE('',*,*,#191519,.F.); #255843=ORIENTED_EDGE('',*,*,#191522,.F.); #255844=ORIENTED_EDGE('',*,*,#191525,.F.); #255845=ORIENTED_EDGE('',*,*,#191528,.F.); #255846=ORIENTED_EDGE('',*,*,#191531,.F.); #255847=ORIENTED_EDGE('',*,*,#191534,.F.); #255848=ORIENTED_EDGE('',*,*,#191537,.F.); #255849=ORIENTED_EDGE('',*,*,#191540,.F.); #255850=ORIENTED_EDGE('',*,*,#191543,.F.); #255851=ORIENTED_EDGE('',*,*,#191546,.F.); #255852=ORIENTED_EDGE('',*,*,#191549,.F.); #255853=ORIENTED_EDGE('',*,*,#191552,.F.); #255854=ORIENTED_EDGE('',*,*,#192430,.T.); #255855=ORIENTED_EDGE('',*,*,#192431,.T.); #255856=ORIENTED_EDGE('',*,*,#192432,.F.); #255857=ORIENTED_EDGE('',*,*,#192433,.F.); #255858=ORIENTED_EDGE('',*,*,#192434,.T.); #255859=ORIENTED_EDGE('',*,*,#192433,.T.); #255860=ORIENTED_EDGE('',*,*,#192435,.F.); #255861=ORIENTED_EDGE('',*,*,#192436,.F.); #255862=ORIENTED_EDGE('',*,*,#192437,.T.); #255863=ORIENTED_EDGE('',*,*,#192436,.T.); #255864=ORIENTED_EDGE('',*,*,#192438,.F.); #255865=ORIENTED_EDGE('',*,*,#192439,.F.); #255866=ORIENTED_EDGE('',*,*,#192440,.T.); #255867=ORIENTED_EDGE('',*,*,#192439,.T.); #255868=ORIENTED_EDGE('',*,*,#192441,.F.); #255869=ORIENTED_EDGE('',*,*,#192442,.F.); #255870=ORIENTED_EDGE('',*,*,#192443,.T.); #255871=ORIENTED_EDGE('',*,*,#192442,.T.); #255872=ORIENTED_EDGE('',*,*,#192444,.F.); #255873=ORIENTED_EDGE('',*,*,#192445,.F.); #255874=ORIENTED_EDGE('',*,*,#192446,.T.); #255875=ORIENTED_EDGE('',*,*,#192445,.T.); #255876=ORIENTED_EDGE('',*,*,#192447,.F.); #255877=ORIENTED_EDGE('',*,*,#192448,.F.); #255878=ORIENTED_EDGE('',*,*,#192449,.T.); #255879=ORIENTED_EDGE('',*,*,#192448,.T.); #255880=ORIENTED_EDGE('',*,*,#192450,.F.); #255881=ORIENTED_EDGE('',*,*,#192451,.F.); #255882=ORIENTED_EDGE('',*,*,#192452,.T.); #255883=ORIENTED_EDGE('',*,*,#192451,.T.); #255884=ORIENTED_EDGE('',*,*,#192453,.F.); #255885=ORIENTED_EDGE('',*,*,#192454,.F.); #255886=ORIENTED_EDGE('',*,*,#192455,.T.); #255887=ORIENTED_EDGE('',*,*,#192454,.T.); #255888=ORIENTED_EDGE('',*,*,#192456,.F.); #255889=ORIENTED_EDGE('',*,*,#192457,.F.); #255890=ORIENTED_EDGE('',*,*,#192458,.T.); #255891=ORIENTED_EDGE('',*,*,#192457,.T.); #255892=ORIENTED_EDGE('',*,*,#192459,.F.); #255893=ORIENTED_EDGE('',*,*,#192460,.F.); #255894=ORIENTED_EDGE('',*,*,#192461,.T.); #255895=ORIENTED_EDGE('',*,*,#192460,.T.); #255896=ORIENTED_EDGE('',*,*,#192462,.F.); #255897=ORIENTED_EDGE('',*,*,#192463,.F.); #255898=ORIENTED_EDGE('',*,*,#192464,.T.); #255899=ORIENTED_EDGE('',*,*,#192463,.T.); #255900=ORIENTED_EDGE('',*,*,#192465,.F.); #255901=ORIENTED_EDGE('',*,*,#192466,.F.); #255902=ORIENTED_EDGE('',*,*,#192467,.T.); #255903=ORIENTED_EDGE('',*,*,#192466,.T.); #255904=ORIENTED_EDGE('',*,*,#192468,.F.); #255905=ORIENTED_EDGE('',*,*,#192469,.F.); #255906=ORIENTED_EDGE('',*,*,#192470,.T.); #255907=ORIENTED_EDGE('',*,*,#192469,.T.); #255908=ORIENTED_EDGE('',*,*,#192471,.F.); #255909=ORIENTED_EDGE('',*,*,#192472,.F.); #255910=ORIENTED_EDGE('',*,*,#192473,.T.); #255911=ORIENTED_EDGE('',*,*,#192472,.T.); #255912=ORIENTED_EDGE('',*,*,#192474,.F.); #255913=ORIENTED_EDGE('',*,*,#192475,.F.); #255914=ORIENTED_EDGE('',*,*,#192476,.T.); #255915=ORIENTED_EDGE('',*,*,#192475,.T.); #255916=ORIENTED_EDGE('',*,*,#192477,.F.); #255917=ORIENTED_EDGE('',*,*,#192478,.F.); #255918=ORIENTED_EDGE('',*,*,#192479,.T.); #255919=ORIENTED_EDGE('',*,*,#192478,.T.); #255920=ORIENTED_EDGE('',*,*,#192480,.F.); #255921=ORIENTED_EDGE('',*,*,#192481,.F.); #255922=ORIENTED_EDGE('',*,*,#192482,.T.); #255923=ORIENTED_EDGE('',*,*,#192481,.T.); #255924=ORIENTED_EDGE('',*,*,#192483,.F.); #255925=ORIENTED_EDGE('',*,*,#192484,.F.); #255926=ORIENTED_EDGE('',*,*,#192485,.T.); #255927=ORIENTED_EDGE('',*,*,#192484,.T.); #255928=ORIENTED_EDGE('',*,*,#192486,.F.); #255929=ORIENTED_EDGE('',*,*,#192487,.F.); #255930=ORIENTED_EDGE('',*,*,#192488,.T.); #255931=ORIENTED_EDGE('',*,*,#192487,.T.); #255932=ORIENTED_EDGE('',*,*,#192489,.F.); #255933=ORIENTED_EDGE('',*,*,#192431,.F.); #255934=ORIENTED_EDGE('',*,*,#192489,.T.); #255935=ORIENTED_EDGE('',*,*,#192486,.T.); #255936=ORIENTED_EDGE('',*,*,#192483,.T.); #255937=ORIENTED_EDGE('',*,*,#192480,.T.); #255938=ORIENTED_EDGE('',*,*,#192477,.T.); #255939=ORIENTED_EDGE('',*,*,#192474,.T.); #255940=ORIENTED_EDGE('',*,*,#192471,.T.); #255941=ORIENTED_EDGE('',*,*,#192468,.T.); #255942=ORIENTED_EDGE('',*,*,#192465,.T.); #255943=ORIENTED_EDGE('',*,*,#192462,.T.); #255944=ORIENTED_EDGE('',*,*,#192459,.T.); #255945=ORIENTED_EDGE('',*,*,#192456,.T.); #255946=ORIENTED_EDGE('',*,*,#192453,.T.); #255947=ORIENTED_EDGE('',*,*,#192450,.T.); #255948=ORIENTED_EDGE('',*,*,#192447,.T.); #255949=ORIENTED_EDGE('',*,*,#192444,.T.); #255950=ORIENTED_EDGE('',*,*,#192441,.T.); #255951=ORIENTED_EDGE('',*,*,#192438,.T.); #255952=ORIENTED_EDGE('',*,*,#192435,.T.); #255953=ORIENTED_EDGE('',*,*,#192432,.T.); #255954=ORIENTED_EDGE('',*,*,#192488,.F.); #255955=ORIENTED_EDGE('',*,*,#192430,.F.); #255956=ORIENTED_EDGE('',*,*,#192434,.F.); #255957=ORIENTED_EDGE('',*,*,#192437,.F.); #255958=ORIENTED_EDGE('',*,*,#192440,.F.); #255959=ORIENTED_EDGE('',*,*,#192443,.F.); #255960=ORIENTED_EDGE('',*,*,#192446,.F.); #255961=ORIENTED_EDGE('',*,*,#192449,.F.); #255962=ORIENTED_EDGE('',*,*,#192452,.F.); #255963=ORIENTED_EDGE('',*,*,#192455,.F.); #255964=ORIENTED_EDGE('',*,*,#192458,.F.); #255965=ORIENTED_EDGE('',*,*,#192461,.F.); #255966=ORIENTED_EDGE('',*,*,#192464,.F.); #255967=ORIENTED_EDGE('',*,*,#192467,.F.); #255968=ORIENTED_EDGE('',*,*,#192470,.F.); #255969=ORIENTED_EDGE('',*,*,#192473,.F.); #255970=ORIENTED_EDGE('',*,*,#192476,.F.); #255971=ORIENTED_EDGE('',*,*,#192479,.F.); #255972=ORIENTED_EDGE('',*,*,#192482,.F.); #255973=ORIENTED_EDGE('',*,*,#192485,.F.); #255974=ORIENTED_EDGE('',*,*,#192490,.T.); #255975=ORIENTED_EDGE('',*,*,#192491,.T.); #255976=ORIENTED_EDGE('',*,*,#192492,.F.); #255977=ORIENTED_EDGE('',*,*,#192493,.F.); #255978=ORIENTED_EDGE('',*,*,#192494,.T.); #255979=ORIENTED_EDGE('',*,*,#192493,.T.); #255980=ORIENTED_EDGE('',*,*,#192495,.F.); #255981=ORIENTED_EDGE('',*,*,#192496,.F.); #255982=ORIENTED_EDGE('',*,*,#192497,.T.); #255983=ORIENTED_EDGE('',*,*,#192496,.T.); #255984=ORIENTED_EDGE('',*,*,#192498,.F.); #255985=ORIENTED_EDGE('',*,*,#192499,.F.); #255986=ORIENTED_EDGE('',*,*,#192500,.T.); #255987=ORIENTED_EDGE('',*,*,#192499,.T.); #255988=ORIENTED_EDGE('',*,*,#192501,.F.); #255989=ORIENTED_EDGE('',*,*,#192502,.F.); #255990=ORIENTED_EDGE('',*,*,#192503,.T.); #255991=ORIENTED_EDGE('',*,*,#192502,.T.); #255992=ORIENTED_EDGE('',*,*,#192504,.F.); #255993=ORIENTED_EDGE('',*,*,#192505,.F.); #255994=ORIENTED_EDGE('',*,*,#192506,.T.); #255995=ORIENTED_EDGE('',*,*,#192505,.T.); #255996=ORIENTED_EDGE('',*,*,#192507,.F.); #255997=ORIENTED_EDGE('',*,*,#192508,.F.); #255998=ORIENTED_EDGE('',*,*,#192509,.T.); #255999=ORIENTED_EDGE('',*,*,#192508,.T.); #256000=ORIENTED_EDGE('',*,*,#192510,.F.); #256001=ORIENTED_EDGE('',*,*,#192511,.F.); #256002=ORIENTED_EDGE('',*,*,#192512,.T.); #256003=ORIENTED_EDGE('',*,*,#192511,.T.); #256004=ORIENTED_EDGE('',*,*,#192513,.F.); #256005=ORIENTED_EDGE('',*,*,#192514,.F.); #256006=ORIENTED_EDGE('',*,*,#192515,.T.); #256007=ORIENTED_EDGE('',*,*,#192514,.T.); #256008=ORIENTED_EDGE('',*,*,#192516,.F.); #256009=ORIENTED_EDGE('',*,*,#192517,.F.); #256010=ORIENTED_EDGE('',*,*,#192518,.T.); #256011=ORIENTED_EDGE('',*,*,#192517,.T.); #256012=ORIENTED_EDGE('',*,*,#192519,.F.); #256013=ORIENTED_EDGE('',*,*,#192520,.F.); #256014=ORIENTED_EDGE('',*,*,#192521,.T.); #256015=ORIENTED_EDGE('',*,*,#192520,.T.); #256016=ORIENTED_EDGE('',*,*,#192522,.F.); #256017=ORIENTED_EDGE('',*,*,#192523,.F.); #256018=ORIENTED_EDGE('',*,*,#192524,.T.); #256019=ORIENTED_EDGE('',*,*,#192523,.T.); #256020=ORIENTED_EDGE('',*,*,#192525,.F.); #256021=ORIENTED_EDGE('',*,*,#192526,.F.); #256022=ORIENTED_EDGE('',*,*,#192527,.T.); #256023=ORIENTED_EDGE('',*,*,#192526,.T.); #256024=ORIENTED_EDGE('',*,*,#192528,.F.); #256025=ORIENTED_EDGE('',*,*,#192529,.F.); #256026=ORIENTED_EDGE('',*,*,#192530,.T.); #256027=ORIENTED_EDGE('',*,*,#192529,.T.); #256028=ORIENTED_EDGE('',*,*,#192531,.F.); #256029=ORIENTED_EDGE('',*,*,#192532,.F.); #256030=ORIENTED_EDGE('',*,*,#192533,.T.); #256031=ORIENTED_EDGE('',*,*,#192532,.T.); #256032=ORIENTED_EDGE('',*,*,#192534,.F.); #256033=ORIENTED_EDGE('',*,*,#192535,.F.); #256034=ORIENTED_EDGE('',*,*,#192536,.T.); #256035=ORIENTED_EDGE('',*,*,#192535,.T.); #256036=ORIENTED_EDGE('',*,*,#192537,.F.); #256037=ORIENTED_EDGE('',*,*,#192538,.F.); #256038=ORIENTED_EDGE('',*,*,#192539,.T.); #256039=ORIENTED_EDGE('',*,*,#192538,.T.); #256040=ORIENTED_EDGE('',*,*,#192540,.F.); #256041=ORIENTED_EDGE('',*,*,#192541,.F.); #256042=ORIENTED_EDGE('',*,*,#192542,.T.); #256043=ORIENTED_EDGE('',*,*,#192541,.T.); #256044=ORIENTED_EDGE('',*,*,#192543,.F.); #256045=ORIENTED_EDGE('',*,*,#192544,.F.); #256046=ORIENTED_EDGE('',*,*,#192545,.T.); #256047=ORIENTED_EDGE('',*,*,#192544,.T.); #256048=ORIENTED_EDGE('',*,*,#192546,.F.); #256049=ORIENTED_EDGE('',*,*,#192547,.F.); #256050=ORIENTED_EDGE('',*,*,#192548,.T.); #256051=ORIENTED_EDGE('',*,*,#192547,.T.); #256052=ORIENTED_EDGE('',*,*,#192549,.F.); #256053=ORIENTED_EDGE('',*,*,#192491,.F.); #256054=ORIENTED_EDGE('',*,*,#192549,.T.); #256055=ORIENTED_EDGE('',*,*,#192546,.T.); #256056=ORIENTED_EDGE('',*,*,#192543,.T.); #256057=ORIENTED_EDGE('',*,*,#192540,.T.); #256058=ORIENTED_EDGE('',*,*,#192537,.T.); #256059=ORIENTED_EDGE('',*,*,#192534,.T.); #256060=ORIENTED_EDGE('',*,*,#192531,.T.); #256061=ORIENTED_EDGE('',*,*,#192528,.T.); #256062=ORIENTED_EDGE('',*,*,#192525,.T.); #256063=ORIENTED_EDGE('',*,*,#192522,.T.); #256064=ORIENTED_EDGE('',*,*,#192519,.T.); #256065=ORIENTED_EDGE('',*,*,#192516,.T.); #256066=ORIENTED_EDGE('',*,*,#192513,.T.); #256067=ORIENTED_EDGE('',*,*,#192510,.T.); #256068=ORIENTED_EDGE('',*,*,#192507,.T.); #256069=ORIENTED_EDGE('',*,*,#192504,.T.); #256070=ORIENTED_EDGE('',*,*,#192501,.T.); #256071=ORIENTED_EDGE('',*,*,#192498,.T.); #256072=ORIENTED_EDGE('',*,*,#192495,.T.); #256073=ORIENTED_EDGE('',*,*,#192492,.T.); #256074=ORIENTED_EDGE('',*,*,#192548,.F.); #256075=ORIENTED_EDGE('',*,*,#192490,.F.); #256076=ORIENTED_EDGE('',*,*,#192494,.F.); #256077=ORIENTED_EDGE('',*,*,#192497,.F.); #256078=ORIENTED_EDGE('',*,*,#192500,.F.); #256079=ORIENTED_EDGE('',*,*,#192503,.F.); #256080=ORIENTED_EDGE('',*,*,#192506,.F.); #256081=ORIENTED_EDGE('',*,*,#192509,.F.); #256082=ORIENTED_EDGE('',*,*,#192512,.F.); #256083=ORIENTED_EDGE('',*,*,#192515,.F.); #256084=ORIENTED_EDGE('',*,*,#192518,.F.); #256085=ORIENTED_EDGE('',*,*,#192521,.F.); #256086=ORIENTED_EDGE('',*,*,#192524,.F.); #256087=ORIENTED_EDGE('',*,*,#192527,.F.); #256088=ORIENTED_EDGE('',*,*,#192530,.F.); #256089=ORIENTED_EDGE('',*,*,#192533,.F.); #256090=ORIENTED_EDGE('',*,*,#192536,.F.); #256091=ORIENTED_EDGE('',*,*,#192539,.F.); #256092=ORIENTED_EDGE('',*,*,#192542,.F.); #256093=ORIENTED_EDGE('',*,*,#192545,.F.); #256094=ORIENTED_EDGE('',*,*,#192550,.T.); #256095=ORIENTED_EDGE('',*,*,#192551,.T.); #256096=ORIENTED_EDGE('',*,*,#192552,.F.); #256097=ORIENTED_EDGE('',*,*,#192553,.F.); #256098=ORIENTED_EDGE('',*,*,#192554,.T.); #256099=ORIENTED_EDGE('',*,*,#192553,.T.); #256100=ORIENTED_EDGE('',*,*,#192555,.F.); #256101=ORIENTED_EDGE('',*,*,#192556,.F.); #256102=ORIENTED_EDGE('',*,*,#192557,.T.); #256103=ORIENTED_EDGE('',*,*,#192556,.T.); #256104=ORIENTED_EDGE('',*,*,#192558,.F.); #256105=ORIENTED_EDGE('',*,*,#192559,.F.); #256106=ORIENTED_EDGE('',*,*,#192560,.T.); #256107=ORIENTED_EDGE('',*,*,#192559,.T.); #256108=ORIENTED_EDGE('',*,*,#192561,.F.); #256109=ORIENTED_EDGE('',*,*,#192562,.F.); #256110=ORIENTED_EDGE('',*,*,#192563,.T.); #256111=ORIENTED_EDGE('',*,*,#192562,.T.); #256112=ORIENTED_EDGE('',*,*,#192564,.F.); #256113=ORIENTED_EDGE('',*,*,#192565,.F.); #256114=ORIENTED_EDGE('',*,*,#192566,.T.); #256115=ORIENTED_EDGE('',*,*,#192565,.T.); #256116=ORIENTED_EDGE('',*,*,#192567,.F.); #256117=ORIENTED_EDGE('',*,*,#192568,.F.); #256118=ORIENTED_EDGE('',*,*,#192569,.T.); #256119=ORIENTED_EDGE('',*,*,#192568,.T.); #256120=ORIENTED_EDGE('',*,*,#192570,.F.); #256121=ORIENTED_EDGE('',*,*,#192571,.F.); #256122=ORIENTED_EDGE('',*,*,#192572,.T.); #256123=ORIENTED_EDGE('',*,*,#192571,.T.); #256124=ORIENTED_EDGE('',*,*,#192573,.F.); #256125=ORIENTED_EDGE('',*,*,#192574,.F.); #256126=ORIENTED_EDGE('',*,*,#192575,.T.); #256127=ORIENTED_EDGE('',*,*,#192574,.T.); #256128=ORIENTED_EDGE('',*,*,#192576,.F.); #256129=ORIENTED_EDGE('',*,*,#192577,.F.); #256130=ORIENTED_EDGE('',*,*,#192578,.T.); #256131=ORIENTED_EDGE('',*,*,#192577,.T.); #256132=ORIENTED_EDGE('',*,*,#192579,.F.); #256133=ORIENTED_EDGE('',*,*,#192580,.F.); #256134=ORIENTED_EDGE('',*,*,#192581,.T.); #256135=ORIENTED_EDGE('',*,*,#192580,.T.); #256136=ORIENTED_EDGE('',*,*,#192582,.F.); #256137=ORIENTED_EDGE('',*,*,#192583,.F.); #256138=ORIENTED_EDGE('',*,*,#192584,.T.); #256139=ORIENTED_EDGE('',*,*,#192583,.T.); #256140=ORIENTED_EDGE('',*,*,#192585,.F.); #256141=ORIENTED_EDGE('',*,*,#192586,.F.); #256142=ORIENTED_EDGE('',*,*,#192587,.T.); #256143=ORIENTED_EDGE('',*,*,#192586,.T.); #256144=ORIENTED_EDGE('',*,*,#192588,.F.); #256145=ORIENTED_EDGE('',*,*,#192589,.F.); #256146=ORIENTED_EDGE('',*,*,#192590,.T.); #256147=ORIENTED_EDGE('',*,*,#192589,.T.); #256148=ORIENTED_EDGE('',*,*,#192591,.F.); #256149=ORIENTED_EDGE('',*,*,#192592,.F.); #256150=ORIENTED_EDGE('',*,*,#192593,.T.); #256151=ORIENTED_EDGE('',*,*,#192592,.T.); #256152=ORIENTED_EDGE('',*,*,#192594,.F.); #256153=ORIENTED_EDGE('',*,*,#192595,.F.); #256154=ORIENTED_EDGE('',*,*,#192596,.T.); #256155=ORIENTED_EDGE('',*,*,#192595,.T.); #256156=ORIENTED_EDGE('',*,*,#192597,.F.); #256157=ORIENTED_EDGE('',*,*,#192598,.F.); #256158=ORIENTED_EDGE('',*,*,#192599,.T.); #256159=ORIENTED_EDGE('',*,*,#192598,.T.); #256160=ORIENTED_EDGE('',*,*,#192600,.F.); #256161=ORIENTED_EDGE('',*,*,#192601,.F.); #256162=ORIENTED_EDGE('',*,*,#192602,.T.); #256163=ORIENTED_EDGE('',*,*,#192601,.T.); #256164=ORIENTED_EDGE('',*,*,#192603,.F.); #256165=ORIENTED_EDGE('',*,*,#192604,.F.); #256166=ORIENTED_EDGE('',*,*,#192605,.T.); #256167=ORIENTED_EDGE('',*,*,#192604,.T.); #256168=ORIENTED_EDGE('',*,*,#192606,.F.); #256169=ORIENTED_EDGE('',*,*,#192607,.F.); #256170=ORIENTED_EDGE('',*,*,#192608,.T.); #256171=ORIENTED_EDGE('',*,*,#192607,.T.); #256172=ORIENTED_EDGE('',*,*,#192609,.F.); #256173=ORIENTED_EDGE('',*,*,#192551,.F.); #256174=ORIENTED_EDGE('',*,*,#192609,.T.); #256175=ORIENTED_EDGE('',*,*,#192606,.T.); #256176=ORIENTED_EDGE('',*,*,#192603,.T.); #256177=ORIENTED_EDGE('',*,*,#192600,.T.); #256178=ORIENTED_EDGE('',*,*,#192597,.T.); #256179=ORIENTED_EDGE('',*,*,#192594,.T.); #256180=ORIENTED_EDGE('',*,*,#192591,.T.); #256181=ORIENTED_EDGE('',*,*,#192588,.T.); #256182=ORIENTED_EDGE('',*,*,#192585,.T.); #256183=ORIENTED_EDGE('',*,*,#192582,.T.); #256184=ORIENTED_EDGE('',*,*,#192579,.T.); #256185=ORIENTED_EDGE('',*,*,#192576,.T.); #256186=ORIENTED_EDGE('',*,*,#192573,.T.); #256187=ORIENTED_EDGE('',*,*,#192570,.T.); #256188=ORIENTED_EDGE('',*,*,#192567,.T.); #256189=ORIENTED_EDGE('',*,*,#192564,.T.); #256190=ORIENTED_EDGE('',*,*,#192561,.T.); #256191=ORIENTED_EDGE('',*,*,#192558,.T.); #256192=ORIENTED_EDGE('',*,*,#192555,.T.); #256193=ORIENTED_EDGE('',*,*,#192552,.T.); #256194=ORIENTED_EDGE('',*,*,#192608,.F.); #256195=ORIENTED_EDGE('',*,*,#192550,.F.); #256196=ORIENTED_EDGE('',*,*,#192554,.F.); #256197=ORIENTED_EDGE('',*,*,#192557,.F.); #256198=ORIENTED_EDGE('',*,*,#192560,.F.); #256199=ORIENTED_EDGE('',*,*,#192563,.F.); #256200=ORIENTED_EDGE('',*,*,#192566,.F.); #256201=ORIENTED_EDGE('',*,*,#192569,.F.); #256202=ORIENTED_EDGE('',*,*,#192572,.F.); #256203=ORIENTED_EDGE('',*,*,#192575,.F.); #256204=ORIENTED_EDGE('',*,*,#192578,.F.); #256205=ORIENTED_EDGE('',*,*,#192581,.F.); #256206=ORIENTED_EDGE('',*,*,#192584,.F.); #256207=ORIENTED_EDGE('',*,*,#192587,.F.); #256208=ORIENTED_EDGE('',*,*,#192590,.F.); #256209=ORIENTED_EDGE('',*,*,#192593,.F.); #256210=ORIENTED_EDGE('',*,*,#192596,.F.); #256211=ORIENTED_EDGE('',*,*,#192599,.F.); #256212=ORIENTED_EDGE('',*,*,#192602,.F.); #256213=ORIENTED_EDGE('',*,*,#192605,.F.); #256214=ORIENTED_EDGE('',*,*,#192610,.T.); #256215=ORIENTED_EDGE('',*,*,#192611,.T.); #256216=ORIENTED_EDGE('',*,*,#192612,.F.); #256217=ORIENTED_EDGE('',*,*,#192613,.F.); #256218=ORIENTED_EDGE('',*,*,#192614,.T.); #256219=ORIENTED_EDGE('',*,*,#192613,.T.); #256220=ORIENTED_EDGE('',*,*,#192615,.F.); #256221=ORIENTED_EDGE('',*,*,#192616,.F.); #256222=ORIENTED_EDGE('',*,*,#192617,.T.); #256223=ORIENTED_EDGE('',*,*,#192616,.T.); #256224=ORIENTED_EDGE('',*,*,#192618,.F.); #256225=ORIENTED_EDGE('',*,*,#192619,.F.); #256226=ORIENTED_EDGE('',*,*,#192620,.T.); #256227=ORIENTED_EDGE('',*,*,#192619,.T.); #256228=ORIENTED_EDGE('',*,*,#192621,.F.); #256229=ORIENTED_EDGE('',*,*,#192622,.F.); #256230=ORIENTED_EDGE('',*,*,#192623,.T.); #256231=ORIENTED_EDGE('',*,*,#192622,.T.); #256232=ORIENTED_EDGE('',*,*,#192624,.F.); #256233=ORIENTED_EDGE('',*,*,#192625,.F.); #256234=ORIENTED_EDGE('',*,*,#192626,.T.); #256235=ORIENTED_EDGE('',*,*,#192625,.T.); #256236=ORIENTED_EDGE('',*,*,#192627,.F.); #256237=ORIENTED_EDGE('',*,*,#192628,.F.); #256238=ORIENTED_EDGE('',*,*,#192629,.T.); #256239=ORIENTED_EDGE('',*,*,#192628,.T.); #256240=ORIENTED_EDGE('',*,*,#192630,.F.); #256241=ORIENTED_EDGE('',*,*,#192631,.F.); #256242=ORIENTED_EDGE('',*,*,#192632,.T.); #256243=ORIENTED_EDGE('',*,*,#192631,.T.); #256244=ORIENTED_EDGE('',*,*,#192633,.F.); #256245=ORIENTED_EDGE('',*,*,#192634,.F.); #256246=ORIENTED_EDGE('',*,*,#192635,.T.); #256247=ORIENTED_EDGE('',*,*,#192634,.T.); #256248=ORIENTED_EDGE('',*,*,#192636,.F.); #256249=ORIENTED_EDGE('',*,*,#192637,.F.); #256250=ORIENTED_EDGE('',*,*,#192638,.T.); #256251=ORIENTED_EDGE('',*,*,#192637,.T.); #256252=ORIENTED_EDGE('',*,*,#192639,.F.); #256253=ORIENTED_EDGE('',*,*,#192640,.F.); #256254=ORIENTED_EDGE('',*,*,#192641,.T.); #256255=ORIENTED_EDGE('',*,*,#192640,.T.); #256256=ORIENTED_EDGE('',*,*,#192642,.F.); #256257=ORIENTED_EDGE('',*,*,#192643,.F.); #256258=ORIENTED_EDGE('',*,*,#192644,.T.); #256259=ORIENTED_EDGE('',*,*,#192643,.T.); #256260=ORIENTED_EDGE('',*,*,#192645,.F.); #256261=ORIENTED_EDGE('',*,*,#192646,.F.); #256262=ORIENTED_EDGE('',*,*,#192647,.T.); #256263=ORIENTED_EDGE('',*,*,#192646,.T.); #256264=ORIENTED_EDGE('',*,*,#192648,.F.); #256265=ORIENTED_EDGE('',*,*,#192649,.F.); #256266=ORIENTED_EDGE('',*,*,#192650,.T.); #256267=ORIENTED_EDGE('',*,*,#192649,.T.); #256268=ORIENTED_EDGE('',*,*,#192651,.F.); #256269=ORIENTED_EDGE('',*,*,#192652,.F.); #256270=ORIENTED_EDGE('',*,*,#192653,.T.); #256271=ORIENTED_EDGE('',*,*,#192652,.T.); #256272=ORIENTED_EDGE('',*,*,#192654,.F.); #256273=ORIENTED_EDGE('',*,*,#192655,.F.); #256274=ORIENTED_EDGE('',*,*,#192656,.T.); #256275=ORIENTED_EDGE('',*,*,#192655,.T.); #256276=ORIENTED_EDGE('',*,*,#192657,.F.); #256277=ORIENTED_EDGE('',*,*,#192658,.F.); #256278=ORIENTED_EDGE('',*,*,#192659,.T.); #256279=ORIENTED_EDGE('',*,*,#192658,.T.); #256280=ORIENTED_EDGE('',*,*,#192660,.F.); #256281=ORIENTED_EDGE('',*,*,#192661,.F.); #256282=ORIENTED_EDGE('',*,*,#192662,.T.); #256283=ORIENTED_EDGE('',*,*,#192661,.T.); #256284=ORIENTED_EDGE('',*,*,#192663,.F.); #256285=ORIENTED_EDGE('',*,*,#192664,.F.); #256286=ORIENTED_EDGE('',*,*,#192665,.T.); #256287=ORIENTED_EDGE('',*,*,#192664,.T.); #256288=ORIENTED_EDGE('',*,*,#192666,.F.); #256289=ORIENTED_EDGE('',*,*,#192667,.F.); #256290=ORIENTED_EDGE('',*,*,#192668,.T.); #256291=ORIENTED_EDGE('',*,*,#192667,.T.); #256292=ORIENTED_EDGE('',*,*,#192669,.F.); #256293=ORIENTED_EDGE('',*,*,#192670,.F.); #256294=ORIENTED_EDGE('',*,*,#192671,.T.); #256295=ORIENTED_EDGE('',*,*,#192670,.T.); #256296=ORIENTED_EDGE('',*,*,#192672,.F.); #256297=ORIENTED_EDGE('',*,*,#192673,.F.); #256298=ORIENTED_EDGE('',*,*,#192674,.T.); #256299=ORIENTED_EDGE('',*,*,#192673,.T.); #256300=ORIENTED_EDGE('',*,*,#192675,.F.); #256301=ORIENTED_EDGE('',*,*,#192676,.F.); #256302=ORIENTED_EDGE('',*,*,#192677,.T.); #256303=ORIENTED_EDGE('',*,*,#192676,.T.); #256304=ORIENTED_EDGE('',*,*,#192678,.F.); #256305=ORIENTED_EDGE('',*,*,#192611,.F.); #256306=ORIENTED_EDGE('',*,*,#192678,.T.); #256307=ORIENTED_EDGE('',*,*,#192675,.T.); #256308=ORIENTED_EDGE('',*,*,#192672,.T.); #256309=ORIENTED_EDGE('',*,*,#192669,.T.); #256310=ORIENTED_EDGE('',*,*,#192666,.T.); #256311=ORIENTED_EDGE('',*,*,#192663,.T.); #256312=ORIENTED_EDGE('',*,*,#192660,.T.); #256313=ORIENTED_EDGE('',*,*,#192657,.T.); #256314=ORIENTED_EDGE('',*,*,#192654,.T.); #256315=ORIENTED_EDGE('',*,*,#192651,.T.); #256316=ORIENTED_EDGE('',*,*,#192648,.T.); #256317=ORIENTED_EDGE('',*,*,#192645,.T.); #256318=ORIENTED_EDGE('',*,*,#192642,.T.); #256319=ORIENTED_EDGE('',*,*,#192639,.T.); #256320=ORIENTED_EDGE('',*,*,#192636,.T.); #256321=ORIENTED_EDGE('',*,*,#192633,.T.); #256322=ORIENTED_EDGE('',*,*,#192630,.T.); #256323=ORIENTED_EDGE('',*,*,#192627,.T.); #256324=ORIENTED_EDGE('',*,*,#192624,.T.); #256325=ORIENTED_EDGE('',*,*,#192621,.T.); #256326=ORIENTED_EDGE('',*,*,#192618,.T.); #256327=ORIENTED_EDGE('',*,*,#192615,.T.); #256328=ORIENTED_EDGE('',*,*,#192612,.T.); #256329=ORIENTED_EDGE('',*,*,#192677,.F.); #256330=ORIENTED_EDGE('',*,*,#192610,.F.); #256331=ORIENTED_EDGE('',*,*,#192614,.F.); #256332=ORIENTED_EDGE('',*,*,#192617,.F.); #256333=ORIENTED_EDGE('',*,*,#192620,.F.); #256334=ORIENTED_EDGE('',*,*,#192623,.F.); #256335=ORIENTED_EDGE('',*,*,#192626,.F.); #256336=ORIENTED_EDGE('',*,*,#192629,.F.); #256337=ORIENTED_EDGE('',*,*,#192632,.F.); #256338=ORIENTED_EDGE('',*,*,#192635,.F.); #256339=ORIENTED_EDGE('',*,*,#192638,.F.); #256340=ORIENTED_EDGE('',*,*,#192641,.F.); #256341=ORIENTED_EDGE('',*,*,#192644,.F.); #256342=ORIENTED_EDGE('',*,*,#192647,.F.); #256343=ORIENTED_EDGE('',*,*,#192650,.F.); #256344=ORIENTED_EDGE('',*,*,#192653,.F.); #256345=ORIENTED_EDGE('',*,*,#192656,.F.); #256346=ORIENTED_EDGE('',*,*,#192659,.F.); #256347=ORIENTED_EDGE('',*,*,#192662,.F.); #256348=ORIENTED_EDGE('',*,*,#192665,.F.); #256349=ORIENTED_EDGE('',*,*,#192668,.F.); #256350=ORIENTED_EDGE('',*,*,#192671,.F.); #256351=ORIENTED_EDGE('',*,*,#192674,.F.); #256352=ORIENTED_EDGE('',*,*,#192679,.T.); #256353=ORIENTED_EDGE('',*,*,#192680,.T.); #256354=ORIENTED_EDGE('',*,*,#192681,.F.); #256355=ORIENTED_EDGE('',*,*,#192682,.F.); #256356=ORIENTED_EDGE('',*,*,#192683,.T.); #256357=ORIENTED_EDGE('',*,*,#192682,.T.); #256358=ORIENTED_EDGE('',*,*,#192684,.F.); #256359=ORIENTED_EDGE('',*,*,#192685,.F.); #256360=ORIENTED_EDGE('',*,*,#192686,.T.); #256361=ORIENTED_EDGE('',*,*,#192685,.T.); #256362=ORIENTED_EDGE('',*,*,#192687,.F.); #256363=ORIENTED_EDGE('',*,*,#192688,.F.); #256364=ORIENTED_EDGE('',*,*,#192689,.T.); #256365=ORIENTED_EDGE('',*,*,#192688,.T.); #256366=ORIENTED_EDGE('',*,*,#192690,.F.); #256367=ORIENTED_EDGE('',*,*,#192691,.F.); #256368=ORIENTED_EDGE('',*,*,#192692,.T.); #256369=ORIENTED_EDGE('',*,*,#192691,.T.); #256370=ORIENTED_EDGE('',*,*,#192693,.F.); #256371=ORIENTED_EDGE('',*,*,#192694,.F.); #256372=ORIENTED_EDGE('',*,*,#192695,.T.); #256373=ORIENTED_EDGE('',*,*,#192694,.T.); #256374=ORIENTED_EDGE('',*,*,#192696,.F.); #256375=ORIENTED_EDGE('',*,*,#192697,.F.); #256376=ORIENTED_EDGE('',*,*,#192698,.T.); #256377=ORIENTED_EDGE('',*,*,#192697,.T.); #256378=ORIENTED_EDGE('',*,*,#192699,.F.); #256379=ORIENTED_EDGE('',*,*,#192700,.F.); #256380=ORIENTED_EDGE('',*,*,#192701,.T.); #256381=ORIENTED_EDGE('',*,*,#192700,.T.); #256382=ORIENTED_EDGE('',*,*,#192702,.F.); #256383=ORIENTED_EDGE('',*,*,#192703,.F.); #256384=ORIENTED_EDGE('',*,*,#192704,.T.); #256385=ORIENTED_EDGE('',*,*,#192703,.T.); #256386=ORIENTED_EDGE('',*,*,#192705,.F.); #256387=ORIENTED_EDGE('',*,*,#192706,.F.); #256388=ORIENTED_EDGE('',*,*,#192707,.T.); #256389=ORIENTED_EDGE('',*,*,#192706,.T.); #256390=ORIENTED_EDGE('',*,*,#192708,.F.); #256391=ORIENTED_EDGE('',*,*,#192709,.F.); #256392=ORIENTED_EDGE('',*,*,#192710,.T.); #256393=ORIENTED_EDGE('',*,*,#192709,.T.); #256394=ORIENTED_EDGE('',*,*,#192711,.F.); #256395=ORIENTED_EDGE('',*,*,#192712,.F.); #256396=ORIENTED_EDGE('',*,*,#192713,.T.); #256397=ORIENTED_EDGE('',*,*,#192712,.T.); #256398=ORIENTED_EDGE('',*,*,#192714,.F.); #256399=ORIENTED_EDGE('',*,*,#192715,.F.); #256400=ORIENTED_EDGE('',*,*,#192716,.T.); #256401=ORIENTED_EDGE('',*,*,#192715,.T.); #256402=ORIENTED_EDGE('',*,*,#192717,.F.); #256403=ORIENTED_EDGE('',*,*,#192718,.F.); #256404=ORIENTED_EDGE('',*,*,#192719,.T.); #256405=ORIENTED_EDGE('',*,*,#192718,.T.); #256406=ORIENTED_EDGE('',*,*,#192720,.F.); #256407=ORIENTED_EDGE('',*,*,#192721,.F.); #256408=ORIENTED_EDGE('',*,*,#192722,.T.); #256409=ORIENTED_EDGE('',*,*,#192721,.T.); #256410=ORIENTED_EDGE('',*,*,#192723,.F.); #256411=ORIENTED_EDGE('',*,*,#192724,.F.); #256412=ORIENTED_EDGE('',*,*,#192725,.T.); #256413=ORIENTED_EDGE('',*,*,#192724,.T.); #256414=ORIENTED_EDGE('',*,*,#192726,.F.); #256415=ORIENTED_EDGE('',*,*,#192727,.F.); #256416=ORIENTED_EDGE('',*,*,#192728,.T.); #256417=ORIENTED_EDGE('',*,*,#192727,.T.); #256418=ORIENTED_EDGE('',*,*,#192729,.F.); #256419=ORIENTED_EDGE('',*,*,#192730,.F.); #256420=ORIENTED_EDGE('',*,*,#192731,.T.); #256421=ORIENTED_EDGE('',*,*,#192730,.T.); #256422=ORIENTED_EDGE('',*,*,#192732,.F.); #256423=ORIENTED_EDGE('',*,*,#192733,.F.); #256424=ORIENTED_EDGE('',*,*,#192734,.T.); #256425=ORIENTED_EDGE('',*,*,#192733,.T.); #256426=ORIENTED_EDGE('',*,*,#192735,.F.); #256427=ORIENTED_EDGE('',*,*,#192736,.F.); #256428=ORIENTED_EDGE('',*,*,#192737,.T.); #256429=ORIENTED_EDGE('',*,*,#192736,.T.); #256430=ORIENTED_EDGE('',*,*,#192738,.F.); #256431=ORIENTED_EDGE('',*,*,#192680,.F.); #256432=ORIENTED_EDGE('',*,*,#192738,.T.); #256433=ORIENTED_EDGE('',*,*,#192735,.T.); #256434=ORIENTED_EDGE('',*,*,#192732,.T.); #256435=ORIENTED_EDGE('',*,*,#192729,.T.); #256436=ORIENTED_EDGE('',*,*,#192726,.T.); #256437=ORIENTED_EDGE('',*,*,#192723,.T.); #256438=ORIENTED_EDGE('',*,*,#192720,.T.); #256439=ORIENTED_EDGE('',*,*,#192717,.T.); #256440=ORIENTED_EDGE('',*,*,#192714,.T.); #256441=ORIENTED_EDGE('',*,*,#192711,.T.); #256442=ORIENTED_EDGE('',*,*,#192708,.T.); #256443=ORIENTED_EDGE('',*,*,#192705,.T.); #256444=ORIENTED_EDGE('',*,*,#192702,.T.); #256445=ORIENTED_EDGE('',*,*,#192699,.T.); #256446=ORIENTED_EDGE('',*,*,#192696,.T.); #256447=ORIENTED_EDGE('',*,*,#192693,.T.); #256448=ORIENTED_EDGE('',*,*,#192690,.T.); #256449=ORIENTED_EDGE('',*,*,#192687,.T.); #256450=ORIENTED_EDGE('',*,*,#192684,.T.); #256451=ORIENTED_EDGE('',*,*,#192681,.T.); #256452=ORIENTED_EDGE('',*,*,#192737,.F.); #256453=ORIENTED_EDGE('',*,*,#192679,.F.); #256454=ORIENTED_EDGE('',*,*,#192683,.F.); #256455=ORIENTED_EDGE('',*,*,#192686,.F.); #256456=ORIENTED_EDGE('',*,*,#192689,.F.); #256457=ORIENTED_EDGE('',*,*,#192692,.F.); #256458=ORIENTED_EDGE('',*,*,#192695,.F.); #256459=ORIENTED_EDGE('',*,*,#192698,.F.); #256460=ORIENTED_EDGE('',*,*,#192701,.F.); #256461=ORIENTED_EDGE('',*,*,#192704,.F.); #256462=ORIENTED_EDGE('',*,*,#192707,.F.); #256463=ORIENTED_EDGE('',*,*,#192710,.F.); #256464=ORIENTED_EDGE('',*,*,#192713,.F.); #256465=ORIENTED_EDGE('',*,*,#192716,.F.); #256466=ORIENTED_EDGE('',*,*,#192719,.F.); #256467=ORIENTED_EDGE('',*,*,#192722,.F.); #256468=ORIENTED_EDGE('',*,*,#192725,.F.); #256469=ORIENTED_EDGE('',*,*,#192728,.F.); #256470=ORIENTED_EDGE('',*,*,#192731,.F.); #256471=ORIENTED_EDGE('',*,*,#192734,.F.); #256472=ORIENTED_EDGE('',*,*,#192739,.T.); #256473=ORIENTED_EDGE('',*,*,#192740,.T.); #256474=ORIENTED_EDGE('',*,*,#192741,.F.); #256475=ORIENTED_EDGE('',*,*,#192742,.F.); #256476=ORIENTED_EDGE('',*,*,#192743,.T.); #256477=ORIENTED_EDGE('',*,*,#192742,.T.); #256478=ORIENTED_EDGE('',*,*,#192744,.F.); #256479=ORIENTED_EDGE('',*,*,#192745,.F.); #256480=ORIENTED_EDGE('',*,*,#192746,.T.); #256481=ORIENTED_EDGE('',*,*,#192745,.T.); #256482=ORIENTED_EDGE('',*,*,#192747,.F.); #256483=ORIENTED_EDGE('',*,*,#192748,.F.); #256484=ORIENTED_EDGE('',*,*,#192749,.T.); #256485=ORIENTED_EDGE('',*,*,#192748,.T.); #256486=ORIENTED_EDGE('',*,*,#192750,.F.); #256487=ORIENTED_EDGE('',*,*,#192751,.F.); #256488=ORIENTED_EDGE('',*,*,#192752,.T.); #256489=ORIENTED_EDGE('',*,*,#192751,.T.); #256490=ORIENTED_EDGE('',*,*,#192753,.F.); #256491=ORIENTED_EDGE('',*,*,#192754,.F.); #256492=ORIENTED_EDGE('',*,*,#192755,.T.); #256493=ORIENTED_EDGE('',*,*,#192754,.T.); #256494=ORIENTED_EDGE('',*,*,#192756,.F.); #256495=ORIENTED_EDGE('',*,*,#192757,.F.); #256496=ORIENTED_EDGE('',*,*,#192758,.T.); #256497=ORIENTED_EDGE('',*,*,#192757,.T.); #256498=ORIENTED_EDGE('',*,*,#192759,.F.); #256499=ORIENTED_EDGE('',*,*,#192760,.F.); #256500=ORIENTED_EDGE('',*,*,#192761,.T.); #256501=ORIENTED_EDGE('',*,*,#192760,.T.); #256502=ORIENTED_EDGE('',*,*,#192762,.F.); #256503=ORIENTED_EDGE('',*,*,#192763,.F.); #256504=ORIENTED_EDGE('',*,*,#192764,.T.); #256505=ORIENTED_EDGE('',*,*,#192763,.T.); #256506=ORIENTED_EDGE('',*,*,#192765,.F.); #256507=ORIENTED_EDGE('',*,*,#192766,.F.); #256508=ORIENTED_EDGE('',*,*,#192767,.T.); #256509=ORIENTED_EDGE('',*,*,#192766,.T.); #256510=ORIENTED_EDGE('',*,*,#192768,.F.); #256511=ORIENTED_EDGE('',*,*,#192769,.F.); #256512=ORIENTED_EDGE('',*,*,#192770,.T.); #256513=ORIENTED_EDGE('',*,*,#192769,.T.); #256514=ORIENTED_EDGE('',*,*,#192771,.F.); #256515=ORIENTED_EDGE('',*,*,#192772,.F.); #256516=ORIENTED_EDGE('',*,*,#192773,.T.); #256517=ORIENTED_EDGE('',*,*,#192772,.T.); #256518=ORIENTED_EDGE('',*,*,#192774,.F.); #256519=ORIENTED_EDGE('',*,*,#192775,.F.); #256520=ORIENTED_EDGE('',*,*,#192776,.T.); #256521=ORIENTED_EDGE('',*,*,#192775,.T.); #256522=ORIENTED_EDGE('',*,*,#192777,.F.); #256523=ORIENTED_EDGE('',*,*,#192778,.F.); #256524=ORIENTED_EDGE('',*,*,#192779,.T.); #256525=ORIENTED_EDGE('',*,*,#192778,.T.); #256526=ORIENTED_EDGE('',*,*,#192780,.F.); #256527=ORIENTED_EDGE('',*,*,#192781,.F.); #256528=ORIENTED_EDGE('',*,*,#192782,.T.); #256529=ORIENTED_EDGE('',*,*,#192781,.T.); #256530=ORIENTED_EDGE('',*,*,#192783,.F.); #256531=ORIENTED_EDGE('',*,*,#192784,.F.); #256532=ORIENTED_EDGE('',*,*,#192785,.T.); #256533=ORIENTED_EDGE('',*,*,#192784,.T.); #256534=ORIENTED_EDGE('',*,*,#192786,.F.); #256535=ORIENTED_EDGE('',*,*,#192787,.F.); #256536=ORIENTED_EDGE('',*,*,#192788,.T.); #256537=ORIENTED_EDGE('',*,*,#192787,.T.); #256538=ORIENTED_EDGE('',*,*,#192789,.F.); #256539=ORIENTED_EDGE('',*,*,#192790,.F.); #256540=ORIENTED_EDGE('',*,*,#192791,.T.); #256541=ORIENTED_EDGE('',*,*,#192790,.T.); #256542=ORIENTED_EDGE('',*,*,#192792,.F.); #256543=ORIENTED_EDGE('',*,*,#192793,.F.); #256544=ORIENTED_EDGE('',*,*,#192794,.T.); #256545=ORIENTED_EDGE('',*,*,#192793,.T.); #256546=ORIENTED_EDGE('',*,*,#192795,.F.); #256547=ORIENTED_EDGE('',*,*,#192796,.F.); #256548=ORIENTED_EDGE('',*,*,#192797,.T.); #256549=ORIENTED_EDGE('',*,*,#192796,.T.); #256550=ORIENTED_EDGE('',*,*,#192798,.F.); #256551=ORIENTED_EDGE('',*,*,#192799,.F.); #256552=ORIENTED_EDGE('',*,*,#192800,.T.); #256553=ORIENTED_EDGE('',*,*,#192799,.T.); #256554=ORIENTED_EDGE('',*,*,#192801,.F.); #256555=ORIENTED_EDGE('',*,*,#192740,.F.); #256556=ORIENTED_EDGE('',*,*,#192801,.T.); #256557=ORIENTED_EDGE('',*,*,#192798,.T.); #256558=ORIENTED_EDGE('',*,*,#192795,.T.); #256559=ORIENTED_EDGE('',*,*,#192792,.T.); #256560=ORIENTED_EDGE('',*,*,#192789,.T.); #256561=ORIENTED_EDGE('',*,*,#192786,.T.); #256562=ORIENTED_EDGE('',*,*,#192783,.T.); #256563=ORIENTED_EDGE('',*,*,#192780,.T.); #256564=ORIENTED_EDGE('',*,*,#192777,.T.); #256565=ORIENTED_EDGE('',*,*,#192774,.T.); #256566=ORIENTED_EDGE('',*,*,#192771,.T.); #256567=ORIENTED_EDGE('',*,*,#192768,.T.); #256568=ORIENTED_EDGE('',*,*,#192765,.T.); #256569=ORIENTED_EDGE('',*,*,#192762,.T.); #256570=ORIENTED_EDGE('',*,*,#192759,.T.); #256571=ORIENTED_EDGE('',*,*,#192756,.T.); #256572=ORIENTED_EDGE('',*,*,#192753,.T.); #256573=ORIENTED_EDGE('',*,*,#192750,.T.); #256574=ORIENTED_EDGE('',*,*,#192747,.T.); #256575=ORIENTED_EDGE('',*,*,#192744,.T.); #256576=ORIENTED_EDGE('',*,*,#192741,.T.); #256577=ORIENTED_EDGE('',*,*,#192800,.F.); #256578=ORIENTED_EDGE('',*,*,#192739,.F.); #256579=ORIENTED_EDGE('',*,*,#192743,.F.); #256580=ORIENTED_EDGE('',*,*,#192746,.F.); #256581=ORIENTED_EDGE('',*,*,#192749,.F.); #256582=ORIENTED_EDGE('',*,*,#192752,.F.); #256583=ORIENTED_EDGE('',*,*,#192755,.F.); #256584=ORIENTED_EDGE('',*,*,#192758,.F.); #256585=ORIENTED_EDGE('',*,*,#192761,.F.); #256586=ORIENTED_EDGE('',*,*,#192764,.F.); #256587=ORIENTED_EDGE('',*,*,#192767,.F.); #256588=ORIENTED_EDGE('',*,*,#192770,.F.); #256589=ORIENTED_EDGE('',*,*,#192773,.F.); #256590=ORIENTED_EDGE('',*,*,#192776,.F.); #256591=ORIENTED_EDGE('',*,*,#192779,.F.); #256592=ORIENTED_EDGE('',*,*,#192782,.F.); #256593=ORIENTED_EDGE('',*,*,#192785,.F.); #256594=ORIENTED_EDGE('',*,*,#192788,.F.); #256595=ORIENTED_EDGE('',*,*,#192791,.F.); #256596=ORIENTED_EDGE('',*,*,#192794,.F.); #256597=ORIENTED_EDGE('',*,*,#192797,.F.); #256598=ORIENTED_EDGE('',*,*,#192802,.F.); #256599=ORIENTED_EDGE('',*,*,#192803,.T.); #256600=ORIENTED_EDGE('',*,*,#192804,.F.); #256601=ORIENTED_EDGE('',*,*,#192803,.F.); #256602=ORIENTED_EDGE('',*,*,#192805,.T.); #256603=ORIENTED_EDGE('',*,*,#192806,.T.); #256604=ORIENTED_EDGE('',*,*,#192807,.F.); #256605=ORIENTED_EDGE('',*,*,#192808,.F.); #256606=ORIENTED_EDGE('',*,*,#192809,.T.); #256607=ORIENTED_EDGE('',*,*,#192808,.T.); #256608=ORIENTED_EDGE('',*,*,#192810,.F.); #256609=ORIENTED_EDGE('',*,*,#192811,.F.); #256610=ORIENTED_EDGE('',*,*,#192812,.T.); #256611=ORIENTED_EDGE('',*,*,#192811,.T.); #256612=ORIENTED_EDGE('',*,*,#192813,.F.); #256613=ORIENTED_EDGE('',*,*,#192814,.F.); #256614=ORIENTED_EDGE('',*,*,#192815,.T.); #256615=ORIENTED_EDGE('',*,*,#192814,.T.); #256616=ORIENTED_EDGE('',*,*,#192816,.F.); #256617=ORIENTED_EDGE('',*,*,#192817,.F.); #256618=ORIENTED_EDGE('',*,*,#192818,.T.); #256619=ORIENTED_EDGE('',*,*,#192817,.T.); #256620=ORIENTED_EDGE('',*,*,#192819,.F.); #256621=ORIENTED_EDGE('',*,*,#192820,.F.); #256622=ORIENTED_EDGE('',*,*,#192821,.T.); #256623=ORIENTED_EDGE('',*,*,#192820,.T.); #256624=ORIENTED_EDGE('',*,*,#192822,.F.); #256625=ORIENTED_EDGE('',*,*,#192823,.F.); #256626=ORIENTED_EDGE('',*,*,#192824,.T.); #256627=ORIENTED_EDGE('',*,*,#192823,.T.); #256628=ORIENTED_EDGE('',*,*,#192825,.F.); #256629=ORIENTED_EDGE('',*,*,#192826,.F.); #256630=ORIENTED_EDGE('',*,*,#192827,.T.); #256631=ORIENTED_EDGE('',*,*,#192826,.T.); #256632=ORIENTED_EDGE('',*,*,#192828,.F.); #256633=ORIENTED_EDGE('',*,*,#192829,.F.); #256634=ORIENTED_EDGE('',*,*,#192830,.T.); #256635=ORIENTED_EDGE('',*,*,#192829,.T.); #256636=ORIENTED_EDGE('',*,*,#192831,.F.); #256637=ORIENTED_EDGE('',*,*,#192832,.F.); #256638=ORIENTED_EDGE('',*,*,#192833,.T.); #256639=ORIENTED_EDGE('',*,*,#192832,.T.); #256640=ORIENTED_EDGE('',*,*,#192834,.F.); #256641=ORIENTED_EDGE('',*,*,#192835,.F.); #256642=ORIENTED_EDGE('',*,*,#192836,.T.); #256643=ORIENTED_EDGE('',*,*,#192835,.T.); #256644=ORIENTED_EDGE('',*,*,#192837,.F.); #256645=ORIENTED_EDGE('',*,*,#192838,.F.); #256646=ORIENTED_EDGE('',*,*,#192839,.T.); #256647=ORIENTED_EDGE('',*,*,#192838,.T.); #256648=ORIENTED_EDGE('',*,*,#192840,.F.); #256649=ORIENTED_EDGE('',*,*,#192841,.F.); #256650=ORIENTED_EDGE('',*,*,#192842,.T.); #256651=ORIENTED_EDGE('',*,*,#192841,.T.); #256652=ORIENTED_EDGE('',*,*,#192843,.F.); #256653=ORIENTED_EDGE('',*,*,#192844,.F.); #256654=ORIENTED_EDGE('',*,*,#192845,.T.); #256655=ORIENTED_EDGE('',*,*,#192844,.T.); #256656=ORIENTED_EDGE('',*,*,#192846,.F.); #256657=ORIENTED_EDGE('',*,*,#192847,.F.); #256658=ORIENTED_EDGE('',*,*,#192848,.T.); #256659=ORIENTED_EDGE('',*,*,#192847,.T.); #256660=ORIENTED_EDGE('',*,*,#192849,.F.); #256661=ORIENTED_EDGE('',*,*,#192850,.F.); #256662=ORIENTED_EDGE('',*,*,#192851,.T.); #256663=ORIENTED_EDGE('',*,*,#192850,.T.); #256664=ORIENTED_EDGE('',*,*,#192852,.F.); #256665=ORIENTED_EDGE('',*,*,#192853,.F.); #256666=ORIENTED_EDGE('',*,*,#192854,.T.); #256667=ORIENTED_EDGE('',*,*,#192853,.T.); #256668=ORIENTED_EDGE('',*,*,#192855,.F.); #256669=ORIENTED_EDGE('',*,*,#192856,.F.); #256670=ORIENTED_EDGE('',*,*,#192857,.T.); #256671=ORIENTED_EDGE('',*,*,#192856,.T.); #256672=ORIENTED_EDGE('',*,*,#192858,.F.); #256673=ORIENTED_EDGE('',*,*,#192859,.F.); #256674=ORIENTED_EDGE('',*,*,#192860,.T.); #256675=ORIENTED_EDGE('',*,*,#192859,.T.); #256676=ORIENTED_EDGE('',*,*,#192861,.F.); #256677=ORIENTED_EDGE('',*,*,#192862,.F.); #256678=ORIENTED_EDGE('',*,*,#192863,.T.); #256679=ORIENTED_EDGE('',*,*,#192862,.T.); #256680=ORIENTED_EDGE('',*,*,#192864,.F.); #256681=ORIENTED_EDGE('',*,*,#192865,.F.); #256682=ORIENTED_EDGE('',*,*,#192866,.T.); #256683=ORIENTED_EDGE('',*,*,#192865,.T.); #256684=ORIENTED_EDGE('',*,*,#192867,.F.); #256685=ORIENTED_EDGE('',*,*,#192868,.F.); #256686=ORIENTED_EDGE('',*,*,#192869,.T.); #256687=ORIENTED_EDGE('',*,*,#192868,.T.); #256688=ORIENTED_EDGE('',*,*,#192870,.F.); #256689=ORIENTED_EDGE('',*,*,#192871,.F.); #256690=ORIENTED_EDGE('',*,*,#192872,.T.); #256691=ORIENTED_EDGE('',*,*,#192871,.T.); #256692=ORIENTED_EDGE('',*,*,#192873,.F.); #256693=ORIENTED_EDGE('',*,*,#192874,.F.); #256694=ORIENTED_EDGE('',*,*,#192875,.T.); #256695=ORIENTED_EDGE('',*,*,#192874,.T.); #256696=ORIENTED_EDGE('',*,*,#192876,.F.); #256697=ORIENTED_EDGE('',*,*,#192806,.F.); #256698=ORIENTED_EDGE('',*,*,#192876,.T.); #256699=ORIENTED_EDGE('',*,*,#192873,.T.); #256700=ORIENTED_EDGE('',*,*,#192870,.T.); #256701=ORIENTED_EDGE('',*,*,#192867,.T.); #256702=ORIENTED_EDGE('',*,*,#192864,.T.); #256703=ORIENTED_EDGE('',*,*,#192861,.T.); #256704=ORIENTED_EDGE('',*,*,#192858,.T.); #256705=ORIENTED_EDGE('',*,*,#192855,.T.); #256706=ORIENTED_EDGE('',*,*,#192852,.T.); #256707=ORIENTED_EDGE('',*,*,#192849,.T.); #256708=ORIENTED_EDGE('',*,*,#192846,.T.); #256709=ORIENTED_EDGE('',*,*,#192843,.T.); #256710=ORIENTED_EDGE('',*,*,#192840,.T.); #256711=ORIENTED_EDGE('',*,*,#192837,.T.); #256712=ORIENTED_EDGE('',*,*,#192834,.T.); #256713=ORIENTED_EDGE('',*,*,#192831,.T.); #256714=ORIENTED_EDGE('',*,*,#192828,.T.); #256715=ORIENTED_EDGE('',*,*,#192825,.T.); #256716=ORIENTED_EDGE('',*,*,#192822,.T.); #256717=ORIENTED_EDGE('',*,*,#192819,.T.); #256718=ORIENTED_EDGE('',*,*,#192816,.T.); #256719=ORIENTED_EDGE('',*,*,#192813,.T.); #256720=ORIENTED_EDGE('',*,*,#192810,.T.); #256721=ORIENTED_EDGE('',*,*,#192807,.T.); #256722=ORIENTED_EDGE('',*,*,#192804,.T.); #256723=ORIENTED_EDGE('',*,*,#192875,.F.); #256724=ORIENTED_EDGE('',*,*,#192805,.F.); #256725=ORIENTED_EDGE('',*,*,#192809,.F.); #256726=ORIENTED_EDGE('',*,*,#192812,.F.); #256727=ORIENTED_EDGE('',*,*,#192815,.F.); #256728=ORIENTED_EDGE('',*,*,#192818,.F.); #256729=ORIENTED_EDGE('',*,*,#192821,.F.); #256730=ORIENTED_EDGE('',*,*,#192824,.F.); #256731=ORIENTED_EDGE('',*,*,#192827,.F.); #256732=ORIENTED_EDGE('',*,*,#192830,.F.); #256733=ORIENTED_EDGE('',*,*,#192833,.F.); #256734=ORIENTED_EDGE('',*,*,#192836,.F.); #256735=ORIENTED_EDGE('',*,*,#192839,.F.); #256736=ORIENTED_EDGE('',*,*,#192842,.F.); #256737=ORIENTED_EDGE('',*,*,#192845,.F.); #256738=ORIENTED_EDGE('',*,*,#192848,.F.); #256739=ORIENTED_EDGE('',*,*,#192851,.F.); #256740=ORIENTED_EDGE('',*,*,#192854,.F.); #256741=ORIENTED_EDGE('',*,*,#192857,.F.); #256742=ORIENTED_EDGE('',*,*,#192860,.F.); #256743=ORIENTED_EDGE('',*,*,#192863,.F.); #256744=ORIENTED_EDGE('',*,*,#192866,.F.); #256745=ORIENTED_EDGE('',*,*,#192869,.F.); #256746=ORIENTED_EDGE('',*,*,#192872,.F.); #256747=ORIENTED_EDGE('',*,*,#192802,.T.); #256748=ORIENTED_EDGE('',*,*,#192877,.F.); #256749=ORIENTED_EDGE('',*,*,#192878,.T.); #256750=ORIENTED_EDGE('',*,*,#192879,.F.); #256751=ORIENTED_EDGE('',*,*,#192878,.F.); #256752=ORIENTED_EDGE('',*,*,#192880,.F.); #256753=ORIENTED_EDGE('',*,*,#192881,.T.); #256754=ORIENTED_EDGE('',*,*,#192882,.F.); #256755=ORIENTED_EDGE('',*,*,#192881,.F.); #256756=ORIENTED_EDGE('',*,*,#192883,.F.); #256757=ORIENTED_EDGE('',*,*,#192884,.T.); #256758=ORIENTED_EDGE('',*,*,#192885,.F.); #256759=ORIENTED_EDGE('',*,*,#192884,.F.); #256760=ORIENTED_EDGE('',*,*,#192886,.F.); #256761=ORIENTED_EDGE('',*,*,#192887,.T.); #256762=ORIENTED_EDGE('',*,*,#192888,.F.); #256763=ORIENTED_EDGE('',*,*,#192887,.F.); #256764=ORIENTED_EDGE('',*,*,#192889,.F.); #256765=ORIENTED_EDGE('',*,*,#192890,.T.); #256766=ORIENTED_EDGE('',*,*,#192891,.F.); #256767=ORIENTED_EDGE('',*,*,#192890,.F.); #256768=ORIENTED_EDGE('',*,*,#192892,.F.); #256769=ORIENTED_EDGE('',*,*,#192893,.T.); #256770=ORIENTED_EDGE('',*,*,#192894,.F.); #256771=ORIENTED_EDGE('',*,*,#192893,.F.); #256772=ORIENTED_EDGE('',*,*,#192895,.F.); #256773=ORIENTED_EDGE('',*,*,#192896,.T.); #256774=ORIENTED_EDGE('',*,*,#192897,.F.); #256775=ORIENTED_EDGE('',*,*,#192896,.F.); #256776=ORIENTED_EDGE('',*,*,#192898,.F.); #256777=ORIENTED_EDGE('',*,*,#192899,.T.); #256778=ORIENTED_EDGE('',*,*,#192900,.F.); #256779=ORIENTED_EDGE('',*,*,#192899,.F.); #256780=ORIENTED_EDGE('',*,*,#192901,.F.); #256781=ORIENTED_EDGE('',*,*,#192902,.T.); #256782=ORIENTED_EDGE('',*,*,#192903,.F.); #256783=ORIENTED_EDGE('',*,*,#192902,.F.); #256784=ORIENTED_EDGE('',*,*,#192904,.F.); #256785=ORIENTED_EDGE('',*,*,#192905,.T.); #256786=ORIENTED_EDGE('',*,*,#192906,.F.); #256787=ORIENTED_EDGE('',*,*,#192905,.F.); #256788=ORIENTED_EDGE('',*,*,#192907,.F.); #256789=ORIENTED_EDGE('',*,*,#192908,.T.); #256790=ORIENTED_EDGE('',*,*,#192909,.F.); #256791=ORIENTED_EDGE('',*,*,#192908,.F.); #256792=ORIENTED_EDGE('',*,*,#192910,.F.); #256793=ORIENTED_EDGE('',*,*,#192911,.T.); #256794=ORIENTED_EDGE('',*,*,#192912,.F.); #256795=ORIENTED_EDGE('',*,*,#192911,.F.); #256796=ORIENTED_EDGE('',*,*,#192913,.T.); #256797=ORIENTED_EDGE('',*,*,#192914,.T.); #256798=ORIENTED_EDGE('',*,*,#192915,.F.); #256799=ORIENTED_EDGE('',*,*,#192916,.F.); #256800=ORIENTED_EDGE('',*,*,#192917,.T.); #256801=ORIENTED_EDGE('',*,*,#192916,.T.); #256802=ORIENTED_EDGE('',*,*,#192918,.F.); #256803=ORIENTED_EDGE('',*,*,#192919,.F.); #256804=ORIENTED_EDGE('',*,*,#192920,.T.); #256805=ORIENTED_EDGE('',*,*,#192919,.T.); #256806=ORIENTED_EDGE('',*,*,#192921,.F.); #256807=ORIENTED_EDGE('',*,*,#192922,.F.); #256808=ORIENTED_EDGE('',*,*,#192923,.T.); #256809=ORIENTED_EDGE('',*,*,#192922,.T.); #256810=ORIENTED_EDGE('',*,*,#192924,.F.); #256811=ORIENTED_EDGE('',*,*,#192925,.F.); #256812=ORIENTED_EDGE('',*,*,#192926,.T.); #256813=ORIENTED_EDGE('',*,*,#192925,.T.); #256814=ORIENTED_EDGE('',*,*,#192927,.F.); #256815=ORIENTED_EDGE('',*,*,#192928,.F.); #256816=ORIENTED_EDGE('',*,*,#192929,.T.); #256817=ORIENTED_EDGE('',*,*,#192928,.T.); #256818=ORIENTED_EDGE('',*,*,#192930,.F.); #256819=ORIENTED_EDGE('',*,*,#192931,.F.); #256820=ORIENTED_EDGE('',*,*,#192932,.T.); #256821=ORIENTED_EDGE('',*,*,#192931,.T.); #256822=ORIENTED_EDGE('',*,*,#192933,.F.); #256823=ORIENTED_EDGE('',*,*,#192934,.F.); #256824=ORIENTED_EDGE('',*,*,#192935,.T.); #256825=ORIENTED_EDGE('',*,*,#192934,.T.); #256826=ORIENTED_EDGE('',*,*,#192936,.F.); #256827=ORIENTED_EDGE('',*,*,#192937,.F.); #256828=ORIENTED_EDGE('',*,*,#192938,.T.); #256829=ORIENTED_EDGE('',*,*,#192937,.T.); #256830=ORIENTED_EDGE('',*,*,#192939,.F.); #256831=ORIENTED_EDGE('',*,*,#192940,.F.); #256832=ORIENTED_EDGE('',*,*,#192941,.T.); #256833=ORIENTED_EDGE('',*,*,#192940,.T.); #256834=ORIENTED_EDGE('',*,*,#192942,.F.); #256835=ORIENTED_EDGE('',*,*,#192943,.F.); #256836=ORIENTED_EDGE('',*,*,#192944,.T.); #256837=ORIENTED_EDGE('',*,*,#192943,.T.); #256838=ORIENTED_EDGE('',*,*,#192945,.F.); #256839=ORIENTED_EDGE('',*,*,#192946,.F.); #256840=ORIENTED_EDGE('',*,*,#192947,.T.); #256841=ORIENTED_EDGE('',*,*,#192946,.T.); #256842=ORIENTED_EDGE('',*,*,#192948,.F.); #256843=ORIENTED_EDGE('',*,*,#192949,.F.); #256844=ORIENTED_EDGE('',*,*,#192950,.T.); #256845=ORIENTED_EDGE('',*,*,#192949,.T.); #256846=ORIENTED_EDGE('',*,*,#192951,.F.); #256847=ORIENTED_EDGE('',*,*,#192952,.F.); #256848=ORIENTED_EDGE('',*,*,#192953,.T.); #256849=ORIENTED_EDGE('',*,*,#192952,.T.); #256850=ORIENTED_EDGE('',*,*,#192954,.F.); #256851=ORIENTED_EDGE('',*,*,#192955,.F.); #256852=ORIENTED_EDGE('',*,*,#192956,.T.); #256853=ORIENTED_EDGE('',*,*,#192955,.T.); #256854=ORIENTED_EDGE('',*,*,#192957,.F.); #256855=ORIENTED_EDGE('',*,*,#192958,.F.); #256856=ORIENTED_EDGE('',*,*,#192959,.T.); #256857=ORIENTED_EDGE('',*,*,#192958,.T.); #256858=ORIENTED_EDGE('',*,*,#192960,.F.); #256859=ORIENTED_EDGE('',*,*,#192961,.F.); #256860=ORIENTED_EDGE('',*,*,#192962,.T.); #256861=ORIENTED_EDGE('',*,*,#192961,.T.); #256862=ORIENTED_EDGE('',*,*,#192963,.F.); #256863=ORIENTED_EDGE('',*,*,#192964,.F.); #256864=ORIENTED_EDGE('',*,*,#192965,.T.); #256865=ORIENTED_EDGE('',*,*,#192964,.T.); #256866=ORIENTED_EDGE('',*,*,#192966,.F.); #256867=ORIENTED_EDGE('',*,*,#192967,.F.); #256868=ORIENTED_EDGE('',*,*,#192968,.T.); #256869=ORIENTED_EDGE('',*,*,#192967,.T.); #256870=ORIENTED_EDGE('',*,*,#192969,.F.); #256871=ORIENTED_EDGE('',*,*,#192970,.F.); #256872=ORIENTED_EDGE('',*,*,#192971,.T.); #256873=ORIENTED_EDGE('',*,*,#192970,.T.); #256874=ORIENTED_EDGE('',*,*,#192972,.F.); #256875=ORIENTED_EDGE('',*,*,#192973,.F.); #256876=ORIENTED_EDGE('',*,*,#192974,.T.); #256877=ORIENTED_EDGE('',*,*,#192973,.T.); #256878=ORIENTED_EDGE('',*,*,#192975,.F.); #256879=ORIENTED_EDGE('',*,*,#192976,.F.); #256880=ORIENTED_EDGE('',*,*,#192977,.T.); #256881=ORIENTED_EDGE('',*,*,#192976,.T.); #256882=ORIENTED_EDGE('',*,*,#192978,.F.); #256883=ORIENTED_EDGE('',*,*,#192979,.F.); #256884=ORIENTED_EDGE('',*,*,#192980,.T.); #256885=ORIENTED_EDGE('',*,*,#192979,.T.); #256886=ORIENTED_EDGE('',*,*,#192981,.F.); #256887=ORIENTED_EDGE('',*,*,#192982,.F.); #256888=ORIENTED_EDGE('',*,*,#192983,.T.); #256889=ORIENTED_EDGE('',*,*,#192982,.T.); #256890=ORIENTED_EDGE('',*,*,#192984,.F.); #256891=ORIENTED_EDGE('',*,*,#192985,.F.); #256892=ORIENTED_EDGE('',*,*,#192986,.T.); #256893=ORIENTED_EDGE('',*,*,#192985,.T.); #256894=ORIENTED_EDGE('',*,*,#192987,.F.); #256895=ORIENTED_EDGE('',*,*,#192988,.F.); #256896=ORIENTED_EDGE('',*,*,#192989,.T.); #256897=ORIENTED_EDGE('',*,*,#192988,.T.); #256898=ORIENTED_EDGE('',*,*,#192990,.F.); #256899=ORIENTED_EDGE('',*,*,#192991,.F.); #256900=ORIENTED_EDGE('',*,*,#192992,.T.); #256901=ORIENTED_EDGE('',*,*,#192991,.T.); #256902=ORIENTED_EDGE('',*,*,#192993,.F.); #256903=ORIENTED_EDGE('',*,*,#192994,.F.); #256904=ORIENTED_EDGE('',*,*,#192995,.T.); #256905=ORIENTED_EDGE('',*,*,#192994,.T.); #256906=ORIENTED_EDGE('',*,*,#192996,.F.); #256907=ORIENTED_EDGE('',*,*,#192997,.F.); #256908=ORIENTED_EDGE('',*,*,#192998,.T.); #256909=ORIENTED_EDGE('',*,*,#192997,.T.); #256910=ORIENTED_EDGE('',*,*,#192999,.F.); #256911=ORIENTED_EDGE('',*,*,#193000,.F.); #256912=ORIENTED_EDGE('',*,*,#193001,.T.); #256913=ORIENTED_EDGE('',*,*,#193000,.T.); #256914=ORIENTED_EDGE('',*,*,#193002,.F.); #256915=ORIENTED_EDGE('',*,*,#193003,.F.); #256916=ORIENTED_EDGE('',*,*,#193004,.T.); #256917=ORIENTED_EDGE('',*,*,#193003,.T.); #256918=ORIENTED_EDGE('',*,*,#193005,.F.); #256919=ORIENTED_EDGE('',*,*,#193006,.F.); #256920=ORIENTED_EDGE('',*,*,#193007,.T.); #256921=ORIENTED_EDGE('',*,*,#193006,.T.); #256922=ORIENTED_EDGE('',*,*,#193008,.F.); #256923=ORIENTED_EDGE('',*,*,#193009,.F.); #256924=ORIENTED_EDGE('',*,*,#193010,.T.); #256925=ORIENTED_EDGE('',*,*,#193009,.T.); #256926=ORIENTED_EDGE('',*,*,#193011,.F.); #256927=ORIENTED_EDGE('',*,*,#193012,.F.); #256928=ORIENTED_EDGE('',*,*,#193013,.T.); #256929=ORIENTED_EDGE('',*,*,#193012,.T.); #256930=ORIENTED_EDGE('',*,*,#193014,.F.); #256931=ORIENTED_EDGE('',*,*,#193015,.F.); #256932=ORIENTED_EDGE('',*,*,#193016,.T.); #256933=ORIENTED_EDGE('',*,*,#193015,.T.); #256934=ORIENTED_EDGE('',*,*,#193017,.F.); #256935=ORIENTED_EDGE('',*,*,#193018,.F.); #256936=ORIENTED_EDGE('',*,*,#193019,.T.); #256937=ORIENTED_EDGE('',*,*,#193018,.T.); #256938=ORIENTED_EDGE('',*,*,#193020,.F.); #256939=ORIENTED_EDGE('',*,*,#193021,.F.); #256940=ORIENTED_EDGE('',*,*,#193022,.T.); #256941=ORIENTED_EDGE('',*,*,#193021,.T.); #256942=ORIENTED_EDGE('',*,*,#193023,.F.); #256943=ORIENTED_EDGE('',*,*,#193024,.F.); #256944=ORIENTED_EDGE('',*,*,#193025,.T.); #256945=ORIENTED_EDGE('',*,*,#193024,.T.); #256946=ORIENTED_EDGE('',*,*,#193026,.F.); #256947=ORIENTED_EDGE('',*,*,#193027,.F.); #256948=ORIENTED_EDGE('',*,*,#193028,.T.); #256949=ORIENTED_EDGE('',*,*,#193027,.T.); #256950=ORIENTED_EDGE('',*,*,#193029,.F.); #256951=ORIENTED_EDGE('',*,*,#193030,.F.); #256952=ORIENTED_EDGE('',*,*,#193031,.T.); #256953=ORIENTED_EDGE('',*,*,#193030,.T.); #256954=ORIENTED_EDGE('',*,*,#193032,.F.); #256955=ORIENTED_EDGE('',*,*,#193033,.F.); #256956=ORIENTED_EDGE('',*,*,#193034,.T.); #256957=ORIENTED_EDGE('',*,*,#193033,.T.); #256958=ORIENTED_EDGE('',*,*,#193035,.F.); #256959=ORIENTED_EDGE('',*,*,#193036,.F.); #256960=ORIENTED_EDGE('',*,*,#193037,.T.); #256961=ORIENTED_EDGE('',*,*,#193036,.T.); #256962=ORIENTED_EDGE('',*,*,#193038,.F.); #256963=ORIENTED_EDGE('',*,*,#193039,.F.); #256964=ORIENTED_EDGE('',*,*,#193040,.T.); #256965=ORIENTED_EDGE('',*,*,#193039,.T.); #256966=ORIENTED_EDGE('',*,*,#193041,.F.); #256967=ORIENTED_EDGE('',*,*,#193042,.F.); #256968=ORIENTED_EDGE('',*,*,#193043,.T.); #256969=ORIENTED_EDGE('',*,*,#193042,.T.); #256970=ORIENTED_EDGE('',*,*,#193044,.F.); #256971=ORIENTED_EDGE('',*,*,#193045,.F.); #256972=ORIENTED_EDGE('',*,*,#193046,.T.); #256973=ORIENTED_EDGE('',*,*,#193045,.T.); #256974=ORIENTED_EDGE('',*,*,#193047,.F.); #256975=ORIENTED_EDGE('',*,*,#193048,.F.); #256976=ORIENTED_EDGE('',*,*,#193049,.T.); #256977=ORIENTED_EDGE('',*,*,#193048,.T.); #256978=ORIENTED_EDGE('',*,*,#193050,.F.); #256979=ORIENTED_EDGE('',*,*,#193051,.F.); #256980=ORIENTED_EDGE('',*,*,#193052,.T.); #256981=ORIENTED_EDGE('',*,*,#193051,.T.); #256982=ORIENTED_EDGE('',*,*,#193053,.F.); #256983=ORIENTED_EDGE('',*,*,#193054,.F.); #256984=ORIENTED_EDGE('',*,*,#193055,.T.); #256985=ORIENTED_EDGE('',*,*,#193054,.T.); #256986=ORIENTED_EDGE('',*,*,#193056,.F.); #256987=ORIENTED_EDGE('',*,*,#193057,.F.); #256988=ORIENTED_EDGE('',*,*,#193058,.T.); #256989=ORIENTED_EDGE('',*,*,#193057,.T.); #256990=ORIENTED_EDGE('',*,*,#193059,.F.); #256991=ORIENTED_EDGE('',*,*,#193060,.F.); #256992=ORIENTED_EDGE('',*,*,#193061,.T.); #256993=ORIENTED_EDGE('',*,*,#193060,.T.); #256994=ORIENTED_EDGE('',*,*,#193062,.F.); #256995=ORIENTED_EDGE('',*,*,#193063,.F.); #256996=ORIENTED_EDGE('',*,*,#193064,.T.); #256997=ORIENTED_EDGE('',*,*,#193063,.T.); #256998=ORIENTED_EDGE('',*,*,#193065,.F.); #256999=ORIENTED_EDGE('',*,*,#193066,.F.); #257000=ORIENTED_EDGE('',*,*,#193067,.T.); #257001=ORIENTED_EDGE('',*,*,#193066,.T.); #257002=ORIENTED_EDGE('',*,*,#193068,.F.); #257003=ORIENTED_EDGE('',*,*,#193069,.F.); #257004=ORIENTED_EDGE('',*,*,#193070,.T.); #257005=ORIENTED_EDGE('',*,*,#193069,.T.); #257006=ORIENTED_EDGE('',*,*,#193071,.F.); #257007=ORIENTED_EDGE('',*,*,#193072,.F.); #257008=ORIENTED_EDGE('',*,*,#193073,.T.); #257009=ORIENTED_EDGE('',*,*,#193072,.T.); #257010=ORIENTED_EDGE('',*,*,#193074,.F.); #257011=ORIENTED_EDGE('',*,*,#193075,.F.); #257012=ORIENTED_EDGE('',*,*,#193076,.T.); #257013=ORIENTED_EDGE('',*,*,#193075,.T.); #257014=ORIENTED_EDGE('',*,*,#193077,.F.); #257015=ORIENTED_EDGE('',*,*,#193078,.F.); #257016=ORIENTED_EDGE('',*,*,#193079,.T.); #257017=ORIENTED_EDGE('',*,*,#193078,.T.); #257018=ORIENTED_EDGE('',*,*,#193080,.F.); #257019=ORIENTED_EDGE('',*,*,#193081,.F.); #257020=ORIENTED_EDGE('',*,*,#193082,.T.); #257021=ORIENTED_EDGE('',*,*,#193081,.T.); #257022=ORIENTED_EDGE('',*,*,#193083,.F.); #257023=ORIENTED_EDGE('',*,*,#193084,.F.); #257024=ORIENTED_EDGE('',*,*,#193085,.T.); #257025=ORIENTED_EDGE('',*,*,#193084,.T.); #257026=ORIENTED_EDGE('',*,*,#193086,.F.); #257027=ORIENTED_EDGE('',*,*,#193087,.F.); #257028=ORIENTED_EDGE('',*,*,#193088,.T.); #257029=ORIENTED_EDGE('',*,*,#193087,.T.); #257030=ORIENTED_EDGE('',*,*,#193089,.F.); #257031=ORIENTED_EDGE('',*,*,#193090,.F.); #257032=ORIENTED_EDGE('',*,*,#193091,.T.); #257033=ORIENTED_EDGE('',*,*,#193090,.T.); #257034=ORIENTED_EDGE('',*,*,#193092,.F.); #257035=ORIENTED_EDGE('',*,*,#193093,.F.); #257036=ORIENTED_EDGE('',*,*,#193094,.T.); #257037=ORIENTED_EDGE('',*,*,#193093,.T.); #257038=ORIENTED_EDGE('',*,*,#193095,.F.); #257039=ORIENTED_EDGE('',*,*,#193096,.F.); #257040=ORIENTED_EDGE('',*,*,#193097,.T.); #257041=ORIENTED_EDGE('',*,*,#193096,.T.); #257042=ORIENTED_EDGE('',*,*,#193098,.F.); #257043=ORIENTED_EDGE('',*,*,#193099,.F.); #257044=ORIENTED_EDGE('',*,*,#193100,.T.); #257045=ORIENTED_EDGE('',*,*,#193099,.T.); #257046=ORIENTED_EDGE('',*,*,#193101,.F.); #257047=ORIENTED_EDGE('',*,*,#193102,.F.); #257048=ORIENTED_EDGE('',*,*,#193103,.T.); #257049=ORIENTED_EDGE('',*,*,#193102,.T.); #257050=ORIENTED_EDGE('',*,*,#193104,.F.); #257051=ORIENTED_EDGE('',*,*,#193105,.F.); #257052=ORIENTED_EDGE('',*,*,#193106,.T.); #257053=ORIENTED_EDGE('',*,*,#193105,.T.); #257054=ORIENTED_EDGE('',*,*,#193107,.F.); #257055=ORIENTED_EDGE('',*,*,#193108,.F.); #257056=ORIENTED_EDGE('',*,*,#193109,.T.); #257057=ORIENTED_EDGE('',*,*,#193108,.T.); #257058=ORIENTED_EDGE('',*,*,#193110,.F.); #257059=ORIENTED_EDGE('',*,*,#193111,.F.); #257060=ORIENTED_EDGE('',*,*,#193112,.T.); #257061=ORIENTED_EDGE('',*,*,#193111,.T.); #257062=ORIENTED_EDGE('',*,*,#193113,.F.); #257063=ORIENTED_EDGE('',*,*,#193114,.F.); #257064=ORIENTED_EDGE('',*,*,#193115,.T.); #257065=ORIENTED_EDGE('',*,*,#193114,.T.); #257066=ORIENTED_EDGE('',*,*,#193116,.F.); #257067=ORIENTED_EDGE('',*,*,#193117,.F.); #257068=ORIENTED_EDGE('',*,*,#193118,.T.); #257069=ORIENTED_EDGE('',*,*,#193117,.T.); #257070=ORIENTED_EDGE('',*,*,#193119,.F.); #257071=ORIENTED_EDGE('',*,*,#193120,.F.); #257072=ORIENTED_EDGE('',*,*,#193121,.T.); #257073=ORIENTED_EDGE('',*,*,#193120,.T.); #257074=ORIENTED_EDGE('',*,*,#193122,.F.); #257075=ORIENTED_EDGE('',*,*,#193123,.F.); #257076=ORIENTED_EDGE('',*,*,#193124,.T.); #257077=ORIENTED_EDGE('',*,*,#193123,.T.); #257078=ORIENTED_EDGE('',*,*,#193125,.F.); #257079=ORIENTED_EDGE('',*,*,#193126,.F.); #257080=ORIENTED_EDGE('',*,*,#193127,.T.); #257081=ORIENTED_EDGE('',*,*,#193126,.T.); #257082=ORIENTED_EDGE('',*,*,#193128,.F.); #257083=ORIENTED_EDGE('',*,*,#193129,.F.); #257084=ORIENTED_EDGE('',*,*,#193130,.T.); #257085=ORIENTED_EDGE('',*,*,#193129,.T.); #257086=ORIENTED_EDGE('',*,*,#193131,.F.); #257087=ORIENTED_EDGE('',*,*,#193132,.F.); #257088=ORIENTED_EDGE('',*,*,#193133,.T.); #257089=ORIENTED_EDGE('',*,*,#193132,.T.); #257090=ORIENTED_EDGE('',*,*,#193134,.F.); #257091=ORIENTED_EDGE('',*,*,#193135,.F.); #257092=ORIENTED_EDGE('',*,*,#193136,.T.); #257093=ORIENTED_EDGE('',*,*,#193135,.T.); #257094=ORIENTED_EDGE('',*,*,#193137,.F.); #257095=ORIENTED_EDGE('',*,*,#193138,.F.); #257096=ORIENTED_EDGE('',*,*,#193139,.T.); #257097=ORIENTED_EDGE('',*,*,#193138,.T.); #257098=ORIENTED_EDGE('',*,*,#193140,.F.); #257099=ORIENTED_EDGE('',*,*,#193141,.F.); #257100=ORIENTED_EDGE('',*,*,#193142,.T.); #257101=ORIENTED_EDGE('',*,*,#193141,.T.); #257102=ORIENTED_EDGE('',*,*,#193143,.F.); #257103=ORIENTED_EDGE('',*,*,#193144,.F.); #257104=ORIENTED_EDGE('',*,*,#193145,.T.); #257105=ORIENTED_EDGE('',*,*,#193144,.T.); #257106=ORIENTED_EDGE('',*,*,#193146,.F.); #257107=ORIENTED_EDGE('',*,*,#193147,.F.); #257108=ORIENTED_EDGE('',*,*,#193148,.T.); #257109=ORIENTED_EDGE('',*,*,#193147,.T.); #257110=ORIENTED_EDGE('',*,*,#193149,.F.); #257111=ORIENTED_EDGE('',*,*,#193150,.F.); #257112=ORIENTED_EDGE('',*,*,#193151,.T.); #257113=ORIENTED_EDGE('',*,*,#193150,.T.); #257114=ORIENTED_EDGE('',*,*,#193152,.F.); #257115=ORIENTED_EDGE('',*,*,#193153,.F.); #257116=ORIENTED_EDGE('',*,*,#193154,.T.); #257117=ORIENTED_EDGE('',*,*,#193153,.T.); #257118=ORIENTED_EDGE('',*,*,#193155,.F.); #257119=ORIENTED_EDGE('',*,*,#193156,.F.); #257120=ORIENTED_EDGE('',*,*,#193157,.T.); #257121=ORIENTED_EDGE('',*,*,#193156,.T.); #257122=ORIENTED_EDGE('',*,*,#193158,.F.); #257123=ORIENTED_EDGE('',*,*,#193159,.F.); #257124=ORIENTED_EDGE('',*,*,#193160,.T.); #257125=ORIENTED_EDGE('',*,*,#193159,.T.); #257126=ORIENTED_EDGE('',*,*,#193161,.F.); #257127=ORIENTED_EDGE('',*,*,#193162,.F.); #257128=ORIENTED_EDGE('',*,*,#193163,.T.); #257129=ORIENTED_EDGE('',*,*,#193162,.T.); #257130=ORIENTED_EDGE('',*,*,#193164,.F.); #257131=ORIENTED_EDGE('',*,*,#193165,.F.); #257132=ORIENTED_EDGE('',*,*,#193166,.T.); #257133=ORIENTED_EDGE('',*,*,#193165,.T.); #257134=ORIENTED_EDGE('',*,*,#193167,.F.); #257135=ORIENTED_EDGE('',*,*,#193168,.F.); #257136=ORIENTED_EDGE('',*,*,#193169,.T.); #257137=ORIENTED_EDGE('',*,*,#193168,.T.); #257138=ORIENTED_EDGE('',*,*,#193170,.F.); #257139=ORIENTED_EDGE('',*,*,#193171,.F.); #257140=ORIENTED_EDGE('',*,*,#193172,.T.); #257141=ORIENTED_EDGE('',*,*,#193171,.T.); #257142=ORIENTED_EDGE('',*,*,#193173,.F.); #257143=ORIENTED_EDGE('',*,*,#193174,.F.); #257144=ORIENTED_EDGE('',*,*,#193175,.T.); #257145=ORIENTED_EDGE('',*,*,#193174,.T.); #257146=ORIENTED_EDGE('',*,*,#193176,.F.); #257147=ORIENTED_EDGE('',*,*,#193177,.F.); #257148=ORIENTED_EDGE('',*,*,#193178,.T.); #257149=ORIENTED_EDGE('',*,*,#193177,.T.); #257150=ORIENTED_EDGE('',*,*,#193179,.F.); #257151=ORIENTED_EDGE('',*,*,#193180,.F.); #257152=ORIENTED_EDGE('',*,*,#193181,.T.); #257153=ORIENTED_EDGE('',*,*,#193180,.T.); #257154=ORIENTED_EDGE('',*,*,#193182,.F.); #257155=ORIENTED_EDGE('',*,*,#193183,.F.); #257156=ORIENTED_EDGE('',*,*,#193184,.T.); #257157=ORIENTED_EDGE('',*,*,#193183,.T.); #257158=ORIENTED_EDGE('',*,*,#193185,.F.); #257159=ORIENTED_EDGE('',*,*,#193186,.F.); #257160=ORIENTED_EDGE('',*,*,#193187,.T.); #257161=ORIENTED_EDGE('',*,*,#193186,.T.); #257162=ORIENTED_EDGE('',*,*,#193188,.F.); #257163=ORIENTED_EDGE('',*,*,#193189,.F.); #257164=ORIENTED_EDGE('',*,*,#193190,.T.); #257165=ORIENTED_EDGE('',*,*,#193189,.T.); #257166=ORIENTED_EDGE('',*,*,#193191,.F.); #257167=ORIENTED_EDGE('',*,*,#193192,.F.); #257168=ORIENTED_EDGE('',*,*,#193193,.T.); #257169=ORIENTED_EDGE('',*,*,#193192,.T.); #257170=ORIENTED_EDGE('',*,*,#193194,.F.); #257171=ORIENTED_EDGE('',*,*,#193195,.F.); #257172=ORIENTED_EDGE('',*,*,#193196,.T.); #257173=ORIENTED_EDGE('',*,*,#193195,.T.); #257174=ORIENTED_EDGE('',*,*,#193197,.F.); #257175=ORIENTED_EDGE('',*,*,#193198,.F.); #257176=ORIENTED_EDGE('',*,*,#193199,.T.); #257177=ORIENTED_EDGE('',*,*,#193198,.T.); #257178=ORIENTED_EDGE('',*,*,#193200,.F.); #257179=ORIENTED_EDGE('',*,*,#193201,.F.); #257180=ORIENTED_EDGE('',*,*,#193202,.T.); #257181=ORIENTED_EDGE('',*,*,#193201,.T.); #257182=ORIENTED_EDGE('',*,*,#193203,.F.); #257183=ORIENTED_EDGE('',*,*,#193204,.F.); #257184=ORIENTED_EDGE('',*,*,#193205,.T.); #257185=ORIENTED_EDGE('',*,*,#193204,.T.); #257186=ORIENTED_EDGE('',*,*,#193206,.F.); #257187=ORIENTED_EDGE('',*,*,#193207,.F.); #257188=ORIENTED_EDGE('',*,*,#193208,.T.); #257189=ORIENTED_EDGE('',*,*,#193207,.T.); #257190=ORIENTED_EDGE('',*,*,#193209,.F.); #257191=ORIENTED_EDGE('',*,*,#193210,.F.); #257192=ORIENTED_EDGE('',*,*,#193211,.T.); #257193=ORIENTED_EDGE('',*,*,#193210,.T.); #257194=ORIENTED_EDGE('',*,*,#193212,.F.); #257195=ORIENTED_EDGE('',*,*,#193213,.F.); #257196=ORIENTED_EDGE('',*,*,#193214,.T.); #257197=ORIENTED_EDGE('',*,*,#193213,.T.); #257198=ORIENTED_EDGE('',*,*,#193215,.F.); #257199=ORIENTED_EDGE('',*,*,#193216,.F.); #257200=ORIENTED_EDGE('',*,*,#193217,.T.); #257201=ORIENTED_EDGE('',*,*,#193216,.T.); #257202=ORIENTED_EDGE('',*,*,#193218,.F.); #257203=ORIENTED_EDGE('',*,*,#193219,.F.); #257204=ORIENTED_EDGE('',*,*,#193220,.T.); #257205=ORIENTED_EDGE('',*,*,#193219,.T.); #257206=ORIENTED_EDGE('',*,*,#193221,.F.); #257207=ORIENTED_EDGE('',*,*,#193222,.F.); #257208=ORIENTED_EDGE('',*,*,#193223,.T.); #257209=ORIENTED_EDGE('',*,*,#193222,.T.); #257210=ORIENTED_EDGE('',*,*,#193224,.F.); #257211=ORIENTED_EDGE('',*,*,#193225,.F.); #257212=ORIENTED_EDGE('',*,*,#193226,.T.); #257213=ORIENTED_EDGE('',*,*,#193225,.T.); #257214=ORIENTED_EDGE('',*,*,#193227,.F.); #257215=ORIENTED_EDGE('',*,*,#193228,.F.); #257216=ORIENTED_EDGE('',*,*,#193229,.T.); #257217=ORIENTED_EDGE('',*,*,#193228,.T.); #257218=ORIENTED_EDGE('',*,*,#193230,.F.); #257219=ORIENTED_EDGE('',*,*,#193231,.F.); #257220=ORIENTED_EDGE('',*,*,#193232,.T.); #257221=ORIENTED_EDGE('',*,*,#193231,.T.); #257222=ORIENTED_EDGE('',*,*,#193233,.F.); #257223=ORIENTED_EDGE('',*,*,#193234,.F.); #257224=ORIENTED_EDGE('',*,*,#193235,.T.); #257225=ORIENTED_EDGE('',*,*,#193234,.T.); #257226=ORIENTED_EDGE('',*,*,#193236,.F.); #257227=ORIENTED_EDGE('',*,*,#193237,.F.); #257228=ORIENTED_EDGE('',*,*,#193238,.T.); #257229=ORIENTED_EDGE('',*,*,#193237,.T.); #257230=ORIENTED_EDGE('',*,*,#193239,.F.); #257231=ORIENTED_EDGE('',*,*,#193240,.F.); #257232=ORIENTED_EDGE('',*,*,#193241,.T.); #257233=ORIENTED_EDGE('',*,*,#193240,.T.); #257234=ORIENTED_EDGE('',*,*,#193242,.F.); #257235=ORIENTED_EDGE('',*,*,#193243,.F.); #257236=ORIENTED_EDGE('',*,*,#193244,.T.); #257237=ORIENTED_EDGE('',*,*,#193243,.T.); #257238=ORIENTED_EDGE('',*,*,#193245,.F.); #257239=ORIENTED_EDGE('',*,*,#193246,.F.); #257240=ORIENTED_EDGE('',*,*,#193247,.T.); #257241=ORIENTED_EDGE('',*,*,#193246,.T.); #257242=ORIENTED_EDGE('',*,*,#193248,.F.); #257243=ORIENTED_EDGE('',*,*,#193249,.F.); #257244=ORIENTED_EDGE('',*,*,#193250,.T.); #257245=ORIENTED_EDGE('',*,*,#193249,.T.); #257246=ORIENTED_EDGE('',*,*,#193251,.F.); #257247=ORIENTED_EDGE('',*,*,#193252,.F.); #257248=ORIENTED_EDGE('',*,*,#193253,.T.); #257249=ORIENTED_EDGE('',*,*,#193252,.T.); #257250=ORIENTED_EDGE('',*,*,#193254,.F.); #257251=ORIENTED_EDGE('',*,*,#193255,.F.); #257252=ORIENTED_EDGE('',*,*,#193256,.T.); #257253=ORIENTED_EDGE('',*,*,#193255,.T.); #257254=ORIENTED_EDGE('',*,*,#193257,.F.); #257255=ORIENTED_EDGE('',*,*,#193258,.F.); #257256=ORIENTED_EDGE('',*,*,#193259,.T.); #257257=ORIENTED_EDGE('',*,*,#193258,.T.); #257258=ORIENTED_EDGE('',*,*,#193260,.F.); #257259=ORIENTED_EDGE('',*,*,#193261,.F.); #257260=ORIENTED_EDGE('',*,*,#193262,.T.); #257261=ORIENTED_EDGE('',*,*,#193261,.T.); #257262=ORIENTED_EDGE('',*,*,#193263,.F.); #257263=ORIENTED_EDGE('',*,*,#193264,.F.); #257264=ORIENTED_EDGE('',*,*,#193265,.T.); #257265=ORIENTED_EDGE('',*,*,#193264,.T.); #257266=ORIENTED_EDGE('',*,*,#193266,.F.); #257267=ORIENTED_EDGE('',*,*,#193267,.F.); #257268=ORIENTED_EDGE('',*,*,#193268,.T.); #257269=ORIENTED_EDGE('',*,*,#193267,.T.); #257270=ORIENTED_EDGE('',*,*,#193269,.F.); #257271=ORIENTED_EDGE('',*,*,#193270,.F.); #257272=ORIENTED_EDGE('',*,*,#193271,.T.); #257273=ORIENTED_EDGE('',*,*,#193270,.T.); #257274=ORIENTED_EDGE('',*,*,#193272,.F.); #257275=ORIENTED_EDGE('',*,*,#193273,.F.); #257276=ORIENTED_EDGE('',*,*,#193274,.T.); #257277=ORIENTED_EDGE('',*,*,#193273,.T.); #257278=ORIENTED_EDGE('',*,*,#193275,.F.); #257279=ORIENTED_EDGE('',*,*,#193276,.F.); #257280=ORIENTED_EDGE('',*,*,#193277,.T.); #257281=ORIENTED_EDGE('',*,*,#193276,.T.); #257282=ORIENTED_EDGE('',*,*,#193278,.F.); #257283=ORIENTED_EDGE('',*,*,#193279,.F.); #257284=ORIENTED_EDGE('',*,*,#193280,.T.); #257285=ORIENTED_EDGE('',*,*,#193279,.T.); #257286=ORIENTED_EDGE('',*,*,#193281,.F.); #257287=ORIENTED_EDGE('',*,*,#193282,.F.); #257288=ORIENTED_EDGE('',*,*,#193283,.T.); #257289=ORIENTED_EDGE('',*,*,#193282,.T.); #257290=ORIENTED_EDGE('',*,*,#193284,.F.); #257291=ORIENTED_EDGE('',*,*,#192914,.F.); #257292=ORIENTED_EDGE('',*,*,#193284,.T.); #257293=ORIENTED_EDGE('',*,*,#193281,.T.); #257294=ORIENTED_EDGE('',*,*,#193278,.T.); #257295=ORIENTED_EDGE('',*,*,#193275,.T.); #257296=ORIENTED_EDGE('',*,*,#193272,.T.); #257297=ORIENTED_EDGE('',*,*,#193269,.T.); #257298=ORIENTED_EDGE('',*,*,#193266,.T.); #257299=ORIENTED_EDGE('',*,*,#193263,.T.); #257300=ORIENTED_EDGE('',*,*,#193260,.T.); #257301=ORIENTED_EDGE('',*,*,#193257,.T.); #257302=ORIENTED_EDGE('',*,*,#193254,.T.); #257303=ORIENTED_EDGE('',*,*,#193251,.T.); #257304=ORIENTED_EDGE('',*,*,#193248,.T.); #257305=ORIENTED_EDGE('',*,*,#193245,.T.); #257306=ORIENTED_EDGE('',*,*,#193242,.T.); #257307=ORIENTED_EDGE('',*,*,#193239,.T.); #257308=ORIENTED_EDGE('',*,*,#193236,.T.); #257309=ORIENTED_EDGE('',*,*,#193233,.T.); #257310=ORIENTED_EDGE('',*,*,#193230,.T.); #257311=ORIENTED_EDGE('',*,*,#193227,.T.); #257312=ORIENTED_EDGE('',*,*,#193224,.T.); #257313=ORIENTED_EDGE('',*,*,#193221,.T.); #257314=ORIENTED_EDGE('',*,*,#193218,.T.); #257315=ORIENTED_EDGE('',*,*,#193215,.T.); #257316=ORIENTED_EDGE('',*,*,#193212,.T.); #257317=ORIENTED_EDGE('',*,*,#193209,.T.); #257318=ORIENTED_EDGE('',*,*,#193206,.T.); #257319=ORIENTED_EDGE('',*,*,#193203,.T.); #257320=ORIENTED_EDGE('',*,*,#193200,.T.); #257321=ORIENTED_EDGE('',*,*,#193197,.T.); #257322=ORIENTED_EDGE('',*,*,#193194,.T.); #257323=ORIENTED_EDGE('',*,*,#193191,.T.); #257324=ORIENTED_EDGE('',*,*,#193188,.T.); #257325=ORIENTED_EDGE('',*,*,#193185,.T.); #257326=ORIENTED_EDGE('',*,*,#193182,.T.); #257327=ORIENTED_EDGE('',*,*,#193179,.T.); #257328=ORIENTED_EDGE('',*,*,#193176,.T.); #257329=ORIENTED_EDGE('',*,*,#193173,.T.); #257330=ORIENTED_EDGE('',*,*,#193170,.T.); #257331=ORIENTED_EDGE('',*,*,#193167,.T.); #257332=ORIENTED_EDGE('',*,*,#193164,.T.); #257333=ORIENTED_EDGE('',*,*,#193161,.T.); #257334=ORIENTED_EDGE('',*,*,#193158,.T.); #257335=ORIENTED_EDGE('',*,*,#193155,.T.); #257336=ORIENTED_EDGE('',*,*,#193152,.T.); #257337=ORIENTED_EDGE('',*,*,#193149,.T.); #257338=ORIENTED_EDGE('',*,*,#193146,.T.); #257339=ORIENTED_EDGE('',*,*,#193143,.T.); #257340=ORIENTED_EDGE('',*,*,#193140,.T.); #257341=ORIENTED_EDGE('',*,*,#193137,.T.); #257342=ORIENTED_EDGE('',*,*,#193134,.T.); #257343=ORIENTED_EDGE('',*,*,#193131,.T.); #257344=ORIENTED_EDGE('',*,*,#193128,.T.); #257345=ORIENTED_EDGE('',*,*,#193125,.T.); #257346=ORIENTED_EDGE('',*,*,#193122,.T.); #257347=ORIENTED_EDGE('',*,*,#193119,.T.); #257348=ORIENTED_EDGE('',*,*,#193116,.T.); #257349=ORIENTED_EDGE('',*,*,#193113,.T.); #257350=ORIENTED_EDGE('',*,*,#193110,.T.); #257351=ORIENTED_EDGE('',*,*,#193107,.T.); #257352=ORIENTED_EDGE('',*,*,#193104,.T.); #257353=ORIENTED_EDGE('',*,*,#193101,.T.); #257354=ORIENTED_EDGE('',*,*,#193098,.T.); #257355=ORIENTED_EDGE('',*,*,#193095,.T.); #257356=ORIENTED_EDGE('',*,*,#193092,.T.); #257357=ORIENTED_EDGE('',*,*,#193089,.T.); #257358=ORIENTED_EDGE('',*,*,#193086,.T.); #257359=ORIENTED_EDGE('',*,*,#193083,.T.); #257360=ORIENTED_EDGE('',*,*,#193080,.T.); #257361=ORIENTED_EDGE('',*,*,#193077,.T.); #257362=ORIENTED_EDGE('',*,*,#193074,.T.); #257363=ORIENTED_EDGE('',*,*,#193071,.T.); #257364=ORIENTED_EDGE('',*,*,#193068,.T.); #257365=ORIENTED_EDGE('',*,*,#193065,.T.); #257366=ORIENTED_EDGE('',*,*,#193062,.T.); #257367=ORIENTED_EDGE('',*,*,#193059,.T.); #257368=ORIENTED_EDGE('',*,*,#193056,.T.); #257369=ORIENTED_EDGE('',*,*,#193053,.T.); #257370=ORIENTED_EDGE('',*,*,#193050,.T.); #257371=ORIENTED_EDGE('',*,*,#193047,.T.); #257372=ORIENTED_EDGE('',*,*,#193044,.T.); #257373=ORIENTED_EDGE('',*,*,#193041,.T.); #257374=ORIENTED_EDGE('',*,*,#193038,.T.); #257375=ORIENTED_EDGE('',*,*,#193035,.T.); #257376=ORIENTED_EDGE('',*,*,#193032,.T.); #257377=ORIENTED_EDGE('',*,*,#193029,.T.); #257378=ORIENTED_EDGE('',*,*,#193026,.T.); #257379=ORIENTED_EDGE('',*,*,#193023,.T.); #257380=ORIENTED_EDGE('',*,*,#193020,.T.); #257381=ORIENTED_EDGE('',*,*,#193017,.T.); #257382=ORIENTED_EDGE('',*,*,#193014,.T.); #257383=ORIENTED_EDGE('',*,*,#193011,.T.); #257384=ORIENTED_EDGE('',*,*,#193008,.T.); #257385=ORIENTED_EDGE('',*,*,#193005,.T.); #257386=ORIENTED_EDGE('',*,*,#193002,.T.); #257387=ORIENTED_EDGE('',*,*,#192999,.T.); #257388=ORIENTED_EDGE('',*,*,#192996,.T.); #257389=ORIENTED_EDGE('',*,*,#192993,.T.); #257390=ORIENTED_EDGE('',*,*,#192990,.T.); #257391=ORIENTED_EDGE('',*,*,#192987,.T.); #257392=ORIENTED_EDGE('',*,*,#192984,.T.); #257393=ORIENTED_EDGE('',*,*,#192981,.T.); #257394=ORIENTED_EDGE('',*,*,#192978,.T.); #257395=ORIENTED_EDGE('',*,*,#192975,.T.); #257396=ORIENTED_EDGE('',*,*,#192972,.T.); #257397=ORIENTED_EDGE('',*,*,#192969,.T.); #257398=ORIENTED_EDGE('',*,*,#192966,.T.); #257399=ORIENTED_EDGE('',*,*,#192963,.T.); #257400=ORIENTED_EDGE('',*,*,#192960,.T.); #257401=ORIENTED_EDGE('',*,*,#192957,.T.); #257402=ORIENTED_EDGE('',*,*,#192954,.T.); #257403=ORIENTED_EDGE('',*,*,#192951,.T.); #257404=ORIENTED_EDGE('',*,*,#192948,.T.); #257405=ORIENTED_EDGE('',*,*,#192945,.T.); #257406=ORIENTED_EDGE('',*,*,#192942,.T.); #257407=ORIENTED_EDGE('',*,*,#192939,.T.); #257408=ORIENTED_EDGE('',*,*,#192936,.T.); #257409=ORIENTED_EDGE('',*,*,#192933,.T.); #257410=ORIENTED_EDGE('',*,*,#192930,.T.); #257411=ORIENTED_EDGE('',*,*,#192927,.T.); #257412=ORIENTED_EDGE('',*,*,#192924,.T.); #257413=ORIENTED_EDGE('',*,*,#192921,.T.); #257414=ORIENTED_EDGE('',*,*,#192918,.T.); #257415=ORIENTED_EDGE('',*,*,#192915,.T.); #257416=ORIENTED_EDGE('',*,*,#192879,.T.); #257417=ORIENTED_EDGE('',*,*,#192882,.T.); #257418=ORIENTED_EDGE('',*,*,#192885,.T.); #257419=ORIENTED_EDGE('',*,*,#192888,.T.); #257420=ORIENTED_EDGE('',*,*,#192891,.T.); #257421=ORIENTED_EDGE('',*,*,#192894,.T.); #257422=ORIENTED_EDGE('',*,*,#192897,.T.); #257423=ORIENTED_EDGE('',*,*,#192900,.T.); #257424=ORIENTED_EDGE('',*,*,#192903,.T.); #257425=ORIENTED_EDGE('',*,*,#192906,.T.); #257426=ORIENTED_EDGE('',*,*,#192909,.T.); #257427=ORIENTED_EDGE('',*,*,#192912,.T.); #257428=ORIENTED_EDGE('',*,*,#193283,.F.); #257429=ORIENTED_EDGE('',*,*,#192913,.F.); #257430=ORIENTED_EDGE('',*,*,#192917,.F.); #257431=ORIENTED_EDGE('',*,*,#192920,.F.); #257432=ORIENTED_EDGE('',*,*,#192923,.F.); #257433=ORIENTED_EDGE('',*,*,#192926,.F.); #257434=ORIENTED_EDGE('',*,*,#192929,.F.); #257435=ORIENTED_EDGE('',*,*,#192932,.F.); #257436=ORIENTED_EDGE('',*,*,#192935,.F.); #257437=ORIENTED_EDGE('',*,*,#192938,.F.); #257438=ORIENTED_EDGE('',*,*,#192941,.F.); #257439=ORIENTED_EDGE('',*,*,#192944,.F.); #257440=ORIENTED_EDGE('',*,*,#192947,.F.); #257441=ORIENTED_EDGE('',*,*,#192950,.F.); #257442=ORIENTED_EDGE('',*,*,#192953,.F.); #257443=ORIENTED_EDGE('',*,*,#192956,.F.); #257444=ORIENTED_EDGE('',*,*,#192959,.F.); #257445=ORIENTED_EDGE('',*,*,#192962,.F.); #257446=ORIENTED_EDGE('',*,*,#192965,.F.); #257447=ORIENTED_EDGE('',*,*,#192968,.F.); #257448=ORIENTED_EDGE('',*,*,#192971,.F.); #257449=ORIENTED_EDGE('',*,*,#192974,.F.); #257450=ORIENTED_EDGE('',*,*,#192977,.F.); #257451=ORIENTED_EDGE('',*,*,#192980,.F.); #257452=ORIENTED_EDGE('',*,*,#192983,.F.); #257453=ORIENTED_EDGE('',*,*,#192986,.F.); #257454=ORIENTED_EDGE('',*,*,#192989,.F.); #257455=ORIENTED_EDGE('',*,*,#192992,.F.); #257456=ORIENTED_EDGE('',*,*,#192995,.F.); #257457=ORIENTED_EDGE('',*,*,#192998,.F.); #257458=ORIENTED_EDGE('',*,*,#193001,.F.); #257459=ORIENTED_EDGE('',*,*,#193004,.F.); #257460=ORIENTED_EDGE('',*,*,#193007,.F.); #257461=ORIENTED_EDGE('',*,*,#193010,.F.); #257462=ORIENTED_EDGE('',*,*,#193013,.F.); #257463=ORIENTED_EDGE('',*,*,#193016,.F.); #257464=ORIENTED_EDGE('',*,*,#193019,.F.); #257465=ORIENTED_EDGE('',*,*,#193022,.F.); #257466=ORIENTED_EDGE('',*,*,#193025,.F.); #257467=ORIENTED_EDGE('',*,*,#193028,.F.); #257468=ORIENTED_EDGE('',*,*,#193031,.F.); #257469=ORIENTED_EDGE('',*,*,#193034,.F.); #257470=ORIENTED_EDGE('',*,*,#193037,.F.); #257471=ORIENTED_EDGE('',*,*,#193040,.F.); #257472=ORIENTED_EDGE('',*,*,#193043,.F.); #257473=ORIENTED_EDGE('',*,*,#193046,.F.); #257474=ORIENTED_EDGE('',*,*,#193049,.F.); #257475=ORIENTED_EDGE('',*,*,#193052,.F.); #257476=ORIENTED_EDGE('',*,*,#193055,.F.); #257477=ORIENTED_EDGE('',*,*,#193058,.F.); #257478=ORIENTED_EDGE('',*,*,#193061,.F.); #257479=ORIENTED_EDGE('',*,*,#193064,.F.); #257480=ORIENTED_EDGE('',*,*,#193067,.F.); #257481=ORIENTED_EDGE('',*,*,#193070,.F.); #257482=ORIENTED_EDGE('',*,*,#193073,.F.); #257483=ORIENTED_EDGE('',*,*,#193076,.F.); #257484=ORIENTED_EDGE('',*,*,#193079,.F.); #257485=ORIENTED_EDGE('',*,*,#193082,.F.); #257486=ORIENTED_EDGE('',*,*,#193085,.F.); #257487=ORIENTED_EDGE('',*,*,#193088,.F.); #257488=ORIENTED_EDGE('',*,*,#193091,.F.); #257489=ORIENTED_EDGE('',*,*,#193094,.F.); #257490=ORIENTED_EDGE('',*,*,#193097,.F.); #257491=ORIENTED_EDGE('',*,*,#193100,.F.); #257492=ORIENTED_EDGE('',*,*,#193103,.F.); #257493=ORIENTED_EDGE('',*,*,#193106,.F.); #257494=ORIENTED_EDGE('',*,*,#193109,.F.); #257495=ORIENTED_EDGE('',*,*,#193112,.F.); #257496=ORIENTED_EDGE('',*,*,#193115,.F.); #257497=ORIENTED_EDGE('',*,*,#193118,.F.); #257498=ORIENTED_EDGE('',*,*,#193121,.F.); #257499=ORIENTED_EDGE('',*,*,#193124,.F.); #257500=ORIENTED_EDGE('',*,*,#193127,.F.); #257501=ORIENTED_EDGE('',*,*,#193130,.F.); #257502=ORIENTED_EDGE('',*,*,#193133,.F.); #257503=ORIENTED_EDGE('',*,*,#193136,.F.); #257504=ORIENTED_EDGE('',*,*,#193139,.F.); #257505=ORIENTED_EDGE('',*,*,#193142,.F.); #257506=ORIENTED_EDGE('',*,*,#193145,.F.); #257507=ORIENTED_EDGE('',*,*,#193148,.F.); #257508=ORIENTED_EDGE('',*,*,#193151,.F.); #257509=ORIENTED_EDGE('',*,*,#193154,.F.); #257510=ORIENTED_EDGE('',*,*,#193157,.F.); #257511=ORIENTED_EDGE('',*,*,#193160,.F.); #257512=ORIENTED_EDGE('',*,*,#193163,.F.); #257513=ORIENTED_EDGE('',*,*,#193166,.F.); #257514=ORIENTED_EDGE('',*,*,#193169,.F.); #257515=ORIENTED_EDGE('',*,*,#193172,.F.); #257516=ORIENTED_EDGE('',*,*,#193175,.F.); #257517=ORIENTED_EDGE('',*,*,#193178,.F.); #257518=ORIENTED_EDGE('',*,*,#193181,.F.); #257519=ORIENTED_EDGE('',*,*,#193184,.F.); #257520=ORIENTED_EDGE('',*,*,#193187,.F.); #257521=ORIENTED_EDGE('',*,*,#193190,.F.); #257522=ORIENTED_EDGE('',*,*,#193193,.F.); #257523=ORIENTED_EDGE('',*,*,#193196,.F.); #257524=ORIENTED_EDGE('',*,*,#193199,.F.); #257525=ORIENTED_EDGE('',*,*,#193202,.F.); #257526=ORIENTED_EDGE('',*,*,#193205,.F.); #257527=ORIENTED_EDGE('',*,*,#193208,.F.); #257528=ORIENTED_EDGE('',*,*,#193211,.F.); #257529=ORIENTED_EDGE('',*,*,#193214,.F.); #257530=ORIENTED_EDGE('',*,*,#193217,.F.); #257531=ORIENTED_EDGE('',*,*,#193220,.F.); #257532=ORIENTED_EDGE('',*,*,#193223,.F.); #257533=ORIENTED_EDGE('',*,*,#193226,.F.); #257534=ORIENTED_EDGE('',*,*,#193229,.F.); #257535=ORIENTED_EDGE('',*,*,#193232,.F.); #257536=ORIENTED_EDGE('',*,*,#193235,.F.); #257537=ORIENTED_EDGE('',*,*,#193238,.F.); #257538=ORIENTED_EDGE('',*,*,#193241,.F.); #257539=ORIENTED_EDGE('',*,*,#193244,.F.); #257540=ORIENTED_EDGE('',*,*,#193247,.F.); #257541=ORIENTED_EDGE('',*,*,#193250,.F.); #257542=ORIENTED_EDGE('',*,*,#193253,.F.); #257543=ORIENTED_EDGE('',*,*,#193256,.F.); #257544=ORIENTED_EDGE('',*,*,#193259,.F.); #257545=ORIENTED_EDGE('',*,*,#193262,.F.); #257546=ORIENTED_EDGE('',*,*,#193265,.F.); #257547=ORIENTED_EDGE('',*,*,#193268,.F.); #257548=ORIENTED_EDGE('',*,*,#193271,.F.); #257549=ORIENTED_EDGE('',*,*,#193274,.F.); #257550=ORIENTED_EDGE('',*,*,#193277,.F.); #257551=ORIENTED_EDGE('',*,*,#193280,.F.); #257552=ORIENTED_EDGE('',*,*,#192877,.T.); #257553=ORIENTED_EDGE('',*,*,#192880,.T.); #257554=ORIENTED_EDGE('',*,*,#192883,.T.); #257555=ORIENTED_EDGE('',*,*,#192886,.T.); #257556=ORIENTED_EDGE('',*,*,#192889,.T.); #257557=ORIENTED_EDGE('',*,*,#192892,.T.); #257558=ORIENTED_EDGE('',*,*,#192895,.T.); #257559=ORIENTED_EDGE('',*,*,#192898,.T.); #257560=ORIENTED_EDGE('',*,*,#192901,.T.); #257561=ORIENTED_EDGE('',*,*,#192904,.T.); #257562=ORIENTED_EDGE('',*,*,#192907,.T.); #257563=ORIENTED_EDGE('',*,*,#192910,.T.); #257564=ORIENTED_EDGE('',*,*,#193285,.F.); #257565=ORIENTED_EDGE('',*,*,#193286,.T.); #257566=ORIENTED_EDGE('',*,*,#193287,.F.); #257567=ORIENTED_EDGE('',*,*,#193286,.F.); #257568=ORIENTED_EDGE('',*,*,#193288,.T.); #257569=ORIENTED_EDGE('',*,*,#193289,.T.); #257570=ORIENTED_EDGE('',*,*,#193290,.F.); #257571=ORIENTED_EDGE('',*,*,#193291,.F.); #257572=ORIENTED_EDGE('',*,*,#193292,.T.); #257573=ORIENTED_EDGE('',*,*,#193291,.T.); #257574=ORIENTED_EDGE('',*,*,#193293,.F.); #257575=ORIENTED_EDGE('',*,*,#193294,.F.); #257576=ORIENTED_EDGE('',*,*,#193295,.T.); #257577=ORIENTED_EDGE('',*,*,#193294,.T.); #257578=ORIENTED_EDGE('',*,*,#193296,.F.); #257579=ORIENTED_EDGE('',*,*,#193289,.F.); #257580=ORIENTED_EDGE('',*,*,#193296,.T.); #257581=ORIENTED_EDGE('',*,*,#193293,.T.); #257582=ORIENTED_EDGE('',*,*,#193290,.T.); #257583=ORIENTED_EDGE('',*,*,#193287,.T.); #257584=ORIENTED_EDGE('',*,*,#193295,.F.); #257585=ORIENTED_EDGE('',*,*,#193288,.F.); #257586=ORIENTED_EDGE('',*,*,#193292,.F.); #257587=ORIENTED_EDGE('',*,*,#193285,.T.); #257588=ORIENTED_EDGE('',*,*,#193297,.F.); #257589=ORIENTED_EDGE('',*,*,#193298,.T.); #257590=ORIENTED_EDGE('',*,*,#193299,.F.); #257591=ORIENTED_EDGE('',*,*,#193298,.F.); #257592=ORIENTED_EDGE('',*,*,#193300,.T.); #257593=ORIENTED_EDGE('',*,*,#193301,.T.); #257594=ORIENTED_EDGE('',*,*,#193302,.F.); #257595=ORIENTED_EDGE('',*,*,#193303,.F.); #257596=ORIENTED_EDGE('',*,*,#193304,.T.); #257597=ORIENTED_EDGE('',*,*,#193303,.T.); #257598=ORIENTED_EDGE('',*,*,#193305,.F.); #257599=ORIENTED_EDGE('',*,*,#193306,.F.); #257600=ORIENTED_EDGE('',*,*,#193307,.T.); #257601=ORIENTED_EDGE('',*,*,#193306,.T.); #257602=ORIENTED_EDGE('',*,*,#193308,.F.); #257603=ORIENTED_EDGE('',*,*,#193301,.F.); #257604=ORIENTED_EDGE('',*,*,#193308,.T.); #257605=ORIENTED_EDGE('',*,*,#193305,.T.); #257606=ORIENTED_EDGE('',*,*,#193302,.T.); #257607=ORIENTED_EDGE('',*,*,#193299,.T.); #257608=ORIENTED_EDGE('',*,*,#193307,.F.); #257609=ORIENTED_EDGE('',*,*,#193300,.F.); #257610=ORIENTED_EDGE('',*,*,#193304,.F.); #257611=ORIENTED_EDGE('',*,*,#193297,.T.); #257612=ORIENTED_EDGE('',*,*,#193309,.F.); #257613=ORIENTED_EDGE('',*,*,#193310,.T.); #257614=ORIENTED_EDGE('',*,*,#193311,.F.); #257615=ORIENTED_EDGE('',*,*,#193310,.F.); #257616=ORIENTED_EDGE('',*,*,#193312,.T.); #257617=ORIENTED_EDGE('',*,*,#193313,.T.); #257618=ORIENTED_EDGE('',*,*,#193314,.F.); #257619=ORIENTED_EDGE('',*,*,#193315,.F.); #257620=ORIENTED_EDGE('',*,*,#193316,.T.); #257621=ORIENTED_EDGE('',*,*,#193315,.T.); #257622=ORIENTED_EDGE('',*,*,#193317,.F.); #257623=ORIENTED_EDGE('',*,*,#193318,.F.); #257624=ORIENTED_EDGE('',*,*,#193319,.T.); #257625=ORIENTED_EDGE('',*,*,#193318,.T.); #257626=ORIENTED_EDGE('',*,*,#193320,.F.); #257627=ORIENTED_EDGE('',*,*,#193313,.F.); #257628=ORIENTED_EDGE('',*,*,#193320,.T.); #257629=ORIENTED_EDGE('',*,*,#193317,.T.); #257630=ORIENTED_EDGE('',*,*,#193314,.T.); #257631=ORIENTED_EDGE('',*,*,#193311,.T.); #257632=ORIENTED_EDGE('',*,*,#193319,.F.); #257633=ORIENTED_EDGE('',*,*,#193312,.F.); #257634=ORIENTED_EDGE('',*,*,#193316,.F.); #257635=ORIENTED_EDGE('',*,*,#193309,.T.); #257636=ORIENTED_EDGE('',*,*,#193321,.F.); #257637=ORIENTED_EDGE('',*,*,#193322,.T.); #257638=ORIENTED_EDGE('',*,*,#193323,.F.); #257639=ORIENTED_EDGE('',*,*,#193322,.F.); #257640=ORIENTED_EDGE('',*,*,#193324,.T.); #257641=ORIENTED_EDGE('',*,*,#193325,.T.); #257642=ORIENTED_EDGE('',*,*,#193326,.F.); #257643=ORIENTED_EDGE('',*,*,#193327,.F.); #257644=ORIENTED_EDGE('',*,*,#193328,.T.); #257645=ORIENTED_EDGE('',*,*,#193327,.T.); #257646=ORIENTED_EDGE('',*,*,#193329,.F.); #257647=ORIENTED_EDGE('',*,*,#193330,.F.); #257648=ORIENTED_EDGE('',*,*,#193331,.T.); #257649=ORIENTED_EDGE('',*,*,#193330,.T.); #257650=ORIENTED_EDGE('',*,*,#193332,.F.); #257651=ORIENTED_EDGE('',*,*,#193333,.F.); #257652=ORIENTED_EDGE('',*,*,#193334,.T.); #257653=ORIENTED_EDGE('',*,*,#193333,.T.); #257654=ORIENTED_EDGE('',*,*,#193335,.F.); #257655=ORIENTED_EDGE('',*,*,#193336,.F.); #257656=ORIENTED_EDGE('',*,*,#193337,.T.); #257657=ORIENTED_EDGE('',*,*,#193336,.T.); #257658=ORIENTED_EDGE('',*,*,#193338,.F.); #257659=ORIENTED_EDGE('',*,*,#193339,.F.); #257660=ORIENTED_EDGE('',*,*,#193340,.T.); #257661=ORIENTED_EDGE('',*,*,#193339,.T.); #257662=ORIENTED_EDGE('',*,*,#193341,.F.); #257663=ORIENTED_EDGE('',*,*,#193342,.F.); #257664=ORIENTED_EDGE('',*,*,#193343,.T.); #257665=ORIENTED_EDGE('',*,*,#193342,.T.); #257666=ORIENTED_EDGE('',*,*,#193344,.F.); #257667=ORIENTED_EDGE('',*,*,#193345,.F.); #257668=ORIENTED_EDGE('',*,*,#193346,.T.); #257669=ORIENTED_EDGE('',*,*,#193345,.T.); #257670=ORIENTED_EDGE('',*,*,#193347,.F.); #257671=ORIENTED_EDGE('',*,*,#193325,.F.); #257672=ORIENTED_EDGE('',*,*,#193347,.T.); #257673=ORIENTED_EDGE('',*,*,#193344,.T.); #257674=ORIENTED_EDGE('',*,*,#193341,.T.); #257675=ORIENTED_EDGE('',*,*,#193338,.T.); #257676=ORIENTED_EDGE('',*,*,#193335,.T.); #257677=ORIENTED_EDGE('',*,*,#193332,.T.); #257678=ORIENTED_EDGE('',*,*,#193329,.T.); #257679=ORIENTED_EDGE('',*,*,#193326,.T.); #257680=ORIENTED_EDGE('',*,*,#193323,.T.); #257681=ORIENTED_EDGE('',*,*,#193346,.F.); #257682=ORIENTED_EDGE('',*,*,#193324,.F.); #257683=ORIENTED_EDGE('',*,*,#193328,.F.); #257684=ORIENTED_EDGE('',*,*,#193331,.F.); #257685=ORIENTED_EDGE('',*,*,#193334,.F.); #257686=ORIENTED_EDGE('',*,*,#193337,.F.); #257687=ORIENTED_EDGE('',*,*,#193340,.F.); #257688=ORIENTED_EDGE('',*,*,#193343,.F.); #257689=ORIENTED_EDGE('',*,*,#193321,.T.); #257690=ORIENTED_EDGE('',*,*,#193348,.F.); #257691=ORIENTED_EDGE('',*,*,#193349,.T.); #257692=ORIENTED_EDGE('',*,*,#193350,.F.); #257693=ORIENTED_EDGE('',*,*,#193349,.F.); #257694=ORIENTED_EDGE('',*,*,#193351,.T.); #257695=ORIENTED_EDGE('',*,*,#193352,.T.); #257696=ORIENTED_EDGE('',*,*,#193353,.F.); #257697=ORIENTED_EDGE('',*,*,#193354,.F.); #257698=ORIENTED_EDGE('',*,*,#193355,.T.); #257699=ORIENTED_EDGE('',*,*,#193354,.T.); #257700=ORIENTED_EDGE('',*,*,#193356,.F.); #257701=ORIENTED_EDGE('',*,*,#193357,.F.); #257702=ORIENTED_EDGE('',*,*,#193358,.T.); #257703=ORIENTED_EDGE('',*,*,#193357,.T.); #257704=ORIENTED_EDGE('',*,*,#193359,.F.); #257705=ORIENTED_EDGE('',*,*,#193352,.F.); #257706=ORIENTED_EDGE('',*,*,#193359,.T.); #257707=ORIENTED_EDGE('',*,*,#193356,.T.); #257708=ORIENTED_EDGE('',*,*,#193353,.T.); #257709=ORIENTED_EDGE('',*,*,#193350,.T.); #257710=ORIENTED_EDGE('',*,*,#193358,.F.); #257711=ORIENTED_EDGE('',*,*,#193351,.F.); #257712=ORIENTED_EDGE('',*,*,#193355,.F.); #257713=ORIENTED_EDGE('',*,*,#193348,.T.); #257714=ORIENTED_EDGE('',*,*,#193360,.F.); #257715=ORIENTED_EDGE('',*,*,#193361,.T.); #257716=ORIENTED_EDGE('',*,*,#193362,.F.); #257717=ORIENTED_EDGE('',*,*,#193361,.F.); #257718=ORIENTED_EDGE('',*,*,#193363,.T.); #257719=ORIENTED_EDGE('',*,*,#193364,.T.); #257720=ORIENTED_EDGE('',*,*,#193365,.F.); #257721=ORIENTED_EDGE('',*,*,#193366,.F.); #257722=ORIENTED_EDGE('',*,*,#193367,.T.); #257723=ORIENTED_EDGE('',*,*,#193366,.T.); #257724=ORIENTED_EDGE('',*,*,#193368,.F.); #257725=ORIENTED_EDGE('',*,*,#193369,.F.); #257726=ORIENTED_EDGE('',*,*,#193370,.T.); #257727=ORIENTED_EDGE('',*,*,#193369,.T.); #257728=ORIENTED_EDGE('',*,*,#193371,.F.); #257729=ORIENTED_EDGE('',*,*,#193372,.F.); #257730=ORIENTED_EDGE('',*,*,#193373,.T.); #257731=ORIENTED_EDGE('',*,*,#193372,.T.); #257732=ORIENTED_EDGE('',*,*,#193374,.F.); #257733=ORIENTED_EDGE('',*,*,#193364,.F.); #257734=ORIENTED_EDGE('',*,*,#193374,.T.); #257735=ORIENTED_EDGE('',*,*,#193371,.T.); #257736=ORIENTED_EDGE('',*,*,#193368,.T.); #257737=ORIENTED_EDGE('',*,*,#193365,.T.); #257738=ORIENTED_EDGE('',*,*,#193362,.T.); #257739=ORIENTED_EDGE('',*,*,#193373,.F.); #257740=ORIENTED_EDGE('',*,*,#193363,.F.); #257741=ORIENTED_EDGE('',*,*,#193367,.F.); #257742=ORIENTED_EDGE('',*,*,#193370,.F.); #257743=ORIENTED_EDGE('',*,*,#193360,.T.); #257744=ORIENTED_EDGE('',*,*,#193375,.F.); #257745=ORIENTED_EDGE('',*,*,#193376,.T.); #257746=ORIENTED_EDGE('',*,*,#193377,.F.); #257747=ORIENTED_EDGE('',*,*,#193376,.F.); #257748=ORIENTED_EDGE('',*,*,#193378,.T.); #257749=ORIENTED_EDGE('',*,*,#193379,.T.); #257750=ORIENTED_EDGE('',*,*,#193380,.F.); #257751=ORIENTED_EDGE('',*,*,#193381,.F.); #257752=ORIENTED_EDGE('',*,*,#193382,.T.); #257753=ORIENTED_EDGE('',*,*,#193381,.T.); #257754=ORIENTED_EDGE('',*,*,#193383,.F.); #257755=ORIENTED_EDGE('',*,*,#193384,.F.); #257756=ORIENTED_EDGE('',*,*,#193385,.T.); #257757=ORIENTED_EDGE('',*,*,#193384,.T.); #257758=ORIENTED_EDGE('',*,*,#193386,.F.); #257759=ORIENTED_EDGE('',*,*,#193379,.F.); #257760=ORIENTED_EDGE('',*,*,#193386,.T.); #257761=ORIENTED_EDGE('',*,*,#193383,.T.); #257762=ORIENTED_EDGE('',*,*,#193380,.T.); #257763=ORIENTED_EDGE('',*,*,#193377,.T.); #257764=ORIENTED_EDGE('',*,*,#193385,.F.); #257765=ORIENTED_EDGE('',*,*,#193378,.F.); #257766=ORIENTED_EDGE('',*,*,#193382,.F.); #257767=ORIENTED_EDGE('',*,*,#193375,.T.); #257768=ORIENTED_EDGE('',*,*,#193387,.F.); #257769=ORIENTED_EDGE('',*,*,#193388,.F.); #257770=ORIENTED_EDGE('',*,*,#193389,.F.); #257771=ORIENTED_EDGE('',*,*,#193390,.T.); #257772=ORIENTED_EDGE('',*,*,#193391,.F.); #257773=ORIENTED_EDGE('',*,*,#193390,.F.); #257774=ORIENTED_EDGE('',*,*,#193392,.F.); #257775=ORIENTED_EDGE('',*,*,#193393,.T.); #257776=ORIENTED_EDGE('',*,*,#193394,.F.); #257777=ORIENTED_EDGE('',*,*,#193393,.F.); #257778=ORIENTED_EDGE('',*,*,#193395,.F.); #257779=ORIENTED_EDGE('',*,*,#193396,.T.); #257780=ORIENTED_EDGE('',*,*,#193397,.F.); #257781=ORIENTED_EDGE('',*,*,#193396,.F.); #257782=ORIENTED_EDGE('',*,*,#193398,.F.); #257783=ORIENTED_EDGE('',*,*,#193388,.T.); #257784=ORIENTED_EDGE('',*,*,#193399,.T.); #257785=ORIENTED_EDGE('',*,*,#193400,.T.); #257786=ORIENTED_EDGE('',*,*,#193401,.F.); #257787=ORIENTED_EDGE('',*,*,#193402,.F.); #257788=ORIENTED_EDGE('',*,*,#193403,.T.); #257789=ORIENTED_EDGE('',*,*,#193402,.T.); #257790=ORIENTED_EDGE('',*,*,#193404,.F.); #257791=ORIENTED_EDGE('',*,*,#193405,.F.); #257792=ORIENTED_EDGE('',*,*,#193406,.T.); #257793=ORIENTED_EDGE('',*,*,#193405,.T.); #257794=ORIENTED_EDGE('',*,*,#193407,.F.); #257795=ORIENTED_EDGE('',*,*,#193408,.F.); #257796=ORIENTED_EDGE('',*,*,#193409,.T.); #257797=ORIENTED_EDGE('',*,*,#193408,.T.); #257798=ORIENTED_EDGE('',*,*,#193410,.F.); #257799=ORIENTED_EDGE('',*,*,#193411,.F.); #257800=ORIENTED_EDGE('',*,*,#193412,.T.); #257801=ORIENTED_EDGE('',*,*,#193411,.T.); #257802=ORIENTED_EDGE('',*,*,#193413,.F.); #257803=ORIENTED_EDGE('',*,*,#193414,.F.); #257804=ORIENTED_EDGE('',*,*,#193415,.T.); #257805=ORIENTED_EDGE('',*,*,#193414,.T.); #257806=ORIENTED_EDGE('',*,*,#193416,.F.); #257807=ORIENTED_EDGE('',*,*,#193417,.F.); #257808=ORIENTED_EDGE('',*,*,#193418,.T.); #257809=ORIENTED_EDGE('',*,*,#193417,.T.); #257810=ORIENTED_EDGE('',*,*,#193419,.F.); #257811=ORIENTED_EDGE('',*,*,#193420,.F.); #257812=ORIENTED_EDGE('',*,*,#193421,.T.); #257813=ORIENTED_EDGE('',*,*,#193420,.T.); #257814=ORIENTED_EDGE('',*,*,#193422,.F.); #257815=ORIENTED_EDGE('',*,*,#193423,.F.); #257816=ORIENTED_EDGE('',*,*,#193424,.T.); #257817=ORIENTED_EDGE('',*,*,#193423,.T.); #257818=ORIENTED_EDGE('',*,*,#193425,.F.); #257819=ORIENTED_EDGE('',*,*,#193426,.F.); #257820=ORIENTED_EDGE('',*,*,#193427,.T.); #257821=ORIENTED_EDGE('',*,*,#193426,.T.); #257822=ORIENTED_EDGE('',*,*,#193428,.F.); #257823=ORIENTED_EDGE('',*,*,#193429,.F.); #257824=ORIENTED_EDGE('',*,*,#193430,.T.); #257825=ORIENTED_EDGE('',*,*,#193429,.T.); #257826=ORIENTED_EDGE('',*,*,#193431,.F.); #257827=ORIENTED_EDGE('',*,*,#193432,.F.); #257828=ORIENTED_EDGE('',*,*,#193433,.T.); #257829=ORIENTED_EDGE('',*,*,#193432,.T.); #257830=ORIENTED_EDGE('',*,*,#193434,.F.); #257831=ORIENTED_EDGE('',*,*,#193435,.F.); #257832=ORIENTED_EDGE('',*,*,#193436,.T.); #257833=ORIENTED_EDGE('',*,*,#193435,.T.); #257834=ORIENTED_EDGE('',*,*,#193437,.F.); #257835=ORIENTED_EDGE('',*,*,#193438,.F.); #257836=ORIENTED_EDGE('',*,*,#193439,.T.); #257837=ORIENTED_EDGE('',*,*,#193438,.T.); #257838=ORIENTED_EDGE('',*,*,#193440,.F.); #257839=ORIENTED_EDGE('',*,*,#193441,.F.); #257840=ORIENTED_EDGE('',*,*,#193442,.T.); #257841=ORIENTED_EDGE('',*,*,#193441,.T.); #257842=ORIENTED_EDGE('',*,*,#193443,.F.); #257843=ORIENTED_EDGE('',*,*,#193444,.F.); #257844=ORIENTED_EDGE('',*,*,#193445,.T.); #257845=ORIENTED_EDGE('',*,*,#193444,.T.); #257846=ORIENTED_EDGE('',*,*,#193446,.F.); #257847=ORIENTED_EDGE('',*,*,#193447,.F.); #257848=ORIENTED_EDGE('',*,*,#193448,.T.); #257849=ORIENTED_EDGE('',*,*,#193447,.T.); #257850=ORIENTED_EDGE('',*,*,#193449,.F.); #257851=ORIENTED_EDGE('',*,*,#193450,.F.); #257852=ORIENTED_EDGE('',*,*,#193451,.T.); #257853=ORIENTED_EDGE('',*,*,#193450,.T.); #257854=ORIENTED_EDGE('',*,*,#193452,.F.); #257855=ORIENTED_EDGE('',*,*,#193453,.F.); #257856=ORIENTED_EDGE('',*,*,#193454,.T.); #257857=ORIENTED_EDGE('',*,*,#193453,.T.); #257858=ORIENTED_EDGE('',*,*,#193455,.F.); #257859=ORIENTED_EDGE('',*,*,#193456,.F.); #257860=ORIENTED_EDGE('',*,*,#193457,.T.); #257861=ORIENTED_EDGE('',*,*,#193456,.T.); #257862=ORIENTED_EDGE('',*,*,#193458,.F.); #257863=ORIENTED_EDGE('',*,*,#193459,.F.); #257864=ORIENTED_EDGE('',*,*,#193460,.T.); #257865=ORIENTED_EDGE('',*,*,#193459,.T.); #257866=ORIENTED_EDGE('',*,*,#193461,.F.); #257867=ORIENTED_EDGE('',*,*,#193462,.F.); #257868=ORIENTED_EDGE('',*,*,#193463,.T.); #257869=ORIENTED_EDGE('',*,*,#193462,.T.); #257870=ORIENTED_EDGE('',*,*,#193464,.F.); #257871=ORIENTED_EDGE('',*,*,#193465,.F.); #257872=ORIENTED_EDGE('',*,*,#193466,.T.); #257873=ORIENTED_EDGE('',*,*,#193465,.T.); #257874=ORIENTED_EDGE('',*,*,#193467,.F.); #257875=ORIENTED_EDGE('',*,*,#193468,.F.); #257876=ORIENTED_EDGE('',*,*,#193469,.T.); #257877=ORIENTED_EDGE('',*,*,#193468,.T.); #257878=ORIENTED_EDGE('',*,*,#193470,.F.); #257879=ORIENTED_EDGE('',*,*,#193471,.F.); #257880=ORIENTED_EDGE('',*,*,#193472,.T.); #257881=ORIENTED_EDGE('',*,*,#193471,.T.); #257882=ORIENTED_EDGE('',*,*,#193473,.F.); #257883=ORIENTED_EDGE('',*,*,#193474,.F.); #257884=ORIENTED_EDGE('',*,*,#193475,.T.); #257885=ORIENTED_EDGE('',*,*,#193474,.T.); #257886=ORIENTED_EDGE('',*,*,#193476,.F.); #257887=ORIENTED_EDGE('',*,*,#193477,.F.); #257888=ORIENTED_EDGE('',*,*,#193478,.T.); #257889=ORIENTED_EDGE('',*,*,#193477,.T.); #257890=ORIENTED_EDGE('',*,*,#193479,.F.); #257891=ORIENTED_EDGE('',*,*,#193480,.F.); #257892=ORIENTED_EDGE('',*,*,#193481,.T.); #257893=ORIENTED_EDGE('',*,*,#193480,.T.); #257894=ORIENTED_EDGE('',*,*,#193482,.F.); #257895=ORIENTED_EDGE('',*,*,#193483,.F.); #257896=ORIENTED_EDGE('',*,*,#193484,.T.); #257897=ORIENTED_EDGE('',*,*,#193483,.T.); #257898=ORIENTED_EDGE('',*,*,#193485,.F.); #257899=ORIENTED_EDGE('',*,*,#193486,.F.); #257900=ORIENTED_EDGE('',*,*,#193487,.T.); #257901=ORIENTED_EDGE('',*,*,#193486,.T.); #257902=ORIENTED_EDGE('',*,*,#193488,.F.); #257903=ORIENTED_EDGE('',*,*,#193489,.F.); #257904=ORIENTED_EDGE('',*,*,#193490,.T.); #257905=ORIENTED_EDGE('',*,*,#193489,.T.); #257906=ORIENTED_EDGE('',*,*,#193491,.F.); #257907=ORIENTED_EDGE('',*,*,#193492,.F.); #257908=ORIENTED_EDGE('',*,*,#193493,.T.); #257909=ORIENTED_EDGE('',*,*,#193492,.T.); #257910=ORIENTED_EDGE('',*,*,#193494,.F.); #257911=ORIENTED_EDGE('',*,*,#193495,.F.); #257912=ORIENTED_EDGE('',*,*,#193496,.T.); #257913=ORIENTED_EDGE('',*,*,#193495,.T.); #257914=ORIENTED_EDGE('',*,*,#193497,.F.); #257915=ORIENTED_EDGE('',*,*,#193498,.F.); #257916=ORIENTED_EDGE('',*,*,#193499,.T.); #257917=ORIENTED_EDGE('',*,*,#193498,.T.); #257918=ORIENTED_EDGE('',*,*,#193500,.F.); #257919=ORIENTED_EDGE('',*,*,#193501,.F.); #257920=ORIENTED_EDGE('',*,*,#193502,.T.); #257921=ORIENTED_EDGE('',*,*,#193501,.T.); #257922=ORIENTED_EDGE('',*,*,#193503,.F.); #257923=ORIENTED_EDGE('',*,*,#193504,.F.); #257924=ORIENTED_EDGE('',*,*,#193505,.T.); #257925=ORIENTED_EDGE('',*,*,#193504,.T.); #257926=ORIENTED_EDGE('',*,*,#193506,.F.); #257927=ORIENTED_EDGE('',*,*,#193507,.F.); #257928=ORIENTED_EDGE('',*,*,#193508,.T.); #257929=ORIENTED_EDGE('',*,*,#193507,.T.); #257930=ORIENTED_EDGE('',*,*,#193509,.F.); #257931=ORIENTED_EDGE('',*,*,#193510,.F.); #257932=ORIENTED_EDGE('',*,*,#193511,.T.); #257933=ORIENTED_EDGE('',*,*,#193510,.T.); #257934=ORIENTED_EDGE('',*,*,#193512,.F.); #257935=ORIENTED_EDGE('',*,*,#193513,.F.); #257936=ORIENTED_EDGE('',*,*,#193514,.T.); #257937=ORIENTED_EDGE('',*,*,#193513,.T.); #257938=ORIENTED_EDGE('',*,*,#193515,.F.); #257939=ORIENTED_EDGE('',*,*,#193516,.F.); #257940=ORIENTED_EDGE('',*,*,#193517,.T.); #257941=ORIENTED_EDGE('',*,*,#193516,.T.); #257942=ORIENTED_EDGE('',*,*,#193518,.F.); #257943=ORIENTED_EDGE('',*,*,#193519,.F.); #257944=ORIENTED_EDGE('',*,*,#193520,.T.); #257945=ORIENTED_EDGE('',*,*,#193519,.T.); #257946=ORIENTED_EDGE('',*,*,#193521,.F.); #257947=ORIENTED_EDGE('',*,*,#193522,.F.); #257948=ORIENTED_EDGE('',*,*,#193523,.T.); #257949=ORIENTED_EDGE('',*,*,#193522,.T.); #257950=ORIENTED_EDGE('',*,*,#193524,.F.); #257951=ORIENTED_EDGE('',*,*,#193525,.F.); #257952=ORIENTED_EDGE('',*,*,#193526,.T.); #257953=ORIENTED_EDGE('',*,*,#193525,.T.); #257954=ORIENTED_EDGE('',*,*,#193527,.F.); #257955=ORIENTED_EDGE('',*,*,#193528,.F.); #257956=ORIENTED_EDGE('',*,*,#193529,.T.); #257957=ORIENTED_EDGE('',*,*,#193528,.T.); #257958=ORIENTED_EDGE('',*,*,#193530,.F.); #257959=ORIENTED_EDGE('',*,*,#193531,.F.); #257960=ORIENTED_EDGE('',*,*,#193532,.T.); #257961=ORIENTED_EDGE('',*,*,#193531,.T.); #257962=ORIENTED_EDGE('',*,*,#193533,.F.); #257963=ORIENTED_EDGE('',*,*,#193534,.F.); #257964=ORIENTED_EDGE('',*,*,#193535,.T.); #257965=ORIENTED_EDGE('',*,*,#193534,.T.); #257966=ORIENTED_EDGE('',*,*,#193536,.F.); #257967=ORIENTED_EDGE('',*,*,#193537,.F.); #257968=ORIENTED_EDGE('',*,*,#193538,.T.); #257969=ORIENTED_EDGE('',*,*,#193537,.T.); #257970=ORIENTED_EDGE('',*,*,#193539,.F.); #257971=ORIENTED_EDGE('',*,*,#193540,.F.); #257972=ORIENTED_EDGE('',*,*,#193541,.T.); #257973=ORIENTED_EDGE('',*,*,#193540,.T.); #257974=ORIENTED_EDGE('',*,*,#193542,.F.); #257975=ORIENTED_EDGE('',*,*,#193543,.F.); #257976=ORIENTED_EDGE('',*,*,#193544,.T.); #257977=ORIENTED_EDGE('',*,*,#193543,.T.); #257978=ORIENTED_EDGE('',*,*,#193545,.F.); #257979=ORIENTED_EDGE('',*,*,#193546,.F.); #257980=ORIENTED_EDGE('',*,*,#193547,.T.); #257981=ORIENTED_EDGE('',*,*,#193546,.T.); #257982=ORIENTED_EDGE('',*,*,#193548,.F.); #257983=ORIENTED_EDGE('',*,*,#193549,.F.); #257984=ORIENTED_EDGE('',*,*,#193550,.T.); #257985=ORIENTED_EDGE('',*,*,#193549,.T.); #257986=ORIENTED_EDGE('',*,*,#193551,.F.); #257987=ORIENTED_EDGE('',*,*,#193552,.F.); #257988=ORIENTED_EDGE('',*,*,#193553,.T.); #257989=ORIENTED_EDGE('',*,*,#193552,.T.); #257990=ORIENTED_EDGE('',*,*,#193554,.F.); #257991=ORIENTED_EDGE('',*,*,#193555,.F.); #257992=ORIENTED_EDGE('',*,*,#193556,.T.); #257993=ORIENTED_EDGE('',*,*,#193555,.T.); #257994=ORIENTED_EDGE('',*,*,#193557,.F.); #257995=ORIENTED_EDGE('',*,*,#193558,.F.); #257996=ORIENTED_EDGE('',*,*,#193559,.T.); #257997=ORIENTED_EDGE('',*,*,#193558,.T.); #257998=ORIENTED_EDGE('',*,*,#193560,.F.); #257999=ORIENTED_EDGE('',*,*,#193561,.F.); #258000=ORIENTED_EDGE('',*,*,#193562,.T.); #258001=ORIENTED_EDGE('',*,*,#193561,.T.); #258002=ORIENTED_EDGE('',*,*,#193563,.F.); #258003=ORIENTED_EDGE('',*,*,#193564,.F.); #258004=ORIENTED_EDGE('',*,*,#193565,.T.); #258005=ORIENTED_EDGE('',*,*,#193564,.T.); #258006=ORIENTED_EDGE('',*,*,#193566,.F.); #258007=ORIENTED_EDGE('',*,*,#193400,.F.); #258008=ORIENTED_EDGE('',*,*,#193566,.T.); #258009=ORIENTED_EDGE('',*,*,#193563,.T.); #258010=ORIENTED_EDGE('',*,*,#193560,.T.); #258011=ORIENTED_EDGE('',*,*,#193557,.T.); #258012=ORIENTED_EDGE('',*,*,#193554,.T.); #258013=ORIENTED_EDGE('',*,*,#193551,.T.); #258014=ORIENTED_EDGE('',*,*,#193548,.T.); #258015=ORIENTED_EDGE('',*,*,#193545,.T.); #258016=ORIENTED_EDGE('',*,*,#193542,.T.); #258017=ORIENTED_EDGE('',*,*,#193539,.T.); #258018=ORIENTED_EDGE('',*,*,#193536,.T.); #258019=ORIENTED_EDGE('',*,*,#193533,.T.); #258020=ORIENTED_EDGE('',*,*,#193530,.T.); #258021=ORIENTED_EDGE('',*,*,#193527,.T.); #258022=ORIENTED_EDGE('',*,*,#193524,.T.); #258023=ORIENTED_EDGE('',*,*,#193521,.T.); #258024=ORIENTED_EDGE('',*,*,#193518,.T.); #258025=ORIENTED_EDGE('',*,*,#193515,.T.); #258026=ORIENTED_EDGE('',*,*,#193512,.T.); #258027=ORIENTED_EDGE('',*,*,#193509,.T.); #258028=ORIENTED_EDGE('',*,*,#193506,.T.); #258029=ORIENTED_EDGE('',*,*,#193503,.T.); #258030=ORIENTED_EDGE('',*,*,#193500,.T.); #258031=ORIENTED_EDGE('',*,*,#193497,.T.); #258032=ORIENTED_EDGE('',*,*,#193494,.T.); #258033=ORIENTED_EDGE('',*,*,#193491,.T.); #258034=ORIENTED_EDGE('',*,*,#193488,.T.); #258035=ORIENTED_EDGE('',*,*,#193485,.T.); #258036=ORIENTED_EDGE('',*,*,#193482,.T.); #258037=ORIENTED_EDGE('',*,*,#193479,.T.); #258038=ORIENTED_EDGE('',*,*,#193476,.T.); #258039=ORIENTED_EDGE('',*,*,#193473,.T.); #258040=ORIENTED_EDGE('',*,*,#193470,.T.); #258041=ORIENTED_EDGE('',*,*,#193467,.T.); #258042=ORIENTED_EDGE('',*,*,#193464,.T.); #258043=ORIENTED_EDGE('',*,*,#193461,.T.); #258044=ORIENTED_EDGE('',*,*,#193458,.T.); #258045=ORIENTED_EDGE('',*,*,#193455,.T.); #258046=ORIENTED_EDGE('',*,*,#193452,.T.); #258047=ORIENTED_EDGE('',*,*,#193449,.T.); #258048=ORIENTED_EDGE('',*,*,#193446,.T.); #258049=ORIENTED_EDGE('',*,*,#193443,.T.); #258050=ORIENTED_EDGE('',*,*,#193440,.T.); #258051=ORIENTED_EDGE('',*,*,#193437,.T.); #258052=ORIENTED_EDGE('',*,*,#193434,.T.); #258053=ORIENTED_EDGE('',*,*,#193431,.T.); #258054=ORIENTED_EDGE('',*,*,#193428,.T.); #258055=ORIENTED_EDGE('',*,*,#193425,.T.); #258056=ORIENTED_EDGE('',*,*,#193422,.T.); #258057=ORIENTED_EDGE('',*,*,#193419,.T.); #258058=ORIENTED_EDGE('',*,*,#193416,.T.); #258059=ORIENTED_EDGE('',*,*,#193413,.T.); #258060=ORIENTED_EDGE('',*,*,#193410,.T.); #258061=ORIENTED_EDGE('',*,*,#193407,.T.); #258062=ORIENTED_EDGE('',*,*,#193404,.T.); #258063=ORIENTED_EDGE('',*,*,#193401,.T.); #258064=ORIENTED_EDGE('',*,*,#193394,.T.); #258065=ORIENTED_EDGE('',*,*,#193397,.T.); #258066=ORIENTED_EDGE('',*,*,#193387,.T.); #258067=ORIENTED_EDGE('',*,*,#193391,.T.); #258068=ORIENTED_EDGE('',*,*,#193565,.F.); #258069=ORIENTED_EDGE('',*,*,#193399,.F.); #258070=ORIENTED_EDGE('',*,*,#193403,.F.); #258071=ORIENTED_EDGE('',*,*,#193406,.F.); #258072=ORIENTED_EDGE('',*,*,#193409,.F.); #258073=ORIENTED_EDGE('',*,*,#193412,.F.); #258074=ORIENTED_EDGE('',*,*,#193415,.F.); #258075=ORIENTED_EDGE('',*,*,#193418,.F.); #258076=ORIENTED_EDGE('',*,*,#193421,.F.); #258077=ORIENTED_EDGE('',*,*,#193424,.F.); #258078=ORIENTED_EDGE('',*,*,#193427,.F.); #258079=ORIENTED_EDGE('',*,*,#193430,.F.); #258080=ORIENTED_EDGE('',*,*,#193433,.F.); #258081=ORIENTED_EDGE('',*,*,#193436,.F.); #258082=ORIENTED_EDGE('',*,*,#193439,.F.); #258083=ORIENTED_EDGE('',*,*,#193442,.F.); #258084=ORIENTED_EDGE('',*,*,#193445,.F.); #258085=ORIENTED_EDGE('',*,*,#193448,.F.); #258086=ORIENTED_EDGE('',*,*,#193451,.F.); #258087=ORIENTED_EDGE('',*,*,#193454,.F.); #258088=ORIENTED_EDGE('',*,*,#193457,.F.); #258089=ORIENTED_EDGE('',*,*,#193460,.F.); #258090=ORIENTED_EDGE('',*,*,#193463,.F.); #258091=ORIENTED_EDGE('',*,*,#193466,.F.); #258092=ORIENTED_EDGE('',*,*,#193469,.F.); #258093=ORIENTED_EDGE('',*,*,#193472,.F.); #258094=ORIENTED_EDGE('',*,*,#193475,.F.); #258095=ORIENTED_EDGE('',*,*,#193478,.F.); #258096=ORIENTED_EDGE('',*,*,#193481,.F.); #258097=ORIENTED_EDGE('',*,*,#193484,.F.); #258098=ORIENTED_EDGE('',*,*,#193487,.F.); #258099=ORIENTED_EDGE('',*,*,#193490,.F.); #258100=ORIENTED_EDGE('',*,*,#193493,.F.); #258101=ORIENTED_EDGE('',*,*,#193496,.F.); #258102=ORIENTED_EDGE('',*,*,#193499,.F.); #258103=ORIENTED_EDGE('',*,*,#193502,.F.); #258104=ORIENTED_EDGE('',*,*,#193505,.F.); #258105=ORIENTED_EDGE('',*,*,#193508,.F.); #258106=ORIENTED_EDGE('',*,*,#193511,.F.); #258107=ORIENTED_EDGE('',*,*,#193514,.F.); #258108=ORIENTED_EDGE('',*,*,#193517,.F.); #258109=ORIENTED_EDGE('',*,*,#193520,.F.); #258110=ORIENTED_EDGE('',*,*,#193523,.F.); #258111=ORIENTED_EDGE('',*,*,#193526,.F.); #258112=ORIENTED_EDGE('',*,*,#193529,.F.); #258113=ORIENTED_EDGE('',*,*,#193532,.F.); #258114=ORIENTED_EDGE('',*,*,#193535,.F.); #258115=ORIENTED_EDGE('',*,*,#193538,.F.); #258116=ORIENTED_EDGE('',*,*,#193541,.F.); #258117=ORIENTED_EDGE('',*,*,#193544,.F.); #258118=ORIENTED_EDGE('',*,*,#193547,.F.); #258119=ORIENTED_EDGE('',*,*,#193550,.F.); #258120=ORIENTED_EDGE('',*,*,#193553,.F.); #258121=ORIENTED_EDGE('',*,*,#193556,.F.); #258122=ORIENTED_EDGE('',*,*,#193559,.F.); #258123=ORIENTED_EDGE('',*,*,#193562,.F.); #258124=ORIENTED_EDGE('',*,*,#193395,.T.); #258125=ORIENTED_EDGE('',*,*,#193392,.T.); #258126=ORIENTED_EDGE('',*,*,#193389,.T.); #258127=ORIENTED_EDGE('',*,*,#193398,.T.); #258128=ORIENTED_EDGE('',*,*,#193567,.F.); #258129=ORIENTED_EDGE('',*,*,#193568,.T.); #258130=ORIENTED_EDGE('',*,*,#193569,.F.); #258131=ORIENTED_EDGE('',*,*,#193568,.F.); #258132=ORIENTED_EDGE('',*,*,#193570,.T.); #258133=ORIENTED_EDGE('',*,*,#193571,.T.); #258134=ORIENTED_EDGE('',*,*,#193572,.F.); #258135=ORIENTED_EDGE('',*,*,#193573,.F.); #258136=ORIENTED_EDGE('',*,*,#193574,.T.); #258137=ORIENTED_EDGE('',*,*,#193573,.T.); #258138=ORIENTED_EDGE('',*,*,#193575,.F.); #258139=ORIENTED_EDGE('',*,*,#193576,.F.); #258140=ORIENTED_EDGE('',*,*,#193577,.T.); #258141=ORIENTED_EDGE('',*,*,#193576,.T.); #258142=ORIENTED_EDGE('',*,*,#193578,.F.); #258143=ORIENTED_EDGE('',*,*,#193571,.F.); #258144=ORIENTED_EDGE('',*,*,#193578,.T.); #258145=ORIENTED_EDGE('',*,*,#193575,.T.); #258146=ORIENTED_EDGE('',*,*,#193572,.T.); #258147=ORIENTED_EDGE('',*,*,#193569,.T.); #258148=ORIENTED_EDGE('',*,*,#193577,.F.); #258149=ORIENTED_EDGE('',*,*,#193570,.F.); #258150=ORIENTED_EDGE('',*,*,#193574,.F.); #258151=ORIENTED_EDGE('',*,*,#193567,.T.); #258152=ORIENTED_EDGE('',*,*,#193579,.F.); #258153=ORIENTED_EDGE('',*,*,#193580,.F.); #258154=ORIENTED_EDGE('',*,*,#193581,.F.); #258155=ORIENTED_EDGE('',*,*,#193582,.T.); #258156=ORIENTED_EDGE('',*,*,#193583,.F.); #258157=ORIENTED_EDGE('',*,*,#193582,.F.); #258158=ORIENTED_EDGE('',*,*,#193584,.F.); #258159=ORIENTED_EDGE('',*,*,#193585,.T.); #258160=ORIENTED_EDGE('',*,*,#193586,.F.); #258161=ORIENTED_EDGE('',*,*,#193585,.F.); #258162=ORIENTED_EDGE('',*,*,#193587,.F.); #258163=ORIENTED_EDGE('',*,*,#193588,.T.); #258164=ORIENTED_EDGE('',*,*,#193589,.F.); #258165=ORIENTED_EDGE('',*,*,#193588,.F.); #258166=ORIENTED_EDGE('',*,*,#193590,.F.); #258167=ORIENTED_EDGE('',*,*,#193580,.T.); #258168=ORIENTED_EDGE('',*,*,#193591,.T.); #258169=ORIENTED_EDGE('',*,*,#193592,.T.); #258170=ORIENTED_EDGE('',*,*,#193593,.F.); #258171=ORIENTED_EDGE('',*,*,#193594,.F.); #258172=ORIENTED_EDGE('',*,*,#193595,.T.); #258173=ORIENTED_EDGE('',*,*,#193594,.T.); #258174=ORIENTED_EDGE('',*,*,#193596,.F.); #258175=ORIENTED_EDGE('',*,*,#193597,.F.); #258176=ORIENTED_EDGE('',*,*,#193598,.T.); #258177=ORIENTED_EDGE('',*,*,#193597,.T.); #258178=ORIENTED_EDGE('',*,*,#193599,.F.); #258179=ORIENTED_EDGE('',*,*,#193600,.F.); #258180=ORIENTED_EDGE('',*,*,#193601,.T.); #258181=ORIENTED_EDGE('',*,*,#193600,.T.); #258182=ORIENTED_EDGE('',*,*,#193602,.F.); #258183=ORIENTED_EDGE('',*,*,#193603,.F.); #258184=ORIENTED_EDGE('',*,*,#193604,.T.); #258185=ORIENTED_EDGE('',*,*,#193603,.T.); #258186=ORIENTED_EDGE('',*,*,#193605,.F.); #258187=ORIENTED_EDGE('',*,*,#193606,.F.); #258188=ORIENTED_EDGE('',*,*,#193607,.T.); #258189=ORIENTED_EDGE('',*,*,#193606,.T.); #258190=ORIENTED_EDGE('',*,*,#193608,.F.); #258191=ORIENTED_EDGE('',*,*,#193609,.F.); #258192=ORIENTED_EDGE('',*,*,#193610,.T.); #258193=ORIENTED_EDGE('',*,*,#193609,.T.); #258194=ORIENTED_EDGE('',*,*,#193611,.F.); #258195=ORIENTED_EDGE('',*,*,#193612,.F.); #258196=ORIENTED_EDGE('',*,*,#193613,.T.); #258197=ORIENTED_EDGE('',*,*,#193612,.T.); #258198=ORIENTED_EDGE('',*,*,#193614,.F.); #258199=ORIENTED_EDGE('',*,*,#193615,.F.); #258200=ORIENTED_EDGE('',*,*,#193616,.T.); #258201=ORIENTED_EDGE('',*,*,#193615,.T.); #258202=ORIENTED_EDGE('',*,*,#193617,.F.); #258203=ORIENTED_EDGE('',*,*,#193618,.F.); #258204=ORIENTED_EDGE('',*,*,#193619,.T.); #258205=ORIENTED_EDGE('',*,*,#193618,.T.); #258206=ORIENTED_EDGE('',*,*,#193620,.F.); #258207=ORIENTED_EDGE('',*,*,#193621,.F.); #258208=ORIENTED_EDGE('',*,*,#193622,.T.); #258209=ORIENTED_EDGE('',*,*,#193621,.T.); #258210=ORIENTED_EDGE('',*,*,#193623,.F.); #258211=ORIENTED_EDGE('',*,*,#193624,.F.); #258212=ORIENTED_EDGE('',*,*,#193625,.T.); #258213=ORIENTED_EDGE('',*,*,#193624,.T.); #258214=ORIENTED_EDGE('',*,*,#193626,.F.); #258215=ORIENTED_EDGE('',*,*,#193627,.F.); #258216=ORIENTED_EDGE('',*,*,#193628,.T.); #258217=ORIENTED_EDGE('',*,*,#193627,.T.); #258218=ORIENTED_EDGE('',*,*,#193629,.F.); #258219=ORIENTED_EDGE('',*,*,#193630,.F.); #258220=ORIENTED_EDGE('',*,*,#193631,.T.); #258221=ORIENTED_EDGE('',*,*,#193630,.T.); #258222=ORIENTED_EDGE('',*,*,#193632,.F.); #258223=ORIENTED_EDGE('',*,*,#193633,.F.); #258224=ORIENTED_EDGE('',*,*,#193634,.T.); #258225=ORIENTED_EDGE('',*,*,#193633,.T.); #258226=ORIENTED_EDGE('',*,*,#193635,.F.); #258227=ORIENTED_EDGE('',*,*,#193636,.F.); #258228=ORIENTED_EDGE('',*,*,#193637,.T.); #258229=ORIENTED_EDGE('',*,*,#193636,.T.); #258230=ORIENTED_EDGE('',*,*,#193638,.F.); #258231=ORIENTED_EDGE('',*,*,#193639,.F.); #258232=ORIENTED_EDGE('',*,*,#193640,.T.); #258233=ORIENTED_EDGE('',*,*,#193639,.T.); #258234=ORIENTED_EDGE('',*,*,#193641,.F.); #258235=ORIENTED_EDGE('',*,*,#193642,.F.); #258236=ORIENTED_EDGE('',*,*,#193643,.T.); #258237=ORIENTED_EDGE('',*,*,#193642,.T.); #258238=ORIENTED_EDGE('',*,*,#193644,.F.); #258239=ORIENTED_EDGE('',*,*,#193645,.F.); #258240=ORIENTED_EDGE('',*,*,#193646,.T.); #258241=ORIENTED_EDGE('',*,*,#193645,.T.); #258242=ORIENTED_EDGE('',*,*,#193647,.F.); #258243=ORIENTED_EDGE('',*,*,#193648,.F.); #258244=ORIENTED_EDGE('',*,*,#193649,.T.); #258245=ORIENTED_EDGE('',*,*,#193648,.T.); #258246=ORIENTED_EDGE('',*,*,#193650,.F.); #258247=ORIENTED_EDGE('',*,*,#193651,.F.); #258248=ORIENTED_EDGE('',*,*,#193652,.T.); #258249=ORIENTED_EDGE('',*,*,#193651,.T.); #258250=ORIENTED_EDGE('',*,*,#193653,.F.); #258251=ORIENTED_EDGE('',*,*,#193654,.F.); #258252=ORIENTED_EDGE('',*,*,#193655,.T.); #258253=ORIENTED_EDGE('',*,*,#193654,.T.); #258254=ORIENTED_EDGE('',*,*,#193656,.F.); #258255=ORIENTED_EDGE('',*,*,#193657,.F.); #258256=ORIENTED_EDGE('',*,*,#193658,.T.); #258257=ORIENTED_EDGE('',*,*,#193657,.T.); #258258=ORIENTED_EDGE('',*,*,#193659,.F.); #258259=ORIENTED_EDGE('',*,*,#193660,.F.); #258260=ORIENTED_EDGE('',*,*,#193661,.T.); #258261=ORIENTED_EDGE('',*,*,#193660,.T.); #258262=ORIENTED_EDGE('',*,*,#193662,.F.); #258263=ORIENTED_EDGE('',*,*,#193663,.F.); #258264=ORIENTED_EDGE('',*,*,#193664,.T.); #258265=ORIENTED_EDGE('',*,*,#193663,.T.); #258266=ORIENTED_EDGE('',*,*,#193665,.F.); #258267=ORIENTED_EDGE('',*,*,#193666,.F.); #258268=ORIENTED_EDGE('',*,*,#193667,.T.); #258269=ORIENTED_EDGE('',*,*,#193666,.T.); #258270=ORIENTED_EDGE('',*,*,#193668,.F.); #258271=ORIENTED_EDGE('',*,*,#193669,.F.); #258272=ORIENTED_EDGE('',*,*,#193670,.T.); #258273=ORIENTED_EDGE('',*,*,#193669,.T.); #258274=ORIENTED_EDGE('',*,*,#193671,.F.); #258275=ORIENTED_EDGE('',*,*,#193672,.F.); #258276=ORIENTED_EDGE('',*,*,#193673,.T.); #258277=ORIENTED_EDGE('',*,*,#193672,.T.); #258278=ORIENTED_EDGE('',*,*,#193674,.F.); #258279=ORIENTED_EDGE('',*,*,#193675,.F.); #258280=ORIENTED_EDGE('',*,*,#193676,.T.); #258281=ORIENTED_EDGE('',*,*,#193675,.T.); #258282=ORIENTED_EDGE('',*,*,#193677,.F.); #258283=ORIENTED_EDGE('',*,*,#193678,.F.); #258284=ORIENTED_EDGE('',*,*,#193679,.T.); #258285=ORIENTED_EDGE('',*,*,#193678,.T.); #258286=ORIENTED_EDGE('',*,*,#193680,.F.); #258287=ORIENTED_EDGE('',*,*,#193681,.F.); #258288=ORIENTED_EDGE('',*,*,#193682,.T.); #258289=ORIENTED_EDGE('',*,*,#193681,.T.); #258290=ORIENTED_EDGE('',*,*,#193683,.F.); #258291=ORIENTED_EDGE('',*,*,#193684,.F.); #258292=ORIENTED_EDGE('',*,*,#193685,.T.); #258293=ORIENTED_EDGE('',*,*,#193684,.T.); #258294=ORIENTED_EDGE('',*,*,#193686,.F.); #258295=ORIENTED_EDGE('',*,*,#193687,.F.); #258296=ORIENTED_EDGE('',*,*,#193688,.T.); #258297=ORIENTED_EDGE('',*,*,#193687,.T.); #258298=ORIENTED_EDGE('',*,*,#193689,.F.); #258299=ORIENTED_EDGE('',*,*,#193690,.F.); #258300=ORIENTED_EDGE('',*,*,#193691,.T.); #258301=ORIENTED_EDGE('',*,*,#193690,.T.); #258302=ORIENTED_EDGE('',*,*,#193692,.F.); #258303=ORIENTED_EDGE('',*,*,#193693,.F.); #258304=ORIENTED_EDGE('',*,*,#193694,.T.); #258305=ORIENTED_EDGE('',*,*,#193693,.T.); #258306=ORIENTED_EDGE('',*,*,#193695,.F.); #258307=ORIENTED_EDGE('',*,*,#193696,.F.); #258308=ORIENTED_EDGE('',*,*,#193697,.T.); #258309=ORIENTED_EDGE('',*,*,#193696,.T.); #258310=ORIENTED_EDGE('',*,*,#193698,.F.); #258311=ORIENTED_EDGE('',*,*,#193699,.F.); #258312=ORIENTED_EDGE('',*,*,#193700,.T.); #258313=ORIENTED_EDGE('',*,*,#193699,.T.); #258314=ORIENTED_EDGE('',*,*,#193701,.F.); #258315=ORIENTED_EDGE('',*,*,#193702,.F.); #258316=ORIENTED_EDGE('',*,*,#193703,.T.); #258317=ORIENTED_EDGE('',*,*,#193702,.T.); #258318=ORIENTED_EDGE('',*,*,#193704,.F.); #258319=ORIENTED_EDGE('',*,*,#193705,.F.); #258320=ORIENTED_EDGE('',*,*,#193706,.T.); #258321=ORIENTED_EDGE('',*,*,#193705,.T.); #258322=ORIENTED_EDGE('',*,*,#193707,.F.); #258323=ORIENTED_EDGE('',*,*,#193708,.F.); #258324=ORIENTED_EDGE('',*,*,#193709,.T.); #258325=ORIENTED_EDGE('',*,*,#193708,.T.); #258326=ORIENTED_EDGE('',*,*,#193710,.F.); #258327=ORIENTED_EDGE('',*,*,#193711,.F.); #258328=ORIENTED_EDGE('',*,*,#193712,.T.); #258329=ORIENTED_EDGE('',*,*,#193711,.T.); #258330=ORIENTED_EDGE('',*,*,#193713,.F.); #258331=ORIENTED_EDGE('',*,*,#193714,.F.); #258332=ORIENTED_EDGE('',*,*,#193715,.T.); #258333=ORIENTED_EDGE('',*,*,#193714,.T.); #258334=ORIENTED_EDGE('',*,*,#193716,.F.); #258335=ORIENTED_EDGE('',*,*,#193717,.F.); #258336=ORIENTED_EDGE('',*,*,#193718,.T.); #258337=ORIENTED_EDGE('',*,*,#193717,.T.); #258338=ORIENTED_EDGE('',*,*,#193719,.F.); #258339=ORIENTED_EDGE('',*,*,#193720,.F.); #258340=ORIENTED_EDGE('',*,*,#193721,.T.); #258341=ORIENTED_EDGE('',*,*,#193720,.T.); #258342=ORIENTED_EDGE('',*,*,#193722,.F.); #258343=ORIENTED_EDGE('',*,*,#193723,.F.); #258344=ORIENTED_EDGE('',*,*,#193724,.T.); #258345=ORIENTED_EDGE('',*,*,#193723,.T.); #258346=ORIENTED_EDGE('',*,*,#193725,.F.); #258347=ORIENTED_EDGE('',*,*,#193726,.F.); #258348=ORIENTED_EDGE('',*,*,#193727,.T.); #258349=ORIENTED_EDGE('',*,*,#193726,.T.); #258350=ORIENTED_EDGE('',*,*,#193728,.F.); #258351=ORIENTED_EDGE('',*,*,#193729,.F.); #258352=ORIENTED_EDGE('',*,*,#193730,.T.); #258353=ORIENTED_EDGE('',*,*,#193729,.T.); #258354=ORIENTED_EDGE('',*,*,#193731,.F.); #258355=ORIENTED_EDGE('',*,*,#193732,.F.); #258356=ORIENTED_EDGE('',*,*,#193733,.T.); #258357=ORIENTED_EDGE('',*,*,#193732,.T.); #258358=ORIENTED_EDGE('',*,*,#193734,.F.); #258359=ORIENTED_EDGE('',*,*,#193735,.F.); #258360=ORIENTED_EDGE('',*,*,#193736,.T.); #258361=ORIENTED_EDGE('',*,*,#193735,.T.); #258362=ORIENTED_EDGE('',*,*,#193737,.F.); #258363=ORIENTED_EDGE('',*,*,#193738,.F.); #258364=ORIENTED_EDGE('',*,*,#193739,.T.); #258365=ORIENTED_EDGE('',*,*,#193738,.T.); #258366=ORIENTED_EDGE('',*,*,#193740,.F.); #258367=ORIENTED_EDGE('',*,*,#193741,.F.); #258368=ORIENTED_EDGE('',*,*,#193742,.T.); #258369=ORIENTED_EDGE('',*,*,#193741,.T.); #258370=ORIENTED_EDGE('',*,*,#193743,.F.); #258371=ORIENTED_EDGE('',*,*,#193744,.F.); #258372=ORIENTED_EDGE('',*,*,#193745,.T.); #258373=ORIENTED_EDGE('',*,*,#193744,.T.); #258374=ORIENTED_EDGE('',*,*,#193746,.F.); #258375=ORIENTED_EDGE('',*,*,#193747,.F.); #258376=ORIENTED_EDGE('',*,*,#193748,.T.); #258377=ORIENTED_EDGE('',*,*,#193747,.T.); #258378=ORIENTED_EDGE('',*,*,#193749,.F.); #258379=ORIENTED_EDGE('',*,*,#193750,.F.); #258380=ORIENTED_EDGE('',*,*,#193751,.T.); #258381=ORIENTED_EDGE('',*,*,#193750,.T.); #258382=ORIENTED_EDGE('',*,*,#193752,.F.); #258383=ORIENTED_EDGE('',*,*,#193753,.F.); #258384=ORIENTED_EDGE('',*,*,#193754,.T.); #258385=ORIENTED_EDGE('',*,*,#193753,.T.); #258386=ORIENTED_EDGE('',*,*,#193755,.F.); #258387=ORIENTED_EDGE('',*,*,#193756,.F.); #258388=ORIENTED_EDGE('',*,*,#193757,.T.); #258389=ORIENTED_EDGE('',*,*,#193756,.T.); #258390=ORIENTED_EDGE('',*,*,#193758,.F.); #258391=ORIENTED_EDGE('',*,*,#193592,.F.); #258392=ORIENTED_EDGE('',*,*,#193758,.T.); #258393=ORIENTED_EDGE('',*,*,#193755,.T.); #258394=ORIENTED_EDGE('',*,*,#193752,.T.); #258395=ORIENTED_EDGE('',*,*,#193749,.T.); #258396=ORIENTED_EDGE('',*,*,#193746,.T.); #258397=ORIENTED_EDGE('',*,*,#193743,.T.); #258398=ORIENTED_EDGE('',*,*,#193740,.T.); #258399=ORIENTED_EDGE('',*,*,#193737,.T.); #258400=ORIENTED_EDGE('',*,*,#193734,.T.); #258401=ORIENTED_EDGE('',*,*,#193731,.T.); #258402=ORIENTED_EDGE('',*,*,#193728,.T.); #258403=ORIENTED_EDGE('',*,*,#193725,.T.); #258404=ORIENTED_EDGE('',*,*,#193722,.T.); #258405=ORIENTED_EDGE('',*,*,#193719,.T.); #258406=ORIENTED_EDGE('',*,*,#193716,.T.); #258407=ORIENTED_EDGE('',*,*,#193713,.T.); #258408=ORIENTED_EDGE('',*,*,#193710,.T.); #258409=ORIENTED_EDGE('',*,*,#193707,.T.); #258410=ORIENTED_EDGE('',*,*,#193704,.T.); #258411=ORIENTED_EDGE('',*,*,#193701,.T.); #258412=ORIENTED_EDGE('',*,*,#193698,.T.); #258413=ORIENTED_EDGE('',*,*,#193695,.T.); #258414=ORIENTED_EDGE('',*,*,#193692,.T.); #258415=ORIENTED_EDGE('',*,*,#193689,.T.); #258416=ORIENTED_EDGE('',*,*,#193686,.T.); #258417=ORIENTED_EDGE('',*,*,#193683,.T.); #258418=ORIENTED_EDGE('',*,*,#193680,.T.); #258419=ORIENTED_EDGE('',*,*,#193677,.T.); #258420=ORIENTED_EDGE('',*,*,#193674,.T.); #258421=ORIENTED_EDGE('',*,*,#193671,.T.); #258422=ORIENTED_EDGE('',*,*,#193668,.T.); #258423=ORIENTED_EDGE('',*,*,#193665,.T.); #258424=ORIENTED_EDGE('',*,*,#193662,.T.); #258425=ORIENTED_EDGE('',*,*,#193659,.T.); #258426=ORIENTED_EDGE('',*,*,#193656,.T.); #258427=ORIENTED_EDGE('',*,*,#193653,.T.); #258428=ORIENTED_EDGE('',*,*,#193650,.T.); #258429=ORIENTED_EDGE('',*,*,#193647,.T.); #258430=ORIENTED_EDGE('',*,*,#193644,.T.); #258431=ORIENTED_EDGE('',*,*,#193641,.T.); #258432=ORIENTED_EDGE('',*,*,#193638,.T.); #258433=ORIENTED_EDGE('',*,*,#193635,.T.); #258434=ORIENTED_EDGE('',*,*,#193632,.T.); #258435=ORIENTED_EDGE('',*,*,#193629,.T.); #258436=ORIENTED_EDGE('',*,*,#193626,.T.); #258437=ORIENTED_EDGE('',*,*,#193623,.T.); #258438=ORIENTED_EDGE('',*,*,#193620,.T.); #258439=ORIENTED_EDGE('',*,*,#193617,.T.); #258440=ORIENTED_EDGE('',*,*,#193614,.T.); #258441=ORIENTED_EDGE('',*,*,#193611,.T.); #258442=ORIENTED_EDGE('',*,*,#193608,.T.); #258443=ORIENTED_EDGE('',*,*,#193605,.T.); #258444=ORIENTED_EDGE('',*,*,#193602,.T.); #258445=ORIENTED_EDGE('',*,*,#193599,.T.); #258446=ORIENTED_EDGE('',*,*,#193596,.T.); #258447=ORIENTED_EDGE('',*,*,#193593,.T.); #258448=ORIENTED_EDGE('',*,*,#193586,.T.); #258449=ORIENTED_EDGE('',*,*,#193589,.T.); #258450=ORIENTED_EDGE('',*,*,#193579,.T.); #258451=ORIENTED_EDGE('',*,*,#193583,.T.); #258452=ORIENTED_EDGE('',*,*,#193757,.F.); #258453=ORIENTED_EDGE('',*,*,#193591,.F.); #258454=ORIENTED_EDGE('',*,*,#193595,.F.); #258455=ORIENTED_EDGE('',*,*,#193598,.F.); #258456=ORIENTED_EDGE('',*,*,#193601,.F.); #258457=ORIENTED_EDGE('',*,*,#193604,.F.); #258458=ORIENTED_EDGE('',*,*,#193607,.F.); #258459=ORIENTED_EDGE('',*,*,#193610,.F.); #258460=ORIENTED_EDGE('',*,*,#193613,.F.); #258461=ORIENTED_EDGE('',*,*,#193616,.F.); #258462=ORIENTED_EDGE('',*,*,#193619,.F.); #258463=ORIENTED_EDGE('',*,*,#193622,.F.); #258464=ORIENTED_EDGE('',*,*,#193625,.F.); #258465=ORIENTED_EDGE('',*,*,#193628,.F.); #258466=ORIENTED_EDGE('',*,*,#193631,.F.); #258467=ORIENTED_EDGE('',*,*,#193634,.F.); #258468=ORIENTED_EDGE('',*,*,#193637,.F.); #258469=ORIENTED_EDGE('',*,*,#193640,.F.); #258470=ORIENTED_EDGE('',*,*,#193643,.F.); #258471=ORIENTED_EDGE('',*,*,#193646,.F.); #258472=ORIENTED_EDGE('',*,*,#193649,.F.); #258473=ORIENTED_EDGE('',*,*,#193652,.F.); #258474=ORIENTED_EDGE('',*,*,#193655,.F.); #258475=ORIENTED_EDGE('',*,*,#193658,.F.); #258476=ORIENTED_EDGE('',*,*,#193661,.F.); #258477=ORIENTED_EDGE('',*,*,#193664,.F.); #258478=ORIENTED_EDGE('',*,*,#193667,.F.); #258479=ORIENTED_EDGE('',*,*,#193670,.F.); #258480=ORIENTED_EDGE('',*,*,#193673,.F.); #258481=ORIENTED_EDGE('',*,*,#193676,.F.); #258482=ORIENTED_EDGE('',*,*,#193679,.F.); #258483=ORIENTED_EDGE('',*,*,#193682,.F.); #258484=ORIENTED_EDGE('',*,*,#193685,.F.); #258485=ORIENTED_EDGE('',*,*,#193688,.F.); #258486=ORIENTED_EDGE('',*,*,#193691,.F.); #258487=ORIENTED_EDGE('',*,*,#193694,.F.); #258488=ORIENTED_EDGE('',*,*,#193697,.F.); #258489=ORIENTED_EDGE('',*,*,#193700,.F.); #258490=ORIENTED_EDGE('',*,*,#193703,.F.); #258491=ORIENTED_EDGE('',*,*,#193706,.F.); #258492=ORIENTED_EDGE('',*,*,#193709,.F.); #258493=ORIENTED_EDGE('',*,*,#193712,.F.); #258494=ORIENTED_EDGE('',*,*,#193715,.F.); #258495=ORIENTED_EDGE('',*,*,#193718,.F.); #258496=ORIENTED_EDGE('',*,*,#193721,.F.); #258497=ORIENTED_EDGE('',*,*,#193724,.F.); #258498=ORIENTED_EDGE('',*,*,#193727,.F.); #258499=ORIENTED_EDGE('',*,*,#193730,.F.); #258500=ORIENTED_EDGE('',*,*,#193733,.F.); #258501=ORIENTED_EDGE('',*,*,#193736,.F.); #258502=ORIENTED_EDGE('',*,*,#193739,.F.); #258503=ORIENTED_EDGE('',*,*,#193742,.F.); #258504=ORIENTED_EDGE('',*,*,#193745,.F.); #258505=ORIENTED_EDGE('',*,*,#193748,.F.); #258506=ORIENTED_EDGE('',*,*,#193751,.F.); #258507=ORIENTED_EDGE('',*,*,#193754,.F.); #258508=ORIENTED_EDGE('',*,*,#193587,.T.); #258509=ORIENTED_EDGE('',*,*,#193584,.T.); #258510=ORIENTED_EDGE('',*,*,#193581,.T.); #258511=ORIENTED_EDGE('',*,*,#193590,.T.); #258512=ORIENTED_EDGE('',*,*,#193759,.F.); #258513=ORIENTED_EDGE('',*,*,#193760,.F.); #258514=ORIENTED_EDGE('',*,*,#193761,.F.); #258515=ORIENTED_EDGE('',*,*,#193762,.T.); #258516=ORIENTED_EDGE('',*,*,#193763,.F.); #258517=ORIENTED_EDGE('',*,*,#193762,.F.); #258518=ORIENTED_EDGE('',*,*,#193764,.F.); #258519=ORIENTED_EDGE('',*,*,#193765,.T.); #258520=ORIENTED_EDGE('',*,*,#193766,.F.); #258521=ORIENTED_EDGE('',*,*,#193765,.F.); #258522=ORIENTED_EDGE('',*,*,#193767,.F.); #258523=ORIENTED_EDGE('',*,*,#193768,.T.); #258524=ORIENTED_EDGE('',*,*,#193769,.F.); #258525=ORIENTED_EDGE('',*,*,#193768,.F.); #258526=ORIENTED_EDGE('',*,*,#193770,.F.); #258527=ORIENTED_EDGE('',*,*,#193760,.T.); #258528=ORIENTED_EDGE('',*,*,#193771,.T.); #258529=ORIENTED_EDGE('',*,*,#193772,.T.); #258530=ORIENTED_EDGE('',*,*,#193773,.F.); #258531=ORIENTED_EDGE('',*,*,#193774,.F.); #258532=ORIENTED_EDGE('',*,*,#193775,.T.); #258533=ORIENTED_EDGE('',*,*,#193774,.T.); #258534=ORIENTED_EDGE('',*,*,#193776,.F.); #258535=ORIENTED_EDGE('',*,*,#193777,.F.); #258536=ORIENTED_EDGE('',*,*,#193778,.T.); #258537=ORIENTED_EDGE('',*,*,#193777,.T.); #258538=ORIENTED_EDGE('',*,*,#193779,.F.); #258539=ORIENTED_EDGE('',*,*,#193780,.F.); #258540=ORIENTED_EDGE('',*,*,#193781,.T.); #258541=ORIENTED_EDGE('',*,*,#193780,.T.); #258542=ORIENTED_EDGE('',*,*,#193782,.F.); #258543=ORIENTED_EDGE('',*,*,#193783,.F.); #258544=ORIENTED_EDGE('',*,*,#193784,.T.); #258545=ORIENTED_EDGE('',*,*,#193783,.T.); #258546=ORIENTED_EDGE('',*,*,#193785,.F.); #258547=ORIENTED_EDGE('',*,*,#193786,.F.); #258548=ORIENTED_EDGE('',*,*,#193787,.T.); #258549=ORIENTED_EDGE('',*,*,#193786,.T.); #258550=ORIENTED_EDGE('',*,*,#193788,.F.); #258551=ORIENTED_EDGE('',*,*,#193789,.F.); #258552=ORIENTED_EDGE('',*,*,#193790,.T.); #258553=ORIENTED_EDGE('',*,*,#193789,.T.); #258554=ORIENTED_EDGE('',*,*,#193791,.F.); #258555=ORIENTED_EDGE('',*,*,#193792,.F.); #258556=ORIENTED_EDGE('',*,*,#193793,.T.); #258557=ORIENTED_EDGE('',*,*,#193792,.T.); #258558=ORIENTED_EDGE('',*,*,#193794,.F.); #258559=ORIENTED_EDGE('',*,*,#193795,.F.); #258560=ORIENTED_EDGE('',*,*,#193796,.T.); #258561=ORIENTED_EDGE('',*,*,#193795,.T.); #258562=ORIENTED_EDGE('',*,*,#193797,.F.); #258563=ORIENTED_EDGE('',*,*,#193798,.F.); #258564=ORIENTED_EDGE('',*,*,#193799,.T.); #258565=ORIENTED_EDGE('',*,*,#193798,.T.); #258566=ORIENTED_EDGE('',*,*,#193800,.F.); #258567=ORIENTED_EDGE('',*,*,#193801,.F.); #258568=ORIENTED_EDGE('',*,*,#193802,.T.); #258569=ORIENTED_EDGE('',*,*,#193801,.T.); #258570=ORIENTED_EDGE('',*,*,#193803,.F.); #258571=ORIENTED_EDGE('',*,*,#193804,.F.); #258572=ORIENTED_EDGE('',*,*,#193805,.T.); #258573=ORIENTED_EDGE('',*,*,#193804,.T.); #258574=ORIENTED_EDGE('',*,*,#193806,.F.); #258575=ORIENTED_EDGE('',*,*,#193807,.F.); #258576=ORIENTED_EDGE('',*,*,#193808,.T.); #258577=ORIENTED_EDGE('',*,*,#193807,.T.); #258578=ORIENTED_EDGE('',*,*,#193809,.F.); #258579=ORIENTED_EDGE('',*,*,#193810,.F.); #258580=ORIENTED_EDGE('',*,*,#193811,.T.); #258581=ORIENTED_EDGE('',*,*,#193810,.T.); #258582=ORIENTED_EDGE('',*,*,#193812,.F.); #258583=ORIENTED_EDGE('',*,*,#193813,.F.); #258584=ORIENTED_EDGE('',*,*,#193814,.T.); #258585=ORIENTED_EDGE('',*,*,#193813,.T.); #258586=ORIENTED_EDGE('',*,*,#193815,.F.); #258587=ORIENTED_EDGE('',*,*,#193816,.F.); #258588=ORIENTED_EDGE('',*,*,#193817,.T.); #258589=ORIENTED_EDGE('',*,*,#193816,.T.); #258590=ORIENTED_EDGE('',*,*,#193818,.F.); #258591=ORIENTED_EDGE('',*,*,#193819,.F.); #258592=ORIENTED_EDGE('',*,*,#193820,.T.); #258593=ORIENTED_EDGE('',*,*,#193819,.T.); #258594=ORIENTED_EDGE('',*,*,#193821,.F.); #258595=ORIENTED_EDGE('',*,*,#193822,.F.); #258596=ORIENTED_EDGE('',*,*,#193823,.T.); #258597=ORIENTED_EDGE('',*,*,#193822,.T.); #258598=ORIENTED_EDGE('',*,*,#193824,.F.); #258599=ORIENTED_EDGE('',*,*,#193825,.F.); #258600=ORIENTED_EDGE('',*,*,#193826,.T.); #258601=ORIENTED_EDGE('',*,*,#193825,.T.); #258602=ORIENTED_EDGE('',*,*,#193827,.F.); #258603=ORIENTED_EDGE('',*,*,#193828,.F.); #258604=ORIENTED_EDGE('',*,*,#193829,.T.); #258605=ORIENTED_EDGE('',*,*,#193828,.T.); #258606=ORIENTED_EDGE('',*,*,#193830,.F.); #258607=ORIENTED_EDGE('',*,*,#193831,.F.); #258608=ORIENTED_EDGE('',*,*,#193832,.T.); #258609=ORIENTED_EDGE('',*,*,#193831,.T.); #258610=ORIENTED_EDGE('',*,*,#193833,.F.); #258611=ORIENTED_EDGE('',*,*,#193834,.F.); #258612=ORIENTED_EDGE('',*,*,#193835,.T.); #258613=ORIENTED_EDGE('',*,*,#193834,.T.); #258614=ORIENTED_EDGE('',*,*,#193836,.F.); #258615=ORIENTED_EDGE('',*,*,#193837,.F.); #258616=ORIENTED_EDGE('',*,*,#193838,.T.); #258617=ORIENTED_EDGE('',*,*,#193837,.T.); #258618=ORIENTED_EDGE('',*,*,#193839,.F.); #258619=ORIENTED_EDGE('',*,*,#193840,.F.); #258620=ORIENTED_EDGE('',*,*,#193841,.T.); #258621=ORIENTED_EDGE('',*,*,#193840,.T.); #258622=ORIENTED_EDGE('',*,*,#193842,.F.); #258623=ORIENTED_EDGE('',*,*,#193843,.F.); #258624=ORIENTED_EDGE('',*,*,#193844,.T.); #258625=ORIENTED_EDGE('',*,*,#193843,.T.); #258626=ORIENTED_EDGE('',*,*,#193845,.F.); #258627=ORIENTED_EDGE('',*,*,#193846,.F.); #258628=ORIENTED_EDGE('',*,*,#193847,.T.); #258629=ORIENTED_EDGE('',*,*,#193846,.T.); #258630=ORIENTED_EDGE('',*,*,#193848,.F.); #258631=ORIENTED_EDGE('',*,*,#193849,.F.); #258632=ORIENTED_EDGE('',*,*,#193850,.T.); #258633=ORIENTED_EDGE('',*,*,#193849,.T.); #258634=ORIENTED_EDGE('',*,*,#193851,.F.); #258635=ORIENTED_EDGE('',*,*,#193852,.F.); #258636=ORIENTED_EDGE('',*,*,#193853,.T.); #258637=ORIENTED_EDGE('',*,*,#193852,.T.); #258638=ORIENTED_EDGE('',*,*,#193854,.F.); #258639=ORIENTED_EDGE('',*,*,#193855,.F.); #258640=ORIENTED_EDGE('',*,*,#193856,.T.); #258641=ORIENTED_EDGE('',*,*,#193855,.T.); #258642=ORIENTED_EDGE('',*,*,#193857,.F.); #258643=ORIENTED_EDGE('',*,*,#193858,.F.); #258644=ORIENTED_EDGE('',*,*,#193859,.T.); #258645=ORIENTED_EDGE('',*,*,#193858,.T.); #258646=ORIENTED_EDGE('',*,*,#193860,.F.); #258647=ORIENTED_EDGE('',*,*,#193861,.F.); #258648=ORIENTED_EDGE('',*,*,#193862,.T.); #258649=ORIENTED_EDGE('',*,*,#193861,.T.); #258650=ORIENTED_EDGE('',*,*,#193863,.F.); #258651=ORIENTED_EDGE('',*,*,#193864,.F.); #258652=ORIENTED_EDGE('',*,*,#193865,.T.); #258653=ORIENTED_EDGE('',*,*,#193864,.T.); #258654=ORIENTED_EDGE('',*,*,#193866,.F.); #258655=ORIENTED_EDGE('',*,*,#193867,.F.); #258656=ORIENTED_EDGE('',*,*,#193868,.T.); #258657=ORIENTED_EDGE('',*,*,#193867,.T.); #258658=ORIENTED_EDGE('',*,*,#193869,.F.); #258659=ORIENTED_EDGE('',*,*,#193870,.F.); #258660=ORIENTED_EDGE('',*,*,#193871,.T.); #258661=ORIENTED_EDGE('',*,*,#193870,.T.); #258662=ORIENTED_EDGE('',*,*,#193872,.F.); #258663=ORIENTED_EDGE('',*,*,#193873,.F.); #258664=ORIENTED_EDGE('',*,*,#193874,.T.); #258665=ORIENTED_EDGE('',*,*,#193873,.T.); #258666=ORIENTED_EDGE('',*,*,#193875,.F.); #258667=ORIENTED_EDGE('',*,*,#193876,.F.); #258668=ORIENTED_EDGE('',*,*,#193877,.T.); #258669=ORIENTED_EDGE('',*,*,#193876,.T.); #258670=ORIENTED_EDGE('',*,*,#193878,.F.); #258671=ORIENTED_EDGE('',*,*,#193879,.F.); #258672=ORIENTED_EDGE('',*,*,#193880,.T.); #258673=ORIENTED_EDGE('',*,*,#193879,.T.); #258674=ORIENTED_EDGE('',*,*,#193881,.F.); #258675=ORIENTED_EDGE('',*,*,#193882,.F.); #258676=ORIENTED_EDGE('',*,*,#193883,.T.); #258677=ORIENTED_EDGE('',*,*,#193882,.T.); #258678=ORIENTED_EDGE('',*,*,#193884,.F.); #258679=ORIENTED_EDGE('',*,*,#193885,.F.); #258680=ORIENTED_EDGE('',*,*,#193886,.T.); #258681=ORIENTED_EDGE('',*,*,#193885,.T.); #258682=ORIENTED_EDGE('',*,*,#193887,.F.); #258683=ORIENTED_EDGE('',*,*,#193888,.F.); #258684=ORIENTED_EDGE('',*,*,#193889,.T.); #258685=ORIENTED_EDGE('',*,*,#193888,.T.); #258686=ORIENTED_EDGE('',*,*,#193890,.F.); #258687=ORIENTED_EDGE('',*,*,#193891,.F.); #258688=ORIENTED_EDGE('',*,*,#193892,.T.); #258689=ORIENTED_EDGE('',*,*,#193891,.T.); #258690=ORIENTED_EDGE('',*,*,#193893,.F.); #258691=ORIENTED_EDGE('',*,*,#193894,.F.); #258692=ORIENTED_EDGE('',*,*,#193895,.T.); #258693=ORIENTED_EDGE('',*,*,#193894,.T.); #258694=ORIENTED_EDGE('',*,*,#193896,.F.); #258695=ORIENTED_EDGE('',*,*,#193897,.F.); #258696=ORIENTED_EDGE('',*,*,#193898,.T.); #258697=ORIENTED_EDGE('',*,*,#193897,.T.); #258698=ORIENTED_EDGE('',*,*,#193899,.F.); #258699=ORIENTED_EDGE('',*,*,#193900,.F.); #258700=ORIENTED_EDGE('',*,*,#193901,.T.); #258701=ORIENTED_EDGE('',*,*,#193900,.T.); #258702=ORIENTED_EDGE('',*,*,#193902,.F.); #258703=ORIENTED_EDGE('',*,*,#193903,.F.); #258704=ORIENTED_EDGE('',*,*,#193904,.T.); #258705=ORIENTED_EDGE('',*,*,#193903,.T.); #258706=ORIENTED_EDGE('',*,*,#193905,.F.); #258707=ORIENTED_EDGE('',*,*,#193906,.F.); #258708=ORIENTED_EDGE('',*,*,#193907,.T.); #258709=ORIENTED_EDGE('',*,*,#193906,.T.); #258710=ORIENTED_EDGE('',*,*,#193908,.F.); #258711=ORIENTED_EDGE('',*,*,#193909,.F.); #258712=ORIENTED_EDGE('',*,*,#193910,.T.); #258713=ORIENTED_EDGE('',*,*,#193909,.T.); #258714=ORIENTED_EDGE('',*,*,#193911,.F.); #258715=ORIENTED_EDGE('',*,*,#193912,.F.); #258716=ORIENTED_EDGE('',*,*,#193913,.T.); #258717=ORIENTED_EDGE('',*,*,#193912,.T.); #258718=ORIENTED_EDGE('',*,*,#193914,.F.); #258719=ORIENTED_EDGE('',*,*,#193915,.F.); #258720=ORIENTED_EDGE('',*,*,#193916,.T.); #258721=ORIENTED_EDGE('',*,*,#193915,.T.); #258722=ORIENTED_EDGE('',*,*,#193917,.F.); #258723=ORIENTED_EDGE('',*,*,#193918,.F.); #258724=ORIENTED_EDGE('',*,*,#193919,.T.); #258725=ORIENTED_EDGE('',*,*,#193918,.T.); #258726=ORIENTED_EDGE('',*,*,#193920,.F.); #258727=ORIENTED_EDGE('',*,*,#193921,.F.); #258728=ORIENTED_EDGE('',*,*,#193922,.T.); #258729=ORIENTED_EDGE('',*,*,#193921,.T.); #258730=ORIENTED_EDGE('',*,*,#193923,.F.); #258731=ORIENTED_EDGE('',*,*,#193924,.F.); #258732=ORIENTED_EDGE('',*,*,#193925,.T.); #258733=ORIENTED_EDGE('',*,*,#193924,.T.); #258734=ORIENTED_EDGE('',*,*,#193926,.F.); #258735=ORIENTED_EDGE('',*,*,#193927,.F.); #258736=ORIENTED_EDGE('',*,*,#193928,.T.); #258737=ORIENTED_EDGE('',*,*,#193927,.T.); #258738=ORIENTED_EDGE('',*,*,#193929,.F.); #258739=ORIENTED_EDGE('',*,*,#193930,.F.); #258740=ORIENTED_EDGE('',*,*,#193931,.T.); #258741=ORIENTED_EDGE('',*,*,#193930,.T.); #258742=ORIENTED_EDGE('',*,*,#193932,.F.); #258743=ORIENTED_EDGE('',*,*,#193933,.F.); #258744=ORIENTED_EDGE('',*,*,#193934,.T.); #258745=ORIENTED_EDGE('',*,*,#193933,.T.); #258746=ORIENTED_EDGE('',*,*,#193935,.F.); #258747=ORIENTED_EDGE('',*,*,#193936,.F.); #258748=ORIENTED_EDGE('',*,*,#193937,.T.); #258749=ORIENTED_EDGE('',*,*,#193936,.T.); #258750=ORIENTED_EDGE('',*,*,#193938,.F.); #258751=ORIENTED_EDGE('',*,*,#193939,.F.); #258752=ORIENTED_EDGE('',*,*,#193940,.T.); #258753=ORIENTED_EDGE('',*,*,#193939,.T.); #258754=ORIENTED_EDGE('',*,*,#193941,.F.); #258755=ORIENTED_EDGE('',*,*,#193942,.F.); #258756=ORIENTED_EDGE('',*,*,#193943,.T.); #258757=ORIENTED_EDGE('',*,*,#193942,.T.); #258758=ORIENTED_EDGE('',*,*,#193944,.F.); #258759=ORIENTED_EDGE('',*,*,#193945,.F.); #258760=ORIENTED_EDGE('',*,*,#193946,.T.); #258761=ORIENTED_EDGE('',*,*,#193945,.T.); #258762=ORIENTED_EDGE('',*,*,#193947,.F.); #258763=ORIENTED_EDGE('',*,*,#193948,.F.); #258764=ORIENTED_EDGE('',*,*,#193949,.T.); #258765=ORIENTED_EDGE('',*,*,#193948,.T.); #258766=ORIENTED_EDGE('',*,*,#193950,.F.); #258767=ORIENTED_EDGE('',*,*,#193951,.F.); #258768=ORIENTED_EDGE('',*,*,#193952,.T.); #258769=ORIENTED_EDGE('',*,*,#193951,.T.); #258770=ORIENTED_EDGE('',*,*,#193953,.F.); #258771=ORIENTED_EDGE('',*,*,#193954,.F.); #258772=ORIENTED_EDGE('',*,*,#193955,.T.); #258773=ORIENTED_EDGE('',*,*,#193954,.T.); #258774=ORIENTED_EDGE('',*,*,#193956,.F.); #258775=ORIENTED_EDGE('',*,*,#193957,.F.); #258776=ORIENTED_EDGE('',*,*,#193958,.T.); #258777=ORIENTED_EDGE('',*,*,#193957,.T.); #258778=ORIENTED_EDGE('',*,*,#193959,.F.); #258779=ORIENTED_EDGE('',*,*,#193960,.F.); #258780=ORIENTED_EDGE('',*,*,#193961,.T.); #258781=ORIENTED_EDGE('',*,*,#193960,.T.); #258782=ORIENTED_EDGE('',*,*,#193962,.F.); #258783=ORIENTED_EDGE('',*,*,#193963,.F.); #258784=ORIENTED_EDGE('',*,*,#193964,.T.); #258785=ORIENTED_EDGE('',*,*,#193963,.T.); #258786=ORIENTED_EDGE('',*,*,#193965,.F.); #258787=ORIENTED_EDGE('',*,*,#193966,.F.); #258788=ORIENTED_EDGE('',*,*,#193967,.T.); #258789=ORIENTED_EDGE('',*,*,#193966,.T.); #258790=ORIENTED_EDGE('',*,*,#193968,.F.); #258791=ORIENTED_EDGE('',*,*,#193969,.F.); #258792=ORIENTED_EDGE('',*,*,#193970,.T.); #258793=ORIENTED_EDGE('',*,*,#193969,.T.); #258794=ORIENTED_EDGE('',*,*,#193971,.F.); #258795=ORIENTED_EDGE('',*,*,#193972,.F.); #258796=ORIENTED_EDGE('',*,*,#193973,.T.); #258797=ORIENTED_EDGE('',*,*,#193972,.T.); #258798=ORIENTED_EDGE('',*,*,#193974,.F.); #258799=ORIENTED_EDGE('',*,*,#193975,.F.); #258800=ORIENTED_EDGE('',*,*,#193976,.T.); #258801=ORIENTED_EDGE('',*,*,#193975,.T.); #258802=ORIENTED_EDGE('',*,*,#193977,.F.); #258803=ORIENTED_EDGE('',*,*,#193978,.F.); #258804=ORIENTED_EDGE('',*,*,#193979,.T.); #258805=ORIENTED_EDGE('',*,*,#193978,.T.); #258806=ORIENTED_EDGE('',*,*,#193980,.F.); #258807=ORIENTED_EDGE('',*,*,#193981,.F.); #258808=ORIENTED_EDGE('',*,*,#193982,.T.); #258809=ORIENTED_EDGE('',*,*,#193981,.T.); #258810=ORIENTED_EDGE('',*,*,#193983,.F.); #258811=ORIENTED_EDGE('',*,*,#193984,.F.); #258812=ORIENTED_EDGE('',*,*,#193985,.T.); #258813=ORIENTED_EDGE('',*,*,#193984,.T.); #258814=ORIENTED_EDGE('',*,*,#193986,.F.); #258815=ORIENTED_EDGE('',*,*,#193772,.F.); #258816=ORIENTED_EDGE('',*,*,#193986,.T.); #258817=ORIENTED_EDGE('',*,*,#193983,.T.); #258818=ORIENTED_EDGE('',*,*,#193980,.T.); #258819=ORIENTED_EDGE('',*,*,#193977,.T.); #258820=ORIENTED_EDGE('',*,*,#193974,.T.); #258821=ORIENTED_EDGE('',*,*,#193971,.T.); #258822=ORIENTED_EDGE('',*,*,#193968,.T.); #258823=ORIENTED_EDGE('',*,*,#193965,.T.); #258824=ORIENTED_EDGE('',*,*,#193962,.T.); #258825=ORIENTED_EDGE('',*,*,#193959,.T.); #258826=ORIENTED_EDGE('',*,*,#193956,.T.); #258827=ORIENTED_EDGE('',*,*,#193953,.T.); #258828=ORIENTED_EDGE('',*,*,#193950,.T.); #258829=ORIENTED_EDGE('',*,*,#193947,.T.); #258830=ORIENTED_EDGE('',*,*,#193944,.T.); #258831=ORIENTED_EDGE('',*,*,#193941,.T.); #258832=ORIENTED_EDGE('',*,*,#193938,.T.); #258833=ORIENTED_EDGE('',*,*,#193935,.T.); #258834=ORIENTED_EDGE('',*,*,#193932,.T.); #258835=ORIENTED_EDGE('',*,*,#193929,.T.); #258836=ORIENTED_EDGE('',*,*,#193926,.T.); #258837=ORIENTED_EDGE('',*,*,#193923,.T.); #258838=ORIENTED_EDGE('',*,*,#193920,.T.); #258839=ORIENTED_EDGE('',*,*,#193917,.T.); #258840=ORIENTED_EDGE('',*,*,#193914,.T.); #258841=ORIENTED_EDGE('',*,*,#193911,.T.); #258842=ORIENTED_EDGE('',*,*,#193908,.T.); #258843=ORIENTED_EDGE('',*,*,#193905,.T.); #258844=ORIENTED_EDGE('',*,*,#193902,.T.); #258845=ORIENTED_EDGE('',*,*,#193899,.T.); #258846=ORIENTED_EDGE('',*,*,#193896,.T.); #258847=ORIENTED_EDGE('',*,*,#193893,.T.); #258848=ORIENTED_EDGE('',*,*,#193890,.T.); #258849=ORIENTED_EDGE('',*,*,#193887,.T.); #258850=ORIENTED_EDGE('',*,*,#193884,.T.); #258851=ORIENTED_EDGE('',*,*,#193881,.T.); #258852=ORIENTED_EDGE('',*,*,#193878,.T.); #258853=ORIENTED_EDGE('',*,*,#193875,.T.); #258854=ORIENTED_EDGE('',*,*,#193872,.T.); #258855=ORIENTED_EDGE('',*,*,#193869,.T.); #258856=ORIENTED_EDGE('',*,*,#193866,.T.); #258857=ORIENTED_EDGE('',*,*,#193863,.T.); #258858=ORIENTED_EDGE('',*,*,#193860,.T.); #258859=ORIENTED_EDGE('',*,*,#193857,.T.); #258860=ORIENTED_EDGE('',*,*,#193854,.T.); #258861=ORIENTED_EDGE('',*,*,#193851,.T.); #258862=ORIENTED_EDGE('',*,*,#193848,.T.); #258863=ORIENTED_EDGE('',*,*,#193845,.T.); #258864=ORIENTED_EDGE('',*,*,#193842,.T.); #258865=ORIENTED_EDGE('',*,*,#193839,.T.); #258866=ORIENTED_EDGE('',*,*,#193836,.T.); #258867=ORIENTED_EDGE('',*,*,#193833,.T.); #258868=ORIENTED_EDGE('',*,*,#193830,.T.); #258869=ORIENTED_EDGE('',*,*,#193827,.T.); #258870=ORIENTED_EDGE('',*,*,#193824,.T.); #258871=ORIENTED_EDGE('',*,*,#193821,.T.); #258872=ORIENTED_EDGE('',*,*,#193818,.T.); #258873=ORIENTED_EDGE('',*,*,#193815,.T.); #258874=ORIENTED_EDGE('',*,*,#193812,.T.); #258875=ORIENTED_EDGE('',*,*,#193809,.T.); #258876=ORIENTED_EDGE('',*,*,#193806,.T.); #258877=ORIENTED_EDGE('',*,*,#193803,.T.); #258878=ORIENTED_EDGE('',*,*,#193800,.T.); #258879=ORIENTED_EDGE('',*,*,#193797,.T.); #258880=ORIENTED_EDGE('',*,*,#193794,.T.); #258881=ORIENTED_EDGE('',*,*,#193791,.T.); #258882=ORIENTED_EDGE('',*,*,#193788,.T.); #258883=ORIENTED_EDGE('',*,*,#193785,.T.); #258884=ORIENTED_EDGE('',*,*,#193782,.T.); #258885=ORIENTED_EDGE('',*,*,#193779,.T.); #258886=ORIENTED_EDGE('',*,*,#193776,.T.); #258887=ORIENTED_EDGE('',*,*,#193773,.T.); #258888=ORIENTED_EDGE('',*,*,#193766,.T.); #258889=ORIENTED_EDGE('',*,*,#193769,.T.); #258890=ORIENTED_EDGE('',*,*,#193759,.T.); #258891=ORIENTED_EDGE('',*,*,#193763,.T.); #258892=ORIENTED_EDGE('',*,*,#193985,.F.); #258893=ORIENTED_EDGE('',*,*,#193771,.F.); #258894=ORIENTED_EDGE('',*,*,#193775,.F.); #258895=ORIENTED_EDGE('',*,*,#193778,.F.); #258896=ORIENTED_EDGE('',*,*,#193781,.F.); #258897=ORIENTED_EDGE('',*,*,#193784,.F.); #258898=ORIENTED_EDGE('',*,*,#193787,.F.); #258899=ORIENTED_EDGE('',*,*,#193790,.F.); #258900=ORIENTED_EDGE('',*,*,#193793,.F.); #258901=ORIENTED_EDGE('',*,*,#193796,.F.); #258902=ORIENTED_EDGE('',*,*,#193799,.F.); #258903=ORIENTED_EDGE('',*,*,#193802,.F.); #258904=ORIENTED_EDGE('',*,*,#193805,.F.); #258905=ORIENTED_EDGE('',*,*,#193808,.F.); #258906=ORIENTED_EDGE('',*,*,#193811,.F.); #258907=ORIENTED_EDGE('',*,*,#193814,.F.); #258908=ORIENTED_EDGE('',*,*,#193817,.F.); #258909=ORIENTED_EDGE('',*,*,#193820,.F.); #258910=ORIENTED_EDGE('',*,*,#193823,.F.); #258911=ORIENTED_EDGE('',*,*,#193826,.F.); #258912=ORIENTED_EDGE('',*,*,#193829,.F.); #258913=ORIENTED_EDGE('',*,*,#193832,.F.); #258914=ORIENTED_EDGE('',*,*,#193835,.F.); #258915=ORIENTED_EDGE('',*,*,#193838,.F.); #258916=ORIENTED_EDGE('',*,*,#193841,.F.); #258917=ORIENTED_EDGE('',*,*,#193844,.F.); #258918=ORIENTED_EDGE('',*,*,#193847,.F.); #258919=ORIENTED_EDGE('',*,*,#193850,.F.); #258920=ORIENTED_EDGE('',*,*,#193853,.F.); #258921=ORIENTED_EDGE('',*,*,#193856,.F.); #258922=ORIENTED_EDGE('',*,*,#193859,.F.); #258923=ORIENTED_EDGE('',*,*,#193862,.F.); #258924=ORIENTED_EDGE('',*,*,#193865,.F.); #258925=ORIENTED_EDGE('',*,*,#193868,.F.); #258926=ORIENTED_EDGE('',*,*,#193871,.F.); #258927=ORIENTED_EDGE('',*,*,#193874,.F.); #258928=ORIENTED_EDGE('',*,*,#193877,.F.); #258929=ORIENTED_EDGE('',*,*,#193880,.F.); #258930=ORIENTED_EDGE('',*,*,#193883,.F.); #258931=ORIENTED_EDGE('',*,*,#193886,.F.); #258932=ORIENTED_EDGE('',*,*,#193889,.F.); #258933=ORIENTED_EDGE('',*,*,#193892,.F.); #258934=ORIENTED_EDGE('',*,*,#193895,.F.); #258935=ORIENTED_EDGE('',*,*,#193898,.F.); #258936=ORIENTED_EDGE('',*,*,#193901,.F.); #258937=ORIENTED_EDGE('',*,*,#193904,.F.); #258938=ORIENTED_EDGE('',*,*,#193907,.F.); #258939=ORIENTED_EDGE('',*,*,#193910,.F.); #258940=ORIENTED_EDGE('',*,*,#193913,.F.); #258941=ORIENTED_EDGE('',*,*,#193916,.F.); #258942=ORIENTED_EDGE('',*,*,#193919,.F.); #258943=ORIENTED_EDGE('',*,*,#193922,.F.); #258944=ORIENTED_EDGE('',*,*,#193925,.F.); #258945=ORIENTED_EDGE('',*,*,#193928,.F.); #258946=ORIENTED_EDGE('',*,*,#193931,.F.); #258947=ORIENTED_EDGE('',*,*,#193934,.F.); #258948=ORIENTED_EDGE('',*,*,#193937,.F.); #258949=ORIENTED_EDGE('',*,*,#193940,.F.); #258950=ORIENTED_EDGE('',*,*,#193943,.F.); #258951=ORIENTED_EDGE('',*,*,#193946,.F.); #258952=ORIENTED_EDGE('',*,*,#193949,.F.); #258953=ORIENTED_EDGE('',*,*,#193952,.F.); #258954=ORIENTED_EDGE('',*,*,#193955,.F.); #258955=ORIENTED_EDGE('',*,*,#193958,.F.); #258956=ORIENTED_EDGE('',*,*,#193961,.F.); #258957=ORIENTED_EDGE('',*,*,#193964,.F.); #258958=ORIENTED_EDGE('',*,*,#193967,.F.); #258959=ORIENTED_EDGE('',*,*,#193970,.F.); #258960=ORIENTED_EDGE('',*,*,#193973,.F.); #258961=ORIENTED_EDGE('',*,*,#193976,.F.); #258962=ORIENTED_EDGE('',*,*,#193979,.F.); #258963=ORIENTED_EDGE('',*,*,#193982,.F.); #258964=ORIENTED_EDGE('',*,*,#193767,.T.); #258965=ORIENTED_EDGE('',*,*,#193764,.T.); #258966=ORIENTED_EDGE('',*,*,#193761,.T.); #258967=ORIENTED_EDGE('',*,*,#193770,.T.); #258968=ORIENTED_EDGE('',*,*,#193987,.F.); #258969=ORIENTED_EDGE('',*,*,#193988,.F.); #258970=ORIENTED_EDGE('',*,*,#193989,.F.); #258971=ORIENTED_EDGE('',*,*,#193990,.T.); #258972=ORIENTED_EDGE('',*,*,#193991,.F.); #258973=ORIENTED_EDGE('',*,*,#193990,.F.); #258974=ORIENTED_EDGE('',*,*,#193992,.F.); #258975=ORIENTED_EDGE('',*,*,#193993,.T.); #258976=ORIENTED_EDGE('',*,*,#193994,.F.); #258977=ORIENTED_EDGE('',*,*,#193993,.F.); #258978=ORIENTED_EDGE('',*,*,#193995,.F.); #258979=ORIENTED_EDGE('',*,*,#193996,.T.); #258980=ORIENTED_EDGE('',*,*,#193997,.F.); #258981=ORIENTED_EDGE('',*,*,#193996,.F.); #258982=ORIENTED_EDGE('',*,*,#193998,.F.); #258983=ORIENTED_EDGE('',*,*,#193988,.T.); #258984=ORIENTED_EDGE('',*,*,#193999,.T.); #258985=ORIENTED_EDGE('',*,*,#194000,.T.); #258986=ORIENTED_EDGE('',*,*,#194001,.F.); #258987=ORIENTED_EDGE('',*,*,#194002,.F.); #258988=ORIENTED_EDGE('',*,*,#194003,.T.); #258989=ORIENTED_EDGE('',*,*,#194002,.T.); #258990=ORIENTED_EDGE('',*,*,#194004,.F.); #258991=ORIENTED_EDGE('',*,*,#194005,.F.); #258992=ORIENTED_EDGE('',*,*,#194006,.T.); #258993=ORIENTED_EDGE('',*,*,#194005,.T.); #258994=ORIENTED_EDGE('',*,*,#194007,.F.); #258995=ORIENTED_EDGE('',*,*,#194008,.F.); #258996=ORIENTED_EDGE('',*,*,#194009,.T.); #258997=ORIENTED_EDGE('',*,*,#194008,.T.); #258998=ORIENTED_EDGE('',*,*,#194010,.F.); #258999=ORIENTED_EDGE('',*,*,#194011,.F.); #259000=ORIENTED_EDGE('',*,*,#194012,.T.); #259001=ORIENTED_EDGE('',*,*,#194011,.T.); #259002=ORIENTED_EDGE('',*,*,#194013,.F.); #259003=ORIENTED_EDGE('',*,*,#194014,.F.); #259004=ORIENTED_EDGE('',*,*,#194015,.T.); #259005=ORIENTED_EDGE('',*,*,#194014,.T.); #259006=ORIENTED_EDGE('',*,*,#194016,.F.); #259007=ORIENTED_EDGE('',*,*,#194017,.F.); #259008=ORIENTED_EDGE('',*,*,#194018,.T.); #259009=ORIENTED_EDGE('',*,*,#194017,.T.); #259010=ORIENTED_EDGE('',*,*,#194019,.F.); #259011=ORIENTED_EDGE('',*,*,#194020,.F.); #259012=ORIENTED_EDGE('',*,*,#194021,.T.); #259013=ORIENTED_EDGE('',*,*,#194020,.T.); #259014=ORIENTED_EDGE('',*,*,#194022,.F.); #259015=ORIENTED_EDGE('',*,*,#194023,.F.); #259016=ORIENTED_EDGE('',*,*,#194024,.T.); #259017=ORIENTED_EDGE('',*,*,#194023,.T.); #259018=ORIENTED_EDGE('',*,*,#194025,.F.); #259019=ORIENTED_EDGE('',*,*,#194026,.F.); #259020=ORIENTED_EDGE('',*,*,#194027,.T.); #259021=ORIENTED_EDGE('',*,*,#194026,.T.); #259022=ORIENTED_EDGE('',*,*,#194028,.F.); #259023=ORIENTED_EDGE('',*,*,#194029,.F.); #259024=ORIENTED_EDGE('',*,*,#194030,.T.); #259025=ORIENTED_EDGE('',*,*,#194029,.T.); #259026=ORIENTED_EDGE('',*,*,#194031,.F.); #259027=ORIENTED_EDGE('',*,*,#194032,.F.); #259028=ORIENTED_EDGE('',*,*,#194033,.T.); #259029=ORIENTED_EDGE('',*,*,#194032,.T.); #259030=ORIENTED_EDGE('',*,*,#194034,.F.); #259031=ORIENTED_EDGE('',*,*,#194035,.F.); #259032=ORIENTED_EDGE('',*,*,#194036,.T.); #259033=ORIENTED_EDGE('',*,*,#194035,.T.); #259034=ORIENTED_EDGE('',*,*,#194037,.F.); #259035=ORIENTED_EDGE('',*,*,#194038,.F.); #259036=ORIENTED_EDGE('',*,*,#194039,.T.); #259037=ORIENTED_EDGE('',*,*,#194038,.T.); #259038=ORIENTED_EDGE('',*,*,#194040,.F.); #259039=ORIENTED_EDGE('',*,*,#194041,.F.); #259040=ORIENTED_EDGE('',*,*,#194042,.T.); #259041=ORIENTED_EDGE('',*,*,#194041,.T.); #259042=ORIENTED_EDGE('',*,*,#194043,.F.); #259043=ORIENTED_EDGE('',*,*,#194044,.F.); #259044=ORIENTED_EDGE('',*,*,#194045,.T.); #259045=ORIENTED_EDGE('',*,*,#194044,.T.); #259046=ORIENTED_EDGE('',*,*,#194046,.F.); #259047=ORIENTED_EDGE('',*,*,#194047,.F.); #259048=ORIENTED_EDGE('',*,*,#194048,.T.); #259049=ORIENTED_EDGE('',*,*,#194047,.T.); #259050=ORIENTED_EDGE('',*,*,#194049,.F.); #259051=ORIENTED_EDGE('',*,*,#194050,.F.); #259052=ORIENTED_EDGE('',*,*,#194051,.T.); #259053=ORIENTED_EDGE('',*,*,#194050,.T.); #259054=ORIENTED_EDGE('',*,*,#194052,.F.); #259055=ORIENTED_EDGE('',*,*,#194053,.F.); #259056=ORIENTED_EDGE('',*,*,#194054,.T.); #259057=ORIENTED_EDGE('',*,*,#194053,.T.); #259058=ORIENTED_EDGE('',*,*,#194055,.F.); #259059=ORIENTED_EDGE('',*,*,#194056,.F.); #259060=ORIENTED_EDGE('',*,*,#194057,.T.); #259061=ORIENTED_EDGE('',*,*,#194056,.T.); #259062=ORIENTED_EDGE('',*,*,#194058,.F.); #259063=ORIENTED_EDGE('',*,*,#194059,.F.); #259064=ORIENTED_EDGE('',*,*,#194060,.T.); #259065=ORIENTED_EDGE('',*,*,#194059,.T.); #259066=ORIENTED_EDGE('',*,*,#194061,.F.); #259067=ORIENTED_EDGE('',*,*,#194062,.F.); #259068=ORIENTED_EDGE('',*,*,#194063,.T.); #259069=ORIENTED_EDGE('',*,*,#194062,.T.); #259070=ORIENTED_EDGE('',*,*,#194064,.F.); #259071=ORIENTED_EDGE('',*,*,#194065,.F.); #259072=ORIENTED_EDGE('',*,*,#194066,.T.); #259073=ORIENTED_EDGE('',*,*,#194065,.T.); #259074=ORIENTED_EDGE('',*,*,#194067,.F.); #259075=ORIENTED_EDGE('',*,*,#194068,.F.); #259076=ORIENTED_EDGE('',*,*,#194069,.T.); #259077=ORIENTED_EDGE('',*,*,#194068,.T.); #259078=ORIENTED_EDGE('',*,*,#194070,.F.); #259079=ORIENTED_EDGE('',*,*,#194071,.F.); #259080=ORIENTED_EDGE('',*,*,#194072,.T.); #259081=ORIENTED_EDGE('',*,*,#194071,.T.); #259082=ORIENTED_EDGE('',*,*,#194073,.F.); #259083=ORIENTED_EDGE('',*,*,#194074,.F.); #259084=ORIENTED_EDGE('',*,*,#194075,.T.); #259085=ORIENTED_EDGE('',*,*,#194074,.T.); #259086=ORIENTED_EDGE('',*,*,#194076,.F.); #259087=ORIENTED_EDGE('',*,*,#194077,.F.); #259088=ORIENTED_EDGE('',*,*,#194078,.T.); #259089=ORIENTED_EDGE('',*,*,#194077,.T.); #259090=ORIENTED_EDGE('',*,*,#194079,.F.); #259091=ORIENTED_EDGE('',*,*,#194080,.F.); #259092=ORIENTED_EDGE('',*,*,#194081,.T.); #259093=ORIENTED_EDGE('',*,*,#194080,.T.); #259094=ORIENTED_EDGE('',*,*,#194082,.F.); #259095=ORIENTED_EDGE('',*,*,#194083,.F.); #259096=ORIENTED_EDGE('',*,*,#194084,.T.); #259097=ORIENTED_EDGE('',*,*,#194083,.T.); #259098=ORIENTED_EDGE('',*,*,#194085,.F.); #259099=ORIENTED_EDGE('',*,*,#194086,.F.); #259100=ORIENTED_EDGE('',*,*,#194087,.T.); #259101=ORIENTED_EDGE('',*,*,#194086,.T.); #259102=ORIENTED_EDGE('',*,*,#194088,.F.); #259103=ORIENTED_EDGE('',*,*,#194089,.F.); #259104=ORIENTED_EDGE('',*,*,#194090,.T.); #259105=ORIENTED_EDGE('',*,*,#194089,.T.); #259106=ORIENTED_EDGE('',*,*,#194091,.F.); #259107=ORIENTED_EDGE('',*,*,#194092,.F.); #259108=ORIENTED_EDGE('',*,*,#194093,.T.); #259109=ORIENTED_EDGE('',*,*,#194092,.T.); #259110=ORIENTED_EDGE('',*,*,#194094,.F.); #259111=ORIENTED_EDGE('',*,*,#194095,.F.); #259112=ORIENTED_EDGE('',*,*,#194096,.T.); #259113=ORIENTED_EDGE('',*,*,#194095,.T.); #259114=ORIENTED_EDGE('',*,*,#194097,.F.); #259115=ORIENTED_EDGE('',*,*,#194098,.F.); #259116=ORIENTED_EDGE('',*,*,#194099,.T.); #259117=ORIENTED_EDGE('',*,*,#194098,.T.); #259118=ORIENTED_EDGE('',*,*,#194100,.F.); #259119=ORIENTED_EDGE('',*,*,#194101,.F.); #259120=ORIENTED_EDGE('',*,*,#194102,.T.); #259121=ORIENTED_EDGE('',*,*,#194101,.T.); #259122=ORIENTED_EDGE('',*,*,#194103,.F.); #259123=ORIENTED_EDGE('',*,*,#194104,.F.); #259124=ORIENTED_EDGE('',*,*,#194105,.T.); #259125=ORIENTED_EDGE('',*,*,#194104,.T.); #259126=ORIENTED_EDGE('',*,*,#194106,.F.); #259127=ORIENTED_EDGE('',*,*,#194107,.F.); #259128=ORIENTED_EDGE('',*,*,#194108,.T.); #259129=ORIENTED_EDGE('',*,*,#194107,.T.); #259130=ORIENTED_EDGE('',*,*,#194109,.F.); #259131=ORIENTED_EDGE('',*,*,#194110,.F.); #259132=ORIENTED_EDGE('',*,*,#194111,.T.); #259133=ORIENTED_EDGE('',*,*,#194110,.T.); #259134=ORIENTED_EDGE('',*,*,#194112,.F.); #259135=ORIENTED_EDGE('',*,*,#194113,.F.); #259136=ORIENTED_EDGE('',*,*,#194114,.T.); #259137=ORIENTED_EDGE('',*,*,#194113,.T.); #259138=ORIENTED_EDGE('',*,*,#194115,.F.); #259139=ORIENTED_EDGE('',*,*,#194116,.F.); #259140=ORIENTED_EDGE('',*,*,#194117,.T.); #259141=ORIENTED_EDGE('',*,*,#194116,.T.); #259142=ORIENTED_EDGE('',*,*,#194118,.F.); #259143=ORIENTED_EDGE('',*,*,#194119,.F.); #259144=ORIENTED_EDGE('',*,*,#194120,.T.); #259145=ORIENTED_EDGE('',*,*,#194119,.T.); #259146=ORIENTED_EDGE('',*,*,#194121,.F.); #259147=ORIENTED_EDGE('',*,*,#194122,.F.); #259148=ORIENTED_EDGE('',*,*,#194123,.T.); #259149=ORIENTED_EDGE('',*,*,#194122,.T.); #259150=ORIENTED_EDGE('',*,*,#194124,.F.); #259151=ORIENTED_EDGE('',*,*,#194125,.F.); #259152=ORIENTED_EDGE('',*,*,#194126,.T.); #259153=ORIENTED_EDGE('',*,*,#194125,.T.); #259154=ORIENTED_EDGE('',*,*,#194127,.F.); #259155=ORIENTED_EDGE('',*,*,#194128,.F.); #259156=ORIENTED_EDGE('',*,*,#194129,.T.); #259157=ORIENTED_EDGE('',*,*,#194128,.T.); #259158=ORIENTED_EDGE('',*,*,#194130,.F.); #259159=ORIENTED_EDGE('',*,*,#194131,.F.); #259160=ORIENTED_EDGE('',*,*,#194132,.T.); #259161=ORIENTED_EDGE('',*,*,#194131,.T.); #259162=ORIENTED_EDGE('',*,*,#194133,.F.); #259163=ORIENTED_EDGE('',*,*,#194134,.F.); #259164=ORIENTED_EDGE('',*,*,#194135,.T.); #259165=ORIENTED_EDGE('',*,*,#194134,.T.); #259166=ORIENTED_EDGE('',*,*,#194136,.F.); #259167=ORIENTED_EDGE('',*,*,#194137,.F.); #259168=ORIENTED_EDGE('',*,*,#194138,.T.); #259169=ORIENTED_EDGE('',*,*,#194137,.T.); #259170=ORIENTED_EDGE('',*,*,#194139,.F.); #259171=ORIENTED_EDGE('',*,*,#194140,.F.); #259172=ORIENTED_EDGE('',*,*,#194141,.T.); #259173=ORIENTED_EDGE('',*,*,#194140,.T.); #259174=ORIENTED_EDGE('',*,*,#194142,.F.); #259175=ORIENTED_EDGE('',*,*,#194143,.F.); #259176=ORIENTED_EDGE('',*,*,#194144,.T.); #259177=ORIENTED_EDGE('',*,*,#194143,.T.); #259178=ORIENTED_EDGE('',*,*,#194145,.F.); #259179=ORIENTED_EDGE('',*,*,#194146,.F.); #259180=ORIENTED_EDGE('',*,*,#194147,.T.); #259181=ORIENTED_EDGE('',*,*,#194146,.T.); #259182=ORIENTED_EDGE('',*,*,#194148,.F.); #259183=ORIENTED_EDGE('',*,*,#194149,.F.); #259184=ORIENTED_EDGE('',*,*,#194150,.T.); #259185=ORIENTED_EDGE('',*,*,#194149,.T.); #259186=ORIENTED_EDGE('',*,*,#194151,.F.); #259187=ORIENTED_EDGE('',*,*,#194152,.F.); #259188=ORIENTED_EDGE('',*,*,#194153,.T.); #259189=ORIENTED_EDGE('',*,*,#194152,.T.); #259190=ORIENTED_EDGE('',*,*,#194154,.F.); #259191=ORIENTED_EDGE('',*,*,#194155,.F.); #259192=ORIENTED_EDGE('',*,*,#194156,.T.); #259193=ORIENTED_EDGE('',*,*,#194155,.T.); #259194=ORIENTED_EDGE('',*,*,#194157,.F.); #259195=ORIENTED_EDGE('',*,*,#194158,.F.); #259196=ORIENTED_EDGE('',*,*,#194159,.T.); #259197=ORIENTED_EDGE('',*,*,#194158,.T.); #259198=ORIENTED_EDGE('',*,*,#194160,.F.); #259199=ORIENTED_EDGE('',*,*,#194161,.F.); #259200=ORIENTED_EDGE('',*,*,#194162,.T.); #259201=ORIENTED_EDGE('',*,*,#194161,.T.); #259202=ORIENTED_EDGE('',*,*,#194163,.F.); #259203=ORIENTED_EDGE('',*,*,#194164,.F.); #259204=ORIENTED_EDGE('',*,*,#194165,.T.); #259205=ORIENTED_EDGE('',*,*,#194164,.T.); #259206=ORIENTED_EDGE('',*,*,#194166,.F.); #259207=ORIENTED_EDGE('',*,*,#194000,.F.); #259208=ORIENTED_EDGE('',*,*,#194166,.T.); #259209=ORIENTED_EDGE('',*,*,#194163,.T.); #259210=ORIENTED_EDGE('',*,*,#194160,.T.); #259211=ORIENTED_EDGE('',*,*,#194157,.T.); #259212=ORIENTED_EDGE('',*,*,#194154,.T.); #259213=ORIENTED_EDGE('',*,*,#194151,.T.); #259214=ORIENTED_EDGE('',*,*,#194148,.T.); #259215=ORIENTED_EDGE('',*,*,#194145,.T.); #259216=ORIENTED_EDGE('',*,*,#194142,.T.); #259217=ORIENTED_EDGE('',*,*,#194139,.T.); #259218=ORIENTED_EDGE('',*,*,#194136,.T.); #259219=ORIENTED_EDGE('',*,*,#194133,.T.); #259220=ORIENTED_EDGE('',*,*,#194130,.T.); #259221=ORIENTED_EDGE('',*,*,#194127,.T.); #259222=ORIENTED_EDGE('',*,*,#194124,.T.); #259223=ORIENTED_EDGE('',*,*,#194121,.T.); #259224=ORIENTED_EDGE('',*,*,#194118,.T.); #259225=ORIENTED_EDGE('',*,*,#194115,.T.); #259226=ORIENTED_EDGE('',*,*,#194112,.T.); #259227=ORIENTED_EDGE('',*,*,#194109,.T.); #259228=ORIENTED_EDGE('',*,*,#194106,.T.); #259229=ORIENTED_EDGE('',*,*,#194103,.T.); #259230=ORIENTED_EDGE('',*,*,#194100,.T.); #259231=ORIENTED_EDGE('',*,*,#194097,.T.); #259232=ORIENTED_EDGE('',*,*,#194094,.T.); #259233=ORIENTED_EDGE('',*,*,#194091,.T.); #259234=ORIENTED_EDGE('',*,*,#194088,.T.); #259235=ORIENTED_EDGE('',*,*,#194085,.T.); #259236=ORIENTED_EDGE('',*,*,#194082,.T.); #259237=ORIENTED_EDGE('',*,*,#194079,.T.); #259238=ORIENTED_EDGE('',*,*,#194076,.T.); #259239=ORIENTED_EDGE('',*,*,#194073,.T.); #259240=ORIENTED_EDGE('',*,*,#194070,.T.); #259241=ORIENTED_EDGE('',*,*,#194067,.T.); #259242=ORIENTED_EDGE('',*,*,#194064,.T.); #259243=ORIENTED_EDGE('',*,*,#194061,.T.); #259244=ORIENTED_EDGE('',*,*,#194058,.T.); #259245=ORIENTED_EDGE('',*,*,#194055,.T.); #259246=ORIENTED_EDGE('',*,*,#194052,.T.); #259247=ORIENTED_EDGE('',*,*,#194049,.T.); #259248=ORIENTED_EDGE('',*,*,#194046,.T.); #259249=ORIENTED_EDGE('',*,*,#194043,.T.); #259250=ORIENTED_EDGE('',*,*,#194040,.T.); #259251=ORIENTED_EDGE('',*,*,#194037,.T.); #259252=ORIENTED_EDGE('',*,*,#194034,.T.); #259253=ORIENTED_EDGE('',*,*,#194031,.T.); #259254=ORIENTED_EDGE('',*,*,#194028,.T.); #259255=ORIENTED_EDGE('',*,*,#194025,.T.); #259256=ORIENTED_EDGE('',*,*,#194022,.T.); #259257=ORIENTED_EDGE('',*,*,#194019,.T.); #259258=ORIENTED_EDGE('',*,*,#194016,.T.); #259259=ORIENTED_EDGE('',*,*,#194013,.T.); #259260=ORIENTED_EDGE('',*,*,#194010,.T.); #259261=ORIENTED_EDGE('',*,*,#194007,.T.); #259262=ORIENTED_EDGE('',*,*,#194004,.T.); #259263=ORIENTED_EDGE('',*,*,#194001,.T.); #259264=ORIENTED_EDGE('',*,*,#193994,.T.); #259265=ORIENTED_EDGE('',*,*,#193997,.T.); #259266=ORIENTED_EDGE('',*,*,#193987,.T.); #259267=ORIENTED_EDGE('',*,*,#193991,.T.); #259268=ORIENTED_EDGE('',*,*,#194165,.F.); #259269=ORIENTED_EDGE('',*,*,#193999,.F.); #259270=ORIENTED_EDGE('',*,*,#194003,.F.); #259271=ORIENTED_EDGE('',*,*,#194006,.F.); #259272=ORIENTED_EDGE('',*,*,#194009,.F.); #259273=ORIENTED_EDGE('',*,*,#194012,.F.); #259274=ORIENTED_EDGE('',*,*,#194015,.F.); #259275=ORIENTED_EDGE('',*,*,#194018,.F.); #259276=ORIENTED_EDGE('',*,*,#194021,.F.); #259277=ORIENTED_EDGE('',*,*,#194024,.F.); #259278=ORIENTED_EDGE('',*,*,#194027,.F.); #259279=ORIENTED_EDGE('',*,*,#194030,.F.); #259280=ORIENTED_EDGE('',*,*,#194033,.F.); #259281=ORIENTED_EDGE('',*,*,#194036,.F.); #259282=ORIENTED_EDGE('',*,*,#194039,.F.); #259283=ORIENTED_EDGE('',*,*,#194042,.F.); #259284=ORIENTED_EDGE('',*,*,#194045,.F.); #259285=ORIENTED_EDGE('',*,*,#194048,.F.); #259286=ORIENTED_EDGE('',*,*,#194051,.F.); #259287=ORIENTED_EDGE('',*,*,#194054,.F.); #259288=ORIENTED_EDGE('',*,*,#194057,.F.); #259289=ORIENTED_EDGE('',*,*,#194060,.F.); #259290=ORIENTED_EDGE('',*,*,#194063,.F.); #259291=ORIENTED_EDGE('',*,*,#194066,.F.); #259292=ORIENTED_EDGE('',*,*,#194069,.F.); #259293=ORIENTED_EDGE('',*,*,#194072,.F.); #259294=ORIENTED_EDGE('',*,*,#194075,.F.); #259295=ORIENTED_EDGE('',*,*,#194078,.F.); #259296=ORIENTED_EDGE('',*,*,#194081,.F.); #259297=ORIENTED_EDGE('',*,*,#194084,.F.); #259298=ORIENTED_EDGE('',*,*,#194087,.F.); #259299=ORIENTED_EDGE('',*,*,#194090,.F.); #259300=ORIENTED_EDGE('',*,*,#194093,.F.); #259301=ORIENTED_EDGE('',*,*,#194096,.F.); #259302=ORIENTED_EDGE('',*,*,#194099,.F.); #259303=ORIENTED_EDGE('',*,*,#194102,.F.); #259304=ORIENTED_EDGE('',*,*,#194105,.F.); #259305=ORIENTED_EDGE('',*,*,#194108,.F.); #259306=ORIENTED_EDGE('',*,*,#194111,.F.); #259307=ORIENTED_EDGE('',*,*,#194114,.F.); #259308=ORIENTED_EDGE('',*,*,#194117,.F.); #259309=ORIENTED_EDGE('',*,*,#194120,.F.); #259310=ORIENTED_EDGE('',*,*,#194123,.F.); #259311=ORIENTED_EDGE('',*,*,#194126,.F.); #259312=ORIENTED_EDGE('',*,*,#194129,.F.); #259313=ORIENTED_EDGE('',*,*,#194132,.F.); #259314=ORIENTED_EDGE('',*,*,#194135,.F.); #259315=ORIENTED_EDGE('',*,*,#194138,.F.); #259316=ORIENTED_EDGE('',*,*,#194141,.F.); #259317=ORIENTED_EDGE('',*,*,#194144,.F.); #259318=ORIENTED_EDGE('',*,*,#194147,.F.); #259319=ORIENTED_EDGE('',*,*,#194150,.F.); #259320=ORIENTED_EDGE('',*,*,#194153,.F.); #259321=ORIENTED_EDGE('',*,*,#194156,.F.); #259322=ORIENTED_EDGE('',*,*,#194159,.F.); #259323=ORIENTED_EDGE('',*,*,#194162,.F.); #259324=ORIENTED_EDGE('',*,*,#193995,.T.); #259325=ORIENTED_EDGE('',*,*,#193992,.T.); #259326=ORIENTED_EDGE('',*,*,#193989,.T.); #259327=ORIENTED_EDGE('',*,*,#193998,.T.); #259328=ORIENTED_EDGE('',*,*,#194167,.F.); #259329=ORIENTED_EDGE('',*,*,#194168,.T.); #259330=ORIENTED_EDGE('',*,*,#194169,.F.); #259331=ORIENTED_EDGE('',*,*,#194168,.F.); #259332=ORIENTED_EDGE('',*,*,#194170,.T.); #259333=ORIENTED_EDGE('',*,*,#194171,.T.); #259334=ORIENTED_EDGE('',*,*,#194172,.F.); #259335=ORIENTED_EDGE('',*,*,#194173,.F.); #259336=ORIENTED_EDGE('',*,*,#194174,.T.); #259337=ORIENTED_EDGE('',*,*,#194173,.T.); #259338=ORIENTED_EDGE('',*,*,#194175,.F.); #259339=ORIENTED_EDGE('',*,*,#194176,.F.); #259340=ORIENTED_EDGE('',*,*,#194177,.T.); #259341=ORIENTED_EDGE('',*,*,#194176,.T.); #259342=ORIENTED_EDGE('',*,*,#194178,.F.); #259343=ORIENTED_EDGE('',*,*,#194171,.F.); #259344=ORIENTED_EDGE('',*,*,#194178,.T.); #259345=ORIENTED_EDGE('',*,*,#194175,.T.); #259346=ORIENTED_EDGE('',*,*,#194172,.T.); #259347=ORIENTED_EDGE('',*,*,#194169,.T.); #259348=ORIENTED_EDGE('',*,*,#194177,.F.); #259349=ORIENTED_EDGE('',*,*,#194170,.F.); #259350=ORIENTED_EDGE('',*,*,#194174,.F.); #259351=ORIENTED_EDGE('',*,*,#194167,.T.); #259352=ORIENTED_EDGE('',*,*,#194179,.F.); #259353=ORIENTED_EDGE('',*,*,#194180,.F.); #259354=ORIENTED_EDGE('',*,*,#194181,.F.); #259355=ORIENTED_EDGE('',*,*,#194182,.T.); #259356=ORIENTED_EDGE('',*,*,#194183,.F.); #259357=ORIENTED_EDGE('',*,*,#194182,.F.); #259358=ORIENTED_EDGE('',*,*,#194184,.F.); #259359=ORIENTED_EDGE('',*,*,#194185,.T.); #259360=ORIENTED_EDGE('',*,*,#194186,.F.); #259361=ORIENTED_EDGE('',*,*,#194185,.F.); #259362=ORIENTED_EDGE('',*,*,#194187,.F.); #259363=ORIENTED_EDGE('',*,*,#194188,.T.); #259364=ORIENTED_EDGE('',*,*,#194189,.F.); #259365=ORIENTED_EDGE('',*,*,#194188,.F.); #259366=ORIENTED_EDGE('',*,*,#194190,.F.); #259367=ORIENTED_EDGE('',*,*,#194180,.T.); #259368=ORIENTED_EDGE('',*,*,#194191,.T.); #259369=ORIENTED_EDGE('',*,*,#194192,.T.); #259370=ORIENTED_EDGE('',*,*,#194193,.F.); #259371=ORIENTED_EDGE('',*,*,#194194,.F.); #259372=ORIENTED_EDGE('',*,*,#194195,.T.); #259373=ORIENTED_EDGE('',*,*,#194194,.T.); #259374=ORIENTED_EDGE('',*,*,#194196,.F.); #259375=ORIENTED_EDGE('',*,*,#194197,.F.); #259376=ORIENTED_EDGE('',*,*,#194198,.T.); #259377=ORIENTED_EDGE('',*,*,#194197,.T.); #259378=ORIENTED_EDGE('',*,*,#194199,.F.); #259379=ORIENTED_EDGE('',*,*,#194200,.F.); #259380=ORIENTED_EDGE('',*,*,#194201,.T.); #259381=ORIENTED_EDGE('',*,*,#194200,.T.); #259382=ORIENTED_EDGE('',*,*,#194202,.F.); #259383=ORIENTED_EDGE('',*,*,#194203,.F.); #259384=ORIENTED_EDGE('',*,*,#194204,.T.); #259385=ORIENTED_EDGE('',*,*,#194203,.T.); #259386=ORIENTED_EDGE('',*,*,#194205,.F.); #259387=ORIENTED_EDGE('',*,*,#194206,.F.); #259388=ORIENTED_EDGE('',*,*,#194207,.T.); #259389=ORIENTED_EDGE('',*,*,#194206,.T.); #259390=ORIENTED_EDGE('',*,*,#194208,.F.); #259391=ORIENTED_EDGE('',*,*,#194209,.F.); #259392=ORIENTED_EDGE('',*,*,#194210,.T.); #259393=ORIENTED_EDGE('',*,*,#194209,.T.); #259394=ORIENTED_EDGE('',*,*,#194211,.F.); #259395=ORIENTED_EDGE('',*,*,#194212,.F.); #259396=ORIENTED_EDGE('',*,*,#194213,.T.); #259397=ORIENTED_EDGE('',*,*,#194212,.T.); #259398=ORIENTED_EDGE('',*,*,#194214,.F.); #259399=ORIENTED_EDGE('',*,*,#194215,.F.); #259400=ORIENTED_EDGE('',*,*,#194216,.T.); #259401=ORIENTED_EDGE('',*,*,#194215,.T.); #259402=ORIENTED_EDGE('',*,*,#194217,.F.); #259403=ORIENTED_EDGE('',*,*,#194218,.F.); #259404=ORIENTED_EDGE('',*,*,#194219,.T.); #259405=ORIENTED_EDGE('',*,*,#194218,.T.); #259406=ORIENTED_EDGE('',*,*,#194220,.F.); #259407=ORIENTED_EDGE('',*,*,#194221,.F.); #259408=ORIENTED_EDGE('',*,*,#194222,.T.); #259409=ORIENTED_EDGE('',*,*,#194221,.T.); #259410=ORIENTED_EDGE('',*,*,#194223,.F.); #259411=ORIENTED_EDGE('',*,*,#194224,.F.); #259412=ORIENTED_EDGE('',*,*,#194225,.T.); #259413=ORIENTED_EDGE('',*,*,#194224,.T.); #259414=ORIENTED_EDGE('',*,*,#194226,.F.); #259415=ORIENTED_EDGE('',*,*,#194227,.F.); #259416=ORIENTED_EDGE('',*,*,#194228,.T.); #259417=ORIENTED_EDGE('',*,*,#194227,.T.); #259418=ORIENTED_EDGE('',*,*,#194229,.F.); #259419=ORIENTED_EDGE('',*,*,#194230,.F.); #259420=ORIENTED_EDGE('',*,*,#194231,.T.); #259421=ORIENTED_EDGE('',*,*,#194230,.T.); #259422=ORIENTED_EDGE('',*,*,#194232,.F.); #259423=ORIENTED_EDGE('',*,*,#194233,.F.); #259424=ORIENTED_EDGE('',*,*,#194234,.T.); #259425=ORIENTED_EDGE('',*,*,#194233,.T.); #259426=ORIENTED_EDGE('',*,*,#194235,.F.); #259427=ORIENTED_EDGE('',*,*,#194236,.F.); #259428=ORIENTED_EDGE('',*,*,#194237,.T.); #259429=ORIENTED_EDGE('',*,*,#194236,.T.); #259430=ORIENTED_EDGE('',*,*,#194238,.F.); #259431=ORIENTED_EDGE('',*,*,#194239,.F.); #259432=ORIENTED_EDGE('',*,*,#194240,.T.); #259433=ORIENTED_EDGE('',*,*,#194239,.T.); #259434=ORIENTED_EDGE('',*,*,#194241,.F.); #259435=ORIENTED_EDGE('',*,*,#194242,.F.); #259436=ORIENTED_EDGE('',*,*,#194243,.T.); #259437=ORIENTED_EDGE('',*,*,#194242,.T.); #259438=ORIENTED_EDGE('',*,*,#194244,.F.); #259439=ORIENTED_EDGE('',*,*,#194245,.F.); #259440=ORIENTED_EDGE('',*,*,#194246,.T.); #259441=ORIENTED_EDGE('',*,*,#194245,.T.); #259442=ORIENTED_EDGE('',*,*,#194247,.F.); #259443=ORIENTED_EDGE('',*,*,#194248,.F.); #259444=ORIENTED_EDGE('',*,*,#194249,.T.); #259445=ORIENTED_EDGE('',*,*,#194248,.T.); #259446=ORIENTED_EDGE('',*,*,#194250,.F.); #259447=ORIENTED_EDGE('',*,*,#194251,.F.); #259448=ORIENTED_EDGE('',*,*,#194252,.T.); #259449=ORIENTED_EDGE('',*,*,#194251,.T.); #259450=ORIENTED_EDGE('',*,*,#194253,.F.); #259451=ORIENTED_EDGE('',*,*,#194254,.F.); #259452=ORIENTED_EDGE('',*,*,#194255,.T.); #259453=ORIENTED_EDGE('',*,*,#194254,.T.); #259454=ORIENTED_EDGE('',*,*,#194256,.F.); #259455=ORIENTED_EDGE('',*,*,#194257,.F.); #259456=ORIENTED_EDGE('',*,*,#194258,.T.); #259457=ORIENTED_EDGE('',*,*,#194257,.T.); #259458=ORIENTED_EDGE('',*,*,#194259,.F.); #259459=ORIENTED_EDGE('',*,*,#194260,.F.); #259460=ORIENTED_EDGE('',*,*,#194261,.T.); #259461=ORIENTED_EDGE('',*,*,#194260,.T.); #259462=ORIENTED_EDGE('',*,*,#194262,.F.); #259463=ORIENTED_EDGE('',*,*,#194263,.F.); #259464=ORIENTED_EDGE('',*,*,#194264,.T.); #259465=ORIENTED_EDGE('',*,*,#194263,.T.); #259466=ORIENTED_EDGE('',*,*,#194265,.F.); #259467=ORIENTED_EDGE('',*,*,#194266,.F.); #259468=ORIENTED_EDGE('',*,*,#194267,.T.); #259469=ORIENTED_EDGE('',*,*,#194266,.T.); #259470=ORIENTED_EDGE('',*,*,#194268,.F.); #259471=ORIENTED_EDGE('',*,*,#194269,.F.); #259472=ORIENTED_EDGE('',*,*,#194270,.T.); #259473=ORIENTED_EDGE('',*,*,#194269,.T.); #259474=ORIENTED_EDGE('',*,*,#194271,.F.); #259475=ORIENTED_EDGE('',*,*,#194272,.F.); #259476=ORIENTED_EDGE('',*,*,#194273,.T.); #259477=ORIENTED_EDGE('',*,*,#194272,.T.); #259478=ORIENTED_EDGE('',*,*,#194274,.F.); #259479=ORIENTED_EDGE('',*,*,#194275,.F.); #259480=ORIENTED_EDGE('',*,*,#194276,.T.); #259481=ORIENTED_EDGE('',*,*,#194275,.T.); #259482=ORIENTED_EDGE('',*,*,#194277,.F.); #259483=ORIENTED_EDGE('',*,*,#194278,.F.); #259484=ORIENTED_EDGE('',*,*,#194279,.T.); #259485=ORIENTED_EDGE('',*,*,#194278,.T.); #259486=ORIENTED_EDGE('',*,*,#194280,.F.); #259487=ORIENTED_EDGE('',*,*,#194281,.F.); #259488=ORIENTED_EDGE('',*,*,#194282,.T.); #259489=ORIENTED_EDGE('',*,*,#194281,.T.); #259490=ORIENTED_EDGE('',*,*,#194283,.F.); #259491=ORIENTED_EDGE('',*,*,#194284,.F.); #259492=ORIENTED_EDGE('',*,*,#194285,.T.); #259493=ORIENTED_EDGE('',*,*,#194284,.T.); #259494=ORIENTED_EDGE('',*,*,#194286,.F.); #259495=ORIENTED_EDGE('',*,*,#194287,.F.); #259496=ORIENTED_EDGE('',*,*,#194288,.T.); #259497=ORIENTED_EDGE('',*,*,#194287,.T.); #259498=ORIENTED_EDGE('',*,*,#194289,.F.); #259499=ORIENTED_EDGE('',*,*,#194290,.F.); #259500=ORIENTED_EDGE('',*,*,#194291,.T.); #259501=ORIENTED_EDGE('',*,*,#194290,.T.); #259502=ORIENTED_EDGE('',*,*,#194292,.F.); #259503=ORIENTED_EDGE('',*,*,#194293,.F.); #259504=ORIENTED_EDGE('',*,*,#194294,.T.); #259505=ORIENTED_EDGE('',*,*,#194293,.T.); #259506=ORIENTED_EDGE('',*,*,#194295,.F.); #259507=ORIENTED_EDGE('',*,*,#194296,.F.); #259508=ORIENTED_EDGE('',*,*,#194297,.T.); #259509=ORIENTED_EDGE('',*,*,#194296,.T.); #259510=ORIENTED_EDGE('',*,*,#194298,.F.); #259511=ORIENTED_EDGE('',*,*,#194299,.F.); #259512=ORIENTED_EDGE('',*,*,#194300,.T.); #259513=ORIENTED_EDGE('',*,*,#194299,.T.); #259514=ORIENTED_EDGE('',*,*,#194301,.F.); #259515=ORIENTED_EDGE('',*,*,#194302,.F.); #259516=ORIENTED_EDGE('',*,*,#194303,.T.); #259517=ORIENTED_EDGE('',*,*,#194302,.T.); #259518=ORIENTED_EDGE('',*,*,#194304,.F.); #259519=ORIENTED_EDGE('',*,*,#194305,.F.); #259520=ORIENTED_EDGE('',*,*,#194306,.T.); #259521=ORIENTED_EDGE('',*,*,#194305,.T.); #259522=ORIENTED_EDGE('',*,*,#194307,.F.); #259523=ORIENTED_EDGE('',*,*,#194308,.F.); #259524=ORIENTED_EDGE('',*,*,#194309,.T.); #259525=ORIENTED_EDGE('',*,*,#194308,.T.); #259526=ORIENTED_EDGE('',*,*,#194310,.F.); #259527=ORIENTED_EDGE('',*,*,#194311,.F.); #259528=ORIENTED_EDGE('',*,*,#194312,.T.); #259529=ORIENTED_EDGE('',*,*,#194311,.T.); #259530=ORIENTED_EDGE('',*,*,#194313,.F.); #259531=ORIENTED_EDGE('',*,*,#194314,.F.); #259532=ORIENTED_EDGE('',*,*,#194315,.T.); #259533=ORIENTED_EDGE('',*,*,#194314,.T.); #259534=ORIENTED_EDGE('',*,*,#194316,.F.); #259535=ORIENTED_EDGE('',*,*,#194317,.F.); #259536=ORIENTED_EDGE('',*,*,#194318,.T.); #259537=ORIENTED_EDGE('',*,*,#194317,.T.); #259538=ORIENTED_EDGE('',*,*,#194319,.F.); #259539=ORIENTED_EDGE('',*,*,#194320,.F.); #259540=ORIENTED_EDGE('',*,*,#194321,.T.); #259541=ORIENTED_EDGE('',*,*,#194320,.T.); #259542=ORIENTED_EDGE('',*,*,#194322,.F.); #259543=ORIENTED_EDGE('',*,*,#194323,.F.); #259544=ORIENTED_EDGE('',*,*,#194324,.T.); #259545=ORIENTED_EDGE('',*,*,#194323,.T.); #259546=ORIENTED_EDGE('',*,*,#194325,.F.); #259547=ORIENTED_EDGE('',*,*,#194326,.F.); #259548=ORIENTED_EDGE('',*,*,#194327,.T.); #259549=ORIENTED_EDGE('',*,*,#194326,.T.); #259550=ORIENTED_EDGE('',*,*,#194328,.F.); #259551=ORIENTED_EDGE('',*,*,#194329,.F.); #259552=ORIENTED_EDGE('',*,*,#194330,.T.); #259553=ORIENTED_EDGE('',*,*,#194329,.T.); #259554=ORIENTED_EDGE('',*,*,#194331,.F.); #259555=ORIENTED_EDGE('',*,*,#194332,.F.); #259556=ORIENTED_EDGE('',*,*,#194333,.T.); #259557=ORIENTED_EDGE('',*,*,#194332,.T.); #259558=ORIENTED_EDGE('',*,*,#194334,.F.); #259559=ORIENTED_EDGE('',*,*,#194335,.F.); #259560=ORIENTED_EDGE('',*,*,#194336,.T.); #259561=ORIENTED_EDGE('',*,*,#194335,.T.); #259562=ORIENTED_EDGE('',*,*,#194337,.F.); #259563=ORIENTED_EDGE('',*,*,#194338,.F.); #259564=ORIENTED_EDGE('',*,*,#194339,.T.); #259565=ORIENTED_EDGE('',*,*,#194338,.T.); #259566=ORIENTED_EDGE('',*,*,#194340,.F.); #259567=ORIENTED_EDGE('',*,*,#194341,.F.); #259568=ORIENTED_EDGE('',*,*,#194342,.T.); #259569=ORIENTED_EDGE('',*,*,#194341,.T.); #259570=ORIENTED_EDGE('',*,*,#194343,.F.); #259571=ORIENTED_EDGE('',*,*,#194344,.F.); #259572=ORIENTED_EDGE('',*,*,#194345,.T.); #259573=ORIENTED_EDGE('',*,*,#194344,.T.); #259574=ORIENTED_EDGE('',*,*,#194346,.F.); #259575=ORIENTED_EDGE('',*,*,#194347,.F.); #259576=ORIENTED_EDGE('',*,*,#194348,.T.); #259577=ORIENTED_EDGE('',*,*,#194347,.T.); #259578=ORIENTED_EDGE('',*,*,#194349,.F.); #259579=ORIENTED_EDGE('',*,*,#194350,.F.); #259580=ORIENTED_EDGE('',*,*,#194351,.T.); #259581=ORIENTED_EDGE('',*,*,#194350,.T.); #259582=ORIENTED_EDGE('',*,*,#194352,.F.); #259583=ORIENTED_EDGE('',*,*,#194353,.F.); #259584=ORIENTED_EDGE('',*,*,#194354,.T.); #259585=ORIENTED_EDGE('',*,*,#194353,.T.); #259586=ORIENTED_EDGE('',*,*,#194355,.F.); #259587=ORIENTED_EDGE('',*,*,#194356,.F.); #259588=ORIENTED_EDGE('',*,*,#194357,.T.); #259589=ORIENTED_EDGE('',*,*,#194356,.T.); #259590=ORIENTED_EDGE('',*,*,#194358,.F.); #259591=ORIENTED_EDGE('',*,*,#194192,.F.); #259592=ORIENTED_EDGE('',*,*,#194358,.T.); #259593=ORIENTED_EDGE('',*,*,#194355,.T.); #259594=ORIENTED_EDGE('',*,*,#194352,.T.); #259595=ORIENTED_EDGE('',*,*,#194349,.T.); #259596=ORIENTED_EDGE('',*,*,#194346,.T.); #259597=ORIENTED_EDGE('',*,*,#194343,.T.); #259598=ORIENTED_EDGE('',*,*,#194340,.T.); #259599=ORIENTED_EDGE('',*,*,#194337,.T.); #259600=ORIENTED_EDGE('',*,*,#194334,.T.); #259601=ORIENTED_EDGE('',*,*,#194331,.T.); #259602=ORIENTED_EDGE('',*,*,#194328,.T.); #259603=ORIENTED_EDGE('',*,*,#194325,.T.); #259604=ORIENTED_EDGE('',*,*,#194322,.T.); #259605=ORIENTED_EDGE('',*,*,#194319,.T.); #259606=ORIENTED_EDGE('',*,*,#194316,.T.); #259607=ORIENTED_EDGE('',*,*,#194313,.T.); #259608=ORIENTED_EDGE('',*,*,#194310,.T.); #259609=ORIENTED_EDGE('',*,*,#194307,.T.); #259610=ORIENTED_EDGE('',*,*,#194304,.T.); #259611=ORIENTED_EDGE('',*,*,#194301,.T.); #259612=ORIENTED_EDGE('',*,*,#194298,.T.); #259613=ORIENTED_EDGE('',*,*,#194295,.T.); #259614=ORIENTED_EDGE('',*,*,#194292,.T.); #259615=ORIENTED_EDGE('',*,*,#194289,.T.); #259616=ORIENTED_EDGE('',*,*,#194286,.T.); #259617=ORIENTED_EDGE('',*,*,#194283,.T.); #259618=ORIENTED_EDGE('',*,*,#194280,.T.); #259619=ORIENTED_EDGE('',*,*,#194277,.T.); #259620=ORIENTED_EDGE('',*,*,#194274,.T.); #259621=ORIENTED_EDGE('',*,*,#194271,.T.); #259622=ORIENTED_EDGE('',*,*,#194268,.T.); #259623=ORIENTED_EDGE('',*,*,#194265,.T.); #259624=ORIENTED_EDGE('',*,*,#194262,.T.); #259625=ORIENTED_EDGE('',*,*,#194259,.T.); #259626=ORIENTED_EDGE('',*,*,#194256,.T.); #259627=ORIENTED_EDGE('',*,*,#194253,.T.); #259628=ORIENTED_EDGE('',*,*,#194250,.T.); #259629=ORIENTED_EDGE('',*,*,#194247,.T.); #259630=ORIENTED_EDGE('',*,*,#194244,.T.); #259631=ORIENTED_EDGE('',*,*,#194241,.T.); #259632=ORIENTED_EDGE('',*,*,#194238,.T.); #259633=ORIENTED_EDGE('',*,*,#194235,.T.); #259634=ORIENTED_EDGE('',*,*,#194232,.T.); #259635=ORIENTED_EDGE('',*,*,#194229,.T.); #259636=ORIENTED_EDGE('',*,*,#194226,.T.); #259637=ORIENTED_EDGE('',*,*,#194223,.T.); #259638=ORIENTED_EDGE('',*,*,#194220,.T.); #259639=ORIENTED_EDGE('',*,*,#194217,.T.); #259640=ORIENTED_EDGE('',*,*,#194214,.T.); #259641=ORIENTED_EDGE('',*,*,#194211,.T.); #259642=ORIENTED_EDGE('',*,*,#194208,.T.); #259643=ORIENTED_EDGE('',*,*,#194205,.T.); #259644=ORIENTED_EDGE('',*,*,#194202,.T.); #259645=ORIENTED_EDGE('',*,*,#194199,.T.); #259646=ORIENTED_EDGE('',*,*,#194196,.T.); #259647=ORIENTED_EDGE('',*,*,#194193,.T.); #259648=ORIENTED_EDGE('',*,*,#194186,.T.); #259649=ORIENTED_EDGE('',*,*,#194189,.T.); #259650=ORIENTED_EDGE('',*,*,#194179,.T.); #259651=ORIENTED_EDGE('',*,*,#194183,.T.); #259652=ORIENTED_EDGE('',*,*,#194357,.F.); #259653=ORIENTED_EDGE('',*,*,#194191,.F.); #259654=ORIENTED_EDGE('',*,*,#194195,.F.); #259655=ORIENTED_EDGE('',*,*,#194198,.F.); #259656=ORIENTED_EDGE('',*,*,#194201,.F.); #259657=ORIENTED_EDGE('',*,*,#194204,.F.); #259658=ORIENTED_EDGE('',*,*,#194207,.F.); #259659=ORIENTED_EDGE('',*,*,#194210,.F.); #259660=ORIENTED_EDGE('',*,*,#194213,.F.); #259661=ORIENTED_EDGE('',*,*,#194216,.F.); #259662=ORIENTED_EDGE('',*,*,#194219,.F.); #259663=ORIENTED_EDGE('',*,*,#194222,.F.); #259664=ORIENTED_EDGE('',*,*,#194225,.F.); #259665=ORIENTED_EDGE('',*,*,#194228,.F.); #259666=ORIENTED_EDGE('',*,*,#194231,.F.); #259667=ORIENTED_EDGE('',*,*,#194234,.F.); #259668=ORIENTED_EDGE('',*,*,#194237,.F.); #259669=ORIENTED_EDGE('',*,*,#194240,.F.); #259670=ORIENTED_EDGE('',*,*,#194243,.F.); #259671=ORIENTED_EDGE('',*,*,#194246,.F.); #259672=ORIENTED_EDGE('',*,*,#194249,.F.); #259673=ORIENTED_EDGE('',*,*,#194252,.F.); #259674=ORIENTED_EDGE('',*,*,#194255,.F.); #259675=ORIENTED_EDGE('',*,*,#194258,.F.); #259676=ORIENTED_EDGE('',*,*,#194261,.F.); #259677=ORIENTED_EDGE('',*,*,#194264,.F.); #259678=ORIENTED_EDGE('',*,*,#194267,.F.); #259679=ORIENTED_EDGE('',*,*,#194270,.F.); #259680=ORIENTED_EDGE('',*,*,#194273,.F.); #259681=ORIENTED_EDGE('',*,*,#194276,.F.); #259682=ORIENTED_EDGE('',*,*,#194279,.F.); #259683=ORIENTED_EDGE('',*,*,#194282,.F.); #259684=ORIENTED_EDGE('',*,*,#194285,.F.); #259685=ORIENTED_EDGE('',*,*,#194288,.F.); #259686=ORIENTED_EDGE('',*,*,#194291,.F.); #259687=ORIENTED_EDGE('',*,*,#194294,.F.); #259688=ORIENTED_EDGE('',*,*,#194297,.F.); #259689=ORIENTED_EDGE('',*,*,#194300,.F.); #259690=ORIENTED_EDGE('',*,*,#194303,.F.); #259691=ORIENTED_EDGE('',*,*,#194306,.F.); #259692=ORIENTED_EDGE('',*,*,#194309,.F.); #259693=ORIENTED_EDGE('',*,*,#194312,.F.); #259694=ORIENTED_EDGE('',*,*,#194315,.F.); #259695=ORIENTED_EDGE('',*,*,#194318,.F.); #259696=ORIENTED_EDGE('',*,*,#194321,.F.); #259697=ORIENTED_EDGE('',*,*,#194324,.F.); #259698=ORIENTED_EDGE('',*,*,#194327,.F.); #259699=ORIENTED_EDGE('',*,*,#194330,.F.); #259700=ORIENTED_EDGE('',*,*,#194333,.F.); #259701=ORIENTED_EDGE('',*,*,#194336,.F.); #259702=ORIENTED_EDGE('',*,*,#194339,.F.); #259703=ORIENTED_EDGE('',*,*,#194342,.F.); #259704=ORIENTED_EDGE('',*,*,#194345,.F.); #259705=ORIENTED_EDGE('',*,*,#194348,.F.); #259706=ORIENTED_EDGE('',*,*,#194351,.F.); #259707=ORIENTED_EDGE('',*,*,#194354,.F.); #259708=ORIENTED_EDGE('',*,*,#194187,.T.); #259709=ORIENTED_EDGE('',*,*,#194184,.T.); #259710=ORIENTED_EDGE('',*,*,#194181,.T.); #259711=ORIENTED_EDGE('',*,*,#194190,.T.); #259712=ORIENTED_EDGE('',*,*,#194359,.F.); #259713=ORIENTED_EDGE('',*,*,#194360,.T.); #259714=ORIENTED_EDGE('',*,*,#194361,.F.); #259715=ORIENTED_EDGE('',*,*,#194360,.F.); #259716=ORIENTED_EDGE('',*,*,#194362,.T.); #259717=ORIENTED_EDGE('',*,*,#194363,.T.); #259718=ORIENTED_EDGE('',*,*,#194364,.F.); #259719=ORIENTED_EDGE('',*,*,#194365,.F.); #259720=ORIENTED_EDGE('',*,*,#194366,.T.); #259721=ORIENTED_EDGE('',*,*,#194365,.T.); #259722=ORIENTED_EDGE('',*,*,#194367,.F.); #259723=ORIENTED_EDGE('',*,*,#194368,.F.); #259724=ORIENTED_EDGE('',*,*,#194369,.T.); #259725=ORIENTED_EDGE('',*,*,#194368,.T.); #259726=ORIENTED_EDGE('',*,*,#194370,.F.); #259727=ORIENTED_EDGE('',*,*,#194371,.F.); #259728=ORIENTED_EDGE('',*,*,#194372,.T.); #259729=ORIENTED_EDGE('',*,*,#194371,.T.); #259730=ORIENTED_EDGE('',*,*,#194373,.F.); #259731=ORIENTED_EDGE('',*,*,#194374,.F.); #259732=ORIENTED_EDGE('',*,*,#194375,.T.); #259733=ORIENTED_EDGE('',*,*,#194374,.T.); #259734=ORIENTED_EDGE('',*,*,#194376,.F.); #259735=ORIENTED_EDGE('',*,*,#194377,.F.); #259736=ORIENTED_EDGE('',*,*,#194378,.T.); #259737=ORIENTED_EDGE('',*,*,#194377,.T.); #259738=ORIENTED_EDGE('',*,*,#194379,.F.); #259739=ORIENTED_EDGE('',*,*,#194380,.F.); #259740=ORIENTED_EDGE('',*,*,#194381,.T.); #259741=ORIENTED_EDGE('',*,*,#194380,.T.); #259742=ORIENTED_EDGE('',*,*,#194382,.F.); #259743=ORIENTED_EDGE('',*,*,#194383,.F.); #259744=ORIENTED_EDGE('',*,*,#194384,.T.); #259745=ORIENTED_EDGE('',*,*,#194383,.T.); #259746=ORIENTED_EDGE('',*,*,#194385,.F.); #259747=ORIENTED_EDGE('',*,*,#194363,.F.); #259748=ORIENTED_EDGE('',*,*,#194385,.T.); #259749=ORIENTED_EDGE('',*,*,#194382,.T.); #259750=ORIENTED_EDGE('',*,*,#194379,.T.); #259751=ORIENTED_EDGE('',*,*,#194376,.T.); #259752=ORIENTED_EDGE('',*,*,#194373,.T.); #259753=ORIENTED_EDGE('',*,*,#194370,.T.); #259754=ORIENTED_EDGE('',*,*,#194367,.T.); #259755=ORIENTED_EDGE('',*,*,#194364,.T.); #259756=ORIENTED_EDGE('',*,*,#194361,.T.); #259757=ORIENTED_EDGE('',*,*,#194384,.F.); #259758=ORIENTED_EDGE('',*,*,#194362,.F.); #259759=ORIENTED_EDGE('',*,*,#194366,.F.); #259760=ORIENTED_EDGE('',*,*,#194369,.F.); #259761=ORIENTED_EDGE('',*,*,#194372,.F.); #259762=ORIENTED_EDGE('',*,*,#194375,.F.); #259763=ORIENTED_EDGE('',*,*,#194378,.F.); #259764=ORIENTED_EDGE('',*,*,#194381,.F.); #259765=ORIENTED_EDGE('',*,*,#194359,.T.); #259766=ORIENTED_EDGE('',*,*,#194386,.F.); #259767=ORIENTED_EDGE('',*,*,#194387,.T.); #259768=ORIENTED_EDGE('',*,*,#194388,.F.); #259769=ORIENTED_EDGE('',*,*,#194387,.F.); #259770=ORIENTED_EDGE('',*,*,#194389,.T.); #259771=ORIENTED_EDGE('',*,*,#194390,.T.); #259772=ORIENTED_EDGE('',*,*,#194391,.F.); #259773=ORIENTED_EDGE('',*,*,#194392,.F.); #259774=ORIENTED_EDGE('',*,*,#194393,.T.); #259775=ORIENTED_EDGE('',*,*,#194392,.T.); #259776=ORIENTED_EDGE('',*,*,#194394,.F.); #259777=ORIENTED_EDGE('',*,*,#194395,.F.); #259778=ORIENTED_EDGE('',*,*,#194396,.T.); #259779=ORIENTED_EDGE('',*,*,#194395,.T.); #259780=ORIENTED_EDGE('',*,*,#194397,.F.); #259781=ORIENTED_EDGE('',*,*,#194390,.F.); #259782=ORIENTED_EDGE('',*,*,#194397,.T.); #259783=ORIENTED_EDGE('',*,*,#194394,.T.); #259784=ORIENTED_EDGE('',*,*,#194391,.T.); #259785=ORIENTED_EDGE('',*,*,#194388,.T.); #259786=ORIENTED_EDGE('',*,*,#194396,.F.); #259787=ORIENTED_EDGE('',*,*,#194389,.F.); #259788=ORIENTED_EDGE('',*,*,#194393,.F.); #259789=ORIENTED_EDGE('',*,*,#194386,.T.); #259790=ORIENTED_EDGE('',*,*,#194398,.F.); #259791=ORIENTED_EDGE('',*,*,#194399,.F.); #259792=ORIENTED_EDGE('',*,*,#194400,.F.); #259793=ORIENTED_EDGE('',*,*,#194401,.T.); #259794=ORIENTED_EDGE('',*,*,#194402,.F.); #259795=ORIENTED_EDGE('',*,*,#194401,.F.); #259796=ORIENTED_EDGE('',*,*,#194403,.F.); #259797=ORIENTED_EDGE('',*,*,#194404,.T.); #259798=ORIENTED_EDGE('',*,*,#194405,.F.); #259799=ORIENTED_EDGE('',*,*,#194404,.F.); #259800=ORIENTED_EDGE('',*,*,#194406,.F.); #259801=ORIENTED_EDGE('',*,*,#194407,.T.); #259802=ORIENTED_EDGE('',*,*,#194408,.F.); #259803=ORIENTED_EDGE('',*,*,#194407,.F.); #259804=ORIENTED_EDGE('',*,*,#194409,.F.); #259805=ORIENTED_EDGE('',*,*,#194399,.T.); #259806=ORIENTED_EDGE('',*,*,#194410,.T.); #259807=ORIENTED_EDGE('',*,*,#194411,.T.); #259808=ORIENTED_EDGE('',*,*,#194412,.F.); #259809=ORIENTED_EDGE('',*,*,#194413,.F.); #259810=ORIENTED_EDGE('',*,*,#194414,.T.); #259811=ORIENTED_EDGE('',*,*,#194413,.T.); #259812=ORIENTED_EDGE('',*,*,#194415,.F.); #259813=ORIENTED_EDGE('',*,*,#194416,.F.); #259814=ORIENTED_EDGE('',*,*,#194417,.T.); #259815=ORIENTED_EDGE('',*,*,#194416,.T.); #259816=ORIENTED_EDGE('',*,*,#194418,.F.); #259817=ORIENTED_EDGE('',*,*,#194419,.F.); #259818=ORIENTED_EDGE('',*,*,#194420,.T.); #259819=ORIENTED_EDGE('',*,*,#194419,.T.); #259820=ORIENTED_EDGE('',*,*,#194421,.F.); #259821=ORIENTED_EDGE('',*,*,#194422,.F.); #259822=ORIENTED_EDGE('',*,*,#194423,.T.); #259823=ORIENTED_EDGE('',*,*,#194422,.T.); #259824=ORIENTED_EDGE('',*,*,#194424,.F.); #259825=ORIENTED_EDGE('',*,*,#194425,.F.); #259826=ORIENTED_EDGE('',*,*,#194426,.T.); #259827=ORIENTED_EDGE('',*,*,#194425,.T.); #259828=ORIENTED_EDGE('',*,*,#194427,.F.); #259829=ORIENTED_EDGE('',*,*,#194428,.F.); #259830=ORIENTED_EDGE('',*,*,#194429,.T.); #259831=ORIENTED_EDGE('',*,*,#194428,.T.); #259832=ORIENTED_EDGE('',*,*,#194430,.F.); #259833=ORIENTED_EDGE('',*,*,#194431,.F.); #259834=ORIENTED_EDGE('',*,*,#194432,.T.); #259835=ORIENTED_EDGE('',*,*,#194431,.T.); #259836=ORIENTED_EDGE('',*,*,#194433,.F.); #259837=ORIENTED_EDGE('',*,*,#194434,.F.); #259838=ORIENTED_EDGE('',*,*,#194435,.T.); #259839=ORIENTED_EDGE('',*,*,#194434,.T.); #259840=ORIENTED_EDGE('',*,*,#194436,.F.); #259841=ORIENTED_EDGE('',*,*,#194437,.F.); #259842=ORIENTED_EDGE('',*,*,#194438,.T.); #259843=ORIENTED_EDGE('',*,*,#194437,.T.); #259844=ORIENTED_EDGE('',*,*,#194439,.F.); #259845=ORIENTED_EDGE('',*,*,#194440,.F.); #259846=ORIENTED_EDGE('',*,*,#194441,.T.); #259847=ORIENTED_EDGE('',*,*,#194440,.T.); #259848=ORIENTED_EDGE('',*,*,#194442,.F.); #259849=ORIENTED_EDGE('',*,*,#194443,.F.); #259850=ORIENTED_EDGE('',*,*,#194444,.T.); #259851=ORIENTED_EDGE('',*,*,#194443,.T.); #259852=ORIENTED_EDGE('',*,*,#194445,.F.); #259853=ORIENTED_EDGE('',*,*,#194446,.F.); #259854=ORIENTED_EDGE('',*,*,#194447,.T.); #259855=ORIENTED_EDGE('',*,*,#194446,.T.); #259856=ORIENTED_EDGE('',*,*,#194448,.F.); #259857=ORIENTED_EDGE('',*,*,#194449,.F.); #259858=ORIENTED_EDGE('',*,*,#194450,.T.); #259859=ORIENTED_EDGE('',*,*,#194449,.T.); #259860=ORIENTED_EDGE('',*,*,#194451,.F.); #259861=ORIENTED_EDGE('',*,*,#194452,.F.); #259862=ORIENTED_EDGE('',*,*,#194453,.T.); #259863=ORIENTED_EDGE('',*,*,#194452,.T.); #259864=ORIENTED_EDGE('',*,*,#194454,.F.); #259865=ORIENTED_EDGE('',*,*,#194455,.F.); #259866=ORIENTED_EDGE('',*,*,#194456,.T.); #259867=ORIENTED_EDGE('',*,*,#194455,.T.); #259868=ORIENTED_EDGE('',*,*,#194457,.F.); #259869=ORIENTED_EDGE('',*,*,#194458,.F.); #259870=ORIENTED_EDGE('',*,*,#194459,.T.); #259871=ORIENTED_EDGE('',*,*,#194458,.T.); #259872=ORIENTED_EDGE('',*,*,#194460,.F.); #259873=ORIENTED_EDGE('',*,*,#194461,.F.); #259874=ORIENTED_EDGE('',*,*,#194462,.T.); #259875=ORIENTED_EDGE('',*,*,#194461,.T.); #259876=ORIENTED_EDGE('',*,*,#194463,.F.); #259877=ORIENTED_EDGE('',*,*,#194464,.F.); #259878=ORIENTED_EDGE('',*,*,#194465,.T.); #259879=ORIENTED_EDGE('',*,*,#194464,.T.); #259880=ORIENTED_EDGE('',*,*,#194466,.F.); #259881=ORIENTED_EDGE('',*,*,#194467,.F.); #259882=ORIENTED_EDGE('',*,*,#194468,.T.); #259883=ORIENTED_EDGE('',*,*,#194467,.T.); #259884=ORIENTED_EDGE('',*,*,#194469,.F.); #259885=ORIENTED_EDGE('',*,*,#194470,.F.); #259886=ORIENTED_EDGE('',*,*,#194471,.T.); #259887=ORIENTED_EDGE('',*,*,#194470,.T.); #259888=ORIENTED_EDGE('',*,*,#194472,.F.); #259889=ORIENTED_EDGE('',*,*,#194473,.F.); #259890=ORIENTED_EDGE('',*,*,#194474,.T.); #259891=ORIENTED_EDGE('',*,*,#194473,.T.); #259892=ORIENTED_EDGE('',*,*,#194475,.F.); #259893=ORIENTED_EDGE('',*,*,#194476,.F.); #259894=ORIENTED_EDGE('',*,*,#194477,.T.); #259895=ORIENTED_EDGE('',*,*,#194476,.T.); #259896=ORIENTED_EDGE('',*,*,#194478,.F.); #259897=ORIENTED_EDGE('',*,*,#194479,.F.); #259898=ORIENTED_EDGE('',*,*,#194480,.T.); #259899=ORIENTED_EDGE('',*,*,#194479,.T.); #259900=ORIENTED_EDGE('',*,*,#194481,.F.); #259901=ORIENTED_EDGE('',*,*,#194482,.F.); #259902=ORIENTED_EDGE('',*,*,#194483,.T.); #259903=ORIENTED_EDGE('',*,*,#194482,.T.); #259904=ORIENTED_EDGE('',*,*,#194484,.F.); #259905=ORIENTED_EDGE('',*,*,#194485,.F.); #259906=ORIENTED_EDGE('',*,*,#194486,.T.); #259907=ORIENTED_EDGE('',*,*,#194485,.T.); #259908=ORIENTED_EDGE('',*,*,#194487,.F.); #259909=ORIENTED_EDGE('',*,*,#194488,.F.); #259910=ORIENTED_EDGE('',*,*,#194489,.T.); #259911=ORIENTED_EDGE('',*,*,#194488,.T.); #259912=ORIENTED_EDGE('',*,*,#194490,.F.); #259913=ORIENTED_EDGE('',*,*,#194491,.F.); #259914=ORIENTED_EDGE('',*,*,#194492,.T.); #259915=ORIENTED_EDGE('',*,*,#194491,.T.); #259916=ORIENTED_EDGE('',*,*,#194493,.F.); #259917=ORIENTED_EDGE('',*,*,#194494,.F.); #259918=ORIENTED_EDGE('',*,*,#194495,.T.); #259919=ORIENTED_EDGE('',*,*,#194494,.T.); #259920=ORIENTED_EDGE('',*,*,#194496,.F.); #259921=ORIENTED_EDGE('',*,*,#194497,.F.); #259922=ORIENTED_EDGE('',*,*,#194498,.T.); #259923=ORIENTED_EDGE('',*,*,#194497,.T.); #259924=ORIENTED_EDGE('',*,*,#194499,.F.); #259925=ORIENTED_EDGE('',*,*,#194500,.F.); #259926=ORIENTED_EDGE('',*,*,#194501,.T.); #259927=ORIENTED_EDGE('',*,*,#194500,.T.); #259928=ORIENTED_EDGE('',*,*,#194502,.F.); #259929=ORIENTED_EDGE('',*,*,#194503,.F.); #259930=ORIENTED_EDGE('',*,*,#194504,.T.); #259931=ORIENTED_EDGE('',*,*,#194503,.T.); #259932=ORIENTED_EDGE('',*,*,#194505,.F.); #259933=ORIENTED_EDGE('',*,*,#194506,.F.); #259934=ORIENTED_EDGE('',*,*,#194507,.T.); #259935=ORIENTED_EDGE('',*,*,#194506,.T.); #259936=ORIENTED_EDGE('',*,*,#194508,.F.); #259937=ORIENTED_EDGE('',*,*,#194509,.F.); #259938=ORIENTED_EDGE('',*,*,#194510,.T.); #259939=ORIENTED_EDGE('',*,*,#194509,.T.); #259940=ORIENTED_EDGE('',*,*,#194511,.F.); #259941=ORIENTED_EDGE('',*,*,#194512,.F.); #259942=ORIENTED_EDGE('',*,*,#194513,.T.); #259943=ORIENTED_EDGE('',*,*,#194512,.T.); #259944=ORIENTED_EDGE('',*,*,#194514,.F.); #259945=ORIENTED_EDGE('',*,*,#194515,.F.); #259946=ORIENTED_EDGE('',*,*,#194516,.T.); #259947=ORIENTED_EDGE('',*,*,#194515,.T.); #259948=ORIENTED_EDGE('',*,*,#194517,.F.); #259949=ORIENTED_EDGE('',*,*,#194518,.F.); #259950=ORIENTED_EDGE('',*,*,#194519,.T.); #259951=ORIENTED_EDGE('',*,*,#194518,.T.); #259952=ORIENTED_EDGE('',*,*,#194520,.F.); #259953=ORIENTED_EDGE('',*,*,#194521,.F.); #259954=ORIENTED_EDGE('',*,*,#194522,.T.); #259955=ORIENTED_EDGE('',*,*,#194521,.T.); #259956=ORIENTED_EDGE('',*,*,#194523,.F.); #259957=ORIENTED_EDGE('',*,*,#194524,.F.); #259958=ORIENTED_EDGE('',*,*,#194525,.T.); #259959=ORIENTED_EDGE('',*,*,#194524,.T.); #259960=ORIENTED_EDGE('',*,*,#194526,.F.); #259961=ORIENTED_EDGE('',*,*,#194527,.F.); #259962=ORIENTED_EDGE('',*,*,#194528,.T.); #259963=ORIENTED_EDGE('',*,*,#194527,.T.); #259964=ORIENTED_EDGE('',*,*,#194529,.F.); #259965=ORIENTED_EDGE('',*,*,#194530,.F.); #259966=ORIENTED_EDGE('',*,*,#194531,.T.); #259967=ORIENTED_EDGE('',*,*,#194530,.T.); #259968=ORIENTED_EDGE('',*,*,#194532,.F.); #259969=ORIENTED_EDGE('',*,*,#194533,.F.); #259970=ORIENTED_EDGE('',*,*,#194534,.T.); #259971=ORIENTED_EDGE('',*,*,#194533,.T.); #259972=ORIENTED_EDGE('',*,*,#194535,.F.); #259973=ORIENTED_EDGE('',*,*,#194536,.F.); #259974=ORIENTED_EDGE('',*,*,#194537,.T.); #259975=ORIENTED_EDGE('',*,*,#194536,.T.); #259976=ORIENTED_EDGE('',*,*,#194538,.F.); #259977=ORIENTED_EDGE('',*,*,#194539,.F.); #259978=ORIENTED_EDGE('',*,*,#194540,.T.); #259979=ORIENTED_EDGE('',*,*,#194539,.T.); #259980=ORIENTED_EDGE('',*,*,#194541,.F.); #259981=ORIENTED_EDGE('',*,*,#194542,.F.); #259982=ORIENTED_EDGE('',*,*,#194543,.T.); #259983=ORIENTED_EDGE('',*,*,#194542,.T.); #259984=ORIENTED_EDGE('',*,*,#194544,.F.); #259985=ORIENTED_EDGE('',*,*,#194545,.F.); #259986=ORIENTED_EDGE('',*,*,#194546,.T.); #259987=ORIENTED_EDGE('',*,*,#194545,.T.); #259988=ORIENTED_EDGE('',*,*,#194547,.F.); #259989=ORIENTED_EDGE('',*,*,#194548,.F.); #259990=ORIENTED_EDGE('',*,*,#194549,.T.); #259991=ORIENTED_EDGE('',*,*,#194548,.T.); #259992=ORIENTED_EDGE('',*,*,#194550,.F.); #259993=ORIENTED_EDGE('',*,*,#194551,.F.); #259994=ORIENTED_EDGE('',*,*,#194552,.T.); #259995=ORIENTED_EDGE('',*,*,#194551,.T.); #259996=ORIENTED_EDGE('',*,*,#194553,.F.); #259997=ORIENTED_EDGE('',*,*,#194554,.F.); #259998=ORIENTED_EDGE('',*,*,#194555,.T.); #259999=ORIENTED_EDGE('',*,*,#194554,.T.); #260000=ORIENTED_EDGE('',*,*,#194556,.F.); #260001=ORIENTED_EDGE('',*,*,#194557,.F.); #260002=ORIENTED_EDGE('',*,*,#194558,.T.); #260003=ORIENTED_EDGE('',*,*,#194557,.T.); #260004=ORIENTED_EDGE('',*,*,#194559,.F.); #260005=ORIENTED_EDGE('',*,*,#194560,.F.); #260006=ORIENTED_EDGE('',*,*,#194561,.T.); #260007=ORIENTED_EDGE('',*,*,#194560,.T.); #260008=ORIENTED_EDGE('',*,*,#194562,.F.); #260009=ORIENTED_EDGE('',*,*,#194563,.F.); #260010=ORIENTED_EDGE('',*,*,#194564,.T.); #260011=ORIENTED_EDGE('',*,*,#194563,.T.); #260012=ORIENTED_EDGE('',*,*,#194565,.F.); #260013=ORIENTED_EDGE('',*,*,#194566,.F.); #260014=ORIENTED_EDGE('',*,*,#194567,.T.); #260015=ORIENTED_EDGE('',*,*,#194566,.T.); #260016=ORIENTED_EDGE('',*,*,#194568,.F.); #260017=ORIENTED_EDGE('',*,*,#194569,.F.); #260018=ORIENTED_EDGE('',*,*,#194570,.T.); #260019=ORIENTED_EDGE('',*,*,#194569,.T.); #260020=ORIENTED_EDGE('',*,*,#194571,.F.); #260021=ORIENTED_EDGE('',*,*,#194572,.F.); #260022=ORIENTED_EDGE('',*,*,#194573,.T.); #260023=ORIENTED_EDGE('',*,*,#194572,.T.); #260024=ORIENTED_EDGE('',*,*,#194574,.F.); #260025=ORIENTED_EDGE('',*,*,#194575,.F.); #260026=ORIENTED_EDGE('',*,*,#194576,.T.); #260027=ORIENTED_EDGE('',*,*,#194575,.T.); #260028=ORIENTED_EDGE('',*,*,#194577,.F.); #260029=ORIENTED_EDGE('',*,*,#194578,.F.); #260030=ORIENTED_EDGE('',*,*,#194579,.T.); #260031=ORIENTED_EDGE('',*,*,#194578,.T.); #260032=ORIENTED_EDGE('',*,*,#194580,.F.); #260033=ORIENTED_EDGE('',*,*,#194581,.F.); #260034=ORIENTED_EDGE('',*,*,#194582,.T.); #260035=ORIENTED_EDGE('',*,*,#194581,.T.); #260036=ORIENTED_EDGE('',*,*,#194583,.F.); #260037=ORIENTED_EDGE('',*,*,#194584,.F.); #260038=ORIENTED_EDGE('',*,*,#194585,.T.); #260039=ORIENTED_EDGE('',*,*,#194584,.T.); #260040=ORIENTED_EDGE('',*,*,#194586,.F.); #260041=ORIENTED_EDGE('',*,*,#194587,.F.); #260042=ORIENTED_EDGE('',*,*,#194588,.T.); #260043=ORIENTED_EDGE('',*,*,#194587,.T.); #260044=ORIENTED_EDGE('',*,*,#194589,.F.); #260045=ORIENTED_EDGE('',*,*,#194590,.F.); #260046=ORIENTED_EDGE('',*,*,#194591,.T.); #260047=ORIENTED_EDGE('',*,*,#194590,.T.); #260048=ORIENTED_EDGE('',*,*,#194592,.F.); #260049=ORIENTED_EDGE('',*,*,#194593,.F.); #260050=ORIENTED_EDGE('',*,*,#194594,.T.); #260051=ORIENTED_EDGE('',*,*,#194593,.T.); #260052=ORIENTED_EDGE('',*,*,#194595,.F.); #260053=ORIENTED_EDGE('',*,*,#194596,.F.); #260054=ORIENTED_EDGE('',*,*,#194597,.T.); #260055=ORIENTED_EDGE('',*,*,#194596,.T.); #260056=ORIENTED_EDGE('',*,*,#194598,.F.); #260057=ORIENTED_EDGE('',*,*,#194599,.F.); #260058=ORIENTED_EDGE('',*,*,#194600,.T.); #260059=ORIENTED_EDGE('',*,*,#194599,.T.); #260060=ORIENTED_EDGE('',*,*,#194601,.F.); #260061=ORIENTED_EDGE('',*,*,#194602,.F.); #260062=ORIENTED_EDGE('',*,*,#194603,.T.); #260063=ORIENTED_EDGE('',*,*,#194602,.T.); #260064=ORIENTED_EDGE('',*,*,#194604,.F.); #260065=ORIENTED_EDGE('',*,*,#194605,.F.); #260066=ORIENTED_EDGE('',*,*,#194606,.T.); #260067=ORIENTED_EDGE('',*,*,#194605,.T.); #260068=ORIENTED_EDGE('',*,*,#194607,.F.); #260069=ORIENTED_EDGE('',*,*,#194608,.F.); #260070=ORIENTED_EDGE('',*,*,#194609,.T.); #260071=ORIENTED_EDGE('',*,*,#194608,.T.); #260072=ORIENTED_EDGE('',*,*,#194610,.F.); #260073=ORIENTED_EDGE('',*,*,#194611,.F.); #260074=ORIENTED_EDGE('',*,*,#194612,.T.); #260075=ORIENTED_EDGE('',*,*,#194611,.T.); #260076=ORIENTED_EDGE('',*,*,#194613,.F.); #260077=ORIENTED_EDGE('',*,*,#194614,.F.); #260078=ORIENTED_EDGE('',*,*,#194615,.T.); #260079=ORIENTED_EDGE('',*,*,#194614,.T.); #260080=ORIENTED_EDGE('',*,*,#194616,.F.); #260081=ORIENTED_EDGE('',*,*,#194617,.F.); #260082=ORIENTED_EDGE('',*,*,#194618,.T.); #260083=ORIENTED_EDGE('',*,*,#194617,.T.); #260084=ORIENTED_EDGE('',*,*,#194619,.F.); #260085=ORIENTED_EDGE('',*,*,#194620,.F.); #260086=ORIENTED_EDGE('',*,*,#194621,.T.); #260087=ORIENTED_EDGE('',*,*,#194620,.T.); #260088=ORIENTED_EDGE('',*,*,#194622,.F.); #260089=ORIENTED_EDGE('',*,*,#194623,.F.); #260090=ORIENTED_EDGE('',*,*,#194624,.T.); #260091=ORIENTED_EDGE('',*,*,#194623,.T.); #260092=ORIENTED_EDGE('',*,*,#194625,.F.); #260093=ORIENTED_EDGE('',*,*,#194411,.F.); #260094=ORIENTED_EDGE('',*,*,#194625,.T.); #260095=ORIENTED_EDGE('',*,*,#194622,.T.); #260096=ORIENTED_EDGE('',*,*,#194619,.T.); #260097=ORIENTED_EDGE('',*,*,#194616,.T.); #260098=ORIENTED_EDGE('',*,*,#194613,.T.); #260099=ORIENTED_EDGE('',*,*,#194610,.T.); #260100=ORIENTED_EDGE('',*,*,#194607,.T.); #260101=ORIENTED_EDGE('',*,*,#194604,.T.); #260102=ORIENTED_EDGE('',*,*,#194601,.T.); #260103=ORIENTED_EDGE('',*,*,#194598,.T.); #260104=ORIENTED_EDGE('',*,*,#194595,.T.); #260105=ORIENTED_EDGE('',*,*,#194592,.T.); #260106=ORIENTED_EDGE('',*,*,#194589,.T.); #260107=ORIENTED_EDGE('',*,*,#194586,.T.); #260108=ORIENTED_EDGE('',*,*,#194583,.T.); #260109=ORIENTED_EDGE('',*,*,#194580,.T.); #260110=ORIENTED_EDGE('',*,*,#194577,.T.); #260111=ORIENTED_EDGE('',*,*,#194574,.T.); #260112=ORIENTED_EDGE('',*,*,#194571,.T.); #260113=ORIENTED_EDGE('',*,*,#194568,.T.); #260114=ORIENTED_EDGE('',*,*,#194565,.T.); #260115=ORIENTED_EDGE('',*,*,#194562,.T.); #260116=ORIENTED_EDGE('',*,*,#194559,.T.); #260117=ORIENTED_EDGE('',*,*,#194556,.T.); #260118=ORIENTED_EDGE('',*,*,#194553,.T.); #260119=ORIENTED_EDGE('',*,*,#194550,.T.); #260120=ORIENTED_EDGE('',*,*,#194547,.T.); #260121=ORIENTED_EDGE('',*,*,#194544,.T.); #260122=ORIENTED_EDGE('',*,*,#194541,.T.); #260123=ORIENTED_EDGE('',*,*,#194538,.T.); #260124=ORIENTED_EDGE('',*,*,#194535,.T.); #260125=ORIENTED_EDGE('',*,*,#194532,.T.); #260126=ORIENTED_EDGE('',*,*,#194529,.T.); #260127=ORIENTED_EDGE('',*,*,#194526,.T.); #260128=ORIENTED_EDGE('',*,*,#194523,.T.); #260129=ORIENTED_EDGE('',*,*,#194520,.T.); #260130=ORIENTED_EDGE('',*,*,#194517,.T.); #260131=ORIENTED_EDGE('',*,*,#194514,.T.); #260132=ORIENTED_EDGE('',*,*,#194511,.T.); #260133=ORIENTED_EDGE('',*,*,#194508,.T.); #260134=ORIENTED_EDGE('',*,*,#194505,.T.); #260135=ORIENTED_EDGE('',*,*,#194502,.T.); #260136=ORIENTED_EDGE('',*,*,#194499,.T.); #260137=ORIENTED_EDGE('',*,*,#194496,.T.); #260138=ORIENTED_EDGE('',*,*,#194493,.T.); #260139=ORIENTED_EDGE('',*,*,#194490,.T.); #260140=ORIENTED_EDGE('',*,*,#194487,.T.); #260141=ORIENTED_EDGE('',*,*,#194484,.T.); #260142=ORIENTED_EDGE('',*,*,#194481,.T.); #260143=ORIENTED_EDGE('',*,*,#194478,.T.); #260144=ORIENTED_EDGE('',*,*,#194475,.T.); #260145=ORIENTED_EDGE('',*,*,#194472,.T.); #260146=ORIENTED_EDGE('',*,*,#194469,.T.); #260147=ORIENTED_EDGE('',*,*,#194466,.T.); #260148=ORIENTED_EDGE('',*,*,#194463,.T.); #260149=ORIENTED_EDGE('',*,*,#194460,.T.); #260150=ORIENTED_EDGE('',*,*,#194457,.T.); #260151=ORIENTED_EDGE('',*,*,#194454,.T.); #260152=ORIENTED_EDGE('',*,*,#194451,.T.); #260153=ORIENTED_EDGE('',*,*,#194448,.T.); #260154=ORIENTED_EDGE('',*,*,#194445,.T.); #260155=ORIENTED_EDGE('',*,*,#194442,.T.); #260156=ORIENTED_EDGE('',*,*,#194439,.T.); #260157=ORIENTED_EDGE('',*,*,#194436,.T.); #260158=ORIENTED_EDGE('',*,*,#194433,.T.); #260159=ORIENTED_EDGE('',*,*,#194430,.T.); #260160=ORIENTED_EDGE('',*,*,#194427,.T.); #260161=ORIENTED_EDGE('',*,*,#194424,.T.); #260162=ORIENTED_EDGE('',*,*,#194421,.T.); #260163=ORIENTED_EDGE('',*,*,#194418,.T.); #260164=ORIENTED_EDGE('',*,*,#194415,.T.); #260165=ORIENTED_EDGE('',*,*,#194412,.T.); #260166=ORIENTED_EDGE('',*,*,#194405,.T.); #260167=ORIENTED_EDGE('',*,*,#194408,.T.); #260168=ORIENTED_EDGE('',*,*,#194398,.T.); #260169=ORIENTED_EDGE('',*,*,#194402,.T.); #260170=ORIENTED_EDGE('',*,*,#194624,.F.); #260171=ORIENTED_EDGE('',*,*,#194410,.F.); #260172=ORIENTED_EDGE('',*,*,#194414,.F.); #260173=ORIENTED_EDGE('',*,*,#194417,.F.); #260174=ORIENTED_EDGE('',*,*,#194420,.F.); #260175=ORIENTED_EDGE('',*,*,#194423,.F.); #260176=ORIENTED_EDGE('',*,*,#194426,.F.); #260177=ORIENTED_EDGE('',*,*,#194429,.F.); #260178=ORIENTED_EDGE('',*,*,#194432,.F.); #260179=ORIENTED_EDGE('',*,*,#194435,.F.); #260180=ORIENTED_EDGE('',*,*,#194438,.F.); #260181=ORIENTED_EDGE('',*,*,#194441,.F.); #260182=ORIENTED_EDGE('',*,*,#194444,.F.); #260183=ORIENTED_EDGE('',*,*,#194447,.F.); #260184=ORIENTED_EDGE('',*,*,#194450,.F.); #260185=ORIENTED_EDGE('',*,*,#194453,.F.); #260186=ORIENTED_EDGE('',*,*,#194456,.F.); #260187=ORIENTED_EDGE('',*,*,#194459,.F.); #260188=ORIENTED_EDGE('',*,*,#194462,.F.); #260189=ORIENTED_EDGE('',*,*,#194465,.F.); #260190=ORIENTED_EDGE('',*,*,#194468,.F.); #260191=ORIENTED_EDGE('',*,*,#194471,.F.); #260192=ORIENTED_EDGE('',*,*,#194474,.F.); #260193=ORIENTED_EDGE('',*,*,#194477,.F.); #260194=ORIENTED_EDGE('',*,*,#194480,.F.); #260195=ORIENTED_EDGE('',*,*,#194483,.F.); #260196=ORIENTED_EDGE('',*,*,#194486,.F.); #260197=ORIENTED_EDGE('',*,*,#194489,.F.); #260198=ORIENTED_EDGE('',*,*,#194492,.F.); #260199=ORIENTED_EDGE('',*,*,#194495,.F.); #260200=ORIENTED_EDGE('',*,*,#194498,.F.); #260201=ORIENTED_EDGE('',*,*,#194501,.F.); #260202=ORIENTED_EDGE('',*,*,#194504,.F.); #260203=ORIENTED_EDGE('',*,*,#194507,.F.); #260204=ORIENTED_EDGE('',*,*,#194510,.F.); #260205=ORIENTED_EDGE('',*,*,#194513,.F.); #260206=ORIENTED_EDGE('',*,*,#194516,.F.); #260207=ORIENTED_EDGE('',*,*,#194519,.F.); #260208=ORIENTED_EDGE('',*,*,#194522,.F.); #260209=ORIENTED_EDGE('',*,*,#194525,.F.); #260210=ORIENTED_EDGE('',*,*,#194528,.F.); #260211=ORIENTED_EDGE('',*,*,#194531,.F.); #260212=ORIENTED_EDGE('',*,*,#194534,.F.); #260213=ORIENTED_EDGE('',*,*,#194537,.F.); #260214=ORIENTED_EDGE('',*,*,#194540,.F.); #260215=ORIENTED_EDGE('',*,*,#194543,.F.); #260216=ORIENTED_EDGE('',*,*,#194546,.F.); #260217=ORIENTED_EDGE('',*,*,#194549,.F.); #260218=ORIENTED_EDGE('',*,*,#194552,.F.); #260219=ORIENTED_EDGE('',*,*,#194555,.F.); #260220=ORIENTED_EDGE('',*,*,#194558,.F.); #260221=ORIENTED_EDGE('',*,*,#194561,.F.); #260222=ORIENTED_EDGE('',*,*,#194564,.F.); #260223=ORIENTED_EDGE('',*,*,#194567,.F.); #260224=ORIENTED_EDGE('',*,*,#194570,.F.); #260225=ORIENTED_EDGE('',*,*,#194573,.F.); #260226=ORIENTED_EDGE('',*,*,#194576,.F.); #260227=ORIENTED_EDGE('',*,*,#194579,.F.); #260228=ORIENTED_EDGE('',*,*,#194582,.F.); #260229=ORIENTED_EDGE('',*,*,#194585,.F.); #260230=ORIENTED_EDGE('',*,*,#194588,.F.); #260231=ORIENTED_EDGE('',*,*,#194591,.F.); #260232=ORIENTED_EDGE('',*,*,#194594,.F.); #260233=ORIENTED_EDGE('',*,*,#194597,.F.); #260234=ORIENTED_EDGE('',*,*,#194600,.F.); #260235=ORIENTED_EDGE('',*,*,#194603,.F.); #260236=ORIENTED_EDGE('',*,*,#194606,.F.); #260237=ORIENTED_EDGE('',*,*,#194609,.F.); #260238=ORIENTED_EDGE('',*,*,#194612,.F.); #260239=ORIENTED_EDGE('',*,*,#194615,.F.); #260240=ORIENTED_EDGE('',*,*,#194618,.F.); #260241=ORIENTED_EDGE('',*,*,#194621,.F.); #260242=ORIENTED_EDGE('',*,*,#194406,.T.); #260243=ORIENTED_EDGE('',*,*,#194403,.T.); #260244=ORIENTED_EDGE('',*,*,#194400,.T.); #260245=ORIENTED_EDGE('',*,*,#194409,.T.); #260246=ORIENTED_EDGE('',*,*,#194626,.F.); #260247=ORIENTED_EDGE('',*,*,#194627,.T.); #260248=ORIENTED_EDGE('',*,*,#194628,.F.); #260249=ORIENTED_EDGE('',*,*,#194627,.F.); #260250=ORIENTED_EDGE('',*,*,#194629,.T.); #260251=ORIENTED_EDGE('',*,*,#194630,.T.); #260252=ORIENTED_EDGE('',*,*,#194631,.F.); #260253=ORIENTED_EDGE('',*,*,#194632,.F.); #260254=ORIENTED_EDGE('',*,*,#194633,.T.); #260255=ORIENTED_EDGE('',*,*,#194632,.T.); #260256=ORIENTED_EDGE('',*,*,#194634,.F.); #260257=ORIENTED_EDGE('',*,*,#194635,.F.); #260258=ORIENTED_EDGE('',*,*,#194636,.T.); #260259=ORIENTED_EDGE('',*,*,#194635,.T.); #260260=ORIENTED_EDGE('',*,*,#194637,.F.); #260261=ORIENTED_EDGE('',*,*,#194638,.F.); #260262=ORIENTED_EDGE('',*,*,#194639,.T.); #260263=ORIENTED_EDGE('',*,*,#194638,.T.); #260264=ORIENTED_EDGE('',*,*,#194640,.F.); #260265=ORIENTED_EDGE('',*,*,#194641,.F.); #260266=ORIENTED_EDGE('',*,*,#194642,.T.); #260267=ORIENTED_EDGE('',*,*,#194641,.T.); #260268=ORIENTED_EDGE('',*,*,#194643,.F.); #260269=ORIENTED_EDGE('',*,*,#194644,.F.); #260270=ORIENTED_EDGE('',*,*,#194645,.T.); #260271=ORIENTED_EDGE('',*,*,#194644,.T.); #260272=ORIENTED_EDGE('',*,*,#194646,.F.); #260273=ORIENTED_EDGE('',*,*,#194647,.F.); #260274=ORIENTED_EDGE('',*,*,#194648,.T.); #260275=ORIENTED_EDGE('',*,*,#194647,.T.); #260276=ORIENTED_EDGE('',*,*,#194649,.F.); #260277=ORIENTED_EDGE('',*,*,#194650,.F.); #260278=ORIENTED_EDGE('',*,*,#194651,.T.); #260279=ORIENTED_EDGE('',*,*,#194650,.T.); #260280=ORIENTED_EDGE('',*,*,#194652,.F.); #260281=ORIENTED_EDGE('',*,*,#194630,.F.); #260282=ORIENTED_EDGE('',*,*,#194652,.T.); #260283=ORIENTED_EDGE('',*,*,#194649,.T.); #260284=ORIENTED_EDGE('',*,*,#194646,.T.); #260285=ORIENTED_EDGE('',*,*,#194643,.T.); #260286=ORIENTED_EDGE('',*,*,#194640,.T.); #260287=ORIENTED_EDGE('',*,*,#194637,.T.); #260288=ORIENTED_EDGE('',*,*,#194634,.T.); #260289=ORIENTED_EDGE('',*,*,#194631,.T.); #260290=ORIENTED_EDGE('',*,*,#194628,.T.); #260291=ORIENTED_EDGE('',*,*,#194651,.F.); #260292=ORIENTED_EDGE('',*,*,#194629,.F.); #260293=ORIENTED_EDGE('',*,*,#194633,.F.); #260294=ORIENTED_EDGE('',*,*,#194636,.F.); #260295=ORIENTED_EDGE('',*,*,#194639,.F.); #260296=ORIENTED_EDGE('',*,*,#194642,.F.); #260297=ORIENTED_EDGE('',*,*,#194645,.F.); #260298=ORIENTED_EDGE('',*,*,#194648,.F.); #260299=ORIENTED_EDGE('',*,*,#194626,.T.); #260300=ORIENTED_EDGE('',*,*,#194653,.F.); #260301=ORIENTED_EDGE('',*,*,#194654,.T.); #260302=ORIENTED_EDGE('',*,*,#194655,.F.); #260303=ORIENTED_EDGE('',*,*,#194654,.F.); #260304=ORIENTED_EDGE('',*,*,#194656,.T.); #260305=ORIENTED_EDGE('',*,*,#194657,.T.); #260306=ORIENTED_EDGE('',*,*,#194658,.F.); #260307=ORIENTED_EDGE('',*,*,#194659,.F.); #260308=ORIENTED_EDGE('',*,*,#194660,.T.); #260309=ORIENTED_EDGE('',*,*,#194659,.T.); #260310=ORIENTED_EDGE('',*,*,#194661,.F.); #260311=ORIENTED_EDGE('',*,*,#194662,.F.); #260312=ORIENTED_EDGE('',*,*,#194663,.T.); #260313=ORIENTED_EDGE('',*,*,#194662,.T.); #260314=ORIENTED_EDGE('',*,*,#194664,.F.); #260315=ORIENTED_EDGE('',*,*,#194657,.F.); #260316=ORIENTED_EDGE('',*,*,#194664,.T.); #260317=ORIENTED_EDGE('',*,*,#194661,.T.); #260318=ORIENTED_EDGE('',*,*,#194658,.T.); #260319=ORIENTED_EDGE('',*,*,#194655,.T.); #260320=ORIENTED_EDGE('',*,*,#194663,.F.); #260321=ORIENTED_EDGE('',*,*,#194656,.F.); #260322=ORIENTED_EDGE('',*,*,#194660,.F.); #260323=ORIENTED_EDGE('',*,*,#194653,.T.); #260324=ORIENTED_EDGE('',*,*,#194665,.F.); #260325=ORIENTED_EDGE('',*,*,#194666,.T.); #260326=ORIENTED_EDGE('',*,*,#194667,.F.); #260327=ORIENTED_EDGE('',*,*,#194666,.F.); #260328=ORIENTED_EDGE('',*,*,#194668,.T.); #260329=ORIENTED_EDGE('',*,*,#194669,.T.); #260330=ORIENTED_EDGE('',*,*,#194670,.F.); #260331=ORIENTED_EDGE('',*,*,#194671,.F.); #260332=ORIENTED_EDGE('',*,*,#194672,.T.); #260333=ORIENTED_EDGE('',*,*,#194671,.T.); #260334=ORIENTED_EDGE('',*,*,#194673,.F.); #260335=ORIENTED_EDGE('',*,*,#194674,.F.); #260336=ORIENTED_EDGE('',*,*,#194675,.T.); #260337=ORIENTED_EDGE('',*,*,#194674,.T.); #260338=ORIENTED_EDGE('',*,*,#194676,.F.); #260339=ORIENTED_EDGE('',*,*,#194669,.F.); #260340=ORIENTED_EDGE('',*,*,#194676,.T.); #260341=ORIENTED_EDGE('',*,*,#194673,.T.); #260342=ORIENTED_EDGE('',*,*,#194670,.T.); #260343=ORIENTED_EDGE('',*,*,#194667,.T.); #260344=ORIENTED_EDGE('',*,*,#194675,.F.); #260345=ORIENTED_EDGE('',*,*,#194668,.F.); #260346=ORIENTED_EDGE('',*,*,#194672,.F.); #260347=ORIENTED_EDGE('',*,*,#194665,.T.); #260348=ORIENTED_EDGE('',*,*,#194677,.F.); #260349=ORIENTED_EDGE('',*,*,#194678,.T.); #260350=ORIENTED_EDGE('',*,*,#194679,.F.); #260351=ORIENTED_EDGE('',*,*,#194678,.F.); #260352=ORIENTED_EDGE('',*,*,#194680,.T.); #260353=ORIENTED_EDGE('',*,*,#194681,.T.); #260354=ORIENTED_EDGE('',*,*,#194682,.F.); #260355=ORIENTED_EDGE('',*,*,#194683,.F.); #260356=ORIENTED_EDGE('',*,*,#194684,.T.); #260357=ORIENTED_EDGE('',*,*,#194683,.T.); #260358=ORIENTED_EDGE('',*,*,#194685,.F.); #260359=ORIENTED_EDGE('',*,*,#194686,.F.); #260360=ORIENTED_EDGE('',*,*,#194687,.T.); #260361=ORIENTED_EDGE('',*,*,#194686,.T.); #260362=ORIENTED_EDGE('',*,*,#194688,.F.); #260363=ORIENTED_EDGE('',*,*,#194681,.F.); #260364=ORIENTED_EDGE('',*,*,#194688,.T.); #260365=ORIENTED_EDGE('',*,*,#194685,.T.); #260366=ORIENTED_EDGE('',*,*,#194682,.T.); #260367=ORIENTED_EDGE('',*,*,#194679,.T.); #260368=ORIENTED_EDGE('',*,*,#194687,.F.); #260369=ORIENTED_EDGE('',*,*,#194680,.F.); #260370=ORIENTED_EDGE('',*,*,#194684,.F.); #260371=ORIENTED_EDGE('',*,*,#194677,.T.); #260372=ORIENTED_EDGE('',*,*,#194689,.F.); #260373=ORIENTED_EDGE('',*,*,#194690,.T.); #260374=ORIENTED_EDGE('',*,*,#194691,.F.); #260375=ORIENTED_EDGE('',*,*,#194690,.F.); #260376=ORIENTED_EDGE('',*,*,#194692,.T.); #260377=ORIENTED_EDGE('',*,*,#194693,.T.); #260378=ORIENTED_EDGE('',*,*,#194694,.F.); #260379=ORIENTED_EDGE('',*,*,#194695,.F.); #260380=ORIENTED_EDGE('',*,*,#194696,.T.); #260381=ORIENTED_EDGE('',*,*,#194695,.T.); #260382=ORIENTED_EDGE('',*,*,#194697,.F.); #260383=ORIENTED_EDGE('',*,*,#194698,.F.); #260384=ORIENTED_EDGE('',*,*,#194699,.T.); #260385=ORIENTED_EDGE('',*,*,#194698,.T.); #260386=ORIENTED_EDGE('',*,*,#194700,.F.); #260387=ORIENTED_EDGE('',*,*,#194701,.F.); #260388=ORIENTED_EDGE('',*,*,#194702,.T.); #260389=ORIENTED_EDGE('',*,*,#194701,.T.); #260390=ORIENTED_EDGE('',*,*,#194703,.F.); #260391=ORIENTED_EDGE('',*,*,#194693,.F.); #260392=ORIENTED_EDGE('',*,*,#194703,.T.); #260393=ORIENTED_EDGE('',*,*,#194700,.T.); #260394=ORIENTED_EDGE('',*,*,#194697,.T.); #260395=ORIENTED_EDGE('',*,*,#194694,.T.); #260396=ORIENTED_EDGE('',*,*,#194691,.T.); #260397=ORIENTED_EDGE('',*,*,#194702,.F.); #260398=ORIENTED_EDGE('',*,*,#194692,.F.); #260399=ORIENTED_EDGE('',*,*,#194696,.F.); #260400=ORIENTED_EDGE('',*,*,#194699,.F.); #260401=ORIENTED_EDGE('',*,*,#194689,.T.); #260402=ORIENTED_EDGE('',*,*,#194704,.F.); #260403=ORIENTED_EDGE('',*,*,#194705,.T.); #260404=ORIENTED_EDGE('',*,*,#194706,.F.); #260405=ORIENTED_EDGE('',*,*,#194705,.F.); #260406=ORIENTED_EDGE('',*,*,#194707,.T.); #260407=ORIENTED_EDGE('',*,*,#194708,.T.); #260408=ORIENTED_EDGE('',*,*,#194709,.F.); #260409=ORIENTED_EDGE('',*,*,#194710,.F.); #260410=ORIENTED_EDGE('',*,*,#194711,.T.); #260411=ORIENTED_EDGE('',*,*,#194710,.T.); #260412=ORIENTED_EDGE('',*,*,#194712,.F.); #260413=ORIENTED_EDGE('',*,*,#194713,.F.); #260414=ORIENTED_EDGE('',*,*,#194714,.T.); #260415=ORIENTED_EDGE('',*,*,#194713,.T.); #260416=ORIENTED_EDGE('',*,*,#194715,.F.); #260417=ORIENTED_EDGE('',*,*,#194716,.F.); #260418=ORIENTED_EDGE('',*,*,#194717,.T.); #260419=ORIENTED_EDGE('',*,*,#194716,.T.); #260420=ORIENTED_EDGE('',*,*,#194718,.F.); #260421=ORIENTED_EDGE('',*,*,#194719,.F.); #260422=ORIENTED_EDGE('',*,*,#194720,.T.); #260423=ORIENTED_EDGE('',*,*,#194719,.T.); #260424=ORIENTED_EDGE('',*,*,#194721,.F.); #260425=ORIENTED_EDGE('',*,*,#194722,.F.); #260426=ORIENTED_EDGE('',*,*,#194723,.T.); #260427=ORIENTED_EDGE('',*,*,#194722,.T.); #260428=ORIENTED_EDGE('',*,*,#194724,.F.); #260429=ORIENTED_EDGE('',*,*,#194725,.F.); #260430=ORIENTED_EDGE('',*,*,#194726,.T.); #260431=ORIENTED_EDGE('',*,*,#194725,.T.); #260432=ORIENTED_EDGE('',*,*,#194727,.F.); #260433=ORIENTED_EDGE('',*,*,#194728,.F.); #260434=ORIENTED_EDGE('',*,*,#194729,.T.); #260435=ORIENTED_EDGE('',*,*,#194728,.T.); #260436=ORIENTED_EDGE('',*,*,#194730,.F.); #260437=ORIENTED_EDGE('',*,*,#194708,.F.); #260438=ORIENTED_EDGE('',*,*,#194730,.T.); #260439=ORIENTED_EDGE('',*,*,#194727,.T.); #260440=ORIENTED_EDGE('',*,*,#194724,.T.); #260441=ORIENTED_EDGE('',*,*,#194721,.T.); #260442=ORIENTED_EDGE('',*,*,#194718,.T.); #260443=ORIENTED_EDGE('',*,*,#194715,.T.); #260444=ORIENTED_EDGE('',*,*,#194712,.T.); #260445=ORIENTED_EDGE('',*,*,#194709,.T.); #260446=ORIENTED_EDGE('',*,*,#194706,.T.); #260447=ORIENTED_EDGE('',*,*,#194729,.F.); #260448=ORIENTED_EDGE('',*,*,#194707,.F.); #260449=ORIENTED_EDGE('',*,*,#194711,.F.); #260450=ORIENTED_EDGE('',*,*,#194714,.F.); #260451=ORIENTED_EDGE('',*,*,#194717,.F.); #260452=ORIENTED_EDGE('',*,*,#194720,.F.); #260453=ORIENTED_EDGE('',*,*,#194723,.F.); #260454=ORIENTED_EDGE('',*,*,#194726,.F.); #260455=ORIENTED_EDGE('',*,*,#194704,.T.); #260456=ORIENTED_EDGE('',*,*,#194731,.F.); #260457=ORIENTED_EDGE('',*,*,#194732,.T.); #260458=ORIENTED_EDGE('',*,*,#194733,.F.); #260459=ORIENTED_EDGE('',*,*,#194732,.F.); #260460=ORIENTED_EDGE('',*,*,#194734,.T.); #260461=ORIENTED_EDGE('',*,*,#194735,.T.); #260462=ORIENTED_EDGE('',*,*,#194736,.F.); #260463=ORIENTED_EDGE('',*,*,#194737,.F.); #260464=ORIENTED_EDGE('',*,*,#194738,.T.); #260465=ORIENTED_EDGE('',*,*,#194737,.T.); #260466=ORIENTED_EDGE('',*,*,#194739,.F.); #260467=ORIENTED_EDGE('',*,*,#194740,.F.); #260468=ORIENTED_EDGE('',*,*,#194741,.T.); #260469=ORIENTED_EDGE('',*,*,#194740,.T.); #260470=ORIENTED_EDGE('',*,*,#194742,.F.); #260471=ORIENTED_EDGE('',*,*,#194735,.F.); #260472=ORIENTED_EDGE('',*,*,#194742,.T.); #260473=ORIENTED_EDGE('',*,*,#194739,.T.); #260474=ORIENTED_EDGE('',*,*,#194736,.T.); #260475=ORIENTED_EDGE('',*,*,#194733,.T.); #260476=ORIENTED_EDGE('',*,*,#194741,.F.); #260477=ORIENTED_EDGE('',*,*,#194734,.F.); #260478=ORIENTED_EDGE('',*,*,#194738,.F.); #260479=ORIENTED_EDGE('',*,*,#194731,.T.); #260480=ORIENTED_EDGE('',*,*,#194743,.F.); #260481=ORIENTED_EDGE('',*,*,#194744,.T.); #260482=ORIENTED_EDGE('',*,*,#194745,.F.); #260483=ORIENTED_EDGE('',*,*,#194744,.F.); #260484=ORIENTED_EDGE('',*,*,#194746,.T.); #260485=ORIENTED_EDGE('',*,*,#194747,.T.); #260486=ORIENTED_EDGE('',*,*,#194748,.F.); #260487=ORIENTED_EDGE('',*,*,#194749,.F.); #260488=ORIENTED_EDGE('',*,*,#194750,.T.); #260489=ORIENTED_EDGE('',*,*,#194749,.T.); #260490=ORIENTED_EDGE('',*,*,#194751,.F.); #260491=ORIENTED_EDGE('',*,*,#194752,.F.); #260492=ORIENTED_EDGE('',*,*,#194753,.T.); #260493=ORIENTED_EDGE('',*,*,#194752,.T.); #260494=ORIENTED_EDGE('',*,*,#194754,.F.); #260495=ORIENTED_EDGE('',*,*,#194747,.F.); #260496=ORIENTED_EDGE('',*,*,#194754,.T.); #260497=ORIENTED_EDGE('',*,*,#194751,.T.); #260498=ORIENTED_EDGE('',*,*,#194748,.T.); #260499=ORIENTED_EDGE('',*,*,#194745,.T.); #260500=ORIENTED_EDGE('',*,*,#194753,.F.); #260501=ORIENTED_EDGE('',*,*,#194746,.F.); #260502=ORIENTED_EDGE('',*,*,#194750,.F.); #260503=ORIENTED_EDGE('',*,*,#194743,.T.); #260504=ORIENTED_EDGE('',*,*,#194755,.T.); #260505=ORIENTED_EDGE('',*,*,#194756,.T.); #260506=ORIENTED_EDGE('',*,*,#194757,.F.); #260507=ORIENTED_EDGE('',*,*,#194758,.F.); #260508=ORIENTED_EDGE('',*,*,#194759,.T.); #260509=ORIENTED_EDGE('',*,*,#194758,.T.); #260510=ORIENTED_EDGE('',*,*,#194760,.F.); #260511=ORIENTED_EDGE('',*,*,#194761,.F.); #260512=ORIENTED_EDGE('',*,*,#194762,.T.); #260513=ORIENTED_EDGE('',*,*,#194761,.T.); #260514=ORIENTED_EDGE('',*,*,#194763,.F.); #260515=ORIENTED_EDGE('',*,*,#194764,.F.); #260516=ORIENTED_EDGE('',*,*,#194765,.T.); #260517=ORIENTED_EDGE('',*,*,#194764,.T.); #260518=ORIENTED_EDGE('',*,*,#194766,.F.); #260519=ORIENTED_EDGE('',*,*,#194756,.F.); #260520=ORIENTED_EDGE('',*,*,#194766,.T.); #260521=ORIENTED_EDGE('',*,*,#194763,.T.); #260522=ORIENTED_EDGE('',*,*,#194760,.T.); #260523=ORIENTED_EDGE('',*,*,#194757,.T.); #260524=ORIENTED_EDGE('',*,*,#194765,.F.); #260525=ORIENTED_EDGE('',*,*,#194755,.F.); #260526=ORIENTED_EDGE('',*,*,#194759,.F.); #260527=ORIENTED_EDGE('',*,*,#194762,.F.); #260528=ORIENTED_EDGE('',*,*,#194767,.T.); #260529=ORIENTED_EDGE('',*,*,#194768,.T.); #260530=ORIENTED_EDGE('',*,*,#194769,.F.); #260531=ORIENTED_EDGE('',*,*,#194770,.F.); #260532=ORIENTED_EDGE('',*,*,#194771,.T.); #260533=ORIENTED_EDGE('',*,*,#194770,.T.); #260534=ORIENTED_EDGE('',*,*,#194772,.F.); #260535=ORIENTED_EDGE('',*,*,#194773,.F.); #260536=ORIENTED_EDGE('',*,*,#194774,.T.); #260537=ORIENTED_EDGE('',*,*,#194773,.T.); #260538=ORIENTED_EDGE('',*,*,#194775,.F.); #260539=ORIENTED_EDGE('',*,*,#194776,.F.); #260540=ORIENTED_EDGE('',*,*,#194777,.T.); #260541=ORIENTED_EDGE('',*,*,#194776,.T.); #260542=ORIENTED_EDGE('',*,*,#194778,.F.); #260543=ORIENTED_EDGE('',*,*,#194768,.F.); #260544=ORIENTED_EDGE('',*,*,#194778,.T.); #260545=ORIENTED_EDGE('',*,*,#194775,.T.); #260546=ORIENTED_EDGE('',*,*,#194772,.T.); #260547=ORIENTED_EDGE('',*,*,#194769,.T.); #260548=ORIENTED_EDGE('',*,*,#194777,.F.); #260549=ORIENTED_EDGE('',*,*,#194767,.F.); #260550=ORIENTED_EDGE('',*,*,#194771,.F.); #260551=ORIENTED_EDGE('',*,*,#194774,.F.); #260552=ORIENTED_EDGE('',*,*,#194779,.T.); #260553=ORIENTED_EDGE('',*,*,#194780,.T.); #260554=ORIENTED_EDGE('',*,*,#194781,.F.); #260555=ORIENTED_EDGE('',*,*,#194782,.F.); #260556=ORIENTED_EDGE('',*,*,#194783,.T.); #260557=ORIENTED_EDGE('',*,*,#194782,.T.); #260558=ORIENTED_EDGE('',*,*,#194784,.F.); #260559=ORIENTED_EDGE('',*,*,#194785,.F.); #260560=ORIENTED_EDGE('',*,*,#194786,.T.); #260561=ORIENTED_EDGE('',*,*,#194785,.T.); #260562=ORIENTED_EDGE('',*,*,#194787,.F.); #260563=ORIENTED_EDGE('',*,*,#194788,.F.); #260564=ORIENTED_EDGE('',*,*,#194789,.T.); #260565=ORIENTED_EDGE('',*,*,#194788,.T.); #260566=ORIENTED_EDGE('',*,*,#194790,.F.); #260567=ORIENTED_EDGE('',*,*,#194780,.F.); #260568=ORIENTED_EDGE('',*,*,#194790,.T.); #260569=ORIENTED_EDGE('',*,*,#194787,.T.); #260570=ORIENTED_EDGE('',*,*,#194784,.T.); #260571=ORIENTED_EDGE('',*,*,#194781,.T.); #260572=ORIENTED_EDGE('',*,*,#194789,.F.); #260573=ORIENTED_EDGE('',*,*,#194779,.F.); #260574=ORIENTED_EDGE('',*,*,#194783,.F.); #260575=ORIENTED_EDGE('',*,*,#194786,.F.); #260576=ORIENTED_EDGE('',*,*,#194791,.T.); #260577=ORIENTED_EDGE('',*,*,#194792,.T.); #260578=ORIENTED_EDGE('',*,*,#194793,.F.); #260579=ORIENTED_EDGE('',*,*,#194794,.F.); #260580=ORIENTED_EDGE('',*,*,#194795,.T.); #260581=ORIENTED_EDGE('',*,*,#194794,.T.); #260582=ORIENTED_EDGE('',*,*,#194796,.F.); #260583=ORIENTED_EDGE('',*,*,#194797,.F.); #260584=ORIENTED_EDGE('',*,*,#194798,.T.); #260585=ORIENTED_EDGE('',*,*,#194797,.T.); #260586=ORIENTED_EDGE('',*,*,#194799,.F.); #260587=ORIENTED_EDGE('',*,*,#194800,.F.); #260588=ORIENTED_EDGE('',*,*,#194801,.T.); #260589=ORIENTED_EDGE('',*,*,#194800,.T.); #260590=ORIENTED_EDGE('',*,*,#194802,.F.); #260591=ORIENTED_EDGE('',*,*,#194792,.F.); #260592=ORIENTED_EDGE('',*,*,#194802,.T.); #260593=ORIENTED_EDGE('',*,*,#194799,.T.); #260594=ORIENTED_EDGE('',*,*,#194796,.T.); #260595=ORIENTED_EDGE('',*,*,#194793,.T.); #260596=ORIENTED_EDGE('',*,*,#194801,.F.); #260597=ORIENTED_EDGE('',*,*,#194791,.F.); #260598=ORIENTED_EDGE('',*,*,#194795,.F.); #260599=ORIENTED_EDGE('',*,*,#194798,.F.); #260600=ORIENTED_EDGE('',*,*,#194803,.T.); #260601=ORIENTED_EDGE('',*,*,#194804,.T.); #260602=ORIENTED_EDGE('',*,*,#194805,.F.); #260603=ORIENTED_EDGE('',*,*,#194806,.F.); #260604=ORIENTED_EDGE('',*,*,#194807,.T.); #260605=ORIENTED_EDGE('',*,*,#194806,.T.); #260606=ORIENTED_EDGE('',*,*,#194808,.F.); #260607=ORIENTED_EDGE('',*,*,#194809,.F.); #260608=ORIENTED_EDGE('',*,*,#194810,.T.); #260609=ORIENTED_EDGE('',*,*,#194809,.T.); #260610=ORIENTED_EDGE('',*,*,#194811,.F.); #260611=ORIENTED_EDGE('',*,*,#194812,.F.); #260612=ORIENTED_EDGE('',*,*,#194813,.T.); #260613=ORIENTED_EDGE('',*,*,#194812,.T.); #260614=ORIENTED_EDGE('',*,*,#194814,.F.); #260615=ORIENTED_EDGE('',*,*,#194804,.F.); #260616=ORIENTED_EDGE('',*,*,#194814,.T.); #260617=ORIENTED_EDGE('',*,*,#194811,.T.); #260618=ORIENTED_EDGE('',*,*,#194808,.T.); #260619=ORIENTED_EDGE('',*,*,#194805,.T.); #260620=ORIENTED_EDGE('',*,*,#194813,.F.); #260621=ORIENTED_EDGE('',*,*,#194803,.F.); #260622=ORIENTED_EDGE('',*,*,#194807,.F.); #260623=ORIENTED_EDGE('',*,*,#194810,.F.); #260624=ORIENTED_EDGE('',*,*,#194815,.T.); #260625=ORIENTED_EDGE('',*,*,#194816,.T.); #260626=ORIENTED_EDGE('',*,*,#194817,.F.); #260627=ORIENTED_EDGE('',*,*,#194818,.F.); #260628=ORIENTED_EDGE('',*,*,#194819,.T.); #260629=ORIENTED_EDGE('',*,*,#194818,.T.); #260630=ORIENTED_EDGE('',*,*,#194820,.F.); #260631=ORIENTED_EDGE('',*,*,#194821,.F.); #260632=ORIENTED_EDGE('',*,*,#194822,.T.); #260633=ORIENTED_EDGE('',*,*,#194821,.T.); #260634=ORIENTED_EDGE('',*,*,#194823,.F.); #260635=ORIENTED_EDGE('',*,*,#194824,.F.); #260636=ORIENTED_EDGE('',*,*,#194825,.T.); #260637=ORIENTED_EDGE('',*,*,#194824,.T.); #260638=ORIENTED_EDGE('',*,*,#194826,.F.); #260639=ORIENTED_EDGE('',*,*,#194816,.F.); #260640=ORIENTED_EDGE('',*,*,#194826,.T.); #260641=ORIENTED_EDGE('',*,*,#194823,.T.); #260642=ORIENTED_EDGE('',*,*,#194820,.T.); #260643=ORIENTED_EDGE('',*,*,#194817,.T.); #260644=ORIENTED_EDGE('',*,*,#194825,.F.); #260645=ORIENTED_EDGE('',*,*,#194815,.F.); #260646=ORIENTED_EDGE('',*,*,#194819,.F.); #260647=ORIENTED_EDGE('',*,*,#194822,.F.); #260648=ORIENTED_EDGE('',*,*,#194827,.T.); #260649=ORIENTED_EDGE('',*,*,#194828,.T.); #260650=ORIENTED_EDGE('',*,*,#194829,.F.); #260651=ORIENTED_EDGE('',*,*,#194830,.F.); #260652=ORIENTED_EDGE('',*,*,#194831,.T.); #260653=ORIENTED_EDGE('',*,*,#194830,.T.); #260654=ORIENTED_EDGE('',*,*,#194832,.F.); #260655=ORIENTED_EDGE('',*,*,#194833,.F.); #260656=ORIENTED_EDGE('',*,*,#194834,.T.); #260657=ORIENTED_EDGE('',*,*,#194833,.T.); #260658=ORIENTED_EDGE('',*,*,#194835,.F.); #260659=ORIENTED_EDGE('',*,*,#194836,.F.); #260660=ORIENTED_EDGE('',*,*,#194837,.T.); #260661=ORIENTED_EDGE('',*,*,#194836,.T.); #260662=ORIENTED_EDGE('',*,*,#194838,.F.); #260663=ORIENTED_EDGE('',*,*,#194828,.F.); #260664=ORIENTED_EDGE('',*,*,#194838,.T.); #260665=ORIENTED_EDGE('',*,*,#194835,.T.); #260666=ORIENTED_EDGE('',*,*,#194832,.T.); #260667=ORIENTED_EDGE('',*,*,#194829,.T.); #260668=ORIENTED_EDGE('',*,*,#194837,.F.); #260669=ORIENTED_EDGE('',*,*,#194827,.F.); #260670=ORIENTED_EDGE('',*,*,#194831,.F.); #260671=ORIENTED_EDGE('',*,*,#194834,.F.); #260672=ORIENTED_EDGE('',*,*,#194839,.T.); #260673=ORIENTED_EDGE('',*,*,#194840,.T.); #260674=ORIENTED_EDGE('',*,*,#194841,.F.); #260675=ORIENTED_EDGE('',*,*,#194842,.F.); #260676=ORIENTED_EDGE('',*,*,#194843,.T.); #260677=ORIENTED_EDGE('',*,*,#194842,.T.); #260678=ORIENTED_EDGE('',*,*,#194844,.F.); #260679=ORIENTED_EDGE('',*,*,#194845,.F.); #260680=ORIENTED_EDGE('',*,*,#194846,.T.); #260681=ORIENTED_EDGE('',*,*,#194845,.T.); #260682=ORIENTED_EDGE('',*,*,#194847,.F.); #260683=ORIENTED_EDGE('',*,*,#194848,.F.); #260684=ORIENTED_EDGE('',*,*,#194849,.T.); #260685=ORIENTED_EDGE('',*,*,#194848,.T.); #260686=ORIENTED_EDGE('',*,*,#194850,.F.); #260687=ORIENTED_EDGE('',*,*,#194840,.F.); #260688=ORIENTED_EDGE('',*,*,#194850,.T.); #260689=ORIENTED_EDGE('',*,*,#194847,.T.); #260690=ORIENTED_EDGE('',*,*,#194844,.T.); #260691=ORIENTED_EDGE('',*,*,#194841,.T.); #260692=ORIENTED_EDGE('',*,*,#194849,.F.); #260693=ORIENTED_EDGE('',*,*,#194839,.F.); #260694=ORIENTED_EDGE('',*,*,#194843,.F.); #260695=ORIENTED_EDGE('',*,*,#194846,.F.); #260696=ORIENTED_EDGE('',*,*,#194851,.T.); #260697=ORIENTED_EDGE('',*,*,#194852,.T.); #260698=ORIENTED_EDGE('',*,*,#194853,.F.); #260699=ORIENTED_EDGE('',*,*,#194854,.F.); #260700=ORIENTED_EDGE('',*,*,#194855,.T.); #260701=ORIENTED_EDGE('',*,*,#194854,.T.); #260702=ORIENTED_EDGE('',*,*,#194856,.F.); #260703=ORIENTED_EDGE('',*,*,#194857,.F.); #260704=ORIENTED_EDGE('',*,*,#194858,.T.); #260705=ORIENTED_EDGE('',*,*,#194857,.T.); #260706=ORIENTED_EDGE('',*,*,#194859,.F.); #260707=ORIENTED_EDGE('',*,*,#194860,.F.); #260708=ORIENTED_EDGE('',*,*,#194861,.T.); #260709=ORIENTED_EDGE('',*,*,#194860,.T.); #260710=ORIENTED_EDGE('',*,*,#194862,.F.); #260711=ORIENTED_EDGE('',*,*,#194852,.F.); #260712=ORIENTED_EDGE('',*,*,#194862,.T.); #260713=ORIENTED_EDGE('',*,*,#194859,.T.); #260714=ORIENTED_EDGE('',*,*,#194856,.T.); #260715=ORIENTED_EDGE('',*,*,#194853,.T.); #260716=ORIENTED_EDGE('',*,*,#194861,.F.); #260717=ORIENTED_EDGE('',*,*,#194851,.F.); #260718=ORIENTED_EDGE('',*,*,#194855,.F.); #260719=ORIENTED_EDGE('',*,*,#194858,.F.); #260720=ORIENTED_EDGE('',*,*,#194863,.T.); #260721=ORIENTED_EDGE('',*,*,#194864,.T.); #260722=ORIENTED_EDGE('',*,*,#194865,.F.); #260723=ORIENTED_EDGE('',*,*,#194866,.F.); #260724=ORIENTED_EDGE('',*,*,#194867,.T.); #260725=ORIENTED_EDGE('',*,*,#194866,.T.); #260726=ORIENTED_EDGE('',*,*,#194868,.F.); #260727=ORIENTED_EDGE('',*,*,#194869,.F.); #260728=ORIENTED_EDGE('',*,*,#194870,.T.); #260729=ORIENTED_EDGE('',*,*,#194869,.T.); #260730=ORIENTED_EDGE('',*,*,#194871,.F.); #260731=ORIENTED_EDGE('',*,*,#194872,.F.); #260732=ORIENTED_EDGE('',*,*,#194873,.T.); #260733=ORIENTED_EDGE('',*,*,#194872,.T.); #260734=ORIENTED_EDGE('',*,*,#194874,.F.); #260735=ORIENTED_EDGE('',*,*,#194864,.F.); #260736=ORIENTED_EDGE('',*,*,#194874,.T.); #260737=ORIENTED_EDGE('',*,*,#194871,.T.); #260738=ORIENTED_EDGE('',*,*,#194868,.T.); #260739=ORIENTED_EDGE('',*,*,#194865,.T.); #260740=ORIENTED_EDGE('',*,*,#194873,.F.); #260741=ORIENTED_EDGE('',*,*,#194863,.F.); #260742=ORIENTED_EDGE('',*,*,#194867,.F.); #260743=ORIENTED_EDGE('',*,*,#194870,.F.); #260744=ORIENTED_EDGE('',*,*,#194875,.T.); #260745=ORIENTED_EDGE('',*,*,#194876,.T.); #260746=ORIENTED_EDGE('',*,*,#194877,.F.); #260747=ORIENTED_EDGE('',*,*,#194878,.F.); #260748=ORIENTED_EDGE('',*,*,#194879,.T.); #260749=ORIENTED_EDGE('',*,*,#194878,.T.); #260750=ORIENTED_EDGE('',*,*,#194880,.F.); #260751=ORIENTED_EDGE('',*,*,#194881,.F.); #260752=ORIENTED_EDGE('',*,*,#194882,.T.); #260753=ORIENTED_EDGE('',*,*,#194881,.T.); #260754=ORIENTED_EDGE('',*,*,#194883,.F.); #260755=ORIENTED_EDGE('',*,*,#194884,.F.); #260756=ORIENTED_EDGE('',*,*,#194885,.T.); #260757=ORIENTED_EDGE('',*,*,#194884,.T.); #260758=ORIENTED_EDGE('',*,*,#194886,.F.); #260759=ORIENTED_EDGE('',*,*,#194876,.F.); #260760=ORIENTED_EDGE('',*,*,#194886,.T.); #260761=ORIENTED_EDGE('',*,*,#194883,.T.); #260762=ORIENTED_EDGE('',*,*,#194880,.T.); #260763=ORIENTED_EDGE('',*,*,#194877,.T.); #260764=ORIENTED_EDGE('',*,*,#194885,.F.); #260765=ORIENTED_EDGE('',*,*,#194875,.F.); #260766=ORIENTED_EDGE('',*,*,#194879,.F.); #260767=ORIENTED_EDGE('',*,*,#194882,.F.); #260768=ORIENTED_EDGE('',*,*,#194887,.T.); #260769=ORIENTED_EDGE('',*,*,#194888,.T.); #260770=ORIENTED_EDGE('',*,*,#194889,.F.); #260771=ORIENTED_EDGE('',*,*,#194890,.F.); #260772=ORIENTED_EDGE('',*,*,#194891,.T.); #260773=ORIENTED_EDGE('',*,*,#194890,.T.); #260774=ORIENTED_EDGE('',*,*,#194892,.F.); #260775=ORIENTED_EDGE('',*,*,#194893,.F.); #260776=ORIENTED_EDGE('',*,*,#194894,.T.); #260777=ORIENTED_EDGE('',*,*,#194893,.T.); #260778=ORIENTED_EDGE('',*,*,#194895,.F.); #260779=ORIENTED_EDGE('',*,*,#194896,.F.); #260780=ORIENTED_EDGE('',*,*,#194897,.T.); #260781=ORIENTED_EDGE('',*,*,#194896,.T.); #260782=ORIENTED_EDGE('',*,*,#194898,.F.); #260783=ORIENTED_EDGE('',*,*,#194888,.F.); #260784=ORIENTED_EDGE('',*,*,#194898,.T.); #260785=ORIENTED_EDGE('',*,*,#194895,.T.); #260786=ORIENTED_EDGE('',*,*,#194892,.T.); #260787=ORIENTED_EDGE('',*,*,#194889,.T.); #260788=ORIENTED_EDGE('',*,*,#194897,.F.); #260789=ORIENTED_EDGE('',*,*,#194887,.F.); #260790=ORIENTED_EDGE('',*,*,#194891,.F.); #260791=ORIENTED_EDGE('',*,*,#194894,.F.); #260792=ORIENTED_EDGE('',*,*,#194899,.T.); #260793=ORIENTED_EDGE('',*,*,#194900,.T.); #260794=ORIENTED_EDGE('',*,*,#194901,.F.); #260795=ORIENTED_EDGE('',*,*,#194902,.F.); #260796=ORIENTED_EDGE('',*,*,#194903,.T.); #260797=ORIENTED_EDGE('',*,*,#194902,.T.); #260798=ORIENTED_EDGE('',*,*,#194904,.F.); #260799=ORIENTED_EDGE('',*,*,#194905,.F.); #260800=ORIENTED_EDGE('',*,*,#194906,.T.); #260801=ORIENTED_EDGE('',*,*,#194905,.T.); #260802=ORIENTED_EDGE('',*,*,#194907,.F.); #260803=ORIENTED_EDGE('',*,*,#194908,.F.); #260804=ORIENTED_EDGE('',*,*,#194909,.T.); #260805=ORIENTED_EDGE('',*,*,#194908,.T.); #260806=ORIENTED_EDGE('',*,*,#194910,.F.); #260807=ORIENTED_EDGE('',*,*,#194900,.F.); #260808=ORIENTED_EDGE('',*,*,#194910,.T.); #260809=ORIENTED_EDGE('',*,*,#194907,.T.); #260810=ORIENTED_EDGE('',*,*,#194904,.T.); #260811=ORIENTED_EDGE('',*,*,#194901,.T.); #260812=ORIENTED_EDGE('',*,*,#194909,.F.); #260813=ORIENTED_EDGE('',*,*,#194899,.F.); #260814=ORIENTED_EDGE('',*,*,#194903,.F.); #260815=ORIENTED_EDGE('',*,*,#194906,.F.); #260816=ORIENTED_EDGE('',*,*,#194911,.T.); #260817=ORIENTED_EDGE('',*,*,#194912,.T.); #260818=ORIENTED_EDGE('',*,*,#194913,.F.); #260819=ORIENTED_EDGE('',*,*,#194914,.F.); #260820=ORIENTED_EDGE('',*,*,#194915,.T.); #260821=ORIENTED_EDGE('',*,*,#194914,.T.); #260822=ORIENTED_EDGE('',*,*,#194916,.F.); #260823=ORIENTED_EDGE('',*,*,#194917,.F.); #260824=ORIENTED_EDGE('',*,*,#194918,.T.); #260825=ORIENTED_EDGE('',*,*,#194917,.T.); #260826=ORIENTED_EDGE('',*,*,#194919,.F.); #260827=ORIENTED_EDGE('',*,*,#194920,.F.); #260828=ORIENTED_EDGE('',*,*,#194921,.T.); #260829=ORIENTED_EDGE('',*,*,#194920,.T.); #260830=ORIENTED_EDGE('',*,*,#194922,.F.); #260831=ORIENTED_EDGE('',*,*,#194912,.F.); #260832=ORIENTED_EDGE('',*,*,#194922,.T.); #260833=ORIENTED_EDGE('',*,*,#194919,.T.); #260834=ORIENTED_EDGE('',*,*,#194916,.T.); #260835=ORIENTED_EDGE('',*,*,#194913,.T.); #260836=ORIENTED_EDGE('',*,*,#194921,.F.); #260837=ORIENTED_EDGE('',*,*,#194911,.F.); #260838=ORIENTED_EDGE('',*,*,#194915,.F.); #260839=ORIENTED_EDGE('',*,*,#194918,.F.); #260840=ORIENTED_EDGE('',*,*,#194923,.F.); #260841=ORIENTED_EDGE('',*,*,#194924,.T.); #260842=ORIENTED_EDGE('',*,*,#194925,.F.); #260843=ORIENTED_EDGE('',*,*,#194924,.F.); #260844=ORIENTED_EDGE('',*,*,#194926,.F.); #260845=ORIENTED_EDGE('',*,*,#194927,.T.); #260846=ORIENTED_EDGE('',*,*,#194928,.F.); #260847=ORIENTED_EDGE('',*,*,#194927,.F.); #260848=ORIENTED_EDGE('',*,*,#194929,.F.); #260849=ORIENTED_EDGE('',*,*,#194930,.T.); #260850=ORIENTED_EDGE('',*,*,#194931,.F.); #260851=ORIENTED_EDGE('',*,*,#194930,.F.); #260852=ORIENTED_EDGE('',*,*,#194932,.F.); #260853=ORIENTED_EDGE('',*,*,#194933,.T.); #260854=ORIENTED_EDGE('',*,*,#194934,.F.); #260855=ORIENTED_EDGE('',*,*,#194933,.F.); #260856=ORIENTED_EDGE('',*,*,#194935,.F.); #260857=ORIENTED_EDGE('',*,*,#194936,.T.); #260858=ORIENTED_EDGE('',*,*,#194937,.F.); #260859=ORIENTED_EDGE('',*,*,#194936,.F.); #260860=ORIENTED_EDGE('',*,*,#194938,.F.); #260861=ORIENTED_EDGE('',*,*,#194939,.T.); #260862=ORIENTED_EDGE('',*,*,#194940,.F.); #260863=ORIENTED_EDGE('',*,*,#194939,.F.); #260864=ORIENTED_EDGE('',*,*,#194941,.T.); #260865=ORIENTED_EDGE('',*,*,#194942,.T.); #260866=ORIENTED_EDGE('',*,*,#194943,.F.); #260867=ORIENTED_EDGE('',*,*,#194944,.F.); #260868=ORIENTED_EDGE('',*,*,#194945,.T.); #260869=ORIENTED_EDGE('',*,*,#194944,.T.); #260870=ORIENTED_EDGE('',*,*,#194946,.F.); #260871=ORIENTED_EDGE('',*,*,#194947,.F.); #260872=ORIENTED_EDGE('',*,*,#194948,.T.); #260873=ORIENTED_EDGE('',*,*,#194947,.T.); #260874=ORIENTED_EDGE('',*,*,#194949,.F.); #260875=ORIENTED_EDGE('',*,*,#194950,.F.); #260876=ORIENTED_EDGE('',*,*,#194951,.T.); #260877=ORIENTED_EDGE('',*,*,#194950,.T.); #260878=ORIENTED_EDGE('',*,*,#194952,.F.); #260879=ORIENTED_EDGE('',*,*,#194942,.F.); #260880=ORIENTED_EDGE('',*,*,#194952,.T.); #260881=ORIENTED_EDGE('',*,*,#194949,.T.); #260882=ORIENTED_EDGE('',*,*,#194946,.T.); #260883=ORIENTED_EDGE('',*,*,#194943,.T.); #260884=ORIENTED_EDGE('',*,*,#194925,.T.); #260885=ORIENTED_EDGE('',*,*,#194928,.T.); #260886=ORIENTED_EDGE('',*,*,#194931,.T.); #260887=ORIENTED_EDGE('',*,*,#194934,.T.); #260888=ORIENTED_EDGE('',*,*,#194937,.T.); #260889=ORIENTED_EDGE('',*,*,#194940,.T.); #260890=ORIENTED_EDGE('',*,*,#194951,.F.); #260891=ORIENTED_EDGE('',*,*,#194941,.F.); #260892=ORIENTED_EDGE('',*,*,#194945,.F.); #260893=ORIENTED_EDGE('',*,*,#194948,.F.); #260894=ORIENTED_EDGE('',*,*,#194923,.T.); #260895=ORIENTED_EDGE('',*,*,#194926,.T.); #260896=ORIENTED_EDGE('',*,*,#194929,.T.); #260897=ORIENTED_EDGE('',*,*,#194932,.T.); #260898=ORIENTED_EDGE('',*,*,#194935,.T.); #260899=ORIENTED_EDGE('',*,*,#194938,.T.); #260900=ORIENTED_EDGE('',*,*,#194953,.T.); #260901=ORIENTED_EDGE('',*,*,#194954,.T.); #260902=ORIENTED_EDGE('',*,*,#194955,.F.); #260903=ORIENTED_EDGE('',*,*,#194956,.F.); #260904=ORIENTED_EDGE('',*,*,#194957,.T.); #260905=ORIENTED_EDGE('',*,*,#194956,.T.); #260906=ORIENTED_EDGE('',*,*,#194958,.F.); #260907=ORIENTED_EDGE('',*,*,#194959,.F.); #260908=ORIENTED_EDGE('',*,*,#194960,.T.); #260909=ORIENTED_EDGE('',*,*,#194959,.T.); #260910=ORIENTED_EDGE('',*,*,#194961,.F.); #260911=ORIENTED_EDGE('',*,*,#194962,.F.); #260912=ORIENTED_EDGE('',*,*,#194963,.T.); #260913=ORIENTED_EDGE('',*,*,#194962,.T.); #260914=ORIENTED_EDGE('',*,*,#194964,.F.); #260915=ORIENTED_EDGE('',*,*,#194954,.F.); #260916=ORIENTED_EDGE('',*,*,#194964,.T.); #260917=ORIENTED_EDGE('',*,*,#194961,.T.); #260918=ORIENTED_EDGE('',*,*,#194958,.T.); #260919=ORIENTED_EDGE('',*,*,#194955,.T.); #260920=ORIENTED_EDGE('',*,*,#194963,.F.); #260921=ORIENTED_EDGE('',*,*,#194953,.F.); #260922=ORIENTED_EDGE('',*,*,#194957,.F.); #260923=ORIENTED_EDGE('',*,*,#194960,.F.); #260924=ORIENTED_EDGE('',*,*,#194965,.T.); #260925=ORIENTED_EDGE('',*,*,#194966,.T.); #260926=ORIENTED_EDGE('',*,*,#194967,.F.); #260927=ORIENTED_EDGE('',*,*,#194968,.F.); #260928=ORIENTED_EDGE('',*,*,#194969,.T.); #260929=ORIENTED_EDGE('',*,*,#194968,.T.); #260930=ORIENTED_EDGE('',*,*,#194970,.F.); #260931=ORIENTED_EDGE('',*,*,#194971,.F.); #260932=ORIENTED_EDGE('',*,*,#194972,.T.); #260933=ORIENTED_EDGE('',*,*,#194971,.T.); #260934=ORIENTED_EDGE('',*,*,#194973,.F.); #260935=ORIENTED_EDGE('',*,*,#194974,.F.); #260936=ORIENTED_EDGE('',*,*,#194975,.T.); #260937=ORIENTED_EDGE('',*,*,#194974,.T.); #260938=ORIENTED_EDGE('',*,*,#194976,.F.); #260939=ORIENTED_EDGE('',*,*,#194966,.F.); #260940=ORIENTED_EDGE('',*,*,#194976,.T.); #260941=ORIENTED_EDGE('',*,*,#194973,.T.); #260942=ORIENTED_EDGE('',*,*,#194970,.T.); #260943=ORIENTED_EDGE('',*,*,#194967,.T.); #260944=ORIENTED_EDGE('',*,*,#194975,.F.); #260945=ORIENTED_EDGE('',*,*,#194965,.F.); #260946=ORIENTED_EDGE('',*,*,#194969,.F.); #260947=ORIENTED_EDGE('',*,*,#194972,.F.); #260948=ORIENTED_EDGE('',*,*,#194977,.T.); #260949=ORIENTED_EDGE('',*,*,#194978,.T.); #260950=ORIENTED_EDGE('',*,*,#194979,.F.); #260951=ORIENTED_EDGE('',*,*,#194980,.F.); #260952=ORIENTED_EDGE('',*,*,#194981,.T.); #260953=ORIENTED_EDGE('',*,*,#194980,.T.); #260954=ORIENTED_EDGE('',*,*,#194982,.F.); #260955=ORIENTED_EDGE('',*,*,#194983,.F.); #260956=ORIENTED_EDGE('',*,*,#194984,.T.); #260957=ORIENTED_EDGE('',*,*,#194983,.T.); #260958=ORIENTED_EDGE('',*,*,#194985,.F.); #260959=ORIENTED_EDGE('',*,*,#194986,.F.); #260960=ORIENTED_EDGE('',*,*,#194987,.T.); #260961=ORIENTED_EDGE('',*,*,#194986,.T.); #260962=ORIENTED_EDGE('',*,*,#194988,.F.); #260963=ORIENTED_EDGE('',*,*,#194978,.F.); #260964=ORIENTED_EDGE('',*,*,#194988,.T.); #260965=ORIENTED_EDGE('',*,*,#194985,.T.); #260966=ORIENTED_EDGE('',*,*,#194982,.T.); #260967=ORIENTED_EDGE('',*,*,#194979,.T.); #260968=ORIENTED_EDGE('',*,*,#194987,.F.); #260969=ORIENTED_EDGE('',*,*,#194977,.F.); #260970=ORIENTED_EDGE('',*,*,#194981,.F.); #260971=ORIENTED_EDGE('',*,*,#194984,.F.); #260972=ORIENTED_EDGE('',*,*,#194989,.T.); #260973=ORIENTED_EDGE('',*,*,#194990,.T.); #260974=ORIENTED_EDGE('',*,*,#194991,.F.); #260975=ORIENTED_EDGE('',*,*,#194992,.F.); #260976=ORIENTED_EDGE('',*,*,#194993,.T.); #260977=ORIENTED_EDGE('',*,*,#194992,.T.); #260978=ORIENTED_EDGE('',*,*,#194994,.F.); #260979=ORIENTED_EDGE('',*,*,#194995,.F.); #260980=ORIENTED_EDGE('',*,*,#194996,.T.); #260981=ORIENTED_EDGE('',*,*,#194995,.T.); #260982=ORIENTED_EDGE('',*,*,#194997,.F.); #260983=ORIENTED_EDGE('',*,*,#194998,.F.); #260984=ORIENTED_EDGE('',*,*,#194999,.T.); #260985=ORIENTED_EDGE('',*,*,#194998,.T.); #260986=ORIENTED_EDGE('',*,*,#195000,.F.); #260987=ORIENTED_EDGE('',*,*,#194990,.F.); #260988=ORIENTED_EDGE('',*,*,#195000,.T.); #260989=ORIENTED_EDGE('',*,*,#194997,.T.); #260990=ORIENTED_EDGE('',*,*,#194994,.T.); #260991=ORIENTED_EDGE('',*,*,#194991,.T.); #260992=ORIENTED_EDGE('',*,*,#194999,.F.); #260993=ORIENTED_EDGE('',*,*,#194989,.F.); #260994=ORIENTED_EDGE('',*,*,#194993,.F.); #260995=ORIENTED_EDGE('',*,*,#194996,.F.); #260996=ORIENTED_EDGE('',*,*,#195001,.T.); #260997=ORIENTED_EDGE('',*,*,#195002,.T.); #260998=ORIENTED_EDGE('',*,*,#195003,.F.); #260999=ORIENTED_EDGE('',*,*,#195004,.F.); #261000=ORIENTED_EDGE('',*,*,#195005,.T.); #261001=ORIENTED_EDGE('',*,*,#195004,.T.); #261002=ORIENTED_EDGE('',*,*,#195006,.F.); #261003=ORIENTED_EDGE('',*,*,#195007,.F.); #261004=ORIENTED_EDGE('',*,*,#195008,.T.); #261005=ORIENTED_EDGE('',*,*,#195007,.T.); #261006=ORIENTED_EDGE('',*,*,#195009,.F.); #261007=ORIENTED_EDGE('',*,*,#195010,.F.); #261008=ORIENTED_EDGE('',*,*,#195011,.T.); #261009=ORIENTED_EDGE('',*,*,#195010,.T.); #261010=ORIENTED_EDGE('',*,*,#195012,.F.); #261011=ORIENTED_EDGE('',*,*,#195002,.F.); #261012=ORIENTED_EDGE('',*,*,#195012,.T.); #261013=ORIENTED_EDGE('',*,*,#195009,.T.); #261014=ORIENTED_EDGE('',*,*,#195006,.T.); #261015=ORIENTED_EDGE('',*,*,#195003,.T.); #261016=ORIENTED_EDGE('',*,*,#195011,.F.); #261017=ORIENTED_EDGE('',*,*,#195001,.F.); #261018=ORIENTED_EDGE('',*,*,#195005,.F.); #261019=ORIENTED_EDGE('',*,*,#195008,.F.); #261020=ORIENTED_EDGE('',*,*,#195013,.T.); #261021=ORIENTED_EDGE('',*,*,#195014,.T.); #261022=ORIENTED_EDGE('',*,*,#195015,.F.); #261023=ORIENTED_EDGE('',*,*,#195016,.F.); #261024=ORIENTED_EDGE('',*,*,#195017,.T.); #261025=ORIENTED_EDGE('',*,*,#195016,.T.); #261026=ORIENTED_EDGE('',*,*,#195018,.F.); #261027=ORIENTED_EDGE('',*,*,#195019,.F.); #261028=ORIENTED_EDGE('',*,*,#195020,.T.); #261029=ORIENTED_EDGE('',*,*,#195019,.T.); #261030=ORIENTED_EDGE('',*,*,#195021,.F.); #261031=ORIENTED_EDGE('',*,*,#195022,.F.); #261032=ORIENTED_EDGE('',*,*,#195023,.T.); #261033=ORIENTED_EDGE('',*,*,#195022,.T.); #261034=ORIENTED_EDGE('',*,*,#195024,.F.); #261035=ORIENTED_EDGE('',*,*,#195014,.F.); #261036=ORIENTED_EDGE('',*,*,#195024,.T.); #261037=ORIENTED_EDGE('',*,*,#195021,.T.); #261038=ORIENTED_EDGE('',*,*,#195018,.T.); #261039=ORIENTED_EDGE('',*,*,#195015,.T.); #261040=ORIENTED_EDGE('',*,*,#195023,.F.); #261041=ORIENTED_EDGE('',*,*,#195013,.F.); #261042=ORIENTED_EDGE('',*,*,#195017,.F.); #261043=ORIENTED_EDGE('',*,*,#195020,.F.); #261044=ORIENTED_EDGE('',*,*,#195025,.T.); #261045=ORIENTED_EDGE('',*,*,#195026,.T.); #261046=ORIENTED_EDGE('',*,*,#195027,.F.); #261047=ORIENTED_EDGE('',*,*,#195028,.F.); #261048=ORIENTED_EDGE('',*,*,#195029,.T.); #261049=ORIENTED_EDGE('',*,*,#195028,.T.); #261050=ORIENTED_EDGE('',*,*,#195030,.F.); #261051=ORIENTED_EDGE('',*,*,#195031,.F.); #261052=ORIENTED_EDGE('',*,*,#195032,.T.); #261053=ORIENTED_EDGE('',*,*,#195031,.T.); #261054=ORIENTED_EDGE('',*,*,#195033,.F.); #261055=ORIENTED_EDGE('',*,*,#195034,.F.); #261056=ORIENTED_EDGE('',*,*,#195035,.T.); #261057=ORIENTED_EDGE('',*,*,#195034,.T.); #261058=ORIENTED_EDGE('',*,*,#195036,.F.); #261059=ORIENTED_EDGE('',*,*,#195026,.F.); #261060=ORIENTED_EDGE('',*,*,#195036,.T.); #261061=ORIENTED_EDGE('',*,*,#195033,.T.); #261062=ORIENTED_EDGE('',*,*,#195030,.T.); #261063=ORIENTED_EDGE('',*,*,#195027,.T.); #261064=ORIENTED_EDGE('',*,*,#195035,.F.); #261065=ORIENTED_EDGE('',*,*,#195025,.F.); #261066=ORIENTED_EDGE('',*,*,#195029,.F.); #261067=ORIENTED_EDGE('',*,*,#195032,.F.); #261068=ORIENTED_EDGE('',*,*,#195037,.T.); #261069=ORIENTED_EDGE('',*,*,#195038,.T.); #261070=ORIENTED_EDGE('',*,*,#195039,.F.); #261071=ORIENTED_EDGE('',*,*,#195040,.F.); #261072=ORIENTED_EDGE('',*,*,#195041,.T.); #261073=ORIENTED_EDGE('',*,*,#195040,.T.); #261074=ORIENTED_EDGE('',*,*,#195042,.F.); #261075=ORIENTED_EDGE('',*,*,#195043,.F.); #261076=ORIENTED_EDGE('',*,*,#195044,.T.); #261077=ORIENTED_EDGE('',*,*,#195043,.T.); #261078=ORIENTED_EDGE('',*,*,#195045,.F.); #261079=ORIENTED_EDGE('',*,*,#195046,.F.); #261080=ORIENTED_EDGE('',*,*,#195047,.T.); #261081=ORIENTED_EDGE('',*,*,#195046,.T.); #261082=ORIENTED_EDGE('',*,*,#195048,.F.); #261083=ORIENTED_EDGE('',*,*,#195038,.F.); #261084=ORIENTED_EDGE('',*,*,#195048,.T.); #261085=ORIENTED_EDGE('',*,*,#195045,.T.); #261086=ORIENTED_EDGE('',*,*,#195042,.T.); #261087=ORIENTED_EDGE('',*,*,#195039,.T.); #261088=ORIENTED_EDGE('',*,*,#195047,.F.); #261089=ORIENTED_EDGE('',*,*,#195037,.F.); #261090=ORIENTED_EDGE('',*,*,#195041,.F.); #261091=ORIENTED_EDGE('',*,*,#195044,.F.); #261092=ORIENTED_EDGE('',*,*,#195049,.T.); #261093=ORIENTED_EDGE('',*,*,#195050,.T.); #261094=ORIENTED_EDGE('',*,*,#195051,.F.); #261095=ORIENTED_EDGE('',*,*,#195052,.F.); #261096=ORIENTED_EDGE('',*,*,#195053,.T.); #261097=ORIENTED_EDGE('',*,*,#195052,.T.); #261098=ORIENTED_EDGE('',*,*,#195054,.F.); #261099=ORIENTED_EDGE('',*,*,#195055,.F.); #261100=ORIENTED_EDGE('',*,*,#195056,.T.); #261101=ORIENTED_EDGE('',*,*,#195055,.T.); #261102=ORIENTED_EDGE('',*,*,#195057,.F.); #261103=ORIENTED_EDGE('',*,*,#195058,.F.); #261104=ORIENTED_EDGE('',*,*,#195059,.T.); #261105=ORIENTED_EDGE('',*,*,#195058,.T.); #261106=ORIENTED_EDGE('',*,*,#195060,.F.); #261107=ORIENTED_EDGE('',*,*,#195050,.F.); #261108=ORIENTED_EDGE('',*,*,#195060,.T.); #261109=ORIENTED_EDGE('',*,*,#195057,.T.); #261110=ORIENTED_EDGE('',*,*,#195054,.T.); #261111=ORIENTED_EDGE('',*,*,#195051,.T.); #261112=ORIENTED_EDGE('',*,*,#195059,.F.); #261113=ORIENTED_EDGE('',*,*,#195049,.F.); #261114=ORIENTED_EDGE('',*,*,#195053,.F.); #261115=ORIENTED_EDGE('',*,*,#195056,.F.); #261116=ORIENTED_EDGE('',*,*,#195061,.T.); #261117=ORIENTED_EDGE('',*,*,#195062,.T.); #261118=ORIENTED_EDGE('',*,*,#195063,.F.); #261119=ORIENTED_EDGE('',*,*,#195064,.F.); #261120=ORIENTED_EDGE('',*,*,#195065,.T.); #261121=ORIENTED_EDGE('',*,*,#195064,.T.); #261122=ORIENTED_EDGE('',*,*,#195066,.F.); #261123=ORIENTED_EDGE('',*,*,#195067,.F.); #261124=ORIENTED_EDGE('',*,*,#195068,.T.); #261125=ORIENTED_EDGE('',*,*,#195067,.T.); #261126=ORIENTED_EDGE('',*,*,#195069,.F.); #261127=ORIENTED_EDGE('',*,*,#195070,.F.); #261128=ORIENTED_EDGE('',*,*,#195071,.T.); #261129=ORIENTED_EDGE('',*,*,#195070,.T.); #261130=ORIENTED_EDGE('',*,*,#195072,.F.); #261131=ORIENTED_EDGE('',*,*,#195062,.F.); #261132=ORIENTED_EDGE('',*,*,#195072,.T.); #261133=ORIENTED_EDGE('',*,*,#195069,.T.); #261134=ORIENTED_EDGE('',*,*,#195066,.T.); #261135=ORIENTED_EDGE('',*,*,#195063,.T.); #261136=ORIENTED_EDGE('',*,*,#195071,.F.); #261137=ORIENTED_EDGE('',*,*,#195061,.F.); #261138=ORIENTED_EDGE('',*,*,#195065,.F.); #261139=ORIENTED_EDGE('',*,*,#195068,.F.); #261140=ORIENTED_EDGE('',*,*,#195073,.T.); #261141=ORIENTED_EDGE('',*,*,#195074,.T.); #261142=ORIENTED_EDGE('',*,*,#195075,.F.); #261143=ORIENTED_EDGE('',*,*,#195076,.F.); #261144=ORIENTED_EDGE('',*,*,#195077,.T.); #261145=ORIENTED_EDGE('',*,*,#195076,.T.); #261146=ORIENTED_EDGE('',*,*,#195078,.F.); #261147=ORIENTED_EDGE('',*,*,#195079,.F.); #261148=ORIENTED_EDGE('',*,*,#195080,.T.); #261149=ORIENTED_EDGE('',*,*,#195079,.T.); #261150=ORIENTED_EDGE('',*,*,#195081,.F.); #261151=ORIENTED_EDGE('',*,*,#195082,.F.); #261152=ORIENTED_EDGE('',*,*,#195083,.T.); #261153=ORIENTED_EDGE('',*,*,#195082,.T.); #261154=ORIENTED_EDGE('',*,*,#195084,.F.); #261155=ORIENTED_EDGE('',*,*,#195074,.F.); #261156=ORIENTED_EDGE('',*,*,#195084,.T.); #261157=ORIENTED_EDGE('',*,*,#195081,.T.); #261158=ORIENTED_EDGE('',*,*,#195078,.T.); #261159=ORIENTED_EDGE('',*,*,#195075,.T.); #261160=ORIENTED_EDGE('',*,*,#195083,.F.); #261161=ORIENTED_EDGE('',*,*,#195073,.F.); #261162=ORIENTED_EDGE('',*,*,#195077,.F.); #261163=ORIENTED_EDGE('',*,*,#195080,.F.); #261164=ORIENTED_EDGE('',*,*,#195085,.T.); #261165=ORIENTED_EDGE('',*,*,#195086,.T.); #261166=ORIENTED_EDGE('',*,*,#195087,.F.); #261167=ORIENTED_EDGE('',*,*,#195088,.F.); #261168=ORIENTED_EDGE('',*,*,#195089,.T.); #261169=ORIENTED_EDGE('',*,*,#195088,.T.); #261170=ORIENTED_EDGE('',*,*,#195090,.F.); #261171=ORIENTED_EDGE('',*,*,#195091,.F.); #261172=ORIENTED_EDGE('',*,*,#195092,.T.); #261173=ORIENTED_EDGE('',*,*,#195091,.T.); #261174=ORIENTED_EDGE('',*,*,#195093,.F.); #261175=ORIENTED_EDGE('',*,*,#195094,.F.); #261176=ORIENTED_EDGE('',*,*,#195095,.T.); #261177=ORIENTED_EDGE('',*,*,#195094,.T.); #261178=ORIENTED_EDGE('',*,*,#195096,.F.); #261179=ORIENTED_EDGE('',*,*,#195086,.F.); #261180=ORIENTED_EDGE('',*,*,#195096,.T.); #261181=ORIENTED_EDGE('',*,*,#195093,.T.); #261182=ORIENTED_EDGE('',*,*,#195090,.T.); #261183=ORIENTED_EDGE('',*,*,#195087,.T.); #261184=ORIENTED_EDGE('',*,*,#195095,.F.); #261185=ORIENTED_EDGE('',*,*,#195085,.F.); #261186=ORIENTED_EDGE('',*,*,#195089,.F.); #261187=ORIENTED_EDGE('',*,*,#195092,.F.); #261188=ORIENTED_EDGE('',*,*,#195097,.T.); #261189=ORIENTED_EDGE('',*,*,#195098,.T.); #261190=ORIENTED_EDGE('',*,*,#195099,.F.); #261191=ORIENTED_EDGE('',*,*,#195100,.F.); #261192=ORIENTED_EDGE('',*,*,#195101,.T.); #261193=ORIENTED_EDGE('',*,*,#195100,.T.); #261194=ORIENTED_EDGE('',*,*,#195102,.F.); #261195=ORIENTED_EDGE('',*,*,#195103,.F.); #261196=ORIENTED_EDGE('',*,*,#195104,.T.); #261197=ORIENTED_EDGE('',*,*,#195103,.T.); #261198=ORIENTED_EDGE('',*,*,#195105,.F.); #261199=ORIENTED_EDGE('',*,*,#195106,.F.); #261200=ORIENTED_EDGE('',*,*,#195107,.T.); #261201=ORIENTED_EDGE('',*,*,#195106,.T.); #261202=ORIENTED_EDGE('',*,*,#195108,.F.); #261203=ORIENTED_EDGE('',*,*,#195098,.F.); #261204=ORIENTED_EDGE('',*,*,#195108,.T.); #261205=ORIENTED_EDGE('',*,*,#195105,.T.); #261206=ORIENTED_EDGE('',*,*,#195102,.T.); #261207=ORIENTED_EDGE('',*,*,#195099,.T.); #261208=ORIENTED_EDGE('',*,*,#195107,.F.); #261209=ORIENTED_EDGE('',*,*,#195097,.F.); #261210=ORIENTED_EDGE('',*,*,#195101,.F.); #261211=ORIENTED_EDGE('',*,*,#195104,.F.); #261212=ORIENTED_EDGE('',*,*,#195109,.T.); #261213=ORIENTED_EDGE('',*,*,#195110,.T.); #261214=ORIENTED_EDGE('',*,*,#195111,.F.); #261215=ORIENTED_EDGE('',*,*,#195112,.F.); #261216=ORIENTED_EDGE('',*,*,#195113,.T.); #261217=ORIENTED_EDGE('',*,*,#195112,.T.); #261218=ORIENTED_EDGE('',*,*,#195114,.F.); #261219=ORIENTED_EDGE('',*,*,#195115,.F.); #261220=ORIENTED_EDGE('',*,*,#195116,.T.); #261221=ORIENTED_EDGE('',*,*,#195115,.T.); #261222=ORIENTED_EDGE('',*,*,#195117,.F.); #261223=ORIENTED_EDGE('',*,*,#195118,.F.); #261224=ORIENTED_EDGE('',*,*,#195119,.T.); #261225=ORIENTED_EDGE('',*,*,#195118,.T.); #261226=ORIENTED_EDGE('',*,*,#195120,.F.); #261227=ORIENTED_EDGE('',*,*,#195110,.F.); #261228=ORIENTED_EDGE('',*,*,#195120,.T.); #261229=ORIENTED_EDGE('',*,*,#195117,.T.); #261230=ORIENTED_EDGE('',*,*,#195114,.T.); #261231=ORIENTED_EDGE('',*,*,#195111,.T.); #261232=ORIENTED_EDGE('',*,*,#195119,.F.); #261233=ORIENTED_EDGE('',*,*,#195109,.F.); #261234=ORIENTED_EDGE('',*,*,#195113,.F.); #261235=ORIENTED_EDGE('',*,*,#195116,.F.); #261236=ORIENTED_EDGE('',*,*,#195121,.T.); #261237=ORIENTED_EDGE('',*,*,#195122,.T.); #261238=ORIENTED_EDGE('',*,*,#195123,.F.); #261239=ORIENTED_EDGE('',*,*,#195124,.F.); #261240=ORIENTED_EDGE('',*,*,#195125,.T.); #261241=ORIENTED_EDGE('',*,*,#195124,.T.); #261242=ORIENTED_EDGE('',*,*,#195126,.F.); #261243=ORIENTED_EDGE('',*,*,#195127,.F.); #261244=ORIENTED_EDGE('',*,*,#195128,.T.); #261245=ORIENTED_EDGE('',*,*,#195127,.T.); #261246=ORIENTED_EDGE('',*,*,#195129,.F.); #261247=ORIENTED_EDGE('',*,*,#195130,.F.); #261248=ORIENTED_EDGE('',*,*,#195131,.T.); #261249=ORIENTED_EDGE('',*,*,#195130,.T.); #261250=ORIENTED_EDGE('',*,*,#195132,.F.); #261251=ORIENTED_EDGE('',*,*,#195122,.F.); #261252=ORIENTED_EDGE('',*,*,#195132,.T.); #261253=ORIENTED_EDGE('',*,*,#195129,.T.); #261254=ORIENTED_EDGE('',*,*,#195126,.T.); #261255=ORIENTED_EDGE('',*,*,#195123,.T.); #261256=ORIENTED_EDGE('',*,*,#195131,.F.); #261257=ORIENTED_EDGE('',*,*,#195121,.F.); #261258=ORIENTED_EDGE('',*,*,#195125,.F.); #261259=ORIENTED_EDGE('',*,*,#195128,.F.); #261260=ORIENTED_EDGE('',*,*,#195133,.T.); #261261=ORIENTED_EDGE('',*,*,#195134,.T.); #261262=ORIENTED_EDGE('',*,*,#195135,.F.); #261263=ORIENTED_EDGE('',*,*,#195136,.F.); #261264=ORIENTED_EDGE('',*,*,#195137,.T.); #261265=ORIENTED_EDGE('',*,*,#195136,.T.); #261266=ORIENTED_EDGE('',*,*,#195138,.F.); #261267=ORIENTED_EDGE('',*,*,#195139,.F.); #261268=ORIENTED_EDGE('',*,*,#195140,.T.); #261269=ORIENTED_EDGE('',*,*,#195139,.T.); #261270=ORIENTED_EDGE('',*,*,#195141,.F.); #261271=ORIENTED_EDGE('',*,*,#195142,.F.); #261272=ORIENTED_EDGE('',*,*,#195143,.T.); #261273=ORIENTED_EDGE('',*,*,#195142,.T.); #261274=ORIENTED_EDGE('',*,*,#195144,.F.); #261275=ORIENTED_EDGE('',*,*,#195134,.F.); #261276=ORIENTED_EDGE('',*,*,#195144,.T.); #261277=ORIENTED_EDGE('',*,*,#195141,.T.); #261278=ORIENTED_EDGE('',*,*,#195138,.T.); #261279=ORIENTED_EDGE('',*,*,#195135,.T.); #261280=ORIENTED_EDGE('',*,*,#195143,.F.); #261281=ORIENTED_EDGE('',*,*,#195133,.F.); #261282=ORIENTED_EDGE('',*,*,#195137,.F.); #261283=ORIENTED_EDGE('',*,*,#195140,.F.); #261284=ORIENTED_EDGE('',*,*,#195145,.T.); #261285=ORIENTED_EDGE('',*,*,#195146,.T.); #261286=ORIENTED_EDGE('',*,*,#195147,.F.); #261287=ORIENTED_EDGE('',*,*,#195148,.F.); #261288=ORIENTED_EDGE('',*,*,#195149,.T.); #261289=ORIENTED_EDGE('',*,*,#195148,.T.); #261290=ORIENTED_EDGE('',*,*,#195150,.F.); #261291=ORIENTED_EDGE('',*,*,#195151,.F.); #261292=ORIENTED_EDGE('',*,*,#195152,.T.); #261293=ORIENTED_EDGE('',*,*,#195151,.T.); #261294=ORIENTED_EDGE('',*,*,#195153,.F.); #261295=ORIENTED_EDGE('',*,*,#195154,.F.); #261296=ORIENTED_EDGE('',*,*,#195155,.T.); #261297=ORIENTED_EDGE('',*,*,#195154,.T.); #261298=ORIENTED_EDGE('',*,*,#195156,.F.); #261299=ORIENTED_EDGE('',*,*,#195146,.F.); #261300=ORIENTED_EDGE('',*,*,#195156,.T.); #261301=ORIENTED_EDGE('',*,*,#195153,.T.); #261302=ORIENTED_EDGE('',*,*,#195150,.T.); #261303=ORIENTED_EDGE('',*,*,#195147,.T.); #261304=ORIENTED_EDGE('',*,*,#195155,.F.); #261305=ORIENTED_EDGE('',*,*,#195145,.F.); #261306=ORIENTED_EDGE('',*,*,#195149,.F.); #261307=ORIENTED_EDGE('',*,*,#195152,.F.); #261308=ORIENTED_EDGE('',*,*,#195157,.T.); #261309=ORIENTED_EDGE('',*,*,#195158,.T.); #261310=ORIENTED_EDGE('',*,*,#195159,.F.); #261311=ORIENTED_EDGE('',*,*,#195160,.F.); #261312=ORIENTED_EDGE('',*,*,#195161,.T.); #261313=ORIENTED_EDGE('',*,*,#195160,.T.); #261314=ORIENTED_EDGE('',*,*,#195162,.F.); #261315=ORIENTED_EDGE('',*,*,#195163,.F.); #261316=ORIENTED_EDGE('',*,*,#195164,.T.); #261317=ORIENTED_EDGE('',*,*,#195163,.T.); #261318=ORIENTED_EDGE('',*,*,#195165,.F.); #261319=ORIENTED_EDGE('',*,*,#195166,.F.); #261320=ORIENTED_EDGE('',*,*,#195167,.T.); #261321=ORIENTED_EDGE('',*,*,#195166,.T.); #261322=ORIENTED_EDGE('',*,*,#195168,.F.); #261323=ORIENTED_EDGE('',*,*,#195158,.F.); #261324=ORIENTED_EDGE('',*,*,#195168,.T.); #261325=ORIENTED_EDGE('',*,*,#195165,.T.); #261326=ORIENTED_EDGE('',*,*,#195162,.T.); #261327=ORIENTED_EDGE('',*,*,#195159,.T.); #261328=ORIENTED_EDGE('',*,*,#195167,.F.); #261329=ORIENTED_EDGE('',*,*,#195157,.F.); #261330=ORIENTED_EDGE('',*,*,#195161,.F.); #261331=ORIENTED_EDGE('',*,*,#195164,.F.); #261332=ORIENTED_EDGE('',*,*,#195169,.T.); #261333=ORIENTED_EDGE('',*,*,#195170,.T.); #261334=ORIENTED_EDGE('',*,*,#195171,.F.); #261335=ORIENTED_EDGE('',*,*,#195172,.F.); #261336=ORIENTED_EDGE('',*,*,#195173,.T.); #261337=ORIENTED_EDGE('',*,*,#195172,.T.); #261338=ORIENTED_EDGE('',*,*,#195174,.F.); #261339=ORIENTED_EDGE('',*,*,#195175,.F.); #261340=ORIENTED_EDGE('',*,*,#195176,.T.); #261341=ORIENTED_EDGE('',*,*,#195175,.T.); #261342=ORIENTED_EDGE('',*,*,#195177,.F.); #261343=ORIENTED_EDGE('',*,*,#195178,.F.); #261344=ORIENTED_EDGE('',*,*,#195179,.T.); #261345=ORIENTED_EDGE('',*,*,#195178,.T.); #261346=ORIENTED_EDGE('',*,*,#195180,.F.); #261347=ORIENTED_EDGE('',*,*,#195170,.F.); #261348=ORIENTED_EDGE('',*,*,#195180,.T.); #261349=ORIENTED_EDGE('',*,*,#195177,.T.); #261350=ORIENTED_EDGE('',*,*,#195174,.T.); #261351=ORIENTED_EDGE('',*,*,#195171,.T.); #261352=ORIENTED_EDGE('',*,*,#195179,.F.); #261353=ORIENTED_EDGE('',*,*,#195169,.F.); #261354=ORIENTED_EDGE('',*,*,#195173,.F.); #261355=ORIENTED_EDGE('',*,*,#195176,.F.); #261356=ORIENTED_EDGE('',*,*,#195181,.T.); #261357=ORIENTED_EDGE('',*,*,#195182,.T.); #261358=ORIENTED_EDGE('',*,*,#195183,.F.); #261359=ORIENTED_EDGE('',*,*,#195184,.F.); #261360=ORIENTED_EDGE('',*,*,#195185,.T.); #261361=ORIENTED_EDGE('',*,*,#195184,.T.); #261362=ORIENTED_EDGE('',*,*,#195186,.F.); #261363=ORIENTED_EDGE('',*,*,#195187,.F.); #261364=ORIENTED_EDGE('',*,*,#195188,.T.); #261365=ORIENTED_EDGE('',*,*,#195187,.T.); #261366=ORIENTED_EDGE('',*,*,#195189,.F.); #261367=ORIENTED_EDGE('',*,*,#195190,.F.); #261368=ORIENTED_EDGE('',*,*,#195191,.T.); #261369=ORIENTED_EDGE('',*,*,#195190,.T.); #261370=ORIENTED_EDGE('',*,*,#195192,.F.); #261371=ORIENTED_EDGE('',*,*,#195182,.F.); #261372=ORIENTED_EDGE('',*,*,#195192,.T.); #261373=ORIENTED_EDGE('',*,*,#195189,.T.); #261374=ORIENTED_EDGE('',*,*,#195186,.T.); #261375=ORIENTED_EDGE('',*,*,#195183,.T.); #261376=ORIENTED_EDGE('',*,*,#195191,.F.); #261377=ORIENTED_EDGE('',*,*,#195181,.F.); #261378=ORIENTED_EDGE('',*,*,#195185,.F.); #261379=ORIENTED_EDGE('',*,*,#195188,.F.); #261380=ORIENTED_EDGE('',*,*,#195193,.T.); #261381=ORIENTED_EDGE('',*,*,#195194,.T.); #261382=ORIENTED_EDGE('',*,*,#195195,.F.); #261383=ORIENTED_EDGE('',*,*,#195196,.F.); #261384=ORIENTED_EDGE('',*,*,#195197,.T.); #261385=ORIENTED_EDGE('',*,*,#195196,.T.); #261386=ORIENTED_EDGE('',*,*,#195198,.F.); #261387=ORIENTED_EDGE('',*,*,#195199,.F.); #261388=ORIENTED_EDGE('',*,*,#195200,.T.); #261389=ORIENTED_EDGE('',*,*,#195199,.T.); #261390=ORIENTED_EDGE('',*,*,#195201,.F.); #261391=ORIENTED_EDGE('',*,*,#195202,.F.); #261392=ORIENTED_EDGE('',*,*,#195203,.T.); #261393=ORIENTED_EDGE('',*,*,#195202,.T.); #261394=ORIENTED_EDGE('',*,*,#195204,.F.); #261395=ORIENTED_EDGE('',*,*,#195194,.F.); #261396=ORIENTED_EDGE('',*,*,#195204,.T.); #261397=ORIENTED_EDGE('',*,*,#195201,.T.); #261398=ORIENTED_EDGE('',*,*,#195198,.T.); #261399=ORIENTED_EDGE('',*,*,#195195,.T.); #261400=ORIENTED_EDGE('',*,*,#195203,.F.); #261401=ORIENTED_EDGE('',*,*,#195193,.F.); #261402=ORIENTED_EDGE('',*,*,#195197,.F.); #261403=ORIENTED_EDGE('',*,*,#195200,.F.); #261404=ORIENTED_EDGE('',*,*,#195205,.T.); #261405=ORIENTED_EDGE('',*,*,#195206,.T.); #261406=ORIENTED_EDGE('',*,*,#195207,.F.); #261407=ORIENTED_EDGE('',*,*,#195208,.F.); #261408=ORIENTED_EDGE('',*,*,#195209,.T.); #261409=ORIENTED_EDGE('',*,*,#195208,.T.); #261410=ORIENTED_EDGE('',*,*,#195210,.F.); #261411=ORIENTED_EDGE('',*,*,#195211,.F.); #261412=ORIENTED_EDGE('',*,*,#195212,.T.); #261413=ORIENTED_EDGE('',*,*,#195211,.T.); #261414=ORIENTED_EDGE('',*,*,#195213,.F.); #261415=ORIENTED_EDGE('',*,*,#195214,.F.); #261416=ORIENTED_EDGE('',*,*,#195215,.T.); #261417=ORIENTED_EDGE('',*,*,#195214,.T.); #261418=ORIENTED_EDGE('',*,*,#195216,.F.); #261419=ORIENTED_EDGE('',*,*,#195206,.F.); #261420=ORIENTED_EDGE('',*,*,#195216,.T.); #261421=ORIENTED_EDGE('',*,*,#195213,.T.); #261422=ORIENTED_EDGE('',*,*,#195210,.T.); #261423=ORIENTED_EDGE('',*,*,#195207,.T.); #261424=ORIENTED_EDGE('',*,*,#195215,.F.); #261425=ORIENTED_EDGE('',*,*,#195205,.F.); #261426=ORIENTED_EDGE('',*,*,#195209,.F.); #261427=ORIENTED_EDGE('',*,*,#195212,.F.); #261428=ORIENTED_EDGE('',*,*,#195217,.T.); #261429=ORIENTED_EDGE('',*,*,#195218,.T.); #261430=ORIENTED_EDGE('',*,*,#195219,.F.); #261431=ORIENTED_EDGE('',*,*,#195220,.F.); #261432=ORIENTED_EDGE('',*,*,#195221,.T.); #261433=ORIENTED_EDGE('',*,*,#195220,.T.); #261434=ORIENTED_EDGE('',*,*,#195222,.F.); #261435=ORIENTED_EDGE('',*,*,#195223,.F.); #261436=ORIENTED_EDGE('',*,*,#195224,.T.); #261437=ORIENTED_EDGE('',*,*,#195223,.T.); #261438=ORIENTED_EDGE('',*,*,#195225,.F.); #261439=ORIENTED_EDGE('',*,*,#195226,.F.); #261440=ORIENTED_EDGE('',*,*,#195227,.T.); #261441=ORIENTED_EDGE('',*,*,#195226,.T.); #261442=ORIENTED_EDGE('',*,*,#195228,.F.); #261443=ORIENTED_EDGE('',*,*,#195218,.F.); #261444=ORIENTED_EDGE('',*,*,#195228,.T.); #261445=ORIENTED_EDGE('',*,*,#195225,.T.); #261446=ORIENTED_EDGE('',*,*,#195222,.T.); #261447=ORIENTED_EDGE('',*,*,#195219,.T.); #261448=ORIENTED_EDGE('',*,*,#195227,.F.); #261449=ORIENTED_EDGE('',*,*,#195217,.F.); #261450=ORIENTED_EDGE('',*,*,#195221,.F.); #261451=ORIENTED_EDGE('',*,*,#195224,.F.); #261452=ORIENTED_EDGE('',*,*,#195229,.F.); #261453=ORIENTED_EDGE('',*,*,#195230,.T.); #261454=ORIENTED_EDGE('',*,*,#195231,.F.); #261455=ORIENTED_EDGE('',*,*,#195230,.F.); #261456=ORIENTED_EDGE('',*,*,#195232,.F.); #261457=ORIENTED_EDGE('',*,*,#195233,.T.); #261458=ORIENTED_EDGE('',*,*,#195234,.F.); #261459=ORIENTED_EDGE('',*,*,#195233,.F.); #261460=ORIENTED_EDGE('',*,*,#195235,.F.); #261461=ORIENTED_EDGE('',*,*,#195236,.T.); #261462=ORIENTED_EDGE('',*,*,#195237,.F.); #261463=ORIENTED_EDGE('',*,*,#195236,.F.); #261464=ORIENTED_EDGE('',*,*,#195238,.F.); #261465=ORIENTED_EDGE('',*,*,#195239,.T.); #261466=ORIENTED_EDGE('',*,*,#195240,.F.); #261467=ORIENTED_EDGE('',*,*,#195239,.F.); #261468=ORIENTED_EDGE('',*,*,#195241,.F.); #261469=ORIENTED_EDGE('',*,*,#195242,.T.); #261470=ORIENTED_EDGE('',*,*,#195243,.F.); #261471=ORIENTED_EDGE('',*,*,#195242,.F.); #261472=ORIENTED_EDGE('',*,*,#195244,.F.); #261473=ORIENTED_EDGE('',*,*,#195245,.T.); #261474=ORIENTED_EDGE('',*,*,#195246,.F.); #261475=ORIENTED_EDGE('',*,*,#195245,.F.); #261476=ORIENTED_EDGE('',*,*,#195247,.T.); #261477=ORIENTED_EDGE('',*,*,#195248,.T.); #261478=ORIENTED_EDGE('',*,*,#195249,.F.); #261479=ORIENTED_EDGE('',*,*,#195250,.F.); #261480=ORIENTED_EDGE('',*,*,#195251,.T.); #261481=ORIENTED_EDGE('',*,*,#195250,.T.); #261482=ORIENTED_EDGE('',*,*,#195252,.F.); #261483=ORIENTED_EDGE('',*,*,#195253,.F.); #261484=ORIENTED_EDGE('',*,*,#195254,.T.); #261485=ORIENTED_EDGE('',*,*,#195253,.T.); #261486=ORIENTED_EDGE('',*,*,#195255,.F.); #261487=ORIENTED_EDGE('',*,*,#195256,.F.); #261488=ORIENTED_EDGE('',*,*,#195257,.T.); #261489=ORIENTED_EDGE('',*,*,#195256,.T.); #261490=ORIENTED_EDGE('',*,*,#195258,.F.); #261491=ORIENTED_EDGE('',*,*,#195248,.F.); #261492=ORIENTED_EDGE('',*,*,#195258,.T.); #261493=ORIENTED_EDGE('',*,*,#195255,.T.); #261494=ORIENTED_EDGE('',*,*,#195252,.T.); #261495=ORIENTED_EDGE('',*,*,#195249,.T.); #261496=ORIENTED_EDGE('',*,*,#195231,.T.); #261497=ORIENTED_EDGE('',*,*,#195234,.T.); #261498=ORIENTED_EDGE('',*,*,#195237,.T.); #261499=ORIENTED_EDGE('',*,*,#195240,.T.); #261500=ORIENTED_EDGE('',*,*,#195243,.T.); #261501=ORIENTED_EDGE('',*,*,#195246,.T.); #261502=ORIENTED_EDGE('',*,*,#195257,.F.); #261503=ORIENTED_EDGE('',*,*,#195247,.F.); #261504=ORIENTED_EDGE('',*,*,#195251,.F.); #261505=ORIENTED_EDGE('',*,*,#195254,.F.); #261506=ORIENTED_EDGE('',*,*,#195229,.T.); #261507=ORIENTED_EDGE('',*,*,#195232,.T.); #261508=ORIENTED_EDGE('',*,*,#195235,.T.); #261509=ORIENTED_EDGE('',*,*,#195238,.T.); #261510=ORIENTED_EDGE('',*,*,#195241,.T.); #261511=ORIENTED_EDGE('',*,*,#195244,.T.); #261512=ORIENTED_EDGE('',*,*,#195259,.T.); #261513=ORIENTED_EDGE('',*,*,#195260,.T.); #261514=ORIENTED_EDGE('',*,*,#195261,.F.); #261515=ORIENTED_EDGE('',*,*,#195262,.F.); #261516=ORIENTED_EDGE('',*,*,#195263,.T.); #261517=ORIENTED_EDGE('',*,*,#195262,.T.); #261518=ORIENTED_EDGE('',*,*,#195264,.F.); #261519=ORIENTED_EDGE('',*,*,#195265,.F.); #261520=ORIENTED_EDGE('',*,*,#195266,.T.); #261521=ORIENTED_EDGE('',*,*,#195265,.T.); #261522=ORIENTED_EDGE('',*,*,#195267,.F.); #261523=ORIENTED_EDGE('',*,*,#195268,.F.); #261524=ORIENTED_EDGE('',*,*,#195269,.T.); #261525=ORIENTED_EDGE('',*,*,#195268,.T.); #261526=ORIENTED_EDGE('',*,*,#195270,.F.); #261527=ORIENTED_EDGE('',*,*,#195260,.F.); #261528=ORIENTED_EDGE('',*,*,#195270,.T.); #261529=ORIENTED_EDGE('',*,*,#195267,.T.); #261530=ORIENTED_EDGE('',*,*,#195264,.T.); #261531=ORIENTED_EDGE('',*,*,#195261,.T.); #261532=ORIENTED_EDGE('',*,*,#195269,.F.); #261533=ORIENTED_EDGE('',*,*,#195259,.F.); #261534=ORIENTED_EDGE('',*,*,#195263,.F.); #261535=ORIENTED_EDGE('',*,*,#195266,.F.); #261536=ORIENTED_EDGE('',*,*,#195271,.T.); #261537=ORIENTED_EDGE('',*,*,#195272,.T.); #261538=ORIENTED_EDGE('',*,*,#195273,.F.); #261539=ORIENTED_EDGE('',*,*,#195274,.F.); #261540=ORIENTED_EDGE('',*,*,#195275,.T.); #261541=ORIENTED_EDGE('',*,*,#195274,.T.); #261542=ORIENTED_EDGE('',*,*,#195276,.F.); #261543=ORIENTED_EDGE('',*,*,#195277,.F.); #261544=ORIENTED_EDGE('',*,*,#195278,.T.); #261545=ORIENTED_EDGE('',*,*,#195277,.T.); #261546=ORIENTED_EDGE('',*,*,#195279,.F.); #261547=ORIENTED_EDGE('',*,*,#195280,.F.); #261548=ORIENTED_EDGE('',*,*,#195281,.T.); #261549=ORIENTED_EDGE('',*,*,#195280,.T.); #261550=ORIENTED_EDGE('',*,*,#195282,.F.); #261551=ORIENTED_EDGE('',*,*,#195272,.F.); #261552=ORIENTED_EDGE('',*,*,#195282,.T.); #261553=ORIENTED_EDGE('',*,*,#195279,.T.); #261554=ORIENTED_EDGE('',*,*,#195276,.T.); #261555=ORIENTED_EDGE('',*,*,#195273,.T.); #261556=ORIENTED_EDGE('',*,*,#195281,.F.); #261557=ORIENTED_EDGE('',*,*,#195271,.F.); #261558=ORIENTED_EDGE('',*,*,#195275,.F.); #261559=ORIENTED_EDGE('',*,*,#195278,.F.); #261560=ORIENTED_EDGE('',*,*,#195283,.F.); #261561=ORIENTED_EDGE('',*,*,#195284,.T.); #261562=ORIENTED_EDGE('',*,*,#195285,.F.); #261563=ORIENTED_EDGE('',*,*,#195284,.F.); #261564=ORIENTED_EDGE('',*,*,#195286,.F.); #261565=ORIENTED_EDGE('',*,*,#195287,.T.); #261566=ORIENTED_EDGE('',*,*,#195288,.F.); #261567=ORIENTED_EDGE('',*,*,#195287,.F.); #261568=ORIENTED_EDGE('',*,*,#195289,.F.); #261569=ORIENTED_EDGE('',*,*,#195290,.T.); #261570=ORIENTED_EDGE('',*,*,#195291,.F.); #261571=ORIENTED_EDGE('',*,*,#195290,.F.); #261572=ORIENTED_EDGE('',*,*,#195292,.F.); #261573=ORIENTED_EDGE('',*,*,#195293,.T.); #261574=ORIENTED_EDGE('',*,*,#195294,.F.); #261575=ORIENTED_EDGE('',*,*,#195293,.F.); #261576=ORIENTED_EDGE('',*,*,#195295,.F.); #261577=ORIENTED_EDGE('',*,*,#195296,.T.); #261578=ORIENTED_EDGE('',*,*,#195297,.F.); #261579=ORIENTED_EDGE('',*,*,#195296,.F.); #261580=ORIENTED_EDGE('',*,*,#195298,.F.); #261581=ORIENTED_EDGE('',*,*,#195299,.T.); #261582=ORIENTED_EDGE('',*,*,#195300,.F.); #261583=ORIENTED_EDGE('',*,*,#195299,.F.); #261584=ORIENTED_EDGE('',*,*,#195301,.T.); #261585=ORIENTED_EDGE('',*,*,#195302,.T.); #261586=ORIENTED_EDGE('',*,*,#195303,.F.); #261587=ORIENTED_EDGE('',*,*,#195304,.F.); #261588=ORIENTED_EDGE('',*,*,#195305,.T.); #261589=ORIENTED_EDGE('',*,*,#195304,.T.); #261590=ORIENTED_EDGE('',*,*,#195306,.F.); #261591=ORIENTED_EDGE('',*,*,#195307,.F.); #261592=ORIENTED_EDGE('',*,*,#195308,.T.); #261593=ORIENTED_EDGE('',*,*,#195307,.T.); #261594=ORIENTED_EDGE('',*,*,#195309,.F.); #261595=ORIENTED_EDGE('',*,*,#195310,.F.); #261596=ORIENTED_EDGE('',*,*,#195311,.T.); #261597=ORIENTED_EDGE('',*,*,#195310,.T.); #261598=ORIENTED_EDGE('',*,*,#195312,.F.); #261599=ORIENTED_EDGE('',*,*,#195302,.F.); #261600=ORIENTED_EDGE('',*,*,#195312,.T.); #261601=ORIENTED_EDGE('',*,*,#195309,.T.); #261602=ORIENTED_EDGE('',*,*,#195306,.T.); #261603=ORIENTED_EDGE('',*,*,#195303,.T.); #261604=ORIENTED_EDGE('',*,*,#195285,.T.); #261605=ORIENTED_EDGE('',*,*,#195288,.T.); #261606=ORIENTED_EDGE('',*,*,#195291,.T.); #261607=ORIENTED_EDGE('',*,*,#195294,.T.); #261608=ORIENTED_EDGE('',*,*,#195297,.T.); #261609=ORIENTED_EDGE('',*,*,#195300,.T.); #261610=ORIENTED_EDGE('',*,*,#195311,.F.); #261611=ORIENTED_EDGE('',*,*,#195301,.F.); #261612=ORIENTED_EDGE('',*,*,#195305,.F.); #261613=ORIENTED_EDGE('',*,*,#195308,.F.); #261614=ORIENTED_EDGE('',*,*,#195283,.T.); #261615=ORIENTED_EDGE('',*,*,#195286,.T.); #261616=ORIENTED_EDGE('',*,*,#195289,.T.); #261617=ORIENTED_EDGE('',*,*,#195292,.T.); #261618=ORIENTED_EDGE('',*,*,#195295,.T.); #261619=ORIENTED_EDGE('',*,*,#195298,.T.); #261620=ORIENTED_EDGE('',*,*,#195313,.T.); #261621=ORIENTED_EDGE('',*,*,#195314,.T.); #261622=ORIENTED_EDGE('',*,*,#195315,.F.); #261623=ORIENTED_EDGE('',*,*,#195316,.F.); #261624=ORIENTED_EDGE('',*,*,#195317,.T.); #261625=ORIENTED_EDGE('',*,*,#195316,.T.); #261626=ORIENTED_EDGE('',*,*,#195318,.F.); #261627=ORIENTED_EDGE('',*,*,#195319,.F.); #261628=ORIENTED_EDGE('',*,*,#195320,.T.); #261629=ORIENTED_EDGE('',*,*,#195319,.T.); #261630=ORIENTED_EDGE('',*,*,#195321,.F.); #261631=ORIENTED_EDGE('',*,*,#195322,.F.); #261632=ORIENTED_EDGE('',*,*,#195323,.T.); #261633=ORIENTED_EDGE('',*,*,#195322,.T.); #261634=ORIENTED_EDGE('',*,*,#195324,.F.); #261635=ORIENTED_EDGE('',*,*,#195314,.F.); #261636=ORIENTED_EDGE('',*,*,#195324,.T.); #261637=ORIENTED_EDGE('',*,*,#195321,.T.); #261638=ORIENTED_EDGE('',*,*,#195318,.T.); #261639=ORIENTED_EDGE('',*,*,#195315,.T.); #261640=ORIENTED_EDGE('',*,*,#195323,.F.); #261641=ORIENTED_EDGE('',*,*,#195313,.F.); #261642=ORIENTED_EDGE('',*,*,#195317,.F.); #261643=ORIENTED_EDGE('',*,*,#195320,.F.); #261644=ORIENTED_EDGE('',*,*,#195325,.T.); #261645=ORIENTED_EDGE('',*,*,#195326,.T.); #261646=ORIENTED_EDGE('',*,*,#195327,.F.); #261647=ORIENTED_EDGE('',*,*,#195328,.F.); #261648=ORIENTED_EDGE('',*,*,#195329,.T.); #261649=ORIENTED_EDGE('',*,*,#195328,.T.); #261650=ORIENTED_EDGE('',*,*,#195330,.F.); #261651=ORIENTED_EDGE('',*,*,#195331,.F.); #261652=ORIENTED_EDGE('',*,*,#195332,.T.); #261653=ORIENTED_EDGE('',*,*,#195331,.T.); #261654=ORIENTED_EDGE('',*,*,#195333,.F.); #261655=ORIENTED_EDGE('',*,*,#195334,.F.); #261656=ORIENTED_EDGE('',*,*,#195335,.T.); #261657=ORIENTED_EDGE('',*,*,#195334,.T.); #261658=ORIENTED_EDGE('',*,*,#195336,.F.); #261659=ORIENTED_EDGE('',*,*,#195326,.F.); #261660=ORIENTED_EDGE('',*,*,#195336,.T.); #261661=ORIENTED_EDGE('',*,*,#195333,.T.); #261662=ORIENTED_EDGE('',*,*,#195330,.T.); #261663=ORIENTED_EDGE('',*,*,#195327,.T.); #261664=ORIENTED_EDGE('',*,*,#195335,.F.); #261665=ORIENTED_EDGE('',*,*,#195325,.F.); #261666=ORIENTED_EDGE('',*,*,#195329,.F.); #261667=ORIENTED_EDGE('',*,*,#195332,.F.); #261668=ORIENTED_EDGE('',*,*,#195337,.T.); #261669=ORIENTED_EDGE('',*,*,#195338,.T.); #261670=ORIENTED_EDGE('',*,*,#195339,.F.); #261671=ORIENTED_EDGE('',*,*,#195340,.F.); #261672=ORIENTED_EDGE('',*,*,#195341,.T.); #261673=ORIENTED_EDGE('',*,*,#195340,.T.); #261674=ORIENTED_EDGE('',*,*,#195342,.F.); #261675=ORIENTED_EDGE('',*,*,#195343,.F.); #261676=ORIENTED_EDGE('',*,*,#195344,.T.); #261677=ORIENTED_EDGE('',*,*,#195343,.T.); #261678=ORIENTED_EDGE('',*,*,#195345,.F.); #261679=ORIENTED_EDGE('',*,*,#195346,.F.); #261680=ORIENTED_EDGE('',*,*,#195347,.T.); #261681=ORIENTED_EDGE('',*,*,#195346,.T.); #261682=ORIENTED_EDGE('',*,*,#195348,.F.); #261683=ORIENTED_EDGE('',*,*,#195338,.F.); #261684=ORIENTED_EDGE('',*,*,#195348,.T.); #261685=ORIENTED_EDGE('',*,*,#195345,.T.); #261686=ORIENTED_EDGE('',*,*,#195342,.T.); #261687=ORIENTED_EDGE('',*,*,#195339,.T.); #261688=ORIENTED_EDGE('',*,*,#195347,.F.); #261689=ORIENTED_EDGE('',*,*,#195337,.F.); #261690=ORIENTED_EDGE('',*,*,#195341,.F.); #261691=ORIENTED_EDGE('',*,*,#195344,.F.); #261692=ORIENTED_EDGE('',*,*,#195349,.T.); #261693=ORIENTED_EDGE('',*,*,#195350,.T.); #261694=ORIENTED_EDGE('',*,*,#195351,.F.); #261695=ORIENTED_EDGE('',*,*,#195352,.F.); #261696=ORIENTED_EDGE('',*,*,#195353,.T.); #261697=ORIENTED_EDGE('',*,*,#195352,.T.); #261698=ORIENTED_EDGE('',*,*,#195354,.F.); #261699=ORIENTED_EDGE('',*,*,#195355,.F.); #261700=ORIENTED_EDGE('',*,*,#195356,.T.); #261701=ORIENTED_EDGE('',*,*,#195355,.T.); #261702=ORIENTED_EDGE('',*,*,#195357,.F.); #261703=ORIENTED_EDGE('',*,*,#195358,.F.); #261704=ORIENTED_EDGE('',*,*,#195359,.T.); #261705=ORIENTED_EDGE('',*,*,#195358,.T.); #261706=ORIENTED_EDGE('',*,*,#195360,.F.); #261707=ORIENTED_EDGE('',*,*,#195350,.F.); #261708=ORIENTED_EDGE('',*,*,#195360,.T.); #261709=ORIENTED_EDGE('',*,*,#195357,.T.); #261710=ORIENTED_EDGE('',*,*,#195354,.T.); #261711=ORIENTED_EDGE('',*,*,#195351,.T.); #261712=ORIENTED_EDGE('',*,*,#195359,.F.); #261713=ORIENTED_EDGE('',*,*,#195349,.F.); #261714=ORIENTED_EDGE('',*,*,#195353,.F.); #261715=ORIENTED_EDGE('',*,*,#195356,.F.); #261716=ORIENTED_EDGE('',*,*,#195361,.T.); #261717=ORIENTED_EDGE('',*,*,#195362,.T.); #261718=ORIENTED_EDGE('',*,*,#195363,.F.); #261719=ORIENTED_EDGE('',*,*,#195364,.F.); #261720=ORIENTED_EDGE('',*,*,#195365,.T.); #261721=ORIENTED_EDGE('',*,*,#195364,.T.); #261722=ORIENTED_EDGE('',*,*,#195366,.F.); #261723=ORIENTED_EDGE('',*,*,#195367,.F.); #261724=ORIENTED_EDGE('',*,*,#195368,.T.); #261725=ORIENTED_EDGE('',*,*,#195367,.T.); #261726=ORIENTED_EDGE('',*,*,#195369,.F.); #261727=ORIENTED_EDGE('',*,*,#195370,.F.); #261728=ORIENTED_EDGE('',*,*,#195371,.T.); #261729=ORIENTED_EDGE('',*,*,#195370,.T.); #261730=ORIENTED_EDGE('',*,*,#195372,.F.); #261731=ORIENTED_EDGE('',*,*,#195362,.F.); #261732=ORIENTED_EDGE('',*,*,#195372,.T.); #261733=ORIENTED_EDGE('',*,*,#195369,.T.); #261734=ORIENTED_EDGE('',*,*,#195366,.T.); #261735=ORIENTED_EDGE('',*,*,#195363,.T.); #261736=ORIENTED_EDGE('',*,*,#195371,.F.); #261737=ORIENTED_EDGE('',*,*,#195361,.F.); #261738=ORIENTED_EDGE('',*,*,#195365,.F.); #261739=ORIENTED_EDGE('',*,*,#195368,.F.); #261740=ORIENTED_EDGE('',*,*,#195373,.T.); #261741=ORIENTED_EDGE('',*,*,#195374,.T.); #261742=ORIENTED_EDGE('',*,*,#195375,.F.); #261743=ORIENTED_EDGE('',*,*,#195376,.F.); #261744=ORIENTED_EDGE('',*,*,#195377,.T.); #261745=ORIENTED_EDGE('',*,*,#195376,.T.); #261746=ORIENTED_EDGE('',*,*,#195378,.F.); #261747=ORIENTED_EDGE('',*,*,#195379,.F.); #261748=ORIENTED_EDGE('',*,*,#195380,.T.); #261749=ORIENTED_EDGE('',*,*,#195379,.T.); #261750=ORIENTED_EDGE('',*,*,#195381,.F.); #261751=ORIENTED_EDGE('',*,*,#195382,.F.); #261752=ORIENTED_EDGE('',*,*,#195383,.T.); #261753=ORIENTED_EDGE('',*,*,#195382,.T.); #261754=ORIENTED_EDGE('',*,*,#195384,.F.); #261755=ORIENTED_EDGE('',*,*,#195374,.F.); #261756=ORIENTED_EDGE('',*,*,#195384,.T.); #261757=ORIENTED_EDGE('',*,*,#195381,.T.); #261758=ORIENTED_EDGE('',*,*,#195378,.T.); #261759=ORIENTED_EDGE('',*,*,#195375,.T.); #261760=ORIENTED_EDGE('',*,*,#195383,.F.); #261761=ORIENTED_EDGE('',*,*,#195373,.F.); #261762=ORIENTED_EDGE('',*,*,#195377,.F.); #261763=ORIENTED_EDGE('',*,*,#195380,.F.); #261764=ORIENTED_EDGE('',*,*,#195385,.T.); #261765=ORIENTED_EDGE('',*,*,#195386,.T.); #261766=ORIENTED_EDGE('',*,*,#195387,.F.); #261767=ORIENTED_EDGE('',*,*,#195388,.F.); #261768=ORIENTED_EDGE('',*,*,#195389,.T.); #261769=ORIENTED_EDGE('',*,*,#195388,.T.); #261770=ORIENTED_EDGE('',*,*,#195390,.F.); #261771=ORIENTED_EDGE('',*,*,#195391,.F.); #261772=ORIENTED_EDGE('',*,*,#195392,.T.); #261773=ORIENTED_EDGE('',*,*,#195391,.T.); #261774=ORIENTED_EDGE('',*,*,#195393,.F.); #261775=ORIENTED_EDGE('',*,*,#195394,.F.); #261776=ORIENTED_EDGE('',*,*,#195395,.T.); #261777=ORIENTED_EDGE('',*,*,#195394,.T.); #261778=ORIENTED_EDGE('',*,*,#195396,.F.); #261779=ORIENTED_EDGE('',*,*,#195386,.F.); #261780=ORIENTED_EDGE('',*,*,#195396,.T.); #261781=ORIENTED_EDGE('',*,*,#195393,.T.); #261782=ORIENTED_EDGE('',*,*,#195390,.T.); #261783=ORIENTED_EDGE('',*,*,#195387,.T.); #261784=ORIENTED_EDGE('',*,*,#195395,.F.); #261785=ORIENTED_EDGE('',*,*,#195385,.F.); #261786=ORIENTED_EDGE('',*,*,#195389,.F.); #261787=ORIENTED_EDGE('',*,*,#195392,.F.); #261788=ORIENTED_EDGE('',*,*,#195397,.T.); #261789=ORIENTED_EDGE('',*,*,#195398,.T.); #261790=ORIENTED_EDGE('',*,*,#195399,.F.); #261791=ORIENTED_EDGE('',*,*,#195400,.F.); #261792=ORIENTED_EDGE('',*,*,#195401,.T.); #261793=ORIENTED_EDGE('',*,*,#195400,.T.); #261794=ORIENTED_EDGE('',*,*,#195402,.F.); #261795=ORIENTED_EDGE('',*,*,#195403,.F.); #261796=ORIENTED_EDGE('',*,*,#195404,.T.); #261797=ORIENTED_EDGE('',*,*,#195403,.T.); #261798=ORIENTED_EDGE('',*,*,#195405,.F.); #261799=ORIENTED_EDGE('',*,*,#195406,.F.); #261800=ORIENTED_EDGE('',*,*,#195407,.T.); #261801=ORIENTED_EDGE('',*,*,#195406,.T.); #261802=ORIENTED_EDGE('',*,*,#195408,.F.); #261803=ORIENTED_EDGE('',*,*,#195398,.F.); #261804=ORIENTED_EDGE('',*,*,#195408,.T.); #261805=ORIENTED_EDGE('',*,*,#195405,.T.); #261806=ORIENTED_EDGE('',*,*,#195402,.T.); #261807=ORIENTED_EDGE('',*,*,#195399,.T.); #261808=ORIENTED_EDGE('',*,*,#195407,.F.); #261809=ORIENTED_EDGE('',*,*,#195397,.F.); #261810=ORIENTED_EDGE('',*,*,#195401,.F.); #261811=ORIENTED_EDGE('',*,*,#195404,.F.); #261812=ORIENTED_EDGE('',*,*,#195409,.T.); #261813=ORIENTED_EDGE('',*,*,#195410,.T.); #261814=ORIENTED_EDGE('',*,*,#195411,.F.); #261815=ORIENTED_EDGE('',*,*,#195412,.F.); #261816=ORIENTED_EDGE('',*,*,#195413,.T.); #261817=ORIENTED_EDGE('',*,*,#195412,.T.); #261818=ORIENTED_EDGE('',*,*,#195414,.F.); #261819=ORIENTED_EDGE('',*,*,#195415,.F.); #261820=ORIENTED_EDGE('',*,*,#195416,.T.); #261821=ORIENTED_EDGE('',*,*,#195415,.T.); #261822=ORIENTED_EDGE('',*,*,#195417,.F.); #261823=ORIENTED_EDGE('',*,*,#195418,.F.); #261824=ORIENTED_EDGE('',*,*,#195419,.T.); #261825=ORIENTED_EDGE('',*,*,#195418,.T.); #261826=ORIENTED_EDGE('',*,*,#195420,.F.); #261827=ORIENTED_EDGE('',*,*,#195410,.F.); #261828=ORIENTED_EDGE('',*,*,#195420,.T.); #261829=ORIENTED_EDGE('',*,*,#195417,.T.); #261830=ORIENTED_EDGE('',*,*,#195414,.T.); #261831=ORIENTED_EDGE('',*,*,#195411,.T.); #261832=ORIENTED_EDGE('',*,*,#195419,.F.); #261833=ORIENTED_EDGE('',*,*,#195409,.F.); #261834=ORIENTED_EDGE('',*,*,#195413,.F.); #261835=ORIENTED_EDGE('',*,*,#195416,.F.); #261836=ORIENTED_EDGE('',*,*,#195421,.T.); #261837=ORIENTED_EDGE('',*,*,#195422,.T.); #261838=ORIENTED_EDGE('',*,*,#195423,.F.); #261839=ORIENTED_EDGE('',*,*,#195424,.F.); #261840=ORIENTED_EDGE('',*,*,#195425,.T.); #261841=ORIENTED_EDGE('',*,*,#195424,.T.); #261842=ORIENTED_EDGE('',*,*,#195426,.F.); #261843=ORIENTED_EDGE('',*,*,#195427,.F.); #261844=ORIENTED_EDGE('',*,*,#195428,.T.); #261845=ORIENTED_EDGE('',*,*,#195427,.T.); #261846=ORIENTED_EDGE('',*,*,#195429,.F.); #261847=ORIENTED_EDGE('',*,*,#195430,.F.); #261848=ORIENTED_EDGE('',*,*,#195431,.T.); #261849=ORIENTED_EDGE('',*,*,#195430,.T.); #261850=ORIENTED_EDGE('',*,*,#195432,.F.); #261851=ORIENTED_EDGE('',*,*,#195422,.F.); #261852=ORIENTED_EDGE('',*,*,#195432,.T.); #261853=ORIENTED_EDGE('',*,*,#195429,.T.); #261854=ORIENTED_EDGE('',*,*,#195426,.T.); #261855=ORIENTED_EDGE('',*,*,#195423,.T.); #261856=ORIENTED_EDGE('',*,*,#195431,.F.); #261857=ORIENTED_EDGE('',*,*,#195421,.F.); #261858=ORIENTED_EDGE('',*,*,#195425,.F.); #261859=ORIENTED_EDGE('',*,*,#195428,.F.); #261860=ORIENTED_EDGE('',*,*,#195433,.T.); #261861=ORIENTED_EDGE('',*,*,#195434,.T.); #261862=ORIENTED_EDGE('',*,*,#195435,.F.); #261863=ORIENTED_EDGE('',*,*,#195436,.F.); #261864=ORIENTED_EDGE('',*,*,#195437,.T.); #261865=ORIENTED_EDGE('',*,*,#195436,.T.); #261866=ORIENTED_EDGE('',*,*,#195438,.F.); #261867=ORIENTED_EDGE('',*,*,#195439,.F.); #261868=ORIENTED_EDGE('',*,*,#195440,.T.); #261869=ORIENTED_EDGE('',*,*,#195439,.T.); #261870=ORIENTED_EDGE('',*,*,#195441,.F.); #261871=ORIENTED_EDGE('',*,*,#195442,.F.); #261872=ORIENTED_EDGE('',*,*,#195443,.T.); #261873=ORIENTED_EDGE('',*,*,#195442,.T.); #261874=ORIENTED_EDGE('',*,*,#195444,.F.); #261875=ORIENTED_EDGE('',*,*,#195434,.F.); #261876=ORIENTED_EDGE('',*,*,#195444,.T.); #261877=ORIENTED_EDGE('',*,*,#195441,.T.); #261878=ORIENTED_EDGE('',*,*,#195438,.T.); #261879=ORIENTED_EDGE('',*,*,#195435,.T.); #261880=ORIENTED_EDGE('',*,*,#195443,.F.); #261881=ORIENTED_EDGE('',*,*,#195433,.F.); #261882=ORIENTED_EDGE('',*,*,#195437,.F.); #261883=ORIENTED_EDGE('',*,*,#195440,.F.); #261884=ORIENTED_EDGE('',*,*,#195445,.T.); #261885=ORIENTED_EDGE('',*,*,#195446,.T.); #261886=ORIENTED_EDGE('',*,*,#195447,.F.); #261887=ORIENTED_EDGE('',*,*,#195448,.F.); #261888=ORIENTED_EDGE('',*,*,#195449,.T.); #261889=ORIENTED_EDGE('',*,*,#195448,.T.); #261890=ORIENTED_EDGE('',*,*,#195450,.F.); #261891=ORIENTED_EDGE('',*,*,#195451,.F.); #261892=ORIENTED_EDGE('',*,*,#195452,.T.); #261893=ORIENTED_EDGE('',*,*,#195451,.T.); #261894=ORIENTED_EDGE('',*,*,#195453,.F.); #261895=ORIENTED_EDGE('',*,*,#195454,.F.); #261896=ORIENTED_EDGE('',*,*,#195455,.T.); #261897=ORIENTED_EDGE('',*,*,#195454,.T.); #261898=ORIENTED_EDGE('',*,*,#195456,.F.); #261899=ORIENTED_EDGE('',*,*,#195446,.F.); #261900=ORIENTED_EDGE('',*,*,#195456,.T.); #261901=ORIENTED_EDGE('',*,*,#195453,.T.); #261902=ORIENTED_EDGE('',*,*,#195450,.T.); #261903=ORIENTED_EDGE('',*,*,#195447,.T.); #261904=ORIENTED_EDGE('',*,*,#195455,.F.); #261905=ORIENTED_EDGE('',*,*,#195445,.F.); #261906=ORIENTED_EDGE('',*,*,#195449,.F.); #261907=ORIENTED_EDGE('',*,*,#195452,.F.); #261908=ORIENTED_EDGE('',*,*,#195457,.T.); #261909=ORIENTED_EDGE('',*,*,#195458,.T.); #261910=ORIENTED_EDGE('',*,*,#195459,.F.); #261911=ORIENTED_EDGE('',*,*,#195460,.F.); #261912=ORIENTED_EDGE('',*,*,#195461,.T.); #261913=ORIENTED_EDGE('',*,*,#195460,.T.); #261914=ORIENTED_EDGE('',*,*,#195462,.F.); #261915=ORIENTED_EDGE('',*,*,#195463,.F.); #261916=ORIENTED_EDGE('',*,*,#195464,.T.); #261917=ORIENTED_EDGE('',*,*,#195463,.T.); #261918=ORIENTED_EDGE('',*,*,#195465,.F.); #261919=ORIENTED_EDGE('',*,*,#195466,.F.); #261920=ORIENTED_EDGE('',*,*,#195467,.T.); #261921=ORIENTED_EDGE('',*,*,#195466,.T.); #261922=ORIENTED_EDGE('',*,*,#195468,.F.); #261923=ORIENTED_EDGE('',*,*,#195458,.F.); #261924=ORIENTED_EDGE('',*,*,#195468,.T.); #261925=ORIENTED_EDGE('',*,*,#195465,.T.); #261926=ORIENTED_EDGE('',*,*,#195462,.T.); #261927=ORIENTED_EDGE('',*,*,#195459,.T.); #261928=ORIENTED_EDGE('',*,*,#195467,.F.); #261929=ORIENTED_EDGE('',*,*,#195457,.F.); #261930=ORIENTED_EDGE('',*,*,#195461,.F.); #261931=ORIENTED_EDGE('',*,*,#195464,.F.); #261932=ORIENTED_EDGE('',*,*,#195469,.T.); #261933=ORIENTED_EDGE('',*,*,#195470,.T.); #261934=ORIENTED_EDGE('',*,*,#195471,.F.); #261935=ORIENTED_EDGE('',*,*,#195472,.F.); #261936=ORIENTED_EDGE('',*,*,#195473,.T.); #261937=ORIENTED_EDGE('',*,*,#195472,.T.); #261938=ORIENTED_EDGE('',*,*,#195474,.F.); #261939=ORIENTED_EDGE('',*,*,#195475,.F.); #261940=ORIENTED_EDGE('',*,*,#195476,.T.); #261941=ORIENTED_EDGE('',*,*,#195475,.T.); #261942=ORIENTED_EDGE('',*,*,#195477,.F.); #261943=ORIENTED_EDGE('',*,*,#195478,.F.); #261944=ORIENTED_EDGE('',*,*,#195479,.T.); #261945=ORIENTED_EDGE('',*,*,#195478,.T.); #261946=ORIENTED_EDGE('',*,*,#195480,.F.); #261947=ORIENTED_EDGE('',*,*,#195470,.F.); #261948=ORIENTED_EDGE('',*,*,#195480,.T.); #261949=ORIENTED_EDGE('',*,*,#195477,.T.); #261950=ORIENTED_EDGE('',*,*,#195474,.T.); #261951=ORIENTED_EDGE('',*,*,#195471,.T.); #261952=ORIENTED_EDGE('',*,*,#195479,.F.); #261953=ORIENTED_EDGE('',*,*,#195469,.F.); #261954=ORIENTED_EDGE('',*,*,#195473,.F.); #261955=ORIENTED_EDGE('',*,*,#195476,.F.); #261956=ORIENTED_EDGE('',*,*,#195481,.F.); #261957=ORIENTED_EDGE('',*,*,#195482,.T.); #261958=ORIENTED_EDGE('',*,*,#195483,.F.); #261959=ORIENTED_EDGE('',*,*,#195482,.F.); #261960=ORIENTED_EDGE('',*,*,#195484,.F.); #261961=ORIENTED_EDGE('',*,*,#195485,.T.); #261962=ORIENTED_EDGE('',*,*,#195486,.F.); #261963=ORIENTED_EDGE('',*,*,#195485,.F.); #261964=ORIENTED_EDGE('',*,*,#195487,.F.); #261965=ORIENTED_EDGE('',*,*,#195488,.T.); #261966=ORIENTED_EDGE('',*,*,#195489,.F.); #261967=ORIENTED_EDGE('',*,*,#195488,.F.); #261968=ORIENTED_EDGE('',*,*,#195490,.F.); #261969=ORIENTED_EDGE('',*,*,#195491,.T.); #261970=ORIENTED_EDGE('',*,*,#195492,.F.); #261971=ORIENTED_EDGE('',*,*,#195491,.F.); #261972=ORIENTED_EDGE('',*,*,#195493,.F.); #261973=ORIENTED_EDGE('',*,*,#195494,.T.); #261974=ORIENTED_EDGE('',*,*,#195495,.F.); #261975=ORIENTED_EDGE('',*,*,#195494,.F.); #261976=ORIENTED_EDGE('',*,*,#195496,.F.); #261977=ORIENTED_EDGE('',*,*,#195497,.T.); #261978=ORIENTED_EDGE('',*,*,#195498,.F.); #261979=ORIENTED_EDGE('',*,*,#195497,.F.); #261980=ORIENTED_EDGE('',*,*,#195499,.F.); #261981=ORIENTED_EDGE('',*,*,#195500,.T.); #261982=ORIENTED_EDGE('',*,*,#195501,.F.); #261983=ORIENTED_EDGE('',*,*,#195500,.F.); #261984=ORIENTED_EDGE('',*,*,#195502,.F.); #261985=ORIENTED_EDGE('',*,*,#195503,.T.); #261986=ORIENTED_EDGE('',*,*,#195504,.F.); #261987=ORIENTED_EDGE('',*,*,#195503,.F.); #261988=ORIENTED_EDGE('',*,*,#195505,.F.); #261989=ORIENTED_EDGE('',*,*,#195506,.T.); #261990=ORIENTED_EDGE('',*,*,#195507,.F.); #261991=ORIENTED_EDGE('',*,*,#195506,.F.); #261992=ORIENTED_EDGE('',*,*,#195508,.F.); #261993=ORIENTED_EDGE('',*,*,#195509,.T.); #261994=ORIENTED_EDGE('',*,*,#195510,.F.); #261995=ORIENTED_EDGE('',*,*,#195509,.F.); #261996=ORIENTED_EDGE('',*,*,#195511,.F.); #261997=ORIENTED_EDGE('',*,*,#195512,.T.); #261998=ORIENTED_EDGE('',*,*,#195513,.F.); #261999=ORIENTED_EDGE('',*,*,#195512,.F.); #262000=ORIENTED_EDGE('',*,*,#195514,.F.); #262001=ORIENTED_EDGE('',*,*,#195515,.T.); #262002=ORIENTED_EDGE('',*,*,#195516,.F.); #262003=ORIENTED_EDGE('',*,*,#195515,.F.); #262004=ORIENTED_EDGE('',*,*,#195517,.F.); #262005=ORIENTED_EDGE('',*,*,#195518,.T.); #262006=ORIENTED_EDGE('',*,*,#195519,.F.); #262007=ORIENTED_EDGE('',*,*,#195518,.F.); #262008=ORIENTED_EDGE('',*,*,#195520,.F.); #262009=ORIENTED_EDGE('',*,*,#195521,.T.); #262010=ORIENTED_EDGE('',*,*,#195522,.F.); #262011=ORIENTED_EDGE('',*,*,#195521,.F.); #262012=ORIENTED_EDGE('',*,*,#195523,.F.); #262013=ORIENTED_EDGE('',*,*,#195524,.T.); #262014=ORIENTED_EDGE('',*,*,#195525,.F.); #262015=ORIENTED_EDGE('',*,*,#195524,.F.); #262016=ORIENTED_EDGE('',*,*,#195526,.F.); #262017=ORIENTED_EDGE('',*,*,#195527,.T.); #262018=ORIENTED_EDGE('',*,*,#195528,.F.); #262019=ORIENTED_EDGE('',*,*,#195527,.F.); #262020=ORIENTED_EDGE('',*,*,#195529,.F.); #262021=ORIENTED_EDGE('',*,*,#195530,.T.); #262022=ORIENTED_EDGE('',*,*,#195531,.F.); #262023=ORIENTED_EDGE('',*,*,#195530,.F.); #262024=ORIENTED_EDGE('',*,*,#195532,.F.); #262025=ORIENTED_EDGE('',*,*,#195533,.T.); #262026=ORIENTED_EDGE('',*,*,#195534,.F.); #262027=ORIENTED_EDGE('',*,*,#195533,.F.); #262028=ORIENTED_EDGE('',*,*,#195535,.F.); #262029=ORIENTED_EDGE('',*,*,#195536,.T.); #262030=ORIENTED_EDGE('',*,*,#195537,.F.); #262031=ORIENTED_EDGE('',*,*,#195536,.F.); #262032=ORIENTED_EDGE('',*,*,#195538,.F.); #262033=ORIENTED_EDGE('',*,*,#195539,.T.); #262034=ORIENTED_EDGE('',*,*,#195540,.F.); #262035=ORIENTED_EDGE('',*,*,#195539,.F.); #262036=ORIENTED_EDGE('',*,*,#195541,.F.); #262037=ORIENTED_EDGE('',*,*,#195542,.T.); #262038=ORIENTED_EDGE('',*,*,#195543,.F.); #262039=ORIENTED_EDGE('',*,*,#195542,.F.); #262040=ORIENTED_EDGE('',*,*,#195544,.F.); #262041=ORIENTED_EDGE('',*,*,#195545,.T.); #262042=ORIENTED_EDGE('',*,*,#195546,.F.); #262043=ORIENTED_EDGE('',*,*,#195545,.F.); #262044=ORIENTED_EDGE('',*,*,#195547,.F.); #262045=ORIENTED_EDGE('',*,*,#195548,.T.); #262046=ORIENTED_EDGE('',*,*,#195549,.F.); #262047=ORIENTED_EDGE('',*,*,#195548,.F.); #262048=ORIENTED_EDGE('',*,*,#195550,.F.); #262049=ORIENTED_EDGE('',*,*,#195551,.T.); #262050=ORIENTED_EDGE('',*,*,#195552,.F.); #262051=ORIENTED_EDGE('',*,*,#195551,.F.); #262052=ORIENTED_EDGE('',*,*,#195553,.F.); #262053=ORIENTED_EDGE('',*,*,#195554,.T.); #262054=ORIENTED_EDGE('',*,*,#195555,.F.); #262055=ORIENTED_EDGE('',*,*,#195554,.F.); #262056=ORIENTED_EDGE('',*,*,#195556,.F.); #262057=ORIENTED_EDGE('',*,*,#195557,.T.); #262058=ORIENTED_EDGE('',*,*,#195558,.F.); #262059=ORIENTED_EDGE('',*,*,#195557,.F.); #262060=ORIENTED_EDGE('',*,*,#195559,.F.); #262061=ORIENTED_EDGE('',*,*,#195560,.T.); #262062=ORIENTED_EDGE('',*,*,#195561,.F.); #262063=ORIENTED_EDGE('',*,*,#195560,.F.); #262064=ORIENTED_EDGE('',*,*,#195562,.F.); #262065=ORIENTED_EDGE('',*,*,#195563,.T.); #262066=ORIENTED_EDGE('',*,*,#195564,.F.); #262067=ORIENTED_EDGE('',*,*,#195563,.F.); #262068=ORIENTED_EDGE('',*,*,#195565,.F.); #262069=ORIENTED_EDGE('',*,*,#195566,.T.); #262070=ORIENTED_EDGE('',*,*,#195567,.F.); #262071=ORIENTED_EDGE('',*,*,#195566,.F.); #262072=ORIENTED_EDGE('',*,*,#195568,.F.); #262073=ORIENTED_EDGE('',*,*,#195569,.T.); #262074=ORIENTED_EDGE('',*,*,#195570,.F.); #262075=ORIENTED_EDGE('',*,*,#195569,.F.); #262076=ORIENTED_EDGE('',*,*,#195571,.F.); #262077=ORIENTED_EDGE('',*,*,#195572,.T.); #262078=ORIENTED_EDGE('',*,*,#195573,.F.); #262079=ORIENTED_EDGE('',*,*,#195572,.F.); #262080=ORIENTED_EDGE('',*,*,#195574,.F.); #262081=ORIENTED_EDGE('',*,*,#195575,.T.); #262082=ORIENTED_EDGE('',*,*,#195576,.F.); #262083=ORIENTED_EDGE('',*,*,#195575,.F.); #262084=ORIENTED_EDGE('',*,*,#195577,.F.); #262085=ORIENTED_EDGE('',*,*,#195578,.T.); #262086=ORIENTED_EDGE('',*,*,#195579,.F.); #262087=ORIENTED_EDGE('',*,*,#195578,.F.); #262088=ORIENTED_EDGE('',*,*,#195580,.F.); #262089=ORIENTED_EDGE('',*,*,#195581,.T.); #262090=ORIENTED_EDGE('',*,*,#195582,.F.); #262091=ORIENTED_EDGE('',*,*,#195581,.F.); #262092=ORIENTED_EDGE('',*,*,#195583,.F.); #262093=ORIENTED_EDGE('',*,*,#195584,.T.); #262094=ORIENTED_EDGE('',*,*,#195585,.F.); #262095=ORIENTED_EDGE('',*,*,#195584,.F.); #262096=ORIENTED_EDGE('',*,*,#195586,.F.); #262097=ORIENTED_EDGE('',*,*,#195587,.T.); #262098=ORIENTED_EDGE('',*,*,#195588,.F.); #262099=ORIENTED_EDGE('',*,*,#195587,.F.); #262100=ORIENTED_EDGE('',*,*,#195589,.F.); #262101=ORIENTED_EDGE('',*,*,#195590,.T.); #262102=ORIENTED_EDGE('',*,*,#195591,.F.); #262103=ORIENTED_EDGE('',*,*,#195590,.F.); #262104=ORIENTED_EDGE('',*,*,#195592,.F.); #262105=ORIENTED_EDGE('',*,*,#195593,.T.); #262106=ORIENTED_EDGE('',*,*,#195594,.F.); #262107=ORIENTED_EDGE('',*,*,#195593,.F.); #262108=ORIENTED_EDGE('',*,*,#195595,.F.); #262109=ORIENTED_EDGE('',*,*,#195596,.T.); #262110=ORIENTED_EDGE('',*,*,#195597,.F.); #262111=ORIENTED_EDGE('',*,*,#195596,.F.); #262112=ORIENTED_EDGE('',*,*,#195598,.F.); #262113=ORIENTED_EDGE('',*,*,#195599,.T.); #262114=ORIENTED_EDGE('',*,*,#195600,.F.); #262115=ORIENTED_EDGE('',*,*,#195599,.F.); #262116=ORIENTED_EDGE('',*,*,#195601,.F.); #262117=ORIENTED_EDGE('',*,*,#195602,.T.); #262118=ORIENTED_EDGE('',*,*,#195603,.F.); #262119=ORIENTED_EDGE('',*,*,#195602,.F.); #262120=ORIENTED_EDGE('',*,*,#195604,.F.); #262121=ORIENTED_EDGE('',*,*,#195605,.T.); #262122=ORIENTED_EDGE('',*,*,#195606,.F.); #262123=ORIENTED_EDGE('',*,*,#195605,.F.); #262124=ORIENTED_EDGE('',*,*,#195607,.F.); #262125=ORIENTED_EDGE('',*,*,#195608,.T.); #262126=ORIENTED_EDGE('',*,*,#195609,.F.); #262127=ORIENTED_EDGE('',*,*,#195608,.F.); #262128=ORIENTED_EDGE('',*,*,#195610,.F.); #262129=ORIENTED_EDGE('',*,*,#195611,.T.); #262130=ORIENTED_EDGE('',*,*,#195612,.F.); #262131=ORIENTED_EDGE('',*,*,#195611,.F.); #262132=ORIENTED_EDGE('',*,*,#195613,.F.); #262133=ORIENTED_EDGE('',*,*,#195614,.T.); #262134=ORIENTED_EDGE('',*,*,#195615,.F.); #262135=ORIENTED_EDGE('',*,*,#195614,.F.); #262136=ORIENTED_EDGE('',*,*,#195616,.F.); #262137=ORIENTED_EDGE('',*,*,#195617,.T.); #262138=ORIENTED_EDGE('',*,*,#195618,.F.); #262139=ORIENTED_EDGE('',*,*,#195617,.F.); #262140=ORIENTED_EDGE('',*,*,#195619,.F.); #262141=ORIENTED_EDGE('',*,*,#195620,.T.); #262142=ORIENTED_EDGE('',*,*,#195621,.F.); #262143=ORIENTED_EDGE('',*,*,#195620,.F.); #262144=ORIENTED_EDGE('',*,*,#195622,.F.); #262145=ORIENTED_EDGE('',*,*,#195623,.T.); #262146=ORIENTED_EDGE('',*,*,#195624,.F.); #262147=ORIENTED_EDGE('',*,*,#195623,.F.); #262148=ORIENTED_EDGE('',*,*,#195625,.F.); #262149=ORIENTED_EDGE('',*,*,#195626,.T.); #262150=ORIENTED_EDGE('',*,*,#195627,.F.); #262151=ORIENTED_EDGE('',*,*,#195626,.F.); #262152=ORIENTED_EDGE('',*,*,#195628,.T.); #262153=ORIENTED_EDGE('',*,*,#195629,.T.); #262154=ORIENTED_EDGE('',*,*,#195630,.F.); #262155=ORIENTED_EDGE('',*,*,#195631,.F.); #262156=ORIENTED_EDGE('',*,*,#195632,.T.); #262157=ORIENTED_EDGE('',*,*,#195631,.T.); #262158=ORIENTED_EDGE('',*,*,#195633,.F.); #262159=ORIENTED_EDGE('',*,*,#195634,.F.); #262160=ORIENTED_EDGE('',*,*,#195635,.T.); #262161=ORIENTED_EDGE('',*,*,#195634,.T.); #262162=ORIENTED_EDGE('',*,*,#195636,.F.); #262163=ORIENTED_EDGE('',*,*,#195637,.F.); #262164=ORIENTED_EDGE('',*,*,#195638,.T.); #262165=ORIENTED_EDGE('',*,*,#195637,.T.); #262166=ORIENTED_EDGE('',*,*,#195639,.F.); #262167=ORIENTED_EDGE('',*,*,#195629,.F.); #262168=ORIENTED_EDGE('',*,*,#195639,.T.); #262169=ORIENTED_EDGE('',*,*,#195636,.T.); #262170=ORIENTED_EDGE('',*,*,#195633,.T.); #262171=ORIENTED_EDGE('',*,*,#195630,.T.); #262172=ORIENTED_EDGE('',*,*,#195483,.T.); #262173=ORIENTED_EDGE('',*,*,#195486,.T.); #262174=ORIENTED_EDGE('',*,*,#195489,.T.); #262175=ORIENTED_EDGE('',*,*,#195492,.T.); #262176=ORIENTED_EDGE('',*,*,#195495,.T.); #262177=ORIENTED_EDGE('',*,*,#195498,.T.); #262178=ORIENTED_EDGE('',*,*,#195501,.T.); #262179=ORIENTED_EDGE('',*,*,#195504,.T.); #262180=ORIENTED_EDGE('',*,*,#195507,.T.); #262181=ORIENTED_EDGE('',*,*,#195510,.T.); #262182=ORIENTED_EDGE('',*,*,#195513,.T.); #262183=ORIENTED_EDGE('',*,*,#195516,.T.); #262184=ORIENTED_EDGE('',*,*,#195519,.T.); #262185=ORIENTED_EDGE('',*,*,#195522,.T.); #262186=ORIENTED_EDGE('',*,*,#195525,.T.); #262187=ORIENTED_EDGE('',*,*,#195528,.T.); #262188=ORIENTED_EDGE('',*,*,#195531,.T.); #262189=ORIENTED_EDGE('',*,*,#195534,.T.); #262190=ORIENTED_EDGE('',*,*,#195537,.T.); #262191=ORIENTED_EDGE('',*,*,#195540,.T.); #262192=ORIENTED_EDGE('',*,*,#195543,.T.); #262193=ORIENTED_EDGE('',*,*,#195546,.T.); #262194=ORIENTED_EDGE('',*,*,#195549,.T.); #262195=ORIENTED_EDGE('',*,*,#195552,.T.); #262196=ORIENTED_EDGE('',*,*,#195555,.T.); #262197=ORIENTED_EDGE('',*,*,#195558,.T.); #262198=ORIENTED_EDGE('',*,*,#195561,.T.); #262199=ORIENTED_EDGE('',*,*,#195564,.T.); #262200=ORIENTED_EDGE('',*,*,#195567,.T.); #262201=ORIENTED_EDGE('',*,*,#195570,.T.); #262202=ORIENTED_EDGE('',*,*,#195573,.T.); #262203=ORIENTED_EDGE('',*,*,#195576,.T.); #262204=ORIENTED_EDGE('',*,*,#195579,.T.); #262205=ORIENTED_EDGE('',*,*,#195582,.T.); #262206=ORIENTED_EDGE('',*,*,#195585,.T.); #262207=ORIENTED_EDGE('',*,*,#195588,.T.); #262208=ORIENTED_EDGE('',*,*,#195591,.T.); #262209=ORIENTED_EDGE('',*,*,#195594,.T.); #262210=ORIENTED_EDGE('',*,*,#195597,.T.); #262211=ORIENTED_EDGE('',*,*,#195600,.T.); #262212=ORIENTED_EDGE('',*,*,#195603,.T.); #262213=ORIENTED_EDGE('',*,*,#195606,.T.); #262214=ORIENTED_EDGE('',*,*,#195609,.T.); #262215=ORIENTED_EDGE('',*,*,#195612,.T.); #262216=ORIENTED_EDGE('',*,*,#195615,.T.); #262217=ORIENTED_EDGE('',*,*,#195618,.T.); #262218=ORIENTED_EDGE('',*,*,#195621,.T.); #262219=ORIENTED_EDGE('',*,*,#195624,.T.); #262220=ORIENTED_EDGE('',*,*,#195627,.T.); #262221=ORIENTED_EDGE('',*,*,#195638,.F.); #262222=ORIENTED_EDGE('',*,*,#195628,.F.); #262223=ORIENTED_EDGE('',*,*,#195632,.F.); #262224=ORIENTED_EDGE('',*,*,#195635,.F.); #262225=ORIENTED_EDGE('',*,*,#195481,.T.); #262226=ORIENTED_EDGE('',*,*,#195484,.T.); #262227=ORIENTED_EDGE('',*,*,#195487,.T.); #262228=ORIENTED_EDGE('',*,*,#195490,.T.); #262229=ORIENTED_EDGE('',*,*,#195493,.T.); #262230=ORIENTED_EDGE('',*,*,#195496,.T.); #262231=ORIENTED_EDGE('',*,*,#195499,.T.); #262232=ORIENTED_EDGE('',*,*,#195502,.T.); #262233=ORIENTED_EDGE('',*,*,#195505,.T.); #262234=ORIENTED_EDGE('',*,*,#195508,.T.); #262235=ORIENTED_EDGE('',*,*,#195511,.T.); #262236=ORIENTED_EDGE('',*,*,#195514,.T.); #262237=ORIENTED_EDGE('',*,*,#195517,.T.); #262238=ORIENTED_EDGE('',*,*,#195520,.T.); #262239=ORIENTED_EDGE('',*,*,#195523,.T.); #262240=ORIENTED_EDGE('',*,*,#195526,.T.); #262241=ORIENTED_EDGE('',*,*,#195529,.T.); #262242=ORIENTED_EDGE('',*,*,#195532,.T.); #262243=ORIENTED_EDGE('',*,*,#195535,.T.); #262244=ORIENTED_EDGE('',*,*,#195538,.T.); #262245=ORIENTED_EDGE('',*,*,#195541,.T.); #262246=ORIENTED_EDGE('',*,*,#195544,.T.); #262247=ORIENTED_EDGE('',*,*,#195547,.T.); #262248=ORIENTED_EDGE('',*,*,#195550,.T.); #262249=ORIENTED_EDGE('',*,*,#195553,.T.); #262250=ORIENTED_EDGE('',*,*,#195556,.T.); #262251=ORIENTED_EDGE('',*,*,#195559,.T.); #262252=ORIENTED_EDGE('',*,*,#195562,.T.); #262253=ORIENTED_EDGE('',*,*,#195565,.T.); #262254=ORIENTED_EDGE('',*,*,#195568,.T.); #262255=ORIENTED_EDGE('',*,*,#195571,.T.); #262256=ORIENTED_EDGE('',*,*,#195574,.T.); #262257=ORIENTED_EDGE('',*,*,#195577,.T.); #262258=ORIENTED_EDGE('',*,*,#195580,.T.); #262259=ORIENTED_EDGE('',*,*,#195583,.T.); #262260=ORIENTED_EDGE('',*,*,#195586,.T.); #262261=ORIENTED_EDGE('',*,*,#195589,.T.); #262262=ORIENTED_EDGE('',*,*,#195592,.T.); #262263=ORIENTED_EDGE('',*,*,#195595,.T.); #262264=ORIENTED_EDGE('',*,*,#195598,.T.); #262265=ORIENTED_EDGE('',*,*,#195601,.T.); #262266=ORIENTED_EDGE('',*,*,#195604,.T.); #262267=ORIENTED_EDGE('',*,*,#195607,.T.); #262268=ORIENTED_EDGE('',*,*,#195610,.T.); #262269=ORIENTED_EDGE('',*,*,#195613,.T.); #262270=ORIENTED_EDGE('',*,*,#195616,.T.); #262271=ORIENTED_EDGE('',*,*,#195619,.T.); #262272=ORIENTED_EDGE('',*,*,#195622,.T.); #262273=ORIENTED_EDGE('',*,*,#195625,.T.); #262274=ORIENTED_EDGE('',*,*,#195640,.T.); #262275=ORIENTED_EDGE('',*,*,#195641,.T.); #262276=ORIENTED_EDGE('',*,*,#195642,.F.); #262277=ORIENTED_EDGE('',*,*,#195643,.F.); #262278=ORIENTED_EDGE('',*,*,#195644,.T.); #262279=ORIENTED_EDGE('',*,*,#195643,.T.); #262280=ORIENTED_EDGE('',*,*,#195645,.F.); #262281=ORIENTED_EDGE('',*,*,#195646,.F.); #262282=ORIENTED_EDGE('',*,*,#195647,.T.); #262283=ORIENTED_EDGE('',*,*,#195646,.T.); #262284=ORIENTED_EDGE('',*,*,#195648,.F.); #262285=ORIENTED_EDGE('',*,*,#195649,.F.); #262286=ORIENTED_EDGE('',*,*,#195650,.T.); #262287=ORIENTED_EDGE('',*,*,#195649,.T.); #262288=ORIENTED_EDGE('',*,*,#195651,.F.); #262289=ORIENTED_EDGE('',*,*,#195641,.F.); #262290=ORIENTED_EDGE('',*,*,#195651,.T.); #262291=ORIENTED_EDGE('',*,*,#195648,.T.); #262292=ORIENTED_EDGE('',*,*,#195645,.T.); #262293=ORIENTED_EDGE('',*,*,#195642,.T.); #262294=ORIENTED_EDGE('',*,*,#195650,.F.); #262295=ORIENTED_EDGE('',*,*,#195640,.F.); #262296=ORIENTED_EDGE('',*,*,#195644,.F.); #262297=ORIENTED_EDGE('',*,*,#195647,.F.); #262298=ORIENTED_EDGE('',*,*,#195652,.T.); #262299=ORIENTED_EDGE('',*,*,#195653,.T.); #262300=ORIENTED_EDGE('',*,*,#195654,.F.); #262301=ORIENTED_EDGE('',*,*,#195655,.F.); #262302=ORIENTED_EDGE('',*,*,#195656,.T.); #262303=ORIENTED_EDGE('',*,*,#195655,.T.); #262304=ORIENTED_EDGE('',*,*,#195657,.F.); #262305=ORIENTED_EDGE('',*,*,#195658,.F.); #262306=ORIENTED_EDGE('',*,*,#195659,.T.); #262307=ORIENTED_EDGE('',*,*,#195658,.T.); #262308=ORIENTED_EDGE('',*,*,#195660,.F.); #262309=ORIENTED_EDGE('',*,*,#195661,.F.); #262310=ORIENTED_EDGE('',*,*,#195662,.T.); #262311=ORIENTED_EDGE('',*,*,#195661,.T.); #262312=ORIENTED_EDGE('',*,*,#195663,.F.); #262313=ORIENTED_EDGE('',*,*,#195653,.F.); #262314=ORIENTED_EDGE('',*,*,#195663,.T.); #262315=ORIENTED_EDGE('',*,*,#195660,.T.); #262316=ORIENTED_EDGE('',*,*,#195657,.T.); #262317=ORIENTED_EDGE('',*,*,#195654,.T.); #262318=ORIENTED_EDGE('',*,*,#195662,.F.); #262319=ORIENTED_EDGE('',*,*,#195652,.F.); #262320=ORIENTED_EDGE('',*,*,#195656,.F.); #262321=ORIENTED_EDGE('',*,*,#195659,.F.); #262322=ORIENTED_EDGE('',*,*,#195664,.T.); #262323=ORIENTED_EDGE('',*,*,#195665,.T.); #262324=ORIENTED_EDGE('',*,*,#195666,.F.); #262325=ORIENTED_EDGE('',*,*,#195667,.F.); #262326=ORIENTED_EDGE('',*,*,#195668,.T.); #262327=ORIENTED_EDGE('',*,*,#195667,.T.); #262328=ORIENTED_EDGE('',*,*,#195669,.F.); #262329=ORIENTED_EDGE('',*,*,#195670,.F.); #262330=ORIENTED_EDGE('',*,*,#195671,.T.); #262331=ORIENTED_EDGE('',*,*,#195670,.T.); #262332=ORIENTED_EDGE('',*,*,#195672,.F.); #262333=ORIENTED_EDGE('',*,*,#195673,.F.); #262334=ORIENTED_EDGE('',*,*,#195674,.T.); #262335=ORIENTED_EDGE('',*,*,#195673,.T.); #262336=ORIENTED_EDGE('',*,*,#195675,.F.); #262337=ORIENTED_EDGE('',*,*,#195665,.F.); #262338=ORIENTED_EDGE('',*,*,#195675,.T.); #262339=ORIENTED_EDGE('',*,*,#195672,.T.); #262340=ORIENTED_EDGE('',*,*,#195669,.T.); #262341=ORIENTED_EDGE('',*,*,#195666,.T.); #262342=ORIENTED_EDGE('',*,*,#195674,.F.); #262343=ORIENTED_EDGE('',*,*,#195664,.F.); #262344=ORIENTED_EDGE('',*,*,#195668,.F.); #262345=ORIENTED_EDGE('',*,*,#195671,.F.); #262346=ORIENTED_EDGE('',*,*,#195676,.T.); #262347=ORIENTED_EDGE('',*,*,#195677,.T.); #262348=ORIENTED_EDGE('',*,*,#195678,.F.); #262349=ORIENTED_EDGE('',*,*,#195679,.F.); #262350=ORIENTED_EDGE('',*,*,#195680,.T.); #262351=ORIENTED_EDGE('',*,*,#195679,.T.); #262352=ORIENTED_EDGE('',*,*,#195681,.F.); #262353=ORIENTED_EDGE('',*,*,#195682,.F.); #262354=ORIENTED_EDGE('',*,*,#195683,.T.); #262355=ORIENTED_EDGE('',*,*,#195682,.T.); #262356=ORIENTED_EDGE('',*,*,#195684,.F.); #262357=ORIENTED_EDGE('',*,*,#195685,.F.); #262358=ORIENTED_EDGE('',*,*,#195686,.T.); #262359=ORIENTED_EDGE('',*,*,#195685,.T.); #262360=ORIENTED_EDGE('',*,*,#195687,.F.); #262361=ORIENTED_EDGE('',*,*,#195677,.F.); #262362=ORIENTED_EDGE('',*,*,#195687,.T.); #262363=ORIENTED_EDGE('',*,*,#195684,.T.); #262364=ORIENTED_EDGE('',*,*,#195681,.T.); #262365=ORIENTED_EDGE('',*,*,#195678,.T.); #262366=ORIENTED_EDGE('',*,*,#195686,.F.); #262367=ORIENTED_EDGE('',*,*,#195676,.F.); #262368=ORIENTED_EDGE('',*,*,#195680,.F.); #262369=ORIENTED_EDGE('',*,*,#195683,.F.); #262370=ORIENTED_EDGE('',*,*,#195688,.T.); #262371=ORIENTED_EDGE('',*,*,#195689,.T.); #262372=ORIENTED_EDGE('',*,*,#195690,.F.); #262373=ORIENTED_EDGE('',*,*,#195691,.F.); #262374=ORIENTED_EDGE('',*,*,#195692,.T.); #262375=ORIENTED_EDGE('',*,*,#195691,.T.); #262376=ORIENTED_EDGE('',*,*,#195693,.F.); #262377=ORIENTED_EDGE('',*,*,#195694,.F.); #262378=ORIENTED_EDGE('',*,*,#195695,.T.); #262379=ORIENTED_EDGE('',*,*,#195694,.T.); #262380=ORIENTED_EDGE('',*,*,#195696,.F.); #262381=ORIENTED_EDGE('',*,*,#195697,.F.); #262382=ORIENTED_EDGE('',*,*,#195698,.T.); #262383=ORIENTED_EDGE('',*,*,#195697,.T.); #262384=ORIENTED_EDGE('',*,*,#195699,.F.); #262385=ORIENTED_EDGE('',*,*,#195689,.F.); #262386=ORIENTED_EDGE('',*,*,#195699,.T.); #262387=ORIENTED_EDGE('',*,*,#195696,.T.); #262388=ORIENTED_EDGE('',*,*,#195693,.T.); #262389=ORIENTED_EDGE('',*,*,#195690,.T.); #262390=ORIENTED_EDGE('',*,*,#195698,.F.); #262391=ORIENTED_EDGE('',*,*,#195688,.F.); #262392=ORIENTED_EDGE('',*,*,#195692,.F.); #262393=ORIENTED_EDGE('',*,*,#195695,.F.); #262394=ORIENTED_EDGE('',*,*,#195700,.T.); #262395=ORIENTED_EDGE('',*,*,#195701,.T.); #262396=ORIENTED_EDGE('',*,*,#195702,.F.); #262397=ORIENTED_EDGE('',*,*,#195703,.F.); #262398=ORIENTED_EDGE('',*,*,#195704,.T.); #262399=ORIENTED_EDGE('',*,*,#195703,.T.); #262400=ORIENTED_EDGE('',*,*,#195705,.F.); #262401=ORIENTED_EDGE('',*,*,#195706,.F.); #262402=ORIENTED_EDGE('',*,*,#195707,.T.); #262403=ORIENTED_EDGE('',*,*,#195706,.T.); #262404=ORIENTED_EDGE('',*,*,#195708,.F.); #262405=ORIENTED_EDGE('',*,*,#195709,.F.); #262406=ORIENTED_EDGE('',*,*,#195710,.T.); #262407=ORIENTED_EDGE('',*,*,#195709,.T.); #262408=ORIENTED_EDGE('',*,*,#195711,.F.); #262409=ORIENTED_EDGE('',*,*,#195701,.F.); #262410=ORIENTED_EDGE('',*,*,#195711,.T.); #262411=ORIENTED_EDGE('',*,*,#195708,.T.); #262412=ORIENTED_EDGE('',*,*,#195705,.T.); #262413=ORIENTED_EDGE('',*,*,#195702,.T.); #262414=ORIENTED_EDGE('',*,*,#195710,.F.); #262415=ORIENTED_EDGE('',*,*,#195700,.F.); #262416=ORIENTED_EDGE('',*,*,#195704,.F.); #262417=ORIENTED_EDGE('',*,*,#195707,.F.); #262418=ORIENTED_EDGE('',*,*,#195712,.T.); #262419=ORIENTED_EDGE('',*,*,#195713,.T.); #262420=ORIENTED_EDGE('',*,*,#195714,.F.); #262421=ORIENTED_EDGE('',*,*,#195715,.F.); #262422=ORIENTED_EDGE('',*,*,#195716,.T.); #262423=ORIENTED_EDGE('',*,*,#195715,.T.); #262424=ORIENTED_EDGE('',*,*,#195717,.F.); #262425=ORIENTED_EDGE('',*,*,#195718,.F.); #262426=ORIENTED_EDGE('',*,*,#195719,.T.); #262427=ORIENTED_EDGE('',*,*,#195718,.T.); #262428=ORIENTED_EDGE('',*,*,#195720,.F.); #262429=ORIENTED_EDGE('',*,*,#195721,.F.); #262430=ORIENTED_EDGE('',*,*,#195722,.T.); #262431=ORIENTED_EDGE('',*,*,#195721,.T.); #262432=ORIENTED_EDGE('',*,*,#195723,.F.); #262433=ORIENTED_EDGE('',*,*,#195713,.F.); #262434=ORIENTED_EDGE('',*,*,#195723,.T.); #262435=ORIENTED_EDGE('',*,*,#195720,.T.); #262436=ORIENTED_EDGE('',*,*,#195717,.T.); #262437=ORIENTED_EDGE('',*,*,#195714,.T.); #262438=ORIENTED_EDGE('',*,*,#195722,.F.); #262439=ORIENTED_EDGE('',*,*,#195712,.F.); #262440=ORIENTED_EDGE('',*,*,#195716,.F.); #262441=ORIENTED_EDGE('',*,*,#195719,.F.); #262442=ORIENTED_EDGE('',*,*,#195724,.T.); #262443=ORIENTED_EDGE('',*,*,#195725,.T.); #262444=ORIENTED_EDGE('',*,*,#195726,.F.); #262445=ORIENTED_EDGE('',*,*,#195727,.F.); #262446=ORIENTED_EDGE('',*,*,#195728,.T.); #262447=ORIENTED_EDGE('',*,*,#195727,.T.); #262448=ORIENTED_EDGE('',*,*,#195729,.F.); #262449=ORIENTED_EDGE('',*,*,#195730,.F.); #262450=ORIENTED_EDGE('',*,*,#195731,.T.); #262451=ORIENTED_EDGE('',*,*,#195730,.T.); #262452=ORIENTED_EDGE('',*,*,#195732,.F.); #262453=ORIENTED_EDGE('',*,*,#195733,.F.); #262454=ORIENTED_EDGE('',*,*,#195734,.T.); #262455=ORIENTED_EDGE('',*,*,#195733,.T.); #262456=ORIENTED_EDGE('',*,*,#195735,.F.); #262457=ORIENTED_EDGE('',*,*,#195725,.F.); #262458=ORIENTED_EDGE('',*,*,#195735,.T.); #262459=ORIENTED_EDGE('',*,*,#195732,.T.); #262460=ORIENTED_EDGE('',*,*,#195729,.T.); #262461=ORIENTED_EDGE('',*,*,#195726,.T.); #262462=ORIENTED_EDGE('',*,*,#195734,.F.); #262463=ORIENTED_EDGE('',*,*,#195724,.F.); #262464=ORIENTED_EDGE('',*,*,#195728,.F.); #262465=ORIENTED_EDGE('',*,*,#195731,.F.); #262466=ORIENTED_EDGE('',*,*,#195736,.T.); #262467=ORIENTED_EDGE('',*,*,#195737,.T.); #262468=ORIENTED_EDGE('',*,*,#195738,.F.); #262469=ORIENTED_EDGE('',*,*,#195739,.F.); #262470=ORIENTED_EDGE('',*,*,#195740,.T.); #262471=ORIENTED_EDGE('',*,*,#195739,.T.); #262472=ORIENTED_EDGE('',*,*,#195741,.F.); #262473=ORIENTED_EDGE('',*,*,#195742,.F.); #262474=ORIENTED_EDGE('',*,*,#195743,.T.); #262475=ORIENTED_EDGE('',*,*,#195742,.T.); #262476=ORIENTED_EDGE('',*,*,#195744,.F.); #262477=ORIENTED_EDGE('',*,*,#195745,.F.); #262478=ORIENTED_EDGE('',*,*,#195746,.T.); #262479=ORIENTED_EDGE('',*,*,#195745,.T.); #262480=ORIENTED_EDGE('',*,*,#195747,.F.); #262481=ORIENTED_EDGE('',*,*,#195737,.F.); #262482=ORIENTED_EDGE('',*,*,#195747,.T.); #262483=ORIENTED_EDGE('',*,*,#195744,.T.); #262484=ORIENTED_EDGE('',*,*,#195741,.T.); #262485=ORIENTED_EDGE('',*,*,#195738,.T.); #262486=ORIENTED_EDGE('',*,*,#195746,.F.); #262487=ORIENTED_EDGE('',*,*,#195736,.F.); #262488=ORIENTED_EDGE('',*,*,#195740,.F.); #262489=ORIENTED_EDGE('',*,*,#195743,.F.); #262490=ORIENTED_EDGE('',*,*,#195748,.T.); #262491=ORIENTED_EDGE('',*,*,#195749,.T.); #262492=ORIENTED_EDGE('',*,*,#195750,.F.); #262493=ORIENTED_EDGE('',*,*,#195751,.F.); #262494=ORIENTED_EDGE('',*,*,#195752,.T.); #262495=ORIENTED_EDGE('',*,*,#195751,.T.); #262496=ORIENTED_EDGE('',*,*,#195753,.F.); #262497=ORIENTED_EDGE('',*,*,#195754,.F.); #262498=ORIENTED_EDGE('',*,*,#195755,.T.); #262499=ORIENTED_EDGE('',*,*,#195754,.T.); #262500=ORIENTED_EDGE('',*,*,#195756,.F.); #262501=ORIENTED_EDGE('',*,*,#195757,.F.); #262502=ORIENTED_EDGE('',*,*,#195758,.T.); #262503=ORIENTED_EDGE('',*,*,#195757,.T.); #262504=ORIENTED_EDGE('',*,*,#195759,.F.); #262505=ORIENTED_EDGE('',*,*,#195749,.F.); #262506=ORIENTED_EDGE('',*,*,#195759,.T.); #262507=ORIENTED_EDGE('',*,*,#195756,.T.); #262508=ORIENTED_EDGE('',*,*,#195753,.T.); #262509=ORIENTED_EDGE('',*,*,#195750,.T.); #262510=ORIENTED_EDGE('',*,*,#195758,.F.); #262511=ORIENTED_EDGE('',*,*,#195748,.F.); #262512=ORIENTED_EDGE('',*,*,#195752,.F.); #262513=ORIENTED_EDGE('',*,*,#195755,.F.); #262514=ORIENTED_EDGE('',*,*,#195760,.T.); #262515=ORIENTED_EDGE('',*,*,#195761,.T.); #262516=ORIENTED_EDGE('',*,*,#195762,.F.); #262517=ORIENTED_EDGE('',*,*,#195763,.F.); #262518=ORIENTED_EDGE('',*,*,#195764,.T.); #262519=ORIENTED_EDGE('',*,*,#195763,.T.); #262520=ORIENTED_EDGE('',*,*,#195765,.F.); #262521=ORIENTED_EDGE('',*,*,#195766,.F.); #262522=ORIENTED_EDGE('',*,*,#195767,.T.); #262523=ORIENTED_EDGE('',*,*,#195766,.T.); #262524=ORIENTED_EDGE('',*,*,#195768,.F.); #262525=ORIENTED_EDGE('',*,*,#195769,.F.); #262526=ORIENTED_EDGE('',*,*,#195770,.T.); #262527=ORIENTED_EDGE('',*,*,#195769,.T.); #262528=ORIENTED_EDGE('',*,*,#195771,.F.); #262529=ORIENTED_EDGE('',*,*,#195761,.F.); #262530=ORIENTED_EDGE('',*,*,#195771,.T.); #262531=ORIENTED_EDGE('',*,*,#195768,.T.); #262532=ORIENTED_EDGE('',*,*,#195765,.T.); #262533=ORIENTED_EDGE('',*,*,#195762,.T.); #262534=ORIENTED_EDGE('',*,*,#195770,.F.); #262535=ORIENTED_EDGE('',*,*,#195760,.F.); #262536=ORIENTED_EDGE('',*,*,#195764,.F.); #262537=ORIENTED_EDGE('',*,*,#195767,.F.); #262538=ORIENTED_EDGE('',*,*,#195772,.T.); #262539=ORIENTED_EDGE('',*,*,#195773,.T.); #262540=ORIENTED_EDGE('',*,*,#195774,.F.); #262541=ORIENTED_EDGE('',*,*,#195775,.F.); #262542=ORIENTED_EDGE('',*,*,#195776,.T.); #262543=ORIENTED_EDGE('',*,*,#195775,.T.); #262544=ORIENTED_EDGE('',*,*,#195777,.F.); #262545=ORIENTED_EDGE('',*,*,#195778,.F.); #262546=ORIENTED_EDGE('',*,*,#195779,.T.); #262547=ORIENTED_EDGE('',*,*,#195778,.T.); #262548=ORIENTED_EDGE('',*,*,#195780,.F.); #262549=ORIENTED_EDGE('',*,*,#195781,.F.); #262550=ORIENTED_EDGE('',*,*,#195782,.T.); #262551=ORIENTED_EDGE('',*,*,#195781,.T.); #262552=ORIENTED_EDGE('',*,*,#195783,.F.); #262553=ORIENTED_EDGE('',*,*,#195773,.F.); #262554=ORIENTED_EDGE('',*,*,#195783,.T.); #262555=ORIENTED_EDGE('',*,*,#195780,.T.); #262556=ORIENTED_EDGE('',*,*,#195777,.T.); #262557=ORIENTED_EDGE('',*,*,#195774,.T.); #262558=ORIENTED_EDGE('',*,*,#195782,.F.); #262559=ORIENTED_EDGE('',*,*,#195772,.F.); #262560=ORIENTED_EDGE('',*,*,#195776,.F.); #262561=ORIENTED_EDGE('',*,*,#195779,.F.); #262562=ORIENTED_EDGE('',*,*,#195784,.T.); #262563=ORIENTED_EDGE('',*,*,#195785,.T.); #262564=ORIENTED_EDGE('',*,*,#195786,.F.); #262565=ORIENTED_EDGE('',*,*,#195787,.F.); #262566=ORIENTED_EDGE('',*,*,#195788,.T.); #262567=ORIENTED_EDGE('',*,*,#195787,.T.); #262568=ORIENTED_EDGE('',*,*,#195789,.F.); #262569=ORIENTED_EDGE('',*,*,#195790,.F.); #262570=ORIENTED_EDGE('',*,*,#195791,.T.); #262571=ORIENTED_EDGE('',*,*,#195790,.T.); #262572=ORIENTED_EDGE('',*,*,#195792,.F.); #262573=ORIENTED_EDGE('',*,*,#195793,.F.); #262574=ORIENTED_EDGE('',*,*,#195794,.T.); #262575=ORIENTED_EDGE('',*,*,#195793,.T.); #262576=ORIENTED_EDGE('',*,*,#195795,.F.); #262577=ORIENTED_EDGE('',*,*,#195785,.F.); #262578=ORIENTED_EDGE('',*,*,#195795,.T.); #262579=ORIENTED_EDGE('',*,*,#195792,.T.); #262580=ORIENTED_EDGE('',*,*,#195789,.T.); #262581=ORIENTED_EDGE('',*,*,#195786,.T.); #262582=ORIENTED_EDGE('',*,*,#195794,.F.); #262583=ORIENTED_EDGE('',*,*,#195784,.F.); #262584=ORIENTED_EDGE('',*,*,#195788,.F.); #262585=ORIENTED_EDGE('',*,*,#195791,.F.); #262586=ORIENTED_EDGE('',*,*,#195796,.T.); #262587=ORIENTED_EDGE('',*,*,#195797,.T.); #262588=ORIENTED_EDGE('',*,*,#195798,.F.); #262589=ORIENTED_EDGE('',*,*,#195799,.F.); #262590=ORIENTED_EDGE('',*,*,#195800,.T.); #262591=ORIENTED_EDGE('',*,*,#195799,.T.); #262592=ORIENTED_EDGE('',*,*,#195801,.F.); #262593=ORIENTED_EDGE('',*,*,#195802,.F.); #262594=ORIENTED_EDGE('',*,*,#195803,.T.); #262595=ORIENTED_EDGE('',*,*,#195802,.T.); #262596=ORIENTED_EDGE('',*,*,#195804,.F.); #262597=ORIENTED_EDGE('',*,*,#195805,.F.); #262598=ORIENTED_EDGE('',*,*,#195806,.T.); #262599=ORIENTED_EDGE('',*,*,#195805,.T.); #262600=ORIENTED_EDGE('',*,*,#195807,.F.); #262601=ORIENTED_EDGE('',*,*,#195797,.F.); #262602=ORIENTED_EDGE('',*,*,#195807,.T.); #262603=ORIENTED_EDGE('',*,*,#195804,.T.); #262604=ORIENTED_EDGE('',*,*,#195801,.T.); #262605=ORIENTED_EDGE('',*,*,#195798,.T.); #262606=ORIENTED_EDGE('',*,*,#195806,.F.); #262607=ORIENTED_EDGE('',*,*,#195796,.F.); #262608=ORIENTED_EDGE('',*,*,#195800,.F.); #262609=ORIENTED_EDGE('',*,*,#195803,.F.); #262610=ORIENTED_EDGE('',*,*,#195808,.F.); #262611=ORIENTED_EDGE('',*,*,#195809,.T.); #262612=ORIENTED_EDGE('',*,*,#195810,.F.); #262613=ORIENTED_EDGE('',*,*,#195809,.F.); #262614=ORIENTED_EDGE('',*,*,#195811,.T.); #262615=ORIENTED_EDGE('',*,*,#195812,.T.); #262616=ORIENTED_EDGE('',*,*,#195813,.F.); #262617=ORIENTED_EDGE('',*,*,#195814,.F.); #262618=ORIENTED_EDGE('',*,*,#195815,.T.); #262619=ORIENTED_EDGE('',*,*,#195814,.T.); #262620=ORIENTED_EDGE('',*,*,#195816,.F.); #262621=ORIENTED_EDGE('',*,*,#195817,.F.); #262622=ORIENTED_EDGE('',*,*,#195818,.T.); #262623=ORIENTED_EDGE('',*,*,#195817,.T.); #262624=ORIENTED_EDGE('',*,*,#195819,.F.); #262625=ORIENTED_EDGE('',*,*,#195820,.F.); #262626=ORIENTED_EDGE('',*,*,#195821,.T.); #262627=ORIENTED_EDGE('',*,*,#195820,.T.); #262628=ORIENTED_EDGE('',*,*,#195822,.F.); #262629=ORIENTED_EDGE('',*,*,#195812,.F.); #262630=ORIENTED_EDGE('',*,*,#195822,.T.); #262631=ORIENTED_EDGE('',*,*,#195819,.T.); #262632=ORIENTED_EDGE('',*,*,#195816,.T.); #262633=ORIENTED_EDGE('',*,*,#195813,.T.); #262634=ORIENTED_EDGE('',*,*,#195810,.T.); #262635=ORIENTED_EDGE('',*,*,#195821,.F.); #262636=ORIENTED_EDGE('',*,*,#195811,.F.); #262637=ORIENTED_EDGE('',*,*,#195815,.F.); #262638=ORIENTED_EDGE('',*,*,#195818,.F.); #262639=ORIENTED_EDGE('',*,*,#195808,.T.); #262640=ORIENTED_EDGE('',*,*,#195823,.T.); #262641=ORIENTED_EDGE('',*,*,#195824,.T.); #262642=ORIENTED_EDGE('',*,*,#195825,.F.); #262643=ORIENTED_EDGE('',*,*,#195826,.F.); #262644=ORIENTED_EDGE('',*,*,#195827,.T.); #262645=ORIENTED_EDGE('',*,*,#195826,.T.); #262646=ORIENTED_EDGE('',*,*,#195828,.F.); #262647=ORIENTED_EDGE('',*,*,#195829,.F.); #262648=ORIENTED_EDGE('',*,*,#195830,.T.); #262649=ORIENTED_EDGE('',*,*,#195829,.T.); #262650=ORIENTED_EDGE('',*,*,#195831,.F.); #262651=ORIENTED_EDGE('',*,*,#195832,.F.); #262652=ORIENTED_EDGE('',*,*,#195833,.T.); #262653=ORIENTED_EDGE('',*,*,#195832,.T.); #262654=ORIENTED_EDGE('',*,*,#195834,.F.); #262655=ORIENTED_EDGE('',*,*,#195824,.F.); #262656=ORIENTED_EDGE('',*,*,#195834,.T.); #262657=ORIENTED_EDGE('',*,*,#195831,.T.); #262658=ORIENTED_EDGE('',*,*,#195828,.T.); #262659=ORIENTED_EDGE('',*,*,#195825,.T.); #262660=ORIENTED_EDGE('',*,*,#195833,.F.); #262661=ORIENTED_EDGE('',*,*,#195823,.F.); #262662=ORIENTED_EDGE('',*,*,#195827,.F.); #262663=ORIENTED_EDGE('',*,*,#195830,.F.); #262664=ORIENTED_EDGE('',*,*,#195835,.T.); #262665=ORIENTED_EDGE('',*,*,#195836,.T.); #262666=ORIENTED_EDGE('',*,*,#195837,.F.); #262667=ORIENTED_EDGE('',*,*,#195838,.F.); #262668=ORIENTED_EDGE('',*,*,#195839,.T.); #262669=ORIENTED_EDGE('',*,*,#195838,.T.); #262670=ORIENTED_EDGE('',*,*,#195840,.F.); #262671=ORIENTED_EDGE('',*,*,#195841,.F.); #262672=ORIENTED_EDGE('',*,*,#195842,.T.); #262673=ORIENTED_EDGE('',*,*,#195841,.T.); #262674=ORIENTED_EDGE('',*,*,#195843,.F.); #262675=ORIENTED_EDGE('',*,*,#195844,.F.); #262676=ORIENTED_EDGE('',*,*,#195845,.T.); #262677=ORIENTED_EDGE('',*,*,#195844,.T.); #262678=ORIENTED_EDGE('',*,*,#195846,.F.); #262679=ORIENTED_EDGE('',*,*,#195836,.F.); #262680=ORIENTED_EDGE('',*,*,#195846,.T.); #262681=ORIENTED_EDGE('',*,*,#195843,.T.); #262682=ORIENTED_EDGE('',*,*,#195840,.T.); #262683=ORIENTED_EDGE('',*,*,#195837,.T.); #262684=ORIENTED_EDGE('',*,*,#195845,.F.); #262685=ORIENTED_EDGE('',*,*,#195835,.F.); #262686=ORIENTED_EDGE('',*,*,#195839,.F.); #262687=ORIENTED_EDGE('',*,*,#195842,.F.); #262688=ORIENTED_EDGE('',*,*,#195847,.F.); #262689=ORIENTED_EDGE('',*,*,#195848,.T.); #262690=ORIENTED_EDGE('',*,*,#195849,.F.); #262691=ORIENTED_EDGE('',*,*,#195848,.F.); #262692=ORIENTED_EDGE('',*,*,#195850,.T.); #262693=ORIENTED_EDGE('',*,*,#195851,.T.); #262694=ORIENTED_EDGE('',*,*,#195852,.F.); #262695=ORIENTED_EDGE('',*,*,#195853,.F.); #262696=ORIENTED_EDGE('',*,*,#195854,.T.); #262697=ORIENTED_EDGE('',*,*,#195853,.T.); #262698=ORIENTED_EDGE('',*,*,#195855,.F.); #262699=ORIENTED_EDGE('',*,*,#195856,.F.); #262700=ORIENTED_EDGE('',*,*,#195857,.T.); #262701=ORIENTED_EDGE('',*,*,#195856,.T.); #262702=ORIENTED_EDGE('',*,*,#195858,.F.); #262703=ORIENTED_EDGE('',*,*,#195859,.F.); #262704=ORIENTED_EDGE('',*,*,#195860,.T.); #262705=ORIENTED_EDGE('',*,*,#195859,.T.); #262706=ORIENTED_EDGE('',*,*,#195861,.F.); #262707=ORIENTED_EDGE('',*,*,#195851,.F.); #262708=ORIENTED_EDGE('',*,*,#195861,.T.); #262709=ORIENTED_EDGE('',*,*,#195858,.T.); #262710=ORIENTED_EDGE('',*,*,#195855,.T.); #262711=ORIENTED_EDGE('',*,*,#195852,.T.); #262712=ORIENTED_EDGE('',*,*,#195849,.T.); #262713=ORIENTED_EDGE('',*,*,#195860,.F.); #262714=ORIENTED_EDGE('',*,*,#195850,.F.); #262715=ORIENTED_EDGE('',*,*,#195854,.F.); #262716=ORIENTED_EDGE('',*,*,#195857,.F.); #262717=ORIENTED_EDGE('',*,*,#195847,.T.); #262718=ORIENTED_EDGE('',*,*,#195862,.T.); #262719=ORIENTED_EDGE('',*,*,#195863,.T.); #262720=ORIENTED_EDGE('',*,*,#195864,.F.); #262721=ORIENTED_EDGE('',*,*,#195865,.F.); #262722=ORIENTED_EDGE('',*,*,#195866,.T.); #262723=ORIENTED_EDGE('',*,*,#195865,.T.); #262724=ORIENTED_EDGE('',*,*,#195867,.F.); #262725=ORIENTED_EDGE('',*,*,#195868,.F.); #262726=ORIENTED_EDGE('',*,*,#195869,.T.); #262727=ORIENTED_EDGE('',*,*,#195868,.T.); #262728=ORIENTED_EDGE('',*,*,#195870,.F.); #262729=ORIENTED_EDGE('',*,*,#195871,.F.); #262730=ORIENTED_EDGE('',*,*,#195872,.T.); #262731=ORIENTED_EDGE('',*,*,#195871,.T.); #262732=ORIENTED_EDGE('',*,*,#195873,.F.); #262733=ORIENTED_EDGE('',*,*,#195863,.F.); #262734=ORIENTED_EDGE('',*,*,#195873,.T.); #262735=ORIENTED_EDGE('',*,*,#195870,.T.); #262736=ORIENTED_EDGE('',*,*,#195867,.T.); #262737=ORIENTED_EDGE('',*,*,#195864,.T.); #262738=ORIENTED_EDGE('',*,*,#195872,.F.); #262739=ORIENTED_EDGE('',*,*,#195862,.F.); #262740=ORIENTED_EDGE('',*,*,#195866,.F.); #262741=ORIENTED_EDGE('',*,*,#195869,.F.); #262742=ORIENTED_EDGE('',*,*,#195874,.T.); #262743=ORIENTED_EDGE('',*,*,#195875,.T.); #262744=ORIENTED_EDGE('',*,*,#195876,.F.); #262745=ORIENTED_EDGE('',*,*,#195877,.F.); #262746=ORIENTED_EDGE('',*,*,#195878,.T.); #262747=ORIENTED_EDGE('',*,*,#195877,.T.); #262748=ORIENTED_EDGE('',*,*,#195879,.F.); #262749=ORIENTED_EDGE('',*,*,#195880,.F.); #262750=ORIENTED_EDGE('',*,*,#195881,.T.); #262751=ORIENTED_EDGE('',*,*,#195880,.T.); #262752=ORIENTED_EDGE('',*,*,#195882,.F.); #262753=ORIENTED_EDGE('',*,*,#195883,.F.); #262754=ORIENTED_EDGE('',*,*,#195884,.T.); #262755=ORIENTED_EDGE('',*,*,#195883,.T.); #262756=ORIENTED_EDGE('',*,*,#195885,.F.); #262757=ORIENTED_EDGE('',*,*,#195875,.F.); #262758=ORIENTED_EDGE('',*,*,#195885,.T.); #262759=ORIENTED_EDGE('',*,*,#195882,.T.); #262760=ORIENTED_EDGE('',*,*,#195879,.T.); #262761=ORIENTED_EDGE('',*,*,#195876,.T.); #262762=ORIENTED_EDGE('',*,*,#195884,.F.); #262763=ORIENTED_EDGE('',*,*,#195874,.F.); #262764=ORIENTED_EDGE('',*,*,#195878,.F.); #262765=ORIENTED_EDGE('',*,*,#195881,.F.); #262766=ORIENTED_EDGE('',*,*,#195886,.T.); #262767=ORIENTED_EDGE('',*,*,#195887,.T.); #262768=ORIENTED_EDGE('',*,*,#195888,.F.); #262769=ORIENTED_EDGE('',*,*,#195889,.F.); #262770=ORIENTED_EDGE('',*,*,#195890,.T.); #262771=ORIENTED_EDGE('',*,*,#195889,.T.); #262772=ORIENTED_EDGE('',*,*,#195891,.F.); #262773=ORIENTED_EDGE('',*,*,#195892,.F.); #262774=ORIENTED_EDGE('',*,*,#195893,.T.); #262775=ORIENTED_EDGE('',*,*,#195892,.T.); #262776=ORIENTED_EDGE('',*,*,#195894,.F.); #262777=ORIENTED_EDGE('',*,*,#195895,.F.); #262778=ORIENTED_EDGE('',*,*,#195896,.T.); #262779=ORIENTED_EDGE('',*,*,#195895,.T.); #262780=ORIENTED_EDGE('',*,*,#195897,.F.); #262781=ORIENTED_EDGE('',*,*,#195887,.F.); #262782=ORIENTED_EDGE('',*,*,#195897,.T.); #262783=ORIENTED_EDGE('',*,*,#195894,.T.); #262784=ORIENTED_EDGE('',*,*,#195891,.T.); #262785=ORIENTED_EDGE('',*,*,#195888,.T.); #262786=ORIENTED_EDGE('',*,*,#195896,.F.); #262787=ORIENTED_EDGE('',*,*,#195886,.F.); #262788=ORIENTED_EDGE('',*,*,#195890,.F.); #262789=ORIENTED_EDGE('',*,*,#195893,.F.); #262790=ORIENTED_EDGE('',*,*,#195898,.T.); #262791=ORIENTED_EDGE('',*,*,#195899,.T.); #262792=ORIENTED_EDGE('',*,*,#195900,.F.); #262793=ORIENTED_EDGE('',*,*,#195901,.F.); #262794=ORIENTED_EDGE('',*,*,#195902,.T.); #262795=ORIENTED_EDGE('',*,*,#195901,.T.); #262796=ORIENTED_EDGE('',*,*,#195903,.F.); #262797=ORIENTED_EDGE('',*,*,#195904,.F.); #262798=ORIENTED_EDGE('',*,*,#195905,.T.); #262799=ORIENTED_EDGE('',*,*,#195904,.T.); #262800=ORIENTED_EDGE('',*,*,#195906,.F.); #262801=ORIENTED_EDGE('',*,*,#195907,.F.); #262802=ORIENTED_EDGE('',*,*,#195908,.T.); #262803=ORIENTED_EDGE('',*,*,#195907,.T.); #262804=ORIENTED_EDGE('',*,*,#195909,.F.); #262805=ORIENTED_EDGE('',*,*,#195899,.F.); #262806=ORIENTED_EDGE('',*,*,#195909,.T.); #262807=ORIENTED_EDGE('',*,*,#195906,.T.); #262808=ORIENTED_EDGE('',*,*,#195903,.T.); #262809=ORIENTED_EDGE('',*,*,#195900,.T.); #262810=ORIENTED_EDGE('',*,*,#195908,.F.); #262811=ORIENTED_EDGE('',*,*,#195898,.F.); #262812=ORIENTED_EDGE('',*,*,#195902,.F.); #262813=ORIENTED_EDGE('',*,*,#195905,.F.); #262814=ORIENTED_EDGE('',*,*,#195910,.T.); #262815=ORIENTED_EDGE('',*,*,#195911,.T.); #262816=ORIENTED_EDGE('',*,*,#195912,.F.); #262817=ORIENTED_EDGE('',*,*,#195913,.F.); #262818=ORIENTED_EDGE('',*,*,#195914,.T.); #262819=ORIENTED_EDGE('',*,*,#195913,.T.); #262820=ORIENTED_EDGE('',*,*,#195915,.F.); #262821=ORIENTED_EDGE('',*,*,#195916,.F.); #262822=ORIENTED_EDGE('',*,*,#195917,.T.); #262823=ORIENTED_EDGE('',*,*,#195916,.T.); #262824=ORIENTED_EDGE('',*,*,#195918,.F.); #262825=ORIENTED_EDGE('',*,*,#195919,.F.); #262826=ORIENTED_EDGE('',*,*,#195920,.T.); #262827=ORIENTED_EDGE('',*,*,#195919,.T.); #262828=ORIENTED_EDGE('',*,*,#195921,.F.); #262829=ORIENTED_EDGE('',*,*,#195911,.F.); #262830=ORIENTED_EDGE('',*,*,#195921,.T.); #262831=ORIENTED_EDGE('',*,*,#195918,.T.); #262832=ORIENTED_EDGE('',*,*,#195915,.T.); #262833=ORIENTED_EDGE('',*,*,#195912,.T.); #262834=ORIENTED_EDGE('',*,*,#195920,.F.); #262835=ORIENTED_EDGE('',*,*,#195910,.F.); #262836=ORIENTED_EDGE('',*,*,#195914,.F.); #262837=ORIENTED_EDGE('',*,*,#195917,.F.); #262838=ORIENTED_EDGE('',*,*,#195922,.T.); #262839=ORIENTED_EDGE('',*,*,#195923,.T.); #262840=ORIENTED_EDGE('',*,*,#195924,.F.); #262841=ORIENTED_EDGE('',*,*,#195925,.F.); #262842=ORIENTED_EDGE('',*,*,#195926,.T.); #262843=ORIENTED_EDGE('',*,*,#195925,.T.); #262844=ORIENTED_EDGE('',*,*,#195927,.F.); #262845=ORIENTED_EDGE('',*,*,#195928,.F.); #262846=ORIENTED_EDGE('',*,*,#195929,.T.); #262847=ORIENTED_EDGE('',*,*,#195928,.T.); #262848=ORIENTED_EDGE('',*,*,#195930,.F.); #262849=ORIENTED_EDGE('',*,*,#195931,.F.); #262850=ORIENTED_EDGE('',*,*,#195932,.T.); #262851=ORIENTED_EDGE('',*,*,#195931,.T.); #262852=ORIENTED_EDGE('',*,*,#195933,.F.); #262853=ORIENTED_EDGE('',*,*,#195923,.F.); #262854=ORIENTED_EDGE('',*,*,#195933,.T.); #262855=ORIENTED_EDGE('',*,*,#195930,.T.); #262856=ORIENTED_EDGE('',*,*,#195927,.T.); #262857=ORIENTED_EDGE('',*,*,#195924,.T.); #262858=ORIENTED_EDGE('',*,*,#195932,.F.); #262859=ORIENTED_EDGE('',*,*,#195922,.F.); #262860=ORIENTED_EDGE('',*,*,#195926,.F.); #262861=ORIENTED_EDGE('',*,*,#195929,.F.); #262862=ORIENTED_EDGE('',*,*,#195934,.T.); #262863=ORIENTED_EDGE('',*,*,#195935,.T.); #262864=ORIENTED_EDGE('',*,*,#195936,.F.); #262865=ORIENTED_EDGE('',*,*,#195937,.F.); #262866=ORIENTED_EDGE('',*,*,#195938,.T.); #262867=ORIENTED_EDGE('',*,*,#195937,.T.); #262868=ORIENTED_EDGE('',*,*,#195939,.F.); #262869=ORIENTED_EDGE('',*,*,#195940,.F.); #262870=ORIENTED_EDGE('',*,*,#195941,.T.); #262871=ORIENTED_EDGE('',*,*,#195940,.T.); #262872=ORIENTED_EDGE('',*,*,#195942,.F.); #262873=ORIENTED_EDGE('',*,*,#195943,.F.); #262874=ORIENTED_EDGE('',*,*,#195944,.T.); #262875=ORIENTED_EDGE('',*,*,#195943,.T.); #262876=ORIENTED_EDGE('',*,*,#195945,.F.); #262877=ORIENTED_EDGE('',*,*,#195935,.F.); #262878=ORIENTED_EDGE('',*,*,#195945,.T.); #262879=ORIENTED_EDGE('',*,*,#195942,.T.); #262880=ORIENTED_EDGE('',*,*,#195939,.T.); #262881=ORIENTED_EDGE('',*,*,#195936,.T.); #262882=ORIENTED_EDGE('',*,*,#195944,.F.); #262883=ORIENTED_EDGE('',*,*,#195934,.F.); #262884=ORIENTED_EDGE('',*,*,#195938,.F.); #262885=ORIENTED_EDGE('',*,*,#195941,.F.); #262886=ORIENTED_EDGE('',*,*,#195946,.T.); #262887=ORIENTED_EDGE('',*,*,#195947,.T.); #262888=ORIENTED_EDGE('',*,*,#195948,.F.); #262889=ORIENTED_EDGE('',*,*,#195949,.F.); #262890=ORIENTED_EDGE('',*,*,#195950,.T.); #262891=ORIENTED_EDGE('',*,*,#195949,.T.); #262892=ORIENTED_EDGE('',*,*,#195951,.F.); #262893=ORIENTED_EDGE('',*,*,#195952,.F.); #262894=ORIENTED_EDGE('',*,*,#195953,.T.); #262895=ORIENTED_EDGE('',*,*,#195952,.T.); #262896=ORIENTED_EDGE('',*,*,#195954,.F.); #262897=ORIENTED_EDGE('',*,*,#195955,.F.); #262898=ORIENTED_EDGE('',*,*,#195956,.T.); #262899=ORIENTED_EDGE('',*,*,#195955,.T.); #262900=ORIENTED_EDGE('',*,*,#195957,.F.); #262901=ORIENTED_EDGE('',*,*,#195947,.F.); #262902=ORIENTED_EDGE('',*,*,#195957,.T.); #262903=ORIENTED_EDGE('',*,*,#195954,.T.); #262904=ORIENTED_EDGE('',*,*,#195951,.T.); #262905=ORIENTED_EDGE('',*,*,#195948,.T.); #262906=ORIENTED_EDGE('',*,*,#195956,.F.); #262907=ORIENTED_EDGE('',*,*,#195946,.F.); #262908=ORIENTED_EDGE('',*,*,#195950,.F.); #262909=ORIENTED_EDGE('',*,*,#195953,.F.); #262910=ORIENTED_EDGE('',*,*,#195958,.T.); #262911=ORIENTED_EDGE('',*,*,#195959,.T.); #262912=ORIENTED_EDGE('',*,*,#195960,.F.); #262913=ORIENTED_EDGE('',*,*,#195961,.F.); #262914=ORIENTED_EDGE('',*,*,#195962,.T.); #262915=ORIENTED_EDGE('',*,*,#195961,.T.); #262916=ORIENTED_EDGE('',*,*,#195963,.F.); #262917=ORIENTED_EDGE('',*,*,#195964,.F.); #262918=ORIENTED_EDGE('',*,*,#195965,.T.); #262919=ORIENTED_EDGE('',*,*,#195964,.T.); #262920=ORIENTED_EDGE('',*,*,#195966,.F.); #262921=ORIENTED_EDGE('',*,*,#195967,.F.); #262922=ORIENTED_EDGE('',*,*,#195968,.T.); #262923=ORIENTED_EDGE('',*,*,#195967,.T.); #262924=ORIENTED_EDGE('',*,*,#195969,.F.); #262925=ORIENTED_EDGE('',*,*,#195959,.F.); #262926=ORIENTED_EDGE('',*,*,#195969,.T.); #262927=ORIENTED_EDGE('',*,*,#195966,.T.); #262928=ORIENTED_EDGE('',*,*,#195963,.T.); #262929=ORIENTED_EDGE('',*,*,#195960,.T.); #262930=ORIENTED_EDGE('',*,*,#195968,.F.); #262931=ORIENTED_EDGE('',*,*,#195958,.F.); #262932=ORIENTED_EDGE('',*,*,#195962,.F.); #262933=ORIENTED_EDGE('',*,*,#195965,.F.); #262934=ORIENTED_EDGE('',*,*,#195970,.T.); #262935=ORIENTED_EDGE('',*,*,#195971,.T.); #262936=ORIENTED_EDGE('',*,*,#195972,.F.); #262937=ORIENTED_EDGE('',*,*,#195973,.F.); #262938=ORIENTED_EDGE('',*,*,#195974,.T.); #262939=ORIENTED_EDGE('',*,*,#195973,.T.); #262940=ORIENTED_EDGE('',*,*,#195975,.F.); #262941=ORIENTED_EDGE('',*,*,#195976,.F.); #262942=ORIENTED_EDGE('',*,*,#195977,.T.); #262943=ORIENTED_EDGE('',*,*,#195976,.T.); #262944=ORIENTED_EDGE('',*,*,#195978,.F.); #262945=ORIENTED_EDGE('',*,*,#195979,.F.); #262946=ORIENTED_EDGE('',*,*,#195980,.T.); #262947=ORIENTED_EDGE('',*,*,#195979,.T.); #262948=ORIENTED_EDGE('',*,*,#195981,.F.); #262949=ORIENTED_EDGE('',*,*,#195971,.F.); #262950=ORIENTED_EDGE('',*,*,#195981,.T.); #262951=ORIENTED_EDGE('',*,*,#195978,.T.); #262952=ORIENTED_EDGE('',*,*,#195975,.T.); #262953=ORIENTED_EDGE('',*,*,#195972,.T.); #262954=ORIENTED_EDGE('',*,*,#195980,.F.); #262955=ORIENTED_EDGE('',*,*,#195970,.F.); #262956=ORIENTED_EDGE('',*,*,#195974,.F.); #262957=ORIENTED_EDGE('',*,*,#195977,.F.); #262958=ORIENTED_EDGE('',*,*,#195982,.T.); #262959=ORIENTED_EDGE('',*,*,#195983,.T.); #262960=ORIENTED_EDGE('',*,*,#195984,.F.); #262961=ORIENTED_EDGE('',*,*,#195985,.F.); #262962=ORIENTED_EDGE('',*,*,#195986,.T.); #262963=ORIENTED_EDGE('',*,*,#195985,.T.); #262964=ORIENTED_EDGE('',*,*,#195987,.F.); #262965=ORIENTED_EDGE('',*,*,#195988,.F.); #262966=ORIENTED_EDGE('',*,*,#195989,.T.); #262967=ORIENTED_EDGE('',*,*,#195988,.T.); #262968=ORIENTED_EDGE('',*,*,#195990,.F.); #262969=ORIENTED_EDGE('',*,*,#195991,.F.); #262970=ORIENTED_EDGE('',*,*,#195992,.T.); #262971=ORIENTED_EDGE('',*,*,#195991,.T.); #262972=ORIENTED_EDGE('',*,*,#195993,.F.); #262973=ORIENTED_EDGE('',*,*,#195983,.F.); #262974=ORIENTED_EDGE('',*,*,#195993,.T.); #262975=ORIENTED_EDGE('',*,*,#195990,.T.); #262976=ORIENTED_EDGE('',*,*,#195987,.T.); #262977=ORIENTED_EDGE('',*,*,#195984,.T.); #262978=ORIENTED_EDGE('',*,*,#195992,.F.); #262979=ORIENTED_EDGE('',*,*,#195982,.F.); #262980=ORIENTED_EDGE('',*,*,#195986,.F.); #262981=ORIENTED_EDGE('',*,*,#195989,.F.); #262982=ORIENTED_EDGE('',*,*,#195994,.F.); #262983=ORIENTED_EDGE('',*,*,#195995,.T.); #262984=ORIENTED_EDGE('',*,*,#195996,.F.); #262985=ORIENTED_EDGE('',*,*,#195995,.F.); #262986=ORIENTED_EDGE('',*,*,#195997,.F.); #262987=ORIENTED_EDGE('',*,*,#195998,.F.); #262988=ORIENTED_EDGE('',*,*,#195999,.F.); #262989=ORIENTED_EDGE('',*,*,#196000,.F.); #262990=ORIENTED_EDGE('',*,*,#196001,.F.); #262991=ORIENTED_EDGE('',*,*,#196002,.F.); #262992=ORIENTED_EDGE('',*,*,#196003,.F.); #262993=ORIENTED_EDGE('',*,*,#196004,.F.); #262994=ORIENTED_EDGE('',*,*,#196005,.F.); #262995=ORIENTED_EDGE('',*,*,#196006,.F.); #262996=ORIENTED_EDGE('',*,*,#196007,.F.); #262997=ORIENTED_EDGE('',*,*,#196008,.F.); #262998=ORIENTED_EDGE('',*,*,#196009,.F.); #262999=ORIENTED_EDGE('',*,*,#196010,.F.); #263000=ORIENTED_EDGE('',*,*,#196011,.F.); #263001=ORIENTED_EDGE('',*,*,#196012,.F.); #263002=ORIENTED_EDGE('',*,*,#196013,.F.); #263003=ORIENTED_EDGE('',*,*,#196014,.F.); #263004=ORIENTED_EDGE('',*,*,#196015,.F.); #263005=ORIENTED_EDGE('',*,*,#196016,.F.); #263006=ORIENTED_EDGE('',*,*,#196017,.F.); #263007=ORIENTED_EDGE('',*,*,#196018,.F.); #263008=ORIENTED_EDGE('',*,*,#196019,.F.); #263009=ORIENTED_EDGE('',*,*,#196020,.F.); #263010=ORIENTED_EDGE('',*,*,#196021,.F.); #263011=ORIENTED_EDGE('',*,*,#196022,.F.); #263012=ORIENTED_EDGE('',*,*,#196023,.F.); #263013=ORIENTED_EDGE('',*,*,#196024,.F.); #263014=ORIENTED_EDGE('',*,*,#196025,.F.); #263015=ORIENTED_EDGE('',*,*,#196026,.F.); #263016=ORIENTED_EDGE('',*,*,#196027,.F.); #263017=ORIENTED_EDGE('',*,*,#196028,.F.); #263018=ORIENTED_EDGE('',*,*,#195997,.T.); #263019=ORIENTED_EDGE('',*,*,#196029,.F.); #263020=ORIENTED_EDGE('',*,*,#196007,.T.); #263021=ORIENTED_EDGE('',*,*,#196030,.T.); #263022=ORIENTED_EDGE('',*,*,#195999,.T.); #263023=ORIENTED_EDGE('',*,*,#196031,.T.); #263024=ORIENTED_EDGE('',*,*,#196032,.T.); #263025=ORIENTED_EDGE('',*,*,#196033,.F.); #263026=ORIENTED_EDGE('',*,*,#196001,.T.); #263027=ORIENTED_EDGE('',*,*,#196034,.T.); #263028=ORIENTED_EDGE('',*,*,#196005,.T.); #263029=ORIENTED_EDGE('',*,*,#196035,.F.); #263030=ORIENTED_EDGE('',*,*,#196003,.T.); #263031=ORIENTED_EDGE('',*,*,#196036,.F.); #263032=ORIENTED_EDGE('',*,*,#196011,.T.); #263033=ORIENTED_EDGE('',*,*,#196037,.T.); #263034=ORIENTED_EDGE('',*,*,#196013,.T.); #263035=ORIENTED_EDGE('',*,*,#196038,.F.); #263036=ORIENTED_EDGE('',*,*,#196039,.F.); #263037=ORIENTED_EDGE('',*,*,#196040,.T.); #263038=ORIENTED_EDGE('',*,*,#196025,.T.); #263039=ORIENTED_EDGE('',*,*,#196041,.F.); #263040=ORIENTED_EDGE('',*,*,#196032,.F.); #263041=ORIENTED_EDGE('',*,*,#196042,.T.); #263042=ORIENTED_EDGE('',*,*,#196021,.T.); #263043=ORIENTED_EDGE('',*,*,#196043,.F.); #263044=ORIENTED_EDGE('',*,*,#196027,.T.); #263045=ORIENTED_EDGE('',*,*,#196044,.T.); #263046=ORIENTED_EDGE('',*,*,#196017,.T.); #263047=ORIENTED_EDGE('',*,*,#196045,.F.); #263048=ORIENTED_EDGE('',*,*,#196023,.T.); #263049=ORIENTED_EDGE('',*,*,#196046,.T.); #263050=ORIENTED_EDGE('',*,*,#196019,.T.); #263051=ORIENTED_EDGE('',*,*,#196047,.T.); #263052=ORIENTED_EDGE('',*,*,#196039,.T.); #263053=ORIENTED_EDGE('',*,*,#196048,.F.); #263054=ORIENTED_EDGE('',*,*,#195998,.T.); #263055=ORIENTED_EDGE('',*,*,#196030,.F.); #263056=ORIENTED_EDGE('',*,*,#196006,.T.); #263057=ORIENTED_EDGE('',*,*,#196034,.F.); #263058=ORIENTED_EDGE('',*,*,#196004,.T.); #263059=ORIENTED_EDGE('',*,*,#196037,.F.); #263060=ORIENTED_EDGE('',*,*,#196010,.T.); #263061=ORIENTED_EDGE('',*,*,#196049,.F.); #263062=ORIENTED_EDGE('',*,*,#196014,.T.); #263063=ORIENTED_EDGE('',*,*,#196040,.F.); #263064=ORIENTED_EDGE('',*,*,#196047,.F.); #263065=ORIENTED_EDGE('',*,*,#196018,.T.); #263066=ORIENTED_EDGE('',*,*,#196046,.F.); #263067=ORIENTED_EDGE('',*,*,#196022,.T.); #263068=ORIENTED_EDGE('',*,*,#196044,.F.); #263069=ORIENTED_EDGE('',*,*,#196026,.T.); #263070=ORIENTED_EDGE('',*,*,#196042,.F.); #263071=ORIENTED_EDGE('',*,*,#196031,.F.); #263072=ORIENTED_EDGE('',*,*,#195994,.T.); #263073=ORIENTED_EDGE('',*,*,#196009,.T.); #263074=ORIENTED_EDGE('',*,*,#196050,.F.); #263075=ORIENTED_EDGE('',*,*,#196015,.T.); #263076=ORIENTED_EDGE('',*,*,#196049,.T.); #263077=ORIENTED_EDGE('',*,*,#196000,.T.); #263078=ORIENTED_EDGE('',*,*,#196033,.T.); #263079=ORIENTED_EDGE('',*,*,#196041,.T.); #263080=ORIENTED_EDGE('',*,*,#196028,.T.); #263081=ORIENTED_EDGE('',*,*,#196043,.T.); #263082=ORIENTED_EDGE('',*,*,#196024,.T.); #263083=ORIENTED_EDGE('',*,*,#196045,.T.); #263084=ORIENTED_EDGE('',*,*,#196020,.T.); #263085=ORIENTED_EDGE('',*,*,#196048,.T.); #263086=ORIENTED_EDGE('',*,*,#196038,.T.); #263087=ORIENTED_EDGE('',*,*,#196016,.T.); #263088=ORIENTED_EDGE('',*,*,#196050,.T.); #263089=ORIENTED_EDGE('',*,*,#196012,.T.); #263090=ORIENTED_EDGE('',*,*,#196036,.T.); #263091=ORIENTED_EDGE('',*,*,#196002,.T.); #263092=ORIENTED_EDGE('',*,*,#196035,.T.); #263093=ORIENTED_EDGE('',*,*,#196008,.T.); #263094=ORIENTED_EDGE('',*,*,#196029,.T.); #263095=ORIENTED_EDGE('',*,*,#195996,.T.); #263096=ORIENTED_EDGE('',*,*,#196051,.T.); #263097=ORIENTED_EDGE('',*,*,#196052,.T.); #263098=ORIENTED_EDGE('',*,*,#196053,.F.); #263099=ORIENTED_EDGE('',*,*,#196054,.F.); #263100=ORIENTED_EDGE('',*,*,#196055,.T.); #263101=ORIENTED_EDGE('',*,*,#196054,.T.); #263102=ORIENTED_EDGE('',*,*,#196056,.F.); #263103=ORIENTED_EDGE('',*,*,#196057,.F.); #263104=ORIENTED_EDGE('',*,*,#196058,.T.); #263105=ORIENTED_EDGE('',*,*,#196057,.T.); #263106=ORIENTED_EDGE('',*,*,#196059,.F.); #263107=ORIENTED_EDGE('',*,*,#196060,.F.); #263108=ORIENTED_EDGE('',*,*,#196061,.T.); #263109=ORIENTED_EDGE('',*,*,#196060,.T.); #263110=ORIENTED_EDGE('',*,*,#196062,.F.); #263111=ORIENTED_EDGE('',*,*,#196052,.F.); #263112=ORIENTED_EDGE('',*,*,#196062,.T.); #263113=ORIENTED_EDGE('',*,*,#196059,.T.); #263114=ORIENTED_EDGE('',*,*,#196056,.T.); #263115=ORIENTED_EDGE('',*,*,#196053,.T.); #263116=ORIENTED_EDGE('',*,*,#196061,.F.); #263117=ORIENTED_EDGE('',*,*,#196051,.F.); #263118=ORIENTED_EDGE('',*,*,#196055,.F.); #263119=ORIENTED_EDGE('',*,*,#196058,.F.); #263120=ORIENTED_EDGE('',*,*,#196063,.F.); #263121=ORIENTED_EDGE('',*,*,#196064,.T.); #263122=ORIENTED_EDGE('',*,*,#196065,.F.); #263123=ORIENTED_EDGE('',*,*,#196064,.F.); #263124=ORIENTED_EDGE('',*,*,#196066,.T.); #263125=ORIENTED_EDGE('',*,*,#196067,.T.); #263126=ORIENTED_EDGE('',*,*,#196068,.F.); #263127=ORIENTED_EDGE('',*,*,#196069,.F.); #263128=ORIENTED_EDGE('',*,*,#196070,.T.); #263129=ORIENTED_EDGE('',*,*,#196069,.T.); #263130=ORIENTED_EDGE('',*,*,#196071,.F.); #263131=ORIENTED_EDGE('',*,*,#196072,.F.); #263132=ORIENTED_EDGE('',*,*,#196073,.T.); #263133=ORIENTED_EDGE('',*,*,#196072,.T.); #263134=ORIENTED_EDGE('',*,*,#196074,.F.); #263135=ORIENTED_EDGE('',*,*,#196075,.F.); #263136=ORIENTED_EDGE('',*,*,#196076,.T.); #263137=ORIENTED_EDGE('',*,*,#196075,.T.); #263138=ORIENTED_EDGE('',*,*,#196077,.F.); #263139=ORIENTED_EDGE('',*,*,#196067,.F.); #263140=ORIENTED_EDGE('',*,*,#196077,.T.); #263141=ORIENTED_EDGE('',*,*,#196074,.T.); #263142=ORIENTED_EDGE('',*,*,#196071,.T.); #263143=ORIENTED_EDGE('',*,*,#196068,.T.); #263144=ORIENTED_EDGE('',*,*,#196065,.T.); #263145=ORIENTED_EDGE('',*,*,#196076,.F.); #263146=ORIENTED_EDGE('',*,*,#196066,.F.); #263147=ORIENTED_EDGE('',*,*,#196070,.F.); #263148=ORIENTED_EDGE('',*,*,#196073,.F.); #263149=ORIENTED_EDGE('',*,*,#196063,.T.); #263150=ORIENTED_EDGE('',*,*,#196078,.T.); #263151=ORIENTED_EDGE('',*,*,#196079,.T.); #263152=ORIENTED_EDGE('',*,*,#196080,.F.); #263153=ORIENTED_EDGE('',*,*,#196081,.F.); #263154=ORIENTED_EDGE('',*,*,#196082,.T.); #263155=ORIENTED_EDGE('',*,*,#196081,.T.); #263156=ORIENTED_EDGE('',*,*,#196083,.F.); #263157=ORIENTED_EDGE('',*,*,#196084,.F.); #263158=ORIENTED_EDGE('',*,*,#196085,.T.); #263159=ORIENTED_EDGE('',*,*,#196084,.T.); #263160=ORIENTED_EDGE('',*,*,#196086,.F.); #263161=ORIENTED_EDGE('',*,*,#196087,.F.); #263162=ORIENTED_EDGE('',*,*,#196088,.T.); #263163=ORIENTED_EDGE('',*,*,#196087,.T.); #263164=ORIENTED_EDGE('',*,*,#196089,.F.); #263165=ORIENTED_EDGE('',*,*,#196079,.F.); #263166=ORIENTED_EDGE('',*,*,#196089,.T.); #263167=ORIENTED_EDGE('',*,*,#196086,.T.); #263168=ORIENTED_EDGE('',*,*,#196083,.T.); #263169=ORIENTED_EDGE('',*,*,#196080,.T.); #263170=ORIENTED_EDGE('',*,*,#196088,.F.); #263171=ORIENTED_EDGE('',*,*,#196078,.F.); #263172=ORIENTED_EDGE('',*,*,#196082,.F.); #263173=ORIENTED_EDGE('',*,*,#196085,.F.); #263174=ORIENTED_EDGE('',*,*,#196090,.T.); #263175=ORIENTED_EDGE('',*,*,#196091,.T.); #263176=ORIENTED_EDGE('',*,*,#196092,.F.); #263177=ORIENTED_EDGE('',*,*,#196093,.F.); #263178=ORIENTED_EDGE('',*,*,#196094,.T.); #263179=ORIENTED_EDGE('',*,*,#196093,.T.); #263180=ORIENTED_EDGE('',*,*,#196095,.F.); #263181=ORIENTED_EDGE('',*,*,#196096,.F.); #263182=ORIENTED_EDGE('',*,*,#196097,.T.); #263183=ORIENTED_EDGE('',*,*,#196096,.T.); #263184=ORIENTED_EDGE('',*,*,#196098,.F.); #263185=ORIENTED_EDGE('',*,*,#196099,.F.); #263186=ORIENTED_EDGE('',*,*,#196100,.T.); #263187=ORIENTED_EDGE('',*,*,#196099,.T.); #263188=ORIENTED_EDGE('',*,*,#196101,.F.); #263189=ORIENTED_EDGE('',*,*,#196091,.F.); #263190=ORIENTED_EDGE('',*,*,#196101,.T.); #263191=ORIENTED_EDGE('',*,*,#196098,.T.); #263192=ORIENTED_EDGE('',*,*,#196095,.T.); #263193=ORIENTED_EDGE('',*,*,#196092,.T.); #263194=ORIENTED_EDGE('',*,*,#196100,.F.); #263195=ORIENTED_EDGE('',*,*,#196090,.F.); #263196=ORIENTED_EDGE('',*,*,#196094,.F.); #263197=ORIENTED_EDGE('',*,*,#196097,.F.); #263198=ORIENTED_EDGE('',*,*,#196102,.T.); #263199=ORIENTED_EDGE('',*,*,#196103,.T.); #263200=ORIENTED_EDGE('',*,*,#196104,.F.); #263201=ORIENTED_EDGE('',*,*,#196105,.F.); #263202=ORIENTED_EDGE('',*,*,#196106,.T.); #263203=ORIENTED_EDGE('',*,*,#196105,.T.); #263204=ORIENTED_EDGE('',*,*,#196107,.F.); #263205=ORIENTED_EDGE('',*,*,#196108,.F.); #263206=ORIENTED_EDGE('',*,*,#196109,.T.); #263207=ORIENTED_EDGE('',*,*,#196108,.T.); #263208=ORIENTED_EDGE('',*,*,#196110,.F.); #263209=ORIENTED_EDGE('',*,*,#196111,.F.); #263210=ORIENTED_EDGE('',*,*,#196112,.T.); #263211=ORIENTED_EDGE('',*,*,#196111,.T.); #263212=ORIENTED_EDGE('',*,*,#196113,.F.); #263213=ORIENTED_EDGE('',*,*,#196103,.F.); #263214=ORIENTED_EDGE('',*,*,#196113,.T.); #263215=ORIENTED_EDGE('',*,*,#196110,.T.); #263216=ORIENTED_EDGE('',*,*,#196107,.T.); #263217=ORIENTED_EDGE('',*,*,#196104,.T.); #263218=ORIENTED_EDGE('',*,*,#196112,.F.); #263219=ORIENTED_EDGE('',*,*,#196102,.F.); #263220=ORIENTED_EDGE('',*,*,#196106,.F.); #263221=ORIENTED_EDGE('',*,*,#196109,.F.); #263222=ORIENTED_EDGE('',*,*,#196114,.F.); #263223=ORIENTED_EDGE('',*,*,#196115,.T.); #263224=ORIENTED_EDGE('',*,*,#196116,.F.); #263225=ORIENTED_EDGE('',*,*,#196115,.F.); #263226=ORIENTED_EDGE('',*,*,#196117,.T.); #263227=ORIENTED_EDGE('',*,*,#196118,.T.); #263228=ORIENTED_EDGE('',*,*,#196119,.F.); #263229=ORIENTED_EDGE('',*,*,#196120,.F.); #263230=ORIENTED_EDGE('',*,*,#196121,.T.); #263231=ORIENTED_EDGE('',*,*,#196120,.T.); #263232=ORIENTED_EDGE('',*,*,#196122,.F.); #263233=ORIENTED_EDGE('',*,*,#196123,.F.); #263234=ORIENTED_EDGE('',*,*,#196124,.T.); #263235=ORIENTED_EDGE('',*,*,#196123,.T.); #263236=ORIENTED_EDGE('',*,*,#196125,.F.); #263237=ORIENTED_EDGE('',*,*,#196126,.F.); #263238=ORIENTED_EDGE('',*,*,#196127,.T.); #263239=ORIENTED_EDGE('',*,*,#196126,.T.); #263240=ORIENTED_EDGE('',*,*,#196128,.F.); #263241=ORIENTED_EDGE('',*,*,#196118,.F.); #263242=ORIENTED_EDGE('',*,*,#196128,.T.); #263243=ORIENTED_EDGE('',*,*,#196125,.T.); #263244=ORIENTED_EDGE('',*,*,#196122,.T.); #263245=ORIENTED_EDGE('',*,*,#196119,.T.); #263246=ORIENTED_EDGE('',*,*,#196116,.T.); #263247=ORIENTED_EDGE('',*,*,#196127,.F.); #263248=ORIENTED_EDGE('',*,*,#196117,.F.); #263249=ORIENTED_EDGE('',*,*,#196121,.F.); #263250=ORIENTED_EDGE('',*,*,#196124,.F.); #263251=ORIENTED_EDGE('',*,*,#196114,.T.); #263252=ORIENTED_EDGE('',*,*,#196129,.F.); #263253=ORIENTED_EDGE('',*,*,#196130,.T.); #263254=ORIENTED_EDGE('',*,*,#196131,.F.); #263255=ORIENTED_EDGE('',*,*,#196130,.F.); #263256=ORIENTED_EDGE('',*,*,#196132,.T.); #263257=ORIENTED_EDGE('',*,*,#196133,.T.); #263258=ORIENTED_EDGE('',*,*,#196134,.F.); #263259=ORIENTED_EDGE('',*,*,#196135,.F.); #263260=ORIENTED_EDGE('',*,*,#196136,.T.); #263261=ORIENTED_EDGE('',*,*,#196135,.T.); #263262=ORIENTED_EDGE('',*,*,#196137,.F.); #263263=ORIENTED_EDGE('',*,*,#196138,.F.); #263264=ORIENTED_EDGE('',*,*,#196139,.T.); #263265=ORIENTED_EDGE('',*,*,#196138,.T.); #263266=ORIENTED_EDGE('',*,*,#196140,.F.); #263267=ORIENTED_EDGE('',*,*,#196141,.F.); #263268=ORIENTED_EDGE('',*,*,#196142,.T.); #263269=ORIENTED_EDGE('',*,*,#196141,.T.); #263270=ORIENTED_EDGE('',*,*,#196143,.F.); #263271=ORIENTED_EDGE('',*,*,#196133,.F.); #263272=ORIENTED_EDGE('',*,*,#196143,.T.); #263273=ORIENTED_EDGE('',*,*,#196140,.T.); #263274=ORIENTED_EDGE('',*,*,#196137,.T.); #263275=ORIENTED_EDGE('',*,*,#196134,.T.); #263276=ORIENTED_EDGE('',*,*,#196131,.T.); #263277=ORIENTED_EDGE('',*,*,#196142,.F.); #263278=ORIENTED_EDGE('',*,*,#196132,.F.); #263279=ORIENTED_EDGE('',*,*,#196136,.F.); #263280=ORIENTED_EDGE('',*,*,#196139,.F.); #263281=ORIENTED_EDGE('',*,*,#196129,.T.); #263282=ORIENTED_EDGE('',*,*,#196144,.T.); #263283=ORIENTED_EDGE('',*,*,#196145,.T.); #263284=ORIENTED_EDGE('',*,*,#196146,.F.); #263285=ORIENTED_EDGE('',*,*,#196147,.F.); #263286=ORIENTED_EDGE('',*,*,#196148,.T.); #263287=ORIENTED_EDGE('',*,*,#196147,.T.); #263288=ORIENTED_EDGE('',*,*,#196149,.F.); #263289=ORIENTED_EDGE('',*,*,#196150,.F.); #263290=ORIENTED_EDGE('',*,*,#196151,.T.); #263291=ORIENTED_EDGE('',*,*,#196150,.T.); #263292=ORIENTED_EDGE('',*,*,#196152,.F.); #263293=ORIENTED_EDGE('',*,*,#196153,.F.); #263294=ORIENTED_EDGE('',*,*,#196154,.T.); #263295=ORIENTED_EDGE('',*,*,#196153,.T.); #263296=ORIENTED_EDGE('',*,*,#196155,.F.); #263297=ORIENTED_EDGE('',*,*,#196145,.F.); #263298=ORIENTED_EDGE('',*,*,#196155,.T.); #263299=ORIENTED_EDGE('',*,*,#196152,.T.); #263300=ORIENTED_EDGE('',*,*,#196149,.T.); #263301=ORIENTED_EDGE('',*,*,#196146,.T.); #263302=ORIENTED_EDGE('',*,*,#196154,.F.); #263303=ORIENTED_EDGE('',*,*,#196144,.F.); #263304=ORIENTED_EDGE('',*,*,#196148,.F.); #263305=ORIENTED_EDGE('',*,*,#196151,.F.); #263306=ORIENTED_EDGE('',*,*,#196156,.T.); #263307=ORIENTED_EDGE('',*,*,#196157,.T.); #263308=ORIENTED_EDGE('',*,*,#196158,.F.); #263309=ORIENTED_EDGE('',*,*,#196159,.F.); #263310=ORIENTED_EDGE('',*,*,#196160,.T.); #263311=ORIENTED_EDGE('',*,*,#196159,.T.); #263312=ORIENTED_EDGE('',*,*,#196161,.F.); #263313=ORIENTED_EDGE('',*,*,#196162,.F.); #263314=ORIENTED_EDGE('',*,*,#196163,.T.); #263315=ORIENTED_EDGE('',*,*,#196162,.T.); #263316=ORIENTED_EDGE('',*,*,#196164,.F.); #263317=ORIENTED_EDGE('',*,*,#196165,.F.); #263318=ORIENTED_EDGE('',*,*,#196166,.T.); #263319=ORIENTED_EDGE('',*,*,#196165,.T.); #263320=ORIENTED_EDGE('',*,*,#196167,.F.); #263321=ORIENTED_EDGE('',*,*,#196157,.F.); #263322=ORIENTED_EDGE('',*,*,#196167,.T.); #263323=ORIENTED_EDGE('',*,*,#196164,.T.); #263324=ORIENTED_EDGE('',*,*,#196161,.T.); #263325=ORIENTED_EDGE('',*,*,#196158,.T.); #263326=ORIENTED_EDGE('',*,*,#196166,.F.); #263327=ORIENTED_EDGE('',*,*,#196156,.F.); #263328=ORIENTED_EDGE('',*,*,#196160,.F.); #263329=ORIENTED_EDGE('',*,*,#196163,.F.); #263330=ORIENTED_EDGE('',*,*,#196168,.T.); #263331=ORIENTED_EDGE('',*,*,#196169,.T.); #263332=ORIENTED_EDGE('',*,*,#196170,.F.); #263333=ORIENTED_EDGE('',*,*,#196171,.F.); #263334=ORIENTED_EDGE('',*,*,#196172,.T.); #263335=ORIENTED_EDGE('',*,*,#196171,.T.); #263336=ORIENTED_EDGE('',*,*,#196173,.F.); #263337=ORIENTED_EDGE('',*,*,#196174,.F.); #263338=ORIENTED_EDGE('',*,*,#196175,.T.); #263339=ORIENTED_EDGE('',*,*,#196174,.T.); #263340=ORIENTED_EDGE('',*,*,#196176,.F.); #263341=ORIENTED_EDGE('',*,*,#196177,.F.); #263342=ORIENTED_EDGE('',*,*,#196178,.T.); #263343=ORIENTED_EDGE('',*,*,#196177,.T.); #263344=ORIENTED_EDGE('',*,*,#196179,.F.); #263345=ORIENTED_EDGE('',*,*,#196169,.F.); #263346=ORIENTED_EDGE('',*,*,#196179,.T.); #263347=ORIENTED_EDGE('',*,*,#196176,.T.); #263348=ORIENTED_EDGE('',*,*,#196173,.T.); #263349=ORIENTED_EDGE('',*,*,#196170,.T.); #263350=ORIENTED_EDGE('',*,*,#196178,.F.); #263351=ORIENTED_EDGE('',*,*,#196168,.F.); #263352=ORIENTED_EDGE('',*,*,#196172,.F.); #263353=ORIENTED_EDGE('',*,*,#196175,.F.); #263354=ORIENTED_EDGE('',*,*,#196180,.F.); #263355=ORIENTED_EDGE('',*,*,#196181,.F.); #263356=ORIENTED_EDGE('',*,*,#196182,.F.); #263357=ORIENTED_EDGE('',*,*,#196183,.F.); #263358=ORIENTED_EDGE('',*,*,#196184,.F.); #263359=ORIENTED_EDGE('',*,*,#196185,.F.); #263360=ORIENTED_EDGE('',*,*,#196186,.F.); #263361=ORIENTED_EDGE('',*,*,#196187,.F.); #263362=ORIENTED_EDGE('',*,*,#196188,.F.); #263363=ORIENTED_EDGE('',*,*,#196189,.F.); #263364=ORIENTED_EDGE('',*,*,#196190,.F.); #263365=ORIENTED_EDGE('',*,*,#196191,.F.); #263366=ORIENTED_EDGE('',*,*,#196192,.F.); #263367=ORIENTED_EDGE('',*,*,#196193,.T.); #263368=ORIENTED_EDGE('',*,*,#196194,.F.); #263369=ORIENTED_EDGE('',*,*,#196193,.F.); #263370=ORIENTED_EDGE('',*,*,#196195,.F.); #263371=ORIENTED_EDGE('',*,*,#196196,.F.); #263372=ORIENTED_EDGE('',*,*,#196197,.F.); #263373=ORIENTED_EDGE('',*,*,#196198,.F.); #263374=ORIENTED_EDGE('',*,*,#196199,.F.); #263375=ORIENTED_EDGE('',*,*,#196200,.T.); #263376=ORIENTED_EDGE('',*,*,#196201,.F.); #263377=ORIENTED_EDGE('',*,*,#196200,.F.); #263378=ORIENTED_EDGE('',*,*,#196184,.T.); #263379=ORIENTED_EDGE('',*,*,#196202,.F.); #263380=ORIENTED_EDGE('',*,*,#196203,.F.); #263381=ORIENTED_EDGE('',*,*,#196204,.T.); #263382=ORIENTED_EDGE('',*,*,#196180,.T.); #263383=ORIENTED_EDGE('',*,*,#196205,.F.); #263384=ORIENTED_EDGE('',*,*,#196186,.T.); #263385=ORIENTED_EDGE('',*,*,#196206,.T.); #263386=ORIENTED_EDGE('',*,*,#196182,.T.); #263387=ORIENTED_EDGE('',*,*,#196207,.T.); #263388=ORIENTED_EDGE('',*,*,#196208,.T.); #263389=ORIENTED_EDGE('',*,*,#196209,.F.); #263390=ORIENTED_EDGE('',*,*,#196195,.T.); #263391=ORIENTED_EDGE('',*,*,#196210,.F.); #263392=ORIENTED_EDGE('',*,*,#196211,.F.); #263393=ORIENTED_EDGE('',*,*,#196212,.T.); #263394=ORIENTED_EDGE('',*,*,#196188,.T.); #263395=ORIENTED_EDGE('',*,*,#196213,.F.); #263396=ORIENTED_EDGE('',*,*,#196197,.T.); #263397=ORIENTED_EDGE('',*,*,#196214,.T.); #263398=ORIENTED_EDGE('',*,*,#196190,.T.); #263399=ORIENTED_EDGE('',*,*,#196215,.T.); #263400=ORIENTED_EDGE('',*,*,#196216,.T.); #263401=ORIENTED_EDGE('',*,*,#196217,.F.); #263402=ORIENTED_EDGE('',*,*,#196181,.T.); #263403=ORIENTED_EDGE('',*,*,#196206,.F.); #263404=ORIENTED_EDGE('',*,*,#196185,.T.); #263405=ORIENTED_EDGE('',*,*,#196204,.F.); #263406=ORIENTED_EDGE('',*,*,#196218,.F.); #263407=ORIENTED_EDGE('',*,*,#196215,.F.); #263408=ORIENTED_EDGE('',*,*,#196189,.T.); #263409=ORIENTED_EDGE('',*,*,#196214,.F.); #263410=ORIENTED_EDGE('',*,*,#196196,.T.); #263411=ORIENTED_EDGE('',*,*,#196212,.F.); #263412=ORIENTED_EDGE('',*,*,#196219,.F.); #263413=ORIENTED_EDGE('',*,*,#196207,.F.); #263414=ORIENTED_EDGE('',*,*,#196199,.T.); #263415=ORIENTED_EDGE('',*,*,#196192,.T.); #263416=ORIENTED_EDGE('',*,*,#196219,.T.); #263417=ORIENTED_EDGE('',*,*,#196211,.T.); #263418=ORIENTED_EDGE('',*,*,#196220,.F.); #263419=ORIENTED_EDGE('',*,*,#196208,.F.); #263420=ORIENTED_EDGE('',*,*,#196218,.T.); #263421=ORIENTED_EDGE('',*,*,#196203,.T.); #263422=ORIENTED_EDGE('',*,*,#196221,.F.); #263423=ORIENTED_EDGE('',*,*,#196216,.F.); #263424=ORIENTED_EDGE('',*,*,#196183,.T.); #263425=ORIENTED_EDGE('',*,*,#196209,.T.); #263426=ORIENTED_EDGE('',*,*,#196220,.T.); #263427=ORIENTED_EDGE('',*,*,#196210,.T.); #263428=ORIENTED_EDGE('',*,*,#196198,.T.); #263429=ORIENTED_EDGE('',*,*,#196213,.T.); #263430=ORIENTED_EDGE('',*,*,#196191,.T.); #263431=ORIENTED_EDGE('',*,*,#196217,.T.); #263432=ORIENTED_EDGE('',*,*,#196221,.T.); #263433=ORIENTED_EDGE('',*,*,#196202,.T.); #263434=ORIENTED_EDGE('',*,*,#196187,.T.); #263435=ORIENTED_EDGE('',*,*,#196205,.T.); #263436=ORIENTED_EDGE('',*,*,#196194,.T.); #263437=ORIENTED_EDGE('',*,*,#196201,.T.); #263438=ORIENTED_EDGE('',*,*,#196222,.T.); #263439=ORIENTED_EDGE('',*,*,#196223,.T.); #263440=ORIENTED_EDGE('',*,*,#196224,.F.); #263441=ORIENTED_EDGE('',*,*,#196225,.F.); #263442=ORIENTED_EDGE('',*,*,#196226,.T.); #263443=ORIENTED_EDGE('',*,*,#196225,.T.); #263444=ORIENTED_EDGE('',*,*,#196227,.F.); #263445=ORIENTED_EDGE('',*,*,#196228,.F.); #263446=ORIENTED_EDGE('',*,*,#196229,.T.); #263447=ORIENTED_EDGE('',*,*,#196228,.T.); #263448=ORIENTED_EDGE('',*,*,#196230,.F.); #263449=ORIENTED_EDGE('',*,*,#196231,.F.); #263450=ORIENTED_EDGE('',*,*,#196232,.T.); #263451=ORIENTED_EDGE('',*,*,#196231,.T.); #263452=ORIENTED_EDGE('',*,*,#196233,.F.); #263453=ORIENTED_EDGE('',*,*,#196223,.F.); #263454=ORIENTED_EDGE('',*,*,#196233,.T.); #263455=ORIENTED_EDGE('',*,*,#196230,.T.); #263456=ORIENTED_EDGE('',*,*,#196227,.T.); #263457=ORIENTED_EDGE('',*,*,#196224,.T.); #263458=ORIENTED_EDGE('',*,*,#196232,.F.); #263459=ORIENTED_EDGE('',*,*,#196222,.F.); #263460=ORIENTED_EDGE('',*,*,#196226,.F.); #263461=ORIENTED_EDGE('',*,*,#196229,.F.); #263462=ORIENTED_EDGE('',*,*,#196234,.T.); #263463=ORIENTED_EDGE('',*,*,#196235,.T.); #263464=ORIENTED_EDGE('',*,*,#196236,.F.); #263465=ORIENTED_EDGE('',*,*,#196237,.F.); #263466=ORIENTED_EDGE('',*,*,#196238,.T.); #263467=ORIENTED_EDGE('',*,*,#196237,.T.); #263468=ORIENTED_EDGE('',*,*,#196239,.F.); #263469=ORIENTED_EDGE('',*,*,#196240,.F.); #263470=ORIENTED_EDGE('',*,*,#196241,.T.); #263471=ORIENTED_EDGE('',*,*,#196240,.T.); #263472=ORIENTED_EDGE('',*,*,#196242,.F.); #263473=ORIENTED_EDGE('',*,*,#196243,.F.); #263474=ORIENTED_EDGE('',*,*,#196244,.T.); #263475=ORIENTED_EDGE('',*,*,#196243,.T.); #263476=ORIENTED_EDGE('',*,*,#196245,.F.); #263477=ORIENTED_EDGE('',*,*,#196235,.F.); #263478=ORIENTED_EDGE('',*,*,#196245,.T.); #263479=ORIENTED_EDGE('',*,*,#196242,.T.); #263480=ORIENTED_EDGE('',*,*,#196239,.T.); #263481=ORIENTED_EDGE('',*,*,#196236,.T.); #263482=ORIENTED_EDGE('',*,*,#196244,.F.); #263483=ORIENTED_EDGE('',*,*,#196234,.F.); #263484=ORIENTED_EDGE('',*,*,#196238,.F.); #263485=ORIENTED_EDGE('',*,*,#196241,.F.); #263486=ORIENTED_EDGE('',*,*,#196246,.T.); #263487=ORIENTED_EDGE('',*,*,#196247,.T.); #263488=ORIENTED_EDGE('',*,*,#196248,.F.); #263489=ORIENTED_EDGE('',*,*,#196249,.F.); #263490=ORIENTED_EDGE('',*,*,#196250,.T.); #263491=ORIENTED_EDGE('',*,*,#196249,.T.); #263492=ORIENTED_EDGE('',*,*,#196251,.F.); #263493=ORIENTED_EDGE('',*,*,#196252,.F.); #263494=ORIENTED_EDGE('',*,*,#196253,.T.); #263495=ORIENTED_EDGE('',*,*,#196252,.T.); #263496=ORIENTED_EDGE('',*,*,#196254,.F.); #263497=ORIENTED_EDGE('',*,*,#196255,.F.); #263498=ORIENTED_EDGE('',*,*,#196256,.T.); #263499=ORIENTED_EDGE('',*,*,#196255,.T.); #263500=ORIENTED_EDGE('',*,*,#196257,.F.); #263501=ORIENTED_EDGE('',*,*,#196247,.F.); #263502=ORIENTED_EDGE('',*,*,#196257,.T.); #263503=ORIENTED_EDGE('',*,*,#196254,.T.); #263504=ORIENTED_EDGE('',*,*,#196251,.T.); #263505=ORIENTED_EDGE('',*,*,#196248,.T.); #263506=ORIENTED_EDGE('',*,*,#196256,.F.); #263507=ORIENTED_EDGE('',*,*,#196246,.F.); #263508=ORIENTED_EDGE('',*,*,#196250,.F.); #263509=ORIENTED_EDGE('',*,*,#196253,.F.); #263510=ORIENTED_EDGE('',*,*,#196258,.T.); #263511=ORIENTED_EDGE('',*,*,#196259,.T.); #263512=ORIENTED_EDGE('',*,*,#196260,.F.); #263513=ORIENTED_EDGE('',*,*,#196261,.F.); #263514=ORIENTED_EDGE('',*,*,#196262,.T.); #263515=ORIENTED_EDGE('',*,*,#196261,.T.); #263516=ORIENTED_EDGE('',*,*,#196263,.F.); #263517=ORIENTED_EDGE('',*,*,#196264,.F.); #263518=ORIENTED_EDGE('',*,*,#196265,.T.); #263519=ORIENTED_EDGE('',*,*,#196264,.T.); #263520=ORIENTED_EDGE('',*,*,#196266,.F.); #263521=ORIENTED_EDGE('',*,*,#196267,.F.); #263522=ORIENTED_EDGE('',*,*,#196268,.T.); #263523=ORIENTED_EDGE('',*,*,#196267,.T.); #263524=ORIENTED_EDGE('',*,*,#196269,.F.); #263525=ORIENTED_EDGE('',*,*,#196259,.F.); #263526=ORIENTED_EDGE('',*,*,#196269,.T.); #263527=ORIENTED_EDGE('',*,*,#196266,.T.); #263528=ORIENTED_EDGE('',*,*,#196263,.T.); #263529=ORIENTED_EDGE('',*,*,#196260,.T.); #263530=ORIENTED_EDGE('',*,*,#196268,.F.); #263531=ORIENTED_EDGE('',*,*,#196258,.F.); #263532=ORIENTED_EDGE('',*,*,#196262,.F.); #263533=ORIENTED_EDGE('',*,*,#196265,.F.); #263534=ORIENTED_EDGE('',*,*,#196270,.T.); #263535=ORIENTED_EDGE('',*,*,#196271,.T.); #263536=ORIENTED_EDGE('',*,*,#196272,.F.); #263537=ORIENTED_EDGE('',*,*,#196273,.F.); #263538=ORIENTED_EDGE('',*,*,#196274,.T.); #263539=ORIENTED_EDGE('',*,*,#196273,.T.); #263540=ORIENTED_EDGE('',*,*,#196275,.F.); #263541=ORIENTED_EDGE('',*,*,#196276,.F.); #263542=ORIENTED_EDGE('',*,*,#196277,.T.); #263543=ORIENTED_EDGE('',*,*,#196276,.T.); #263544=ORIENTED_EDGE('',*,*,#196278,.F.); #263545=ORIENTED_EDGE('',*,*,#196279,.F.); #263546=ORIENTED_EDGE('',*,*,#196280,.T.); #263547=ORIENTED_EDGE('',*,*,#196279,.T.); #263548=ORIENTED_EDGE('',*,*,#196281,.F.); #263549=ORIENTED_EDGE('',*,*,#196271,.F.); #263550=ORIENTED_EDGE('',*,*,#196281,.T.); #263551=ORIENTED_EDGE('',*,*,#196278,.T.); #263552=ORIENTED_EDGE('',*,*,#196275,.T.); #263553=ORIENTED_EDGE('',*,*,#196272,.T.); #263554=ORIENTED_EDGE('',*,*,#196280,.F.); #263555=ORIENTED_EDGE('',*,*,#196270,.F.); #263556=ORIENTED_EDGE('',*,*,#196274,.F.); #263557=ORIENTED_EDGE('',*,*,#196277,.F.); #263558=ORIENTED_EDGE('',*,*,#196282,.T.); #263559=ORIENTED_EDGE('',*,*,#196283,.T.); #263560=ORIENTED_EDGE('',*,*,#196284,.F.); #263561=ORIENTED_EDGE('',*,*,#196285,.F.); #263562=ORIENTED_EDGE('',*,*,#196286,.T.); #263563=ORIENTED_EDGE('',*,*,#196285,.T.); #263564=ORIENTED_EDGE('',*,*,#196287,.F.); #263565=ORIENTED_EDGE('',*,*,#196288,.F.); #263566=ORIENTED_EDGE('',*,*,#196289,.T.); #263567=ORIENTED_EDGE('',*,*,#196288,.T.); #263568=ORIENTED_EDGE('',*,*,#196290,.F.); #263569=ORIENTED_EDGE('',*,*,#196291,.F.); #263570=ORIENTED_EDGE('',*,*,#196292,.T.); #263571=ORIENTED_EDGE('',*,*,#196291,.T.); #263572=ORIENTED_EDGE('',*,*,#196293,.F.); #263573=ORIENTED_EDGE('',*,*,#196283,.F.); #263574=ORIENTED_EDGE('',*,*,#196293,.T.); #263575=ORIENTED_EDGE('',*,*,#196290,.T.); #263576=ORIENTED_EDGE('',*,*,#196287,.T.); #263577=ORIENTED_EDGE('',*,*,#196284,.T.); #263578=ORIENTED_EDGE('',*,*,#196292,.F.); #263579=ORIENTED_EDGE('',*,*,#196282,.F.); #263580=ORIENTED_EDGE('',*,*,#196286,.F.); #263581=ORIENTED_EDGE('',*,*,#196289,.F.); #263582=ORIENTED_EDGE('',*,*,#196294,.T.); #263583=ORIENTED_EDGE('',*,*,#196295,.T.); #263584=ORIENTED_EDGE('',*,*,#196296,.F.); #263585=ORIENTED_EDGE('',*,*,#196297,.F.); #263586=ORIENTED_EDGE('',*,*,#196298,.T.); #263587=ORIENTED_EDGE('',*,*,#196297,.T.); #263588=ORIENTED_EDGE('',*,*,#196299,.F.); #263589=ORIENTED_EDGE('',*,*,#196300,.F.); #263590=ORIENTED_EDGE('',*,*,#196301,.T.); #263591=ORIENTED_EDGE('',*,*,#196300,.T.); #263592=ORIENTED_EDGE('',*,*,#196302,.F.); #263593=ORIENTED_EDGE('',*,*,#196303,.F.); #263594=ORIENTED_EDGE('',*,*,#196304,.T.); #263595=ORIENTED_EDGE('',*,*,#196303,.T.); #263596=ORIENTED_EDGE('',*,*,#196305,.F.); #263597=ORIENTED_EDGE('',*,*,#196295,.F.); #263598=ORIENTED_EDGE('',*,*,#196305,.T.); #263599=ORIENTED_EDGE('',*,*,#196302,.T.); #263600=ORIENTED_EDGE('',*,*,#196299,.T.); #263601=ORIENTED_EDGE('',*,*,#196296,.T.); #263602=ORIENTED_EDGE('',*,*,#196304,.F.); #263603=ORIENTED_EDGE('',*,*,#196294,.F.); #263604=ORIENTED_EDGE('',*,*,#196298,.F.); #263605=ORIENTED_EDGE('',*,*,#196301,.F.); #263606=ORIENTED_EDGE('',*,*,#196306,.T.); #263607=ORIENTED_EDGE('',*,*,#196307,.T.); #263608=ORIENTED_EDGE('',*,*,#196308,.F.); #263609=ORIENTED_EDGE('',*,*,#196309,.F.); #263610=ORIENTED_EDGE('',*,*,#196310,.T.); #263611=ORIENTED_EDGE('',*,*,#196309,.T.); #263612=ORIENTED_EDGE('',*,*,#196311,.F.); #263613=ORIENTED_EDGE('',*,*,#196312,.F.); #263614=ORIENTED_EDGE('',*,*,#196313,.T.); #263615=ORIENTED_EDGE('',*,*,#196312,.T.); #263616=ORIENTED_EDGE('',*,*,#196314,.F.); #263617=ORIENTED_EDGE('',*,*,#196315,.F.); #263618=ORIENTED_EDGE('',*,*,#196316,.T.); #263619=ORIENTED_EDGE('',*,*,#196315,.T.); #263620=ORIENTED_EDGE('',*,*,#196317,.F.); #263621=ORIENTED_EDGE('',*,*,#196307,.F.); #263622=ORIENTED_EDGE('',*,*,#196317,.T.); #263623=ORIENTED_EDGE('',*,*,#196314,.T.); #263624=ORIENTED_EDGE('',*,*,#196311,.T.); #263625=ORIENTED_EDGE('',*,*,#196308,.T.); #263626=ORIENTED_EDGE('',*,*,#196316,.F.); #263627=ORIENTED_EDGE('',*,*,#196306,.F.); #263628=ORIENTED_EDGE('',*,*,#196310,.F.); #263629=ORIENTED_EDGE('',*,*,#196313,.F.); #263630=ORIENTED_EDGE('',*,*,#196318,.T.); #263631=ORIENTED_EDGE('',*,*,#196319,.T.); #263632=ORIENTED_EDGE('',*,*,#196320,.F.); #263633=ORIENTED_EDGE('',*,*,#196321,.F.); #263634=ORIENTED_EDGE('',*,*,#196322,.T.); #263635=ORIENTED_EDGE('',*,*,#196321,.T.); #263636=ORIENTED_EDGE('',*,*,#196323,.F.); #263637=ORIENTED_EDGE('',*,*,#196324,.F.); #263638=ORIENTED_EDGE('',*,*,#196325,.T.); #263639=ORIENTED_EDGE('',*,*,#196324,.T.); #263640=ORIENTED_EDGE('',*,*,#196326,.F.); #263641=ORIENTED_EDGE('',*,*,#196327,.F.); #263642=ORIENTED_EDGE('',*,*,#196328,.T.); #263643=ORIENTED_EDGE('',*,*,#196327,.T.); #263644=ORIENTED_EDGE('',*,*,#196329,.F.); #263645=ORIENTED_EDGE('',*,*,#196319,.F.); #263646=ORIENTED_EDGE('',*,*,#196329,.T.); #263647=ORIENTED_EDGE('',*,*,#196326,.T.); #263648=ORIENTED_EDGE('',*,*,#196323,.T.); #263649=ORIENTED_EDGE('',*,*,#196320,.T.); #263650=ORIENTED_EDGE('',*,*,#196328,.F.); #263651=ORIENTED_EDGE('',*,*,#196318,.F.); #263652=ORIENTED_EDGE('',*,*,#196322,.F.); #263653=ORIENTED_EDGE('',*,*,#196325,.F.); #263654=ORIENTED_EDGE('',*,*,#196330,.T.); #263655=ORIENTED_EDGE('',*,*,#196331,.T.); #263656=ORIENTED_EDGE('',*,*,#196332,.F.); #263657=ORIENTED_EDGE('',*,*,#196333,.F.); #263658=ORIENTED_EDGE('',*,*,#196334,.T.); #263659=ORIENTED_EDGE('',*,*,#196333,.T.); #263660=ORIENTED_EDGE('',*,*,#196335,.F.); #263661=ORIENTED_EDGE('',*,*,#196336,.F.); #263662=ORIENTED_EDGE('',*,*,#196337,.T.); #263663=ORIENTED_EDGE('',*,*,#196336,.T.); #263664=ORIENTED_EDGE('',*,*,#196338,.F.); #263665=ORIENTED_EDGE('',*,*,#196339,.F.); #263666=ORIENTED_EDGE('',*,*,#196340,.T.); #263667=ORIENTED_EDGE('',*,*,#196339,.T.); #263668=ORIENTED_EDGE('',*,*,#196341,.F.); #263669=ORIENTED_EDGE('',*,*,#196331,.F.); #263670=ORIENTED_EDGE('',*,*,#196341,.T.); #263671=ORIENTED_EDGE('',*,*,#196338,.T.); #263672=ORIENTED_EDGE('',*,*,#196335,.T.); #263673=ORIENTED_EDGE('',*,*,#196332,.T.); #263674=ORIENTED_EDGE('',*,*,#196340,.F.); #263675=ORIENTED_EDGE('',*,*,#196330,.F.); #263676=ORIENTED_EDGE('',*,*,#196334,.F.); #263677=ORIENTED_EDGE('',*,*,#196337,.F.); #263678=ORIENTED_EDGE('',*,*,#196342,.T.); #263679=ORIENTED_EDGE('',*,*,#196343,.T.); #263680=ORIENTED_EDGE('',*,*,#196344,.F.); #263681=ORIENTED_EDGE('',*,*,#196345,.F.); #263682=ORIENTED_EDGE('',*,*,#196346,.T.); #263683=ORIENTED_EDGE('',*,*,#196345,.T.); #263684=ORIENTED_EDGE('',*,*,#196347,.F.); #263685=ORIENTED_EDGE('',*,*,#196348,.F.); #263686=ORIENTED_EDGE('',*,*,#196349,.T.); #263687=ORIENTED_EDGE('',*,*,#196348,.T.); #263688=ORIENTED_EDGE('',*,*,#196350,.F.); #263689=ORIENTED_EDGE('',*,*,#196351,.F.); #263690=ORIENTED_EDGE('',*,*,#196352,.T.); #263691=ORIENTED_EDGE('',*,*,#196351,.T.); #263692=ORIENTED_EDGE('',*,*,#196353,.F.); #263693=ORIENTED_EDGE('',*,*,#196343,.F.); #263694=ORIENTED_EDGE('',*,*,#196353,.T.); #263695=ORIENTED_EDGE('',*,*,#196350,.T.); #263696=ORIENTED_EDGE('',*,*,#196347,.T.); #263697=ORIENTED_EDGE('',*,*,#196344,.T.); #263698=ORIENTED_EDGE('',*,*,#196352,.F.); #263699=ORIENTED_EDGE('',*,*,#196342,.F.); #263700=ORIENTED_EDGE('',*,*,#196346,.F.); #263701=ORIENTED_EDGE('',*,*,#196349,.F.); #263702=ORIENTED_EDGE('',*,*,#196354,.T.); #263703=ORIENTED_EDGE('',*,*,#196355,.T.); #263704=ORIENTED_EDGE('',*,*,#196356,.F.); #263705=ORIENTED_EDGE('',*,*,#196357,.F.); #263706=ORIENTED_EDGE('',*,*,#196358,.T.); #263707=ORIENTED_EDGE('',*,*,#196357,.T.); #263708=ORIENTED_EDGE('',*,*,#196359,.F.); #263709=ORIENTED_EDGE('',*,*,#196360,.F.); #263710=ORIENTED_EDGE('',*,*,#196361,.T.); #263711=ORIENTED_EDGE('',*,*,#196360,.T.); #263712=ORIENTED_EDGE('',*,*,#196362,.F.); #263713=ORIENTED_EDGE('',*,*,#196363,.F.); #263714=ORIENTED_EDGE('',*,*,#196364,.T.); #263715=ORIENTED_EDGE('',*,*,#196363,.T.); #263716=ORIENTED_EDGE('',*,*,#196365,.F.); #263717=ORIENTED_EDGE('',*,*,#196355,.F.); #263718=ORIENTED_EDGE('',*,*,#196365,.T.); #263719=ORIENTED_EDGE('',*,*,#196362,.T.); #263720=ORIENTED_EDGE('',*,*,#196359,.T.); #263721=ORIENTED_EDGE('',*,*,#196356,.T.); #263722=ORIENTED_EDGE('',*,*,#196364,.F.); #263723=ORIENTED_EDGE('',*,*,#196354,.F.); #263724=ORIENTED_EDGE('',*,*,#196358,.F.); #263725=ORIENTED_EDGE('',*,*,#196361,.F.); #263726=ORIENTED_EDGE('',*,*,#196366,.T.); #263727=ORIENTED_EDGE('',*,*,#196367,.T.); #263728=ORIENTED_EDGE('',*,*,#196368,.F.); #263729=ORIENTED_EDGE('',*,*,#196369,.F.); #263730=ORIENTED_EDGE('',*,*,#196370,.T.); #263731=ORIENTED_EDGE('',*,*,#196369,.T.); #263732=ORIENTED_EDGE('',*,*,#196371,.F.); #263733=ORIENTED_EDGE('',*,*,#196372,.F.); #263734=ORIENTED_EDGE('',*,*,#196373,.T.); #263735=ORIENTED_EDGE('',*,*,#196372,.T.); #263736=ORIENTED_EDGE('',*,*,#196374,.F.); #263737=ORIENTED_EDGE('',*,*,#196375,.F.); #263738=ORIENTED_EDGE('',*,*,#196376,.T.); #263739=ORIENTED_EDGE('',*,*,#196375,.T.); #263740=ORIENTED_EDGE('',*,*,#196377,.F.); #263741=ORIENTED_EDGE('',*,*,#196367,.F.); #263742=ORIENTED_EDGE('',*,*,#196377,.T.); #263743=ORIENTED_EDGE('',*,*,#196374,.T.); #263744=ORIENTED_EDGE('',*,*,#196371,.T.); #263745=ORIENTED_EDGE('',*,*,#196368,.T.); #263746=ORIENTED_EDGE('',*,*,#196376,.F.); #263747=ORIENTED_EDGE('',*,*,#196366,.F.); #263748=ORIENTED_EDGE('',*,*,#196370,.F.); #263749=ORIENTED_EDGE('',*,*,#196373,.F.); #263750=ORIENTED_EDGE('',*,*,#196378,.T.); #263751=ORIENTED_EDGE('',*,*,#196379,.T.); #263752=ORIENTED_EDGE('',*,*,#196380,.F.); #263753=ORIENTED_EDGE('',*,*,#196381,.F.); #263754=ORIENTED_EDGE('',*,*,#196382,.T.); #263755=ORIENTED_EDGE('',*,*,#196381,.T.); #263756=ORIENTED_EDGE('',*,*,#196383,.F.); #263757=ORIENTED_EDGE('',*,*,#196384,.F.); #263758=ORIENTED_EDGE('',*,*,#196385,.T.); #263759=ORIENTED_EDGE('',*,*,#196384,.T.); #263760=ORIENTED_EDGE('',*,*,#196386,.F.); #263761=ORIENTED_EDGE('',*,*,#196387,.F.); #263762=ORIENTED_EDGE('',*,*,#196388,.T.); #263763=ORIENTED_EDGE('',*,*,#196387,.T.); #263764=ORIENTED_EDGE('',*,*,#196389,.F.); #263765=ORIENTED_EDGE('',*,*,#196379,.F.); #263766=ORIENTED_EDGE('',*,*,#196389,.T.); #263767=ORIENTED_EDGE('',*,*,#196386,.T.); #263768=ORIENTED_EDGE('',*,*,#196383,.T.); #263769=ORIENTED_EDGE('',*,*,#196380,.T.); #263770=ORIENTED_EDGE('',*,*,#196388,.F.); #263771=ORIENTED_EDGE('',*,*,#196378,.F.); #263772=ORIENTED_EDGE('',*,*,#196382,.F.); #263773=ORIENTED_EDGE('',*,*,#196385,.F.); #263774=ORIENTED_EDGE('',*,*,#196390,.T.); #263775=ORIENTED_EDGE('',*,*,#196391,.T.); #263776=ORIENTED_EDGE('',*,*,#196392,.F.); #263777=ORIENTED_EDGE('',*,*,#196393,.F.); #263778=ORIENTED_EDGE('',*,*,#196394,.T.); #263779=ORIENTED_EDGE('',*,*,#196393,.T.); #263780=ORIENTED_EDGE('',*,*,#196395,.F.); #263781=ORIENTED_EDGE('',*,*,#196396,.F.); #263782=ORIENTED_EDGE('',*,*,#196397,.T.); #263783=ORIENTED_EDGE('',*,*,#196396,.T.); #263784=ORIENTED_EDGE('',*,*,#196398,.F.); #263785=ORIENTED_EDGE('',*,*,#196399,.F.); #263786=ORIENTED_EDGE('',*,*,#196400,.T.); #263787=ORIENTED_EDGE('',*,*,#196399,.T.); #263788=ORIENTED_EDGE('',*,*,#196401,.F.); #263789=ORIENTED_EDGE('',*,*,#196391,.F.); #263790=ORIENTED_EDGE('',*,*,#196401,.T.); #263791=ORIENTED_EDGE('',*,*,#196398,.T.); #263792=ORIENTED_EDGE('',*,*,#196395,.T.); #263793=ORIENTED_EDGE('',*,*,#196392,.T.); #263794=ORIENTED_EDGE('',*,*,#196400,.F.); #263795=ORIENTED_EDGE('',*,*,#196390,.F.); #263796=ORIENTED_EDGE('',*,*,#196394,.F.); #263797=ORIENTED_EDGE('',*,*,#196397,.F.); #263798=ORIENTED_EDGE('',*,*,#196402,.T.); #263799=ORIENTED_EDGE('',*,*,#196403,.T.); #263800=ORIENTED_EDGE('',*,*,#196404,.F.); #263801=ORIENTED_EDGE('',*,*,#196405,.F.); #263802=ORIENTED_EDGE('',*,*,#196406,.T.); #263803=ORIENTED_EDGE('',*,*,#196405,.T.); #263804=ORIENTED_EDGE('',*,*,#196407,.F.); #263805=ORIENTED_EDGE('',*,*,#196408,.F.); #263806=ORIENTED_EDGE('',*,*,#196409,.T.); #263807=ORIENTED_EDGE('',*,*,#196408,.T.); #263808=ORIENTED_EDGE('',*,*,#196410,.F.); #263809=ORIENTED_EDGE('',*,*,#196411,.F.); #263810=ORIENTED_EDGE('',*,*,#196412,.T.); #263811=ORIENTED_EDGE('',*,*,#196411,.T.); #263812=ORIENTED_EDGE('',*,*,#196413,.F.); #263813=ORIENTED_EDGE('',*,*,#196403,.F.); #263814=ORIENTED_EDGE('',*,*,#196413,.T.); #263815=ORIENTED_EDGE('',*,*,#196410,.T.); #263816=ORIENTED_EDGE('',*,*,#196407,.T.); #263817=ORIENTED_EDGE('',*,*,#196404,.T.); #263818=ORIENTED_EDGE('',*,*,#196412,.F.); #263819=ORIENTED_EDGE('',*,*,#196402,.F.); #263820=ORIENTED_EDGE('',*,*,#196406,.F.); #263821=ORIENTED_EDGE('',*,*,#196409,.F.); #263822=ORIENTED_EDGE('',*,*,#196414,.T.); #263823=ORIENTED_EDGE('',*,*,#196415,.T.); #263824=ORIENTED_EDGE('',*,*,#196416,.F.); #263825=ORIENTED_EDGE('',*,*,#196417,.F.); #263826=ORIENTED_EDGE('',*,*,#196418,.T.); #263827=ORIENTED_EDGE('',*,*,#196417,.T.); #263828=ORIENTED_EDGE('',*,*,#196419,.F.); #263829=ORIENTED_EDGE('',*,*,#196420,.F.); #263830=ORIENTED_EDGE('',*,*,#196421,.T.); #263831=ORIENTED_EDGE('',*,*,#196420,.T.); #263832=ORIENTED_EDGE('',*,*,#196422,.F.); #263833=ORIENTED_EDGE('',*,*,#196423,.F.); #263834=ORIENTED_EDGE('',*,*,#196424,.T.); #263835=ORIENTED_EDGE('',*,*,#196423,.T.); #263836=ORIENTED_EDGE('',*,*,#196425,.F.); #263837=ORIENTED_EDGE('',*,*,#196415,.F.); #263838=ORIENTED_EDGE('',*,*,#196425,.T.); #263839=ORIENTED_EDGE('',*,*,#196422,.T.); #263840=ORIENTED_EDGE('',*,*,#196419,.T.); #263841=ORIENTED_EDGE('',*,*,#196416,.T.); #263842=ORIENTED_EDGE('',*,*,#196424,.F.); #263843=ORIENTED_EDGE('',*,*,#196414,.F.); #263844=ORIENTED_EDGE('',*,*,#196418,.F.); #263845=ORIENTED_EDGE('',*,*,#196421,.F.); #263846=ORIENTED_EDGE('',*,*,#196426,.T.); #263847=ORIENTED_EDGE('',*,*,#196427,.T.); #263848=ORIENTED_EDGE('',*,*,#196428,.F.); #263849=ORIENTED_EDGE('',*,*,#196429,.F.); #263850=ORIENTED_EDGE('',*,*,#196430,.T.); #263851=ORIENTED_EDGE('',*,*,#196429,.T.); #263852=ORIENTED_EDGE('',*,*,#196431,.F.); #263853=ORIENTED_EDGE('',*,*,#196432,.F.); #263854=ORIENTED_EDGE('',*,*,#196433,.T.); #263855=ORIENTED_EDGE('',*,*,#196432,.T.); #263856=ORIENTED_EDGE('',*,*,#196434,.F.); #263857=ORIENTED_EDGE('',*,*,#196435,.F.); #263858=ORIENTED_EDGE('',*,*,#196436,.T.); #263859=ORIENTED_EDGE('',*,*,#196435,.T.); #263860=ORIENTED_EDGE('',*,*,#196437,.F.); #263861=ORIENTED_EDGE('',*,*,#196427,.F.); #263862=ORIENTED_EDGE('',*,*,#196437,.T.); #263863=ORIENTED_EDGE('',*,*,#196434,.T.); #263864=ORIENTED_EDGE('',*,*,#196431,.T.); #263865=ORIENTED_EDGE('',*,*,#196428,.T.); #263866=ORIENTED_EDGE('',*,*,#196436,.F.); #263867=ORIENTED_EDGE('',*,*,#196426,.F.); #263868=ORIENTED_EDGE('',*,*,#196430,.F.); #263869=ORIENTED_EDGE('',*,*,#196433,.F.); #263870=ORIENTED_EDGE('',*,*,#196438,.T.); #263871=ORIENTED_EDGE('',*,*,#196439,.T.); #263872=ORIENTED_EDGE('',*,*,#196440,.F.); #263873=ORIENTED_EDGE('',*,*,#196441,.F.); #263874=ORIENTED_EDGE('',*,*,#196442,.T.); #263875=ORIENTED_EDGE('',*,*,#196441,.T.); #263876=ORIENTED_EDGE('',*,*,#196443,.F.); #263877=ORIENTED_EDGE('',*,*,#196444,.F.); #263878=ORIENTED_EDGE('',*,*,#196445,.T.); #263879=ORIENTED_EDGE('',*,*,#196444,.T.); #263880=ORIENTED_EDGE('',*,*,#196446,.F.); #263881=ORIENTED_EDGE('',*,*,#196447,.F.); #263882=ORIENTED_EDGE('',*,*,#196448,.T.); #263883=ORIENTED_EDGE('',*,*,#196447,.T.); #263884=ORIENTED_EDGE('',*,*,#196449,.F.); #263885=ORIENTED_EDGE('',*,*,#196439,.F.); #263886=ORIENTED_EDGE('',*,*,#196449,.T.); #263887=ORIENTED_EDGE('',*,*,#196446,.T.); #263888=ORIENTED_EDGE('',*,*,#196443,.T.); #263889=ORIENTED_EDGE('',*,*,#196440,.T.); #263890=ORIENTED_EDGE('',*,*,#196448,.F.); #263891=ORIENTED_EDGE('',*,*,#196438,.F.); #263892=ORIENTED_EDGE('',*,*,#196442,.F.); #263893=ORIENTED_EDGE('',*,*,#196445,.F.); #263894=ORIENTED_EDGE('',*,*,#196450,.T.); #263895=ORIENTED_EDGE('',*,*,#196451,.T.); #263896=ORIENTED_EDGE('',*,*,#196452,.F.); #263897=ORIENTED_EDGE('',*,*,#196453,.F.); #263898=ORIENTED_EDGE('',*,*,#196454,.T.); #263899=ORIENTED_EDGE('',*,*,#196453,.T.); #263900=ORIENTED_EDGE('',*,*,#196455,.F.); #263901=ORIENTED_EDGE('',*,*,#196456,.F.); #263902=ORIENTED_EDGE('',*,*,#196457,.T.); #263903=ORIENTED_EDGE('',*,*,#196456,.T.); #263904=ORIENTED_EDGE('',*,*,#196458,.F.); #263905=ORIENTED_EDGE('',*,*,#196459,.F.); #263906=ORIENTED_EDGE('',*,*,#196460,.T.); #263907=ORIENTED_EDGE('',*,*,#196459,.T.); #263908=ORIENTED_EDGE('',*,*,#196461,.F.); #263909=ORIENTED_EDGE('',*,*,#196451,.F.); #263910=ORIENTED_EDGE('',*,*,#196461,.T.); #263911=ORIENTED_EDGE('',*,*,#196458,.T.); #263912=ORIENTED_EDGE('',*,*,#196455,.T.); #263913=ORIENTED_EDGE('',*,*,#196452,.T.); #263914=ORIENTED_EDGE('',*,*,#196460,.F.); #263915=ORIENTED_EDGE('',*,*,#196450,.F.); #263916=ORIENTED_EDGE('',*,*,#196454,.F.); #263917=ORIENTED_EDGE('',*,*,#196457,.F.); #263918=ORIENTED_EDGE('',*,*,#196462,.T.); #263919=ORIENTED_EDGE('',*,*,#196463,.T.); #263920=ORIENTED_EDGE('',*,*,#196464,.F.); #263921=ORIENTED_EDGE('',*,*,#196465,.F.); #263922=ORIENTED_EDGE('',*,*,#196466,.T.); #263923=ORIENTED_EDGE('',*,*,#196465,.T.); #263924=ORIENTED_EDGE('',*,*,#196467,.F.); #263925=ORIENTED_EDGE('',*,*,#196468,.F.); #263926=ORIENTED_EDGE('',*,*,#196469,.T.); #263927=ORIENTED_EDGE('',*,*,#196468,.T.); #263928=ORIENTED_EDGE('',*,*,#196470,.F.); #263929=ORIENTED_EDGE('',*,*,#196471,.F.); #263930=ORIENTED_EDGE('',*,*,#196472,.T.); #263931=ORIENTED_EDGE('',*,*,#196471,.T.); #263932=ORIENTED_EDGE('',*,*,#196473,.F.); #263933=ORIENTED_EDGE('',*,*,#196463,.F.); #263934=ORIENTED_EDGE('',*,*,#196473,.T.); #263935=ORIENTED_EDGE('',*,*,#196470,.T.); #263936=ORIENTED_EDGE('',*,*,#196467,.T.); #263937=ORIENTED_EDGE('',*,*,#196464,.T.); #263938=ORIENTED_EDGE('',*,*,#196472,.F.); #263939=ORIENTED_EDGE('',*,*,#196462,.F.); #263940=ORIENTED_EDGE('',*,*,#196466,.F.); #263941=ORIENTED_EDGE('',*,*,#196469,.F.); #263942=ORIENTED_EDGE('',*,*,#196474,.T.); #263943=ORIENTED_EDGE('',*,*,#196475,.T.); #263944=ORIENTED_EDGE('',*,*,#196476,.F.); #263945=ORIENTED_EDGE('',*,*,#196477,.F.); #263946=ORIENTED_EDGE('',*,*,#196478,.T.); #263947=ORIENTED_EDGE('',*,*,#196477,.T.); #263948=ORIENTED_EDGE('',*,*,#196479,.F.); #263949=ORIENTED_EDGE('',*,*,#196480,.F.); #263950=ORIENTED_EDGE('',*,*,#196481,.T.); #263951=ORIENTED_EDGE('',*,*,#196480,.T.); #263952=ORIENTED_EDGE('',*,*,#196482,.F.); #263953=ORIENTED_EDGE('',*,*,#196483,.F.); #263954=ORIENTED_EDGE('',*,*,#196484,.T.); #263955=ORIENTED_EDGE('',*,*,#196483,.T.); #263956=ORIENTED_EDGE('',*,*,#196485,.F.); #263957=ORIENTED_EDGE('',*,*,#196475,.F.); #263958=ORIENTED_EDGE('',*,*,#196485,.T.); #263959=ORIENTED_EDGE('',*,*,#196482,.T.); #263960=ORIENTED_EDGE('',*,*,#196479,.T.); #263961=ORIENTED_EDGE('',*,*,#196476,.T.); #263962=ORIENTED_EDGE('',*,*,#196484,.F.); #263963=ORIENTED_EDGE('',*,*,#196474,.F.); #263964=ORIENTED_EDGE('',*,*,#196478,.F.); #263965=ORIENTED_EDGE('',*,*,#196481,.F.); #263966=ORIENTED_EDGE('',*,*,#196486,.T.); #263967=ORIENTED_EDGE('',*,*,#196487,.T.); #263968=ORIENTED_EDGE('',*,*,#196488,.F.); #263969=ORIENTED_EDGE('',*,*,#196489,.F.); #263970=ORIENTED_EDGE('',*,*,#196490,.T.); #263971=ORIENTED_EDGE('',*,*,#196489,.T.); #263972=ORIENTED_EDGE('',*,*,#196491,.F.); #263973=ORIENTED_EDGE('',*,*,#196492,.F.); #263974=ORIENTED_EDGE('',*,*,#196493,.T.); #263975=ORIENTED_EDGE('',*,*,#196492,.T.); #263976=ORIENTED_EDGE('',*,*,#196494,.F.); #263977=ORIENTED_EDGE('',*,*,#196495,.F.); #263978=ORIENTED_EDGE('',*,*,#196496,.T.); #263979=ORIENTED_EDGE('',*,*,#196495,.T.); #263980=ORIENTED_EDGE('',*,*,#196497,.F.); #263981=ORIENTED_EDGE('',*,*,#196487,.F.); #263982=ORIENTED_EDGE('',*,*,#196497,.T.); #263983=ORIENTED_EDGE('',*,*,#196494,.T.); #263984=ORIENTED_EDGE('',*,*,#196491,.T.); #263985=ORIENTED_EDGE('',*,*,#196488,.T.); #263986=ORIENTED_EDGE('',*,*,#196496,.F.); #263987=ORIENTED_EDGE('',*,*,#196486,.F.); #263988=ORIENTED_EDGE('',*,*,#196490,.F.); #263989=ORIENTED_EDGE('',*,*,#196493,.F.); #263990=ORIENTED_EDGE('',*,*,#196498,.T.); #263991=ORIENTED_EDGE('',*,*,#196499,.T.); #263992=ORIENTED_EDGE('',*,*,#196500,.F.); #263993=ORIENTED_EDGE('',*,*,#196501,.F.); #263994=ORIENTED_EDGE('',*,*,#196502,.T.); #263995=ORIENTED_EDGE('',*,*,#196501,.T.); #263996=ORIENTED_EDGE('',*,*,#196503,.F.); #263997=ORIENTED_EDGE('',*,*,#196504,.F.); #263998=ORIENTED_EDGE('',*,*,#196505,.T.); #263999=ORIENTED_EDGE('',*,*,#196504,.T.); #264000=ORIENTED_EDGE('',*,*,#196506,.F.); #264001=ORIENTED_EDGE('',*,*,#196507,.F.); #264002=ORIENTED_EDGE('',*,*,#196508,.T.); #264003=ORIENTED_EDGE('',*,*,#196507,.T.); #264004=ORIENTED_EDGE('',*,*,#196509,.F.); #264005=ORIENTED_EDGE('',*,*,#196499,.F.); #264006=ORIENTED_EDGE('',*,*,#196509,.T.); #264007=ORIENTED_EDGE('',*,*,#196506,.T.); #264008=ORIENTED_EDGE('',*,*,#196503,.T.); #264009=ORIENTED_EDGE('',*,*,#196500,.T.); #264010=ORIENTED_EDGE('',*,*,#196508,.F.); #264011=ORIENTED_EDGE('',*,*,#196498,.F.); #264012=ORIENTED_EDGE('',*,*,#196502,.F.); #264013=ORIENTED_EDGE('',*,*,#196505,.F.); #264014=ORIENTED_EDGE('',*,*,#196510,.T.); #264015=ORIENTED_EDGE('',*,*,#196511,.T.); #264016=ORIENTED_EDGE('',*,*,#196512,.F.); #264017=ORIENTED_EDGE('',*,*,#196513,.F.); #264018=ORIENTED_EDGE('',*,*,#196514,.T.); #264019=ORIENTED_EDGE('',*,*,#196513,.T.); #264020=ORIENTED_EDGE('',*,*,#196515,.F.); #264021=ORIENTED_EDGE('',*,*,#196516,.F.); #264022=ORIENTED_EDGE('',*,*,#196517,.T.); #264023=ORIENTED_EDGE('',*,*,#196516,.T.); #264024=ORIENTED_EDGE('',*,*,#196518,.F.); #264025=ORIENTED_EDGE('',*,*,#196519,.F.); #264026=ORIENTED_EDGE('',*,*,#196520,.T.); #264027=ORIENTED_EDGE('',*,*,#196519,.T.); #264028=ORIENTED_EDGE('',*,*,#196521,.F.); #264029=ORIENTED_EDGE('',*,*,#196511,.F.); #264030=ORIENTED_EDGE('',*,*,#196521,.T.); #264031=ORIENTED_EDGE('',*,*,#196518,.T.); #264032=ORIENTED_EDGE('',*,*,#196515,.T.); #264033=ORIENTED_EDGE('',*,*,#196512,.T.); #264034=ORIENTED_EDGE('',*,*,#196520,.F.); #264035=ORIENTED_EDGE('',*,*,#196510,.F.); #264036=ORIENTED_EDGE('',*,*,#196514,.F.); #264037=ORIENTED_EDGE('',*,*,#196517,.F.); #264038=ORIENTED_EDGE('',*,*,#196522,.F.); #264039=ORIENTED_EDGE('',*,*,#196523,.T.); #264040=ORIENTED_EDGE('',*,*,#196524,.F.); #264041=ORIENTED_EDGE('',*,*,#196523,.F.); #264042=ORIENTED_EDGE('',*,*,#196525,.F.); #264043=ORIENTED_EDGE('',*,*,#196526,.T.); #264044=ORIENTED_EDGE('',*,*,#196527,.F.); #264045=ORIENTED_EDGE('',*,*,#196526,.F.); #264046=ORIENTED_EDGE('',*,*,#196528,.F.); #264047=ORIENTED_EDGE('',*,*,#196529,.T.); #264048=ORIENTED_EDGE('',*,*,#196530,.F.); #264049=ORIENTED_EDGE('',*,*,#196529,.F.); #264050=ORIENTED_EDGE('',*,*,#196531,.F.); #264051=ORIENTED_EDGE('',*,*,#196532,.T.); #264052=ORIENTED_EDGE('',*,*,#196533,.F.); #264053=ORIENTED_EDGE('',*,*,#196532,.F.); #264054=ORIENTED_EDGE('',*,*,#196534,.F.); #264055=ORIENTED_EDGE('',*,*,#196535,.T.); #264056=ORIENTED_EDGE('',*,*,#196536,.F.); #264057=ORIENTED_EDGE('',*,*,#196535,.F.); #264058=ORIENTED_EDGE('',*,*,#196537,.F.); #264059=ORIENTED_EDGE('',*,*,#196538,.T.); #264060=ORIENTED_EDGE('',*,*,#196539,.F.); #264061=ORIENTED_EDGE('',*,*,#196538,.F.); #264062=ORIENTED_EDGE('',*,*,#196540,.T.); #264063=ORIENTED_EDGE('',*,*,#196541,.T.); #264064=ORIENTED_EDGE('',*,*,#196542,.F.); #264065=ORIENTED_EDGE('',*,*,#196543,.F.); #264066=ORIENTED_EDGE('',*,*,#196544,.T.); #264067=ORIENTED_EDGE('',*,*,#196543,.T.); #264068=ORIENTED_EDGE('',*,*,#196545,.F.); #264069=ORIENTED_EDGE('',*,*,#196546,.F.); #264070=ORIENTED_EDGE('',*,*,#196547,.T.); #264071=ORIENTED_EDGE('',*,*,#196546,.T.); #264072=ORIENTED_EDGE('',*,*,#196548,.F.); #264073=ORIENTED_EDGE('',*,*,#196549,.F.); #264074=ORIENTED_EDGE('',*,*,#196550,.T.); #264075=ORIENTED_EDGE('',*,*,#196549,.T.); #264076=ORIENTED_EDGE('',*,*,#196551,.F.); #264077=ORIENTED_EDGE('',*,*,#196541,.F.); #264078=ORIENTED_EDGE('',*,*,#196551,.T.); #264079=ORIENTED_EDGE('',*,*,#196548,.T.); #264080=ORIENTED_EDGE('',*,*,#196545,.T.); #264081=ORIENTED_EDGE('',*,*,#196542,.T.); #264082=ORIENTED_EDGE('',*,*,#196524,.T.); #264083=ORIENTED_EDGE('',*,*,#196527,.T.); #264084=ORIENTED_EDGE('',*,*,#196530,.T.); #264085=ORIENTED_EDGE('',*,*,#196533,.T.); #264086=ORIENTED_EDGE('',*,*,#196536,.T.); #264087=ORIENTED_EDGE('',*,*,#196539,.T.); #264088=ORIENTED_EDGE('',*,*,#196550,.F.); #264089=ORIENTED_EDGE('',*,*,#196540,.F.); #264090=ORIENTED_EDGE('',*,*,#196544,.F.); #264091=ORIENTED_EDGE('',*,*,#196547,.F.); #264092=ORIENTED_EDGE('',*,*,#196522,.T.); #264093=ORIENTED_EDGE('',*,*,#196525,.T.); #264094=ORIENTED_EDGE('',*,*,#196528,.T.); #264095=ORIENTED_EDGE('',*,*,#196531,.T.); #264096=ORIENTED_EDGE('',*,*,#196534,.T.); #264097=ORIENTED_EDGE('',*,*,#196537,.T.); #264098=ORIENTED_EDGE('',*,*,#196552,.T.); #264099=ORIENTED_EDGE('',*,*,#196553,.T.); #264100=ORIENTED_EDGE('',*,*,#196554,.F.); #264101=ORIENTED_EDGE('',*,*,#196555,.F.); #264102=ORIENTED_EDGE('',*,*,#196556,.T.); #264103=ORIENTED_EDGE('',*,*,#196555,.T.); #264104=ORIENTED_EDGE('',*,*,#196557,.F.); #264105=ORIENTED_EDGE('',*,*,#196558,.F.); #264106=ORIENTED_EDGE('',*,*,#196559,.T.); #264107=ORIENTED_EDGE('',*,*,#196558,.T.); #264108=ORIENTED_EDGE('',*,*,#196560,.F.); #264109=ORIENTED_EDGE('',*,*,#196561,.F.); #264110=ORIENTED_EDGE('',*,*,#196562,.T.); #264111=ORIENTED_EDGE('',*,*,#196561,.T.); #264112=ORIENTED_EDGE('',*,*,#196563,.F.); #264113=ORIENTED_EDGE('',*,*,#196553,.F.); #264114=ORIENTED_EDGE('',*,*,#196563,.T.); #264115=ORIENTED_EDGE('',*,*,#196560,.T.); #264116=ORIENTED_EDGE('',*,*,#196557,.T.); #264117=ORIENTED_EDGE('',*,*,#196554,.T.); #264118=ORIENTED_EDGE('',*,*,#196562,.F.); #264119=ORIENTED_EDGE('',*,*,#196552,.F.); #264120=ORIENTED_EDGE('',*,*,#196556,.F.); #264121=ORIENTED_EDGE('',*,*,#196559,.F.); #264122=ORIENTED_EDGE('',*,*,#196564,.T.); #264123=ORIENTED_EDGE('',*,*,#196565,.T.); #264124=ORIENTED_EDGE('',*,*,#196566,.F.); #264125=ORIENTED_EDGE('',*,*,#196567,.F.); #264126=ORIENTED_EDGE('',*,*,#196568,.T.); #264127=ORIENTED_EDGE('',*,*,#196567,.T.); #264128=ORIENTED_EDGE('',*,*,#196569,.F.); #264129=ORIENTED_EDGE('',*,*,#196570,.F.); #264130=ORIENTED_EDGE('',*,*,#196571,.T.); #264131=ORIENTED_EDGE('',*,*,#196570,.T.); #264132=ORIENTED_EDGE('',*,*,#196572,.F.); #264133=ORIENTED_EDGE('',*,*,#196573,.F.); #264134=ORIENTED_EDGE('',*,*,#196574,.T.); #264135=ORIENTED_EDGE('',*,*,#196573,.T.); #264136=ORIENTED_EDGE('',*,*,#196575,.F.); #264137=ORIENTED_EDGE('',*,*,#196565,.F.); #264138=ORIENTED_EDGE('',*,*,#196575,.T.); #264139=ORIENTED_EDGE('',*,*,#196572,.T.); #264140=ORIENTED_EDGE('',*,*,#196569,.T.); #264141=ORIENTED_EDGE('',*,*,#196566,.T.); #264142=ORIENTED_EDGE('',*,*,#196574,.F.); #264143=ORIENTED_EDGE('',*,*,#196564,.F.); #264144=ORIENTED_EDGE('',*,*,#196568,.F.); #264145=ORIENTED_EDGE('',*,*,#196571,.F.); #264146=ORIENTED_EDGE('',*,*,#196576,.T.); #264147=ORIENTED_EDGE('',*,*,#196577,.T.); #264148=ORIENTED_EDGE('',*,*,#196578,.F.); #264149=ORIENTED_EDGE('',*,*,#196579,.F.); #264150=ORIENTED_EDGE('',*,*,#196580,.T.); #264151=ORIENTED_EDGE('',*,*,#196579,.T.); #264152=ORIENTED_EDGE('',*,*,#196581,.F.); #264153=ORIENTED_EDGE('',*,*,#196582,.F.); #264154=ORIENTED_EDGE('',*,*,#196583,.T.); #264155=ORIENTED_EDGE('',*,*,#196582,.T.); #264156=ORIENTED_EDGE('',*,*,#196584,.F.); #264157=ORIENTED_EDGE('',*,*,#196585,.F.); #264158=ORIENTED_EDGE('',*,*,#196586,.T.); #264159=ORIENTED_EDGE('',*,*,#196585,.T.); #264160=ORIENTED_EDGE('',*,*,#196587,.F.); #264161=ORIENTED_EDGE('',*,*,#196577,.F.); #264162=ORIENTED_EDGE('',*,*,#196587,.T.); #264163=ORIENTED_EDGE('',*,*,#196584,.T.); #264164=ORIENTED_EDGE('',*,*,#196581,.T.); #264165=ORIENTED_EDGE('',*,*,#196578,.T.); #264166=ORIENTED_EDGE('',*,*,#196586,.F.); #264167=ORIENTED_EDGE('',*,*,#196576,.F.); #264168=ORIENTED_EDGE('',*,*,#196580,.F.); #264169=ORIENTED_EDGE('',*,*,#196583,.F.); #264170=ORIENTED_EDGE('',*,*,#196588,.T.); #264171=ORIENTED_EDGE('',*,*,#196589,.T.); #264172=ORIENTED_EDGE('',*,*,#196590,.F.); #264173=ORIENTED_EDGE('',*,*,#196591,.F.); #264174=ORIENTED_EDGE('',*,*,#196592,.T.); #264175=ORIENTED_EDGE('',*,*,#196591,.T.); #264176=ORIENTED_EDGE('',*,*,#196593,.F.); #264177=ORIENTED_EDGE('',*,*,#196594,.F.); #264178=ORIENTED_EDGE('',*,*,#196595,.T.); #264179=ORIENTED_EDGE('',*,*,#196594,.T.); #264180=ORIENTED_EDGE('',*,*,#196596,.F.); #264181=ORIENTED_EDGE('',*,*,#196597,.F.); #264182=ORIENTED_EDGE('',*,*,#196598,.T.); #264183=ORIENTED_EDGE('',*,*,#196597,.T.); #264184=ORIENTED_EDGE('',*,*,#196599,.F.); #264185=ORIENTED_EDGE('',*,*,#196589,.F.); #264186=ORIENTED_EDGE('',*,*,#196599,.T.); #264187=ORIENTED_EDGE('',*,*,#196596,.T.); #264188=ORIENTED_EDGE('',*,*,#196593,.T.); #264189=ORIENTED_EDGE('',*,*,#196590,.T.); #264190=ORIENTED_EDGE('',*,*,#196598,.F.); #264191=ORIENTED_EDGE('',*,*,#196588,.F.); #264192=ORIENTED_EDGE('',*,*,#196592,.F.); #264193=ORIENTED_EDGE('',*,*,#196595,.F.); #264194=ORIENTED_EDGE('',*,*,#196600,.T.); #264195=ORIENTED_EDGE('',*,*,#196601,.T.); #264196=ORIENTED_EDGE('',*,*,#196602,.F.); #264197=ORIENTED_EDGE('',*,*,#196603,.F.); #264198=ORIENTED_EDGE('',*,*,#196604,.T.); #264199=ORIENTED_EDGE('',*,*,#196603,.T.); #264200=ORIENTED_EDGE('',*,*,#196605,.F.); #264201=ORIENTED_EDGE('',*,*,#196606,.F.); #264202=ORIENTED_EDGE('',*,*,#196607,.T.); #264203=ORIENTED_EDGE('',*,*,#196606,.T.); #264204=ORIENTED_EDGE('',*,*,#196608,.F.); #264205=ORIENTED_EDGE('',*,*,#196609,.F.); #264206=ORIENTED_EDGE('',*,*,#196610,.T.); #264207=ORIENTED_EDGE('',*,*,#196609,.T.); #264208=ORIENTED_EDGE('',*,*,#196611,.F.); #264209=ORIENTED_EDGE('',*,*,#196601,.F.); #264210=ORIENTED_EDGE('',*,*,#196611,.T.); #264211=ORIENTED_EDGE('',*,*,#196608,.T.); #264212=ORIENTED_EDGE('',*,*,#196605,.T.); #264213=ORIENTED_EDGE('',*,*,#196602,.T.); #264214=ORIENTED_EDGE('',*,*,#196610,.F.); #264215=ORIENTED_EDGE('',*,*,#196600,.F.); #264216=ORIENTED_EDGE('',*,*,#196604,.F.); #264217=ORIENTED_EDGE('',*,*,#196607,.F.); #264218=ORIENTED_EDGE('',*,*,#196612,.T.); #264219=ORIENTED_EDGE('',*,*,#196613,.T.); #264220=ORIENTED_EDGE('',*,*,#196614,.F.); #264221=ORIENTED_EDGE('',*,*,#196615,.F.); #264222=ORIENTED_EDGE('',*,*,#196616,.T.); #264223=ORIENTED_EDGE('',*,*,#196615,.T.); #264224=ORIENTED_EDGE('',*,*,#196617,.F.); #264225=ORIENTED_EDGE('',*,*,#196618,.F.); #264226=ORIENTED_EDGE('',*,*,#196619,.T.); #264227=ORIENTED_EDGE('',*,*,#196618,.T.); #264228=ORIENTED_EDGE('',*,*,#196620,.F.); #264229=ORIENTED_EDGE('',*,*,#196621,.F.); #264230=ORIENTED_EDGE('',*,*,#196622,.T.); #264231=ORIENTED_EDGE('',*,*,#196621,.T.); #264232=ORIENTED_EDGE('',*,*,#196623,.F.); #264233=ORIENTED_EDGE('',*,*,#196613,.F.); #264234=ORIENTED_EDGE('',*,*,#196623,.T.); #264235=ORIENTED_EDGE('',*,*,#196620,.T.); #264236=ORIENTED_EDGE('',*,*,#196617,.T.); #264237=ORIENTED_EDGE('',*,*,#196614,.T.); #264238=ORIENTED_EDGE('',*,*,#196622,.F.); #264239=ORIENTED_EDGE('',*,*,#196612,.F.); #264240=ORIENTED_EDGE('',*,*,#196616,.F.); #264241=ORIENTED_EDGE('',*,*,#196619,.F.); #264242=ORIENTED_EDGE('',*,*,#196624,.T.); #264243=ORIENTED_EDGE('',*,*,#196625,.T.); #264244=ORIENTED_EDGE('',*,*,#196626,.F.); #264245=ORIENTED_EDGE('',*,*,#196627,.F.); #264246=ORIENTED_EDGE('',*,*,#196628,.T.); #264247=ORIENTED_EDGE('',*,*,#196627,.T.); #264248=ORIENTED_EDGE('',*,*,#196629,.F.); #264249=ORIENTED_EDGE('',*,*,#196630,.F.); #264250=ORIENTED_EDGE('',*,*,#196631,.T.); #264251=ORIENTED_EDGE('',*,*,#196630,.T.); #264252=ORIENTED_EDGE('',*,*,#196632,.F.); #264253=ORIENTED_EDGE('',*,*,#196633,.F.); #264254=ORIENTED_EDGE('',*,*,#196634,.T.); #264255=ORIENTED_EDGE('',*,*,#196633,.T.); #264256=ORIENTED_EDGE('',*,*,#196635,.F.); #264257=ORIENTED_EDGE('',*,*,#196625,.F.); #264258=ORIENTED_EDGE('',*,*,#196635,.T.); #264259=ORIENTED_EDGE('',*,*,#196632,.T.); #264260=ORIENTED_EDGE('',*,*,#196629,.T.); #264261=ORIENTED_EDGE('',*,*,#196626,.T.); #264262=ORIENTED_EDGE('',*,*,#196634,.F.); #264263=ORIENTED_EDGE('',*,*,#196624,.F.); #264264=ORIENTED_EDGE('',*,*,#196628,.F.); #264265=ORIENTED_EDGE('',*,*,#196631,.F.); #264266=ORIENTED_EDGE('',*,*,#196636,.T.); #264267=ORIENTED_EDGE('',*,*,#196637,.T.); #264268=ORIENTED_EDGE('',*,*,#196638,.F.); #264269=ORIENTED_EDGE('',*,*,#196639,.F.); #264270=ORIENTED_EDGE('',*,*,#196640,.T.); #264271=ORIENTED_EDGE('',*,*,#196639,.T.); #264272=ORIENTED_EDGE('',*,*,#196641,.F.); #264273=ORIENTED_EDGE('',*,*,#196642,.F.); #264274=ORIENTED_EDGE('',*,*,#196643,.T.); #264275=ORIENTED_EDGE('',*,*,#196642,.T.); #264276=ORIENTED_EDGE('',*,*,#196644,.F.); #264277=ORIENTED_EDGE('',*,*,#196645,.F.); #264278=ORIENTED_EDGE('',*,*,#196646,.T.); #264279=ORIENTED_EDGE('',*,*,#196645,.T.); #264280=ORIENTED_EDGE('',*,*,#196647,.F.); #264281=ORIENTED_EDGE('',*,*,#196637,.F.); #264282=ORIENTED_EDGE('',*,*,#196647,.T.); #264283=ORIENTED_EDGE('',*,*,#196644,.T.); #264284=ORIENTED_EDGE('',*,*,#196641,.T.); #264285=ORIENTED_EDGE('',*,*,#196638,.T.); #264286=ORIENTED_EDGE('',*,*,#196646,.F.); #264287=ORIENTED_EDGE('',*,*,#196636,.F.); #264288=ORIENTED_EDGE('',*,*,#196640,.F.); #264289=ORIENTED_EDGE('',*,*,#196643,.F.); #264290=ORIENTED_EDGE('',*,*,#196648,.F.); #264291=ORIENTED_EDGE('',*,*,#196649,.T.); #264292=ORIENTED_EDGE('',*,*,#196650,.F.); #264293=ORIENTED_EDGE('',*,*,#196649,.F.); #264294=ORIENTED_EDGE('',*,*,#196651,.T.); #264295=ORIENTED_EDGE('',*,*,#196652,.T.); #264296=ORIENTED_EDGE('',*,*,#196653,.F.); #264297=ORIENTED_EDGE('',*,*,#196654,.F.); #264298=ORIENTED_EDGE('',*,*,#196655,.T.); #264299=ORIENTED_EDGE('',*,*,#196654,.T.); #264300=ORIENTED_EDGE('',*,*,#196656,.F.); #264301=ORIENTED_EDGE('',*,*,#196657,.F.); #264302=ORIENTED_EDGE('',*,*,#196658,.T.); #264303=ORIENTED_EDGE('',*,*,#196657,.T.); #264304=ORIENTED_EDGE('',*,*,#196659,.F.); #264305=ORIENTED_EDGE('',*,*,#196652,.F.); #264306=ORIENTED_EDGE('',*,*,#196659,.T.); #264307=ORIENTED_EDGE('',*,*,#196656,.T.); #264308=ORIENTED_EDGE('',*,*,#196653,.T.); #264309=ORIENTED_EDGE('',*,*,#196650,.T.); #264310=ORIENTED_EDGE('',*,*,#196658,.F.); #264311=ORIENTED_EDGE('',*,*,#196651,.F.); #264312=ORIENTED_EDGE('',*,*,#196655,.F.); #264313=ORIENTED_EDGE('',*,*,#196648,.T.); #264314=ORIENTED_EDGE('',*,*,#196660,.T.); #264315=ORIENTED_EDGE('',*,*,#196661,.T.); #264316=ORIENTED_EDGE('',*,*,#196662,.F.); #264317=ORIENTED_EDGE('',*,*,#196663,.F.); #264318=ORIENTED_EDGE('',*,*,#196664,.T.); #264319=ORIENTED_EDGE('',*,*,#196663,.T.); #264320=ORIENTED_EDGE('',*,*,#196665,.F.); #264321=ORIENTED_EDGE('',*,*,#196666,.F.); #264322=ORIENTED_EDGE('',*,*,#196667,.T.); #264323=ORIENTED_EDGE('',*,*,#196666,.T.); #264324=ORIENTED_EDGE('',*,*,#196668,.F.); #264325=ORIENTED_EDGE('',*,*,#196669,.F.); #264326=ORIENTED_EDGE('',*,*,#196670,.T.); #264327=ORIENTED_EDGE('',*,*,#196669,.T.); #264328=ORIENTED_EDGE('',*,*,#196671,.F.); #264329=ORIENTED_EDGE('',*,*,#196661,.F.); #264330=ORIENTED_EDGE('',*,*,#196671,.T.); #264331=ORIENTED_EDGE('',*,*,#196668,.T.); #264332=ORIENTED_EDGE('',*,*,#196665,.T.); #264333=ORIENTED_EDGE('',*,*,#196662,.T.); #264334=ORIENTED_EDGE('',*,*,#196670,.F.); #264335=ORIENTED_EDGE('',*,*,#196660,.F.); #264336=ORIENTED_EDGE('',*,*,#196664,.F.); #264337=ORIENTED_EDGE('',*,*,#196667,.F.); #264338=ORIENTED_EDGE('',*,*,#196672,.T.); #264339=ORIENTED_EDGE('',*,*,#196673,.T.); #264340=ORIENTED_EDGE('',*,*,#196674,.F.); #264341=ORIENTED_EDGE('',*,*,#196675,.F.); #264342=ORIENTED_EDGE('',*,*,#196676,.T.); #264343=ORIENTED_EDGE('',*,*,#196675,.T.); #264344=ORIENTED_EDGE('',*,*,#196677,.F.); #264345=ORIENTED_EDGE('',*,*,#196678,.F.); #264346=ORIENTED_EDGE('',*,*,#196679,.T.); #264347=ORIENTED_EDGE('',*,*,#196678,.T.); #264348=ORIENTED_EDGE('',*,*,#196680,.F.); #264349=ORIENTED_EDGE('',*,*,#196681,.F.); #264350=ORIENTED_EDGE('',*,*,#196682,.T.); #264351=ORIENTED_EDGE('',*,*,#196681,.T.); #264352=ORIENTED_EDGE('',*,*,#196683,.F.); #264353=ORIENTED_EDGE('',*,*,#196673,.F.); #264354=ORIENTED_EDGE('',*,*,#196683,.T.); #264355=ORIENTED_EDGE('',*,*,#196680,.T.); #264356=ORIENTED_EDGE('',*,*,#196677,.T.); #264357=ORIENTED_EDGE('',*,*,#196674,.T.); #264358=ORIENTED_EDGE('',*,*,#196682,.F.); #264359=ORIENTED_EDGE('',*,*,#196672,.F.); #264360=ORIENTED_EDGE('',*,*,#196676,.F.); #264361=ORIENTED_EDGE('',*,*,#196679,.F.); #264362=ORIENTED_EDGE('',*,*,#196684,.F.); #264363=ORIENTED_EDGE('',*,*,#196685,.T.); #264364=ORIENTED_EDGE('',*,*,#196686,.F.); #264365=ORIENTED_EDGE('',*,*,#196685,.F.); #264366=ORIENTED_EDGE('',*,*,#196687,.F.); #264367=ORIENTED_EDGE('',*,*,#196688,.T.); #264368=ORIENTED_EDGE('',*,*,#196689,.F.); #264369=ORIENTED_EDGE('',*,*,#196688,.F.); #264370=ORIENTED_EDGE('',*,*,#196690,.T.); #264371=ORIENTED_EDGE('',*,*,#196691,.T.); #264372=ORIENTED_EDGE('',*,*,#196692,.F.); #264373=ORIENTED_EDGE('',*,*,#196693,.F.); #264374=ORIENTED_EDGE('',*,*,#196694,.T.); #264375=ORIENTED_EDGE('',*,*,#196693,.T.); #264376=ORIENTED_EDGE('',*,*,#196695,.F.); #264377=ORIENTED_EDGE('',*,*,#196696,.F.); #264378=ORIENTED_EDGE('',*,*,#196697,.T.); #264379=ORIENTED_EDGE('',*,*,#196696,.T.); #264380=ORIENTED_EDGE('',*,*,#196698,.F.); #264381=ORIENTED_EDGE('',*,*,#196699,.F.); #264382=ORIENTED_EDGE('',*,*,#196700,.T.); #264383=ORIENTED_EDGE('',*,*,#196699,.T.); #264384=ORIENTED_EDGE('',*,*,#196701,.F.); #264385=ORIENTED_EDGE('',*,*,#196691,.F.); #264386=ORIENTED_EDGE('',*,*,#196701,.T.); #264387=ORIENTED_EDGE('',*,*,#196698,.T.); #264388=ORIENTED_EDGE('',*,*,#196695,.T.); #264389=ORIENTED_EDGE('',*,*,#196692,.T.); #264390=ORIENTED_EDGE('',*,*,#196686,.T.); #264391=ORIENTED_EDGE('',*,*,#196689,.T.); #264392=ORIENTED_EDGE('',*,*,#196700,.F.); #264393=ORIENTED_EDGE('',*,*,#196690,.F.); #264394=ORIENTED_EDGE('',*,*,#196694,.F.); #264395=ORIENTED_EDGE('',*,*,#196697,.F.); #264396=ORIENTED_EDGE('',*,*,#196684,.T.); #264397=ORIENTED_EDGE('',*,*,#196687,.T.); #264398=ORIENTED_EDGE('',*,*,#196702,.T.); #264399=ORIENTED_EDGE('',*,*,#196703,.T.); #264400=ORIENTED_EDGE('',*,*,#196704,.F.); #264401=ORIENTED_EDGE('',*,*,#196705,.F.); #264402=ORIENTED_EDGE('',*,*,#196706,.T.); #264403=ORIENTED_EDGE('',*,*,#196705,.T.); #264404=ORIENTED_EDGE('',*,*,#196707,.F.); #264405=ORIENTED_EDGE('',*,*,#196708,.F.); #264406=ORIENTED_EDGE('',*,*,#196709,.T.); #264407=ORIENTED_EDGE('',*,*,#196708,.T.); #264408=ORIENTED_EDGE('',*,*,#196710,.F.); #264409=ORIENTED_EDGE('',*,*,#196711,.F.); #264410=ORIENTED_EDGE('',*,*,#196712,.T.); #264411=ORIENTED_EDGE('',*,*,#196711,.T.); #264412=ORIENTED_EDGE('',*,*,#196713,.F.); #264413=ORIENTED_EDGE('',*,*,#196703,.F.); #264414=ORIENTED_EDGE('',*,*,#196713,.T.); #264415=ORIENTED_EDGE('',*,*,#196710,.T.); #264416=ORIENTED_EDGE('',*,*,#196707,.T.); #264417=ORIENTED_EDGE('',*,*,#196704,.T.); #264418=ORIENTED_EDGE('',*,*,#196712,.F.); #264419=ORIENTED_EDGE('',*,*,#196702,.F.); #264420=ORIENTED_EDGE('',*,*,#196706,.F.); #264421=ORIENTED_EDGE('',*,*,#196709,.F.); #264422=ORIENTED_EDGE('',*,*,#196714,.F.); #264423=ORIENTED_EDGE('',*,*,#196715,.T.); #264424=ORIENTED_EDGE('',*,*,#196716,.F.); #264425=ORIENTED_EDGE('',*,*,#196715,.F.); #264426=ORIENTED_EDGE('',*,*,#196717,.F.); #264427=ORIENTED_EDGE('',*,*,#196718,.T.); #264428=ORIENTED_EDGE('',*,*,#196719,.F.); #264429=ORIENTED_EDGE('',*,*,#196718,.F.); #264430=ORIENTED_EDGE('',*,*,#196720,.T.); #264431=ORIENTED_EDGE('',*,*,#196721,.T.); #264432=ORIENTED_EDGE('',*,*,#196722,.F.); #264433=ORIENTED_EDGE('',*,*,#196723,.F.); #264434=ORIENTED_EDGE('',*,*,#196724,.T.); #264435=ORIENTED_EDGE('',*,*,#196723,.T.); #264436=ORIENTED_EDGE('',*,*,#196725,.F.); #264437=ORIENTED_EDGE('',*,*,#196726,.F.); #264438=ORIENTED_EDGE('',*,*,#196727,.T.); #264439=ORIENTED_EDGE('',*,*,#196726,.T.); #264440=ORIENTED_EDGE('',*,*,#196728,.F.); #264441=ORIENTED_EDGE('',*,*,#196729,.F.); #264442=ORIENTED_EDGE('',*,*,#196730,.T.); #264443=ORIENTED_EDGE('',*,*,#196729,.T.); #264444=ORIENTED_EDGE('',*,*,#196731,.F.); #264445=ORIENTED_EDGE('',*,*,#196721,.F.); #264446=ORIENTED_EDGE('',*,*,#196731,.T.); #264447=ORIENTED_EDGE('',*,*,#196728,.T.); #264448=ORIENTED_EDGE('',*,*,#196725,.T.); #264449=ORIENTED_EDGE('',*,*,#196722,.T.); #264450=ORIENTED_EDGE('',*,*,#196716,.T.); #264451=ORIENTED_EDGE('',*,*,#196719,.T.); #264452=ORIENTED_EDGE('',*,*,#196730,.F.); #264453=ORIENTED_EDGE('',*,*,#196720,.F.); #264454=ORIENTED_EDGE('',*,*,#196724,.F.); #264455=ORIENTED_EDGE('',*,*,#196727,.F.); #264456=ORIENTED_EDGE('',*,*,#196714,.T.); #264457=ORIENTED_EDGE('',*,*,#196717,.T.); #264458=ORIENTED_EDGE('',*,*,#196732,.T.); #264459=ORIENTED_EDGE('',*,*,#196733,.T.); #264460=ORIENTED_EDGE('',*,*,#196734,.F.); #264461=ORIENTED_EDGE('',*,*,#196735,.F.); #264462=ORIENTED_EDGE('',*,*,#196736,.T.); #264463=ORIENTED_EDGE('',*,*,#196735,.T.); #264464=ORIENTED_EDGE('',*,*,#196737,.F.); #264465=ORIENTED_EDGE('',*,*,#196738,.F.); #264466=ORIENTED_EDGE('',*,*,#196739,.T.); #264467=ORIENTED_EDGE('',*,*,#196738,.T.); #264468=ORIENTED_EDGE('',*,*,#196740,.F.); #264469=ORIENTED_EDGE('',*,*,#196741,.F.); #264470=ORIENTED_EDGE('',*,*,#196742,.T.); #264471=ORIENTED_EDGE('',*,*,#196741,.T.); #264472=ORIENTED_EDGE('',*,*,#196743,.F.); #264473=ORIENTED_EDGE('',*,*,#196733,.F.); #264474=ORIENTED_EDGE('',*,*,#196743,.T.); #264475=ORIENTED_EDGE('',*,*,#196740,.T.); #264476=ORIENTED_EDGE('',*,*,#196737,.T.); #264477=ORIENTED_EDGE('',*,*,#196734,.T.); #264478=ORIENTED_EDGE('',*,*,#196742,.F.); #264479=ORIENTED_EDGE('',*,*,#196732,.F.); #264480=ORIENTED_EDGE('',*,*,#196736,.F.); #264481=ORIENTED_EDGE('',*,*,#196739,.F.); #264482=ORIENTED_EDGE('',*,*,#196744,.F.); #264483=ORIENTED_EDGE('',*,*,#196745,.T.); #264484=ORIENTED_EDGE('',*,*,#196746,.F.); #264485=ORIENTED_EDGE('',*,*,#196745,.F.); #264486=ORIENTED_EDGE('',*,*,#196747,.F.); #264487=ORIENTED_EDGE('',*,*,#196748,.T.); #264488=ORIENTED_EDGE('',*,*,#196749,.F.); #264489=ORIENTED_EDGE('',*,*,#196748,.F.); #264490=ORIENTED_EDGE('',*,*,#196750,.T.); #264491=ORIENTED_EDGE('',*,*,#196751,.T.); #264492=ORIENTED_EDGE('',*,*,#196752,.F.); #264493=ORIENTED_EDGE('',*,*,#196753,.F.); #264494=ORIENTED_EDGE('',*,*,#196754,.T.); #264495=ORIENTED_EDGE('',*,*,#196753,.T.); #264496=ORIENTED_EDGE('',*,*,#196755,.F.); #264497=ORIENTED_EDGE('',*,*,#196756,.F.); #264498=ORIENTED_EDGE('',*,*,#196757,.T.); #264499=ORIENTED_EDGE('',*,*,#196756,.T.); #264500=ORIENTED_EDGE('',*,*,#196758,.F.); #264501=ORIENTED_EDGE('',*,*,#196759,.F.); #264502=ORIENTED_EDGE('',*,*,#196760,.T.); #264503=ORIENTED_EDGE('',*,*,#196759,.T.); #264504=ORIENTED_EDGE('',*,*,#196761,.F.); #264505=ORIENTED_EDGE('',*,*,#196751,.F.); #264506=ORIENTED_EDGE('',*,*,#196761,.T.); #264507=ORIENTED_EDGE('',*,*,#196758,.T.); #264508=ORIENTED_EDGE('',*,*,#196755,.T.); #264509=ORIENTED_EDGE('',*,*,#196752,.T.); #264510=ORIENTED_EDGE('',*,*,#196746,.T.); #264511=ORIENTED_EDGE('',*,*,#196749,.T.); #264512=ORIENTED_EDGE('',*,*,#196760,.F.); #264513=ORIENTED_EDGE('',*,*,#196750,.F.); #264514=ORIENTED_EDGE('',*,*,#196754,.F.); #264515=ORIENTED_EDGE('',*,*,#196757,.F.); #264516=ORIENTED_EDGE('',*,*,#196744,.T.); #264517=ORIENTED_EDGE('',*,*,#196747,.T.); #264518=ORIENTED_EDGE('',*,*,#196762,.T.); #264519=ORIENTED_EDGE('',*,*,#196763,.T.); #264520=ORIENTED_EDGE('',*,*,#196764,.F.); #264521=ORIENTED_EDGE('',*,*,#196765,.F.); #264522=ORIENTED_EDGE('',*,*,#196766,.T.); #264523=ORIENTED_EDGE('',*,*,#196765,.T.); #264524=ORIENTED_EDGE('',*,*,#196767,.F.); #264525=ORIENTED_EDGE('',*,*,#196768,.F.); #264526=ORIENTED_EDGE('',*,*,#196769,.T.); #264527=ORIENTED_EDGE('',*,*,#196768,.T.); #264528=ORIENTED_EDGE('',*,*,#196770,.F.); #264529=ORIENTED_EDGE('',*,*,#196771,.F.); #264530=ORIENTED_EDGE('',*,*,#196772,.T.); #264531=ORIENTED_EDGE('',*,*,#196771,.T.); #264532=ORIENTED_EDGE('',*,*,#196773,.F.); #264533=ORIENTED_EDGE('',*,*,#196763,.F.); #264534=ORIENTED_EDGE('',*,*,#196773,.T.); #264535=ORIENTED_EDGE('',*,*,#196770,.T.); #264536=ORIENTED_EDGE('',*,*,#196767,.T.); #264537=ORIENTED_EDGE('',*,*,#196764,.T.); #264538=ORIENTED_EDGE('',*,*,#196772,.F.); #264539=ORIENTED_EDGE('',*,*,#196762,.F.); #264540=ORIENTED_EDGE('',*,*,#196766,.F.); #264541=ORIENTED_EDGE('',*,*,#196769,.F.); #264542=ORIENTED_EDGE('',*,*,#196774,.F.); #264543=ORIENTED_EDGE('',*,*,#196775,.T.); #264544=ORIENTED_EDGE('',*,*,#196776,.F.); #264545=ORIENTED_EDGE('',*,*,#196775,.F.); #264546=ORIENTED_EDGE('',*,*,#196777,.F.); #264547=ORIENTED_EDGE('',*,*,#196778,.T.); #264548=ORIENTED_EDGE('',*,*,#196779,.F.); #264549=ORIENTED_EDGE('',*,*,#196778,.F.); #264550=ORIENTED_EDGE('',*,*,#196780,.T.); #264551=ORIENTED_EDGE('',*,*,#196781,.T.); #264552=ORIENTED_EDGE('',*,*,#196782,.F.); #264553=ORIENTED_EDGE('',*,*,#196783,.F.); #264554=ORIENTED_EDGE('',*,*,#196784,.T.); #264555=ORIENTED_EDGE('',*,*,#196783,.T.); #264556=ORIENTED_EDGE('',*,*,#196785,.F.); #264557=ORIENTED_EDGE('',*,*,#196786,.F.); #264558=ORIENTED_EDGE('',*,*,#196787,.T.); #264559=ORIENTED_EDGE('',*,*,#196786,.T.); #264560=ORIENTED_EDGE('',*,*,#196788,.F.); #264561=ORIENTED_EDGE('',*,*,#196789,.F.); #264562=ORIENTED_EDGE('',*,*,#196790,.T.); #264563=ORIENTED_EDGE('',*,*,#196789,.T.); #264564=ORIENTED_EDGE('',*,*,#196791,.F.); #264565=ORIENTED_EDGE('',*,*,#196781,.F.); #264566=ORIENTED_EDGE('',*,*,#196791,.T.); #264567=ORIENTED_EDGE('',*,*,#196788,.T.); #264568=ORIENTED_EDGE('',*,*,#196785,.T.); #264569=ORIENTED_EDGE('',*,*,#196782,.T.); #264570=ORIENTED_EDGE('',*,*,#196776,.T.); #264571=ORIENTED_EDGE('',*,*,#196779,.T.); #264572=ORIENTED_EDGE('',*,*,#196790,.F.); #264573=ORIENTED_EDGE('',*,*,#196780,.F.); #264574=ORIENTED_EDGE('',*,*,#196784,.F.); #264575=ORIENTED_EDGE('',*,*,#196787,.F.); #264576=ORIENTED_EDGE('',*,*,#196774,.T.); #264577=ORIENTED_EDGE('',*,*,#196777,.T.); #264578=ORIENTED_EDGE('',*,*,#196792,.T.); #264579=ORIENTED_EDGE('',*,*,#196793,.T.); #264580=ORIENTED_EDGE('',*,*,#196794,.F.); #264581=ORIENTED_EDGE('',*,*,#196795,.F.); #264582=ORIENTED_EDGE('',*,*,#196796,.T.); #264583=ORIENTED_EDGE('',*,*,#196795,.T.); #264584=ORIENTED_EDGE('',*,*,#196797,.F.); #264585=ORIENTED_EDGE('',*,*,#196798,.F.); #264586=ORIENTED_EDGE('',*,*,#196799,.T.); #264587=ORIENTED_EDGE('',*,*,#196798,.T.); #264588=ORIENTED_EDGE('',*,*,#196800,.F.); #264589=ORIENTED_EDGE('',*,*,#196801,.F.); #264590=ORIENTED_EDGE('',*,*,#196802,.T.); #264591=ORIENTED_EDGE('',*,*,#196801,.T.); #264592=ORIENTED_EDGE('',*,*,#196803,.F.); #264593=ORIENTED_EDGE('',*,*,#196793,.F.); #264594=ORIENTED_EDGE('',*,*,#196803,.T.); #264595=ORIENTED_EDGE('',*,*,#196800,.T.); #264596=ORIENTED_EDGE('',*,*,#196797,.T.); #264597=ORIENTED_EDGE('',*,*,#196794,.T.); #264598=ORIENTED_EDGE('',*,*,#196802,.F.); #264599=ORIENTED_EDGE('',*,*,#196792,.F.); #264600=ORIENTED_EDGE('',*,*,#196796,.F.); #264601=ORIENTED_EDGE('',*,*,#196799,.F.); #264602=ORIENTED_EDGE('',*,*,#196804,.T.); #264603=ORIENTED_EDGE('',*,*,#196805,.T.); #264604=ORIENTED_EDGE('',*,*,#196806,.F.); #264605=ORIENTED_EDGE('',*,*,#196807,.F.); #264606=ORIENTED_EDGE('',*,*,#196808,.T.); #264607=ORIENTED_EDGE('',*,*,#196807,.T.); #264608=ORIENTED_EDGE('',*,*,#196809,.F.); #264609=ORIENTED_EDGE('',*,*,#196810,.F.); #264610=ORIENTED_EDGE('',*,*,#196811,.T.); #264611=ORIENTED_EDGE('',*,*,#196810,.T.); #264612=ORIENTED_EDGE('',*,*,#196812,.F.); #264613=ORIENTED_EDGE('',*,*,#196813,.F.); #264614=ORIENTED_EDGE('',*,*,#196814,.T.); #264615=ORIENTED_EDGE('',*,*,#196813,.T.); #264616=ORIENTED_EDGE('',*,*,#196815,.F.); #264617=ORIENTED_EDGE('',*,*,#196816,.F.); #264618=ORIENTED_EDGE('',*,*,#196817,.T.); #264619=ORIENTED_EDGE('',*,*,#196816,.T.); #264620=ORIENTED_EDGE('',*,*,#196818,.F.); #264621=ORIENTED_EDGE('',*,*,#196819,.F.); #264622=ORIENTED_EDGE('',*,*,#196820,.T.); #264623=ORIENTED_EDGE('',*,*,#196819,.T.); #264624=ORIENTED_EDGE('',*,*,#196821,.F.); #264625=ORIENTED_EDGE('',*,*,#196822,.F.); #264626=ORIENTED_EDGE('',*,*,#196823,.T.); #264627=ORIENTED_EDGE('',*,*,#196822,.T.); #264628=ORIENTED_EDGE('',*,*,#196824,.F.); #264629=ORIENTED_EDGE('',*,*,#196825,.F.); #264630=ORIENTED_EDGE('',*,*,#196826,.T.); #264631=ORIENTED_EDGE('',*,*,#196825,.T.); #264632=ORIENTED_EDGE('',*,*,#196827,.F.); #264633=ORIENTED_EDGE('',*,*,#196828,.F.); #264634=ORIENTED_EDGE('',*,*,#196829,.T.); #264635=ORIENTED_EDGE('',*,*,#196828,.T.); #264636=ORIENTED_EDGE('',*,*,#196830,.F.); #264637=ORIENTED_EDGE('',*,*,#196831,.F.); #264638=ORIENTED_EDGE('',*,*,#196832,.T.); #264639=ORIENTED_EDGE('',*,*,#196831,.T.); #264640=ORIENTED_EDGE('',*,*,#196833,.F.); #264641=ORIENTED_EDGE('',*,*,#196834,.F.); #264642=ORIENTED_EDGE('',*,*,#196835,.T.); #264643=ORIENTED_EDGE('',*,*,#196834,.T.); #264644=ORIENTED_EDGE('',*,*,#196836,.F.); #264645=ORIENTED_EDGE('',*,*,#196837,.F.); #264646=ORIENTED_EDGE('',*,*,#196838,.T.); #264647=ORIENTED_EDGE('',*,*,#196837,.T.); #264648=ORIENTED_EDGE('',*,*,#196839,.F.); #264649=ORIENTED_EDGE('',*,*,#196840,.F.); #264650=ORIENTED_EDGE('',*,*,#196841,.T.); #264651=ORIENTED_EDGE('',*,*,#196840,.T.); #264652=ORIENTED_EDGE('',*,*,#196842,.F.); #264653=ORIENTED_EDGE('',*,*,#196843,.F.); #264654=ORIENTED_EDGE('',*,*,#196844,.T.); #264655=ORIENTED_EDGE('',*,*,#196843,.T.); #264656=ORIENTED_EDGE('',*,*,#196845,.F.); #264657=ORIENTED_EDGE('',*,*,#196846,.F.); #264658=ORIENTED_EDGE('',*,*,#196847,.T.); #264659=ORIENTED_EDGE('',*,*,#196846,.T.); #264660=ORIENTED_EDGE('',*,*,#196848,.F.); #264661=ORIENTED_EDGE('',*,*,#196849,.F.); #264662=ORIENTED_EDGE('',*,*,#196850,.T.); #264663=ORIENTED_EDGE('',*,*,#196849,.T.); #264664=ORIENTED_EDGE('',*,*,#196851,.F.); #264665=ORIENTED_EDGE('',*,*,#196852,.F.); #264666=ORIENTED_EDGE('',*,*,#196853,.T.); #264667=ORIENTED_EDGE('',*,*,#196852,.T.); #264668=ORIENTED_EDGE('',*,*,#196854,.F.); #264669=ORIENTED_EDGE('',*,*,#196855,.F.); #264670=ORIENTED_EDGE('',*,*,#196856,.T.); #264671=ORIENTED_EDGE('',*,*,#196855,.T.); #264672=ORIENTED_EDGE('',*,*,#196857,.F.); #264673=ORIENTED_EDGE('',*,*,#196858,.F.); #264674=ORIENTED_EDGE('',*,*,#196859,.T.); #264675=ORIENTED_EDGE('',*,*,#196858,.T.); #264676=ORIENTED_EDGE('',*,*,#196860,.F.); #264677=ORIENTED_EDGE('',*,*,#196861,.F.); #264678=ORIENTED_EDGE('',*,*,#196862,.T.); #264679=ORIENTED_EDGE('',*,*,#196861,.T.); #264680=ORIENTED_EDGE('',*,*,#196863,.F.); #264681=ORIENTED_EDGE('',*,*,#196864,.F.); #264682=ORIENTED_EDGE('',*,*,#196865,.T.); #264683=ORIENTED_EDGE('',*,*,#196864,.T.); #264684=ORIENTED_EDGE('',*,*,#196866,.F.); #264685=ORIENTED_EDGE('',*,*,#196867,.F.); #264686=ORIENTED_EDGE('',*,*,#196868,.T.); #264687=ORIENTED_EDGE('',*,*,#196867,.T.); #264688=ORIENTED_EDGE('',*,*,#196869,.F.); #264689=ORIENTED_EDGE('',*,*,#196870,.F.); #264690=ORIENTED_EDGE('',*,*,#196871,.T.); #264691=ORIENTED_EDGE('',*,*,#196870,.T.); #264692=ORIENTED_EDGE('',*,*,#196872,.F.); #264693=ORIENTED_EDGE('',*,*,#196873,.F.); #264694=ORIENTED_EDGE('',*,*,#196874,.T.); #264695=ORIENTED_EDGE('',*,*,#196873,.T.); #264696=ORIENTED_EDGE('',*,*,#196875,.F.); #264697=ORIENTED_EDGE('',*,*,#196876,.F.); #264698=ORIENTED_EDGE('',*,*,#196877,.T.); #264699=ORIENTED_EDGE('',*,*,#196876,.T.); #264700=ORIENTED_EDGE('',*,*,#196878,.F.); #264701=ORIENTED_EDGE('',*,*,#196879,.F.); #264702=ORIENTED_EDGE('',*,*,#196880,.T.); #264703=ORIENTED_EDGE('',*,*,#196879,.T.); #264704=ORIENTED_EDGE('',*,*,#196881,.F.); #264705=ORIENTED_EDGE('',*,*,#196882,.F.); #264706=ORIENTED_EDGE('',*,*,#196883,.T.); #264707=ORIENTED_EDGE('',*,*,#196882,.T.); #264708=ORIENTED_EDGE('',*,*,#196884,.F.); #264709=ORIENTED_EDGE('',*,*,#196885,.F.); #264710=ORIENTED_EDGE('',*,*,#196886,.T.); #264711=ORIENTED_EDGE('',*,*,#196885,.T.); #264712=ORIENTED_EDGE('',*,*,#196887,.F.); #264713=ORIENTED_EDGE('',*,*,#196888,.F.); #264714=ORIENTED_EDGE('',*,*,#196889,.T.); #264715=ORIENTED_EDGE('',*,*,#196888,.T.); #264716=ORIENTED_EDGE('',*,*,#196890,.F.); #264717=ORIENTED_EDGE('',*,*,#196891,.F.); #264718=ORIENTED_EDGE('',*,*,#196892,.T.); #264719=ORIENTED_EDGE('',*,*,#196891,.T.); #264720=ORIENTED_EDGE('',*,*,#196893,.F.); #264721=ORIENTED_EDGE('',*,*,#196894,.F.); #264722=ORIENTED_EDGE('',*,*,#196895,.T.); #264723=ORIENTED_EDGE('',*,*,#196894,.T.); #264724=ORIENTED_EDGE('',*,*,#196896,.F.); #264725=ORIENTED_EDGE('',*,*,#196897,.F.); #264726=ORIENTED_EDGE('',*,*,#196898,.T.); #264727=ORIENTED_EDGE('',*,*,#196897,.T.); #264728=ORIENTED_EDGE('',*,*,#196899,.F.); #264729=ORIENTED_EDGE('',*,*,#196900,.F.); #264730=ORIENTED_EDGE('',*,*,#196901,.T.); #264731=ORIENTED_EDGE('',*,*,#196900,.T.); #264732=ORIENTED_EDGE('',*,*,#196902,.F.); #264733=ORIENTED_EDGE('',*,*,#196903,.F.); #264734=ORIENTED_EDGE('',*,*,#196904,.T.); #264735=ORIENTED_EDGE('',*,*,#196903,.T.); #264736=ORIENTED_EDGE('',*,*,#196905,.F.); #264737=ORIENTED_EDGE('',*,*,#196906,.F.); #264738=ORIENTED_EDGE('',*,*,#196907,.T.); #264739=ORIENTED_EDGE('',*,*,#196906,.T.); #264740=ORIENTED_EDGE('',*,*,#196908,.F.); #264741=ORIENTED_EDGE('',*,*,#196909,.F.); #264742=ORIENTED_EDGE('',*,*,#196910,.T.); #264743=ORIENTED_EDGE('',*,*,#196909,.T.); #264744=ORIENTED_EDGE('',*,*,#196911,.F.); #264745=ORIENTED_EDGE('',*,*,#196912,.F.); #264746=ORIENTED_EDGE('',*,*,#196913,.T.); #264747=ORIENTED_EDGE('',*,*,#196912,.T.); #264748=ORIENTED_EDGE('',*,*,#196914,.F.); #264749=ORIENTED_EDGE('',*,*,#196915,.F.); #264750=ORIENTED_EDGE('',*,*,#196916,.T.); #264751=ORIENTED_EDGE('',*,*,#196915,.T.); #264752=ORIENTED_EDGE('',*,*,#196917,.F.); #264753=ORIENTED_EDGE('',*,*,#196918,.F.); #264754=ORIENTED_EDGE('',*,*,#196919,.T.); #264755=ORIENTED_EDGE('',*,*,#196918,.T.); #264756=ORIENTED_EDGE('',*,*,#196920,.F.); #264757=ORIENTED_EDGE('',*,*,#196921,.F.); #264758=ORIENTED_EDGE('',*,*,#196922,.T.); #264759=ORIENTED_EDGE('',*,*,#196921,.T.); #264760=ORIENTED_EDGE('',*,*,#196923,.F.); #264761=ORIENTED_EDGE('',*,*,#196924,.F.); #264762=ORIENTED_EDGE('',*,*,#196925,.T.); #264763=ORIENTED_EDGE('',*,*,#196924,.T.); #264764=ORIENTED_EDGE('',*,*,#196926,.F.); #264765=ORIENTED_EDGE('',*,*,#196927,.F.); #264766=ORIENTED_EDGE('',*,*,#196928,.T.); #264767=ORIENTED_EDGE('',*,*,#196927,.T.); #264768=ORIENTED_EDGE('',*,*,#196929,.F.); #264769=ORIENTED_EDGE('',*,*,#196930,.F.); #264770=ORIENTED_EDGE('',*,*,#196931,.T.); #264771=ORIENTED_EDGE('',*,*,#196930,.T.); #264772=ORIENTED_EDGE('',*,*,#196932,.F.); #264773=ORIENTED_EDGE('',*,*,#196933,.F.); #264774=ORIENTED_EDGE('',*,*,#196934,.T.); #264775=ORIENTED_EDGE('',*,*,#196933,.T.); #264776=ORIENTED_EDGE('',*,*,#196935,.F.); #264777=ORIENTED_EDGE('',*,*,#196936,.F.); #264778=ORIENTED_EDGE('',*,*,#196937,.T.); #264779=ORIENTED_EDGE('',*,*,#196936,.T.); #264780=ORIENTED_EDGE('',*,*,#196938,.F.); #264781=ORIENTED_EDGE('',*,*,#196939,.F.); #264782=ORIENTED_EDGE('',*,*,#196940,.T.); #264783=ORIENTED_EDGE('',*,*,#196939,.T.); #264784=ORIENTED_EDGE('',*,*,#196941,.F.); #264785=ORIENTED_EDGE('',*,*,#196942,.F.); #264786=ORIENTED_EDGE('',*,*,#196943,.T.); #264787=ORIENTED_EDGE('',*,*,#196942,.T.); #264788=ORIENTED_EDGE('',*,*,#196944,.F.); #264789=ORIENTED_EDGE('',*,*,#196945,.F.); #264790=ORIENTED_EDGE('',*,*,#196946,.T.); #264791=ORIENTED_EDGE('',*,*,#196945,.T.); #264792=ORIENTED_EDGE('',*,*,#196947,.F.); #264793=ORIENTED_EDGE('',*,*,#196948,.F.); #264794=ORIENTED_EDGE('',*,*,#196949,.T.); #264795=ORIENTED_EDGE('',*,*,#196948,.T.); #264796=ORIENTED_EDGE('',*,*,#196950,.F.); #264797=ORIENTED_EDGE('',*,*,#196951,.F.); #264798=ORIENTED_EDGE('',*,*,#196952,.T.); #264799=ORIENTED_EDGE('',*,*,#196951,.T.); #264800=ORIENTED_EDGE('',*,*,#196953,.F.); #264801=ORIENTED_EDGE('',*,*,#196954,.F.); #264802=ORIENTED_EDGE('',*,*,#196955,.T.); #264803=ORIENTED_EDGE('',*,*,#196954,.T.); #264804=ORIENTED_EDGE('',*,*,#196956,.F.); #264805=ORIENTED_EDGE('',*,*,#196957,.F.); #264806=ORIENTED_EDGE('',*,*,#196958,.T.); #264807=ORIENTED_EDGE('',*,*,#196957,.T.); #264808=ORIENTED_EDGE('',*,*,#196959,.F.); #264809=ORIENTED_EDGE('',*,*,#196960,.F.); #264810=ORIENTED_EDGE('',*,*,#196961,.T.); #264811=ORIENTED_EDGE('',*,*,#196960,.T.); #264812=ORIENTED_EDGE('',*,*,#196962,.F.); #264813=ORIENTED_EDGE('',*,*,#196963,.F.); #264814=ORIENTED_EDGE('',*,*,#196964,.T.); #264815=ORIENTED_EDGE('',*,*,#196963,.T.); #264816=ORIENTED_EDGE('',*,*,#196965,.F.); #264817=ORIENTED_EDGE('',*,*,#196966,.F.); #264818=ORIENTED_EDGE('',*,*,#196967,.T.); #264819=ORIENTED_EDGE('',*,*,#196966,.T.); #264820=ORIENTED_EDGE('',*,*,#196968,.F.); #264821=ORIENTED_EDGE('',*,*,#196969,.F.); #264822=ORIENTED_EDGE('',*,*,#196970,.T.); #264823=ORIENTED_EDGE('',*,*,#196969,.T.); #264824=ORIENTED_EDGE('',*,*,#196971,.F.); #264825=ORIENTED_EDGE('',*,*,#196972,.F.); #264826=ORIENTED_EDGE('',*,*,#196973,.T.); #264827=ORIENTED_EDGE('',*,*,#196972,.T.); #264828=ORIENTED_EDGE('',*,*,#196974,.F.); #264829=ORIENTED_EDGE('',*,*,#196975,.F.); #264830=ORIENTED_EDGE('',*,*,#196976,.T.); #264831=ORIENTED_EDGE('',*,*,#196975,.T.); #264832=ORIENTED_EDGE('',*,*,#196977,.F.); #264833=ORIENTED_EDGE('',*,*,#196805,.F.); #264834=ORIENTED_EDGE('',*,*,#196977,.T.); #264835=ORIENTED_EDGE('',*,*,#196974,.T.); #264836=ORIENTED_EDGE('',*,*,#196971,.T.); #264837=ORIENTED_EDGE('',*,*,#196968,.T.); #264838=ORIENTED_EDGE('',*,*,#196965,.T.); #264839=ORIENTED_EDGE('',*,*,#196962,.T.); #264840=ORIENTED_EDGE('',*,*,#196959,.T.); #264841=ORIENTED_EDGE('',*,*,#196956,.T.); #264842=ORIENTED_EDGE('',*,*,#196953,.T.); #264843=ORIENTED_EDGE('',*,*,#196950,.T.); #264844=ORIENTED_EDGE('',*,*,#196947,.T.); #264845=ORIENTED_EDGE('',*,*,#196944,.T.); #264846=ORIENTED_EDGE('',*,*,#196941,.T.); #264847=ORIENTED_EDGE('',*,*,#196938,.T.); #264848=ORIENTED_EDGE('',*,*,#196935,.T.); #264849=ORIENTED_EDGE('',*,*,#196932,.T.); #264850=ORIENTED_EDGE('',*,*,#196929,.T.); #264851=ORIENTED_EDGE('',*,*,#196926,.T.); #264852=ORIENTED_EDGE('',*,*,#196923,.T.); #264853=ORIENTED_EDGE('',*,*,#196920,.T.); #264854=ORIENTED_EDGE('',*,*,#196917,.T.); #264855=ORIENTED_EDGE('',*,*,#196914,.T.); #264856=ORIENTED_EDGE('',*,*,#196911,.T.); #264857=ORIENTED_EDGE('',*,*,#196908,.T.); #264858=ORIENTED_EDGE('',*,*,#196905,.T.); #264859=ORIENTED_EDGE('',*,*,#196902,.T.); #264860=ORIENTED_EDGE('',*,*,#196899,.T.); #264861=ORIENTED_EDGE('',*,*,#196896,.T.); #264862=ORIENTED_EDGE('',*,*,#196893,.T.); #264863=ORIENTED_EDGE('',*,*,#196890,.T.); #264864=ORIENTED_EDGE('',*,*,#196887,.T.); #264865=ORIENTED_EDGE('',*,*,#196884,.T.); #264866=ORIENTED_EDGE('',*,*,#196881,.T.); #264867=ORIENTED_EDGE('',*,*,#196878,.T.); #264868=ORIENTED_EDGE('',*,*,#196875,.T.); #264869=ORIENTED_EDGE('',*,*,#196872,.T.); #264870=ORIENTED_EDGE('',*,*,#196869,.T.); #264871=ORIENTED_EDGE('',*,*,#196866,.T.); #264872=ORIENTED_EDGE('',*,*,#196863,.T.); #264873=ORIENTED_EDGE('',*,*,#196860,.T.); #264874=ORIENTED_EDGE('',*,*,#196857,.T.); #264875=ORIENTED_EDGE('',*,*,#196854,.T.); #264876=ORIENTED_EDGE('',*,*,#196851,.T.); #264877=ORIENTED_EDGE('',*,*,#196848,.T.); #264878=ORIENTED_EDGE('',*,*,#196845,.T.); #264879=ORIENTED_EDGE('',*,*,#196842,.T.); #264880=ORIENTED_EDGE('',*,*,#196839,.T.); #264881=ORIENTED_EDGE('',*,*,#196836,.T.); #264882=ORIENTED_EDGE('',*,*,#196833,.T.); #264883=ORIENTED_EDGE('',*,*,#196830,.T.); #264884=ORIENTED_EDGE('',*,*,#196827,.T.); #264885=ORIENTED_EDGE('',*,*,#196824,.T.); #264886=ORIENTED_EDGE('',*,*,#196821,.T.); #264887=ORIENTED_EDGE('',*,*,#196818,.T.); #264888=ORIENTED_EDGE('',*,*,#196815,.T.); #264889=ORIENTED_EDGE('',*,*,#196812,.T.); #264890=ORIENTED_EDGE('',*,*,#196809,.T.); #264891=ORIENTED_EDGE('',*,*,#196806,.T.); #264892=ORIENTED_EDGE('',*,*,#196976,.F.); #264893=ORIENTED_EDGE('',*,*,#196804,.F.); #264894=ORIENTED_EDGE('',*,*,#196808,.F.); #264895=ORIENTED_EDGE('',*,*,#196811,.F.); #264896=ORIENTED_EDGE('',*,*,#196814,.F.); #264897=ORIENTED_EDGE('',*,*,#196817,.F.); #264898=ORIENTED_EDGE('',*,*,#196820,.F.); #264899=ORIENTED_EDGE('',*,*,#196823,.F.); #264900=ORIENTED_EDGE('',*,*,#196826,.F.); #264901=ORIENTED_EDGE('',*,*,#196829,.F.); #264902=ORIENTED_EDGE('',*,*,#196832,.F.); #264903=ORIENTED_EDGE('',*,*,#196835,.F.); #264904=ORIENTED_EDGE('',*,*,#196838,.F.); #264905=ORIENTED_EDGE('',*,*,#196841,.F.); #264906=ORIENTED_EDGE('',*,*,#196844,.F.); #264907=ORIENTED_EDGE('',*,*,#196847,.F.); #264908=ORIENTED_EDGE('',*,*,#196850,.F.); #264909=ORIENTED_EDGE('',*,*,#196853,.F.); #264910=ORIENTED_EDGE('',*,*,#196856,.F.); #264911=ORIENTED_EDGE('',*,*,#196859,.F.); #264912=ORIENTED_EDGE('',*,*,#196862,.F.); #264913=ORIENTED_EDGE('',*,*,#196865,.F.); #264914=ORIENTED_EDGE('',*,*,#196868,.F.); #264915=ORIENTED_EDGE('',*,*,#196871,.F.); #264916=ORIENTED_EDGE('',*,*,#196874,.F.); #264917=ORIENTED_EDGE('',*,*,#196877,.F.); #264918=ORIENTED_EDGE('',*,*,#196880,.F.); #264919=ORIENTED_EDGE('',*,*,#196883,.F.); #264920=ORIENTED_EDGE('',*,*,#196886,.F.); #264921=ORIENTED_EDGE('',*,*,#196889,.F.); #264922=ORIENTED_EDGE('',*,*,#196892,.F.); #264923=ORIENTED_EDGE('',*,*,#196895,.F.); #264924=ORIENTED_EDGE('',*,*,#196898,.F.); #264925=ORIENTED_EDGE('',*,*,#196901,.F.); #264926=ORIENTED_EDGE('',*,*,#196904,.F.); #264927=ORIENTED_EDGE('',*,*,#196907,.F.); #264928=ORIENTED_EDGE('',*,*,#196910,.F.); #264929=ORIENTED_EDGE('',*,*,#196913,.F.); #264930=ORIENTED_EDGE('',*,*,#196916,.F.); #264931=ORIENTED_EDGE('',*,*,#196919,.F.); #264932=ORIENTED_EDGE('',*,*,#196922,.F.); #264933=ORIENTED_EDGE('',*,*,#196925,.F.); #264934=ORIENTED_EDGE('',*,*,#196928,.F.); #264935=ORIENTED_EDGE('',*,*,#196931,.F.); #264936=ORIENTED_EDGE('',*,*,#196934,.F.); #264937=ORIENTED_EDGE('',*,*,#196937,.F.); #264938=ORIENTED_EDGE('',*,*,#196940,.F.); #264939=ORIENTED_EDGE('',*,*,#196943,.F.); #264940=ORIENTED_EDGE('',*,*,#196946,.F.); #264941=ORIENTED_EDGE('',*,*,#196949,.F.); #264942=ORIENTED_EDGE('',*,*,#196952,.F.); #264943=ORIENTED_EDGE('',*,*,#196955,.F.); #264944=ORIENTED_EDGE('',*,*,#196958,.F.); #264945=ORIENTED_EDGE('',*,*,#196961,.F.); #264946=ORIENTED_EDGE('',*,*,#196964,.F.); #264947=ORIENTED_EDGE('',*,*,#196967,.F.); #264948=ORIENTED_EDGE('',*,*,#196970,.F.); #264949=ORIENTED_EDGE('',*,*,#196973,.F.); #264950=ORIENTED_EDGE('',*,*,#196978,.F.); #264951=ORIENTED_EDGE('',*,*,#196979,.T.); #264952=ORIENTED_EDGE('',*,*,#196980,.F.); #264953=ORIENTED_EDGE('',*,*,#196979,.F.); #264954=ORIENTED_EDGE('',*,*,#196981,.F.); #264955=ORIENTED_EDGE('',*,*,#196982,.T.); #264956=ORIENTED_EDGE('',*,*,#196983,.F.); #264957=ORIENTED_EDGE('',*,*,#196982,.F.); #264958=ORIENTED_EDGE('',*,*,#196984,.T.); #264959=ORIENTED_EDGE('',*,*,#196985,.T.); #264960=ORIENTED_EDGE('',*,*,#196986,.F.); #264961=ORIENTED_EDGE('',*,*,#196987,.F.); #264962=ORIENTED_EDGE('',*,*,#196988,.T.); #264963=ORIENTED_EDGE('',*,*,#196987,.T.); #264964=ORIENTED_EDGE('',*,*,#196989,.F.); #264965=ORIENTED_EDGE('',*,*,#196990,.F.); #264966=ORIENTED_EDGE('',*,*,#196991,.T.); #264967=ORIENTED_EDGE('',*,*,#196990,.T.); #264968=ORIENTED_EDGE('',*,*,#196992,.F.); #264969=ORIENTED_EDGE('',*,*,#196993,.F.); #264970=ORIENTED_EDGE('',*,*,#196994,.T.); #264971=ORIENTED_EDGE('',*,*,#196993,.T.); #264972=ORIENTED_EDGE('',*,*,#196995,.F.); #264973=ORIENTED_EDGE('',*,*,#196996,.F.); #264974=ORIENTED_EDGE('',*,*,#196997,.T.); #264975=ORIENTED_EDGE('',*,*,#196996,.T.); #264976=ORIENTED_EDGE('',*,*,#196998,.F.); #264977=ORIENTED_EDGE('',*,*,#196999,.F.); #264978=ORIENTED_EDGE('',*,*,#197000,.T.); #264979=ORIENTED_EDGE('',*,*,#196999,.T.); #264980=ORIENTED_EDGE('',*,*,#197001,.F.); #264981=ORIENTED_EDGE('',*,*,#197002,.F.); #264982=ORIENTED_EDGE('',*,*,#197003,.T.); #264983=ORIENTED_EDGE('',*,*,#197002,.T.); #264984=ORIENTED_EDGE('',*,*,#197004,.F.); #264985=ORIENTED_EDGE('',*,*,#197005,.F.); #264986=ORIENTED_EDGE('',*,*,#197006,.T.); #264987=ORIENTED_EDGE('',*,*,#197005,.T.); #264988=ORIENTED_EDGE('',*,*,#197007,.F.); #264989=ORIENTED_EDGE('',*,*,#196985,.F.); #264990=ORIENTED_EDGE('',*,*,#197007,.T.); #264991=ORIENTED_EDGE('',*,*,#197004,.T.); #264992=ORIENTED_EDGE('',*,*,#197001,.T.); #264993=ORIENTED_EDGE('',*,*,#196998,.T.); #264994=ORIENTED_EDGE('',*,*,#196995,.T.); #264995=ORIENTED_EDGE('',*,*,#196992,.T.); #264996=ORIENTED_EDGE('',*,*,#196989,.T.); #264997=ORIENTED_EDGE('',*,*,#196986,.T.); #264998=ORIENTED_EDGE('',*,*,#196980,.T.); #264999=ORIENTED_EDGE('',*,*,#196983,.T.); #265000=ORIENTED_EDGE('',*,*,#197006,.F.); #265001=ORIENTED_EDGE('',*,*,#196984,.F.); #265002=ORIENTED_EDGE('',*,*,#196988,.F.); #265003=ORIENTED_EDGE('',*,*,#196991,.F.); #265004=ORIENTED_EDGE('',*,*,#196994,.F.); #265005=ORIENTED_EDGE('',*,*,#196997,.F.); #265006=ORIENTED_EDGE('',*,*,#197000,.F.); #265007=ORIENTED_EDGE('',*,*,#197003,.F.); #265008=ORIENTED_EDGE('',*,*,#196978,.T.); #265009=ORIENTED_EDGE('',*,*,#196981,.T.); #265010=ORIENTED_EDGE('',*,*,#197008,.F.); #265011=ORIENTED_EDGE('',*,*,#197009,.T.); #265012=ORIENTED_EDGE('',*,*,#197010,.F.); #265013=ORIENTED_EDGE('',*,*,#197009,.F.); #265014=ORIENTED_EDGE('',*,*,#197011,.T.); #265015=ORIENTED_EDGE('',*,*,#197012,.T.); #265016=ORIENTED_EDGE('',*,*,#197013,.F.); #265017=ORIENTED_EDGE('',*,*,#197014,.F.); #265018=ORIENTED_EDGE('',*,*,#197015,.T.); #265019=ORIENTED_EDGE('',*,*,#197014,.T.); #265020=ORIENTED_EDGE('',*,*,#197016,.F.); #265021=ORIENTED_EDGE('',*,*,#197017,.F.); #265022=ORIENTED_EDGE('',*,*,#197018,.T.); #265023=ORIENTED_EDGE('',*,*,#197017,.T.); #265024=ORIENTED_EDGE('',*,*,#197019,.F.); #265025=ORIENTED_EDGE('',*,*,#197020,.F.); #265026=ORIENTED_EDGE('',*,*,#197021,.T.); #265027=ORIENTED_EDGE('',*,*,#197020,.T.); #265028=ORIENTED_EDGE('',*,*,#197022,.F.); #265029=ORIENTED_EDGE('',*,*,#197023,.F.); #265030=ORIENTED_EDGE('',*,*,#197024,.T.); #265031=ORIENTED_EDGE('',*,*,#197023,.T.); #265032=ORIENTED_EDGE('',*,*,#197025,.F.); #265033=ORIENTED_EDGE('',*,*,#197026,.F.); #265034=ORIENTED_EDGE('',*,*,#197027,.T.); #265035=ORIENTED_EDGE('',*,*,#197026,.T.); #265036=ORIENTED_EDGE('',*,*,#197028,.F.); #265037=ORIENTED_EDGE('',*,*,#197029,.F.); #265038=ORIENTED_EDGE('',*,*,#197030,.T.); #265039=ORIENTED_EDGE('',*,*,#197029,.T.); #265040=ORIENTED_EDGE('',*,*,#197031,.F.); #265041=ORIENTED_EDGE('',*,*,#197032,.F.); #265042=ORIENTED_EDGE('',*,*,#197033,.T.); #265043=ORIENTED_EDGE('',*,*,#197032,.T.); #265044=ORIENTED_EDGE('',*,*,#197034,.F.); #265045=ORIENTED_EDGE('',*,*,#197035,.F.); #265046=ORIENTED_EDGE('',*,*,#197036,.T.); #265047=ORIENTED_EDGE('',*,*,#197035,.T.); #265048=ORIENTED_EDGE('',*,*,#197037,.F.); #265049=ORIENTED_EDGE('',*,*,#197038,.F.); #265050=ORIENTED_EDGE('',*,*,#197039,.T.); #265051=ORIENTED_EDGE('',*,*,#197038,.T.); #265052=ORIENTED_EDGE('',*,*,#197040,.F.); #265053=ORIENTED_EDGE('',*,*,#197012,.F.); #265054=ORIENTED_EDGE('',*,*,#197040,.T.); #265055=ORIENTED_EDGE('',*,*,#197037,.T.); #265056=ORIENTED_EDGE('',*,*,#197034,.T.); #265057=ORIENTED_EDGE('',*,*,#197031,.T.); #265058=ORIENTED_EDGE('',*,*,#197028,.T.); #265059=ORIENTED_EDGE('',*,*,#197025,.T.); #265060=ORIENTED_EDGE('',*,*,#197022,.T.); #265061=ORIENTED_EDGE('',*,*,#197019,.T.); #265062=ORIENTED_EDGE('',*,*,#197016,.T.); #265063=ORIENTED_EDGE('',*,*,#197013,.T.); #265064=ORIENTED_EDGE('',*,*,#197010,.T.); #265065=ORIENTED_EDGE('',*,*,#197039,.F.); #265066=ORIENTED_EDGE('',*,*,#197011,.F.); #265067=ORIENTED_EDGE('',*,*,#197015,.F.); #265068=ORIENTED_EDGE('',*,*,#197018,.F.); #265069=ORIENTED_EDGE('',*,*,#197021,.F.); #265070=ORIENTED_EDGE('',*,*,#197024,.F.); #265071=ORIENTED_EDGE('',*,*,#197027,.F.); #265072=ORIENTED_EDGE('',*,*,#197030,.F.); #265073=ORIENTED_EDGE('',*,*,#197033,.F.); #265074=ORIENTED_EDGE('',*,*,#197036,.F.); #265075=ORIENTED_EDGE('',*,*,#197008,.T.); #265076=ORIENTED_EDGE('',*,*,#197041,.F.); #265077=ORIENTED_EDGE('',*,*,#197042,.T.); #265078=ORIENTED_EDGE('',*,*,#197043,.F.); #265079=ORIENTED_EDGE('',*,*,#197042,.F.); #265080=ORIENTED_EDGE('',*,*,#197044,.T.); #265081=ORIENTED_EDGE('',*,*,#197045,.T.); #265082=ORIENTED_EDGE('',*,*,#197046,.F.); #265083=ORIENTED_EDGE('',*,*,#197047,.F.); #265084=ORIENTED_EDGE('',*,*,#197048,.T.); #265085=ORIENTED_EDGE('',*,*,#197047,.T.); #265086=ORIENTED_EDGE('',*,*,#197049,.F.); #265087=ORIENTED_EDGE('',*,*,#197050,.F.); #265088=ORIENTED_EDGE('',*,*,#197051,.T.); #265089=ORIENTED_EDGE('',*,*,#197050,.T.); #265090=ORIENTED_EDGE('',*,*,#197052,.F.); #265091=ORIENTED_EDGE('',*,*,#197053,.F.); #265092=ORIENTED_EDGE('',*,*,#197054,.T.); #265093=ORIENTED_EDGE('',*,*,#197053,.T.); #265094=ORIENTED_EDGE('',*,*,#197055,.F.); #265095=ORIENTED_EDGE('',*,*,#197056,.F.); #265096=ORIENTED_EDGE('',*,*,#197057,.T.); #265097=ORIENTED_EDGE('',*,*,#197056,.T.); #265098=ORIENTED_EDGE('',*,*,#197058,.F.); #265099=ORIENTED_EDGE('',*,*,#197059,.F.); #265100=ORIENTED_EDGE('',*,*,#197060,.T.); #265101=ORIENTED_EDGE('',*,*,#197059,.T.); #265102=ORIENTED_EDGE('',*,*,#197061,.F.); #265103=ORIENTED_EDGE('',*,*,#197062,.F.); #265104=ORIENTED_EDGE('',*,*,#197063,.T.); #265105=ORIENTED_EDGE('',*,*,#197062,.T.); #265106=ORIENTED_EDGE('',*,*,#197064,.F.); #265107=ORIENTED_EDGE('',*,*,#197065,.F.); #265108=ORIENTED_EDGE('',*,*,#197066,.T.); #265109=ORIENTED_EDGE('',*,*,#197065,.T.); #265110=ORIENTED_EDGE('',*,*,#197067,.F.); #265111=ORIENTED_EDGE('',*,*,#197068,.F.); #265112=ORIENTED_EDGE('',*,*,#197069,.T.); #265113=ORIENTED_EDGE('',*,*,#197068,.T.); #265114=ORIENTED_EDGE('',*,*,#197070,.F.); #265115=ORIENTED_EDGE('',*,*,#197071,.F.); #265116=ORIENTED_EDGE('',*,*,#197072,.T.); #265117=ORIENTED_EDGE('',*,*,#197071,.T.); #265118=ORIENTED_EDGE('',*,*,#197073,.F.); #265119=ORIENTED_EDGE('',*,*,#197074,.F.); #265120=ORIENTED_EDGE('',*,*,#197075,.T.); #265121=ORIENTED_EDGE('',*,*,#197074,.T.); #265122=ORIENTED_EDGE('',*,*,#197076,.F.); #265123=ORIENTED_EDGE('',*,*,#197077,.F.); #265124=ORIENTED_EDGE('',*,*,#197078,.T.); #265125=ORIENTED_EDGE('',*,*,#197077,.T.); #265126=ORIENTED_EDGE('',*,*,#197079,.F.); #265127=ORIENTED_EDGE('',*,*,#197080,.F.); #265128=ORIENTED_EDGE('',*,*,#197081,.T.); #265129=ORIENTED_EDGE('',*,*,#197080,.T.); #265130=ORIENTED_EDGE('',*,*,#197082,.F.); #265131=ORIENTED_EDGE('',*,*,#197045,.F.); #265132=ORIENTED_EDGE('',*,*,#197082,.T.); #265133=ORIENTED_EDGE('',*,*,#197079,.T.); #265134=ORIENTED_EDGE('',*,*,#197076,.T.); #265135=ORIENTED_EDGE('',*,*,#197073,.T.); #265136=ORIENTED_EDGE('',*,*,#197070,.T.); #265137=ORIENTED_EDGE('',*,*,#197067,.T.); #265138=ORIENTED_EDGE('',*,*,#197064,.T.); #265139=ORIENTED_EDGE('',*,*,#197061,.T.); #265140=ORIENTED_EDGE('',*,*,#197058,.T.); #265141=ORIENTED_EDGE('',*,*,#197055,.T.); #265142=ORIENTED_EDGE('',*,*,#197052,.T.); #265143=ORIENTED_EDGE('',*,*,#197049,.T.); #265144=ORIENTED_EDGE('',*,*,#197046,.T.); #265145=ORIENTED_EDGE('',*,*,#197043,.T.); #265146=ORIENTED_EDGE('',*,*,#197081,.F.); #265147=ORIENTED_EDGE('',*,*,#197044,.F.); #265148=ORIENTED_EDGE('',*,*,#197048,.F.); #265149=ORIENTED_EDGE('',*,*,#197051,.F.); #265150=ORIENTED_EDGE('',*,*,#197054,.F.); #265151=ORIENTED_EDGE('',*,*,#197057,.F.); #265152=ORIENTED_EDGE('',*,*,#197060,.F.); #265153=ORIENTED_EDGE('',*,*,#197063,.F.); #265154=ORIENTED_EDGE('',*,*,#197066,.F.); #265155=ORIENTED_EDGE('',*,*,#197069,.F.); #265156=ORIENTED_EDGE('',*,*,#197072,.F.); #265157=ORIENTED_EDGE('',*,*,#197075,.F.); #265158=ORIENTED_EDGE('',*,*,#197078,.F.); #265159=ORIENTED_EDGE('',*,*,#197041,.T.); #265160=ORIENTED_EDGE('',*,*,#197083,.F.); #265161=ORIENTED_EDGE('',*,*,#197084,.T.); #265162=ORIENTED_EDGE('',*,*,#197085,.F.); #265163=ORIENTED_EDGE('',*,*,#197084,.F.); #265164=ORIENTED_EDGE('',*,*,#197086,.F.); #265165=ORIENTED_EDGE('',*,*,#197087,.T.); #265166=ORIENTED_EDGE('',*,*,#197088,.F.); #265167=ORIENTED_EDGE('',*,*,#197087,.F.); #265168=ORIENTED_EDGE('',*,*,#197089,.T.); #265169=ORIENTED_EDGE('',*,*,#197090,.T.); #265170=ORIENTED_EDGE('',*,*,#197091,.F.); #265171=ORIENTED_EDGE('',*,*,#197092,.F.); #265172=ORIENTED_EDGE('',*,*,#197093,.T.); #265173=ORIENTED_EDGE('',*,*,#197092,.T.); #265174=ORIENTED_EDGE('',*,*,#197094,.F.); #265175=ORIENTED_EDGE('',*,*,#197095,.F.); #265176=ORIENTED_EDGE('',*,*,#197096,.T.); #265177=ORIENTED_EDGE('',*,*,#197095,.T.); #265178=ORIENTED_EDGE('',*,*,#197097,.F.); #265179=ORIENTED_EDGE('',*,*,#197098,.F.); #265180=ORIENTED_EDGE('',*,*,#197099,.T.); #265181=ORIENTED_EDGE('',*,*,#197098,.T.); #265182=ORIENTED_EDGE('',*,*,#197100,.F.); #265183=ORIENTED_EDGE('',*,*,#197101,.F.); #265184=ORIENTED_EDGE('',*,*,#197102,.T.); #265185=ORIENTED_EDGE('',*,*,#197101,.T.); #265186=ORIENTED_EDGE('',*,*,#197103,.F.); #265187=ORIENTED_EDGE('',*,*,#197104,.F.); #265188=ORIENTED_EDGE('',*,*,#197105,.T.); #265189=ORIENTED_EDGE('',*,*,#197104,.T.); #265190=ORIENTED_EDGE('',*,*,#197106,.F.); #265191=ORIENTED_EDGE('',*,*,#197107,.F.); #265192=ORIENTED_EDGE('',*,*,#197108,.T.); #265193=ORIENTED_EDGE('',*,*,#197107,.T.); #265194=ORIENTED_EDGE('',*,*,#197109,.F.); #265195=ORIENTED_EDGE('',*,*,#197110,.F.); #265196=ORIENTED_EDGE('',*,*,#197111,.T.); #265197=ORIENTED_EDGE('',*,*,#197110,.T.); #265198=ORIENTED_EDGE('',*,*,#197112,.F.); #265199=ORIENTED_EDGE('',*,*,#197113,.F.); #265200=ORIENTED_EDGE('',*,*,#197114,.T.); #265201=ORIENTED_EDGE('',*,*,#197113,.T.); #265202=ORIENTED_EDGE('',*,*,#197115,.F.); #265203=ORIENTED_EDGE('',*,*,#197116,.F.); #265204=ORIENTED_EDGE('',*,*,#197117,.T.); #265205=ORIENTED_EDGE('',*,*,#197116,.T.); #265206=ORIENTED_EDGE('',*,*,#197118,.F.); #265207=ORIENTED_EDGE('',*,*,#197119,.F.); #265208=ORIENTED_EDGE('',*,*,#197120,.T.); #265209=ORIENTED_EDGE('',*,*,#197119,.T.); #265210=ORIENTED_EDGE('',*,*,#197121,.F.); #265211=ORIENTED_EDGE('',*,*,#197122,.F.); #265212=ORIENTED_EDGE('',*,*,#197123,.T.); #265213=ORIENTED_EDGE('',*,*,#197122,.T.); #265214=ORIENTED_EDGE('',*,*,#197124,.F.); #265215=ORIENTED_EDGE('',*,*,#197125,.F.); #265216=ORIENTED_EDGE('',*,*,#197126,.T.); #265217=ORIENTED_EDGE('',*,*,#197125,.T.); #265218=ORIENTED_EDGE('',*,*,#197127,.F.); #265219=ORIENTED_EDGE('',*,*,#197128,.F.); #265220=ORIENTED_EDGE('',*,*,#197129,.T.); #265221=ORIENTED_EDGE('',*,*,#197128,.T.); #265222=ORIENTED_EDGE('',*,*,#197130,.F.); #265223=ORIENTED_EDGE('',*,*,#197131,.F.); #265224=ORIENTED_EDGE('',*,*,#197132,.T.); #265225=ORIENTED_EDGE('',*,*,#197131,.T.); #265226=ORIENTED_EDGE('',*,*,#197133,.F.); #265227=ORIENTED_EDGE('',*,*,#197134,.F.); #265228=ORIENTED_EDGE('',*,*,#197135,.T.); #265229=ORIENTED_EDGE('',*,*,#197134,.T.); #265230=ORIENTED_EDGE('',*,*,#197136,.F.); #265231=ORIENTED_EDGE('',*,*,#197137,.F.); #265232=ORIENTED_EDGE('',*,*,#197138,.T.); #265233=ORIENTED_EDGE('',*,*,#197137,.T.); #265234=ORIENTED_EDGE('',*,*,#197139,.F.); #265235=ORIENTED_EDGE('',*,*,#197140,.F.); #265236=ORIENTED_EDGE('',*,*,#197141,.T.); #265237=ORIENTED_EDGE('',*,*,#197140,.T.); #265238=ORIENTED_EDGE('',*,*,#197142,.F.); #265239=ORIENTED_EDGE('',*,*,#197143,.F.); #265240=ORIENTED_EDGE('',*,*,#197144,.T.); #265241=ORIENTED_EDGE('',*,*,#197143,.T.); #265242=ORIENTED_EDGE('',*,*,#197145,.F.); #265243=ORIENTED_EDGE('',*,*,#197146,.F.); #265244=ORIENTED_EDGE('',*,*,#197147,.T.); #265245=ORIENTED_EDGE('',*,*,#197146,.T.); #265246=ORIENTED_EDGE('',*,*,#197148,.F.); #265247=ORIENTED_EDGE('',*,*,#197149,.F.); #265248=ORIENTED_EDGE('',*,*,#197150,.T.); #265249=ORIENTED_EDGE('',*,*,#197149,.T.); #265250=ORIENTED_EDGE('',*,*,#197151,.F.); #265251=ORIENTED_EDGE('',*,*,#197152,.F.); #265252=ORIENTED_EDGE('',*,*,#197153,.T.); #265253=ORIENTED_EDGE('',*,*,#197152,.T.); #265254=ORIENTED_EDGE('',*,*,#197154,.F.); #265255=ORIENTED_EDGE('',*,*,#197155,.F.); #265256=ORIENTED_EDGE('',*,*,#197156,.T.); #265257=ORIENTED_EDGE('',*,*,#197155,.T.); #265258=ORIENTED_EDGE('',*,*,#197157,.F.); #265259=ORIENTED_EDGE('',*,*,#197158,.F.); #265260=ORIENTED_EDGE('',*,*,#197159,.T.); #265261=ORIENTED_EDGE('',*,*,#197158,.T.); #265262=ORIENTED_EDGE('',*,*,#197160,.F.); #265263=ORIENTED_EDGE('',*,*,#197161,.F.); #265264=ORIENTED_EDGE('',*,*,#197162,.T.); #265265=ORIENTED_EDGE('',*,*,#197161,.T.); #265266=ORIENTED_EDGE('',*,*,#197163,.F.); #265267=ORIENTED_EDGE('',*,*,#197164,.F.); #265268=ORIENTED_EDGE('',*,*,#197165,.T.); #265269=ORIENTED_EDGE('',*,*,#197164,.T.); #265270=ORIENTED_EDGE('',*,*,#197166,.F.); #265271=ORIENTED_EDGE('',*,*,#197167,.F.); #265272=ORIENTED_EDGE('',*,*,#197168,.T.); #265273=ORIENTED_EDGE('',*,*,#197167,.T.); #265274=ORIENTED_EDGE('',*,*,#197169,.F.); #265275=ORIENTED_EDGE('',*,*,#197170,.F.); #265276=ORIENTED_EDGE('',*,*,#197171,.T.); #265277=ORIENTED_EDGE('',*,*,#197170,.T.); #265278=ORIENTED_EDGE('',*,*,#197172,.F.); #265279=ORIENTED_EDGE('',*,*,#197173,.F.); #265280=ORIENTED_EDGE('',*,*,#197174,.T.); #265281=ORIENTED_EDGE('',*,*,#197173,.T.); #265282=ORIENTED_EDGE('',*,*,#197175,.F.); #265283=ORIENTED_EDGE('',*,*,#197176,.F.); #265284=ORIENTED_EDGE('',*,*,#197177,.T.); #265285=ORIENTED_EDGE('',*,*,#197176,.T.); #265286=ORIENTED_EDGE('',*,*,#197178,.F.); #265287=ORIENTED_EDGE('',*,*,#197179,.F.); #265288=ORIENTED_EDGE('',*,*,#197180,.T.); #265289=ORIENTED_EDGE('',*,*,#197179,.T.); #265290=ORIENTED_EDGE('',*,*,#197181,.F.); #265291=ORIENTED_EDGE('',*,*,#197182,.F.); #265292=ORIENTED_EDGE('',*,*,#197183,.T.); #265293=ORIENTED_EDGE('',*,*,#197182,.T.); #265294=ORIENTED_EDGE('',*,*,#197184,.F.); #265295=ORIENTED_EDGE('',*,*,#197185,.F.); #265296=ORIENTED_EDGE('',*,*,#197186,.T.); #265297=ORIENTED_EDGE('',*,*,#197185,.T.); #265298=ORIENTED_EDGE('',*,*,#197187,.F.); #265299=ORIENTED_EDGE('',*,*,#197188,.F.); #265300=ORIENTED_EDGE('',*,*,#197189,.T.); #265301=ORIENTED_EDGE('',*,*,#197188,.T.); #265302=ORIENTED_EDGE('',*,*,#197190,.F.); #265303=ORIENTED_EDGE('',*,*,#197191,.F.); #265304=ORIENTED_EDGE('',*,*,#197192,.T.); #265305=ORIENTED_EDGE('',*,*,#197191,.T.); #265306=ORIENTED_EDGE('',*,*,#197193,.F.); #265307=ORIENTED_EDGE('',*,*,#197194,.F.); #265308=ORIENTED_EDGE('',*,*,#197195,.T.); #265309=ORIENTED_EDGE('',*,*,#197194,.T.); #265310=ORIENTED_EDGE('',*,*,#197196,.F.); #265311=ORIENTED_EDGE('',*,*,#197197,.F.); #265312=ORIENTED_EDGE('',*,*,#197198,.T.); #265313=ORIENTED_EDGE('',*,*,#197197,.T.); #265314=ORIENTED_EDGE('',*,*,#197199,.F.); #265315=ORIENTED_EDGE('',*,*,#197200,.F.); #265316=ORIENTED_EDGE('',*,*,#197201,.T.); #265317=ORIENTED_EDGE('',*,*,#197200,.T.); #265318=ORIENTED_EDGE('',*,*,#197202,.F.); #265319=ORIENTED_EDGE('',*,*,#197203,.F.); #265320=ORIENTED_EDGE('',*,*,#197204,.T.); #265321=ORIENTED_EDGE('',*,*,#197203,.T.); #265322=ORIENTED_EDGE('',*,*,#197205,.F.); #265323=ORIENTED_EDGE('',*,*,#197206,.F.); #265324=ORIENTED_EDGE('',*,*,#197207,.T.); #265325=ORIENTED_EDGE('',*,*,#197206,.T.); #265326=ORIENTED_EDGE('',*,*,#197208,.F.); #265327=ORIENTED_EDGE('',*,*,#197209,.F.); #265328=ORIENTED_EDGE('',*,*,#197210,.T.); #265329=ORIENTED_EDGE('',*,*,#197209,.T.); #265330=ORIENTED_EDGE('',*,*,#197211,.F.); #265331=ORIENTED_EDGE('',*,*,#197212,.F.); #265332=ORIENTED_EDGE('',*,*,#197213,.T.); #265333=ORIENTED_EDGE('',*,*,#197212,.T.); #265334=ORIENTED_EDGE('',*,*,#197214,.F.); #265335=ORIENTED_EDGE('',*,*,#197215,.F.); #265336=ORIENTED_EDGE('',*,*,#197216,.T.); #265337=ORIENTED_EDGE('',*,*,#197215,.T.); #265338=ORIENTED_EDGE('',*,*,#197217,.F.); #265339=ORIENTED_EDGE('',*,*,#197218,.F.); #265340=ORIENTED_EDGE('',*,*,#197219,.T.); #265341=ORIENTED_EDGE('',*,*,#197218,.T.); #265342=ORIENTED_EDGE('',*,*,#197220,.F.); #265343=ORIENTED_EDGE('',*,*,#197090,.F.); #265344=ORIENTED_EDGE('',*,*,#197220,.T.); #265345=ORIENTED_EDGE('',*,*,#197217,.T.); #265346=ORIENTED_EDGE('',*,*,#197214,.T.); #265347=ORIENTED_EDGE('',*,*,#197211,.T.); #265348=ORIENTED_EDGE('',*,*,#197208,.T.); #265349=ORIENTED_EDGE('',*,*,#197205,.T.); #265350=ORIENTED_EDGE('',*,*,#197202,.T.); #265351=ORIENTED_EDGE('',*,*,#197199,.T.); #265352=ORIENTED_EDGE('',*,*,#197196,.T.); #265353=ORIENTED_EDGE('',*,*,#197193,.T.); #265354=ORIENTED_EDGE('',*,*,#197190,.T.); #265355=ORIENTED_EDGE('',*,*,#197187,.T.); #265356=ORIENTED_EDGE('',*,*,#197184,.T.); #265357=ORIENTED_EDGE('',*,*,#197181,.T.); #265358=ORIENTED_EDGE('',*,*,#197178,.T.); #265359=ORIENTED_EDGE('',*,*,#197175,.T.); #265360=ORIENTED_EDGE('',*,*,#197172,.T.); #265361=ORIENTED_EDGE('',*,*,#197169,.T.); #265362=ORIENTED_EDGE('',*,*,#197166,.T.); #265363=ORIENTED_EDGE('',*,*,#197163,.T.); #265364=ORIENTED_EDGE('',*,*,#197160,.T.); #265365=ORIENTED_EDGE('',*,*,#197157,.T.); #265366=ORIENTED_EDGE('',*,*,#197154,.T.); #265367=ORIENTED_EDGE('',*,*,#197151,.T.); #265368=ORIENTED_EDGE('',*,*,#197148,.T.); #265369=ORIENTED_EDGE('',*,*,#197145,.T.); #265370=ORIENTED_EDGE('',*,*,#197142,.T.); #265371=ORIENTED_EDGE('',*,*,#197139,.T.); #265372=ORIENTED_EDGE('',*,*,#197136,.T.); #265373=ORIENTED_EDGE('',*,*,#197133,.T.); #265374=ORIENTED_EDGE('',*,*,#197130,.T.); #265375=ORIENTED_EDGE('',*,*,#197127,.T.); #265376=ORIENTED_EDGE('',*,*,#197124,.T.); #265377=ORIENTED_EDGE('',*,*,#197121,.T.); #265378=ORIENTED_EDGE('',*,*,#197118,.T.); #265379=ORIENTED_EDGE('',*,*,#197115,.T.); #265380=ORIENTED_EDGE('',*,*,#197112,.T.); #265381=ORIENTED_EDGE('',*,*,#197109,.T.); #265382=ORIENTED_EDGE('',*,*,#197106,.T.); #265383=ORIENTED_EDGE('',*,*,#197103,.T.); #265384=ORIENTED_EDGE('',*,*,#197100,.T.); #265385=ORIENTED_EDGE('',*,*,#197097,.T.); #265386=ORIENTED_EDGE('',*,*,#197094,.T.); #265387=ORIENTED_EDGE('',*,*,#197091,.T.); #265388=ORIENTED_EDGE('',*,*,#197085,.T.); #265389=ORIENTED_EDGE('',*,*,#197088,.T.); #265390=ORIENTED_EDGE('',*,*,#197219,.F.); #265391=ORIENTED_EDGE('',*,*,#197089,.F.); #265392=ORIENTED_EDGE('',*,*,#197093,.F.); #265393=ORIENTED_EDGE('',*,*,#197096,.F.); #265394=ORIENTED_EDGE('',*,*,#197099,.F.); #265395=ORIENTED_EDGE('',*,*,#197102,.F.); #265396=ORIENTED_EDGE('',*,*,#197105,.F.); #265397=ORIENTED_EDGE('',*,*,#197108,.F.); #265398=ORIENTED_EDGE('',*,*,#197111,.F.); #265399=ORIENTED_EDGE('',*,*,#197114,.F.); #265400=ORIENTED_EDGE('',*,*,#197117,.F.); #265401=ORIENTED_EDGE('',*,*,#197120,.F.); #265402=ORIENTED_EDGE('',*,*,#197123,.F.); #265403=ORIENTED_EDGE('',*,*,#197126,.F.); #265404=ORIENTED_EDGE('',*,*,#197129,.F.); #265405=ORIENTED_EDGE('',*,*,#197132,.F.); #265406=ORIENTED_EDGE('',*,*,#197135,.F.); #265407=ORIENTED_EDGE('',*,*,#197138,.F.); #265408=ORIENTED_EDGE('',*,*,#197141,.F.); #265409=ORIENTED_EDGE('',*,*,#197144,.F.); #265410=ORIENTED_EDGE('',*,*,#197147,.F.); #265411=ORIENTED_EDGE('',*,*,#197150,.F.); #265412=ORIENTED_EDGE('',*,*,#197153,.F.); #265413=ORIENTED_EDGE('',*,*,#197156,.F.); #265414=ORIENTED_EDGE('',*,*,#197159,.F.); #265415=ORIENTED_EDGE('',*,*,#197162,.F.); #265416=ORIENTED_EDGE('',*,*,#197165,.F.); #265417=ORIENTED_EDGE('',*,*,#197168,.F.); #265418=ORIENTED_EDGE('',*,*,#197171,.F.); #265419=ORIENTED_EDGE('',*,*,#197174,.F.); #265420=ORIENTED_EDGE('',*,*,#197177,.F.); #265421=ORIENTED_EDGE('',*,*,#197180,.F.); #265422=ORIENTED_EDGE('',*,*,#197183,.F.); #265423=ORIENTED_EDGE('',*,*,#197186,.F.); #265424=ORIENTED_EDGE('',*,*,#197189,.F.); #265425=ORIENTED_EDGE('',*,*,#197192,.F.); #265426=ORIENTED_EDGE('',*,*,#197195,.F.); #265427=ORIENTED_EDGE('',*,*,#197198,.F.); #265428=ORIENTED_EDGE('',*,*,#197201,.F.); #265429=ORIENTED_EDGE('',*,*,#197204,.F.); #265430=ORIENTED_EDGE('',*,*,#197207,.F.); #265431=ORIENTED_EDGE('',*,*,#197210,.F.); #265432=ORIENTED_EDGE('',*,*,#197213,.F.); #265433=ORIENTED_EDGE('',*,*,#197216,.F.); #265434=ORIENTED_EDGE('',*,*,#197083,.T.); #265435=ORIENTED_EDGE('',*,*,#197086,.T.); #265436=ORIENTED_EDGE('',*,*,#197221,.F.); #265437=ORIENTED_EDGE('',*,*,#197222,.T.); #265438=ORIENTED_EDGE('',*,*,#197223,.F.); #265439=ORIENTED_EDGE('',*,*,#197222,.F.); #265440=ORIENTED_EDGE('',*,*,#197224,.F.); #265441=ORIENTED_EDGE('',*,*,#197225,.T.); #265442=ORIENTED_EDGE('',*,*,#197226,.F.); #265443=ORIENTED_EDGE('',*,*,#197225,.F.); #265444=ORIENTED_EDGE('',*,*,#197227,.T.); #265445=ORIENTED_EDGE('',*,*,#197228,.T.); #265446=ORIENTED_EDGE('',*,*,#197229,.F.); #265447=ORIENTED_EDGE('',*,*,#197230,.F.); #265448=ORIENTED_EDGE('',*,*,#197231,.T.); #265449=ORIENTED_EDGE('',*,*,#197230,.T.); #265450=ORIENTED_EDGE('',*,*,#197232,.F.); #265451=ORIENTED_EDGE('',*,*,#197233,.F.); #265452=ORIENTED_EDGE('',*,*,#197234,.T.); #265453=ORIENTED_EDGE('',*,*,#197233,.T.); #265454=ORIENTED_EDGE('',*,*,#197235,.F.); #265455=ORIENTED_EDGE('',*,*,#197236,.F.); #265456=ORIENTED_EDGE('',*,*,#197237,.T.); #265457=ORIENTED_EDGE('',*,*,#197236,.T.); #265458=ORIENTED_EDGE('',*,*,#197238,.F.); #265459=ORIENTED_EDGE('',*,*,#197239,.F.); #265460=ORIENTED_EDGE('',*,*,#197240,.T.); #265461=ORIENTED_EDGE('',*,*,#197239,.T.); #265462=ORIENTED_EDGE('',*,*,#197241,.F.); #265463=ORIENTED_EDGE('',*,*,#197242,.F.); #265464=ORIENTED_EDGE('',*,*,#197243,.T.); #265465=ORIENTED_EDGE('',*,*,#197242,.T.); #265466=ORIENTED_EDGE('',*,*,#197244,.F.); #265467=ORIENTED_EDGE('',*,*,#197245,.F.); #265468=ORIENTED_EDGE('',*,*,#197246,.T.); #265469=ORIENTED_EDGE('',*,*,#197245,.T.); #265470=ORIENTED_EDGE('',*,*,#197247,.F.); #265471=ORIENTED_EDGE('',*,*,#197248,.F.); #265472=ORIENTED_EDGE('',*,*,#197249,.T.); #265473=ORIENTED_EDGE('',*,*,#197248,.T.); #265474=ORIENTED_EDGE('',*,*,#197250,.F.); #265475=ORIENTED_EDGE('',*,*,#197251,.F.); #265476=ORIENTED_EDGE('',*,*,#197252,.T.); #265477=ORIENTED_EDGE('',*,*,#197251,.T.); #265478=ORIENTED_EDGE('',*,*,#197253,.F.); #265479=ORIENTED_EDGE('',*,*,#197254,.F.); #265480=ORIENTED_EDGE('',*,*,#197255,.T.); #265481=ORIENTED_EDGE('',*,*,#197254,.T.); #265482=ORIENTED_EDGE('',*,*,#197256,.F.); #265483=ORIENTED_EDGE('',*,*,#197257,.F.); #265484=ORIENTED_EDGE('',*,*,#197258,.T.); #265485=ORIENTED_EDGE('',*,*,#197257,.T.); #265486=ORIENTED_EDGE('',*,*,#197259,.F.); #265487=ORIENTED_EDGE('',*,*,#197228,.F.); #265488=ORIENTED_EDGE('',*,*,#197259,.T.); #265489=ORIENTED_EDGE('',*,*,#197256,.T.); #265490=ORIENTED_EDGE('',*,*,#197253,.T.); #265491=ORIENTED_EDGE('',*,*,#197250,.T.); #265492=ORIENTED_EDGE('',*,*,#197247,.T.); #265493=ORIENTED_EDGE('',*,*,#197244,.T.); #265494=ORIENTED_EDGE('',*,*,#197241,.T.); #265495=ORIENTED_EDGE('',*,*,#197238,.T.); #265496=ORIENTED_EDGE('',*,*,#197235,.T.); #265497=ORIENTED_EDGE('',*,*,#197232,.T.); #265498=ORIENTED_EDGE('',*,*,#197229,.T.); #265499=ORIENTED_EDGE('',*,*,#197223,.T.); #265500=ORIENTED_EDGE('',*,*,#197226,.T.); #265501=ORIENTED_EDGE('',*,*,#197258,.F.); #265502=ORIENTED_EDGE('',*,*,#197227,.F.); #265503=ORIENTED_EDGE('',*,*,#197231,.F.); #265504=ORIENTED_EDGE('',*,*,#197234,.F.); #265505=ORIENTED_EDGE('',*,*,#197237,.F.); #265506=ORIENTED_EDGE('',*,*,#197240,.F.); #265507=ORIENTED_EDGE('',*,*,#197243,.F.); #265508=ORIENTED_EDGE('',*,*,#197246,.F.); #265509=ORIENTED_EDGE('',*,*,#197249,.F.); #265510=ORIENTED_EDGE('',*,*,#197252,.F.); #265511=ORIENTED_EDGE('',*,*,#197255,.F.); #265512=ORIENTED_EDGE('',*,*,#197221,.T.); #265513=ORIENTED_EDGE('',*,*,#197224,.T.); #265514=ORIENTED_EDGE('',*,*,#197260,.F.); #265515=ORIENTED_EDGE('',*,*,#197261,.T.); #265516=ORIENTED_EDGE('',*,*,#197262,.F.); #265517=ORIENTED_EDGE('',*,*,#197261,.F.); #265518=ORIENTED_EDGE('',*,*,#197263,.T.); #265519=ORIENTED_EDGE('',*,*,#197264,.T.); #265520=ORIENTED_EDGE('',*,*,#197265,.F.); #265521=ORIENTED_EDGE('',*,*,#197266,.F.); #265522=ORIENTED_EDGE('',*,*,#197267,.T.); #265523=ORIENTED_EDGE('',*,*,#197266,.T.); #265524=ORIENTED_EDGE('',*,*,#197268,.F.); #265525=ORIENTED_EDGE('',*,*,#197269,.F.); #265526=ORIENTED_EDGE('',*,*,#197270,.T.); #265527=ORIENTED_EDGE('',*,*,#197269,.T.); #265528=ORIENTED_EDGE('',*,*,#197271,.F.); #265529=ORIENTED_EDGE('',*,*,#197272,.F.); #265530=ORIENTED_EDGE('',*,*,#197273,.T.); #265531=ORIENTED_EDGE('',*,*,#197272,.T.); #265532=ORIENTED_EDGE('',*,*,#197274,.F.); #265533=ORIENTED_EDGE('',*,*,#197275,.F.); #265534=ORIENTED_EDGE('',*,*,#197276,.T.); #265535=ORIENTED_EDGE('',*,*,#197275,.T.); #265536=ORIENTED_EDGE('',*,*,#197277,.F.); #265537=ORIENTED_EDGE('',*,*,#197278,.F.); #265538=ORIENTED_EDGE('',*,*,#197279,.T.); #265539=ORIENTED_EDGE('',*,*,#197278,.T.); #265540=ORIENTED_EDGE('',*,*,#197280,.F.); #265541=ORIENTED_EDGE('',*,*,#197281,.F.); #265542=ORIENTED_EDGE('',*,*,#197282,.T.); #265543=ORIENTED_EDGE('',*,*,#197281,.T.); #265544=ORIENTED_EDGE('',*,*,#197283,.F.); #265545=ORIENTED_EDGE('',*,*,#197284,.F.); #265546=ORIENTED_EDGE('',*,*,#197285,.T.); #265547=ORIENTED_EDGE('',*,*,#197284,.T.); #265548=ORIENTED_EDGE('',*,*,#197286,.F.); #265549=ORIENTED_EDGE('',*,*,#197287,.F.); #265550=ORIENTED_EDGE('',*,*,#197288,.T.); #265551=ORIENTED_EDGE('',*,*,#197287,.T.); #265552=ORIENTED_EDGE('',*,*,#197289,.F.); #265553=ORIENTED_EDGE('',*,*,#197290,.F.); #265554=ORIENTED_EDGE('',*,*,#197291,.T.); #265555=ORIENTED_EDGE('',*,*,#197290,.T.); #265556=ORIENTED_EDGE('',*,*,#197292,.F.); #265557=ORIENTED_EDGE('',*,*,#197293,.F.); #265558=ORIENTED_EDGE('',*,*,#197294,.T.); #265559=ORIENTED_EDGE('',*,*,#197293,.T.); #265560=ORIENTED_EDGE('',*,*,#197295,.F.); #265561=ORIENTED_EDGE('',*,*,#197296,.F.); #265562=ORIENTED_EDGE('',*,*,#197297,.T.); #265563=ORIENTED_EDGE('',*,*,#197296,.T.); #265564=ORIENTED_EDGE('',*,*,#197298,.F.); #265565=ORIENTED_EDGE('',*,*,#197299,.F.); #265566=ORIENTED_EDGE('',*,*,#197300,.T.); #265567=ORIENTED_EDGE('',*,*,#197299,.T.); #265568=ORIENTED_EDGE('',*,*,#197301,.F.); #265569=ORIENTED_EDGE('',*,*,#197302,.F.); #265570=ORIENTED_EDGE('',*,*,#197303,.T.); #265571=ORIENTED_EDGE('',*,*,#197302,.T.); #265572=ORIENTED_EDGE('',*,*,#197304,.F.); #265573=ORIENTED_EDGE('',*,*,#197305,.F.); #265574=ORIENTED_EDGE('',*,*,#197306,.T.); #265575=ORIENTED_EDGE('',*,*,#197305,.T.); #265576=ORIENTED_EDGE('',*,*,#197307,.F.); #265577=ORIENTED_EDGE('',*,*,#197308,.F.); #265578=ORIENTED_EDGE('',*,*,#197309,.T.); #265579=ORIENTED_EDGE('',*,*,#197308,.T.); #265580=ORIENTED_EDGE('',*,*,#197310,.F.); #265581=ORIENTED_EDGE('',*,*,#197311,.F.); #265582=ORIENTED_EDGE('',*,*,#197312,.T.); #265583=ORIENTED_EDGE('',*,*,#197311,.T.); #265584=ORIENTED_EDGE('',*,*,#197313,.F.); #265585=ORIENTED_EDGE('',*,*,#197314,.F.); #265586=ORIENTED_EDGE('',*,*,#197315,.T.); #265587=ORIENTED_EDGE('',*,*,#197314,.T.); #265588=ORIENTED_EDGE('',*,*,#197316,.F.); #265589=ORIENTED_EDGE('',*,*,#197317,.F.); #265590=ORIENTED_EDGE('',*,*,#197318,.T.); #265591=ORIENTED_EDGE('',*,*,#197317,.T.); #265592=ORIENTED_EDGE('',*,*,#197319,.F.); #265593=ORIENTED_EDGE('',*,*,#197320,.F.); #265594=ORIENTED_EDGE('',*,*,#197321,.T.); #265595=ORIENTED_EDGE('',*,*,#197320,.T.); #265596=ORIENTED_EDGE('',*,*,#197322,.F.); #265597=ORIENTED_EDGE('',*,*,#197323,.F.); #265598=ORIENTED_EDGE('',*,*,#197324,.T.); #265599=ORIENTED_EDGE('',*,*,#197323,.T.); #265600=ORIENTED_EDGE('',*,*,#197325,.F.); #265601=ORIENTED_EDGE('',*,*,#197326,.F.); #265602=ORIENTED_EDGE('',*,*,#197327,.T.); #265603=ORIENTED_EDGE('',*,*,#197326,.T.); #265604=ORIENTED_EDGE('',*,*,#197328,.F.); #265605=ORIENTED_EDGE('',*,*,#197329,.F.); #265606=ORIENTED_EDGE('',*,*,#197330,.T.); #265607=ORIENTED_EDGE('',*,*,#197329,.T.); #265608=ORIENTED_EDGE('',*,*,#197331,.F.); #265609=ORIENTED_EDGE('',*,*,#197332,.F.); #265610=ORIENTED_EDGE('',*,*,#197333,.T.); #265611=ORIENTED_EDGE('',*,*,#197332,.T.); #265612=ORIENTED_EDGE('',*,*,#197334,.F.); #265613=ORIENTED_EDGE('',*,*,#197264,.F.); #265614=ORIENTED_EDGE('',*,*,#197334,.T.); #265615=ORIENTED_EDGE('',*,*,#197331,.T.); #265616=ORIENTED_EDGE('',*,*,#197328,.T.); #265617=ORIENTED_EDGE('',*,*,#197325,.T.); #265618=ORIENTED_EDGE('',*,*,#197322,.T.); #265619=ORIENTED_EDGE('',*,*,#197319,.T.); #265620=ORIENTED_EDGE('',*,*,#197316,.T.); #265621=ORIENTED_EDGE('',*,*,#197313,.T.); #265622=ORIENTED_EDGE('',*,*,#197310,.T.); #265623=ORIENTED_EDGE('',*,*,#197307,.T.); #265624=ORIENTED_EDGE('',*,*,#197304,.T.); #265625=ORIENTED_EDGE('',*,*,#197301,.T.); #265626=ORIENTED_EDGE('',*,*,#197298,.T.); #265627=ORIENTED_EDGE('',*,*,#197295,.T.); #265628=ORIENTED_EDGE('',*,*,#197292,.T.); #265629=ORIENTED_EDGE('',*,*,#197289,.T.); #265630=ORIENTED_EDGE('',*,*,#197286,.T.); #265631=ORIENTED_EDGE('',*,*,#197283,.T.); #265632=ORIENTED_EDGE('',*,*,#197280,.T.); #265633=ORIENTED_EDGE('',*,*,#197277,.T.); #265634=ORIENTED_EDGE('',*,*,#197274,.T.); #265635=ORIENTED_EDGE('',*,*,#197271,.T.); #265636=ORIENTED_EDGE('',*,*,#197268,.T.); #265637=ORIENTED_EDGE('',*,*,#197265,.T.); #265638=ORIENTED_EDGE('',*,*,#197262,.T.); #265639=ORIENTED_EDGE('',*,*,#197333,.F.); #265640=ORIENTED_EDGE('',*,*,#197263,.F.); #265641=ORIENTED_EDGE('',*,*,#197267,.F.); #265642=ORIENTED_EDGE('',*,*,#197270,.F.); #265643=ORIENTED_EDGE('',*,*,#197273,.F.); #265644=ORIENTED_EDGE('',*,*,#197276,.F.); #265645=ORIENTED_EDGE('',*,*,#197279,.F.); #265646=ORIENTED_EDGE('',*,*,#197282,.F.); #265647=ORIENTED_EDGE('',*,*,#197285,.F.); #265648=ORIENTED_EDGE('',*,*,#197288,.F.); #265649=ORIENTED_EDGE('',*,*,#197291,.F.); #265650=ORIENTED_EDGE('',*,*,#197294,.F.); #265651=ORIENTED_EDGE('',*,*,#197297,.F.); #265652=ORIENTED_EDGE('',*,*,#197300,.F.); #265653=ORIENTED_EDGE('',*,*,#197303,.F.); #265654=ORIENTED_EDGE('',*,*,#197306,.F.); #265655=ORIENTED_EDGE('',*,*,#197309,.F.); #265656=ORIENTED_EDGE('',*,*,#197312,.F.); #265657=ORIENTED_EDGE('',*,*,#197315,.F.); #265658=ORIENTED_EDGE('',*,*,#197318,.F.); #265659=ORIENTED_EDGE('',*,*,#197321,.F.); #265660=ORIENTED_EDGE('',*,*,#197324,.F.); #265661=ORIENTED_EDGE('',*,*,#197327,.F.); #265662=ORIENTED_EDGE('',*,*,#197330,.F.); #265663=ORIENTED_EDGE('',*,*,#197260,.T.); #265664=ORIENTED_EDGE('',*,*,#197335,.F.); #265665=ORIENTED_EDGE('',*,*,#197336,.T.); #265666=ORIENTED_EDGE('',*,*,#197337,.F.); #265667=ORIENTED_EDGE('',*,*,#197336,.F.); #265668=ORIENTED_EDGE('',*,*,#197338,.T.); #265669=ORIENTED_EDGE('',*,*,#197339,.T.); #265670=ORIENTED_EDGE('',*,*,#197340,.F.); #265671=ORIENTED_EDGE('',*,*,#197341,.F.); #265672=ORIENTED_EDGE('',*,*,#197342,.T.); #265673=ORIENTED_EDGE('',*,*,#197341,.T.); #265674=ORIENTED_EDGE('',*,*,#197343,.F.); #265675=ORIENTED_EDGE('',*,*,#197344,.F.); #265676=ORIENTED_EDGE('',*,*,#197345,.T.); #265677=ORIENTED_EDGE('',*,*,#197344,.T.); #265678=ORIENTED_EDGE('',*,*,#197346,.F.); #265679=ORIENTED_EDGE('',*,*,#197347,.F.); #265680=ORIENTED_EDGE('',*,*,#197348,.T.); #265681=ORIENTED_EDGE('',*,*,#197347,.T.); #265682=ORIENTED_EDGE('',*,*,#197349,.F.); #265683=ORIENTED_EDGE('',*,*,#197350,.F.); #265684=ORIENTED_EDGE('',*,*,#197351,.T.); #265685=ORIENTED_EDGE('',*,*,#197350,.T.); #265686=ORIENTED_EDGE('',*,*,#197352,.F.); #265687=ORIENTED_EDGE('',*,*,#197353,.F.); #265688=ORIENTED_EDGE('',*,*,#197354,.T.); #265689=ORIENTED_EDGE('',*,*,#197353,.T.); #265690=ORIENTED_EDGE('',*,*,#197355,.F.); #265691=ORIENTED_EDGE('',*,*,#197356,.F.); #265692=ORIENTED_EDGE('',*,*,#197357,.T.); #265693=ORIENTED_EDGE('',*,*,#197356,.T.); #265694=ORIENTED_EDGE('',*,*,#197358,.F.); #265695=ORIENTED_EDGE('',*,*,#197359,.F.); #265696=ORIENTED_EDGE('',*,*,#197360,.T.); #265697=ORIENTED_EDGE('',*,*,#197359,.T.); #265698=ORIENTED_EDGE('',*,*,#197361,.F.); #265699=ORIENTED_EDGE('',*,*,#197362,.F.); #265700=ORIENTED_EDGE('',*,*,#197363,.T.); #265701=ORIENTED_EDGE('',*,*,#197362,.T.); #265702=ORIENTED_EDGE('',*,*,#197364,.F.); #265703=ORIENTED_EDGE('',*,*,#197365,.F.); #265704=ORIENTED_EDGE('',*,*,#197366,.T.); #265705=ORIENTED_EDGE('',*,*,#197365,.T.); #265706=ORIENTED_EDGE('',*,*,#197367,.F.); #265707=ORIENTED_EDGE('',*,*,#197368,.F.); #265708=ORIENTED_EDGE('',*,*,#197369,.T.); #265709=ORIENTED_EDGE('',*,*,#197368,.T.); #265710=ORIENTED_EDGE('',*,*,#197370,.F.); #265711=ORIENTED_EDGE('',*,*,#197371,.F.); #265712=ORIENTED_EDGE('',*,*,#197372,.T.); #265713=ORIENTED_EDGE('',*,*,#197371,.T.); #265714=ORIENTED_EDGE('',*,*,#197373,.F.); #265715=ORIENTED_EDGE('',*,*,#197374,.F.); #265716=ORIENTED_EDGE('',*,*,#197375,.T.); #265717=ORIENTED_EDGE('',*,*,#197374,.T.); #265718=ORIENTED_EDGE('',*,*,#197376,.F.); #265719=ORIENTED_EDGE('',*,*,#197377,.F.); #265720=ORIENTED_EDGE('',*,*,#197378,.T.); #265721=ORIENTED_EDGE('',*,*,#197377,.T.); #265722=ORIENTED_EDGE('',*,*,#197379,.F.); #265723=ORIENTED_EDGE('',*,*,#197380,.F.); #265724=ORIENTED_EDGE('',*,*,#197381,.T.); #265725=ORIENTED_EDGE('',*,*,#197380,.T.); #265726=ORIENTED_EDGE('',*,*,#197382,.F.); #265727=ORIENTED_EDGE('',*,*,#197383,.F.); #265728=ORIENTED_EDGE('',*,*,#197384,.T.); #265729=ORIENTED_EDGE('',*,*,#197383,.T.); #265730=ORIENTED_EDGE('',*,*,#197385,.F.); #265731=ORIENTED_EDGE('',*,*,#197386,.F.); #265732=ORIENTED_EDGE('',*,*,#197387,.T.); #265733=ORIENTED_EDGE('',*,*,#197386,.T.); #265734=ORIENTED_EDGE('',*,*,#197388,.F.); #265735=ORIENTED_EDGE('',*,*,#197389,.F.); #265736=ORIENTED_EDGE('',*,*,#197390,.T.); #265737=ORIENTED_EDGE('',*,*,#197389,.T.); #265738=ORIENTED_EDGE('',*,*,#197391,.F.); #265739=ORIENTED_EDGE('',*,*,#197392,.F.); #265740=ORIENTED_EDGE('',*,*,#197393,.T.); #265741=ORIENTED_EDGE('',*,*,#197392,.T.); #265742=ORIENTED_EDGE('',*,*,#197394,.F.); #265743=ORIENTED_EDGE('',*,*,#197395,.F.); #265744=ORIENTED_EDGE('',*,*,#197396,.T.); #265745=ORIENTED_EDGE('',*,*,#197395,.T.); #265746=ORIENTED_EDGE('',*,*,#197397,.F.); #265747=ORIENTED_EDGE('',*,*,#197398,.F.); #265748=ORIENTED_EDGE('',*,*,#197399,.T.); #265749=ORIENTED_EDGE('',*,*,#197398,.T.); #265750=ORIENTED_EDGE('',*,*,#197400,.F.); #265751=ORIENTED_EDGE('',*,*,#197401,.F.); #265752=ORIENTED_EDGE('',*,*,#197402,.T.); #265753=ORIENTED_EDGE('',*,*,#197401,.T.); #265754=ORIENTED_EDGE('',*,*,#197403,.F.); #265755=ORIENTED_EDGE('',*,*,#197404,.F.); #265756=ORIENTED_EDGE('',*,*,#197405,.T.); #265757=ORIENTED_EDGE('',*,*,#197404,.T.); #265758=ORIENTED_EDGE('',*,*,#197406,.F.); #265759=ORIENTED_EDGE('',*,*,#197407,.F.); #265760=ORIENTED_EDGE('',*,*,#197408,.T.); #265761=ORIENTED_EDGE('',*,*,#197407,.T.); #265762=ORIENTED_EDGE('',*,*,#197409,.F.); #265763=ORIENTED_EDGE('',*,*,#197410,.F.); #265764=ORIENTED_EDGE('',*,*,#197411,.T.); #265765=ORIENTED_EDGE('',*,*,#197410,.T.); #265766=ORIENTED_EDGE('',*,*,#197412,.F.); #265767=ORIENTED_EDGE('',*,*,#197413,.F.); #265768=ORIENTED_EDGE('',*,*,#197414,.T.); #265769=ORIENTED_EDGE('',*,*,#197413,.T.); #265770=ORIENTED_EDGE('',*,*,#197415,.F.); #265771=ORIENTED_EDGE('',*,*,#197339,.F.); #265772=ORIENTED_EDGE('',*,*,#197415,.T.); #265773=ORIENTED_EDGE('',*,*,#197412,.T.); #265774=ORIENTED_EDGE('',*,*,#197409,.T.); #265775=ORIENTED_EDGE('',*,*,#197406,.T.); #265776=ORIENTED_EDGE('',*,*,#197403,.T.); #265777=ORIENTED_EDGE('',*,*,#197400,.T.); #265778=ORIENTED_EDGE('',*,*,#197397,.T.); #265779=ORIENTED_EDGE('',*,*,#197394,.T.); #265780=ORIENTED_EDGE('',*,*,#197391,.T.); #265781=ORIENTED_EDGE('',*,*,#197388,.T.); #265782=ORIENTED_EDGE('',*,*,#197385,.T.); #265783=ORIENTED_EDGE('',*,*,#197382,.T.); #265784=ORIENTED_EDGE('',*,*,#197379,.T.); #265785=ORIENTED_EDGE('',*,*,#197376,.T.); #265786=ORIENTED_EDGE('',*,*,#197373,.T.); #265787=ORIENTED_EDGE('',*,*,#197370,.T.); #265788=ORIENTED_EDGE('',*,*,#197367,.T.); #265789=ORIENTED_EDGE('',*,*,#197364,.T.); #265790=ORIENTED_EDGE('',*,*,#197361,.T.); #265791=ORIENTED_EDGE('',*,*,#197358,.T.); #265792=ORIENTED_EDGE('',*,*,#197355,.T.); #265793=ORIENTED_EDGE('',*,*,#197352,.T.); #265794=ORIENTED_EDGE('',*,*,#197349,.T.); #265795=ORIENTED_EDGE('',*,*,#197346,.T.); #265796=ORIENTED_EDGE('',*,*,#197343,.T.); #265797=ORIENTED_EDGE('',*,*,#197340,.T.); #265798=ORIENTED_EDGE('',*,*,#197337,.T.); #265799=ORIENTED_EDGE('',*,*,#197414,.F.); #265800=ORIENTED_EDGE('',*,*,#197338,.F.); #265801=ORIENTED_EDGE('',*,*,#197342,.F.); #265802=ORIENTED_EDGE('',*,*,#197345,.F.); #265803=ORIENTED_EDGE('',*,*,#197348,.F.); #265804=ORIENTED_EDGE('',*,*,#197351,.F.); #265805=ORIENTED_EDGE('',*,*,#197354,.F.); #265806=ORIENTED_EDGE('',*,*,#197357,.F.); #265807=ORIENTED_EDGE('',*,*,#197360,.F.); #265808=ORIENTED_EDGE('',*,*,#197363,.F.); #265809=ORIENTED_EDGE('',*,*,#197366,.F.); #265810=ORIENTED_EDGE('',*,*,#197369,.F.); #265811=ORIENTED_EDGE('',*,*,#197372,.F.); #265812=ORIENTED_EDGE('',*,*,#197375,.F.); #265813=ORIENTED_EDGE('',*,*,#197378,.F.); #265814=ORIENTED_EDGE('',*,*,#197381,.F.); #265815=ORIENTED_EDGE('',*,*,#197384,.F.); #265816=ORIENTED_EDGE('',*,*,#197387,.F.); #265817=ORIENTED_EDGE('',*,*,#197390,.F.); #265818=ORIENTED_EDGE('',*,*,#197393,.F.); #265819=ORIENTED_EDGE('',*,*,#197396,.F.); #265820=ORIENTED_EDGE('',*,*,#197399,.F.); #265821=ORIENTED_EDGE('',*,*,#197402,.F.); #265822=ORIENTED_EDGE('',*,*,#197405,.F.); #265823=ORIENTED_EDGE('',*,*,#197408,.F.); #265824=ORIENTED_EDGE('',*,*,#197411,.F.); #265825=ORIENTED_EDGE('',*,*,#197335,.T.); #265826=ORIENTED_EDGE('',*,*,#197416,.F.); #265827=ORIENTED_EDGE('',*,*,#197417,.T.); #265828=ORIENTED_EDGE('',*,*,#197418,.F.); #265829=ORIENTED_EDGE('',*,*,#197417,.F.); #265830=ORIENTED_EDGE('',*,*,#197419,.F.); #265831=ORIENTED_EDGE('',*,*,#197420,.T.); #265832=ORIENTED_EDGE('',*,*,#197421,.F.); #265833=ORIENTED_EDGE('',*,*,#197420,.F.); #265834=ORIENTED_EDGE('',*,*,#197422,.T.); #265835=ORIENTED_EDGE('',*,*,#197423,.T.); #265836=ORIENTED_EDGE('',*,*,#197424,.F.); #265837=ORIENTED_EDGE('',*,*,#197425,.F.); #265838=ORIENTED_EDGE('',*,*,#197426,.T.); #265839=ORIENTED_EDGE('',*,*,#197425,.T.); #265840=ORIENTED_EDGE('',*,*,#197427,.F.); #265841=ORIENTED_EDGE('',*,*,#197428,.F.); #265842=ORIENTED_EDGE('',*,*,#197429,.T.); #265843=ORIENTED_EDGE('',*,*,#197428,.T.); #265844=ORIENTED_EDGE('',*,*,#197430,.F.); #265845=ORIENTED_EDGE('',*,*,#197431,.F.); #265846=ORIENTED_EDGE('',*,*,#197432,.T.); #265847=ORIENTED_EDGE('',*,*,#197431,.T.); #265848=ORIENTED_EDGE('',*,*,#197433,.F.); #265849=ORIENTED_EDGE('',*,*,#197434,.F.); #265850=ORIENTED_EDGE('',*,*,#197435,.T.); #265851=ORIENTED_EDGE('',*,*,#197434,.T.); #265852=ORIENTED_EDGE('',*,*,#197436,.F.); #265853=ORIENTED_EDGE('',*,*,#197437,.F.); #265854=ORIENTED_EDGE('',*,*,#197438,.T.); #265855=ORIENTED_EDGE('',*,*,#197437,.T.); #265856=ORIENTED_EDGE('',*,*,#197439,.F.); #265857=ORIENTED_EDGE('',*,*,#197440,.F.); #265858=ORIENTED_EDGE('',*,*,#197441,.T.); #265859=ORIENTED_EDGE('',*,*,#197440,.T.); #265860=ORIENTED_EDGE('',*,*,#197442,.F.); #265861=ORIENTED_EDGE('',*,*,#197443,.F.); #265862=ORIENTED_EDGE('',*,*,#197444,.T.); #265863=ORIENTED_EDGE('',*,*,#197443,.T.); #265864=ORIENTED_EDGE('',*,*,#197445,.F.); #265865=ORIENTED_EDGE('',*,*,#197446,.F.); #265866=ORIENTED_EDGE('',*,*,#197447,.T.); #265867=ORIENTED_EDGE('',*,*,#197446,.T.); #265868=ORIENTED_EDGE('',*,*,#197448,.F.); #265869=ORIENTED_EDGE('',*,*,#197449,.F.); #265870=ORIENTED_EDGE('',*,*,#197450,.T.); #265871=ORIENTED_EDGE('',*,*,#197449,.T.); #265872=ORIENTED_EDGE('',*,*,#197451,.F.); #265873=ORIENTED_EDGE('',*,*,#197452,.F.); #265874=ORIENTED_EDGE('',*,*,#197453,.T.); #265875=ORIENTED_EDGE('',*,*,#197452,.T.); #265876=ORIENTED_EDGE('',*,*,#197454,.F.); #265877=ORIENTED_EDGE('',*,*,#197455,.F.); #265878=ORIENTED_EDGE('',*,*,#197456,.T.); #265879=ORIENTED_EDGE('',*,*,#197455,.T.); #265880=ORIENTED_EDGE('',*,*,#197457,.F.); #265881=ORIENTED_EDGE('',*,*,#197458,.F.); #265882=ORIENTED_EDGE('',*,*,#197459,.T.); #265883=ORIENTED_EDGE('',*,*,#197458,.T.); #265884=ORIENTED_EDGE('',*,*,#197460,.F.); #265885=ORIENTED_EDGE('',*,*,#197461,.F.); #265886=ORIENTED_EDGE('',*,*,#197462,.T.); #265887=ORIENTED_EDGE('',*,*,#197461,.T.); #265888=ORIENTED_EDGE('',*,*,#197463,.F.); #265889=ORIENTED_EDGE('',*,*,#197464,.F.); #265890=ORIENTED_EDGE('',*,*,#197465,.T.); #265891=ORIENTED_EDGE('',*,*,#197464,.T.); #265892=ORIENTED_EDGE('',*,*,#197466,.F.); #265893=ORIENTED_EDGE('',*,*,#197467,.F.); #265894=ORIENTED_EDGE('',*,*,#197468,.T.); #265895=ORIENTED_EDGE('',*,*,#197467,.T.); #265896=ORIENTED_EDGE('',*,*,#197469,.F.); #265897=ORIENTED_EDGE('',*,*,#197470,.F.); #265898=ORIENTED_EDGE('',*,*,#197471,.T.); #265899=ORIENTED_EDGE('',*,*,#197470,.T.); #265900=ORIENTED_EDGE('',*,*,#197472,.F.); #265901=ORIENTED_EDGE('',*,*,#197473,.F.); #265902=ORIENTED_EDGE('',*,*,#197474,.T.); #265903=ORIENTED_EDGE('',*,*,#197473,.T.); #265904=ORIENTED_EDGE('',*,*,#197475,.F.); #265905=ORIENTED_EDGE('',*,*,#197476,.F.); #265906=ORIENTED_EDGE('',*,*,#197477,.T.); #265907=ORIENTED_EDGE('',*,*,#197476,.T.); #265908=ORIENTED_EDGE('',*,*,#197478,.F.); #265909=ORIENTED_EDGE('',*,*,#197479,.F.); #265910=ORIENTED_EDGE('',*,*,#197480,.T.); #265911=ORIENTED_EDGE('',*,*,#197479,.T.); #265912=ORIENTED_EDGE('',*,*,#197481,.F.); #265913=ORIENTED_EDGE('',*,*,#197482,.F.); #265914=ORIENTED_EDGE('',*,*,#197483,.T.); #265915=ORIENTED_EDGE('',*,*,#197482,.T.); #265916=ORIENTED_EDGE('',*,*,#197484,.F.); #265917=ORIENTED_EDGE('',*,*,#197485,.F.); #265918=ORIENTED_EDGE('',*,*,#197486,.T.); #265919=ORIENTED_EDGE('',*,*,#197485,.T.); #265920=ORIENTED_EDGE('',*,*,#197487,.F.); #265921=ORIENTED_EDGE('',*,*,#197488,.F.); #265922=ORIENTED_EDGE('',*,*,#197489,.T.); #265923=ORIENTED_EDGE('',*,*,#197488,.T.); #265924=ORIENTED_EDGE('',*,*,#197490,.F.); #265925=ORIENTED_EDGE('',*,*,#197491,.F.); #265926=ORIENTED_EDGE('',*,*,#197492,.T.); #265927=ORIENTED_EDGE('',*,*,#197491,.T.); #265928=ORIENTED_EDGE('',*,*,#197493,.F.); #265929=ORIENTED_EDGE('',*,*,#197494,.F.); #265930=ORIENTED_EDGE('',*,*,#197495,.T.); #265931=ORIENTED_EDGE('',*,*,#197494,.T.); #265932=ORIENTED_EDGE('',*,*,#197496,.F.); #265933=ORIENTED_EDGE('',*,*,#197497,.F.); #265934=ORIENTED_EDGE('',*,*,#197498,.T.); #265935=ORIENTED_EDGE('',*,*,#197497,.T.); #265936=ORIENTED_EDGE('',*,*,#197499,.F.); #265937=ORIENTED_EDGE('',*,*,#197500,.F.); #265938=ORIENTED_EDGE('',*,*,#197501,.T.); #265939=ORIENTED_EDGE('',*,*,#197500,.T.); #265940=ORIENTED_EDGE('',*,*,#197502,.F.); #265941=ORIENTED_EDGE('',*,*,#197503,.F.); #265942=ORIENTED_EDGE('',*,*,#197504,.T.); #265943=ORIENTED_EDGE('',*,*,#197503,.T.); #265944=ORIENTED_EDGE('',*,*,#197505,.F.); #265945=ORIENTED_EDGE('',*,*,#197506,.F.); #265946=ORIENTED_EDGE('',*,*,#197507,.T.); #265947=ORIENTED_EDGE('',*,*,#197506,.T.); #265948=ORIENTED_EDGE('',*,*,#197508,.F.); #265949=ORIENTED_EDGE('',*,*,#197509,.F.); #265950=ORIENTED_EDGE('',*,*,#197510,.T.); #265951=ORIENTED_EDGE('',*,*,#197509,.T.); #265952=ORIENTED_EDGE('',*,*,#197511,.F.); #265953=ORIENTED_EDGE('',*,*,#197512,.F.); #265954=ORIENTED_EDGE('',*,*,#197513,.T.); #265955=ORIENTED_EDGE('',*,*,#197512,.T.); #265956=ORIENTED_EDGE('',*,*,#197514,.F.); #265957=ORIENTED_EDGE('',*,*,#197515,.F.); #265958=ORIENTED_EDGE('',*,*,#197516,.T.); #265959=ORIENTED_EDGE('',*,*,#197515,.T.); #265960=ORIENTED_EDGE('',*,*,#197517,.F.); #265961=ORIENTED_EDGE('',*,*,#197518,.F.); #265962=ORIENTED_EDGE('',*,*,#197519,.T.); #265963=ORIENTED_EDGE('',*,*,#197518,.T.); #265964=ORIENTED_EDGE('',*,*,#197520,.F.); #265965=ORIENTED_EDGE('',*,*,#197521,.F.); #265966=ORIENTED_EDGE('',*,*,#197522,.T.); #265967=ORIENTED_EDGE('',*,*,#197521,.T.); #265968=ORIENTED_EDGE('',*,*,#197523,.F.); #265969=ORIENTED_EDGE('',*,*,#197524,.F.); #265970=ORIENTED_EDGE('',*,*,#197525,.T.); #265971=ORIENTED_EDGE('',*,*,#197524,.T.); #265972=ORIENTED_EDGE('',*,*,#197526,.F.); #265973=ORIENTED_EDGE('',*,*,#197527,.F.); #265974=ORIENTED_EDGE('',*,*,#197528,.T.); #265975=ORIENTED_EDGE('',*,*,#197527,.T.); #265976=ORIENTED_EDGE('',*,*,#197529,.F.); #265977=ORIENTED_EDGE('',*,*,#197530,.F.); #265978=ORIENTED_EDGE('',*,*,#197531,.T.); #265979=ORIENTED_EDGE('',*,*,#197530,.T.); #265980=ORIENTED_EDGE('',*,*,#197532,.F.); #265981=ORIENTED_EDGE('',*,*,#197533,.F.); #265982=ORIENTED_EDGE('',*,*,#197534,.T.); #265983=ORIENTED_EDGE('',*,*,#197533,.T.); #265984=ORIENTED_EDGE('',*,*,#197535,.F.); #265985=ORIENTED_EDGE('',*,*,#197536,.F.); #265986=ORIENTED_EDGE('',*,*,#197537,.T.); #265987=ORIENTED_EDGE('',*,*,#197536,.T.); #265988=ORIENTED_EDGE('',*,*,#197538,.F.); #265989=ORIENTED_EDGE('',*,*,#197539,.F.); #265990=ORIENTED_EDGE('',*,*,#197540,.T.); #265991=ORIENTED_EDGE('',*,*,#197539,.T.); #265992=ORIENTED_EDGE('',*,*,#197541,.F.); #265993=ORIENTED_EDGE('',*,*,#197542,.F.); #265994=ORIENTED_EDGE('',*,*,#197543,.T.); #265995=ORIENTED_EDGE('',*,*,#197542,.T.); #265996=ORIENTED_EDGE('',*,*,#197544,.F.); #265997=ORIENTED_EDGE('',*,*,#197545,.F.); #265998=ORIENTED_EDGE('',*,*,#197546,.T.); #265999=ORIENTED_EDGE('',*,*,#197545,.T.); #266000=ORIENTED_EDGE('',*,*,#197547,.F.); #266001=ORIENTED_EDGE('',*,*,#197548,.F.); #266002=ORIENTED_EDGE('',*,*,#197549,.T.); #266003=ORIENTED_EDGE('',*,*,#197548,.T.); #266004=ORIENTED_EDGE('',*,*,#197550,.F.); #266005=ORIENTED_EDGE('',*,*,#197551,.F.); #266006=ORIENTED_EDGE('',*,*,#197552,.T.); #266007=ORIENTED_EDGE('',*,*,#197551,.T.); #266008=ORIENTED_EDGE('',*,*,#197553,.F.); #266009=ORIENTED_EDGE('',*,*,#197554,.F.); #266010=ORIENTED_EDGE('',*,*,#197555,.T.); #266011=ORIENTED_EDGE('',*,*,#197554,.T.); #266012=ORIENTED_EDGE('',*,*,#197556,.F.); #266013=ORIENTED_EDGE('',*,*,#197557,.F.); #266014=ORIENTED_EDGE('',*,*,#197558,.T.); #266015=ORIENTED_EDGE('',*,*,#197557,.T.); #266016=ORIENTED_EDGE('',*,*,#197559,.F.); #266017=ORIENTED_EDGE('',*,*,#197560,.F.); #266018=ORIENTED_EDGE('',*,*,#197561,.T.); #266019=ORIENTED_EDGE('',*,*,#197560,.T.); #266020=ORIENTED_EDGE('',*,*,#197562,.F.); #266021=ORIENTED_EDGE('',*,*,#197563,.F.); #266022=ORIENTED_EDGE('',*,*,#197564,.T.); #266023=ORIENTED_EDGE('',*,*,#197563,.T.); #266024=ORIENTED_EDGE('',*,*,#197565,.F.); #266025=ORIENTED_EDGE('',*,*,#197566,.F.); #266026=ORIENTED_EDGE('',*,*,#197567,.T.); #266027=ORIENTED_EDGE('',*,*,#197566,.T.); #266028=ORIENTED_EDGE('',*,*,#197568,.F.); #266029=ORIENTED_EDGE('',*,*,#197569,.F.); #266030=ORIENTED_EDGE('',*,*,#197570,.T.); #266031=ORIENTED_EDGE('',*,*,#197569,.T.); #266032=ORIENTED_EDGE('',*,*,#197571,.F.); #266033=ORIENTED_EDGE('',*,*,#197572,.F.); #266034=ORIENTED_EDGE('',*,*,#197573,.T.); #266035=ORIENTED_EDGE('',*,*,#197572,.T.); #266036=ORIENTED_EDGE('',*,*,#197574,.F.); #266037=ORIENTED_EDGE('',*,*,#197575,.F.); #266038=ORIENTED_EDGE('',*,*,#197576,.T.); #266039=ORIENTED_EDGE('',*,*,#197575,.T.); #266040=ORIENTED_EDGE('',*,*,#197577,.F.); #266041=ORIENTED_EDGE('',*,*,#197578,.F.); #266042=ORIENTED_EDGE('',*,*,#197579,.T.); #266043=ORIENTED_EDGE('',*,*,#197578,.T.); #266044=ORIENTED_EDGE('',*,*,#197580,.F.); #266045=ORIENTED_EDGE('',*,*,#197581,.F.); #266046=ORIENTED_EDGE('',*,*,#197582,.T.); #266047=ORIENTED_EDGE('',*,*,#197581,.T.); #266048=ORIENTED_EDGE('',*,*,#197583,.F.); #266049=ORIENTED_EDGE('',*,*,#197584,.F.); #266050=ORIENTED_EDGE('',*,*,#197585,.T.); #266051=ORIENTED_EDGE('',*,*,#197584,.T.); #266052=ORIENTED_EDGE('',*,*,#197586,.F.); #266053=ORIENTED_EDGE('',*,*,#197587,.F.); #266054=ORIENTED_EDGE('',*,*,#197588,.T.); #266055=ORIENTED_EDGE('',*,*,#197587,.T.); #266056=ORIENTED_EDGE('',*,*,#197589,.F.); #266057=ORIENTED_EDGE('',*,*,#197590,.F.); #266058=ORIENTED_EDGE('',*,*,#197591,.T.); #266059=ORIENTED_EDGE('',*,*,#197590,.T.); #266060=ORIENTED_EDGE('',*,*,#197592,.F.); #266061=ORIENTED_EDGE('',*,*,#197593,.F.); #266062=ORIENTED_EDGE('',*,*,#197594,.T.); #266063=ORIENTED_EDGE('',*,*,#197593,.T.); #266064=ORIENTED_EDGE('',*,*,#197595,.F.); #266065=ORIENTED_EDGE('',*,*,#197596,.F.); #266066=ORIENTED_EDGE('',*,*,#197597,.T.); #266067=ORIENTED_EDGE('',*,*,#197596,.T.); #266068=ORIENTED_EDGE('',*,*,#197598,.F.); #266069=ORIENTED_EDGE('',*,*,#197599,.F.); #266070=ORIENTED_EDGE('',*,*,#197600,.T.); #266071=ORIENTED_EDGE('',*,*,#197599,.T.); #266072=ORIENTED_EDGE('',*,*,#197601,.F.); #266073=ORIENTED_EDGE('',*,*,#197602,.F.); #266074=ORIENTED_EDGE('',*,*,#197603,.T.); #266075=ORIENTED_EDGE('',*,*,#197602,.T.); #266076=ORIENTED_EDGE('',*,*,#197604,.F.); #266077=ORIENTED_EDGE('',*,*,#197423,.F.); #266078=ORIENTED_EDGE('',*,*,#197604,.T.); #266079=ORIENTED_EDGE('',*,*,#197601,.T.); #266080=ORIENTED_EDGE('',*,*,#197598,.T.); #266081=ORIENTED_EDGE('',*,*,#197595,.T.); #266082=ORIENTED_EDGE('',*,*,#197592,.T.); #266083=ORIENTED_EDGE('',*,*,#197589,.T.); #266084=ORIENTED_EDGE('',*,*,#197586,.T.); #266085=ORIENTED_EDGE('',*,*,#197583,.T.); #266086=ORIENTED_EDGE('',*,*,#197580,.T.); #266087=ORIENTED_EDGE('',*,*,#197577,.T.); #266088=ORIENTED_EDGE('',*,*,#197574,.T.); #266089=ORIENTED_EDGE('',*,*,#197571,.T.); #266090=ORIENTED_EDGE('',*,*,#197568,.T.); #266091=ORIENTED_EDGE('',*,*,#197565,.T.); #266092=ORIENTED_EDGE('',*,*,#197562,.T.); #266093=ORIENTED_EDGE('',*,*,#197559,.T.); #266094=ORIENTED_EDGE('',*,*,#197556,.T.); #266095=ORIENTED_EDGE('',*,*,#197553,.T.); #266096=ORIENTED_EDGE('',*,*,#197550,.T.); #266097=ORIENTED_EDGE('',*,*,#197547,.T.); #266098=ORIENTED_EDGE('',*,*,#197544,.T.); #266099=ORIENTED_EDGE('',*,*,#197541,.T.); #266100=ORIENTED_EDGE('',*,*,#197538,.T.); #266101=ORIENTED_EDGE('',*,*,#197535,.T.); #266102=ORIENTED_EDGE('',*,*,#197532,.T.); #266103=ORIENTED_EDGE('',*,*,#197529,.T.); #266104=ORIENTED_EDGE('',*,*,#197526,.T.); #266105=ORIENTED_EDGE('',*,*,#197523,.T.); #266106=ORIENTED_EDGE('',*,*,#197520,.T.); #266107=ORIENTED_EDGE('',*,*,#197517,.T.); #266108=ORIENTED_EDGE('',*,*,#197514,.T.); #266109=ORIENTED_EDGE('',*,*,#197511,.T.); #266110=ORIENTED_EDGE('',*,*,#197508,.T.); #266111=ORIENTED_EDGE('',*,*,#197505,.T.); #266112=ORIENTED_EDGE('',*,*,#197502,.T.); #266113=ORIENTED_EDGE('',*,*,#197499,.T.); #266114=ORIENTED_EDGE('',*,*,#197496,.T.); #266115=ORIENTED_EDGE('',*,*,#197493,.T.); #266116=ORIENTED_EDGE('',*,*,#197490,.T.); #266117=ORIENTED_EDGE('',*,*,#197487,.T.); #266118=ORIENTED_EDGE('',*,*,#197484,.T.); #266119=ORIENTED_EDGE('',*,*,#197481,.T.); #266120=ORIENTED_EDGE('',*,*,#197478,.T.); #266121=ORIENTED_EDGE('',*,*,#197475,.T.); #266122=ORIENTED_EDGE('',*,*,#197472,.T.); #266123=ORIENTED_EDGE('',*,*,#197469,.T.); #266124=ORIENTED_EDGE('',*,*,#197466,.T.); #266125=ORIENTED_EDGE('',*,*,#197463,.T.); #266126=ORIENTED_EDGE('',*,*,#197460,.T.); #266127=ORIENTED_EDGE('',*,*,#197457,.T.); #266128=ORIENTED_EDGE('',*,*,#197454,.T.); #266129=ORIENTED_EDGE('',*,*,#197451,.T.); #266130=ORIENTED_EDGE('',*,*,#197448,.T.); #266131=ORIENTED_EDGE('',*,*,#197445,.T.); #266132=ORIENTED_EDGE('',*,*,#197442,.T.); #266133=ORIENTED_EDGE('',*,*,#197439,.T.); #266134=ORIENTED_EDGE('',*,*,#197436,.T.); #266135=ORIENTED_EDGE('',*,*,#197433,.T.); #266136=ORIENTED_EDGE('',*,*,#197430,.T.); #266137=ORIENTED_EDGE('',*,*,#197427,.T.); #266138=ORIENTED_EDGE('',*,*,#197424,.T.); #266139=ORIENTED_EDGE('',*,*,#197418,.T.); #266140=ORIENTED_EDGE('',*,*,#197421,.T.); #266141=ORIENTED_EDGE('',*,*,#197603,.F.); #266142=ORIENTED_EDGE('',*,*,#197422,.F.); #266143=ORIENTED_EDGE('',*,*,#197426,.F.); #266144=ORIENTED_EDGE('',*,*,#197429,.F.); #266145=ORIENTED_EDGE('',*,*,#197432,.F.); #266146=ORIENTED_EDGE('',*,*,#197435,.F.); #266147=ORIENTED_EDGE('',*,*,#197438,.F.); #266148=ORIENTED_EDGE('',*,*,#197441,.F.); #266149=ORIENTED_EDGE('',*,*,#197444,.F.); #266150=ORIENTED_EDGE('',*,*,#197447,.F.); #266151=ORIENTED_EDGE('',*,*,#197450,.F.); #266152=ORIENTED_EDGE('',*,*,#197453,.F.); #266153=ORIENTED_EDGE('',*,*,#197456,.F.); #266154=ORIENTED_EDGE('',*,*,#197459,.F.); #266155=ORIENTED_EDGE('',*,*,#197462,.F.); #266156=ORIENTED_EDGE('',*,*,#197465,.F.); #266157=ORIENTED_EDGE('',*,*,#197468,.F.); #266158=ORIENTED_EDGE('',*,*,#197471,.F.); #266159=ORIENTED_EDGE('',*,*,#197474,.F.); #266160=ORIENTED_EDGE('',*,*,#197477,.F.); #266161=ORIENTED_EDGE('',*,*,#197480,.F.); #266162=ORIENTED_EDGE('',*,*,#197483,.F.); #266163=ORIENTED_EDGE('',*,*,#197486,.F.); #266164=ORIENTED_EDGE('',*,*,#197489,.F.); #266165=ORIENTED_EDGE('',*,*,#197492,.F.); #266166=ORIENTED_EDGE('',*,*,#197495,.F.); #266167=ORIENTED_EDGE('',*,*,#197498,.F.); #266168=ORIENTED_EDGE('',*,*,#197501,.F.); #266169=ORIENTED_EDGE('',*,*,#197504,.F.); #266170=ORIENTED_EDGE('',*,*,#197507,.F.); #266171=ORIENTED_EDGE('',*,*,#197510,.F.); #266172=ORIENTED_EDGE('',*,*,#197513,.F.); #266173=ORIENTED_EDGE('',*,*,#197516,.F.); #266174=ORIENTED_EDGE('',*,*,#197519,.F.); #266175=ORIENTED_EDGE('',*,*,#197522,.F.); #266176=ORIENTED_EDGE('',*,*,#197525,.F.); #266177=ORIENTED_EDGE('',*,*,#197528,.F.); #266178=ORIENTED_EDGE('',*,*,#197531,.F.); #266179=ORIENTED_EDGE('',*,*,#197534,.F.); #266180=ORIENTED_EDGE('',*,*,#197537,.F.); #266181=ORIENTED_EDGE('',*,*,#197540,.F.); #266182=ORIENTED_EDGE('',*,*,#197543,.F.); #266183=ORIENTED_EDGE('',*,*,#197546,.F.); #266184=ORIENTED_EDGE('',*,*,#197549,.F.); #266185=ORIENTED_EDGE('',*,*,#197552,.F.); #266186=ORIENTED_EDGE('',*,*,#197555,.F.); #266187=ORIENTED_EDGE('',*,*,#197558,.F.); #266188=ORIENTED_EDGE('',*,*,#197561,.F.); #266189=ORIENTED_EDGE('',*,*,#197564,.F.); #266190=ORIENTED_EDGE('',*,*,#197567,.F.); #266191=ORIENTED_EDGE('',*,*,#197570,.F.); #266192=ORIENTED_EDGE('',*,*,#197573,.F.); #266193=ORIENTED_EDGE('',*,*,#197576,.F.); #266194=ORIENTED_EDGE('',*,*,#197579,.F.); #266195=ORIENTED_EDGE('',*,*,#197582,.F.); #266196=ORIENTED_EDGE('',*,*,#197585,.F.); #266197=ORIENTED_EDGE('',*,*,#197588,.F.); #266198=ORIENTED_EDGE('',*,*,#197591,.F.); #266199=ORIENTED_EDGE('',*,*,#197594,.F.); #266200=ORIENTED_EDGE('',*,*,#197597,.F.); #266201=ORIENTED_EDGE('',*,*,#197600,.F.); #266202=ORIENTED_EDGE('',*,*,#197416,.T.); #266203=ORIENTED_EDGE('',*,*,#197419,.T.); #266204=ORIENTED_EDGE('',*,*,#197605,.F.); #266205=ORIENTED_EDGE('',*,*,#197606,.T.); #266206=ORIENTED_EDGE('',*,*,#197607,.F.); #266207=ORIENTED_EDGE('',*,*,#197606,.F.); #266208=ORIENTED_EDGE('',*,*,#197608,.F.); #266209=ORIENTED_EDGE('',*,*,#197609,.T.); #266210=ORIENTED_EDGE('',*,*,#197610,.F.); #266211=ORIENTED_EDGE('',*,*,#197609,.F.); #266212=ORIENTED_EDGE('',*,*,#197611,.T.); #266213=ORIENTED_EDGE('',*,*,#197612,.T.); #266214=ORIENTED_EDGE('',*,*,#197613,.F.); #266215=ORIENTED_EDGE('',*,*,#197614,.F.); #266216=ORIENTED_EDGE('',*,*,#197615,.T.); #266217=ORIENTED_EDGE('',*,*,#197614,.T.); #266218=ORIENTED_EDGE('',*,*,#197616,.F.); #266219=ORIENTED_EDGE('',*,*,#197617,.F.); #266220=ORIENTED_EDGE('',*,*,#197618,.T.); #266221=ORIENTED_EDGE('',*,*,#197617,.T.); #266222=ORIENTED_EDGE('',*,*,#197619,.F.); #266223=ORIENTED_EDGE('',*,*,#197620,.F.); #266224=ORIENTED_EDGE('',*,*,#197621,.T.); #266225=ORIENTED_EDGE('',*,*,#197620,.T.); #266226=ORIENTED_EDGE('',*,*,#197622,.F.); #266227=ORIENTED_EDGE('',*,*,#197623,.F.); #266228=ORIENTED_EDGE('',*,*,#197624,.T.); #266229=ORIENTED_EDGE('',*,*,#197623,.T.); #266230=ORIENTED_EDGE('',*,*,#197625,.F.); #266231=ORIENTED_EDGE('',*,*,#197626,.F.); #266232=ORIENTED_EDGE('',*,*,#197627,.T.); #266233=ORIENTED_EDGE('',*,*,#197626,.T.); #266234=ORIENTED_EDGE('',*,*,#197628,.F.); #266235=ORIENTED_EDGE('',*,*,#197629,.F.); #266236=ORIENTED_EDGE('',*,*,#197630,.T.); #266237=ORIENTED_EDGE('',*,*,#197629,.T.); #266238=ORIENTED_EDGE('',*,*,#197631,.F.); #266239=ORIENTED_EDGE('',*,*,#197632,.F.); #266240=ORIENTED_EDGE('',*,*,#197633,.T.); #266241=ORIENTED_EDGE('',*,*,#197632,.T.); #266242=ORIENTED_EDGE('',*,*,#197634,.F.); #266243=ORIENTED_EDGE('',*,*,#197612,.F.); #266244=ORIENTED_EDGE('',*,*,#197634,.T.); #266245=ORIENTED_EDGE('',*,*,#197631,.T.); #266246=ORIENTED_EDGE('',*,*,#197628,.T.); #266247=ORIENTED_EDGE('',*,*,#197625,.T.); #266248=ORIENTED_EDGE('',*,*,#197622,.T.); #266249=ORIENTED_EDGE('',*,*,#197619,.T.); #266250=ORIENTED_EDGE('',*,*,#197616,.T.); #266251=ORIENTED_EDGE('',*,*,#197613,.T.); #266252=ORIENTED_EDGE('',*,*,#197607,.T.); #266253=ORIENTED_EDGE('',*,*,#197610,.T.); #266254=ORIENTED_EDGE('',*,*,#197633,.F.); #266255=ORIENTED_EDGE('',*,*,#197611,.F.); #266256=ORIENTED_EDGE('',*,*,#197615,.F.); #266257=ORIENTED_EDGE('',*,*,#197618,.F.); #266258=ORIENTED_EDGE('',*,*,#197621,.F.); #266259=ORIENTED_EDGE('',*,*,#197624,.F.); #266260=ORIENTED_EDGE('',*,*,#197627,.F.); #266261=ORIENTED_EDGE('',*,*,#197630,.F.); #266262=ORIENTED_EDGE('',*,*,#197605,.T.); #266263=ORIENTED_EDGE('',*,*,#197608,.T.); #266264=ORIENTED_EDGE('',*,*,#197635,.F.); #266265=ORIENTED_EDGE('',*,*,#197636,.T.); #266266=ORIENTED_EDGE('',*,*,#197637,.F.); #266267=ORIENTED_EDGE('',*,*,#197636,.F.); #266268=ORIENTED_EDGE('',*,*,#197638,.F.); #266269=ORIENTED_EDGE('',*,*,#197639,.T.); #266270=ORIENTED_EDGE('',*,*,#197640,.F.); #266271=ORIENTED_EDGE('',*,*,#197639,.F.); #266272=ORIENTED_EDGE('',*,*,#197641,.T.); #266273=ORIENTED_EDGE('',*,*,#197642,.T.); #266274=ORIENTED_EDGE('',*,*,#197643,.F.); #266275=ORIENTED_EDGE('',*,*,#197644,.F.); #266276=ORIENTED_EDGE('',*,*,#197645,.T.); #266277=ORIENTED_EDGE('',*,*,#197644,.T.); #266278=ORIENTED_EDGE('',*,*,#197646,.F.); #266279=ORIENTED_EDGE('',*,*,#197647,.F.); #266280=ORIENTED_EDGE('',*,*,#197648,.T.); #266281=ORIENTED_EDGE('',*,*,#197647,.T.); #266282=ORIENTED_EDGE('',*,*,#197649,.F.); #266283=ORIENTED_EDGE('',*,*,#197650,.F.); #266284=ORIENTED_EDGE('',*,*,#197651,.T.); #266285=ORIENTED_EDGE('',*,*,#197650,.T.); #266286=ORIENTED_EDGE('',*,*,#197652,.F.); #266287=ORIENTED_EDGE('',*,*,#197653,.F.); #266288=ORIENTED_EDGE('',*,*,#197654,.T.); #266289=ORIENTED_EDGE('',*,*,#197653,.T.); #266290=ORIENTED_EDGE('',*,*,#197655,.F.); #266291=ORIENTED_EDGE('',*,*,#197656,.F.); #266292=ORIENTED_EDGE('',*,*,#197657,.T.); #266293=ORIENTED_EDGE('',*,*,#197656,.T.); #266294=ORIENTED_EDGE('',*,*,#197658,.F.); #266295=ORIENTED_EDGE('',*,*,#197659,.F.); #266296=ORIENTED_EDGE('',*,*,#197660,.T.); #266297=ORIENTED_EDGE('',*,*,#197659,.T.); #266298=ORIENTED_EDGE('',*,*,#197661,.F.); #266299=ORIENTED_EDGE('',*,*,#197662,.F.); #266300=ORIENTED_EDGE('',*,*,#197663,.T.); #266301=ORIENTED_EDGE('',*,*,#197662,.T.); #266302=ORIENTED_EDGE('',*,*,#197664,.F.); #266303=ORIENTED_EDGE('',*,*,#197642,.F.); #266304=ORIENTED_EDGE('',*,*,#197664,.T.); #266305=ORIENTED_EDGE('',*,*,#197661,.T.); #266306=ORIENTED_EDGE('',*,*,#197658,.T.); #266307=ORIENTED_EDGE('',*,*,#197655,.T.); #266308=ORIENTED_EDGE('',*,*,#197652,.T.); #266309=ORIENTED_EDGE('',*,*,#197649,.T.); #266310=ORIENTED_EDGE('',*,*,#197646,.T.); #266311=ORIENTED_EDGE('',*,*,#197643,.T.); #266312=ORIENTED_EDGE('',*,*,#197637,.T.); #266313=ORIENTED_EDGE('',*,*,#197640,.T.); #266314=ORIENTED_EDGE('',*,*,#197663,.F.); #266315=ORIENTED_EDGE('',*,*,#197641,.F.); #266316=ORIENTED_EDGE('',*,*,#197645,.F.); #266317=ORIENTED_EDGE('',*,*,#197648,.F.); #266318=ORIENTED_EDGE('',*,*,#197651,.F.); #266319=ORIENTED_EDGE('',*,*,#197654,.F.); #266320=ORIENTED_EDGE('',*,*,#197657,.F.); #266321=ORIENTED_EDGE('',*,*,#197660,.F.); #266322=ORIENTED_EDGE('',*,*,#197635,.T.); #266323=ORIENTED_EDGE('',*,*,#197638,.T.); #266324=ORIENTED_EDGE('',*,*,#197665,.F.); #266325=ORIENTED_EDGE('',*,*,#197666,.T.); #266326=ORIENTED_EDGE('',*,*,#197667,.F.); #266327=ORIENTED_EDGE('',*,*,#197666,.F.); #266328=ORIENTED_EDGE('',*,*,#197668,.F.); #266329=ORIENTED_EDGE('',*,*,#197669,.T.); #266330=ORIENTED_EDGE('',*,*,#197670,.F.); #266331=ORIENTED_EDGE('',*,*,#197669,.F.); #266332=ORIENTED_EDGE('',*,*,#197671,.T.); #266333=ORIENTED_EDGE('',*,*,#197672,.T.); #266334=ORIENTED_EDGE('',*,*,#197673,.F.); #266335=ORIENTED_EDGE('',*,*,#197674,.F.); #266336=ORIENTED_EDGE('',*,*,#197675,.T.); #266337=ORIENTED_EDGE('',*,*,#197674,.T.); #266338=ORIENTED_EDGE('',*,*,#197676,.F.); #266339=ORIENTED_EDGE('',*,*,#197677,.F.); #266340=ORIENTED_EDGE('',*,*,#197678,.T.); #266341=ORIENTED_EDGE('',*,*,#197677,.T.); #266342=ORIENTED_EDGE('',*,*,#197679,.F.); #266343=ORIENTED_EDGE('',*,*,#197680,.F.); #266344=ORIENTED_EDGE('',*,*,#197681,.T.); #266345=ORIENTED_EDGE('',*,*,#197680,.T.); #266346=ORIENTED_EDGE('',*,*,#197682,.F.); #266347=ORIENTED_EDGE('',*,*,#197683,.F.); #266348=ORIENTED_EDGE('',*,*,#197684,.T.); #266349=ORIENTED_EDGE('',*,*,#197683,.T.); #266350=ORIENTED_EDGE('',*,*,#197685,.F.); #266351=ORIENTED_EDGE('',*,*,#197686,.F.); #266352=ORIENTED_EDGE('',*,*,#197687,.T.); #266353=ORIENTED_EDGE('',*,*,#197686,.T.); #266354=ORIENTED_EDGE('',*,*,#197688,.F.); #266355=ORIENTED_EDGE('',*,*,#197689,.F.); #266356=ORIENTED_EDGE('',*,*,#197690,.T.); #266357=ORIENTED_EDGE('',*,*,#197689,.T.); #266358=ORIENTED_EDGE('',*,*,#197691,.F.); #266359=ORIENTED_EDGE('',*,*,#197692,.F.); #266360=ORIENTED_EDGE('',*,*,#197693,.T.); #266361=ORIENTED_EDGE('',*,*,#197692,.T.); #266362=ORIENTED_EDGE('',*,*,#197694,.F.); #266363=ORIENTED_EDGE('',*,*,#197672,.F.); #266364=ORIENTED_EDGE('',*,*,#197694,.T.); #266365=ORIENTED_EDGE('',*,*,#197691,.T.); #266366=ORIENTED_EDGE('',*,*,#197688,.T.); #266367=ORIENTED_EDGE('',*,*,#197685,.T.); #266368=ORIENTED_EDGE('',*,*,#197682,.T.); #266369=ORIENTED_EDGE('',*,*,#197679,.T.); #266370=ORIENTED_EDGE('',*,*,#197676,.T.); #266371=ORIENTED_EDGE('',*,*,#197673,.T.); #266372=ORIENTED_EDGE('',*,*,#197667,.T.); #266373=ORIENTED_EDGE('',*,*,#197670,.T.); #266374=ORIENTED_EDGE('',*,*,#197693,.F.); #266375=ORIENTED_EDGE('',*,*,#197671,.F.); #266376=ORIENTED_EDGE('',*,*,#197675,.F.); #266377=ORIENTED_EDGE('',*,*,#197678,.F.); #266378=ORIENTED_EDGE('',*,*,#197681,.F.); #266379=ORIENTED_EDGE('',*,*,#197684,.F.); #266380=ORIENTED_EDGE('',*,*,#197687,.F.); #266381=ORIENTED_EDGE('',*,*,#197690,.F.); #266382=ORIENTED_EDGE('',*,*,#197665,.T.); #266383=ORIENTED_EDGE('',*,*,#197668,.T.); #266384=ORIENTED_EDGE('',*,*,#197695,.F.); #266385=ORIENTED_EDGE('',*,*,#197696,.T.); #266386=ORIENTED_EDGE('',*,*,#197697,.F.); #266387=ORIENTED_EDGE('',*,*,#197696,.F.); #266388=ORIENTED_EDGE('',*,*,#197698,.F.); #266389=ORIENTED_EDGE('',*,*,#197699,.T.); #266390=ORIENTED_EDGE('',*,*,#197700,.F.); #266391=ORIENTED_EDGE('',*,*,#197699,.F.); #266392=ORIENTED_EDGE('',*,*,#197701,.T.); #266393=ORIENTED_EDGE('',*,*,#197702,.T.); #266394=ORIENTED_EDGE('',*,*,#197703,.F.); #266395=ORIENTED_EDGE('',*,*,#197704,.F.); #266396=ORIENTED_EDGE('',*,*,#197705,.T.); #266397=ORIENTED_EDGE('',*,*,#197704,.T.); #266398=ORIENTED_EDGE('',*,*,#197706,.F.); #266399=ORIENTED_EDGE('',*,*,#197707,.F.); #266400=ORIENTED_EDGE('',*,*,#197708,.T.); #266401=ORIENTED_EDGE('',*,*,#197707,.T.); #266402=ORIENTED_EDGE('',*,*,#197709,.F.); #266403=ORIENTED_EDGE('',*,*,#197710,.F.); #266404=ORIENTED_EDGE('',*,*,#197711,.T.); #266405=ORIENTED_EDGE('',*,*,#197710,.T.); #266406=ORIENTED_EDGE('',*,*,#197712,.F.); #266407=ORIENTED_EDGE('',*,*,#197713,.F.); #266408=ORIENTED_EDGE('',*,*,#197714,.T.); #266409=ORIENTED_EDGE('',*,*,#197713,.T.); #266410=ORIENTED_EDGE('',*,*,#197715,.F.); #266411=ORIENTED_EDGE('',*,*,#197716,.F.); #266412=ORIENTED_EDGE('',*,*,#197717,.T.); #266413=ORIENTED_EDGE('',*,*,#197716,.T.); #266414=ORIENTED_EDGE('',*,*,#197718,.F.); #266415=ORIENTED_EDGE('',*,*,#197719,.F.); #266416=ORIENTED_EDGE('',*,*,#197720,.T.); #266417=ORIENTED_EDGE('',*,*,#197719,.T.); #266418=ORIENTED_EDGE('',*,*,#197721,.F.); #266419=ORIENTED_EDGE('',*,*,#197722,.F.); #266420=ORIENTED_EDGE('',*,*,#197723,.T.); #266421=ORIENTED_EDGE('',*,*,#197722,.T.); #266422=ORIENTED_EDGE('',*,*,#197724,.F.); #266423=ORIENTED_EDGE('',*,*,#197725,.F.); #266424=ORIENTED_EDGE('',*,*,#197726,.T.); #266425=ORIENTED_EDGE('',*,*,#197725,.T.); #266426=ORIENTED_EDGE('',*,*,#197727,.F.); #266427=ORIENTED_EDGE('',*,*,#197728,.F.); #266428=ORIENTED_EDGE('',*,*,#197729,.T.); #266429=ORIENTED_EDGE('',*,*,#197728,.T.); #266430=ORIENTED_EDGE('',*,*,#197730,.F.); #266431=ORIENTED_EDGE('',*,*,#197731,.F.); #266432=ORIENTED_EDGE('',*,*,#197732,.T.); #266433=ORIENTED_EDGE('',*,*,#197731,.T.); #266434=ORIENTED_EDGE('',*,*,#197733,.F.); #266435=ORIENTED_EDGE('',*,*,#197734,.F.); #266436=ORIENTED_EDGE('',*,*,#197735,.T.); #266437=ORIENTED_EDGE('',*,*,#197734,.T.); #266438=ORIENTED_EDGE('',*,*,#197736,.F.); #266439=ORIENTED_EDGE('',*,*,#197737,.F.); #266440=ORIENTED_EDGE('',*,*,#197738,.T.); #266441=ORIENTED_EDGE('',*,*,#197737,.T.); #266442=ORIENTED_EDGE('',*,*,#197739,.F.); #266443=ORIENTED_EDGE('',*,*,#197702,.F.); #266444=ORIENTED_EDGE('',*,*,#197739,.T.); #266445=ORIENTED_EDGE('',*,*,#197736,.T.); #266446=ORIENTED_EDGE('',*,*,#197733,.T.); #266447=ORIENTED_EDGE('',*,*,#197730,.T.); #266448=ORIENTED_EDGE('',*,*,#197727,.T.); #266449=ORIENTED_EDGE('',*,*,#197724,.T.); #266450=ORIENTED_EDGE('',*,*,#197721,.T.); #266451=ORIENTED_EDGE('',*,*,#197718,.T.); #266452=ORIENTED_EDGE('',*,*,#197715,.T.); #266453=ORIENTED_EDGE('',*,*,#197712,.T.); #266454=ORIENTED_EDGE('',*,*,#197709,.T.); #266455=ORIENTED_EDGE('',*,*,#197706,.T.); #266456=ORIENTED_EDGE('',*,*,#197703,.T.); #266457=ORIENTED_EDGE('',*,*,#197697,.T.); #266458=ORIENTED_EDGE('',*,*,#197700,.T.); #266459=ORIENTED_EDGE('',*,*,#197738,.F.); #266460=ORIENTED_EDGE('',*,*,#197701,.F.); #266461=ORIENTED_EDGE('',*,*,#197705,.F.); #266462=ORIENTED_EDGE('',*,*,#197708,.F.); #266463=ORIENTED_EDGE('',*,*,#197711,.F.); #266464=ORIENTED_EDGE('',*,*,#197714,.F.); #266465=ORIENTED_EDGE('',*,*,#197717,.F.); #266466=ORIENTED_EDGE('',*,*,#197720,.F.); #266467=ORIENTED_EDGE('',*,*,#197723,.F.); #266468=ORIENTED_EDGE('',*,*,#197726,.F.); #266469=ORIENTED_EDGE('',*,*,#197729,.F.); #266470=ORIENTED_EDGE('',*,*,#197732,.F.); #266471=ORIENTED_EDGE('',*,*,#197735,.F.); #266472=ORIENTED_EDGE('',*,*,#197695,.T.); #266473=ORIENTED_EDGE('',*,*,#197698,.T.); #266474=ORIENTED_EDGE('',*,*,#197740,.F.); #266475=ORIENTED_EDGE('',*,*,#197741,.T.); #266476=ORIENTED_EDGE('',*,*,#197742,.F.); #266477=ORIENTED_EDGE('',*,*,#197741,.F.); #266478=ORIENTED_EDGE('',*,*,#197743,.T.); #266479=ORIENTED_EDGE('',*,*,#197744,.T.); #266480=ORIENTED_EDGE('',*,*,#197745,.F.); #266481=ORIENTED_EDGE('',*,*,#197746,.F.); #266482=ORIENTED_EDGE('',*,*,#197747,.T.); #266483=ORIENTED_EDGE('',*,*,#197746,.T.); #266484=ORIENTED_EDGE('',*,*,#197748,.F.); #266485=ORIENTED_EDGE('',*,*,#197749,.F.); #266486=ORIENTED_EDGE('',*,*,#197750,.T.); #266487=ORIENTED_EDGE('',*,*,#197749,.T.); #266488=ORIENTED_EDGE('',*,*,#197751,.F.); #266489=ORIENTED_EDGE('',*,*,#197752,.F.); #266490=ORIENTED_EDGE('',*,*,#197753,.T.); #266491=ORIENTED_EDGE('',*,*,#197752,.T.); #266492=ORIENTED_EDGE('',*,*,#197754,.F.); #266493=ORIENTED_EDGE('',*,*,#197744,.F.); #266494=ORIENTED_EDGE('',*,*,#197754,.T.); #266495=ORIENTED_EDGE('',*,*,#197751,.T.); #266496=ORIENTED_EDGE('',*,*,#197748,.T.); #266497=ORIENTED_EDGE('',*,*,#197745,.T.); #266498=ORIENTED_EDGE('',*,*,#197742,.T.); #266499=ORIENTED_EDGE('',*,*,#197753,.F.); #266500=ORIENTED_EDGE('',*,*,#197743,.F.); #266501=ORIENTED_EDGE('',*,*,#197747,.F.); #266502=ORIENTED_EDGE('',*,*,#197750,.F.); #266503=ORIENTED_EDGE('',*,*,#197740,.T.); #266504=ORIENTED_EDGE('',*,*,#197755,.F.); #266505=ORIENTED_EDGE('',*,*,#197756,.T.); #266506=ORIENTED_EDGE('',*,*,#197757,.F.); #266507=ORIENTED_EDGE('',*,*,#197756,.F.); #266508=ORIENTED_EDGE('',*,*,#197758,.T.); #266509=ORIENTED_EDGE('',*,*,#197759,.T.); #266510=ORIENTED_EDGE('',*,*,#197760,.F.); #266511=ORIENTED_EDGE('',*,*,#197761,.F.); #266512=ORIENTED_EDGE('',*,*,#197762,.T.); #266513=ORIENTED_EDGE('',*,*,#197761,.T.); #266514=ORIENTED_EDGE('',*,*,#197763,.F.); #266515=ORIENTED_EDGE('',*,*,#197764,.F.); #266516=ORIENTED_EDGE('',*,*,#197765,.T.); #266517=ORIENTED_EDGE('',*,*,#197764,.T.); #266518=ORIENTED_EDGE('',*,*,#197766,.F.); #266519=ORIENTED_EDGE('',*,*,#197767,.F.); #266520=ORIENTED_EDGE('',*,*,#197768,.T.); #266521=ORIENTED_EDGE('',*,*,#197767,.T.); #266522=ORIENTED_EDGE('',*,*,#197769,.F.); #266523=ORIENTED_EDGE('',*,*,#197759,.F.); #266524=ORIENTED_EDGE('',*,*,#197769,.T.); #266525=ORIENTED_EDGE('',*,*,#197766,.T.); #266526=ORIENTED_EDGE('',*,*,#197763,.T.); #266527=ORIENTED_EDGE('',*,*,#197760,.T.); #266528=ORIENTED_EDGE('',*,*,#197757,.T.); #266529=ORIENTED_EDGE('',*,*,#197768,.F.); #266530=ORIENTED_EDGE('',*,*,#197758,.F.); #266531=ORIENTED_EDGE('',*,*,#197762,.F.); #266532=ORIENTED_EDGE('',*,*,#197765,.F.); #266533=ORIENTED_EDGE('',*,*,#197755,.T.); #266534=ORIENTED_EDGE('',*,*,#197770,.F.); #266535=ORIENTED_EDGE('',*,*,#197771,.T.); #266536=ORIENTED_EDGE('',*,*,#197772,.F.); #266537=ORIENTED_EDGE('',*,*,#197771,.F.); #266538=ORIENTED_EDGE('',*,*,#197773,.T.); #266539=ORIENTED_EDGE('',*,*,#197774,.T.); #266540=ORIENTED_EDGE('',*,*,#197775,.F.); #266541=ORIENTED_EDGE('',*,*,#197776,.F.); #266542=ORIENTED_EDGE('',*,*,#197777,.T.); #266543=ORIENTED_EDGE('',*,*,#197776,.T.); #266544=ORIENTED_EDGE('',*,*,#197778,.F.); #266545=ORIENTED_EDGE('',*,*,#197779,.F.); #266546=ORIENTED_EDGE('',*,*,#197780,.T.); #266547=ORIENTED_EDGE('',*,*,#197779,.T.); #266548=ORIENTED_EDGE('',*,*,#197781,.F.); #266549=ORIENTED_EDGE('',*,*,#197782,.F.); #266550=ORIENTED_EDGE('',*,*,#197783,.T.); #266551=ORIENTED_EDGE('',*,*,#197782,.T.); #266552=ORIENTED_EDGE('',*,*,#197784,.F.); #266553=ORIENTED_EDGE('',*,*,#197774,.F.); #266554=ORIENTED_EDGE('',*,*,#197784,.T.); #266555=ORIENTED_EDGE('',*,*,#197781,.T.); #266556=ORIENTED_EDGE('',*,*,#197778,.T.); #266557=ORIENTED_EDGE('',*,*,#197775,.T.); #266558=ORIENTED_EDGE('',*,*,#197772,.T.); #266559=ORIENTED_EDGE('',*,*,#197783,.F.); #266560=ORIENTED_EDGE('',*,*,#197773,.F.); #266561=ORIENTED_EDGE('',*,*,#197777,.F.); #266562=ORIENTED_EDGE('',*,*,#197780,.F.); #266563=ORIENTED_EDGE('',*,*,#197770,.T.); #266564=ORIENTED_EDGE('',*,*,#197785,.F.); #266565=ORIENTED_EDGE('',*,*,#197786,.T.); #266566=ORIENTED_EDGE('',*,*,#197787,.F.); #266567=ORIENTED_EDGE('',*,*,#197786,.F.); #266568=ORIENTED_EDGE('',*,*,#197788,.T.); #266569=ORIENTED_EDGE('',*,*,#197789,.T.); #266570=ORIENTED_EDGE('',*,*,#197790,.F.); #266571=ORIENTED_EDGE('',*,*,#197791,.F.); #266572=ORIENTED_EDGE('',*,*,#197792,.T.); #266573=ORIENTED_EDGE('',*,*,#197791,.T.); #266574=ORIENTED_EDGE('',*,*,#197793,.F.); #266575=ORIENTED_EDGE('',*,*,#197794,.F.); #266576=ORIENTED_EDGE('',*,*,#197795,.T.); #266577=ORIENTED_EDGE('',*,*,#197794,.T.); #266578=ORIENTED_EDGE('',*,*,#197796,.F.); #266579=ORIENTED_EDGE('',*,*,#197797,.F.); #266580=ORIENTED_EDGE('',*,*,#197798,.T.); #266581=ORIENTED_EDGE('',*,*,#197797,.T.); #266582=ORIENTED_EDGE('',*,*,#197799,.F.); #266583=ORIENTED_EDGE('',*,*,#197800,.F.); #266584=ORIENTED_EDGE('',*,*,#197801,.T.); #266585=ORIENTED_EDGE('',*,*,#197800,.T.); #266586=ORIENTED_EDGE('',*,*,#197802,.F.); #266587=ORIENTED_EDGE('',*,*,#197803,.F.); #266588=ORIENTED_EDGE('',*,*,#197804,.T.); #266589=ORIENTED_EDGE('',*,*,#197803,.T.); #266590=ORIENTED_EDGE('',*,*,#197805,.F.); #266591=ORIENTED_EDGE('',*,*,#197806,.F.); #266592=ORIENTED_EDGE('',*,*,#197807,.T.); #266593=ORIENTED_EDGE('',*,*,#197806,.T.); #266594=ORIENTED_EDGE('',*,*,#197808,.F.); #266595=ORIENTED_EDGE('',*,*,#197809,.F.); #266596=ORIENTED_EDGE('',*,*,#197810,.T.); #266597=ORIENTED_EDGE('',*,*,#197809,.T.); #266598=ORIENTED_EDGE('',*,*,#197811,.F.); #266599=ORIENTED_EDGE('',*,*,#197812,.F.); #266600=ORIENTED_EDGE('',*,*,#197813,.T.); #266601=ORIENTED_EDGE('',*,*,#197812,.T.); #266602=ORIENTED_EDGE('',*,*,#197814,.F.); #266603=ORIENTED_EDGE('',*,*,#197815,.F.); #266604=ORIENTED_EDGE('',*,*,#197816,.T.); #266605=ORIENTED_EDGE('',*,*,#197815,.T.); #266606=ORIENTED_EDGE('',*,*,#197817,.F.); #266607=ORIENTED_EDGE('',*,*,#197789,.F.); #266608=ORIENTED_EDGE('',*,*,#197817,.T.); #266609=ORIENTED_EDGE('',*,*,#197814,.T.); #266610=ORIENTED_EDGE('',*,*,#197811,.T.); #266611=ORIENTED_EDGE('',*,*,#197808,.T.); #266612=ORIENTED_EDGE('',*,*,#197805,.T.); #266613=ORIENTED_EDGE('',*,*,#197802,.T.); #266614=ORIENTED_EDGE('',*,*,#197799,.T.); #266615=ORIENTED_EDGE('',*,*,#197796,.T.); #266616=ORIENTED_EDGE('',*,*,#197793,.T.); #266617=ORIENTED_EDGE('',*,*,#197790,.T.); #266618=ORIENTED_EDGE('',*,*,#197787,.T.); #266619=ORIENTED_EDGE('',*,*,#197816,.F.); #266620=ORIENTED_EDGE('',*,*,#197788,.F.); #266621=ORIENTED_EDGE('',*,*,#197792,.F.); #266622=ORIENTED_EDGE('',*,*,#197795,.F.); #266623=ORIENTED_EDGE('',*,*,#197798,.F.); #266624=ORIENTED_EDGE('',*,*,#197801,.F.); #266625=ORIENTED_EDGE('',*,*,#197804,.F.); #266626=ORIENTED_EDGE('',*,*,#197807,.F.); #266627=ORIENTED_EDGE('',*,*,#197810,.F.); #266628=ORIENTED_EDGE('',*,*,#197813,.F.); #266629=ORIENTED_EDGE('',*,*,#197785,.T.); #266630=ORIENTED_EDGE('',*,*,#197818,.F.); #266631=ORIENTED_EDGE('',*,*,#197819,.T.); #266632=ORIENTED_EDGE('',*,*,#197820,.F.); #266633=ORIENTED_EDGE('',*,*,#197819,.F.); #266634=ORIENTED_EDGE('',*,*,#197821,.F.); #266635=ORIENTED_EDGE('',*,*,#197822,.T.); #266636=ORIENTED_EDGE('',*,*,#197823,.F.); #266637=ORIENTED_EDGE('',*,*,#197822,.F.); #266638=ORIENTED_EDGE('',*,*,#197824,.T.); #266639=ORIENTED_EDGE('',*,*,#197825,.T.); #266640=ORIENTED_EDGE('',*,*,#197826,.F.); #266641=ORIENTED_EDGE('',*,*,#197827,.F.); #266642=ORIENTED_EDGE('',*,*,#197828,.T.); #266643=ORIENTED_EDGE('',*,*,#197827,.T.); #266644=ORIENTED_EDGE('',*,*,#197829,.F.); #266645=ORIENTED_EDGE('',*,*,#197830,.F.); #266646=ORIENTED_EDGE('',*,*,#197831,.T.); #266647=ORIENTED_EDGE('',*,*,#197830,.T.); #266648=ORIENTED_EDGE('',*,*,#197832,.F.); #266649=ORIENTED_EDGE('',*,*,#197833,.F.); #266650=ORIENTED_EDGE('',*,*,#197834,.T.); #266651=ORIENTED_EDGE('',*,*,#197833,.T.); #266652=ORIENTED_EDGE('',*,*,#197835,.F.); #266653=ORIENTED_EDGE('',*,*,#197836,.F.); #266654=ORIENTED_EDGE('',*,*,#197837,.T.); #266655=ORIENTED_EDGE('',*,*,#197836,.T.); #266656=ORIENTED_EDGE('',*,*,#197838,.F.); #266657=ORIENTED_EDGE('',*,*,#197839,.F.); #266658=ORIENTED_EDGE('',*,*,#197840,.T.); #266659=ORIENTED_EDGE('',*,*,#197839,.T.); #266660=ORIENTED_EDGE('',*,*,#197841,.F.); #266661=ORIENTED_EDGE('',*,*,#197842,.F.); #266662=ORIENTED_EDGE('',*,*,#197843,.T.); #266663=ORIENTED_EDGE('',*,*,#197842,.T.); #266664=ORIENTED_EDGE('',*,*,#197844,.F.); #266665=ORIENTED_EDGE('',*,*,#197825,.F.); #266666=ORIENTED_EDGE('',*,*,#197844,.T.); #266667=ORIENTED_EDGE('',*,*,#197841,.T.); #266668=ORIENTED_EDGE('',*,*,#197838,.T.); #266669=ORIENTED_EDGE('',*,*,#197835,.T.); #266670=ORIENTED_EDGE('',*,*,#197832,.T.); #266671=ORIENTED_EDGE('',*,*,#197829,.T.); #266672=ORIENTED_EDGE('',*,*,#197826,.T.); #266673=ORIENTED_EDGE('',*,*,#197820,.T.); #266674=ORIENTED_EDGE('',*,*,#197823,.T.); #266675=ORIENTED_EDGE('',*,*,#197843,.F.); #266676=ORIENTED_EDGE('',*,*,#197824,.F.); #266677=ORIENTED_EDGE('',*,*,#197828,.F.); #266678=ORIENTED_EDGE('',*,*,#197831,.F.); #266679=ORIENTED_EDGE('',*,*,#197834,.F.); #266680=ORIENTED_EDGE('',*,*,#197837,.F.); #266681=ORIENTED_EDGE('',*,*,#197840,.F.); #266682=ORIENTED_EDGE('',*,*,#197818,.T.); #266683=ORIENTED_EDGE('',*,*,#197821,.T.); #266684=ORIENTED_EDGE('',*,*,#197845,.F.); #266685=ORIENTED_EDGE('',*,*,#197846,.T.); #266686=ORIENTED_EDGE('',*,*,#197847,.F.); #266687=ORIENTED_EDGE('',*,*,#197846,.F.); #266688=ORIENTED_EDGE('',*,*,#197848,.T.); #266689=ORIENTED_EDGE('',*,*,#197849,.T.); #266690=ORIENTED_EDGE('',*,*,#197850,.F.); #266691=ORIENTED_EDGE('',*,*,#197851,.F.); #266692=ORIENTED_EDGE('',*,*,#197852,.T.); #266693=ORIENTED_EDGE('',*,*,#197851,.T.); #266694=ORIENTED_EDGE('',*,*,#197853,.F.); #266695=ORIENTED_EDGE('',*,*,#197854,.F.); #266696=ORIENTED_EDGE('',*,*,#197855,.T.); #266697=ORIENTED_EDGE('',*,*,#197854,.T.); #266698=ORIENTED_EDGE('',*,*,#197856,.F.); #266699=ORIENTED_EDGE('',*,*,#197857,.F.); #266700=ORIENTED_EDGE('',*,*,#197858,.T.); #266701=ORIENTED_EDGE('',*,*,#197857,.T.); #266702=ORIENTED_EDGE('',*,*,#197859,.F.); #266703=ORIENTED_EDGE('',*,*,#197860,.F.); #266704=ORIENTED_EDGE('',*,*,#197861,.T.); #266705=ORIENTED_EDGE('',*,*,#197860,.T.); #266706=ORIENTED_EDGE('',*,*,#197862,.F.); #266707=ORIENTED_EDGE('',*,*,#197863,.F.); #266708=ORIENTED_EDGE('',*,*,#197864,.T.); #266709=ORIENTED_EDGE('',*,*,#197863,.T.); #266710=ORIENTED_EDGE('',*,*,#197865,.F.); #266711=ORIENTED_EDGE('',*,*,#197866,.F.); #266712=ORIENTED_EDGE('',*,*,#197867,.T.); #266713=ORIENTED_EDGE('',*,*,#197866,.T.); #266714=ORIENTED_EDGE('',*,*,#197868,.F.); #266715=ORIENTED_EDGE('',*,*,#197869,.F.); #266716=ORIENTED_EDGE('',*,*,#197870,.T.); #266717=ORIENTED_EDGE('',*,*,#197869,.T.); #266718=ORIENTED_EDGE('',*,*,#197871,.F.); #266719=ORIENTED_EDGE('',*,*,#197872,.F.); #266720=ORIENTED_EDGE('',*,*,#197873,.T.); #266721=ORIENTED_EDGE('',*,*,#197872,.T.); #266722=ORIENTED_EDGE('',*,*,#197874,.F.); #266723=ORIENTED_EDGE('',*,*,#197875,.F.); #266724=ORIENTED_EDGE('',*,*,#197876,.T.); #266725=ORIENTED_EDGE('',*,*,#197875,.T.); #266726=ORIENTED_EDGE('',*,*,#197877,.F.); #266727=ORIENTED_EDGE('',*,*,#197849,.F.); #266728=ORIENTED_EDGE('',*,*,#197877,.T.); #266729=ORIENTED_EDGE('',*,*,#197874,.T.); #266730=ORIENTED_EDGE('',*,*,#197871,.T.); #266731=ORIENTED_EDGE('',*,*,#197868,.T.); #266732=ORIENTED_EDGE('',*,*,#197865,.T.); #266733=ORIENTED_EDGE('',*,*,#197862,.T.); #266734=ORIENTED_EDGE('',*,*,#197859,.T.); #266735=ORIENTED_EDGE('',*,*,#197856,.T.); #266736=ORIENTED_EDGE('',*,*,#197853,.T.); #266737=ORIENTED_EDGE('',*,*,#197850,.T.); #266738=ORIENTED_EDGE('',*,*,#197847,.T.); #266739=ORIENTED_EDGE('',*,*,#197876,.F.); #266740=ORIENTED_EDGE('',*,*,#197848,.F.); #266741=ORIENTED_EDGE('',*,*,#197852,.F.); #266742=ORIENTED_EDGE('',*,*,#197855,.F.); #266743=ORIENTED_EDGE('',*,*,#197858,.F.); #266744=ORIENTED_EDGE('',*,*,#197861,.F.); #266745=ORIENTED_EDGE('',*,*,#197864,.F.); #266746=ORIENTED_EDGE('',*,*,#197867,.F.); #266747=ORIENTED_EDGE('',*,*,#197870,.F.); #266748=ORIENTED_EDGE('',*,*,#197873,.F.); #266749=ORIENTED_EDGE('',*,*,#197845,.T.); #266750=ORIENTED_EDGE('',*,*,#197878,.F.); #266751=ORIENTED_EDGE('',*,*,#197879,.T.); #266752=ORIENTED_EDGE('',*,*,#197880,.F.); #266753=ORIENTED_EDGE('',*,*,#197879,.F.); #266754=ORIENTED_EDGE('',*,*,#197881,.F.); #266755=ORIENTED_EDGE('',*,*,#197882,.T.); #266756=ORIENTED_EDGE('',*,*,#197883,.F.); #266757=ORIENTED_EDGE('',*,*,#197882,.F.); #266758=ORIENTED_EDGE('',*,*,#197884,.T.); #266759=ORIENTED_EDGE('',*,*,#197885,.T.); #266760=ORIENTED_EDGE('',*,*,#197886,.F.); #266761=ORIENTED_EDGE('',*,*,#197887,.F.); #266762=ORIENTED_EDGE('',*,*,#197888,.T.); #266763=ORIENTED_EDGE('',*,*,#197887,.T.); #266764=ORIENTED_EDGE('',*,*,#197889,.F.); #266765=ORIENTED_EDGE('',*,*,#197890,.F.); #266766=ORIENTED_EDGE('',*,*,#197891,.T.); #266767=ORIENTED_EDGE('',*,*,#197890,.T.); #266768=ORIENTED_EDGE('',*,*,#197892,.F.); #266769=ORIENTED_EDGE('',*,*,#197893,.F.); #266770=ORIENTED_EDGE('',*,*,#197894,.T.); #266771=ORIENTED_EDGE('',*,*,#197893,.T.); #266772=ORIENTED_EDGE('',*,*,#197895,.F.); #266773=ORIENTED_EDGE('',*,*,#197896,.F.); #266774=ORIENTED_EDGE('',*,*,#197897,.T.); #266775=ORIENTED_EDGE('',*,*,#197896,.T.); #266776=ORIENTED_EDGE('',*,*,#197898,.F.); #266777=ORIENTED_EDGE('',*,*,#197899,.F.); #266778=ORIENTED_EDGE('',*,*,#197900,.T.); #266779=ORIENTED_EDGE('',*,*,#197899,.T.); #266780=ORIENTED_EDGE('',*,*,#197901,.F.); #266781=ORIENTED_EDGE('',*,*,#197902,.F.); #266782=ORIENTED_EDGE('',*,*,#197903,.T.); #266783=ORIENTED_EDGE('',*,*,#197902,.T.); #266784=ORIENTED_EDGE('',*,*,#197904,.F.); #266785=ORIENTED_EDGE('',*,*,#197885,.F.); #266786=ORIENTED_EDGE('',*,*,#197904,.T.); #266787=ORIENTED_EDGE('',*,*,#197901,.T.); #266788=ORIENTED_EDGE('',*,*,#197898,.T.); #266789=ORIENTED_EDGE('',*,*,#197895,.T.); #266790=ORIENTED_EDGE('',*,*,#197892,.T.); #266791=ORIENTED_EDGE('',*,*,#197889,.T.); #266792=ORIENTED_EDGE('',*,*,#197886,.T.); #266793=ORIENTED_EDGE('',*,*,#197880,.T.); #266794=ORIENTED_EDGE('',*,*,#197883,.T.); #266795=ORIENTED_EDGE('',*,*,#197903,.F.); #266796=ORIENTED_EDGE('',*,*,#197884,.F.); #266797=ORIENTED_EDGE('',*,*,#197888,.F.); #266798=ORIENTED_EDGE('',*,*,#197891,.F.); #266799=ORIENTED_EDGE('',*,*,#197894,.F.); #266800=ORIENTED_EDGE('',*,*,#197897,.F.); #266801=ORIENTED_EDGE('',*,*,#197900,.F.); #266802=ORIENTED_EDGE('',*,*,#197878,.T.); #266803=ORIENTED_EDGE('',*,*,#197881,.T.); #266804=ORIENTED_EDGE('',*,*,#197905,.F.); #266805=ORIENTED_EDGE('',*,*,#197906,.T.); #266806=ORIENTED_EDGE('',*,*,#197907,.F.); #266807=ORIENTED_EDGE('',*,*,#197906,.F.); #266808=ORIENTED_EDGE('',*,*,#197908,.T.); #266809=ORIENTED_EDGE('',*,*,#197909,.T.); #266810=ORIENTED_EDGE('',*,*,#197910,.F.); #266811=ORIENTED_EDGE('',*,*,#197911,.F.); #266812=ORIENTED_EDGE('',*,*,#197912,.T.); #266813=ORIENTED_EDGE('',*,*,#197911,.T.); #266814=ORIENTED_EDGE('',*,*,#197913,.F.); #266815=ORIENTED_EDGE('',*,*,#197914,.F.); #266816=ORIENTED_EDGE('',*,*,#197915,.T.); #266817=ORIENTED_EDGE('',*,*,#197914,.T.); #266818=ORIENTED_EDGE('',*,*,#197916,.F.); #266819=ORIENTED_EDGE('',*,*,#197917,.F.); #266820=ORIENTED_EDGE('',*,*,#197918,.T.); #266821=ORIENTED_EDGE('',*,*,#197917,.T.); #266822=ORIENTED_EDGE('',*,*,#197919,.F.); #266823=ORIENTED_EDGE('',*,*,#197920,.F.); #266824=ORIENTED_EDGE('',*,*,#197921,.T.); #266825=ORIENTED_EDGE('',*,*,#197920,.T.); #266826=ORIENTED_EDGE('',*,*,#197922,.F.); #266827=ORIENTED_EDGE('',*,*,#197923,.F.); #266828=ORIENTED_EDGE('',*,*,#197924,.T.); #266829=ORIENTED_EDGE('',*,*,#197923,.T.); #266830=ORIENTED_EDGE('',*,*,#197925,.F.); #266831=ORIENTED_EDGE('',*,*,#197926,.F.); #266832=ORIENTED_EDGE('',*,*,#197927,.T.); #266833=ORIENTED_EDGE('',*,*,#197926,.T.); #266834=ORIENTED_EDGE('',*,*,#197928,.F.); #266835=ORIENTED_EDGE('',*,*,#197929,.F.); #266836=ORIENTED_EDGE('',*,*,#197930,.T.); #266837=ORIENTED_EDGE('',*,*,#197929,.T.); #266838=ORIENTED_EDGE('',*,*,#197931,.F.); #266839=ORIENTED_EDGE('',*,*,#197932,.F.); #266840=ORIENTED_EDGE('',*,*,#197933,.T.); #266841=ORIENTED_EDGE('',*,*,#197932,.T.); #266842=ORIENTED_EDGE('',*,*,#197934,.F.); #266843=ORIENTED_EDGE('',*,*,#197935,.F.); #266844=ORIENTED_EDGE('',*,*,#197936,.T.); #266845=ORIENTED_EDGE('',*,*,#197935,.T.); #266846=ORIENTED_EDGE('',*,*,#197937,.F.); #266847=ORIENTED_EDGE('',*,*,#197909,.F.); #266848=ORIENTED_EDGE('',*,*,#197937,.T.); #266849=ORIENTED_EDGE('',*,*,#197934,.T.); #266850=ORIENTED_EDGE('',*,*,#197931,.T.); #266851=ORIENTED_EDGE('',*,*,#197928,.T.); #266852=ORIENTED_EDGE('',*,*,#197925,.T.); #266853=ORIENTED_EDGE('',*,*,#197922,.T.); #266854=ORIENTED_EDGE('',*,*,#197919,.T.); #266855=ORIENTED_EDGE('',*,*,#197916,.T.); #266856=ORIENTED_EDGE('',*,*,#197913,.T.); #266857=ORIENTED_EDGE('',*,*,#197910,.T.); #266858=ORIENTED_EDGE('',*,*,#197907,.T.); #266859=ORIENTED_EDGE('',*,*,#197936,.F.); #266860=ORIENTED_EDGE('',*,*,#197908,.F.); #266861=ORIENTED_EDGE('',*,*,#197912,.F.); #266862=ORIENTED_EDGE('',*,*,#197915,.F.); #266863=ORIENTED_EDGE('',*,*,#197918,.F.); #266864=ORIENTED_EDGE('',*,*,#197921,.F.); #266865=ORIENTED_EDGE('',*,*,#197924,.F.); #266866=ORIENTED_EDGE('',*,*,#197927,.F.); #266867=ORIENTED_EDGE('',*,*,#197930,.F.); #266868=ORIENTED_EDGE('',*,*,#197933,.F.); #266869=ORIENTED_EDGE('',*,*,#197905,.T.); #266870=ORIENTED_EDGE('',*,*,#197938,.F.); #266871=ORIENTED_EDGE('',*,*,#197939,.T.); #266872=ORIENTED_EDGE('',*,*,#197940,.F.); #266873=ORIENTED_EDGE('',*,*,#197939,.F.); #266874=ORIENTED_EDGE('',*,*,#197941,.T.); #266875=ORIENTED_EDGE('',*,*,#197942,.T.); #266876=ORIENTED_EDGE('',*,*,#197943,.F.); #266877=ORIENTED_EDGE('',*,*,#197944,.F.); #266878=ORIENTED_EDGE('',*,*,#197945,.T.); #266879=ORIENTED_EDGE('',*,*,#197944,.T.); #266880=ORIENTED_EDGE('',*,*,#197946,.F.); #266881=ORIENTED_EDGE('',*,*,#197947,.F.); #266882=ORIENTED_EDGE('',*,*,#197948,.T.); #266883=ORIENTED_EDGE('',*,*,#197947,.T.); #266884=ORIENTED_EDGE('',*,*,#197949,.F.); #266885=ORIENTED_EDGE('',*,*,#197950,.F.); #266886=ORIENTED_EDGE('',*,*,#197951,.T.); #266887=ORIENTED_EDGE('',*,*,#197950,.T.); #266888=ORIENTED_EDGE('',*,*,#197952,.F.); #266889=ORIENTED_EDGE('',*,*,#197953,.F.); #266890=ORIENTED_EDGE('',*,*,#197954,.T.); #266891=ORIENTED_EDGE('',*,*,#197953,.T.); #266892=ORIENTED_EDGE('',*,*,#197955,.F.); #266893=ORIENTED_EDGE('',*,*,#197956,.F.); #266894=ORIENTED_EDGE('',*,*,#197957,.T.); #266895=ORIENTED_EDGE('',*,*,#197956,.T.); #266896=ORIENTED_EDGE('',*,*,#197958,.F.); #266897=ORIENTED_EDGE('',*,*,#197959,.F.); #266898=ORIENTED_EDGE('',*,*,#197960,.T.); #266899=ORIENTED_EDGE('',*,*,#197959,.T.); #266900=ORIENTED_EDGE('',*,*,#197961,.F.); #266901=ORIENTED_EDGE('',*,*,#197962,.F.); #266902=ORIENTED_EDGE('',*,*,#197963,.T.); #266903=ORIENTED_EDGE('',*,*,#197962,.T.); #266904=ORIENTED_EDGE('',*,*,#197964,.F.); #266905=ORIENTED_EDGE('',*,*,#197965,.F.); #266906=ORIENTED_EDGE('',*,*,#197966,.T.); #266907=ORIENTED_EDGE('',*,*,#197965,.T.); #266908=ORIENTED_EDGE('',*,*,#197967,.F.); #266909=ORIENTED_EDGE('',*,*,#197968,.F.); #266910=ORIENTED_EDGE('',*,*,#197969,.T.); #266911=ORIENTED_EDGE('',*,*,#197968,.T.); #266912=ORIENTED_EDGE('',*,*,#197970,.F.); #266913=ORIENTED_EDGE('',*,*,#197942,.F.); #266914=ORIENTED_EDGE('',*,*,#197970,.T.); #266915=ORIENTED_EDGE('',*,*,#197967,.T.); #266916=ORIENTED_EDGE('',*,*,#197964,.T.); #266917=ORIENTED_EDGE('',*,*,#197961,.T.); #266918=ORIENTED_EDGE('',*,*,#197958,.T.); #266919=ORIENTED_EDGE('',*,*,#197955,.T.); #266920=ORIENTED_EDGE('',*,*,#197952,.T.); #266921=ORIENTED_EDGE('',*,*,#197949,.T.); #266922=ORIENTED_EDGE('',*,*,#197946,.T.); #266923=ORIENTED_EDGE('',*,*,#197943,.T.); #266924=ORIENTED_EDGE('',*,*,#197940,.T.); #266925=ORIENTED_EDGE('',*,*,#197969,.F.); #266926=ORIENTED_EDGE('',*,*,#197941,.F.); #266927=ORIENTED_EDGE('',*,*,#197945,.F.); #266928=ORIENTED_EDGE('',*,*,#197948,.F.); #266929=ORIENTED_EDGE('',*,*,#197951,.F.); #266930=ORIENTED_EDGE('',*,*,#197954,.F.); #266931=ORIENTED_EDGE('',*,*,#197957,.F.); #266932=ORIENTED_EDGE('',*,*,#197960,.F.); #266933=ORIENTED_EDGE('',*,*,#197963,.F.); #266934=ORIENTED_EDGE('',*,*,#197966,.F.); #266935=ORIENTED_EDGE('',*,*,#197938,.T.); #266936=ORIENTED_EDGE('',*,*,#197971,.F.); #266937=ORIENTED_EDGE('',*,*,#197972,.T.); #266938=ORIENTED_EDGE('',*,*,#197973,.F.); #266939=ORIENTED_EDGE('',*,*,#197972,.F.); #266940=ORIENTED_EDGE('',*,*,#197974,.T.); #266941=ORIENTED_EDGE('',*,*,#197975,.T.); #266942=ORIENTED_EDGE('',*,*,#197976,.F.); #266943=ORIENTED_EDGE('',*,*,#197977,.F.); #266944=ORIENTED_EDGE('',*,*,#197978,.T.); #266945=ORIENTED_EDGE('',*,*,#197977,.T.); #266946=ORIENTED_EDGE('',*,*,#197979,.F.); #266947=ORIENTED_EDGE('',*,*,#197980,.F.); #266948=ORIENTED_EDGE('',*,*,#197981,.T.); #266949=ORIENTED_EDGE('',*,*,#197980,.T.); #266950=ORIENTED_EDGE('',*,*,#197982,.F.); #266951=ORIENTED_EDGE('',*,*,#197983,.F.); #266952=ORIENTED_EDGE('',*,*,#197984,.T.); #266953=ORIENTED_EDGE('',*,*,#197983,.T.); #266954=ORIENTED_EDGE('',*,*,#197985,.F.); #266955=ORIENTED_EDGE('',*,*,#197986,.F.); #266956=ORIENTED_EDGE('',*,*,#197987,.T.); #266957=ORIENTED_EDGE('',*,*,#197986,.T.); #266958=ORIENTED_EDGE('',*,*,#197988,.F.); #266959=ORIENTED_EDGE('',*,*,#197989,.F.); #266960=ORIENTED_EDGE('',*,*,#197990,.T.); #266961=ORIENTED_EDGE('',*,*,#197989,.T.); #266962=ORIENTED_EDGE('',*,*,#197991,.F.); #266963=ORIENTED_EDGE('',*,*,#197992,.F.); #266964=ORIENTED_EDGE('',*,*,#197993,.T.); #266965=ORIENTED_EDGE('',*,*,#197992,.T.); #266966=ORIENTED_EDGE('',*,*,#197994,.F.); #266967=ORIENTED_EDGE('',*,*,#197995,.F.); #266968=ORIENTED_EDGE('',*,*,#197996,.T.); #266969=ORIENTED_EDGE('',*,*,#197995,.T.); #266970=ORIENTED_EDGE('',*,*,#197997,.F.); #266971=ORIENTED_EDGE('',*,*,#197998,.F.); #266972=ORIENTED_EDGE('',*,*,#197999,.T.); #266973=ORIENTED_EDGE('',*,*,#197998,.T.); #266974=ORIENTED_EDGE('',*,*,#198000,.F.); #266975=ORIENTED_EDGE('',*,*,#198001,.F.); #266976=ORIENTED_EDGE('',*,*,#198002,.T.); #266977=ORIENTED_EDGE('',*,*,#198001,.T.); #266978=ORIENTED_EDGE('',*,*,#198003,.F.); #266979=ORIENTED_EDGE('',*,*,#198004,.F.); #266980=ORIENTED_EDGE('',*,*,#198005,.T.); #266981=ORIENTED_EDGE('',*,*,#198004,.T.); #266982=ORIENTED_EDGE('',*,*,#198006,.F.); #266983=ORIENTED_EDGE('',*,*,#198007,.F.); #266984=ORIENTED_EDGE('',*,*,#198008,.T.); #266985=ORIENTED_EDGE('',*,*,#198007,.T.); #266986=ORIENTED_EDGE('',*,*,#198009,.F.); #266987=ORIENTED_EDGE('',*,*,#198010,.F.); #266988=ORIENTED_EDGE('',*,*,#198011,.T.); #266989=ORIENTED_EDGE('',*,*,#198010,.T.); #266990=ORIENTED_EDGE('',*,*,#198012,.F.); #266991=ORIENTED_EDGE('',*,*,#198013,.F.); #266992=ORIENTED_EDGE('',*,*,#198014,.T.); #266993=ORIENTED_EDGE('',*,*,#198013,.T.); #266994=ORIENTED_EDGE('',*,*,#198015,.F.); #266995=ORIENTED_EDGE('',*,*,#198016,.F.); #266996=ORIENTED_EDGE('',*,*,#198017,.T.); #266997=ORIENTED_EDGE('',*,*,#198016,.T.); #266998=ORIENTED_EDGE('',*,*,#198018,.F.); #266999=ORIENTED_EDGE('',*,*,#198019,.F.); #267000=ORIENTED_EDGE('',*,*,#198020,.T.); #267001=ORIENTED_EDGE('',*,*,#198019,.T.); #267002=ORIENTED_EDGE('',*,*,#198021,.F.); #267003=ORIENTED_EDGE('',*,*,#198022,.F.); #267004=ORIENTED_EDGE('',*,*,#198023,.T.); #267005=ORIENTED_EDGE('',*,*,#198022,.T.); #267006=ORIENTED_EDGE('',*,*,#198024,.F.); #267007=ORIENTED_EDGE('',*,*,#197975,.F.); #267008=ORIENTED_EDGE('',*,*,#198024,.T.); #267009=ORIENTED_EDGE('',*,*,#198021,.T.); #267010=ORIENTED_EDGE('',*,*,#198018,.T.); #267011=ORIENTED_EDGE('',*,*,#198015,.T.); #267012=ORIENTED_EDGE('',*,*,#198012,.T.); #267013=ORIENTED_EDGE('',*,*,#198009,.T.); #267014=ORIENTED_EDGE('',*,*,#198006,.T.); #267015=ORIENTED_EDGE('',*,*,#198003,.T.); #267016=ORIENTED_EDGE('',*,*,#198000,.T.); #267017=ORIENTED_EDGE('',*,*,#197997,.T.); #267018=ORIENTED_EDGE('',*,*,#197994,.T.); #267019=ORIENTED_EDGE('',*,*,#197991,.T.); #267020=ORIENTED_EDGE('',*,*,#197988,.T.); #267021=ORIENTED_EDGE('',*,*,#197985,.T.); #267022=ORIENTED_EDGE('',*,*,#197982,.T.); #267023=ORIENTED_EDGE('',*,*,#197979,.T.); #267024=ORIENTED_EDGE('',*,*,#197976,.T.); #267025=ORIENTED_EDGE('',*,*,#197973,.T.); #267026=ORIENTED_EDGE('',*,*,#198023,.F.); #267027=ORIENTED_EDGE('',*,*,#197974,.F.); #267028=ORIENTED_EDGE('',*,*,#197978,.F.); #267029=ORIENTED_EDGE('',*,*,#197981,.F.); #267030=ORIENTED_EDGE('',*,*,#197984,.F.); #267031=ORIENTED_EDGE('',*,*,#197987,.F.); #267032=ORIENTED_EDGE('',*,*,#197990,.F.); #267033=ORIENTED_EDGE('',*,*,#197993,.F.); #267034=ORIENTED_EDGE('',*,*,#197996,.F.); #267035=ORIENTED_EDGE('',*,*,#197999,.F.); #267036=ORIENTED_EDGE('',*,*,#198002,.F.); #267037=ORIENTED_EDGE('',*,*,#198005,.F.); #267038=ORIENTED_EDGE('',*,*,#198008,.F.); #267039=ORIENTED_EDGE('',*,*,#198011,.F.); #267040=ORIENTED_EDGE('',*,*,#198014,.F.); #267041=ORIENTED_EDGE('',*,*,#198017,.F.); #267042=ORIENTED_EDGE('',*,*,#198020,.F.); #267043=ORIENTED_EDGE('',*,*,#197971,.T.); #267044=ORIENTED_EDGE('',*,*,#198025,.F.); #267045=ORIENTED_EDGE('',*,*,#198026,.T.); #267046=ORIENTED_EDGE('',*,*,#198027,.F.); #267047=ORIENTED_EDGE('',*,*,#198026,.F.); #267048=ORIENTED_EDGE('',*,*,#198028,.T.); #267049=ORIENTED_EDGE('',*,*,#198029,.T.); #267050=ORIENTED_EDGE('',*,*,#198030,.F.); #267051=ORIENTED_EDGE('',*,*,#198031,.F.); #267052=ORIENTED_EDGE('',*,*,#198032,.T.); #267053=ORIENTED_EDGE('',*,*,#198031,.T.); #267054=ORIENTED_EDGE('',*,*,#198033,.F.); #267055=ORIENTED_EDGE('',*,*,#198034,.F.); #267056=ORIENTED_EDGE('',*,*,#198035,.T.); #267057=ORIENTED_EDGE('',*,*,#198034,.T.); #267058=ORIENTED_EDGE('',*,*,#198036,.F.); #267059=ORIENTED_EDGE('',*,*,#198037,.F.); #267060=ORIENTED_EDGE('',*,*,#198038,.T.); #267061=ORIENTED_EDGE('',*,*,#198037,.T.); #267062=ORIENTED_EDGE('',*,*,#198039,.F.); #267063=ORIENTED_EDGE('',*,*,#198040,.F.); #267064=ORIENTED_EDGE('',*,*,#198041,.T.); #267065=ORIENTED_EDGE('',*,*,#198040,.T.); #267066=ORIENTED_EDGE('',*,*,#198042,.F.); #267067=ORIENTED_EDGE('',*,*,#198043,.F.); #267068=ORIENTED_EDGE('',*,*,#198044,.T.); #267069=ORIENTED_EDGE('',*,*,#198043,.T.); #267070=ORIENTED_EDGE('',*,*,#198045,.F.); #267071=ORIENTED_EDGE('',*,*,#198046,.F.); #267072=ORIENTED_EDGE('',*,*,#198047,.T.); #267073=ORIENTED_EDGE('',*,*,#198046,.T.); #267074=ORIENTED_EDGE('',*,*,#198048,.F.); #267075=ORIENTED_EDGE('',*,*,#198049,.F.); #267076=ORIENTED_EDGE('',*,*,#198050,.T.); #267077=ORIENTED_EDGE('',*,*,#198049,.T.); #267078=ORIENTED_EDGE('',*,*,#198051,.F.); #267079=ORIENTED_EDGE('',*,*,#198052,.F.); #267080=ORIENTED_EDGE('',*,*,#198053,.T.); #267081=ORIENTED_EDGE('',*,*,#198052,.T.); #267082=ORIENTED_EDGE('',*,*,#198054,.F.); #267083=ORIENTED_EDGE('',*,*,#198055,.F.); #267084=ORIENTED_EDGE('',*,*,#198056,.T.); #267085=ORIENTED_EDGE('',*,*,#198055,.T.); #267086=ORIENTED_EDGE('',*,*,#198057,.F.); #267087=ORIENTED_EDGE('',*,*,#198058,.F.); #267088=ORIENTED_EDGE('',*,*,#198059,.T.); #267089=ORIENTED_EDGE('',*,*,#198058,.T.); #267090=ORIENTED_EDGE('',*,*,#198060,.F.); #267091=ORIENTED_EDGE('',*,*,#198061,.F.); #267092=ORIENTED_EDGE('',*,*,#198062,.T.); #267093=ORIENTED_EDGE('',*,*,#198061,.T.); #267094=ORIENTED_EDGE('',*,*,#198063,.F.); #267095=ORIENTED_EDGE('',*,*,#198064,.F.); #267096=ORIENTED_EDGE('',*,*,#198065,.T.); #267097=ORIENTED_EDGE('',*,*,#198064,.T.); #267098=ORIENTED_EDGE('',*,*,#198066,.F.); #267099=ORIENTED_EDGE('',*,*,#198067,.F.); #267100=ORIENTED_EDGE('',*,*,#198068,.T.); #267101=ORIENTED_EDGE('',*,*,#198067,.T.); #267102=ORIENTED_EDGE('',*,*,#198069,.F.); #267103=ORIENTED_EDGE('',*,*,#198070,.F.); #267104=ORIENTED_EDGE('',*,*,#198071,.T.); #267105=ORIENTED_EDGE('',*,*,#198070,.T.); #267106=ORIENTED_EDGE('',*,*,#198072,.F.); #267107=ORIENTED_EDGE('',*,*,#198029,.F.); #267108=ORIENTED_EDGE('',*,*,#198072,.T.); #267109=ORIENTED_EDGE('',*,*,#198069,.T.); #267110=ORIENTED_EDGE('',*,*,#198066,.T.); #267111=ORIENTED_EDGE('',*,*,#198063,.T.); #267112=ORIENTED_EDGE('',*,*,#198060,.T.); #267113=ORIENTED_EDGE('',*,*,#198057,.T.); #267114=ORIENTED_EDGE('',*,*,#198054,.T.); #267115=ORIENTED_EDGE('',*,*,#198051,.T.); #267116=ORIENTED_EDGE('',*,*,#198048,.T.); #267117=ORIENTED_EDGE('',*,*,#198045,.T.); #267118=ORIENTED_EDGE('',*,*,#198042,.T.); #267119=ORIENTED_EDGE('',*,*,#198039,.T.); #267120=ORIENTED_EDGE('',*,*,#198036,.T.); #267121=ORIENTED_EDGE('',*,*,#198033,.T.); #267122=ORIENTED_EDGE('',*,*,#198030,.T.); #267123=ORIENTED_EDGE('',*,*,#198027,.T.); #267124=ORIENTED_EDGE('',*,*,#198071,.F.); #267125=ORIENTED_EDGE('',*,*,#198028,.F.); #267126=ORIENTED_EDGE('',*,*,#198032,.F.); #267127=ORIENTED_EDGE('',*,*,#198035,.F.); #267128=ORIENTED_EDGE('',*,*,#198038,.F.); #267129=ORIENTED_EDGE('',*,*,#198041,.F.); #267130=ORIENTED_EDGE('',*,*,#198044,.F.); #267131=ORIENTED_EDGE('',*,*,#198047,.F.); #267132=ORIENTED_EDGE('',*,*,#198050,.F.); #267133=ORIENTED_EDGE('',*,*,#198053,.F.); #267134=ORIENTED_EDGE('',*,*,#198056,.F.); #267135=ORIENTED_EDGE('',*,*,#198059,.F.); #267136=ORIENTED_EDGE('',*,*,#198062,.F.); #267137=ORIENTED_EDGE('',*,*,#198065,.F.); #267138=ORIENTED_EDGE('',*,*,#198068,.F.); #267139=ORIENTED_EDGE('',*,*,#198025,.T.); #267140=ORIENTED_EDGE('',*,*,#198073,.F.); #267141=ORIENTED_EDGE('',*,*,#198074,.T.); #267142=ORIENTED_EDGE('',*,*,#198075,.F.); #267143=ORIENTED_EDGE('',*,*,#198074,.F.); #267144=ORIENTED_EDGE('',*,*,#198076,.T.); #267145=ORIENTED_EDGE('',*,*,#198077,.T.); #267146=ORIENTED_EDGE('',*,*,#198078,.F.); #267147=ORIENTED_EDGE('',*,*,#198079,.F.); #267148=ORIENTED_EDGE('',*,*,#198080,.T.); #267149=ORIENTED_EDGE('',*,*,#198079,.T.); #267150=ORIENTED_EDGE('',*,*,#198081,.F.); #267151=ORIENTED_EDGE('',*,*,#198082,.F.); #267152=ORIENTED_EDGE('',*,*,#198083,.T.); #267153=ORIENTED_EDGE('',*,*,#198082,.T.); #267154=ORIENTED_EDGE('',*,*,#198084,.F.); #267155=ORIENTED_EDGE('',*,*,#198077,.F.); #267156=ORIENTED_EDGE('',*,*,#198084,.T.); #267157=ORIENTED_EDGE('',*,*,#198081,.T.); #267158=ORIENTED_EDGE('',*,*,#198078,.T.); #267159=ORIENTED_EDGE('',*,*,#198075,.T.); #267160=ORIENTED_EDGE('',*,*,#198083,.F.); #267161=ORIENTED_EDGE('',*,*,#198076,.F.); #267162=ORIENTED_EDGE('',*,*,#198080,.F.); #267163=ORIENTED_EDGE('',*,*,#198073,.T.); #267164=ORIENTED_EDGE('',*,*,#198085,.F.); #267165=ORIENTED_EDGE('',*,*,#198086,.T.); #267166=ORIENTED_EDGE('',*,*,#198087,.F.); #267167=ORIENTED_EDGE('',*,*,#198086,.F.); #267168=ORIENTED_EDGE('',*,*,#198088,.T.); #267169=ORIENTED_EDGE('',*,*,#198089,.T.); #267170=ORIENTED_EDGE('',*,*,#198090,.F.); #267171=ORIENTED_EDGE('',*,*,#198091,.F.); #267172=ORIENTED_EDGE('',*,*,#198092,.T.); #267173=ORIENTED_EDGE('',*,*,#198091,.T.); #267174=ORIENTED_EDGE('',*,*,#198093,.F.); #267175=ORIENTED_EDGE('',*,*,#198094,.F.); #267176=ORIENTED_EDGE('',*,*,#198095,.T.); #267177=ORIENTED_EDGE('',*,*,#198094,.T.); #267178=ORIENTED_EDGE('',*,*,#198096,.F.); #267179=ORIENTED_EDGE('',*,*,#198097,.F.); #267180=ORIENTED_EDGE('',*,*,#198098,.T.); #267181=ORIENTED_EDGE('',*,*,#198097,.T.); #267182=ORIENTED_EDGE('',*,*,#198099,.F.); #267183=ORIENTED_EDGE('',*,*,#198100,.F.); #267184=ORIENTED_EDGE('',*,*,#198101,.T.); #267185=ORIENTED_EDGE('',*,*,#198100,.T.); #267186=ORIENTED_EDGE('',*,*,#198102,.F.); #267187=ORIENTED_EDGE('',*,*,#198103,.F.); #267188=ORIENTED_EDGE('',*,*,#198104,.T.); #267189=ORIENTED_EDGE('',*,*,#198103,.T.); #267190=ORIENTED_EDGE('',*,*,#198105,.F.); #267191=ORIENTED_EDGE('',*,*,#198106,.F.); #267192=ORIENTED_EDGE('',*,*,#198107,.T.); #267193=ORIENTED_EDGE('',*,*,#198106,.T.); #267194=ORIENTED_EDGE('',*,*,#198108,.F.); #267195=ORIENTED_EDGE('',*,*,#198109,.F.); #267196=ORIENTED_EDGE('',*,*,#198110,.T.); #267197=ORIENTED_EDGE('',*,*,#198109,.T.); #267198=ORIENTED_EDGE('',*,*,#198111,.F.); #267199=ORIENTED_EDGE('',*,*,#198112,.F.); #267200=ORIENTED_EDGE('',*,*,#198113,.T.); #267201=ORIENTED_EDGE('',*,*,#198112,.T.); #267202=ORIENTED_EDGE('',*,*,#198114,.F.); #267203=ORIENTED_EDGE('',*,*,#198115,.F.); #267204=ORIENTED_EDGE('',*,*,#198116,.T.); #267205=ORIENTED_EDGE('',*,*,#198115,.T.); #267206=ORIENTED_EDGE('',*,*,#198117,.F.); #267207=ORIENTED_EDGE('',*,*,#198118,.F.); #267208=ORIENTED_EDGE('',*,*,#198119,.T.); #267209=ORIENTED_EDGE('',*,*,#198118,.T.); #267210=ORIENTED_EDGE('',*,*,#198120,.F.); #267211=ORIENTED_EDGE('',*,*,#198121,.F.); #267212=ORIENTED_EDGE('',*,*,#198122,.T.); #267213=ORIENTED_EDGE('',*,*,#198121,.T.); #267214=ORIENTED_EDGE('',*,*,#198123,.F.); #267215=ORIENTED_EDGE('',*,*,#198124,.F.); #267216=ORIENTED_EDGE('',*,*,#198125,.T.); #267217=ORIENTED_EDGE('',*,*,#198124,.T.); #267218=ORIENTED_EDGE('',*,*,#198126,.F.); #267219=ORIENTED_EDGE('',*,*,#198127,.F.); #267220=ORIENTED_EDGE('',*,*,#198128,.T.); #267221=ORIENTED_EDGE('',*,*,#198127,.T.); #267222=ORIENTED_EDGE('',*,*,#198129,.F.); #267223=ORIENTED_EDGE('',*,*,#198130,.F.); #267224=ORIENTED_EDGE('',*,*,#198131,.T.); #267225=ORIENTED_EDGE('',*,*,#198130,.T.); #267226=ORIENTED_EDGE('',*,*,#198132,.F.); #267227=ORIENTED_EDGE('',*,*,#198133,.F.); #267228=ORIENTED_EDGE('',*,*,#198134,.T.); #267229=ORIENTED_EDGE('',*,*,#198133,.T.); #267230=ORIENTED_EDGE('',*,*,#198135,.F.); #267231=ORIENTED_EDGE('',*,*,#198136,.F.); #267232=ORIENTED_EDGE('',*,*,#198137,.T.); #267233=ORIENTED_EDGE('',*,*,#198136,.T.); #267234=ORIENTED_EDGE('',*,*,#198138,.F.); #267235=ORIENTED_EDGE('',*,*,#198139,.F.); #267236=ORIENTED_EDGE('',*,*,#198140,.T.); #267237=ORIENTED_EDGE('',*,*,#198139,.T.); #267238=ORIENTED_EDGE('',*,*,#198141,.F.); #267239=ORIENTED_EDGE('',*,*,#198142,.F.); #267240=ORIENTED_EDGE('',*,*,#198143,.T.); #267241=ORIENTED_EDGE('',*,*,#198142,.T.); #267242=ORIENTED_EDGE('',*,*,#198144,.F.); #267243=ORIENTED_EDGE('',*,*,#198145,.F.); #267244=ORIENTED_EDGE('',*,*,#198146,.T.); #267245=ORIENTED_EDGE('',*,*,#198145,.T.); #267246=ORIENTED_EDGE('',*,*,#198147,.F.); #267247=ORIENTED_EDGE('',*,*,#198148,.F.); #267248=ORIENTED_EDGE('',*,*,#198149,.T.); #267249=ORIENTED_EDGE('',*,*,#198148,.T.); #267250=ORIENTED_EDGE('',*,*,#198150,.F.); #267251=ORIENTED_EDGE('',*,*,#198089,.F.); #267252=ORIENTED_EDGE('',*,*,#198150,.T.); #267253=ORIENTED_EDGE('',*,*,#198147,.T.); #267254=ORIENTED_EDGE('',*,*,#198144,.T.); #267255=ORIENTED_EDGE('',*,*,#198141,.T.); #267256=ORIENTED_EDGE('',*,*,#198138,.T.); #267257=ORIENTED_EDGE('',*,*,#198135,.T.); #267258=ORIENTED_EDGE('',*,*,#198132,.T.); #267259=ORIENTED_EDGE('',*,*,#198129,.T.); #267260=ORIENTED_EDGE('',*,*,#198126,.T.); #267261=ORIENTED_EDGE('',*,*,#198123,.T.); #267262=ORIENTED_EDGE('',*,*,#198120,.T.); #267263=ORIENTED_EDGE('',*,*,#198117,.T.); #267264=ORIENTED_EDGE('',*,*,#198114,.T.); #267265=ORIENTED_EDGE('',*,*,#198111,.T.); #267266=ORIENTED_EDGE('',*,*,#198108,.T.); #267267=ORIENTED_EDGE('',*,*,#198105,.T.); #267268=ORIENTED_EDGE('',*,*,#198102,.T.); #267269=ORIENTED_EDGE('',*,*,#198099,.T.); #267270=ORIENTED_EDGE('',*,*,#198096,.T.); #267271=ORIENTED_EDGE('',*,*,#198093,.T.); #267272=ORIENTED_EDGE('',*,*,#198090,.T.); #267273=ORIENTED_EDGE('',*,*,#198087,.T.); #267274=ORIENTED_EDGE('',*,*,#198149,.F.); #267275=ORIENTED_EDGE('',*,*,#198088,.F.); #267276=ORIENTED_EDGE('',*,*,#198092,.F.); #267277=ORIENTED_EDGE('',*,*,#198095,.F.); #267278=ORIENTED_EDGE('',*,*,#198098,.F.); #267279=ORIENTED_EDGE('',*,*,#198101,.F.); #267280=ORIENTED_EDGE('',*,*,#198104,.F.); #267281=ORIENTED_EDGE('',*,*,#198107,.F.); #267282=ORIENTED_EDGE('',*,*,#198110,.F.); #267283=ORIENTED_EDGE('',*,*,#198113,.F.); #267284=ORIENTED_EDGE('',*,*,#198116,.F.); #267285=ORIENTED_EDGE('',*,*,#198119,.F.); #267286=ORIENTED_EDGE('',*,*,#198122,.F.); #267287=ORIENTED_EDGE('',*,*,#198125,.F.); #267288=ORIENTED_EDGE('',*,*,#198128,.F.); #267289=ORIENTED_EDGE('',*,*,#198131,.F.); #267290=ORIENTED_EDGE('',*,*,#198134,.F.); #267291=ORIENTED_EDGE('',*,*,#198137,.F.); #267292=ORIENTED_EDGE('',*,*,#198140,.F.); #267293=ORIENTED_EDGE('',*,*,#198143,.F.); #267294=ORIENTED_EDGE('',*,*,#198146,.F.); #267295=ORIENTED_EDGE('',*,*,#198085,.T.); #267296=ORIENTED_EDGE('',*,*,#198151,.F.); #267297=ORIENTED_EDGE('',*,*,#198152,.T.); #267298=ORIENTED_EDGE('',*,*,#198153,.F.); #267299=ORIENTED_EDGE('',*,*,#198152,.F.); #267300=ORIENTED_EDGE('',*,*,#198154,.T.); #267301=ORIENTED_EDGE('',*,*,#198155,.T.); #267302=ORIENTED_EDGE('',*,*,#198156,.F.); #267303=ORIENTED_EDGE('',*,*,#198157,.F.); #267304=ORIENTED_EDGE('',*,*,#198158,.T.); #267305=ORIENTED_EDGE('',*,*,#198157,.T.); #267306=ORIENTED_EDGE('',*,*,#198159,.F.); #267307=ORIENTED_EDGE('',*,*,#198160,.F.); #267308=ORIENTED_EDGE('',*,*,#198161,.T.); #267309=ORIENTED_EDGE('',*,*,#198160,.T.); #267310=ORIENTED_EDGE('',*,*,#198162,.F.); #267311=ORIENTED_EDGE('',*,*,#198163,.F.); #267312=ORIENTED_EDGE('',*,*,#198164,.T.); #267313=ORIENTED_EDGE('',*,*,#198163,.T.); #267314=ORIENTED_EDGE('',*,*,#198165,.F.); #267315=ORIENTED_EDGE('',*,*,#198166,.F.); #267316=ORIENTED_EDGE('',*,*,#198167,.T.); #267317=ORIENTED_EDGE('',*,*,#198166,.T.); #267318=ORIENTED_EDGE('',*,*,#198168,.F.); #267319=ORIENTED_EDGE('',*,*,#198169,.F.); #267320=ORIENTED_EDGE('',*,*,#198170,.T.); #267321=ORIENTED_EDGE('',*,*,#198169,.T.); #267322=ORIENTED_EDGE('',*,*,#198171,.F.); #267323=ORIENTED_EDGE('',*,*,#198172,.F.); #267324=ORIENTED_EDGE('',*,*,#198173,.T.); #267325=ORIENTED_EDGE('',*,*,#198172,.T.); #267326=ORIENTED_EDGE('',*,*,#198174,.F.); #267327=ORIENTED_EDGE('',*,*,#198175,.F.); #267328=ORIENTED_EDGE('',*,*,#198176,.T.); #267329=ORIENTED_EDGE('',*,*,#198175,.T.); #267330=ORIENTED_EDGE('',*,*,#198177,.F.); #267331=ORIENTED_EDGE('',*,*,#198178,.F.); #267332=ORIENTED_EDGE('',*,*,#198179,.T.); #267333=ORIENTED_EDGE('',*,*,#198178,.T.); #267334=ORIENTED_EDGE('',*,*,#198180,.F.); #267335=ORIENTED_EDGE('',*,*,#198181,.F.); #267336=ORIENTED_EDGE('',*,*,#198182,.T.); #267337=ORIENTED_EDGE('',*,*,#198181,.T.); #267338=ORIENTED_EDGE('',*,*,#198183,.F.); #267339=ORIENTED_EDGE('',*,*,#198184,.F.); #267340=ORIENTED_EDGE('',*,*,#198185,.T.); #267341=ORIENTED_EDGE('',*,*,#198184,.T.); #267342=ORIENTED_EDGE('',*,*,#198186,.F.); #267343=ORIENTED_EDGE('',*,*,#198187,.F.); #267344=ORIENTED_EDGE('',*,*,#198188,.T.); #267345=ORIENTED_EDGE('',*,*,#198187,.T.); #267346=ORIENTED_EDGE('',*,*,#198189,.F.); #267347=ORIENTED_EDGE('',*,*,#198190,.F.); #267348=ORIENTED_EDGE('',*,*,#198191,.T.); #267349=ORIENTED_EDGE('',*,*,#198190,.T.); #267350=ORIENTED_EDGE('',*,*,#198192,.F.); #267351=ORIENTED_EDGE('',*,*,#198193,.F.); #267352=ORIENTED_EDGE('',*,*,#198194,.T.); #267353=ORIENTED_EDGE('',*,*,#198193,.T.); #267354=ORIENTED_EDGE('',*,*,#198195,.F.); #267355=ORIENTED_EDGE('',*,*,#198196,.F.); #267356=ORIENTED_EDGE('',*,*,#198197,.T.); #267357=ORIENTED_EDGE('',*,*,#198196,.T.); #267358=ORIENTED_EDGE('',*,*,#198198,.F.); #267359=ORIENTED_EDGE('',*,*,#198155,.F.); #267360=ORIENTED_EDGE('',*,*,#198198,.T.); #267361=ORIENTED_EDGE('',*,*,#198195,.T.); #267362=ORIENTED_EDGE('',*,*,#198192,.T.); #267363=ORIENTED_EDGE('',*,*,#198189,.T.); #267364=ORIENTED_EDGE('',*,*,#198186,.T.); #267365=ORIENTED_EDGE('',*,*,#198183,.T.); #267366=ORIENTED_EDGE('',*,*,#198180,.T.); #267367=ORIENTED_EDGE('',*,*,#198177,.T.); #267368=ORIENTED_EDGE('',*,*,#198174,.T.); #267369=ORIENTED_EDGE('',*,*,#198171,.T.); #267370=ORIENTED_EDGE('',*,*,#198168,.T.); #267371=ORIENTED_EDGE('',*,*,#198165,.T.); #267372=ORIENTED_EDGE('',*,*,#198162,.T.); #267373=ORIENTED_EDGE('',*,*,#198159,.T.); #267374=ORIENTED_EDGE('',*,*,#198156,.T.); #267375=ORIENTED_EDGE('',*,*,#198153,.T.); #267376=ORIENTED_EDGE('',*,*,#198197,.F.); #267377=ORIENTED_EDGE('',*,*,#198154,.F.); #267378=ORIENTED_EDGE('',*,*,#198158,.F.); #267379=ORIENTED_EDGE('',*,*,#198161,.F.); #267380=ORIENTED_EDGE('',*,*,#198164,.F.); #267381=ORIENTED_EDGE('',*,*,#198167,.F.); #267382=ORIENTED_EDGE('',*,*,#198170,.F.); #267383=ORIENTED_EDGE('',*,*,#198173,.F.); #267384=ORIENTED_EDGE('',*,*,#198176,.F.); #267385=ORIENTED_EDGE('',*,*,#198179,.F.); #267386=ORIENTED_EDGE('',*,*,#198182,.F.); #267387=ORIENTED_EDGE('',*,*,#198185,.F.); #267388=ORIENTED_EDGE('',*,*,#198188,.F.); #267389=ORIENTED_EDGE('',*,*,#198191,.F.); #267390=ORIENTED_EDGE('',*,*,#198194,.F.); #267391=ORIENTED_EDGE('',*,*,#198151,.T.); #267392=ORIENTED_EDGE('',*,*,#198199,.T.); #267393=ORIENTED_EDGE('',*,*,#198200,.T.); #267394=ORIENTED_EDGE('',*,*,#198201,.F.); #267395=ORIENTED_EDGE('',*,*,#198202,.F.); #267396=ORIENTED_EDGE('',*,*,#198203,.T.); #267397=ORIENTED_EDGE('',*,*,#198202,.T.); #267398=ORIENTED_EDGE('',*,*,#198204,.F.); #267399=ORIENTED_EDGE('',*,*,#198205,.F.); #267400=ORIENTED_EDGE('',*,*,#198206,.T.); #267401=ORIENTED_EDGE('',*,*,#198205,.T.); #267402=ORIENTED_EDGE('',*,*,#198207,.F.); #267403=ORIENTED_EDGE('',*,*,#198208,.F.); #267404=ORIENTED_EDGE('',*,*,#198209,.T.); #267405=ORIENTED_EDGE('',*,*,#198208,.T.); #267406=ORIENTED_EDGE('',*,*,#198210,.F.); #267407=ORIENTED_EDGE('',*,*,#198211,.F.); #267408=ORIENTED_EDGE('',*,*,#198212,.T.); #267409=ORIENTED_EDGE('',*,*,#198211,.T.); #267410=ORIENTED_EDGE('',*,*,#198213,.F.); #267411=ORIENTED_EDGE('',*,*,#198214,.F.); #267412=ORIENTED_EDGE('',*,*,#198215,.T.); #267413=ORIENTED_EDGE('',*,*,#198214,.T.); #267414=ORIENTED_EDGE('',*,*,#198216,.F.); #267415=ORIENTED_EDGE('',*,*,#198217,.F.); #267416=ORIENTED_EDGE('',*,*,#198218,.T.); #267417=ORIENTED_EDGE('',*,*,#198217,.T.); #267418=ORIENTED_EDGE('',*,*,#198219,.F.); #267419=ORIENTED_EDGE('',*,*,#198220,.F.); #267420=ORIENTED_EDGE('',*,*,#198221,.T.); #267421=ORIENTED_EDGE('',*,*,#198220,.T.); #267422=ORIENTED_EDGE('',*,*,#198222,.F.); #267423=ORIENTED_EDGE('',*,*,#198223,.F.); #267424=ORIENTED_EDGE('',*,*,#198224,.T.); #267425=ORIENTED_EDGE('',*,*,#198223,.T.); #267426=ORIENTED_EDGE('',*,*,#198225,.F.); #267427=ORIENTED_EDGE('',*,*,#198226,.F.); #267428=ORIENTED_EDGE('',*,*,#198227,.T.); #267429=ORIENTED_EDGE('',*,*,#198226,.T.); #267430=ORIENTED_EDGE('',*,*,#198228,.F.); #267431=ORIENTED_EDGE('',*,*,#198229,.F.); #267432=ORIENTED_EDGE('',*,*,#198230,.T.); #267433=ORIENTED_EDGE('',*,*,#198229,.T.); #267434=ORIENTED_EDGE('',*,*,#198231,.F.); #267435=ORIENTED_EDGE('',*,*,#198232,.F.); #267436=ORIENTED_EDGE('',*,*,#198233,.T.); #267437=ORIENTED_EDGE('',*,*,#198232,.T.); #267438=ORIENTED_EDGE('',*,*,#198234,.F.); #267439=ORIENTED_EDGE('',*,*,#198235,.F.); #267440=ORIENTED_EDGE('',*,*,#198236,.T.); #267441=ORIENTED_EDGE('',*,*,#198235,.T.); #267442=ORIENTED_EDGE('',*,*,#198237,.F.); #267443=ORIENTED_EDGE('',*,*,#198238,.F.); #267444=ORIENTED_EDGE('',*,*,#198239,.T.); #267445=ORIENTED_EDGE('',*,*,#198238,.T.); #267446=ORIENTED_EDGE('',*,*,#198240,.F.); #267447=ORIENTED_EDGE('',*,*,#198200,.F.); #267448=ORIENTED_EDGE('',*,*,#198240,.T.); #267449=ORIENTED_EDGE('',*,*,#198237,.T.); #267450=ORIENTED_EDGE('',*,*,#198234,.T.); #267451=ORIENTED_EDGE('',*,*,#198231,.T.); #267452=ORIENTED_EDGE('',*,*,#198228,.T.); #267453=ORIENTED_EDGE('',*,*,#198225,.T.); #267454=ORIENTED_EDGE('',*,*,#198222,.T.); #267455=ORIENTED_EDGE('',*,*,#198219,.T.); #267456=ORIENTED_EDGE('',*,*,#198216,.T.); #267457=ORIENTED_EDGE('',*,*,#198213,.T.); #267458=ORIENTED_EDGE('',*,*,#198210,.T.); #267459=ORIENTED_EDGE('',*,*,#198207,.T.); #267460=ORIENTED_EDGE('',*,*,#198204,.T.); #267461=ORIENTED_EDGE('',*,*,#198201,.T.); #267462=ORIENTED_EDGE('',*,*,#198239,.F.); #267463=ORIENTED_EDGE('',*,*,#198199,.F.); #267464=ORIENTED_EDGE('',*,*,#198203,.F.); #267465=ORIENTED_EDGE('',*,*,#198206,.F.); #267466=ORIENTED_EDGE('',*,*,#198209,.F.); #267467=ORIENTED_EDGE('',*,*,#198212,.F.); #267468=ORIENTED_EDGE('',*,*,#198215,.F.); #267469=ORIENTED_EDGE('',*,*,#198218,.F.); #267470=ORIENTED_EDGE('',*,*,#198221,.F.); #267471=ORIENTED_EDGE('',*,*,#198224,.F.); #267472=ORIENTED_EDGE('',*,*,#198227,.F.); #267473=ORIENTED_EDGE('',*,*,#198230,.F.); #267474=ORIENTED_EDGE('',*,*,#198233,.F.); #267475=ORIENTED_EDGE('',*,*,#198236,.F.); #267476=ORIENTED_EDGE('',*,*,#198241,.T.); #267477=ORIENTED_EDGE('',*,*,#198242,.T.); #267478=ORIENTED_EDGE('',*,*,#198243,.F.); #267479=ORIENTED_EDGE('',*,*,#198244,.F.); #267480=ORIENTED_EDGE('',*,*,#198245,.T.); #267481=ORIENTED_EDGE('',*,*,#198244,.T.); #267482=ORIENTED_EDGE('',*,*,#198246,.F.); #267483=ORIENTED_EDGE('',*,*,#198247,.F.); #267484=ORIENTED_EDGE('',*,*,#198248,.T.); #267485=ORIENTED_EDGE('',*,*,#198247,.T.); #267486=ORIENTED_EDGE('',*,*,#198249,.F.); #267487=ORIENTED_EDGE('',*,*,#198250,.F.); #267488=ORIENTED_EDGE('',*,*,#198251,.T.); #267489=ORIENTED_EDGE('',*,*,#198250,.T.); #267490=ORIENTED_EDGE('',*,*,#198252,.F.); #267491=ORIENTED_EDGE('',*,*,#198253,.F.); #267492=ORIENTED_EDGE('',*,*,#198254,.T.); #267493=ORIENTED_EDGE('',*,*,#198253,.T.); #267494=ORIENTED_EDGE('',*,*,#198255,.F.); #267495=ORIENTED_EDGE('',*,*,#198256,.F.); #267496=ORIENTED_EDGE('',*,*,#198257,.T.); #267497=ORIENTED_EDGE('',*,*,#198256,.T.); #267498=ORIENTED_EDGE('',*,*,#198258,.F.); #267499=ORIENTED_EDGE('',*,*,#198259,.F.); #267500=ORIENTED_EDGE('',*,*,#198260,.T.); #267501=ORIENTED_EDGE('',*,*,#198259,.T.); #267502=ORIENTED_EDGE('',*,*,#198261,.F.); #267503=ORIENTED_EDGE('',*,*,#198262,.F.); #267504=ORIENTED_EDGE('',*,*,#198263,.T.); #267505=ORIENTED_EDGE('',*,*,#198262,.T.); #267506=ORIENTED_EDGE('',*,*,#198264,.F.); #267507=ORIENTED_EDGE('',*,*,#198265,.F.); #267508=ORIENTED_EDGE('',*,*,#198266,.T.); #267509=ORIENTED_EDGE('',*,*,#198265,.T.); #267510=ORIENTED_EDGE('',*,*,#198267,.F.); #267511=ORIENTED_EDGE('',*,*,#198268,.F.); #267512=ORIENTED_EDGE('',*,*,#198269,.T.); #267513=ORIENTED_EDGE('',*,*,#198268,.T.); #267514=ORIENTED_EDGE('',*,*,#198270,.F.); #267515=ORIENTED_EDGE('',*,*,#198271,.F.); #267516=ORIENTED_EDGE('',*,*,#198272,.T.); #267517=ORIENTED_EDGE('',*,*,#198271,.T.); #267518=ORIENTED_EDGE('',*,*,#198273,.F.); #267519=ORIENTED_EDGE('',*,*,#198274,.F.); #267520=ORIENTED_EDGE('',*,*,#198275,.T.); #267521=ORIENTED_EDGE('',*,*,#198274,.T.); #267522=ORIENTED_EDGE('',*,*,#198276,.F.); #267523=ORIENTED_EDGE('',*,*,#198277,.F.); #267524=ORIENTED_EDGE('',*,*,#198278,.T.); #267525=ORIENTED_EDGE('',*,*,#198277,.T.); #267526=ORIENTED_EDGE('',*,*,#198279,.F.); #267527=ORIENTED_EDGE('',*,*,#198280,.F.); #267528=ORIENTED_EDGE('',*,*,#198281,.T.); #267529=ORIENTED_EDGE('',*,*,#198280,.T.); #267530=ORIENTED_EDGE('',*,*,#198282,.F.); #267531=ORIENTED_EDGE('',*,*,#198242,.F.); #267532=ORIENTED_EDGE('',*,*,#198282,.T.); #267533=ORIENTED_EDGE('',*,*,#198279,.T.); #267534=ORIENTED_EDGE('',*,*,#198276,.T.); #267535=ORIENTED_EDGE('',*,*,#198273,.T.); #267536=ORIENTED_EDGE('',*,*,#198270,.T.); #267537=ORIENTED_EDGE('',*,*,#198267,.T.); #267538=ORIENTED_EDGE('',*,*,#198264,.T.); #267539=ORIENTED_EDGE('',*,*,#198261,.T.); #267540=ORIENTED_EDGE('',*,*,#198258,.T.); #267541=ORIENTED_EDGE('',*,*,#198255,.T.); #267542=ORIENTED_EDGE('',*,*,#198252,.T.); #267543=ORIENTED_EDGE('',*,*,#198249,.T.); #267544=ORIENTED_EDGE('',*,*,#198246,.T.); #267545=ORIENTED_EDGE('',*,*,#198243,.T.); #267546=ORIENTED_EDGE('',*,*,#198281,.F.); #267547=ORIENTED_EDGE('',*,*,#198241,.F.); #267548=ORIENTED_EDGE('',*,*,#198245,.F.); #267549=ORIENTED_EDGE('',*,*,#198248,.F.); #267550=ORIENTED_EDGE('',*,*,#198251,.F.); #267551=ORIENTED_EDGE('',*,*,#198254,.F.); #267552=ORIENTED_EDGE('',*,*,#198257,.F.); #267553=ORIENTED_EDGE('',*,*,#198260,.F.); #267554=ORIENTED_EDGE('',*,*,#198263,.F.); #267555=ORIENTED_EDGE('',*,*,#198266,.F.); #267556=ORIENTED_EDGE('',*,*,#198269,.F.); #267557=ORIENTED_EDGE('',*,*,#198272,.F.); #267558=ORIENTED_EDGE('',*,*,#198275,.F.); #267559=ORIENTED_EDGE('',*,*,#198278,.F.); #267560=ORIENTED_EDGE('',*,*,#198283,.F.); #267561=ORIENTED_EDGE('',*,*,#198284,.T.); #267562=ORIENTED_EDGE('',*,*,#198285,.F.); #267563=ORIENTED_EDGE('',*,*,#198284,.F.); #267564=ORIENTED_EDGE('',*,*,#198286,.T.); #267565=ORIENTED_EDGE('',*,*,#198287,.T.); #267566=ORIENTED_EDGE('',*,*,#198288,.F.); #267567=ORIENTED_EDGE('',*,*,#198289,.F.); #267568=ORIENTED_EDGE('',*,*,#198290,.T.); #267569=ORIENTED_EDGE('',*,*,#198289,.T.); #267570=ORIENTED_EDGE('',*,*,#198291,.F.); #267571=ORIENTED_EDGE('',*,*,#198292,.F.); #267572=ORIENTED_EDGE('',*,*,#198293,.T.); #267573=ORIENTED_EDGE('',*,*,#198292,.T.); #267574=ORIENTED_EDGE('',*,*,#198294,.F.); #267575=ORIENTED_EDGE('',*,*,#198295,.F.); #267576=ORIENTED_EDGE('',*,*,#198296,.T.); #267577=ORIENTED_EDGE('',*,*,#198295,.T.); #267578=ORIENTED_EDGE('',*,*,#198297,.F.); #267579=ORIENTED_EDGE('',*,*,#198298,.F.); #267580=ORIENTED_EDGE('',*,*,#198299,.T.); #267581=ORIENTED_EDGE('',*,*,#198298,.T.); #267582=ORIENTED_EDGE('',*,*,#198300,.F.); #267583=ORIENTED_EDGE('',*,*,#198301,.F.); #267584=ORIENTED_EDGE('',*,*,#198302,.T.); #267585=ORIENTED_EDGE('',*,*,#198301,.T.); #267586=ORIENTED_EDGE('',*,*,#198303,.F.); #267587=ORIENTED_EDGE('',*,*,#198304,.F.); #267588=ORIENTED_EDGE('',*,*,#198305,.T.); #267589=ORIENTED_EDGE('',*,*,#198304,.T.); #267590=ORIENTED_EDGE('',*,*,#198306,.F.); #267591=ORIENTED_EDGE('',*,*,#198307,.F.); #267592=ORIENTED_EDGE('',*,*,#198308,.T.); #267593=ORIENTED_EDGE('',*,*,#198307,.T.); #267594=ORIENTED_EDGE('',*,*,#198309,.F.); #267595=ORIENTED_EDGE('',*,*,#198310,.F.); #267596=ORIENTED_EDGE('',*,*,#198311,.T.); #267597=ORIENTED_EDGE('',*,*,#198310,.T.); #267598=ORIENTED_EDGE('',*,*,#198312,.F.); #267599=ORIENTED_EDGE('',*,*,#198313,.F.); #267600=ORIENTED_EDGE('',*,*,#198314,.T.); #267601=ORIENTED_EDGE('',*,*,#198313,.T.); #267602=ORIENTED_EDGE('',*,*,#198315,.F.); #267603=ORIENTED_EDGE('',*,*,#198316,.F.); #267604=ORIENTED_EDGE('',*,*,#198317,.T.); #267605=ORIENTED_EDGE('',*,*,#198316,.T.); #267606=ORIENTED_EDGE('',*,*,#198318,.F.); #267607=ORIENTED_EDGE('',*,*,#198319,.F.); #267608=ORIENTED_EDGE('',*,*,#198320,.T.); #267609=ORIENTED_EDGE('',*,*,#198319,.T.); #267610=ORIENTED_EDGE('',*,*,#198321,.F.); #267611=ORIENTED_EDGE('',*,*,#198322,.F.); #267612=ORIENTED_EDGE('',*,*,#198323,.T.); #267613=ORIENTED_EDGE('',*,*,#198322,.T.); #267614=ORIENTED_EDGE('',*,*,#198324,.F.); #267615=ORIENTED_EDGE('',*,*,#198325,.F.); #267616=ORIENTED_EDGE('',*,*,#198326,.T.); #267617=ORIENTED_EDGE('',*,*,#198325,.T.); #267618=ORIENTED_EDGE('',*,*,#198327,.F.); #267619=ORIENTED_EDGE('',*,*,#198328,.F.); #267620=ORIENTED_EDGE('',*,*,#198329,.T.); #267621=ORIENTED_EDGE('',*,*,#198328,.T.); #267622=ORIENTED_EDGE('',*,*,#198330,.F.); #267623=ORIENTED_EDGE('',*,*,#198331,.F.); #267624=ORIENTED_EDGE('',*,*,#198332,.T.); #267625=ORIENTED_EDGE('',*,*,#198331,.T.); #267626=ORIENTED_EDGE('',*,*,#198333,.F.); #267627=ORIENTED_EDGE('',*,*,#198334,.F.); #267628=ORIENTED_EDGE('',*,*,#198335,.T.); #267629=ORIENTED_EDGE('',*,*,#198334,.T.); #267630=ORIENTED_EDGE('',*,*,#198336,.F.); #267631=ORIENTED_EDGE('',*,*,#198337,.F.); #267632=ORIENTED_EDGE('',*,*,#198338,.T.); #267633=ORIENTED_EDGE('',*,*,#198337,.T.); #267634=ORIENTED_EDGE('',*,*,#198339,.F.); #267635=ORIENTED_EDGE('',*,*,#198340,.F.); #267636=ORIENTED_EDGE('',*,*,#198341,.T.); #267637=ORIENTED_EDGE('',*,*,#198340,.T.); #267638=ORIENTED_EDGE('',*,*,#198342,.F.); #267639=ORIENTED_EDGE('',*,*,#198343,.F.); #267640=ORIENTED_EDGE('',*,*,#198344,.T.); #267641=ORIENTED_EDGE('',*,*,#198343,.T.); #267642=ORIENTED_EDGE('',*,*,#198345,.F.); #267643=ORIENTED_EDGE('',*,*,#198346,.F.); #267644=ORIENTED_EDGE('',*,*,#198347,.T.); #267645=ORIENTED_EDGE('',*,*,#198346,.T.); #267646=ORIENTED_EDGE('',*,*,#198348,.F.); #267647=ORIENTED_EDGE('',*,*,#198349,.F.); #267648=ORIENTED_EDGE('',*,*,#198350,.T.); #267649=ORIENTED_EDGE('',*,*,#198349,.T.); #267650=ORIENTED_EDGE('',*,*,#198351,.F.); #267651=ORIENTED_EDGE('',*,*,#198352,.F.); #267652=ORIENTED_EDGE('',*,*,#198353,.T.); #267653=ORIENTED_EDGE('',*,*,#198352,.T.); #267654=ORIENTED_EDGE('',*,*,#198354,.F.); #267655=ORIENTED_EDGE('',*,*,#198355,.F.); #267656=ORIENTED_EDGE('',*,*,#198356,.T.); #267657=ORIENTED_EDGE('',*,*,#198355,.T.); #267658=ORIENTED_EDGE('',*,*,#198357,.F.); #267659=ORIENTED_EDGE('',*,*,#198358,.F.); #267660=ORIENTED_EDGE('',*,*,#198359,.T.); #267661=ORIENTED_EDGE('',*,*,#198358,.T.); #267662=ORIENTED_EDGE('',*,*,#198360,.F.); #267663=ORIENTED_EDGE('',*,*,#198361,.F.); #267664=ORIENTED_EDGE('',*,*,#198362,.T.); #267665=ORIENTED_EDGE('',*,*,#198361,.T.); #267666=ORIENTED_EDGE('',*,*,#198363,.F.); #267667=ORIENTED_EDGE('',*,*,#198364,.F.); #267668=ORIENTED_EDGE('',*,*,#198365,.T.); #267669=ORIENTED_EDGE('',*,*,#198364,.T.); #267670=ORIENTED_EDGE('',*,*,#198366,.F.); #267671=ORIENTED_EDGE('',*,*,#198367,.F.); #267672=ORIENTED_EDGE('',*,*,#198368,.T.); #267673=ORIENTED_EDGE('',*,*,#198367,.T.); #267674=ORIENTED_EDGE('',*,*,#198369,.F.); #267675=ORIENTED_EDGE('',*,*,#198370,.F.); #267676=ORIENTED_EDGE('',*,*,#198371,.T.); #267677=ORIENTED_EDGE('',*,*,#198370,.T.); #267678=ORIENTED_EDGE('',*,*,#198372,.F.); #267679=ORIENTED_EDGE('',*,*,#198373,.F.); #267680=ORIENTED_EDGE('',*,*,#198374,.T.); #267681=ORIENTED_EDGE('',*,*,#198373,.T.); #267682=ORIENTED_EDGE('',*,*,#198375,.F.); #267683=ORIENTED_EDGE('',*,*,#198376,.F.); #267684=ORIENTED_EDGE('',*,*,#198377,.T.); #267685=ORIENTED_EDGE('',*,*,#198376,.T.); #267686=ORIENTED_EDGE('',*,*,#198378,.F.); #267687=ORIENTED_EDGE('',*,*,#198379,.F.); #267688=ORIENTED_EDGE('',*,*,#198380,.T.); #267689=ORIENTED_EDGE('',*,*,#198379,.T.); #267690=ORIENTED_EDGE('',*,*,#198381,.F.); #267691=ORIENTED_EDGE('',*,*,#198382,.F.); #267692=ORIENTED_EDGE('',*,*,#198383,.T.); #267693=ORIENTED_EDGE('',*,*,#198382,.T.); #267694=ORIENTED_EDGE('',*,*,#198384,.F.); #267695=ORIENTED_EDGE('',*,*,#198385,.F.); #267696=ORIENTED_EDGE('',*,*,#198386,.T.); #267697=ORIENTED_EDGE('',*,*,#198385,.T.); #267698=ORIENTED_EDGE('',*,*,#198387,.F.); #267699=ORIENTED_EDGE('',*,*,#198388,.F.); #267700=ORIENTED_EDGE('',*,*,#198389,.T.); #267701=ORIENTED_EDGE('',*,*,#198388,.T.); #267702=ORIENTED_EDGE('',*,*,#198390,.F.); #267703=ORIENTED_EDGE('',*,*,#198391,.F.); #267704=ORIENTED_EDGE('',*,*,#198392,.T.); #267705=ORIENTED_EDGE('',*,*,#198391,.T.); #267706=ORIENTED_EDGE('',*,*,#198393,.F.); #267707=ORIENTED_EDGE('',*,*,#198394,.F.); #267708=ORIENTED_EDGE('',*,*,#198395,.T.); #267709=ORIENTED_EDGE('',*,*,#198394,.T.); #267710=ORIENTED_EDGE('',*,*,#198396,.F.); #267711=ORIENTED_EDGE('',*,*,#198397,.F.); #267712=ORIENTED_EDGE('',*,*,#198398,.T.); #267713=ORIENTED_EDGE('',*,*,#198397,.T.); #267714=ORIENTED_EDGE('',*,*,#198399,.F.); #267715=ORIENTED_EDGE('',*,*,#198287,.F.); #267716=ORIENTED_EDGE('',*,*,#198399,.T.); #267717=ORIENTED_EDGE('',*,*,#198396,.T.); #267718=ORIENTED_EDGE('',*,*,#198393,.T.); #267719=ORIENTED_EDGE('',*,*,#198390,.T.); #267720=ORIENTED_EDGE('',*,*,#198387,.T.); #267721=ORIENTED_EDGE('',*,*,#198384,.T.); #267722=ORIENTED_EDGE('',*,*,#198381,.T.); #267723=ORIENTED_EDGE('',*,*,#198378,.T.); #267724=ORIENTED_EDGE('',*,*,#198375,.T.); #267725=ORIENTED_EDGE('',*,*,#198372,.T.); #267726=ORIENTED_EDGE('',*,*,#198369,.T.); #267727=ORIENTED_EDGE('',*,*,#198366,.T.); #267728=ORIENTED_EDGE('',*,*,#198363,.T.); #267729=ORIENTED_EDGE('',*,*,#198360,.T.); #267730=ORIENTED_EDGE('',*,*,#198357,.T.); #267731=ORIENTED_EDGE('',*,*,#198354,.T.); #267732=ORIENTED_EDGE('',*,*,#198351,.T.); #267733=ORIENTED_EDGE('',*,*,#198348,.T.); #267734=ORIENTED_EDGE('',*,*,#198345,.T.); #267735=ORIENTED_EDGE('',*,*,#198342,.T.); #267736=ORIENTED_EDGE('',*,*,#198339,.T.); #267737=ORIENTED_EDGE('',*,*,#198336,.T.); #267738=ORIENTED_EDGE('',*,*,#198333,.T.); #267739=ORIENTED_EDGE('',*,*,#198330,.T.); #267740=ORIENTED_EDGE('',*,*,#198327,.T.); #267741=ORIENTED_EDGE('',*,*,#198324,.T.); #267742=ORIENTED_EDGE('',*,*,#198321,.T.); #267743=ORIENTED_EDGE('',*,*,#198318,.T.); #267744=ORIENTED_EDGE('',*,*,#198315,.T.); #267745=ORIENTED_EDGE('',*,*,#198312,.T.); #267746=ORIENTED_EDGE('',*,*,#198309,.T.); #267747=ORIENTED_EDGE('',*,*,#198306,.T.); #267748=ORIENTED_EDGE('',*,*,#198303,.T.); #267749=ORIENTED_EDGE('',*,*,#198300,.T.); #267750=ORIENTED_EDGE('',*,*,#198297,.T.); #267751=ORIENTED_EDGE('',*,*,#198294,.T.); #267752=ORIENTED_EDGE('',*,*,#198291,.T.); #267753=ORIENTED_EDGE('',*,*,#198288,.T.); #267754=ORIENTED_EDGE('',*,*,#198285,.T.); #267755=ORIENTED_EDGE('',*,*,#198398,.F.); #267756=ORIENTED_EDGE('',*,*,#198286,.F.); #267757=ORIENTED_EDGE('',*,*,#198290,.F.); #267758=ORIENTED_EDGE('',*,*,#198293,.F.); #267759=ORIENTED_EDGE('',*,*,#198296,.F.); #267760=ORIENTED_EDGE('',*,*,#198299,.F.); #267761=ORIENTED_EDGE('',*,*,#198302,.F.); #267762=ORIENTED_EDGE('',*,*,#198305,.F.); #267763=ORIENTED_EDGE('',*,*,#198308,.F.); #267764=ORIENTED_EDGE('',*,*,#198311,.F.); #267765=ORIENTED_EDGE('',*,*,#198314,.F.); #267766=ORIENTED_EDGE('',*,*,#198317,.F.); #267767=ORIENTED_EDGE('',*,*,#198320,.F.); #267768=ORIENTED_EDGE('',*,*,#198323,.F.); #267769=ORIENTED_EDGE('',*,*,#198326,.F.); #267770=ORIENTED_EDGE('',*,*,#198329,.F.); #267771=ORIENTED_EDGE('',*,*,#198332,.F.); #267772=ORIENTED_EDGE('',*,*,#198335,.F.); #267773=ORIENTED_EDGE('',*,*,#198338,.F.); #267774=ORIENTED_EDGE('',*,*,#198341,.F.); #267775=ORIENTED_EDGE('',*,*,#198344,.F.); #267776=ORIENTED_EDGE('',*,*,#198347,.F.); #267777=ORIENTED_EDGE('',*,*,#198350,.F.); #267778=ORIENTED_EDGE('',*,*,#198353,.F.); #267779=ORIENTED_EDGE('',*,*,#198356,.F.); #267780=ORIENTED_EDGE('',*,*,#198359,.F.); #267781=ORIENTED_EDGE('',*,*,#198362,.F.); #267782=ORIENTED_EDGE('',*,*,#198365,.F.); #267783=ORIENTED_EDGE('',*,*,#198368,.F.); #267784=ORIENTED_EDGE('',*,*,#198371,.F.); #267785=ORIENTED_EDGE('',*,*,#198374,.F.); #267786=ORIENTED_EDGE('',*,*,#198377,.F.); #267787=ORIENTED_EDGE('',*,*,#198380,.F.); #267788=ORIENTED_EDGE('',*,*,#198383,.F.); #267789=ORIENTED_EDGE('',*,*,#198386,.F.); #267790=ORIENTED_EDGE('',*,*,#198389,.F.); #267791=ORIENTED_EDGE('',*,*,#198392,.F.); #267792=ORIENTED_EDGE('',*,*,#198395,.F.); #267793=ORIENTED_EDGE('',*,*,#198283,.T.); #267794=ORIENTED_EDGE('',*,*,#198400,.F.); #267795=ORIENTED_EDGE('',*,*,#198401,.T.); #267796=ORIENTED_EDGE('',*,*,#198402,.F.); #267797=ORIENTED_EDGE('',*,*,#198401,.F.); #267798=ORIENTED_EDGE('',*,*,#198403,.F.); #267799=ORIENTED_EDGE('',*,*,#198404,.T.); #267800=ORIENTED_EDGE('',*,*,#198405,.F.); #267801=ORIENTED_EDGE('',*,*,#198404,.F.); #267802=ORIENTED_EDGE('',*,*,#198406,.T.); #267803=ORIENTED_EDGE('',*,*,#198407,.T.); #267804=ORIENTED_EDGE('',*,*,#198408,.F.); #267805=ORIENTED_EDGE('',*,*,#198409,.F.); #267806=ORIENTED_EDGE('',*,*,#198410,.T.); #267807=ORIENTED_EDGE('',*,*,#198409,.T.); #267808=ORIENTED_EDGE('',*,*,#198411,.F.); #267809=ORIENTED_EDGE('',*,*,#198412,.F.); #267810=ORIENTED_EDGE('',*,*,#198413,.T.); #267811=ORIENTED_EDGE('',*,*,#198412,.T.); #267812=ORIENTED_EDGE('',*,*,#198414,.F.); #267813=ORIENTED_EDGE('',*,*,#198415,.F.); #267814=ORIENTED_EDGE('',*,*,#198416,.T.); #267815=ORIENTED_EDGE('',*,*,#198415,.T.); #267816=ORIENTED_EDGE('',*,*,#198417,.F.); #267817=ORIENTED_EDGE('',*,*,#198418,.F.); #267818=ORIENTED_EDGE('',*,*,#198419,.T.); #267819=ORIENTED_EDGE('',*,*,#198418,.T.); #267820=ORIENTED_EDGE('',*,*,#198420,.F.); #267821=ORIENTED_EDGE('',*,*,#198421,.F.); #267822=ORIENTED_EDGE('',*,*,#198422,.T.); #267823=ORIENTED_EDGE('',*,*,#198421,.T.); #267824=ORIENTED_EDGE('',*,*,#198423,.F.); #267825=ORIENTED_EDGE('',*,*,#198424,.F.); #267826=ORIENTED_EDGE('',*,*,#198425,.T.); #267827=ORIENTED_EDGE('',*,*,#198424,.T.); #267828=ORIENTED_EDGE('',*,*,#198426,.F.); #267829=ORIENTED_EDGE('',*,*,#198407,.F.); #267830=ORIENTED_EDGE('',*,*,#198426,.T.); #267831=ORIENTED_EDGE('',*,*,#198423,.T.); #267832=ORIENTED_EDGE('',*,*,#198420,.T.); #267833=ORIENTED_EDGE('',*,*,#198417,.T.); #267834=ORIENTED_EDGE('',*,*,#198414,.T.); #267835=ORIENTED_EDGE('',*,*,#198411,.T.); #267836=ORIENTED_EDGE('',*,*,#198408,.T.); #267837=ORIENTED_EDGE('',*,*,#198402,.T.); #267838=ORIENTED_EDGE('',*,*,#198405,.T.); #267839=ORIENTED_EDGE('',*,*,#198425,.F.); #267840=ORIENTED_EDGE('',*,*,#198406,.F.); #267841=ORIENTED_EDGE('',*,*,#198410,.F.); #267842=ORIENTED_EDGE('',*,*,#198413,.F.); #267843=ORIENTED_EDGE('',*,*,#198416,.F.); #267844=ORIENTED_EDGE('',*,*,#198419,.F.); #267845=ORIENTED_EDGE('',*,*,#198422,.F.); #267846=ORIENTED_EDGE('',*,*,#198400,.T.); #267847=ORIENTED_EDGE('',*,*,#198403,.T.); #267848=ORIENTED_EDGE('',*,*,#198427,.F.); #267849=ORIENTED_EDGE('',*,*,#198428,.T.); #267850=ORIENTED_EDGE('',*,*,#198429,.F.); #267851=ORIENTED_EDGE('',*,*,#198428,.F.); #267852=ORIENTED_EDGE('',*,*,#198430,.T.); #267853=ORIENTED_EDGE('',*,*,#198431,.T.); #267854=ORIENTED_EDGE('',*,*,#198432,.F.); #267855=ORIENTED_EDGE('',*,*,#198433,.F.); #267856=ORIENTED_EDGE('',*,*,#198434,.T.); #267857=ORIENTED_EDGE('',*,*,#198433,.T.); #267858=ORIENTED_EDGE('',*,*,#198435,.F.); #267859=ORIENTED_EDGE('',*,*,#198436,.F.); #267860=ORIENTED_EDGE('',*,*,#198437,.T.); #267861=ORIENTED_EDGE('',*,*,#198436,.T.); #267862=ORIENTED_EDGE('',*,*,#198438,.F.); #267863=ORIENTED_EDGE('',*,*,#198439,.F.); #267864=ORIENTED_EDGE('',*,*,#198440,.T.); #267865=ORIENTED_EDGE('',*,*,#198439,.T.); #267866=ORIENTED_EDGE('',*,*,#198441,.F.); #267867=ORIENTED_EDGE('',*,*,#198431,.F.); #267868=ORIENTED_EDGE('',*,*,#198441,.T.); #267869=ORIENTED_EDGE('',*,*,#198438,.T.); #267870=ORIENTED_EDGE('',*,*,#198435,.T.); #267871=ORIENTED_EDGE('',*,*,#198432,.T.); #267872=ORIENTED_EDGE('',*,*,#198429,.T.); #267873=ORIENTED_EDGE('',*,*,#198440,.F.); #267874=ORIENTED_EDGE('',*,*,#198430,.F.); #267875=ORIENTED_EDGE('',*,*,#198434,.F.); #267876=ORIENTED_EDGE('',*,*,#198437,.F.); #267877=ORIENTED_EDGE('',*,*,#198427,.T.); #267878=ORIENTED_EDGE('',*,*,#198442,.F.); #267879=ORIENTED_EDGE('',*,*,#198443,.T.); #267880=ORIENTED_EDGE('',*,*,#198444,.F.); #267881=ORIENTED_EDGE('',*,*,#198443,.F.); #267882=ORIENTED_EDGE('',*,*,#198445,.F.); #267883=ORIENTED_EDGE('',*,*,#198446,.T.); #267884=ORIENTED_EDGE('',*,*,#198447,.F.); #267885=ORIENTED_EDGE('',*,*,#198446,.F.); #267886=ORIENTED_EDGE('',*,*,#198448,.T.); #267887=ORIENTED_EDGE('',*,*,#198449,.T.); #267888=ORIENTED_EDGE('',*,*,#198450,.F.); #267889=ORIENTED_EDGE('',*,*,#198451,.F.); #267890=ORIENTED_EDGE('',*,*,#198452,.T.); #267891=ORIENTED_EDGE('',*,*,#198451,.T.); #267892=ORIENTED_EDGE('',*,*,#198453,.F.); #267893=ORIENTED_EDGE('',*,*,#198454,.F.); #267894=ORIENTED_EDGE('',*,*,#198455,.T.); #267895=ORIENTED_EDGE('',*,*,#198454,.T.); #267896=ORIENTED_EDGE('',*,*,#198456,.F.); #267897=ORIENTED_EDGE('',*,*,#198457,.F.); #267898=ORIENTED_EDGE('',*,*,#198458,.T.); #267899=ORIENTED_EDGE('',*,*,#198457,.T.); #267900=ORIENTED_EDGE('',*,*,#198459,.F.); #267901=ORIENTED_EDGE('',*,*,#198460,.F.); #267902=ORIENTED_EDGE('',*,*,#198461,.T.); #267903=ORIENTED_EDGE('',*,*,#198460,.T.); #267904=ORIENTED_EDGE('',*,*,#198462,.F.); #267905=ORIENTED_EDGE('',*,*,#198463,.F.); #267906=ORIENTED_EDGE('',*,*,#198464,.T.); #267907=ORIENTED_EDGE('',*,*,#198463,.T.); #267908=ORIENTED_EDGE('',*,*,#198465,.F.); #267909=ORIENTED_EDGE('',*,*,#198466,.F.); #267910=ORIENTED_EDGE('',*,*,#198467,.T.); #267911=ORIENTED_EDGE('',*,*,#198466,.T.); #267912=ORIENTED_EDGE('',*,*,#198468,.F.); #267913=ORIENTED_EDGE('',*,*,#198469,.F.); #267914=ORIENTED_EDGE('',*,*,#198470,.T.); #267915=ORIENTED_EDGE('',*,*,#198469,.T.); #267916=ORIENTED_EDGE('',*,*,#198471,.F.); #267917=ORIENTED_EDGE('',*,*,#198472,.F.); #267918=ORIENTED_EDGE('',*,*,#198473,.T.); #267919=ORIENTED_EDGE('',*,*,#198472,.T.); #267920=ORIENTED_EDGE('',*,*,#198474,.F.); #267921=ORIENTED_EDGE('',*,*,#198475,.F.); #267922=ORIENTED_EDGE('',*,*,#198476,.T.); #267923=ORIENTED_EDGE('',*,*,#198475,.T.); #267924=ORIENTED_EDGE('',*,*,#198477,.F.); #267925=ORIENTED_EDGE('',*,*,#198478,.F.); #267926=ORIENTED_EDGE('',*,*,#198479,.T.); #267927=ORIENTED_EDGE('',*,*,#198478,.T.); #267928=ORIENTED_EDGE('',*,*,#198480,.F.); #267929=ORIENTED_EDGE('',*,*,#198481,.F.); #267930=ORIENTED_EDGE('',*,*,#198482,.T.); #267931=ORIENTED_EDGE('',*,*,#198481,.T.); #267932=ORIENTED_EDGE('',*,*,#198483,.F.); #267933=ORIENTED_EDGE('',*,*,#198484,.F.); #267934=ORIENTED_EDGE('',*,*,#198485,.T.); #267935=ORIENTED_EDGE('',*,*,#198484,.T.); #267936=ORIENTED_EDGE('',*,*,#198486,.F.); #267937=ORIENTED_EDGE('',*,*,#198487,.F.); #267938=ORIENTED_EDGE('',*,*,#198488,.T.); #267939=ORIENTED_EDGE('',*,*,#198487,.T.); #267940=ORIENTED_EDGE('',*,*,#198489,.F.); #267941=ORIENTED_EDGE('',*,*,#198490,.F.); #267942=ORIENTED_EDGE('',*,*,#198491,.T.); #267943=ORIENTED_EDGE('',*,*,#198490,.T.); #267944=ORIENTED_EDGE('',*,*,#198492,.F.); #267945=ORIENTED_EDGE('',*,*,#198493,.F.); #267946=ORIENTED_EDGE('',*,*,#198494,.T.); #267947=ORIENTED_EDGE('',*,*,#198493,.T.); #267948=ORIENTED_EDGE('',*,*,#198495,.F.); #267949=ORIENTED_EDGE('',*,*,#198496,.F.); #267950=ORIENTED_EDGE('',*,*,#198497,.T.); #267951=ORIENTED_EDGE('',*,*,#198496,.T.); #267952=ORIENTED_EDGE('',*,*,#198498,.F.); #267953=ORIENTED_EDGE('',*,*,#198499,.F.); #267954=ORIENTED_EDGE('',*,*,#198500,.T.); #267955=ORIENTED_EDGE('',*,*,#198499,.T.); #267956=ORIENTED_EDGE('',*,*,#198501,.F.); #267957=ORIENTED_EDGE('',*,*,#198502,.F.); #267958=ORIENTED_EDGE('',*,*,#198503,.T.); #267959=ORIENTED_EDGE('',*,*,#198502,.T.); #267960=ORIENTED_EDGE('',*,*,#198504,.F.); #267961=ORIENTED_EDGE('',*,*,#198505,.F.); #267962=ORIENTED_EDGE('',*,*,#198506,.T.); #267963=ORIENTED_EDGE('',*,*,#198505,.T.); #267964=ORIENTED_EDGE('',*,*,#198507,.F.); #267965=ORIENTED_EDGE('',*,*,#198508,.F.); #267966=ORIENTED_EDGE('',*,*,#198509,.T.); #267967=ORIENTED_EDGE('',*,*,#198508,.T.); #267968=ORIENTED_EDGE('',*,*,#198510,.F.); #267969=ORIENTED_EDGE('',*,*,#198511,.F.); #267970=ORIENTED_EDGE('',*,*,#198512,.T.); #267971=ORIENTED_EDGE('',*,*,#198511,.T.); #267972=ORIENTED_EDGE('',*,*,#198513,.F.); #267973=ORIENTED_EDGE('',*,*,#198514,.F.); #267974=ORIENTED_EDGE('',*,*,#198515,.T.); #267975=ORIENTED_EDGE('',*,*,#198514,.T.); #267976=ORIENTED_EDGE('',*,*,#198516,.F.); #267977=ORIENTED_EDGE('',*,*,#198449,.F.); #267978=ORIENTED_EDGE('',*,*,#198516,.T.); #267979=ORIENTED_EDGE('',*,*,#198513,.T.); #267980=ORIENTED_EDGE('',*,*,#198510,.T.); #267981=ORIENTED_EDGE('',*,*,#198507,.T.); #267982=ORIENTED_EDGE('',*,*,#198504,.T.); #267983=ORIENTED_EDGE('',*,*,#198501,.T.); #267984=ORIENTED_EDGE('',*,*,#198498,.T.); #267985=ORIENTED_EDGE('',*,*,#198495,.T.); #267986=ORIENTED_EDGE('',*,*,#198492,.T.); #267987=ORIENTED_EDGE('',*,*,#198489,.T.); #267988=ORIENTED_EDGE('',*,*,#198486,.T.); #267989=ORIENTED_EDGE('',*,*,#198483,.T.); #267990=ORIENTED_EDGE('',*,*,#198480,.T.); #267991=ORIENTED_EDGE('',*,*,#198477,.T.); #267992=ORIENTED_EDGE('',*,*,#198474,.T.); #267993=ORIENTED_EDGE('',*,*,#198471,.T.); #267994=ORIENTED_EDGE('',*,*,#198468,.T.); #267995=ORIENTED_EDGE('',*,*,#198465,.T.); #267996=ORIENTED_EDGE('',*,*,#198462,.T.); #267997=ORIENTED_EDGE('',*,*,#198459,.T.); #267998=ORIENTED_EDGE('',*,*,#198456,.T.); #267999=ORIENTED_EDGE('',*,*,#198453,.T.); #268000=ORIENTED_EDGE('',*,*,#198450,.T.); #268001=ORIENTED_EDGE('',*,*,#198444,.T.); #268002=ORIENTED_EDGE('',*,*,#198447,.T.); #268003=ORIENTED_EDGE('',*,*,#198515,.F.); #268004=ORIENTED_EDGE('',*,*,#198448,.F.); #268005=ORIENTED_EDGE('',*,*,#198452,.F.); #268006=ORIENTED_EDGE('',*,*,#198455,.F.); #268007=ORIENTED_EDGE('',*,*,#198458,.F.); #268008=ORIENTED_EDGE('',*,*,#198461,.F.); #268009=ORIENTED_EDGE('',*,*,#198464,.F.); #268010=ORIENTED_EDGE('',*,*,#198467,.F.); #268011=ORIENTED_EDGE('',*,*,#198470,.F.); #268012=ORIENTED_EDGE('',*,*,#198473,.F.); #268013=ORIENTED_EDGE('',*,*,#198476,.F.); #268014=ORIENTED_EDGE('',*,*,#198479,.F.); #268015=ORIENTED_EDGE('',*,*,#198482,.F.); #268016=ORIENTED_EDGE('',*,*,#198485,.F.); #268017=ORIENTED_EDGE('',*,*,#198488,.F.); #268018=ORIENTED_EDGE('',*,*,#198491,.F.); #268019=ORIENTED_EDGE('',*,*,#198494,.F.); #268020=ORIENTED_EDGE('',*,*,#198497,.F.); #268021=ORIENTED_EDGE('',*,*,#198500,.F.); #268022=ORIENTED_EDGE('',*,*,#198503,.F.); #268023=ORIENTED_EDGE('',*,*,#198506,.F.); #268024=ORIENTED_EDGE('',*,*,#198509,.F.); #268025=ORIENTED_EDGE('',*,*,#198512,.F.); #268026=ORIENTED_EDGE('',*,*,#198442,.T.); #268027=ORIENTED_EDGE('',*,*,#198445,.T.); #268028=ORIENTED_EDGE('',*,*,#198517,.F.); #268029=ORIENTED_EDGE('',*,*,#198518,.T.); #268030=ORIENTED_EDGE('',*,*,#198519,.F.); #268031=ORIENTED_EDGE('',*,*,#198518,.F.); #268032=ORIENTED_EDGE('',*,*,#198520,.F.); #268033=ORIENTED_EDGE('',*,*,#198521,.T.); #268034=ORIENTED_EDGE('',*,*,#198522,.F.); #268035=ORIENTED_EDGE('',*,*,#198521,.F.); #268036=ORIENTED_EDGE('',*,*,#198523,.T.); #268037=ORIENTED_EDGE('',*,*,#198524,.T.); #268038=ORIENTED_EDGE('',*,*,#198525,.F.); #268039=ORIENTED_EDGE('',*,*,#198526,.F.); #268040=ORIENTED_EDGE('',*,*,#198527,.T.); #268041=ORIENTED_EDGE('',*,*,#198526,.T.); #268042=ORIENTED_EDGE('',*,*,#198528,.F.); #268043=ORIENTED_EDGE('',*,*,#198529,.F.); #268044=ORIENTED_EDGE('',*,*,#198530,.T.); #268045=ORIENTED_EDGE('',*,*,#198529,.T.); #268046=ORIENTED_EDGE('',*,*,#198531,.F.); #268047=ORIENTED_EDGE('',*,*,#198532,.F.); #268048=ORIENTED_EDGE('',*,*,#198533,.T.); #268049=ORIENTED_EDGE('',*,*,#198532,.T.); #268050=ORIENTED_EDGE('',*,*,#198534,.F.); #268051=ORIENTED_EDGE('',*,*,#198535,.F.); #268052=ORIENTED_EDGE('',*,*,#198536,.T.); #268053=ORIENTED_EDGE('',*,*,#198535,.T.); #268054=ORIENTED_EDGE('',*,*,#198537,.F.); #268055=ORIENTED_EDGE('',*,*,#198538,.F.); #268056=ORIENTED_EDGE('',*,*,#198539,.T.); #268057=ORIENTED_EDGE('',*,*,#198538,.T.); #268058=ORIENTED_EDGE('',*,*,#198540,.F.); #268059=ORIENTED_EDGE('',*,*,#198541,.F.); #268060=ORIENTED_EDGE('',*,*,#198542,.T.); #268061=ORIENTED_EDGE('',*,*,#198541,.T.); #268062=ORIENTED_EDGE('',*,*,#198543,.F.); #268063=ORIENTED_EDGE('',*,*,#198544,.F.); #268064=ORIENTED_EDGE('',*,*,#198545,.T.); #268065=ORIENTED_EDGE('',*,*,#198544,.T.); #268066=ORIENTED_EDGE('',*,*,#198546,.F.); #268067=ORIENTED_EDGE('',*,*,#198524,.F.); #268068=ORIENTED_EDGE('',*,*,#198546,.T.); #268069=ORIENTED_EDGE('',*,*,#198543,.T.); #268070=ORIENTED_EDGE('',*,*,#198540,.T.); #268071=ORIENTED_EDGE('',*,*,#198537,.T.); #268072=ORIENTED_EDGE('',*,*,#198534,.T.); #268073=ORIENTED_EDGE('',*,*,#198531,.T.); #268074=ORIENTED_EDGE('',*,*,#198528,.T.); #268075=ORIENTED_EDGE('',*,*,#198525,.T.); #268076=ORIENTED_EDGE('',*,*,#198519,.T.); #268077=ORIENTED_EDGE('',*,*,#198522,.T.); #268078=ORIENTED_EDGE('',*,*,#198545,.F.); #268079=ORIENTED_EDGE('',*,*,#198523,.F.); #268080=ORIENTED_EDGE('',*,*,#198527,.F.); #268081=ORIENTED_EDGE('',*,*,#198530,.F.); #268082=ORIENTED_EDGE('',*,*,#198533,.F.); #268083=ORIENTED_EDGE('',*,*,#198536,.F.); #268084=ORIENTED_EDGE('',*,*,#198539,.F.); #268085=ORIENTED_EDGE('',*,*,#198542,.F.); #268086=ORIENTED_EDGE('',*,*,#198517,.T.); #268087=ORIENTED_EDGE('',*,*,#198520,.T.); #268088=ORIENTED_EDGE('',*,*,#198547,.F.); #268089=ORIENTED_EDGE('',*,*,#198548,.T.); #268090=ORIENTED_EDGE('',*,*,#198549,.F.); #268091=ORIENTED_EDGE('',*,*,#198548,.F.); #268092=ORIENTED_EDGE('',*,*,#198550,.T.); #268093=ORIENTED_EDGE('',*,*,#198551,.T.); #268094=ORIENTED_EDGE('',*,*,#198552,.F.); #268095=ORIENTED_EDGE('',*,*,#198553,.F.); #268096=ORIENTED_EDGE('',*,*,#198554,.T.); #268097=ORIENTED_EDGE('',*,*,#198553,.T.); #268098=ORIENTED_EDGE('',*,*,#198555,.F.); #268099=ORIENTED_EDGE('',*,*,#198556,.F.); #268100=ORIENTED_EDGE('',*,*,#198557,.T.); #268101=ORIENTED_EDGE('',*,*,#198556,.T.); #268102=ORIENTED_EDGE('',*,*,#198558,.F.); #268103=ORIENTED_EDGE('',*,*,#198559,.F.); #268104=ORIENTED_EDGE('',*,*,#198560,.T.); #268105=ORIENTED_EDGE('',*,*,#198559,.T.); #268106=ORIENTED_EDGE('',*,*,#198561,.F.); #268107=ORIENTED_EDGE('',*,*,#198551,.F.); #268108=ORIENTED_EDGE('',*,*,#198561,.T.); #268109=ORIENTED_EDGE('',*,*,#198558,.T.); #268110=ORIENTED_EDGE('',*,*,#198555,.T.); #268111=ORIENTED_EDGE('',*,*,#198552,.T.); #268112=ORIENTED_EDGE('',*,*,#198549,.T.); #268113=ORIENTED_EDGE('',*,*,#198560,.F.); #268114=ORIENTED_EDGE('',*,*,#198550,.F.); #268115=ORIENTED_EDGE('',*,*,#198554,.F.); #268116=ORIENTED_EDGE('',*,*,#198557,.F.); #268117=ORIENTED_EDGE('',*,*,#198547,.T.); #268118=ORIENTED_EDGE('',*,*,#198562,.F.); #268119=ORIENTED_EDGE('',*,*,#198563,.T.); #268120=ORIENTED_EDGE('',*,*,#198564,.F.); #268121=ORIENTED_EDGE('',*,*,#198563,.F.); #268122=ORIENTED_EDGE('',*,*,#198565,.T.); #268123=ORIENTED_EDGE('',*,*,#198566,.T.); #268124=ORIENTED_EDGE('',*,*,#198567,.F.); #268125=ORIENTED_EDGE('',*,*,#198568,.F.); #268126=ORIENTED_EDGE('',*,*,#198569,.T.); #268127=ORIENTED_EDGE('',*,*,#198568,.T.); #268128=ORIENTED_EDGE('',*,*,#198570,.F.); #268129=ORIENTED_EDGE('',*,*,#198571,.F.); #268130=ORIENTED_EDGE('',*,*,#198572,.T.); #268131=ORIENTED_EDGE('',*,*,#198571,.T.); #268132=ORIENTED_EDGE('',*,*,#198573,.F.); #268133=ORIENTED_EDGE('',*,*,#198566,.F.); #268134=ORIENTED_EDGE('',*,*,#198573,.T.); #268135=ORIENTED_EDGE('',*,*,#198570,.T.); #268136=ORIENTED_EDGE('',*,*,#198567,.T.); #268137=ORIENTED_EDGE('',*,*,#198564,.T.); #268138=ORIENTED_EDGE('',*,*,#198572,.F.); #268139=ORIENTED_EDGE('',*,*,#198565,.F.); #268140=ORIENTED_EDGE('',*,*,#198569,.F.); #268141=ORIENTED_EDGE('',*,*,#198562,.T.); #268142=ORIENTED_EDGE('',*,*,#198574,.F.); #268143=ORIENTED_EDGE('',*,*,#198575,.T.); #268144=ORIENTED_EDGE('',*,*,#198576,.F.); #268145=ORIENTED_EDGE('',*,*,#198575,.F.); #268146=ORIENTED_EDGE('',*,*,#198577,.F.); #268147=ORIENTED_EDGE('',*,*,#198578,.T.); #268148=ORIENTED_EDGE('',*,*,#198579,.F.); #268149=ORIENTED_EDGE('',*,*,#198578,.F.); #268150=ORIENTED_EDGE('',*,*,#198580,.F.); #268151=ORIENTED_EDGE('',*,*,#198581,.T.); #268152=ORIENTED_EDGE('',*,*,#198582,.F.); #268153=ORIENTED_EDGE('',*,*,#198581,.F.); #268154=ORIENTED_EDGE('',*,*,#198583,.F.); #268155=ORIENTED_EDGE('',*,*,#198584,.T.); #268156=ORIENTED_EDGE('',*,*,#198585,.F.); #268157=ORIENTED_EDGE('',*,*,#198584,.F.); #268158=ORIENTED_EDGE('',*,*,#198586,.F.); #268159=ORIENTED_EDGE('',*,*,#198587,.T.); #268160=ORIENTED_EDGE('',*,*,#198588,.F.); #268161=ORIENTED_EDGE('',*,*,#198587,.F.); #268162=ORIENTED_EDGE('',*,*,#198589,.F.); #268163=ORIENTED_EDGE('',*,*,#198590,.T.); #268164=ORIENTED_EDGE('',*,*,#198591,.F.); #268165=ORIENTED_EDGE('',*,*,#198590,.F.); #268166=ORIENTED_EDGE('',*,*,#198592,.F.); #268167=ORIENTED_EDGE('',*,*,#198593,.T.); #268168=ORIENTED_EDGE('',*,*,#198594,.F.); #268169=ORIENTED_EDGE('',*,*,#198593,.F.); #268170=ORIENTED_EDGE('',*,*,#198595,.F.); #268171=ORIENTED_EDGE('',*,*,#198596,.T.); #268172=ORIENTED_EDGE('',*,*,#198597,.F.); #268173=ORIENTED_EDGE('',*,*,#198596,.F.); #268174=ORIENTED_EDGE('',*,*,#198598,.F.); #268175=ORIENTED_EDGE('',*,*,#198599,.T.); #268176=ORIENTED_EDGE('',*,*,#198600,.F.); #268177=ORIENTED_EDGE('',*,*,#198599,.F.); #268178=ORIENTED_EDGE('',*,*,#198601,.F.); #268179=ORIENTED_EDGE('',*,*,#198602,.T.); #268180=ORIENTED_EDGE('',*,*,#198603,.F.); #268181=ORIENTED_EDGE('',*,*,#198602,.F.); #268182=ORIENTED_EDGE('',*,*,#198604,.F.); #268183=ORIENTED_EDGE('',*,*,#198605,.T.); #268184=ORIENTED_EDGE('',*,*,#198606,.F.); #268185=ORIENTED_EDGE('',*,*,#198605,.F.); #268186=ORIENTED_EDGE('',*,*,#198607,.F.); #268187=ORIENTED_EDGE('',*,*,#198608,.T.); #268188=ORIENTED_EDGE('',*,*,#198609,.F.); #268189=ORIENTED_EDGE('',*,*,#198608,.F.); #268190=ORIENTED_EDGE('',*,*,#198610,.F.); #268191=ORIENTED_EDGE('',*,*,#198611,.T.); #268192=ORIENTED_EDGE('',*,*,#198612,.F.); #268193=ORIENTED_EDGE('',*,*,#198611,.F.); #268194=ORIENTED_EDGE('',*,*,#198613,.T.); #268195=ORIENTED_EDGE('',*,*,#198614,.T.); #268196=ORIENTED_EDGE('',*,*,#198615,.F.); #268197=ORIENTED_EDGE('',*,*,#198616,.F.); #268198=ORIENTED_EDGE('',*,*,#198617,.T.); #268199=ORIENTED_EDGE('',*,*,#198616,.T.); #268200=ORIENTED_EDGE('',*,*,#198618,.F.); #268201=ORIENTED_EDGE('',*,*,#198619,.F.); #268202=ORIENTED_EDGE('',*,*,#198620,.T.); #268203=ORIENTED_EDGE('',*,*,#198619,.T.); #268204=ORIENTED_EDGE('',*,*,#198621,.F.); #268205=ORIENTED_EDGE('',*,*,#198622,.F.); #268206=ORIENTED_EDGE('',*,*,#198623,.T.); #268207=ORIENTED_EDGE('',*,*,#198622,.T.); #268208=ORIENTED_EDGE('',*,*,#198624,.F.); #268209=ORIENTED_EDGE('',*,*,#198625,.F.); #268210=ORIENTED_EDGE('',*,*,#198626,.T.); #268211=ORIENTED_EDGE('',*,*,#198625,.T.); #268212=ORIENTED_EDGE('',*,*,#198627,.F.); #268213=ORIENTED_EDGE('',*,*,#198628,.F.); #268214=ORIENTED_EDGE('',*,*,#198629,.T.); #268215=ORIENTED_EDGE('',*,*,#198628,.T.); #268216=ORIENTED_EDGE('',*,*,#198630,.F.); #268217=ORIENTED_EDGE('',*,*,#198631,.F.); #268218=ORIENTED_EDGE('',*,*,#198632,.T.); #268219=ORIENTED_EDGE('',*,*,#198631,.T.); #268220=ORIENTED_EDGE('',*,*,#198633,.F.); #268221=ORIENTED_EDGE('',*,*,#198634,.F.); #268222=ORIENTED_EDGE('',*,*,#198635,.T.); #268223=ORIENTED_EDGE('',*,*,#198634,.T.); #268224=ORIENTED_EDGE('',*,*,#198636,.F.); #268225=ORIENTED_EDGE('',*,*,#198637,.F.); #268226=ORIENTED_EDGE('',*,*,#198638,.T.); #268227=ORIENTED_EDGE('',*,*,#198637,.T.); #268228=ORIENTED_EDGE('',*,*,#198639,.F.); #268229=ORIENTED_EDGE('',*,*,#198640,.F.); #268230=ORIENTED_EDGE('',*,*,#198641,.T.); #268231=ORIENTED_EDGE('',*,*,#198640,.T.); #268232=ORIENTED_EDGE('',*,*,#198642,.F.); #268233=ORIENTED_EDGE('',*,*,#198643,.F.); #268234=ORIENTED_EDGE('',*,*,#198644,.T.); #268235=ORIENTED_EDGE('',*,*,#198643,.T.); #268236=ORIENTED_EDGE('',*,*,#198645,.F.); #268237=ORIENTED_EDGE('',*,*,#198646,.F.); #268238=ORIENTED_EDGE('',*,*,#198647,.T.); #268239=ORIENTED_EDGE('',*,*,#198646,.T.); #268240=ORIENTED_EDGE('',*,*,#198648,.F.); #268241=ORIENTED_EDGE('',*,*,#198649,.F.); #268242=ORIENTED_EDGE('',*,*,#198650,.T.); #268243=ORIENTED_EDGE('',*,*,#198649,.T.); #268244=ORIENTED_EDGE('',*,*,#198651,.F.); #268245=ORIENTED_EDGE('',*,*,#198652,.F.); #268246=ORIENTED_EDGE('',*,*,#198653,.T.); #268247=ORIENTED_EDGE('',*,*,#198652,.T.); #268248=ORIENTED_EDGE('',*,*,#198654,.F.); #268249=ORIENTED_EDGE('',*,*,#198655,.F.); #268250=ORIENTED_EDGE('',*,*,#198656,.T.); #268251=ORIENTED_EDGE('',*,*,#198655,.T.); #268252=ORIENTED_EDGE('',*,*,#198657,.F.); #268253=ORIENTED_EDGE('',*,*,#198658,.F.); #268254=ORIENTED_EDGE('',*,*,#198659,.T.); #268255=ORIENTED_EDGE('',*,*,#198658,.T.); #268256=ORIENTED_EDGE('',*,*,#198660,.F.); #268257=ORIENTED_EDGE('',*,*,#198614,.F.); #268258=ORIENTED_EDGE('',*,*,#198661,.T.); #268259=ORIENTED_EDGE('',*,*,#198662,.T.); #268260=ORIENTED_EDGE('',*,*,#198663,.F.); #268261=ORIENTED_EDGE('',*,*,#198664,.F.); #268262=ORIENTED_EDGE('',*,*,#198665,.T.); #268263=ORIENTED_EDGE('',*,*,#198664,.T.); #268264=ORIENTED_EDGE('',*,*,#198666,.F.); #268265=ORIENTED_EDGE('',*,*,#198667,.F.); #268266=ORIENTED_EDGE('',*,*,#198668,.T.); #268267=ORIENTED_EDGE('',*,*,#198667,.T.); #268268=ORIENTED_EDGE('',*,*,#198669,.F.); #268269=ORIENTED_EDGE('',*,*,#198670,.F.); #268270=ORIENTED_EDGE('',*,*,#198671,.T.); #268271=ORIENTED_EDGE('',*,*,#198670,.T.); #268272=ORIENTED_EDGE('',*,*,#198672,.F.); #268273=ORIENTED_EDGE('',*,*,#198673,.F.); #268274=ORIENTED_EDGE('',*,*,#198674,.T.); #268275=ORIENTED_EDGE('',*,*,#198673,.T.); #268276=ORIENTED_EDGE('',*,*,#198675,.F.); #268277=ORIENTED_EDGE('',*,*,#198676,.F.); #268278=ORIENTED_EDGE('',*,*,#198677,.T.); #268279=ORIENTED_EDGE('',*,*,#198676,.T.); #268280=ORIENTED_EDGE('',*,*,#198678,.F.); #268281=ORIENTED_EDGE('',*,*,#198679,.F.); #268282=ORIENTED_EDGE('',*,*,#198680,.T.); #268283=ORIENTED_EDGE('',*,*,#198679,.T.); #268284=ORIENTED_EDGE('',*,*,#198681,.F.); #268285=ORIENTED_EDGE('',*,*,#198682,.F.); #268286=ORIENTED_EDGE('',*,*,#198683,.T.); #268287=ORIENTED_EDGE('',*,*,#198682,.T.); #268288=ORIENTED_EDGE('',*,*,#198684,.F.); #268289=ORIENTED_EDGE('',*,*,#198685,.F.); #268290=ORIENTED_EDGE('',*,*,#198686,.T.); #268291=ORIENTED_EDGE('',*,*,#198685,.T.); #268292=ORIENTED_EDGE('',*,*,#198687,.F.); #268293=ORIENTED_EDGE('',*,*,#198688,.F.); #268294=ORIENTED_EDGE('',*,*,#198689,.T.); #268295=ORIENTED_EDGE('',*,*,#198688,.T.); #268296=ORIENTED_EDGE('',*,*,#198690,.F.); #268297=ORIENTED_EDGE('',*,*,#198691,.F.); #268298=ORIENTED_EDGE('',*,*,#198692,.T.); #268299=ORIENTED_EDGE('',*,*,#198691,.T.); #268300=ORIENTED_EDGE('',*,*,#198693,.F.); #268301=ORIENTED_EDGE('',*,*,#198694,.F.); #268302=ORIENTED_EDGE('',*,*,#198695,.T.); #268303=ORIENTED_EDGE('',*,*,#198694,.T.); #268304=ORIENTED_EDGE('',*,*,#198696,.F.); #268305=ORIENTED_EDGE('',*,*,#198697,.F.); #268306=ORIENTED_EDGE('',*,*,#198698,.T.); #268307=ORIENTED_EDGE('',*,*,#198697,.T.); #268308=ORIENTED_EDGE('',*,*,#198699,.F.); #268309=ORIENTED_EDGE('',*,*,#198700,.F.); #268310=ORIENTED_EDGE('',*,*,#198701,.T.); #268311=ORIENTED_EDGE('',*,*,#198700,.T.); #268312=ORIENTED_EDGE('',*,*,#198702,.F.); #268313=ORIENTED_EDGE('',*,*,#198703,.F.); #268314=ORIENTED_EDGE('',*,*,#198704,.T.); #268315=ORIENTED_EDGE('',*,*,#198703,.T.); #268316=ORIENTED_EDGE('',*,*,#198705,.F.); #268317=ORIENTED_EDGE('',*,*,#198706,.F.); #268318=ORIENTED_EDGE('',*,*,#198707,.T.); #268319=ORIENTED_EDGE('',*,*,#198706,.T.); #268320=ORIENTED_EDGE('',*,*,#198708,.F.); #268321=ORIENTED_EDGE('',*,*,#198709,.F.); #268322=ORIENTED_EDGE('',*,*,#198710,.T.); #268323=ORIENTED_EDGE('',*,*,#198709,.T.); #268324=ORIENTED_EDGE('',*,*,#198711,.F.); #268325=ORIENTED_EDGE('',*,*,#198712,.F.); #268326=ORIENTED_EDGE('',*,*,#198713,.T.); #268327=ORIENTED_EDGE('',*,*,#198712,.T.); #268328=ORIENTED_EDGE('',*,*,#198714,.F.); #268329=ORIENTED_EDGE('',*,*,#198715,.F.); #268330=ORIENTED_EDGE('',*,*,#198716,.T.); #268331=ORIENTED_EDGE('',*,*,#198715,.T.); #268332=ORIENTED_EDGE('',*,*,#198717,.F.); #268333=ORIENTED_EDGE('',*,*,#198718,.F.); #268334=ORIENTED_EDGE('',*,*,#198719,.T.); #268335=ORIENTED_EDGE('',*,*,#198718,.T.); #268336=ORIENTED_EDGE('',*,*,#198720,.F.); #268337=ORIENTED_EDGE('',*,*,#198721,.F.); #268338=ORIENTED_EDGE('',*,*,#198722,.T.); #268339=ORIENTED_EDGE('',*,*,#198721,.T.); #268340=ORIENTED_EDGE('',*,*,#198723,.F.); #268341=ORIENTED_EDGE('',*,*,#198724,.F.); #268342=ORIENTED_EDGE('',*,*,#198725,.T.); #268343=ORIENTED_EDGE('',*,*,#198724,.T.); #268344=ORIENTED_EDGE('',*,*,#198726,.F.); #268345=ORIENTED_EDGE('',*,*,#198727,.F.); #268346=ORIENTED_EDGE('',*,*,#198728,.T.); #268347=ORIENTED_EDGE('',*,*,#198727,.T.); #268348=ORIENTED_EDGE('',*,*,#198729,.F.); #268349=ORIENTED_EDGE('',*,*,#198730,.F.); #268350=ORIENTED_EDGE('',*,*,#198731,.T.); #268351=ORIENTED_EDGE('',*,*,#198730,.T.); #268352=ORIENTED_EDGE('',*,*,#198732,.F.); #268353=ORIENTED_EDGE('',*,*,#198733,.F.); #268354=ORIENTED_EDGE('',*,*,#198734,.T.); #268355=ORIENTED_EDGE('',*,*,#198733,.T.); #268356=ORIENTED_EDGE('',*,*,#198735,.F.); #268357=ORIENTED_EDGE('',*,*,#198736,.F.); #268358=ORIENTED_EDGE('',*,*,#198737,.T.); #268359=ORIENTED_EDGE('',*,*,#198736,.T.); #268360=ORIENTED_EDGE('',*,*,#198738,.F.); #268361=ORIENTED_EDGE('',*,*,#198739,.F.); #268362=ORIENTED_EDGE('',*,*,#198740,.T.); #268363=ORIENTED_EDGE('',*,*,#198739,.T.); #268364=ORIENTED_EDGE('',*,*,#198741,.F.); #268365=ORIENTED_EDGE('',*,*,#198742,.F.); #268366=ORIENTED_EDGE('',*,*,#198743,.T.); #268367=ORIENTED_EDGE('',*,*,#198742,.T.); #268368=ORIENTED_EDGE('',*,*,#198744,.F.); #268369=ORIENTED_EDGE('',*,*,#198745,.F.); #268370=ORIENTED_EDGE('',*,*,#198746,.T.); #268371=ORIENTED_EDGE('',*,*,#198745,.T.); #268372=ORIENTED_EDGE('',*,*,#198747,.F.); #268373=ORIENTED_EDGE('',*,*,#198748,.F.); #268374=ORIENTED_EDGE('',*,*,#198749,.T.); #268375=ORIENTED_EDGE('',*,*,#198748,.T.); #268376=ORIENTED_EDGE('',*,*,#198750,.F.); #268377=ORIENTED_EDGE('',*,*,#198751,.F.); #268378=ORIENTED_EDGE('',*,*,#198752,.T.); #268379=ORIENTED_EDGE('',*,*,#198751,.T.); #268380=ORIENTED_EDGE('',*,*,#198753,.F.); #268381=ORIENTED_EDGE('',*,*,#198754,.F.); #268382=ORIENTED_EDGE('',*,*,#198755,.T.); #268383=ORIENTED_EDGE('',*,*,#198754,.T.); #268384=ORIENTED_EDGE('',*,*,#198756,.F.); #268385=ORIENTED_EDGE('',*,*,#198757,.F.); #268386=ORIENTED_EDGE('',*,*,#198758,.T.); #268387=ORIENTED_EDGE('',*,*,#198757,.T.); #268388=ORIENTED_EDGE('',*,*,#198759,.F.); #268389=ORIENTED_EDGE('',*,*,#198760,.F.); #268390=ORIENTED_EDGE('',*,*,#198761,.T.); #268391=ORIENTED_EDGE('',*,*,#198760,.T.); #268392=ORIENTED_EDGE('',*,*,#198762,.F.); #268393=ORIENTED_EDGE('',*,*,#198763,.F.); #268394=ORIENTED_EDGE('',*,*,#198764,.T.); #268395=ORIENTED_EDGE('',*,*,#198763,.T.); #268396=ORIENTED_EDGE('',*,*,#198765,.F.); #268397=ORIENTED_EDGE('',*,*,#198766,.F.); #268398=ORIENTED_EDGE('',*,*,#198767,.T.); #268399=ORIENTED_EDGE('',*,*,#198766,.T.); #268400=ORIENTED_EDGE('',*,*,#198768,.F.); #268401=ORIENTED_EDGE('',*,*,#198769,.F.); #268402=ORIENTED_EDGE('',*,*,#198770,.T.); #268403=ORIENTED_EDGE('',*,*,#198769,.T.); #268404=ORIENTED_EDGE('',*,*,#198771,.F.); #268405=ORIENTED_EDGE('',*,*,#198772,.F.); #268406=ORIENTED_EDGE('',*,*,#198773,.T.); #268407=ORIENTED_EDGE('',*,*,#198772,.T.); #268408=ORIENTED_EDGE('',*,*,#198774,.F.); #268409=ORIENTED_EDGE('',*,*,#198775,.F.); #268410=ORIENTED_EDGE('',*,*,#198776,.T.); #268411=ORIENTED_EDGE('',*,*,#198775,.T.); #268412=ORIENTED_EDGE('',*,*,#198777,.F.); #268413=ORIENTED_EDGE('',*,*,#198778,.F.); #268414=ORIENTED_EDGE('',*,*,#198779,.T.); #268415=ORIENTED_EDGE('',*,*,#198778,.T.); #268416=ORIENTED_EDGE('',*,*,#198780,.F.); #268417=ORIENTED_EDGE('',*,*,#198781,.F.); #268418=ORIENTED_EDGE('',*,*,#198782,.T.); #268419=ORIENTED_EDGE('',*,*,#198781,.T.); #268420=ORIENTED_EDGE('',*,*,#198783,.F.); #268421=ORIENTED_EDGE('',*,*,#198784,.F.); #268422=ORIENTED_EDGE('',*,*,#198785,.T.); #268423=ORIENTED_EDGE('',*,*,#198784,.T.); #268424=ORIENTED_EDGE('',*,*,#198786,.F.); #268425=ORIENTED_EDGE('',*,*,#198787,.F.); #268426=ORIENTED_EDGE('',*,*,#198788,.T.); #268427=ORIENTED_EDGE('',*,*,#198787,.T.); #268428=ORIENTED_EDGE('',*,*,#198789,.F.); #268429=ORIENTED_EDGE('',*,*,#198790,.F.); #268430=ORIENTED_EDGE('',*,*,#198791,.T.); #268431=ORIENTED_EDGE('',*,*,#198790,.T.); #268432=ORIENTED_EDGE('',*,*,#198792,.F.); #268433=ORIENTED_EDGE('',*,*,#198793,.F.); #268434=ORIENTED_EDGE('',*,*,#198794,.T.); #268435=ORIENTED_EDGE('',*,*,#198793,.T.); #268436=ORIENTED_EDGE('',*,*,#198795,.F.); #268437=ORIENTED_EDGE('',*,*,#198796,.F.); #268438=ORIENTED_EDGE('',*,*,#198797,.T.); #268439=ORIENTED_EDGE('',*,*,#198796,.T.); #268440=ORIENTED_EDGE('',*,*,#198798,.F.); #268441=ORIENTED_EDGE('',*,*,#198799,.F.); #268442=ORIENTED_EDGE('',*,*,#198800,.T.); #268443=ORIENTED_EDGE('',*,*,#198799,.T.); #268444=ORIENTED_EDGE('',*,*,#198801,.F.); #268445=ORIENTED_EDGE('',*,*,#198802,.F.); #268446=ORIENTED_EDGE('',*,*,#198803,.T.); #268447=ORIENTED_EDGE('',*,*,#198802,.T.); #268448=ORIENTED_EDGE('',*,*,#198804,.F.); #268449=ORIENTED_EDGE('',*,*,#198805,.F.); #268450=ORIENTED_EDGE('',*,*,#198806,.T.); #268451=ORIENTED_EDGE('',*,*,#198805,.T.); #268452=ORIENTED_EDGE('',*,*,#198807,.F.); #268453=ORIENTED_EDGE('',*,*,#198808,.F.); #268454=ORIENTED_EDGE('',*,*,#198809,.T.); #268455=ORIENTED_EDGE('',*,*,#198808,.T.); #268456=ORIENTED_EDGE('',*,*,#198810,.F.); #268457=ORIENTED_EDGE('',*,*,#198811,.F.); #268458=ORIENTED_EDGE('',*,*,#198812,.T.); #268459=ORIENTED_EDGE('',*,*,#198811,.T.); #268460=ORIENTED_EDGE('',*,*,#198813,.F.); #268461=ORIENTED_EDGE('',*,*,#198814,.F.); #268462=ORIENTED_EDGE('',*,*,#198815,.T.); #268463=ORIENTED_EDGE('',*,*,#198814,.T.); #268464=ORIENTED_EDGE('',*,*,#198816,.F.); #268465=ORIENTED_EDGE('',*,*,#198817,.F.); #268466=ORIENTED_EDGE('',*,*,#198818,.T.); #268467=ORIENTED_EDGE('',*,*,#198817,.T.); #268468=ORIENTED_EDGE('',*,*,#198819,.F.); #268469=ORIENTED_EDGE('',*,*,#198820,.F.); #268470=ORIENTED_EDGE('',*,*,#198821,.T.); #268471=ORIENTED_EDGE('',*,*,#198820,.T.); #268472=ORIENTED_EDGE('',*,*,#198822,.F.); #268473=ORIENTED_EDGE('',*,*,#198823,.F.); #268474=ORIENTED_EDGE('',*,*,#198824,.T.); #268475=ORIENTED_EDGE('',*,*,#198823,.T.); #268476=ORIENTED_EDGE('',*,*,#198825,.F.); #268477=ORIENTED_EDGE('',*,*,#198826,.F.); #268478=ORIENTED_EDGE('',*,*,#198827,.T.); #268479=ORIENTED_EDGE('',*,*,#198826,.T.); #268480=ORIENTED_EDGE('',*,*,#198828,.F.); #268481=ORIENTED_EDGE('',*,*,#198829,.F.); #268482=ORIENTED_EDGE('',*,*,#198830,.T.); #268483=ORIENTED_EDGE('',*,*,#198829,.T.); #268484=ORIENTED_EDGE('',*,*,#198831,.F.); #268485=ORIENTED_EDGE('',*,*,#198832,.F.); #268486=ORIENTED_EDGE('',*,*,#198833,.T.); #268487=ORIENTED_EDGE('',*,*,#198832,.T.); #268488=ORIENTED_EDGE('',*,*,#198834,.F.); #268489=ORIENTED_EDGE('',*,*,#198835,.F.); #268490=ORIENTED_EDGE('',*,*,#198836,.T.); #268491=ORIENTED_EDGE('',*,*,#198835,.T.); #268492=ORIENTED_EDGE('',*,*,#198837,.F.); #268493=ORIENTED_EDGE('',*,*,#198838,.F.); #268494=ORIENTED_EDGE('',*,*,#198839,.T.); #268495=ORIENTED_EDGE('',*,*,#198838,.T.); #268496=ORIENTED_EDGE('',*,*,#198840,.F.); #268497=ORIENTED_EDGE('',*,*,#198841,.F.); #268498=ORIENTED_EDGE('',*,*,#198842,.T.); #268499=ORIENTED_EDGE('',*,*,#198841,.T.); #268500=ORIENTED_EDGE('',*,*,#198843,.F.); #268501=ORIENTED_EDGE('',*,*,#198844,.F.); #268502=ORIENTED_EDGE('',*,*,#198845,.T.); #268503=ORIENTED_EDGE('',*,*,#198844,.T.); #268504=ORIENTED_EDGE('',*,*,#198846,.F.); #268505=ORIENTED_EDGE('',*,*,#198847,.F.); #268506=ORIENTED_EDGE('',*,*,#198848,.T.); #268507=ORIENTED_EDGE('',*,*,#198847,.T.); #268508=ORIENTED_EDGE('',*,*,#198849,.F.); #268509=ORIENTED_EDGE('',*,*,#198850,.F.); #268510=ORIENTED_EDGE('',*,*,#198851,.T.); #268511=ORIENTED_EDGE('',*,*,#198850,.T.); #268512=ORIENTED_EDGE('',*,*,#198852,.F.); #268513=ORIENTED_EDGE('',*,*,#198853,.F.); #268514=ORIENTED_EDGE('',*,*,#198854,.T.); #268515=ORIENTED_EDGE('',*,*,#198853,.T.); #268516=ORIENTED_EDGE('',*,*,#198855,.F.); #268517=ORIENTED_EDGE('',*,*,#198856,.F.); #268518=ORIENTED_EDGE('',*,*,#198857,.T.); #268519=ORIENTED_EDGE('',*,*,#198856,.T.); #268520=ORIENTED_EDGE('',*,*,#198858,.F.); #268521=ORIENTED_EDGE('',*,*,#198859,.F.); #268522=ORIENTED_EDGE('',*,*,#198860,.T.); #268523=ORIENTED_EDGE('',*,*,#198859,.T.); #268524=ORIENTED_EDGE('',*,*,#198861,.F.); #268525=ORIENTED_EDGE('',*,*,#198862,.F.); #268526=ORIENTED_EDGE('',*,*,#198863,.T.); #268527=ORIENTED_EDGE('',*,*,#198862,.T.); #268528=ORIENTED_EDGE('',*,*,#198864,.F.); #268529=ORIENTED_EDGE('',*,*,#198865,.F.); #268530=ORIENTED_EDGE('',*,*,#198866,.T.); #268531=ORIENTED_EDGE('',*,*,#198865,.T.); #268532=ORIENTED_EDGE('',*,*,#198867,.F.); #268533=ORIENTED_EDGE('',*,*,#198868,.F.); #268534=ORIENTED_EDGE('',*,*,#198869,.T.); #268535=ORIENTED_EDGE('',*,*,#198868,.T.); #268536=ORIENTED_EDGE('',*,*,#198870,.F.); #268537=ORIENTED_EDGE('',*,*,#198871,.F.); #268538=ORIENTED_EDGE('',*,*,#198872,.T.); #268539=ORIENTED_EDGE('',*,*,#198871,.T.); #268540=ORIENTED_EDGE('',*,*,#198873,.F.); #268541=ORIENTED_EDGE('',*,*,#198874,.F.); #268542=ORIENTED_EDGE('',*,*,#198875,.T.); #268543=ORIENTED_EDGE('',*,*,#198874,.T.); #268544=ORIENTED_EDGE('',*,*,#198876,.F.); #268545=ORIENTED_EDGE('',*,*,#198877,.F.); #268546=ORIENTED_EDGE('',*,*,#198878,.T.); #268547=ORIENTED_EDGE('',*,*,#198877,.T.); #268548=ORIENTED_EDGE('',*,*,#198879,.F.); #268549=ORIENTED_EDGE('',*,*,#198880,.F.); #268550=ORIENTED_EDGE('',*,*,#198881,.T.); #268551=ORIENTED_EDGE('',*,*,#198880,.T.); #268552=ORIENTED_EDGE('',*,*,#198882,.F.); #268553=ORIENTED_EDGE('',*,*,#198883,.F.); #268554=ORIENTED_EDGE('',*,*,#198884,.T.); #268555=ORIENTED_EDGE('',*,*,#198883,.T.); #268556=ORIENTED_EDGE('',*,*,#198885,.F.); #268557=ORIENTED_EDGE('',*,*,#198886,.F.); #268558=ORIENTED_EDGE('',*,*,#198887,.T.); #268559=ORIENTED_EDGE('',*,*,#198886,.T.); #268560=ORIENTED_EDGE('',*,*,#198888,.F.); #268561=ORIENTED_EDGE('',*,*,#198889,.F.); #268562=ORIENTED_EDGE('',*,*,#198890,.T.); #268563=ORIENTED_EDGE('',*,*,#198889,.T.); #268564=ORIENTED_EDGE('',*,*,#198891,.F.); #268565=ORIENTED_EDGE('',*,*,#198892,.F.); #268566=ORIENTED_EDGE('',*,*,#198893,.T.); #268567=ORIENTED_EDGE('',*,*,#198892,.T.); #268568=ORIENTED_EDGE('',*,*,#198894,.F.); #268569=ORIENTED_EDGE('',*,*,#198895,.F.); #268570=ORIENTED_EDGE('',*,*,#198896,.T.); #268571=ORIENTED_EDGE('',*,*,#198895,.T.); #268572=ORIENTED_EDGE('',*,*,#198897,.F.); #268573=ORIENTED_EDGE('',*,*,#198898,.F.); #268574=ORIENTED_EDGE('',*,*,#198899,.T.); #268575=ORIENTED_EDGE('',*,*,#198898,.T.); #268576=ORIENTED_EDGE('',*,*,#198900,.F.); #268577=ORIENTED_EDGE('',*,*,#198901,.F.); #268578=ORIENTED_EDGE('',*,*,#198902,.T.); #268579=ORIENTED_EDGE('',*,*,#198901,.T.); #268580=ORIENTED_EDGE('',*,*,#198903,.F.); #268581=ORIENTED_EDGE('',*,*,#198662,.F.); #268582=ORIENTED_EDGE('',*,*,#198903,.T.); #268583=ORIENTED_EDGE('',*,*,#198900,.T.); #268584=ORIENTED_EDGE('',*,*,#198897,.T.); #268585=ORIENTED_EDGE('',*,*,#198894,.T.); #268586=ORIENTED_EDGE('',*,*,#198891,.T.); #268587=ORIENTED_EDGE('',*,*,#198888,.T.); #268588=ORIENTED_EDGE('',*,*,#198885,.T.); #268589=ORIENTED_EDGE('',*,*,#198882,.T.); #268590=ORIENTED_EDGE('',*,*,#198879,.T.); #268591=ORIENTED_EDGE('',*,*,#198876,.T.); #268592=ORIENTED_EDGE('',*,*,#198873,.T.); #268593=ORIENTED_EDGE('',*,*,#198870,.T.); #268594=ORIENTED_EDGE('',*,*,#198867,.T.); #268595=ORIENTED_EDGE('',*,*,#198864,.T.); #268596=ORIENTED_EDGE('',*,*,#198861,.T.); #268597=ORIENTED_EDGE('',*,*,#198858,.T.); #268598=ORIENTED_EDGE('',*,*,#198855,.T.); #268599=ORIENTED_EDGE('',*,*,#198852,.T.); #268600=ORIENTED_EDGE('',*,*,#198849,.T.); #268601=ORIENTED_EDGE('',*,*,#198846,.T.); #268602=ORIENTED_EDGE('',*,*,#198843,.T.); #268603=ORIENTED_EDGE('',*,*,#198840,.T.); #268604=ORIENTED_EDGE('',*,*,#198837,.T.); #268605=ORIENTED_EDGE('',*,*,#198834,.T.); #268606=ORIENTED_EDGE('',*,*,#198831,.T.); #268607=ORIENTED_EDGE('',*,*,#198828,.T.); #268608=ORIENTED_EDGE('',*,*,#198825,.T.); #268609=ORIENTED_EDGE('',*,*,#198822,.T.); #268610=ORIENTED_EDGE('',*,*,#198819,.T.); #268611=ORIENTED_EDGE('',*,*,#198816,.T.); #268612=ORIENTED_EDGE('',*,*,#198813,.T.); #268613=ORIENTED_EDGE('',*,*,#198810,.T.); #268614=ORIENTED_EDGE('',*,*,#198807,.T.); #268615=ORIENTED_EDGE('',*,*,#198804,.T.); #268616=ORIENTED_EDGE('',*,*,#198801,.T.); #268617=ORIENTED_EDGE('',*,*,#198798,.T.); #268618=ORIENTED_EDGE('',*,*,#198795,.T.); #268619=ORIENTED_EDGE('',*,*,#198792,.T.); #268620=ORIENTED_EDGE('',*,*,#198789,.T.); #268621=ORIENTED_EDGE('',*,*,#198786,.T.); #268622=ORIENTED_EDGE('',*,*,#198783,.T.); #268623=ORIENTED_EDGE('',*,*,#198780,.T.); #268624=ORIENTED_EDGE('',*,*,#198777,.T.); #268625=ORIENTED_EDGE('',*,*,#198774,.T.); #268626=ORIENTED_EDGE('',*,*,#198771,.T.); #268627=ORIENTED_EDGE('',*,*,#198768,.T.); #268628=ORIENTED_EDGE('',*,*,#198765,.T.); #268629=ORIENTED_EDGE('',*,*,#198762,.T.); #268630=ORIENTED_EDGE('',*,*,#198759,.T.); #268631=ORIENTED_EDGE('',*,*,#198756,.T.); #268632=ORIENTED_EDGE('',*,*,#198753,.T.); #268633=ORIENTED_EDGE('',*,*,#198750,.T.); #268634=ORIENTED_EDGE('',*,*,#198747,.T.); #268635=ORIENTED_EDGE('',*,*,#198744,.T.); #268636=ORIENTED_EDGE('',*,*,#198741,.T.); #268637=ORIENTED_EDGE('',*,*,#198738,.T.); #268638=ORIENTED_EDGE('',*,*,#198735,.T.); #268639=ORIENTED_EDGE('',*,*,#198732,.T.); #268640=ORIENTED_EDGE('',*,*,#198729,.T.); #268641=ORIENTED_EDGE('',*,*,#198726,.T.); #268642=ORIENTED_EDGE('',*,*,#198723,.T.); #268643=ORIENTED_EDGE('',*,*,#198720,.T.); #268644=ORIENTED_EDGE('',*,*,#198717,.T.); #268645=ORIENTED_EDGE('',*,*,#198714,.T.); #268646=ORIENTED_EDGE('',*,*,#198711,.T.); #268647=ORIENTED_EDGE('',*,*,#198708,.T.); #268648=ORIENTED_EDGE('',*,*,#198705,.T.); #268649=ORIENTED_EDGE('',*,*,#198702,.T.); #268650=ORIENTED_EDGE('',*,*,#198699,.T.); #268651=ORIENTED_EDGE('',*,*,#198696,.T.); #268652=ORIENTED_EDGE('',*,*,#198693,.T.); #268653=ORIENTED_EDGE('',*,*,#198690,.T.); #268654=ORIENTED_EDGE('',*,*,#198687,.T.); #268655=ORIENTED_EDGE('',*,*,#198684,.T.); #268656=ORIENTED_EDGE('',*,*,#198681,.T.); #268657=ORIENTED_EDGE('',*,*,#198678,.T.); #268658=ORIENTED_EDGE('',*,*,#198675,.T.); #268659=ORIENTED_EDGE('',*,*,#198672,.T.); #268660=ORIENTED_EDGE('',*,*,#198669,.T.); #268661=ORIENTED_EDGE('',*,*,#198666,.T.); #268662=ORIENTED_EDGE('',*,*,#198663,.T.); #268663=ORIENTED_EDGE('',*,*,#198576,.T.); #268664=ORIENTED_EDGE('',*,*,#198579,.T.); #268665=ORIENTED_EDGE('',*,*,#198582,.T.); #268666=ORIENTED_EDGE('',*,*,#198585,.T.); #268667=ORIENTED_EDGE('',*,*,#198588,.T.); #268668=ORIENTED_EDGE('',*,*,#198591,.T.); #268669=ORIENTED_EDGE('',*,*,#198594,.T.); #268670=ORIENTED_EDGE('',*,*,#198597,.T.); #268671=ORIENTED_EDGE('',*,*,#198600,.T.); #268672=ORIENTED_EDGE('',*,*,#198603,.T.); #268673=ORIENTED_EDGE('',*,*,#198606,.T.); #268674=ORIENTED_EDGE('',*,*,#198609,.T.); #268675=ORIENTED_EDGE('',*,*,#198612,.T.); #268676=ORIENTED_EDGE('',*,*,#198660,.T.); #268677=ORIENTED_EDGE('',*,*,#198657,.T.); #268678=ORIENTED_EDGE('',*,*,#198654,.T.); #268679=ORIENTED_EDGE('',*,*,#198651,.T.); #268680=ORIENTED_EDGE('',*,*,#198648,.T.); #268681=ORIENTED_EDGE('',*,*,#198645,.T.); #268682=ORIENTED_EDGE('',*,*,#198642,.T.); #268683=ORIENTED_EDGE('',*,*,#198639,.T.); #268684=ORIENTED_EDGE('',*,*,#198636,.T.); #268685=ORIENTED_EDGE('',*,*,#198633,.T.); #268686=ORIENTED_EDGE('',*,*,#198630,.T.); #268687=ORIENTED_EDGE('',*,*,#198627,.T.); #268688=ORIENTED_EDGE('',*,*,#198624,.T.); #268689=ORIENTED_EDGE('',*,*,#198621,.T.); #268690=ORIENTED_EDGE('',*,*,#198618,.T.); #268691=ORIENTED_EDGE('',*,*,#198615,.T.); #268692=ORIENTED_EDGE('',*,*,#198902,.F.); #268693=ORIENTED_EDGE('',*,*,#198661,.F.); #268694=ORIENTED_EDGE('',*,*,#198665,.F.); #268695=ORIENTED_EDGE('',*,*,#198668,.F.); #268696=ORIENTED_EDGE('',*,*,#198671,.F.); #268697=ORIENTED_EDGE('',*,*,#198674,.F.); #268698=ORIENTED_EDGE('',*,*,#198677,.F.); #268699=ORIENTED_EDGE('',*,*,#198680,.F.); #268700=ORIENTED_EDGE('',*,*,#198683,.F.); #268701=ORIENTED_EDGE('',*,*,#198686,.F.); #268702=ORIENTED_EDGE('',*,*,#198689,.F.); #268703=ORIENTED_EDGE('',*,*,#198692,.F.); #268704=ORIENTED_EDGE('',*,*,#198695,.F.); #268705=ORIENTED_EDGE('',*,*,#198698,.F.); #268706=ORIENTED_EDGE('',*,*,#198701,.F.); #268707=ORIENTED_EDGE('',*,*,#198704,.F.); #268708=ORIENTED_EDGE('',*,*,#198707,.F.); #268709=ORIENTED_EDGE('',*,*,#198710,.F.); #268710=ORIENTED_EDGE('',*,*,#198713,.F.); #268711=ORIENTED_EDGE('',*,*,#198716,.F.); #268712=ORIENTED_EDGE('',*,*,#198719,.F.); #268713=ORIENTED_EDGE('',*,*,#198722,.F.); #268714=ORIENTED_EDGE('',*,*,#198725,.F.); #268715=ORIENTED_EDGE('',*,*,#198728,.F.); #268716=ORIENTED_EDGE('',*,*,#198731,.F.); #268717=ORIENTED_EDGE('',*,*,#198734,.F.); #268718=ORIENTED_EDGE('',*,*,#198737,.F.); #268719=ORIENTED_EDGE('',*,*,#198740,.F.); #268720=ORIENTED_EDGE('',*,*,#198743,.F.); #268721=ORIENTED_EDGE('',*,*,#198746,.F.); #268722=ORIENTED_EDGE('',*,*,#198749,.F.); #268723=ORIENTED_EDGE('',*,*,#198752,.F.); #268724=ORIENTED_EDGE('',*,*,#198755,.F.); #268725=ORIENTED_EDGE('',*,*,#198758,.F.); #268726=ORIENTED_EDGE('',*,*,#198761,.F.); #268727=ORIENTED_EDGE('',*,*,#198764,.F.); #268728=ORIENTED_EDGE('',*,*,#198767,.F.); #268729=ORIENTED_EDGE('',*,*,#198770,.F.); #268730=ORIENTED_EDGE('',*,*,#198773,.F.); #268731=ORIENTED_EDGE('',*,*,#198776,.F.); #268732=ORIENTED_EDGE('',*,*,#198779,.F.); #268733=ORIENTED_EDGE('',*,*,#198782,.F.); #268734=ORIENTED_EDGE('',*,*,#198785,.F.); #268735=ORIENTED_EDGE('',*,*,#198788,.F.); #268736=ORIENTED_EDGE('',*,*,#198791,.F.); #268737=ORIENTED_EDGE('',*,*,#198794,.F.); #268738=ORIENTED_EDGE('',*,*,#198797,.F.); #268739=ORIENTED_EDGE('',*,*,#198800,.F.); #268740=ORIENTED_EDGE('',*,*,#198803,.F.); #268741=ORIENTED_EDGE('',*,*,#198806,.F.); #268742=ORIENTED_EDGE('',*,*,#198809,.F.); #268743=ORIENTED_EDGE('',*,*,#198812,.F.); #268744=ORIENTED_EDGE('',*,*,#198815,.F.); #268745=ORIENTED_EDGE('',*,*,#198818,.F.); #268746=ORIENTED_EDGE('',*,*,#198821,.F.); #268747=ORIENTED_EDGE('',*,*,#198824,.F.); #268748=ORIENTED_EDGE('',*,*,#198827,.F.); #268749=ORIENTED_EDGE('',*,*,#198830,.F.); #268750=ORIENTED_EDGE('',*,*,#198833,.F.); #268751=ORIENTED_EDGE('',*,*,#198836,.F.); #268752=ORIENTED_EDGE('',*,*,#198839,.F.); #268753=ORIENTED_EDGE('',*,*,#198842,.F.); #268754=ORIENTED_EDGE('',*,*,#198845,.F.); #268755=ORIENTED_EDGE('',*,*,#198848,.F.); #268756=ORIENTED_EDGE('',*,*,#198851,.F.); #268757=ORIENTED_EDGE('',*,*,#198854,.F.); #268758=ORIENTED_EDGE('',*,*,#198857,.F.); #268759=ORIENTED_EDGE('',*,*,#198860,.F.); #268760=ORIENTED_EDGE('',*,*,#198863,.F.); #268761=ORIENTED_EDGE('',*,*,#198866,.F.); #268762=ORIENTED_EDGE('',*,*,#198869,.F.); #268763=ORIENTED_EDGE('',*,*,#198872,.F.); #268764=ORIENTED_EDGE('',*,*,#198875,.F.); #268765=ORIENTED_EDGE('',*,*,#198878,.F.); #268766=ORIENTED_EDGE('',*,*,#198881,.F.); #268767=ORIENTED_EDGE('',*,*,#198884,.F.); #268768=ORIENTED_EDGE('',*,*,#198887,.F.); #268769=ORIENTED_EDGE('',*,*,#198890,.F.); #268770=ORIENTED_EDGE('',*,*,#198893,.F.); #268771=ORIENTED_EDGE('',*,*,#198896,.F.); #268772=ORIENTED_EDGE('',*,*,#198899,.F.); #268773=ORIENTED_EDGE('',*,*,#198574,.T.); #268774=ORIENTED_EDGE('',*,*,#198577,.T.); #268775=ORIENTED_EDGE('',*,*,#198580,.T.); #268776=ORIENTED_EDGE('',*,*,#198583,.T.); #268777=ORIENTED_EDGE('',*,*,#198586,.T.); #268778=ORIENTED_EDGE('',*,*,#198589,.T.); #268779=ORIENTED_EDGE('',*,*,#198592,.T.); #268780=ORIENTED_EDGE('',*,*,#198595,.T.); #268781=ORIENTED_EDGE('',*,*,#198598,.T.); #268782=ORIENTED_EDGE('',*,*,#198601,.T.); #268783=ORIENTED_EDGE('',*,*,#198604,.T.); #268784=ORIENTED_EDGE('',*,*,#198607,.T.); #268785=ORIENTED_EDGE('',*,*,#198610,.T.); #268786=ORIENTED_EDGE('',*,*,#198659,.F.); #268787=ORIENTED_EDGE('',*,*,#198613,.F.); #268788=ORIENTED_EDGE('',*,*,#198617,.F.); #268789=ORIENTED_EDGE('',*,*,#198620,.F.); #268790=ORIENTED_EDGE('',*,*,#198623,.F.); #268791=ORIENTED_EDGE('',*,*,#198626,.F.); #268792=ORIENTED_EDGE('',*,*,#198629,.F.); #268793=ORIENTED_EDGE('',*,*,#198632,.F.); #268794=ORIENTED_EDGE('',*,*,#198635,.F.); #268795=ORIENTED_EDGE('',*,*,#198638,.F.); #268796=ORIENTED_EDGE('',*,*,#198641,.F.); #268797=ORIENTED_EDGE('',*,*,#198644,.F.); #268798=ORIENTED_EDGE('',*,*,#198647,.F.); #268799=ORIENTED_EDGE('',*,*,#198650,.F.); #268800=ORIENTED_EDGE('',*,*,#198653,.F.); #268801=ORIENTED_EDGE('',*,*,#198656,.F.); #268802=ORIENTED_EDGE('',*,*,#198904,.F.); #268803=ORIENTED_EDGE('',*,*,#198905,.T.); #268804=ORIENTED_EDGE('',*,*,#198906,.F.); #268805=ORIENTED_EDGE('',*,*,#198905,.F.); #268806=ORIENTED_EDGE('',*,*,#198907,.F.); #268807=ORIENTED_EDGE('',*,*,#198908,.T.); #268808=ORIENTED_EDGE('',*,*,#198909,.F.); #268809=ORIENTED_EDGE('',*,*,#198908,.F.); #268810=ORIENTED_EDGE('',*,*,#198910,.F.); #268811=ORIENTED_EDGE('',*,*,#198911,.T.); #268812=ORIENTED_EDGE('',*,*,#198912,.F.); #268813=ORIENTED_EDGE('',*,*,#198911,.F.); #268814=ORIENTED_EDGE('',*,*,#198913,.F.); #268815=ORIENTED_EDGE('',*,*,#198914,.T.); #268816=ORIENTED_EDGE('',*,*,#198915,.F.); #268817=ORIENTED_EDGE('',*,*,#198914,.F.); #268818=ORIENTED_EDGE('',*,*,#198916,.F.); #268819=ORIENTED_EDGE('',*,*,#198917,.T.); #268820=ORIENTED_EDGE('',*,*,#198918,.F.); #268821=ORIENTED_EDGE('',*,*,#198917,.F.); #268822=ORIENTED_EDGE('',*,*,#198919,.F.); #268823=ORIENTED_EDGE('',*,*,#198920,.T.); #268824=ORIENTED_EDGE('',*,*,#198921,.F.); #268825=ORIENTED_EDGE('',*,*,#198920,.F.); #268826=ORIENTED_EDGE('',*,*,#198922,.F.); #268827=ORIENTED_EDGE('',*,*,#198923,.T.); #268828=ORIENTED_EDGE('',*,*,#198924,.F.); #268829=ORIENTED_EDGE('',*,*,#198923,.F.); #268830=ORIENTED_EDGE('',*,*,#198925,.F.); #268831=ORIENTED_EDGE('',*,*,#198926,.T.); #268832=ORIENTED_EDGE('',*,*,#198927,.F.); #268833=ORIENTED_EDGE('',*,*,#198926,.F.); #268834=ORIENTED_EDGE('',*,*,#198928,.F.); #268835=ORIENTED_EDGE('',*,*,#198929,.T.); #268836=ORIENTED_EDGE('',*,*,#198930,.F.); #268837=ORIENTED_EDGE('',*,*,#198929,.F.); #268838=ORIENTED_EDGE('',*,*,#198931,.F.); #268839=ORIENTED_EDGE('',*,*,#198932,.T.); #268840=ORIENTED_EDGE('',*,*,#198933,.F.); #268841=ORIENTED_EDGE('',*,*,#198932,.F.); #268842=ORIENTED_EDGE('',*,*,#198934,.F.); #268843=ORIENTED_EDGE('',*,*,#198935,.T.); #268844=ORIENTED_EDGE('',*,*,#198936,.F.); #268845=ORIENTED_EDGE('',*,*,#198935,.F.); #268846=ORIENTED_EDGE('',*,*,#198937,.F.); #268847=ORIENTED_EDGE('',*,*,#198938,.T.); #268848=ORIENTED_EDGE('',*,*,#198939,.F.); #268849=ORIENTED_EDGE('',*,*,#198938,.F.); #268850=ORIENTED_EDGE('',*,*,#198940,.T.); #268851=ORIENTED_EDGE('',*,*,#198941,.T.); #268852=ORIENTED_EDGE('',*,*,#198942,.F.); #268853=ORIENTED_EDGE('',*,*,#198943,.F.); #268854=ORIENTED_EDGE('',*,*,#198944,.T.); #268855=ORIENTED_EDGE('',*,*,#198943,.T.); #268856=ORIENTED_EDGE('',*,*,#198945,.F.); #268857=ORIENTED_EDGE('',*,*,#198946,.F.); #268858=ORIENTED_EDGE('',*,*,#198947,.T.); #268859=ORIENTED_EDGE('',*,*,#198946,.T.); #268860=ORIENTED_EDGE('',*,*,#198948,.F.); #268861=ORIENTED_EDGE('',*,*,#198949,.F.); #268862=ORIENTED_EDGE('',*,*,#198950,.T.); #268863=ORIENTED_EDGE('',*,*,#198949,.T.); #268864=ORIENTED_EDGE('',*,*,#198951,.F.); #268865=ORIENTED_EDGE('',*,*,#198952,.F.); #268866=ORIENTED_EDGE('',*,*,#198953,.T.); #268867=ORIENTED_EDGE('',*,*,#198952,.T.); #268868=ORIENTED_EDGE('',*,*,#198954,.F.); #268869=ORIENTED_EDGE('',*,*,#198955,.F.); #268870=ORIENTED_EDGE('',*,*,#198956,.T.); #268871=ORIENTED_EDGE('',*,*,#198955,.T.); #268872=ORIENTED_EDGE('',*,*,#198957,.F.); #268873=ORIENTED_EDGE('',*,*,#198958,.F.); #268874=ORIENTED_EDGE('',*,*,#198959,.T.); #268875=ORIENTED_EDGE('',*,*,#198958,.T.); #268876=ORIENTED_EDGE('',*,*,#198960,.F.); #268877=ORIENTED_EDGE('',*,*,#198961,.F.); #268878=ORIENTED_EDGE('',*,*,#198962,.T.); #268879=ORIENTED_EDGE('',*,*,#198961,.T.); #268880=ORIENTED_EDGE('',*,*,#198963,.F.); #268881=ORIENTED_EDGE('',*,*,#198964,.F.); #268882=ORIENTED_EDGE('',*,*,#198965,.T.); #268883=ORIENTED_EDGE('',*,*,#198964,.T.); #268884=ORIENTED_EDGE('',*,*,#198966,.F.); #268885=ORIENTED_EDGE('',*,*,#198967,.F.); #268886=ORIENTED_EDGE('',*,*,#198968,.T.); #268887=ORIENTED_EDGE('',*,*,#198967,.T.); #268888=ORIENTED_EDGE('',*,*,#198969,.F.); #268889=ORIENTED_EDGE('',*,*,#198970,.F.); #268890=ORIENTED_EDGE('',*,*,#198971,.T.); #268891=ORIENTED_EDGE('',*,*,#198970,.T.); #268892=ORIENTED_EDGE('',*,*,#198972,.F.); #268893=ORIENTED_EDGE('',*,*,#198973,.F.); #268894=ORIENTED_EDGE('',*,*,#198974,.T.); #268895=ORIENTED_EDGE('',*,*,#198973,.T.); #268896=ORIENTED_EDGE('',*,*,#198975,.F.); #268897=ORIENTED_EDGE('',*,*,#198976,.F.); #268898=ORIENTED_EDGE('',*,*,#198977,.T.); #268899=ORIENTED_EDGE('',*,*,#198976,.T.); #268900=ORIENTED_EDGE('',*,*,#198978,.F.); #268901=ORIENTED_EDGE('',*,*,#198979,.F.); #268902=ORIENTED_EDGE('',*,*,#198980,.T.); #268903=ORIENTED_EDGE('',*,*,#198979,.T.); #268904=ORIENTED_EDGE('',*,*,#198981,.F.); #268905=ORIENTED_EDGE('',*,*,#198982,.F.); #268906=ORIENTED_EDGE('',*,*,#198983,.T.); #268907=ORIENTED_EDGE('',*,*,#198982,.T.); #268908=ORIENTED_EDGE('',*,*,#198984,.F.); #268909=ORIENTED_EDGE('',*,*,#198985,.F.); #268910=ORIENTED_EDGE('',*,*,#198986,.T.); #268911=ORIENTED_EDGE('',*,*,#198985,.T.); #268912=ORIENTED_EDGE('',*,*,#198987,.F.); #268913=ORIENTED_EDGE('',*,*,#198941,.F.); #268914=ORIENTED_EDGE('',*,*,#198988,.T.); #268915=ORIENTED_EDGE('',*,*,#198989,.T.); #268916=ORIENTED_EDGE('',*,*,#198990,.F.); #268917=ORIENTED_EDGE('',*,*,#198991,.F.); #268918=ORIENTED_EDGE('',*,*,#198992,.T.); #268919=ORIENTED_EDGE('',*,*,#198991,.T.); #268920=ORIENTED_EDGE('',*,*,#198993,.F.); #268921=ORIENTED_EDGE('',*,*,#198994,.F.); #268922=ORIENTED_EDGE('',*,*,#198995,.T.); #268923=ORIENTED_EDGE('',*,*,#198994,.T.); #268924=ORIENTED_EDGE('',*,*,#198996,.F.); #268925=ORIENTED_EDGE('',*,*,#198997,.F.); #268926=ORIENTED_EDGE('',*,*,#198998,.T.); #268927=ORIENTED_EDGE('',*,*,#198997,.T.); #268928=ORIENTED_EDGE('',*,*,#198999,.F.); #268929=ORIENTED_EDGE('',*,*,#199000,.F.); #268930=ORIENTED_EDGE('',*,*,#199001,.T.); #268931=ORIENTED_EDGE('',*,*,#199000,.T.); #268932=ORIENTED_EDGE('',*,*,#199002,.F.); #268933=ORIENTED_EDGE('',*,*,#199003,.F.); #268934=ORIENTED_EDGE('',*,*,#199004,.T.); #268935=ORIENTED_EDGE('',*,*,#199003,.T.); #268936=ORIENTED_EDGE('',*,*,#199005,.F.); #268937=ORIENTED_EDGE('',*,*,#199006,.F.); #268938=ORIENTED_EDGE('',*,*,#199007,.T.); #268939=ORIENTED_EDGE('',*,*,#199006,.T.); #268940=ORIENTED_EDGE('',*,*,#199008,.F.); #268941=ORIENTED_EDGE('',*,*,#199009,.F.); #268942=ORIENTED_EDGE('',*,*,#199010,.T.); #268943=ORIENTED_EDGE('',*,*,#199009,.T.); #268944=ORIENTED_EDGE('',*,*,#199011,.F.); #268945=ORIENTED_EDGE('',*,*,#199012,.F.); #268946=ORIENTED_EDGE('',*,*,#199013,.T.); #268947=ORIENTED_EDGE('',*,*,#199012,.T.); #268948=ORIENTED_EDGE('',*,*,#199014,.F.); #268949=ORIENTED_EDGE('',*,*,#199015,.F.); #268950=ORIENTED_EDGE('',*,*,#199016,.T.); #268951=ORIENTED_EDGE('',*,*,#199015,.T.); #268952=ORIENTED_EDGE('',*,*,#199017,.F.); #268953=ORIENTED_EDGE('',*,*,#199018,.F.); #268954=ORIENTED_EDGE('',*,*,#199019,.T.); #268955=ORIENTED_EDGE('',*,*,#199018,.T.); #268956=ORIENTED_EDGE('',*,*,#199020,.F.); #268957=ORIENTED_EDGE('',*,*,#199021,.F.); #268958=ORIENTED_EDGE('',*,*,#199022,.T.); #268959=ORIENTED_EDGE('',*,*,#199021,.T.); #268960=ORIENTED_EDGE('',*,*,#199023,.F.); #268961=ORIENTED_EDGE('',*,*,#199024,.F.); #268962=ORIENTED_EDGE('',*,*,#199025,.T.); #268963=ORIENTED_EDGE('',*,*,#199024,.T.); #268964=ORIENTED_EDGE('',*,*,#199026,.F.); #268965=ORIENTED_EDGE('',*,*,#199027,.F.); #268966=ORIENTED_EDGE('',*,*,#199028,.T.); #268967=ORIENTED_EDGE('',*,*,#199027,.T.); #268968=ORIENTED_EDGE('',*,*,#199029,.F.); #268969=ORIENTED_EDGE('',*,*,#199030,.F.); #268970=ORIENTED_EDGE('',*,*,#199031,.T.); #268971=ORIENTED_EDGE('',*,*,#199030,.T.); #268972=ORIENTED_EDGE('',*,*,#199032,.F.); #268973=ORIENTED_EDGE('',*,*,#199033,.F.); #268974=ORIENTED_EDGE('',*,*,#199034,.T.); #268975=ORIENTED_EDGE('',*,*,#199033,.T.); #268976=ORIENTED_EDGE('',*,*,#199035,.F.); #268977=ORIENTED_EDGE('',*,*,#198989,.F.); #268978=ORIENTED_EDGE('',*,*,#199036,.T.); #268979=ORIENTED_EDGE('',*,*,#199037,.T.); #268980=ORIENTED_EDGE('',*,*,#199038,.F.); #268981=ORIENTED_EDGE('',*,*,#199039,.F.); #268982=ORIENTED_EDGE('',*,*,#199040,.T.); #268983=ORIENTED_EDGE('',*,*,#199039,.T.); #268984=ORIENTED_EDGE('',*,*,#199041,.F.); #268985=ORIENTED_EDGE('',*,*,#199042,.F.); #268986=ORIENTED_EDGE('',*,*,#199043,.T.); #268987=ORIENTED_EDGE('',*,*,#199042,.T.); #268988=ORIENTED_EDGE('',*,*,#199044,.F.); #268989=ORIENTED_EDGE('',*,*,#199045,.F.); #268990=ORIENTED_EDGE('',*,*,#199046,.T.); #268991=ORIENTED_EDGE('',*,*,#199045,.T.); #268992=ORIENTED_EDGE('',*,*,#199047,.F.); #268993=ORIENTED_EDGE('',*,*,#199048,.F.); #268994=ORIENTED_EDGE('',*,*,#199049,.T.); #268995=ORIENTED_EDGE('',*,*,#199048,.T.); #268996=ORIENTED_EDGE('',*,*,#199050,.F.); #268997=ORIENTED_EDGE('',*,*,#199051,.F.); #268998=ORIENTED_EDGE('',*,*,#199052,.T.); #268999=ORIENTED_EDGE('',*,*,#199051,.T.); #269000=ORIENTED_EDGE('',*,*,#199053,.F.); #269001=ORIENTED_EDGE('',*,*,#199054,.F.); #269002=ORIENTED_EDGE('',*,*,#199055,.T.); #269003=ORIENTED_EDGE('',*,*,#199054,.T.); #269004=ORIENTED_EDGE('',*,*,#199056,.F.); #269005=ORIENTED_EDGE('',*,*,#199057,.F.); #269006=ORIENTED_EDGE('',*,*,#199058,.T.); #269007=ORIENTED_EDGE('',*,*,#199057,.T.); #269008=ORIENTED_EDGE('',*,*,#199059,.F.); #269009=ORIENTED_EDGE('',*,*,#199037,.F.); #269010=ORIENTED_EDGE('',*,*,#199060,.T.); #269011=ORIENTED_EDGE('',*,*,#199061,.T.); #269012=ORIENTED_EDGE('',*,*,#199062,.F.); #269013=ORIENTED_EDGE('',*,*,#199063,.F.); #269014=ORIENTED_EDGE('',*,*,#199064,.T.); #269015=ORIENTED_EDGE('',*,*,#199063,.T.); #269016=ORIENTED_EDGE('',*,*,#199065,.F.); #269017=ORIENTED_EDGE('',*,*,#199066,.F.); #269018=ORIENTED_EDGE('',*,*,#199067,.T.); #269019=ORIENTED_EDGE('',*,*,#199066,.T.); #269020=ORIENTED_EDGE('',*,*,#199068,.F.); #269021=ORIENTED_EDGE('',*,*,#199069,.F.); #269022=ORIENTED_EDGE('',*,*,#199070,.T.); #269023=ORIENTED_EDGE('',*,*,#199069,.T.); #269024=ORIENTED_EDGE('',*,*,#199071,.F.); #269025=ORIENTED_EDGE('',*,*,#199072,.F.); #269026=ORIENTED_EDGE('',*,*,#199073,.T.); #269027=ORIENTED_EDGE('',*,*,#199072,.T.); #269028=ORIENTED_EDGE('',*,*,#199074,.F.); #269029=ORIENTED_EDGE('',*,*,#199075,.F.); #269030=ORIENTED_EDGE('',*,*,#199076,.T.); #269031=ORIENTED_EDGE('',*,*,#199075,.T.); #269032=ORIENTED_EDGE('',*,*,#199077,.F.); #269033=ORIENTED_EDGE('',*,*,#199078,.F.); #269034=ORIENTED_EDGE('',*,*,#199079,.T.); #269035=ORIENTED_EDGE('',*,*,#199078,.T.); #269036=ORIENTED_EDGE('',*,*,#199080,.F.); #269037=ORIENTED_EDGE('',*,*,#199081,.F.); #269038=ORIENTED_EDGE('',*,*,#199082,.T.); #269039=ORIENTED_EDGE('',*,*,#199081,.T.); #269040=ORIENTED_EDGE('',*,*,#199083,.F.); #269041=ORIENTED_EDGE('',*,*,#199084,.F.); #269042=ORIENTED_EDGE('',*,*,#199085,.T.); #269043=ORIENTED_EDGE('',*,*,#199084,.T.); #269044=ORIENTED_EDGE('',*,*,#199086,.F.); #269045=ORIENTED_EDGE('',*,*,#199087,.F.); #269046=ORIENTED_EDGE('',*,*,#199088,.T.); #269047=ORIENTED_EDGE('',*,*,#199087,.T.); #269048=ORIENTED_EDGE('',*,*,#199089,.F.); #269049=ORIENTED_EDGE('',*,*,#199090,.F.); #269050=ORIENTED_EDGE('',*,*,#199091,.T.); #269051=ORIENTED_EDGE('',*,*,#199090,.T.); #269052=ORIENTED_EDGE('',*,*,#199092,.F.); #269053=ORIENTED_EDGE('',*,*,#199093,.F.); #269054=ORIENTED_EDGE('',*,*,#199094,.T.); #269055=ORIENTED_EDGE('',*,*,#199093,.T.); #269056=ORIENTED_EDGE('',*,*,#199095,.F.); #269057=ORIENTED_EDGE('',*,*,#199096,.F.); #269058=ORIENTED_EDGE('',*,*,#199097,.T.); #269059=ORIENTED_EDGE('',*,*,#199096,.T.); #269060=ORIENTED_EDGE('',*,*,#199098,.F.); #269061=ORIENTED_EDGE('',*,*,#199099,.F.); #269062=ORIENTED_EDGE('',*,*,#199100,.T.); #269063=ORIENTED_EDGE('',*,*,#199099,.T.); #269064=ORIENTED_EDGE('',*,*,#199101,.F.); #269065=ORIENTED_EDGE('',*,*,#199102,.F.); #269066=ORIENTED_EDGE('',*,*,#199103,.T.); #269067=ORIENTED_EDGE('',*,*,#199102,.T.); #269068=ORIENTED_EDGE('',*,*,#199104,.F.); #269069=ORIENTED_EDGE('',*,*,#199105,.F.); #269070=ORIENTED_EDGE('',*,*,#199106,.T.); #269071=ORIENTED_EDGE('',*,*,#199105,.T.); #269072=ORIENTED_EDGE('',*,*,#199107,.F.); #269073=ORIENTED_EDGE('',*,*,#199108,.F.); #269074=ORIENTED_EDGE('',*,*,#199109,.T.); #269075=ORIENTED_EDGE('',*,*,#199108,.T.); #269076=ORIENTED_EDGE('',*,*,#199110,.F.); #269077=ORIENTED_EDGE('',*,*,#199111,.F.); #269078=ORIENTED_EDGE('',*,*,#199112,.T.); #269079=ORIENTED_EDGE('',*,*,#199111,.T.); #269080=ORIENTED_EDGE('',*,*,#199113,.F.); #269081=ORIENTED_EDGE('',*,*,#199114,.F.); #269082=ORIENTED_EDGE('',*,*,#199115,.T.); #269083=ORIENTED_EDGE('',*,*,#199114,.T.); #269084=ORIENTED_EDGE('',*,*,#199116,.F.); #269085=ORIENTED_EDGE('',*,*,#199117,.F.); #269086=ORIENTED_EDGE('',*,*,#199118,.T.); #269087=ORIENTED_EDGE('',*,*,#199117,.T.); #269088=ORIENTED_EDGE('',*,*,#199119,.F.); #269089=ORIENTED_EDGE('',*,*,#199120,.F.); #269090=ORIENTED_EDGE('',*,*,#199121,.T.); #269091=ORIENTED_EDGE('',*,*,#199120,.T.); #269092=ORIENTED_EDGE('',*,*,#199122,.F.); #269093=ORIENTED_EDGE('',*,*,#199123,.F.); #269094=ORIENTED_EDGE('',*,*,#199124,.T.); #269095=ORIENTED_EDGE('',*,*,#199123,.T.); #269096=ORIENTED_EDGE('',*,*,#199125,.F.); #269097=ORIENTED_EDGE('',*,*,#199126,.F.); #269098=ORIENTED_EDGE('',*,*,#199127,.T.); #269099=ORIENTED_EDGE('',*,*,#199126,.T.); #269100=ORIENTED_EDGE('',*,*,#199128,.F.); #269101=ORIENTED_EDGE('',*,*,#199129,.F.); #269102=ORIENTED_EDGE('',*,*,#199130,.T.); #269103=ORIENTED_EDGE('',*,*,#199129,.T.); #269104=ORIENTED_EDGE('',*,*,#199131,.F.); #269105=ORIENTED_EDGE('',*,*,#199061,.F.); #269106=ORIENTED_EDGE('',*,*,#199132,.T.); #269107=ORIENTED_EDGE('',*,*,#199133,.T.); #269108=ORIENTED_EDGE('',*,*,#199134,.F.); #269109=ORIENTED_EDGE('',*,*,#199135,.F.); #269110=ORIENTED_EDGE('',*,*,#199136,.T.); #269111=ORIENTED_EDGE('',*,*,#199135,.T.); #269112=ORIENTED_EDGE('',*,*,#199137,.F.); #269113=ORIENTED_EDGE('',*,*,#199138,.F.); #269114=ORIENTED_EDGE('',*,*,#199139,.T.); #269115=ORIENTED_EDGE('',*,*,#199138,.T.); #269116=ORIENTED_EDGE('',*,*,#199140,.F.); #269117=ORIENTED_EDGE('',*,*,#199141,.F.); #269118=ORIENTED_EDGE('',*,*,#199142,.T.); #269119=ORIENTED_EDGE('',*,*,#199141,.T.); #269120=ORIENTED_EDGE('',*,*,#199143,.F.); #269121=ORIENTED_EDGE('',*,*,#199144,.F.); #269122=ORIENTED_EDGE('',*,*,#199145,.T.); #269123=ORIENTED_EDGE('',*,*,#199144,.T.); #269124=ORIENTED_EDGE('',*,*,#199146,.F.); #269125=ORIENTED_EDGE('',*,*,#199147,.F.); #269126=ORIENTED_EDGE('',*,*,#199148,.T.); #269127=ORIENTED_EDGE('',*,*,#199147,.T.); #269128=ORIENTED_EDGE('',*,*,#199149,.F.); #269129=ORIENTED_EDGE('',*,*,#199150,.F.); #269130=ORIENTED_EDGE('',*,*,#199151,.T.); #269131=ORIENTED_EDGE('',*,*,#199150,.T.); #269132=ORIENTED_EDGE('',*,*,#199152,.F.); #269133=ORIENTED_EDGE('',*,*,#199153,.F.); #269134=ORIENTED_EDGE('',*,*,#199154,.T.); #269135=ORIENTED_EDGE('',*,*,#199153,.T.); #269136=ORIENTED_EDGE('',*,*,#199155,.F.); #269137=ORIENTED_EDGE('',*,*,#199156,.F.); #269138=ORIENTED_EDGE('',*,*,#199157,.T.); #269139=ORIENTED_EDGE('',*,*,#199156,.T.); #269140=ORIENTED_EDGE('',*,*,#199158,.F.); #269141=ORIENTED_EDGE('',*,*,#199159,.F.); #269142=ORIENTED_EDGE('',*,*,#199160,.T.); #269143=ORIENTED_EDGE('',*,*,#199159,.T.); #269144=ORIENTED_EDGE('',*,*,#199161,.F.); #269145=ORIENTED_EDGE('',*,*,#199162,.F.); #269146=ORIENTED_EDGE('',*,*,#199163,.T.); #269147=ORIENTED_EDGE('',*,*,#199162,.T.); #269148=ORIENTED_EDGE('',*,*,#199164,.F.); #269149=ORIENTED_EDGE('',*,*,#199165,.F.); #269150=ORIENTED_EDGE('',*,*,#199166,.T.); #269151=ORIENTED_EDGE('',*,*,#199165,.T.); #269152=ORIENTED_EDGE('',*,*,#199167,.F.); #269153=ORIENTED_EDGE('',*,*,#199168,.F.); #269154=ORIENTED_EDGE('',*,*,#199169,.T.); #269155=ORIENTED_EDGE('',*,*,#199168,.T.); #269156=ORIENTED_EDGE('',*,*,#199170,.F.); #269157=ORIENTED_EDGE('',*,*,#199171,.F.); #269158=ORIENTED_EDGE('',*,*,#199172,.T.); #269159=ORIENTED_EDGE('',*,*,#199171,.T.); #269160=ORIENTED_EDGE('',*,*,#199173,.F.); #269161=ORIENTED_EDGE('',*,*,#199174,.F.); #269162=ORIENTED_EDGE('',*,*,#199175,.T.); #269163=ORIENTED_EDGE('',*,*,#199174,.T.); #269164=ORIENTED_EDGE('',*,*,#199176,.F.); #269165=ORIENTED_EDGE('',*,*,#199177,.F.); #269166=ORIENTED_EDGE('',*,*,#199178,.T.); #269167=ORIENTED_EDGE('',*,*,#199177,.T.); #269168=ORIENTED_EDGE('',*,*,#199179,.F.); #269169=ORIENTED_EDGE('',*,*,#199180,.F.); #269170=ORIENTED_EDGE('',*,*,#199181,.T.); #269171=ORIENTED_EDGE('',*,*,#199180,.T.); #269172=ORIENTED_EDGE('',*,*,#199182,.F.); #269173=ORIENTED_EDGE('',*,*,#199183,.F.); #269174=ORIENTED_EDGE('',*,*,#199184,.T.); #269175=ORIENTED_EDGE('',*,*,#199183,.T.); #269176=ORIENTED_EDGE('',*,*,#199185,.F.); #269177=ORIENTED_EDGE('',*,*,#199186,.F.); #269178=ORIENTED_EDGE('',*,*,#199187,.T.); #269179=ORIENTED_EDGE('',*,*,#199186,.T.); #269180=ORIENTED_EDGE('',*,*,#199188,.F.); #269181=ORIENTED_EDGE('',*,*,#199189,.F.); #269182=ORIENTED_EDGE('',*,*,#199190,.T.); #269183=ORIENTED_EDGE('',*,*,#199189,.T.); #269184=ORIENTED_EDGE('',*,*,#199191,.F.); #269185=ORIENTED_EDGE('',*,*,#199192,.F.); #269186=ORIENTED_EDGE('',*,*,#199193,.T.); #269187=ORIENTED_EDGE('',*,*,#199192,.T.); #269188=ORIENTED_EDGE('',*,*,#199194,.F.); #269189=ORIENTED_EDGE('',*,*,#199195,.F.); #269190=ORIENTED_EDGE('',*,*,#199196,.T.); #269191=ORIENTED_EDGE('',*,*,#199195,.T.); #269192=ORIENTED_EDGE('',*,*,#199197,.F.); #269193=ORIENTED_EDGE('',*,*,#199198,.F.); #269194=ORIENTED_EDGE('',*,*,#199199,.T.); #269195=ORIENTED_EDGE('',*,*,#199198,.T.); #269196=ORIENTED_EDGE('',*,*,#199200,.F.); #269197=ORIENTED_EDGE('',*,*,#199201,.F.); #269198=ORIENTED_EDGE('',*,*,#199202,.T.); #269199=ORIENTED_EDGE('',*,*,#199201,.T.); #269200=ORIENTED_EDGE('',*,*,#199203,.F.); #269201=ORIENTED_EDGE('',*,*,#199133,.F.); #269202=ORIENTED_EDGE('',*,*,#199204,.T.); #269203=ORIENTED_EDGE('',*,*,#199205,.T.); #269204=ORIENTED_EDGE('',*,*,#199206,.F.); #269205=ORIENTED_EDGE('',*,*,#199207,.F.); #269206=ORIENTED_EDGE('',*,*,#199208,.T.); #269207=ORIENTED_EDGE('',*,*,#199207,.T.); #269208=ORIENTED_EDGE('',*,*,#199209,.F.); #269209=ORIENTED_EDGE('',*,*,#199210,.F.); #269210=ORIENTED_EDGE('',*,*,#199211,.T.); #269211=ORIENTED_EDGE('',*,*,#199210,.T.); #269212=ORIENTED_EDGE('',*,*,#199212,.F.); #269213=ORIENTED_EDGE('',*,*,#199213,.F.); #269214=ORIENTED_EDGE('',*,*,#199214,.T.); #269215=ORIENTED_EDGE('',*,*,#199213,.T.); #269216=ORIENTED_EDGE('',*,*,#199215,.F.); #269217=ORIENTED_EDGE('',*,*,#199216,.F.); #269218=ORIENTED_EDGE('',*,*,#199217,.T.); #269219=ORIENTED_EDGE('',*,*,#199216,.T.); #269220=ORIENTED_EDGE('',*,*,#199218,.F.); #269221=ORIENTED_EDGE('',*,*,#199219,.F.); #269222=ORIENTED_EDGE('',*,*,#199220,.T.); #269223=ORIENTED_EDGE('',*,*,#199219,.T.); #269224=ORIENTED_EDGE('',*,*,#199221,.F.); #269225=ORIENTED_EDGE('',*,*,#199222,.F.); #269226=ORIENTED_EDGE('',*,*,#199223,.T.); #269227=ORIENTED_EDGE('',*,*,#199222,.T.); #269228=ORIENTED_EDGE('',*,*,#199224,.F.); #269229=ORIENTED_EDGE('',*,*,#199225,.F.); #269230=ORIENTED_EDGE('',*,*,#199226,.T.); #269231=ORIENTED_EDGE('',*,*,#199225,.T.); #269232=ORIENTED_EDGE('',*,*,#199227,.F.); #269233=ORIENTED_EDGE('',*,*,#199228,.F.); #269234=ORIENTED_EDGE('',*,*,#199229,.T.); #269235=ORIENTED_EDGE('',*,*,#199228,.T.); #269236=ORIENTED_EDGE('',*,*,#199230,.F.); #269237=ORIENTED_EDGE('',*,*,#199231,.F.); #269238=ORIENTED_EDGE('',*,*,#199232,.T.); #269239=ORIENTED_EDGE('',*,*,#199231,.T.); #269240=ORIENTED_EDGE('',*,*,#199233,.F.); #269241=ORIENTED_EDGE('',*,*,#199234,.F.); #269242=ORIENTED_EDGE('',*,*,#199235,.T.); #269243=ORIENTED_EDGE('',*,*,#199234,.T.); #269244=ORIENTED_EDGE('',*,*,#199236,.F.); #269245=ORIENTED_EDGE('',*,*,#199237,.F.); #269246=ORIENTED_EDGE('',*,*,#199238,.T.); #269247=ORIENTED_EDGE('',*,*,#199237,.T.); #269248=ORIENTED_EDGE('',*,*,#199239,.F.); #269249=ORIENTED_EDGE('',*,*,#199240,.F.); #269250=ORIENTED_EDGE('',*,*,#199241,.T.); #269251=ORIENTED_EDGE('',*,*,#199240,.T.); #269252=ORIENTED_EDGE('',*,*,#199242,.F.); #269253=ORIENTED_EDGE('',*,*,#199243,.F.); #269254=ORIENTED_EDGE('',*,*,#199244,.T.); #269255=ORIENTED_EDGE('',*,*,#199243,.T.); #269256=ORIENTED_EDGE('',*,*,#199245,.F.); #269257=ORIENTED_EDGE('',*,*,#199246,.F.); #269258=ORIENTED_EDGE('',*,*,#199247,.T.); #269259=ORIENTED_EDGE('',*,*,#199246,.T.); #269260=ORIENTED_EDGE('',*,*,#199248,.F.); #269261=ORIENTED_EDGE('',*,*,#199249,.F.); #269262=ORIENTED_EDGE('',*,*,#199250,.T.); #269263=ORIENTED_EDGE('',*,*,#199249,.T.); #269264=ORIENTED_EDGE('',*,*,#199251,.F.); #269265=ORIENTED_EDGE('',*,*,#199252,.F.); #269266=ORIENTED_EDGE('',*,*,#199253,.T.); #269267=ORIENTED_EDGE('',*,*,#199252,.T.); #269268=ORIENTED_EDGE('',*,*,#199254,.F.); #269269=ORIENTED_EDGE('',*,*,#199255,.F.); #269270=ORIENTED_EDGE('',*,*,#199256,.T.); #269271=ORIENTED_EDGE('',*,*,#199255,.T.); #269272=ORIENTED_EDGE('',*,*,#199257,.F.); #269273=ORIENTED_EDGE('',*,*,#199258,.F.); #269274=ORIENTED_EDGE('',*,*,#199259,.T.); #269275=ORIENTED_EDGE('',*,*,#199258,.T.); #269276=ORIENTED_EDGE('',*,*,#199260,.F.); #269277=ORIENTED_EDGE('',*,*,#199261,.F.); #269278=ORIENTED_EDGE('',*,*,#199262,.T.); #269279=ORIENTED_EDGE('',*,*,#199261,.T.); #269280=ORIENTED_EDGE('',*,*,#199263,.F.); #269281=ORIENTED_EDGE('',*,*,#199264,.F.); #269282=ORIENTED_EDGE('',*,*,#199265,.T.); #269283=ORIENTED_EDGE('',*,*,#199264,.T.); #269284=ORIENTED_EDGE('',*,*,#199266,.F.); #269285=ORIENTED_EDGE('',*,*,#199267,.F.); #269286=ORIENTED_EDGE('',*,*,#199268,.T.); #269287=ORIENTED_EDGE('',*,*,#199267,.T.); #269288=ORIENTED_EDGE('',*,*,#199269,.F.); #269289=ORIENTED_EDGE('',*,*,#199270,.F.); #269290=ORIENTED_EDGE('',*,*,#199271,.T.); #269291=ORIENTED_EDGE('',*,*,#199270,.T.); #269292=ORIENTED_EDGE('',*,*,#199272,.F.); #269293=ORIENTED_EDGE('',*,*,#199273,.F.); #269294=ORIENTED_EDGE('',*,*,#199274,.T.); #269295=ORIENTED_EDGE('',*,*,#199273,.T.); #269296=ORIENTED_EDGE('',*,*,#199275,.F.); #269297=ORIENTED_EDGE('',*,*,#199276,.F.); #269298=ORIENTED_EDGE('',*,*,#199277,.T.); #269299=ORIENTED_EDGE('',*,*,#199276,.T.); #269300=ORIENTED_EDGE('',*,*,#199278,.F.); #269301=ORIENTED_EDGE('',*,*,#199279,.F.); #269302=ORIENTED_EDGE('',*,*,#199280,.T.); #269303=ORIENTED_EDGE('',*,*,#199279,.T.); #269304=ORIENTED_EDGE('',*,*,#199281,.F.); #269305=ORIENTED_EDGE('',*,*,#199282,.F.); #269306=ORIENTED_EDGE('',*,*,#199283,.T.); #269307=ORIENTED_EDGE('',*,*,#199282,.T.); #269308=ORIENTED_EDGE('',*,*,#199284,.F.); #269309=ORIENTED_EDGE('',*,*,#199285,.F.); #269310=ORIENTED_EDGE('',*,*,#199286,.T.); #269311=ORIENTED_EDGE('',*,*,#199285,.T.); #269312=ORIENTED_EDGE('',*,*,#199287,.F.); #269313=ORIENTED_EDGE('',*,*,#199288,.F.); #269314=ORIENTED_EDGE('',*,*,#199289,.T.); #269315=ORIENTED_EDGE('',*,*,#199288,.T.); #269316=ORIENTED_EDGE('',*,*,#199290,.F.); #269317=ORIENTED_EDGE('',*,*,#199291,.F.); #269318=ORIENTED_EDGE('',*,*,#199292,.T.); #269319=ORIENTED_EDGE('',*,*,#199291,.T.); #269320=ORIENTED_EDGE('',*,*,#199293,.F.); #269321=ORIENTED_EDGE('',*,*,#199294,.F.); #269322=ORIENTED_EDGE('',*,*,#199295,.T.); #269323=ORIENTED_EDGE('',*,*,#199294,.T.); #269324=ORIENTED_EDGE('',*,*,#199296,.F.); #269325=ORIENTED_EDGE('',*,*,#199297,.F.); #269326=ORIENTED_EDGE('',*,*,#199298,.T.); #269327=ORIENTED_EDGE('',*,*,#199297,.T.); #269328=ORIENTED_EDGE('',*,*,#199299,.F.); #269329=ORIENTED_EDGE('',*,*,#199300,.F.); #269330=ORIENTED_EDGE('',*,*,#199301,.T.); #269331=ORIENTED_EDGE('',*,*,#199300,.T.); #269332=ORIENTED_EDGE('',*,*,#199302,.F.); #269333=ORIENTED_EDGE('',*,*,#199303,.F.); #269334=ORIENTED_EDGE('',*,*,#199304,.T.); #269335=ORIENTED_EDGE('',*,*,#199303,.T.); #269336=ORIENTED_EDGE('',*,*,#199305,.F.); #269337=ORIENTED_EDGE('',*,*,#199306,.F.); #269338=ORIENTED_EDGE('',*,*,#199307,.T.); #269339=ORIENTED_EDGE('',*,*,#199306,.T.); #269340=ORIENTED_EDGE('',*,*,#199308,.F.); #269341=ORIENTED_EDGE('',*,*,#199309,.F.); #269342=ORIENTED_EDGE('',*,*,#199310,.T.); #269343=ORIENTED_EDGE('',*,*,#199309,.T.); #269344=ORIENTED_EDGE('',*,*,#199311,.F.); #269345=ORIENTED_EDGE('',*,*,#199312,.F.); #269346=ORIENTED_EDGE('',*,*,#199313,.T.); #269347=ORIENTED_EDGE('',*,*,#199312,.T.); #269348=ORIENTED_EDGE('',*,*,#199314,.F.); #269349=ORIENTED_EDGE('',*,*,#199315,.F.); #269350=ORIENTED_EDGE('',*,*,#199316,.T.); #269351=ORIENTED_EDGE('',*,*,#199315,.T.); #269352=ORIENTED_EDGE('',*,*,#199317,.F.); #269353=ORIENTED_EDGE('',*,*,#199318,.F.); #269354=ORIENTED_EDGE('',*,*,#199319,.T.); #269355=ORIENTED_EDGE('',*,*,#199318,.T.); #269356=ORIENTED_EDGE('',*,*,#199320,.F.); #269357=ORIENTED_EDGE('',*,*,#199321,.F.); #269358=ORIENTED_EDGE('',*,*,#199322,.T.); #269359=ORIENTED_EDGE('',*,*,#199321,.T.); #269360=ORIENTED_EDGE('',*,*,#199323,.F.); #269361=ORIENTED_EDGE('',*,*,#199324,.F.); #269362=ORIENTED_EDGE('',*,*,#199325,.T.); #269363=ORIENTED_EDGE('',*,*,#199324,.T.); #269364=ORIENTED_EDGE('',*,*,#199326,.F.); #269365=ORIENTED_EDGE('',*,*,#199327,.F.); #269366=ORIENTED_EDGE('',*,*,#199328,.T.); #269367=ORIENTED_EDGE('',*,*,#199327,.T.); #269368=ORIENTED_EDGE('',*,*,#199329,.F.); #269369=ORIENTED_EDGE('',*,*,#199330,.F.); #269370=ORIENTED_EDGE('',*,*,#199331,.T.); #269371=ORIENTED_EDGE('',*,*,#199330,.T.); #269372=ORIENTED_EDGE('',*,*,#199332,.F.); #269373=ORIENTED_EDGE('',*,*,#199333,.F.); #269374=ORIENTED_EDGE('',*,*,#199334,.T.); #269375=ORIENTED_EDGE('',*,*,#199333,.T.); #269376=ORIENTED_EDGE('',*,*,#199335,.F.); #269377=ORIENTED_EDGE('',*,*,#199336,.F.); #269378=ORIENTED_EDGE('',*,*,#199337,.T.); #269379=ORIENTED_EDGE('',*,*,#199336,.T.); #269380=ORIENTED_EDGE('',*,*,#199338,.F.); #269381=ORIENTED_EDGE('',*,*,#199339,.F.); #269382=ORIENTED_EDGE('',*,*,#199340,.T.); #269383=ORIENTED_EDGE('',*,*,#199339,.T.); #269384=ORIENTED_EDGE('',*,*,#199341,.F.); #269385=ORIENTED_EDGE('',*,*,#199342,.F.); #269386=ORIENTED_EDGE('',*,*,#199343,.T.); #269387=ORIENTED_EDGE('',*,*,#199342,.T.); #269388=ORIENTED_EDGE('',*,*,#199344,.F.); #269389=ORIENTED_EDGE('',*,*,#199345,.F.); #269390=ORIENTED_EDGE('',*,*,#199346,.T.); #269391=ORIENTED_EDGE('',*,*,#199345,.T.); #269392=ORIENTED_EDGE('',*,*,#199347,.F.); #269393=ORIENTED_EDGE('',*,*,#199348,.F.); #269394=ORIENTED_EDGE('',*,*,#199349,.T.); #269395=ORIENTED_EDGE('',*,*,#199348,.T.); #269396=ORIENTED_EDGE('',*,*,#199350,.F.); #269397=ORIENTED_EDGE('',*,*,#199351,.F.); #269398=ORIENTED_EDGE('',*,*,#199352,.T.); #269399=ORIENTED_EDGE('',*,*,#199351,.T.); #269400=ORIENTED_EDGE('',*,*,#199353,.F.); #269401=ORIENTED_EDGE('',*,*,#199354,.F.); #269402=ORIENTED_EDGE('',*,*,#199355,.T.); #269403=ORIENTED_EDGE('',*,*,#199354,.T.); #269404=ORIENTED_EDGE('',*,*,#199356,.F.); #269405=ORIENTED_EDGE('',*,*,#199357,.F.); #269406=ORIENTED_EDGE('',*,*,#199358,.T.); #269407=ORIENTED_EDGE('',*,*,#199357,.T.); #269408=ORIENTED_EDGE('',*,*,#199359,.F.); #269409=ORIENTED_EDGE('',*,*,#199360,.F.); #269410=ORIENTED_EDGE('',*,*,#199361,.T.); #269411=ORIENTED_EDGE('',*,*,#199360,.T.); #269412=ORIENTED_EDGE('',*,*,#199362,.F.); #269413=ORIENTED_EDGE('',*,*,#199363,.F.); #269414=ORIENTED_EDGE('',*,*,#199364,.T.); #269415=ORIENTED_EDGE('',*,*,#199363,.T.); #269416=ORIENTED_EDGE('',*,*,#199365,.F.); #269417=ORIENTED_EDGE('',*,*,#199366,.F.); #269418=ORIENTED_EDGE('',*,*,#199367,.T.); #269419=ORIENTED_EDGE('',*,*,#199366,.T.); #269420=ORIENTED_EDGE('',*,*,#199368,.F.); #269421=ORIENTED_EDGE('',*,*,#199369,.F.); #269422=ORIENTED_EDGE('',*,*,#199370,.T.); #269423=ORIENTED_EDGE('',*,*,#199369,.T.); #269424=ORIENTED_EDGE('',*,*,#199371,.F.); #269425=ORIENTED_EDGE('',*,*,#199372,.F.); #269426=ORIENTED_EDGE('',*,*,#199373,.T.); #269427=ORIENTED_EDGE('',*,*,#199372,.T.); #269428=ORIENTED_EDGE('',*,*,#199374,.F.); #269429=ORIENTED_EDGE('',*,*,#199375,.F.); #269430=ORIENTED_EDGE('',*,*,#199376,.T.); #269431=ORIENTED_EDGE('',*,*,#199375,.T.); #269432=ORIENTED_EDGE('',*,*,#199377,.F.); #269433=ORIENTED_EDGE('',*,*,#199378,.F.); #269434=ORIENTED_EDGE('',*,*,#199379,.T.); #269435=ORIENTED_EDGE('',*,*,#199378,.T.); #269436=ORIENTED_EDGE('',*,*,#199380,.F.); #269437=ORIENTED_EDGE('',*,*,#199381,.F.); #269438=ORIENTED_EDGE('',*,*,#199382,.T.); #269439=ORIENTED_EDGE('',*,*,#199381,.T.); #269440=ORIENTED_EDGE('',*,*,#199383,.F.); #269441=ORIENTED_EDGE('',*,*,#199384,.F.); #269442=ORIENTED_EDGE('',*,*,#199385,.T.); #269443=ORIENTED_EDGE('',*,*,#199384,.T.); #269444=ORIENTED_EDGE('',*,*,#199386,.F.); #269445=ORIENTED_EDGE('',*,*,#199387,.F.); #269446=ORIENTED_EDGE('',*,*,#199388,.T.); #269447=ORIENTED_EDGE('',*,*,#199387,.T.); #269448=ORIENTED_EDGE('',*,*,#199389,.F.); #269449=ORIENTED_EDGE('',*,*,#199390,.F.); #269450=ORIENTED_EDGE('',*,*,#199391,.T.); #269451=ORIENTED_EDGE('',*,*,#199390,.T.); #269452=ORIENTED_EDGE('',*,*,#199392,.F.); #269453=ORIENTED_EDGE('',*,*,#199393,.F.); #269454=ORIENTED_EDGE('',*,*,#199394,.T.); #269455=ORIENTED_EDGE('',*,*,#199393,.T.); #269456=ORIENTED_EDGE('',*,*,#199395,.F.); #269457=ORIENTED_EDGE('',*,*,#199396,.F.); #269458=ORIENTED_EDGE('',*,*,#199397,.T.); #269459=ORIENTED_EDGE('',*,*,#199396,.T.); #269460=ORIENTED_EDGE('',*,*,#199398,.F.); #269461=ORIENTED_EDGE('',*,*,#199399,.F.); #269462=ORIENTED_EDGE('',*,*,#199400,.T.); #269463=ORIENTED_EDGE('',*,*,#199399,.T.); #269464=ORIENTED_EDGE('',*,*,#199401,.F.); #269465=ORIENTED_EDGE('',*,*,#199402,.F.); #269466=ORIENTED_EDGE('',*,*,#199403,.T.); #269467=ORIENTED_EDGE('',*,*,#199402,.T.); #269468=ORIENTED_EDGE('',*,*,#199404,.F.); #269469=ORIENTED_EDGE('',*,*,#199405,.F.); #269470=ORIENTED_EDGE('',*,*,#199406,.T.); #269471=ORIENTED_EDGE('',*,*,#199405,.T.); #269472=ORIENTED_EDGE('',*,*,#199407,.F.); #269473=ORIENTED_EDGE('',*,*,#199408,.F.); #269474=ORIENTED_EDGE('',*,*,#199409,.T.); #269475=ORIENTED_EDGE('',*,*,#199408,.T.); #269476=ORIENTED_EDGE('',*,*,#199410,.F.); #269477=ORIENTED_EDGE('',*,*,#199411,.F.); #269478=ORIENTED_EDGE('',*,*,#199412,.T.); #269479=ORIENTED_EDGE('',*,*,#199411,.T.); #269480=ORIENTED_EDGE('',*,*,#199413,.F.); #269481=ORIENTED_EDGE('',*,*,#199414,.F.); #269482=ORIENTED_EDGE('',*,*,#199415,.T.); #269483=ORIENTED_EDGE('',*,*,#199414,.T.); #269484=ORIENTED_EDGE('',*,*,#199416,.F.); #269485=ORIENTED_EDGE('',*,*,#199417,.F.); #269486=ORIENTED_EDGE('',*,*,#199418,.T.); #269487=ORIENTED_EDGE('',*,*,#199417,.T.); #269488=ORIENTED_EDGE('',*,*,#199419,.F.); #269489=ORIENTED_EDGE('',*,*,#199420,.F.); #269490=ORIENTED_EDGE('',*,*,#199421,.T.); #269491=ORIENTED_EDGE('',*,*,#199420,.T.); #269492=ORIENTED_EDGE('',*,*,#199422,.F.); #269493=ORIENTED_EDGE('',*,*,#199423,.F.); #269494=ORIENTED_EDGE('',*,*,#199424,.T.); #269495=ORIENTED_EDGE('',*,*,#199423,.T.); #269496=ORIENTED_EDGE('',*,*,#199425,.F.); #269497=ORIENTED_EDGE('',*,*,#199426,.F.); #269498=ORIENTED_EDGE('',*,*,#199427,.T.); #269499=ORIENTED_EDGE('',*,*,#199426,.T.); #269500=ORIENTED_EDGE('',*,*,#199428,.F.); #269501=ORIENTED_EDGE('',*,*,#199429,.F.); #269502=ORIENTED_EDGE('',*,*,#199430,.T.); #269503=ORIENTED_EDGE('',*,*,#199429,.T.); #269504=ORIENTED_EDGE('',*,*,#199431,.F.); #269505=ORIENTED_EDGE('',*,*,#199432,.F.); #269506=ORIENTED_EDGE('',*,*,#199433,.T.); #269507=ORIENTED_EDGE('',*,*,#199432,.T.); #269508=ORIENTED_EDGE('',*,*,#199434,.F.); #269509=ORIENTED_EDGE('',*,*,#199435,.F.); #269510=ORIENTED_EDGE('',*,*,#199436,.T.); #269511=ORIENTED_EDGE('',*,*,#199435,.T.); #269512=ORIENTED_EDGE('',*,*,#199437,.F.); #269513=ORIENTED_EDGE('',*,*,#199438,.F.); #269514=ORIENTED_EDGE('',*,*,#199439,.T.); #269515=ORIENTED_EDGE('',*,*,#199438,.T.); #269516=ORIENTED_EDGE('',*,*,#199440,.F.); #269517=ORIENTED_EDGE('',*,*,#199441,.F.); #269518=ORIENTED_EDGE('',*,*,#199442,.T.); #269519=ORIENTED_EDGE('',*,*,#199441,.T.); #269520=ORIENTED_EDGE('',*,*,#199443,.F.); #269521=ORIENTED_EDGE('',*,*,#199444,.F.); #269522=ORIENTED_EDGE('',*,*,#199445,.T.); #269523=ORIENTED_EDGE('',*,*,#199444,.T.); #269524=ORIENTED_EDGE('',*,*,#199446,.F.); #269525=ORIENTED_EDGE('',*,*,#199447,.F.); #269526=ORIENTED_EDGE('',*,*,#199448,.T.); #269527=ORIENTED_EDGE('',*,*,#199447,.T.); #269528=ORIENTED_EDGE('',*,*,#199449,.F.); #269529=ORIENTED_EDGE('',*,*,#199450,.F.); #269530=ORIENTED_EDGE('',*,*,#199451,.T.); #269531=ORIENTED_EDGE('',*,*,#199450,.T.); #269532=ORIENTED_EDGE('',*,*,#199452,.F.); #269533=ORIENTED_EDGE('',*,*,#199453,.F.); #269534=ORIENTED_EDGE('',*,*,#199454,.T.); #269535=ORIENTED_EDGE('',*,*,#199453,.T.); #269536=ORIENTED_EDGE('',*,*,#199455,.F.); #269537=ORIENTED_EDGE('',*,*,#199456,.F.); #269538=ORIENTED_EDGE('',*,*,#199457,.T.); #269539=ORIENTED_EDGE('',*,*,#199456,.T.); #269540=ORIENTED_EDGE('',*,*,#199458,.F.); #269541=ORIENTED_EDGE('',*,*,#199459,.F.); #269542=ORIENTED_EDGE('',*,*,#199460,.T.); #269543=ORIENTED_EDGE('',*,*,#199459,.T.); #269544=ORIENTED_EDGE('',*,*,#199461,.F.); #269545=ORIENTED_EDGE('',*,*,#199462,.F.); #269546=ORIENTED_EDGE('',*,*,#199463,.T.); #269547=ORIENTED_EDGE('',*,*,#199462,.T.); #269548=ORIENTED_EDGE('',*,*,#199464,.F.); #269549=ORIENTED_EDGE('',*,*,#199465,.F.); #269550=ORIENTED_EDGE('',*,*,#199466,.T.); #269551=ORIENTED_EDGE('',*,*,#199465,.T.); #269552=ORIENTED_EDGE('',*,*,#199467,.F.); #269553=ORIENTED_EDGE('',*,*,#199468,.F.); #269554=ORIENTED_EDGE('',*,*,#199469,.T.); #269555=ORIENTED_EDGE('',*,*,#199468,.T.); #269556=ORIENTED_EDGE('',*,*,#199470,.F.); #269557=ORIENTED_EDGE('',*,*,#199471,.F.); #269558=ORIENTED_EDGE('',*,*,#199472,.T.); #269559=ORIENTED_EDGE('',*,*,#199471,.T.); #269560=ORIENTED_EDGE('',*,*,#199473,.F.); #269561=ORIENTED_EDGE('',*,*,#199474,.F.); #269562=ORIENTED_EDGE('',*,*,#199475,.T.); #269563=ORIENTED_EDGE('',*,*,#199474,.T.); #269564=ORIENTED_EDGE('',*,*,#199476,.F.); #269565=ORIENTED_EDGE('',*,*,#199477,.F.); #269566=ORIENTED_EDGE('',*,*,#199478,.T.); #269567=ORIENTED_EDGE('',*,*,#199477,.T.); #269568=ORIENTED_EDGE('',*,*,#199479,.F.); #269569=ORIENTED_EDGE('',*,*,#199480,.F.); #269570=ORIENTED_EDGE('',*,*,#199481,.T.); #269571=ORIENTED_EDGE('',*,*,#199480,.T.); #269572=ORIENTED_EDGE('',*,*,#199482,.F.); #269573=ORIENTED_EDGE('',*,*,#199483,.F.); #269574=ORIENTED_EDGE('',*,*,#199484,.T.); #269575=ORIENTED_EDGE('',*,*,#199483,.T.); #269576=ORIENTED_EDGE('',*,*,#199485,.F.); #269577=ORIENTED_EDGE('',*,*,#199486,.F.); #269578=ORIENTED_EDGE('',*,*,#199487,.T.); #269579=ORIENTED_EDGE('',*,*,#199486,.T.); #269580=ORIENTED_EDGE('',*,*,#199488,.F.); #269581=ORIENTED_EDGE('',*,*,#199489,.F.); #269582=ORIENTED_EDGE('',*,*,#199490,.T.); #269583=ORIENTED_EDGE('',*,*,#199489,.T.); #269584=ORIENTED_EDGE('',*,*,#199491,.F.); #269585=ORIENTED_EDGE('',*,*,#199492,.F.); #269586=ORIENTED_EDGE('',*,*,#199493,.T.); #269587=ORIENTED_EDGE('',*,*,#199492,.T.); #269588=ORIENTED_EDGE('',*,*,#199494,.F.); #269589=ORIENTED_EDGE('',*,*,#199495,.F.); #269590=ORIENTED_EDGE('',*,*,#199496,.T.); #269591=ORIENTED_EDGE('',*,*,#199495,.T.); #269592=ORIENTED_EDGE('',*,*,#199497,.F.); #269593=ORIENTED_EDGE('',*,*,#199498,.F.); #269594=ORIENTED_EDGE('',*,*,#199499,.T.); #269595=ORIENTED_EDGE('',*,*,#199498,.T.); #269596=ORIENTED_EDGE('',*,*,#199500,.F.); #269597=ORIENTED_EDGE('',*,*,#199501,.F.); #269598=ORIENTED_EDGE('',*,*,#199502,.T.); #269599=ORIENTED_EDGE('',*,*,#199501,.T.); #269600=ORIENTED_EDGE('',*,*,#199503,.F.); #269601=ORIENTED_EDGE('',*,*,#199504,.F.); #269602=ORIENTED_EDGE('',*,*,#199505,.T.); #269603=ORIENTED_EDGE('',*,*,#199504,.T.); #269604=ORIENTED_EDGE('',*,*,#199506,.F.); #269605=ORIENTED_EDGE('',*,*,#199507,.F.); #269606=ORIENTED_EDGE('',*,*,#199508,.T.); #269607=ORIENTED_EDGE('',*,*,#199507,.T.); #269608=ORIENTED_EDGE('',*,*,#199509,.F.); #269609=ORIENTED_EDGE('',*,*,#199510,.F.); #269610=ORIENTED_EDGE('',*,*,#199511,.T.); #269611=ORIENTED_EDGE('',*,*,#199510,.T.); #269612=ORIENTED_EDGE('',*,*,#199512,.F.); #269613=ORIENTED_EDGE('',*,*,#199513,.F.); #269614=ORIENTED_EDGE('',*,*,#199514,.T.); #269615=ORIENTED_EDGE('',*,*,#199513,.T.); #269616=ORIENTED_EDGE('',*,*,#199515,.F.); #269617=ORIENTED_EDGE('',*,*,#199516,.F.); #269618=ORIENTED_EDGE('',*,*,#199517,.T.); #269619=ORIENTED_EDGE('',*,*,#199516,.T.); #269620=ORIENTED_EDGE('',*,*,#199518,.F.); #269621=ORIENTED_EDGE('',*,*,#199519,.F.); #269622=ORIENTED_EDGE('',*,*,#199520,.T.); #269623=ORIENTED_EDGE('',*,*,#199519,.T.); #269624=ORIENTED_EDGE('',*,*,#199521,.F.); #269625=ORIENTED_EDGE('',*,*,#199522,.F.); #269626=ORIENTED_EDGE('',*,*,#199523,.T.); #269627=ORIENTED_EDGE('',*,*,#199522,.T.); #269628=ORIENTED_EDGE('',*,*,#199524,.F.); #269629=ORIENTED_EDGE('',*,*,#199525,.F.); #269630=ORIENTED_EDGE('',*,*,#199526,.T.); #269631=ORIENTED_EDGE('',*,*,#199525,.T.); #269632=ORIENTED_EDGE('',*,*,#199527,.F.); #269633=ORIENTED_EDGE('',*,*,#199528,.F.); #269634=ORIENTED_EDGE('',*,*,#199529,.T.); #269635=ORIENTED_EDGE('',*,*,#199528,.T.); #269636=ORIENTED_EDGE('',*,*,#199530,.F.); #269637=ORIENTED_EDGE('',*,*,#199531,.F.); #269638=ORIENTED_EDGE('',*,*,#199532,.T.); #269639=ORIENTED_EDGE('',*,*,#199531,.T.); #269640=ORIENTED_EDGE('',*,*,#199533,.F.); #269641=ORIENTED_EDGE('',*,*,#199534,.F.); #269642=ORIENTED_EDGE('',*,*,#199535,.T.); #269643=ORIENTED_EDGE('',*,*,#199534,.T.); #269644=ORIENTED_EDGE('',*,*,#199536,.F.); #269645=ORIENTED_EDGE('',*,*,#199537,.F.); #269646=ORIENTED_EDGE('',*,*,#199538,.T.); #269647=ORIENTED_EDGE('',*,*,#199537,.T.); #269648=ORIENTED_EDGE('',*,*,#199539,.F.); #269649=ORIENTED_EDGE('',*,*,#199540,.F.); #269650=ORIENTED_EDGE('',*,*,#199541,.T.); #269651=ORIENTED_EDGE('',*,*,#199540,.T.); #269652=ORIENTED_EDGE('',*,*,#199542,.F.); #269653=ORIENTED_EDGE('',*,*,#199543,.F.); #269654=ORIENTED_EDGE('',*,*,#199544,.T.); #269655=ORIENTED_EDGE('',*,*,#199543,.T.); #269656=ORIENTED_EDGE('',*,*,#199545,.F.); #269657=ORIENTED_EDGE('',*,*,#199546,.F.); #269658=ORIENTED_EDGE('',*,*,#199547,.T.); #269659=ORIENTED_EDGE('',*,*,#199546,.T.); #269660=ORIENTED_EDGE('',*,*,#199548,.F.); #269661=ORIENTED_EDGE('',*,*,#199549,.F.); #269662=ORIENTED_EDGE('',*,*,#199550,.T.); #269663=ORIENTED_EDGE('',*,*,#199549,.T.); #269664=ORIENTED_EDGE('',*,*,#199551,.F.); #269665=ORIENTED_EDGE('',*,*,#199552,.F.); #269666=ORIENTED_EDGE('',*,*,#199553,.T.); #269667=ORIENTED_EDGE('',*,*,#199552,.T.); #269668=ORIENTED_EDGE('',*,*,#199554,.F.); #269669=ORIENTED_EDGE('',*,*,#199555,.F.); #269670=ORIENTED_EDGE('',*,*,#199556,.T.); #269671=ORIENTED_EDGE('',*,*,#199555,.T.); #269672=ORIENTED_EDGE('',*,*,#199557,.F.); #269673=ORIENTED_EDGE('',*,*,#199558,.F.); #269674=ORIENTED_EDGE('',*,*,#199559,.T.); #269675=ORIENTED_EDGE('',*,*,#199558,.T.); #269676=ORIENTED_EDGE('',*,*,#199560,.F.); #269677=ORIENTED_EDGE('',*,*,#199561,.F.); #269678=ORIENTED_EDGE('',*,*,#199562,.T.); #269679=ORIENTED_EDGE('',*,*,#199561,.T.); #269680=ORIENTED_EDGE('',*,*,#199563,.F.); #269681=ORIENTED_EDGE('',*,*,#199564,.F.); #269682=ORIENTED_EDGE('',*,*,#199565,.T.); #269683=ORIENTED_EDGE('',*,*,#199564,.T.); #269684=ORIENTED_EDGE('',*,*,#199566,.F.); #269685=ORIENTED_EDGE('',*,*,#199567,.F.); #269686=ORIENTED_EDGE('',*,*,#199568,.T.); #269687=ORIENTED_EDGE('',*,*,#199567,.T.); #269688=ORIENTED_EDGE('',*,*,#199569,.F.); #269689=ORIENTED_EDGE('',*,*,#199570,.F.); #269690=ORIENTED_EDGE('',*,*,#199571,.T.); #269691=ORIENTED_EDGE('',*,*,#199570,.T.); #269692=ORIENTED_EDGE('',*,*,#199572,.F.); #269693=ORIENTED_EDGE('',*,*,#199573,.F.); #269694=ORIENTED_EDGE('',*,*,#199574,.T.); #269695=ORIENTED_EDGE('',*,*,#199573,.T.); #269696=ORIENTED_EDGE('',*,*,#199575,.F.); #269697=ORIENTED_EDGE('',*,*,#199576,.F.); #269698=ORIENTED_EDGE('',*,*,#199577,.T.); #269699=ORIENTED_EDGE('',*,*,#199576,.T.); #269700=ORIENTED_EDGE('',*,*,#199578,.F.); #269701=ORIENTED_EDGE('',*,*,#199579,.F.); #269702=ORIENTED_EDGE('',*,*,#199580,.T.); #269703=ORIENTED_EDGE('',*,*,#199579,.T.); #269704=ORIENTED_EDGE('',*,*,#199581,.F.); #269705=ORIENTED_EDGE('',*,*,#199582,.F.); #269706=ORIENTED_EDGE('',*,*,#199583,.T.); #269707=ORIENTED_EDGE('',*,*,#199582,.T.); #269708=ORIENTED_EDGE('',*,*,#199584,.F.); #269709=ORIENTED_EDGE('',*,*,#199585,.F.); #269710=ORIENTED_EDGE('',*,*,#199586,.T.); #269711=ORIENTED_EDGE('',*,*,#199585,.T.); #269712=ORIENTED_EDGE('',*,*,#199587,.F.); #269713=ORIENTED_EDGE('',*,*,#199588,.F.); #269714=ORIENTED_EDGE('',*,*,#199589,.T.); #269715=ORIENTED_EDGE('',*,*,#199588,.T.); #269716=ORIENTED_EDGE('',*,*,#199590,.F.); #269717=ORIENTED_EDGE('',*,*,#199591,.F.); #269718=ORIENTED_EDGE('',*,*,#199592,.T.); #269719=ORIENTED_EDGE('',*,*,#199591,.T.); #269720=ORIENTED_EDGE('',*,*,#199593,.F.); #269721=ORIENTED_EDGE('',*,*,#199594,.F.); #269722=ORIENTED_EDGE('',*,*,#199595,.T.); #269723=ORIENTED_EDGE('',*,*,#199594,.T.); #269724=ORIENTED_EDGE('',*,*,#199596,.F.); #269725=ORIENTED_EDGE('',*,*,#199597,.F.); #269726=ORIENTED_EDGE('',*,*,#199598,.T.); #269727=ORIENTED_EDGE('',*,*,#199597,.T.); #269728=ORIENTED_EDGE('',*,*,#199599,.F.); #269729=ORIENTED_EDGE('',*,*,#199600,.F.); #269730=ORIENTED_EDGE('',*,*,#199601,.T.); #269731=ORIENTED_EDGE('',*,*,#199600,.T.); #269732=ORIENTED_EDGE('',*,*,#199602,.F.); #269733=ORIENTED_EDGE('',*,*,#199603,.F.); #269734=ORIENTED_EDGE('',*,*,#199604,.T.); #269735=ORIENTED_EDGE('',*,*,#199603,.T.); #269736=ORIENTED_EDGE('',*,*,#199605,.F.); #269737=ORIENTED_EDGE('',*,*,#199606,.F.); #269738=ORIENTED_EDGE('',*,*,#199607,.T.); #269739=ORIENTED_EDGE('',*,*,#199606,.T.); #269740=ORIENTED_EDGE('',*,*,#199608,.F.); #269741=ORIENTED_EDGE('',*,*,#199609,.F.); #269742=ORIENTED_EDGE('',*,*,#199610,.T.); #269743=ORIENTED_EDGE('',*,*,#199609,.T.); #269744=ORIENTED_EDGE('',*,*,#199611,.F.); #269745=ORIENTED_EDGE('',*,*,#199612,.F.); #269746=ORIENTED_EDGE('',*,*,#199613,.T.); #269747=ORIENTED_EDGE('',*,*,#199612,.T.); #269748=ORIENTED_EDGE('',*,*,#199614,.F.); #269749=ORIENTED_EDGE('',*,*,#199615,.F.); #269750=ORIENTED_EDGE('',*,*,#199616,.T.); #269751=ORIENTED_EDGE('',*,*,#199615,.T.); #269752=ORIENTED_EDGE('',*,*,#199617,.F.); #269753=ORIENTED_EDGE('',*,*,#199618,.F.); #269754=ORIENTED_EDGE('',*,*,#199619,.T.); #269755=ORIENTED_EDGE('',*,*,#199618,.T.); #269756=ORIENTED_EDGE('',*,*,#199620,.F.); #269757=ORIENTED_EDGE('',*,*,#199621,.F.); #269758=ORIENTED_EDGE('',*,*,#199622,.T.); #269759=ORIENTED_EDGE('',*,*,#199621,.T.); #269760=ORIENTED_EDGE('',*,*,#199623,.F.); #269761=ORIENTED_EDGE('',*,*,#199624,.F.); #269762=ORIENTED_EDGE('',*,*,#199625,.T.); #269763=ORIENTED_EDGE('',*,*,#199624,.T.); #269764=ORIENTED_EDGE('',*,*,#199626,.F.); #269765=ORIENTED_EDGE('',*,*,#199627,.F.); #269766=ORIENTED_EDGE('',*,*,#199628,.T.); #269767=ORIENTED_EDGE('',*,*,#199627,.T.); #269768=ORIENTED_EDGE('',*,*,#199629,.F.); #269769=ORIENTED_EDGE('',*,*,#199630,.F.); #269770=ORIENTED_EDGE('',*,*,#199631,.T.); #269771=ORIENTED_EDGE('',*,*,#199630,.T.); #269772=ORIENTED_EDGE('',*,*,#199632,.F.); #269773=ORIENTED_EDGE('',*,*,#199633,.F.); #269774=ORIENTED_EDGE('',*,*,#199634,.T.); #269775=ORIENTED_EDGE('',*,*,#199633,.T.); #269776=ORIENTED_EDGE('',*,*,#199635,.F.); #269777=ORIENTED_EDGE('',*,*,#199636,.F.); #269778=ORIENTED_EDGE('',*,*,#199637,.T.); #269779=ORIENTED_EDGE('',*,*,#199636,.T.); #269780=ORIENTED_EDGE('',*,*,#199638,.F.); #269781=ORIENTED_EDGE('',*,*,#199639,.F.); #269782=ORIENTED_EDGE('',*,*,#199640,.T.); #269783=ORIENTED_EDGE('',*,*,#199639,.T.); #269784=ORIENTED_EDGE('',*,*,#199641,.F.); #269785=ORIENTED_EDGE('',*,*,#199642,.F.); #269786=ORIENTED_EDGE('',*,*,#199643,.T.); #269787=ORIENTED_EDGE('',*,*,#199642,.T.); #269788=ORIENTED_EDGE('',*,*,#199644,.F.); #269789=ORIENTED_EDGE('',*,*,#199645,.F.); #269790=ORIENTED_EDGE('',*,*,#199646,.T.); #269791=ORIENTED_EDGE('',*,*,#199645,.T.); #269792=ORIENTED_EDGE('',*,*,#199647,.F.); #269793=ORIENTED_EDGE('',*,*,#199648,.F.); #269794=ORIENTED_EDGE('',*,*,#199649,.T.); #269795=ORIENTED_EDGE('',*,*,#199648,.T.); #269796=ORIENTED_EDGE('',*,*,#199650,.F.); #269797=ORIENTED_EDGE('',*,*,#199651,.F.); #269798=ORIENTED_EDGE('',*,*,#199652,.T.); #269799=ORIENTED_EDGE('',*,*,#199651,.T.); #269800=ORIENTED_EDGE('',*,*,#199653,.F.); #269801=ORIENTED_EDGE('',*,*,#199654,.F.); #269802=ORIENTED_EDGE('',*,*,#199655,.T.); #269803=ORIENTED_EDGE('',*,*,#199654,.T.); #269804=ORIENTED_EDGE('',*,*,#199656,.F.); #269805=ORIENTED_EDGE('',*,*,#199657,.F.); #269806=ORIENTED_EDGE('',*,*,#199658,.T.); #269807=ORIENTED_EDGE('',*,*,#199657,.T.); #269808=ORIENTED_EDGE('',*,*,#199659,.F.); #269809=ORIENTED_EDGE('',*,*,#199660,.F.); #269810=ORIENTED_EDGE('',*,*,#199661,.T.); #269811=ORIENTED_EDGE('',*,*,#199660,.T.); #269812=ORIENTED_EDGE('',*,*,#199662,.F.); #269813=ORIENTED_EDGE('',*,*,#199663,.F.); #269814=ORIENTED_EDGE('',*,*,#199664,.T.); #269815=ORIENTED_EDGE('',*,*,#199663,.T.); #269816=ORIENTED_EDGE('',*,*,#199665,.F.); #269817=ORIENTED_EDGE('',*,*,#199666,.F.); #269818=ORIENTED_EDGE('',*,*,#199667,.T.); #269819=ORIENTED_EDGE('',*,*,#199666,.T.); #269820=ORIENTED_EDGE('',*,*,#199668,.F.); #269821=ORIENTED_EDGE('',*,*,#199669,.F.); #269822=ORIENTED_EDGE('',*,*,#199670,.T.); #269823=ORIENTED_EDGE('',*,*,#199669,.T.); #269824=ORIENTED_EDGE('',*,*,#199671,.F.); #269825=ORIENTED_EDGE('',*,*,#199672,.F.); #269826=ORIENTED_EDGE('',*,*,#199673,.T.); #269827=ORIENTED_EDGE('',*,*,#199672,.T.); #269828=ORIENTED_EDGE('',*,*,#199674,.F.); #269829=ORIENTED_EDGE('',*,*,#199675,.F.); #269830=ORIENTED_EDGE('',*,*,#199676,.T.); #269831=ORIENTED_EDGE('',*,*,#199675,.T.); #269832=ORIENTED_EDGE('',*,*,#199677,.F.); #269833=ORIENTED_EDGE('',*,*,#199678,.F.); #269834=ORIENTED_EDGE('',*,*,#199679,.T.); #269835=ORIENTED_EDGE('',*,*,#199678,.T.); #269836=ORIENTED_EDGE('',*,*,#199680,.F.); #269837=ORIENTED_EDGE('',*,*,#199681,.F.); #269838=ORIENTED_EDGE('',*,*,#199682,.T.); #269839=ORIENTED_EDGE('',*,*,#199681,.T.); #269840=ORIENTED_EDGE('',*,*,#199683,.F.); #269841=ORIENTED_EDGE('',*,*,#199205,.F.); #269842=ORIENTED_EDGE('',*,*,#199683,.T.); #269843=ORIENTED_EDGE('',*,*,#199680,.T.); #269844=ORIENTED_EDGE('',*,*,#199677,.T.); #269845=ORIENTED_EDGE('',*,*,#199674,.T.); #269846=ORIENTED_EDGE('',*,*,#199671,.T.); #269847=ORIENTED_EDGE('',*,*,#199668,.T.); #269848=ORIENTED_EDGE('',*,*,#199665,.T.); #269849=ORIENTED_EDGE('',*,*,#199662,.T.); #269850=ORIENTED_EDGE('',*,*,#199659,.T.); #269851=ORIENTED_EDGE('',*,*,#199656,.T.); #269852=ORIENTED_EDGE('',*,*,#199653,.T.); #269853=ORIENTED_EDGE('',*,*,#199650,.T.); #269854=ORIENTED_EDGE('',*,*,#199647,.T.); #269855=ORIENTED_EDGE('',*,*,#199644,.T.); #269856=ORIENTED_EDGE('',*,*,#199641,.T.); #269857=ORIENTED_EDGE('',*,*,#199638,.T.); #269858=ORIENTED_EDGE('',*,*,#199635,.T.); #269859=ORIENTED_EDGE('',*,*,#199632,.T.); #269860=ORIENTED_EDGE('',*,*,#199629,.T.); #269861=ORIENTED_EDGE('',*,*,#199626,.T.); #269862=ORIENTED_EDGE('',*,*,#199623,.T.); #269863=ORIENTED_EDGE('',*,*,#199620,.T.); #269864=ORIENTED_EDGE('',*,*,#199617,.T.); #269865=ORIENTED_EDGE('',*,*,#199614,.T.); #269866=ORIENTED_EDGE('',*,*,#199611,.T.); #269867=ORIENTED_EDGE('',*,*,#199608,.T.); #269868=ORIENTED_EDGE('',*,*,#199605,.T.); #269869=ORIENTED_EDGE('',*,*,#199602,.T.); #269870=ORIENTED_EDGE('',*,*,#199599,.T.); #269871=ORIENTED_EDGE('',*,*,#199596,.T.); #269872=ORIENTED_EDGE('',*,*,#199593,.T.); #269873=ORIENTED_EDGE('',*,*,#199590,.T.); #269874=ORIENTED_EDGE('',*,*,#199587,.T.); #269875=ORIENTED_EDGE('',*,*,#199584,.T.); #269876=ORIENTED_EDGE('',*,*,#199581,.T.); #269877=ORIENTED_EDGE('',*,*,#199578,.T.); #269878=ORIENTED_EDGE('',*,*,#199575,.T.); #269879=ORIENTED_EDGE('',*,*,#199572,.T.); #269880=ORIENTED_EDGE('',*,*,#199569,.T.); #269881=ORIENTED_EDGE('',*,*,#199566,.T.); #269882=ORIENTED_EDGE('',*,*,#199563,.T.); #269883=ORIENTED_EDGE('',*,*,#199560,.T.); #269884=ORIENTED_EDGE('',*,*,#199557,.T.); #269885=ORIENTED_EDGE('',*,*,#199554,.T.); #269886=ORIENTED_EDGE('',*,*,#199551,.T.); #269887=ORIENTED_EDGE('',*,*,#199548,.T.); #269888=ORIENTED_EDGE('',*,*,#199545,.T.); #269889=ORIENTED_EDGE('',*,*,#199542,.T.); #269890=ORIENTED_EDGE('',*,*,#199539,.T.); #269891=ORIENTED_EDGE('',*,*,#199536,.T.); #269892=ORIENTED_EDGE('',*,*,#199533,.T.); #269893=ORIENTED_EDGE('',*,*,#199530,.T.); #269894=ORIENTED_EDGE('',*,*,#199527,.T.); #269895=ORIENTED_EDGE('',*,*,#199524,.T.); #269896=ORIENTED_EDGE('',*,*,#199521,.T.); #269897=ORIENTED_EDGE('',*,*,#199518,.T.); #269898=ORIENTED_EDGE('',*,*,#199515,.T.); #269899=ORIENTED_EDGE('',*,*,#199512,.T.); #269900=ORIENTED_EDGE('',*,*,#199509,.T.); #269901=ORIENTED_EDGE('',*,*,#199506,.T.); #269902=ORIENTED_EDGE('',*,*,#199503,.T.); #269903=ORIENTED_EDGE('',*,*,#199500,.T.); #269904=ORIENTED_EDGE('',*,*,#199497,.T.); #269905=ORIENTED_EDGE('',*,*,#199494,.T.); #269906=ORIENTED_EDGE('',*,*,#199491,.T.); #269907=ORIENTED_EDGE('',*,*,#199488,.T.); #269908=ORIENTED_EDGE('',*,*,#199485,.T.); #269909=ORIENTED_EDGE('',*,*,#199482,.T.); #269910=ORIENTED_EDGE('',*,*,#199479,.T.); #269911=ORIENTED_EDGE('',*,*,#199476,.T.); #269912=ORIENTED_EDGE('',*,*,#199473,.T.); #269913=ORIENTED_EDGE('',*,*,#199470,.T.); #269914=ORIENTED_EDGE('',*,*,#199467,.T.); #269915=ORIENTED_EDGE('',*,*,#199464,.T.); #269916=ORIENTED_EDGE('',*,*,#199461,.T.); #269917=ORIENTED_EDGE('',*,*,#199458,.T.); #269918=ORIENTED_EDGE('',*,*,#199455,.T.); #269919=ORIENTED_EDGE('',*,*,#199452,.T.); #269920=ORIENTED_EDGE('',*,*,#199449,.T.); #269921=ORIENTED_EDGE('',*,*,#199446,.T.); #269922=ORIENTED_EDGE('',*,*,#199443,.T.); #269923=ORIENTED_EDGE('',*,*,#199440,.T.); #269924=ORIENTED_EDGE('',*,*,#199437,.T.); #269925=ORIENTED_EDGE('',*,*,#199434,.T.); #269926=ORIENTED_EDGE('',*,*,#199431,.T.); #269927=ORIENTED_EDGE('',*,*,#199428,.T.); #269928=ORIENTED_EDGE('',*,*,#199425,.T.); #269929=ORIENTED_EDGE('',*,*,#199422,.T.); #269930=ORIENTED_EDGE('',*,*,#199419,.T.); #269931=ORIENTED_EDGE('',*,*,#199416,.T.); #269932=ORIENTED_EDGE('',*,*,#199413,.T.); #269933=ORIENTED_EDGE('',*,*,#199410,.T.); #269934=ORIENTED_EDGE('',*,*,#199407,.T.); #269935=ORIENTED_EDGE('',*,*,#199404,.T.); #269936=ORIENTED_EDGE('',*,*,#199401,.T.); #269937=ORIENTED_EDGE('',*,*,#199398,.T.); #269938=ORIENTED_EDGE('',*,*,#199395,.T.); #269939=ORIENTED_EDGE('',*,*,#199392,.T.); #269940=ORIENTED_EDGE('',*,*,#199389,.T.); #269941=ORIENTED_EDGE('',*,*,#199386,.T.); #269942=ORIENTED_EDGE('',*,*,#199383,.T.); #269943=ORIENTED_EDGE('',*,*,#199380,.T.); #269944=ORIENTED_EDGE('',*,*,#199377,.T.); #269945=ORIENTED_EDGE('',*,*,#199374,.T.); #269946=ORIENTED_EDGE('',*,*,#199371,.T.); #269947=ORIENTED_EDGE('',*,*,#199368,.T.); #269948=ORIENTED_EDGE('',*,*,#199365,.T.); #269949=ORIENTED_EDGE('',*,*,#199362,.T.); #269950=ORIENTED_EDGE('',*,*,#199359,.T.); #269951=ORIENTED_EDGE('',*,*,#199356,.T.); #269952=ORIENTED_EDGE('',*,*,#199353,.T.); #269953=ORIENTED_EDGE('',*,*,#199350,.T.); #269954=ORIENTED_EDGE('',*,*,#199347,.T.); #269955=ORIENTED_EDGE('',*,*,#199344,.T.); #269956=ORIENTED_EDGE('',*,*,#199341,.T.); #269957=ORIENTED_EDGE('',*,*,#199338,.T.); #269958=ORIENTED_EDGE('',*,*,#199335,.T.); #269959=ORIENTED_EDGE('',*,*,#199332,.T.); #269960=ORIENTED_EDGE('',*,*,#199329,.T.); #269961=ORIENTED_EDGE('',*,*,#199326,.T.); #269962=ORIENTED_EDGE('',*,*,#199323,.T.); #269963=ORIENTED_EDGE('',*,*,#199320,.T.); #269964=ORIENTED_EDGE('',*,*,#199317,.T.); #269965=ORIENTED_EDGE('',*,*,#199314,.T.); #269966=ORIENTED_EDGE('',*,*,#199311,.T.); #269967=ORIENTED_EDGE('',*,*,#199308,.T.); #269968=ORIENTED_EDGE('',*,*,#199305,.T.); #269969=ORIENTED_EDGE('',*,*,#199302,.T.); #269970=ORIENTED_EDGE('',*,*,#199299,.T.); #269971=ORIENTED_EDGE('',*,*,#199296,.T.); #269972=ORIENTED_EDGE('',*,*,#199293,.T.); #269973=ORIENTED_EDGE('',*,*,#199290,.T.); #269974=ORIENTED_EDGE('',*,*,#199287,.T.); #269975=ORIENTED_EDGE('',*,*,#199284,.T.); #269976=ORIENTED_EDGE('',*,*,#199281,.T.); #269977=ORIENTED_EDGE('',*,*,#199278,.T.); #269978=ORIENTED_EDGE('',*,*,#199275,.T.); #269979=ORIENTED_EDGE('',*,*,#199272,.T.); #269980=ORIENTED_EDGE('',*,*,#199269,.T.); #269981=ORIENTED_EDGE('',*,*,#199266,.T.); #269982=ORIENTED_EDGE('',*,*,#199263,.T.); #269983=ORIENTED_EDGE('',*,*,#199260,.T.); #269984=ORIENTED_EDGE('',*,*,#199257,.T.); #269985=ORIENTED_EDGE('',*,*,#199254,.T.); #269986=ORIENTED_EDGE('',*,*,#199251,.T.); #269987=ORIENTED_EDGE('',*,*,#199248,.T.); #269988=ORIENTED_EDGE('',*,*,#199245,.T.); #269989=ORIENTED_EDGE('',*,*,#199242,.T.); #269990=ORIENTED_EDGE('',*,*,#199239,.T.); #269991=ORIENTED_EDGE('',*,*,#199236,.T.); #269992=ORIENTED_EDGE('',*,*,#199233,.T.); #269993=ORIENTED_EDGE('',*,*,#199230,.T.); #269994=ORIENTED_EDGE('',*,*,#199227,.T.); #269995=ORIENTED_EDGE('',*,*,#199224,.T.); #269996=ORIENTED_EDGE('',*,*,#199221,.T.); #269997=ORIENTED_EDGE('',*,*,#199218,.T.); #269998=ORIENTED_EDGE('',*,*,#199215,.T.); #269999=ORIENTED_EDGE('',*,*,#199212,.T.); #270000=ORIENTED_EDGE('',*,*,#199209,.T.); #270001=ORIENTED_EDGE('',*,*,#199206,.T.); #270002=ORIENTED_EDGE('',*,*,#198906,.T.); #270003=ORIENTED_EDGE('',*,*,#198909,.T.); #270004=ORIENTED_EDGE('',*,*,#198912,.T.); #270005=ORIENTED_EDGE('',*,*,#198915,.T.); #270006=ORIENTED_EDGE('',*,*,#198918,.T.); #270007=ORIENTED_EDGE('',*,*,#198921,.T.); #270008=ORIENTED_EDGE('',*,*,#198924,.T.); #270009=ORIENTED_EDGE('',*,*,#198927,.T.); #270010=ORIENTED_EDGE('',*,*,#198930,.T.); #270011=ORIENTED_EDGE('',*,*,#198933,.T.); #270012=ORIENTED_EDGE('',*,*,#198936,.T.); #270013=ORIENTED_EDGE('',*,*,#198939,.T.); #270014=ORIENTED_EDGE('',*,*,#199203,.T.); #270015=ORIENTED_EDGE('',*,*,#199200,.T.); #270016=ORIENTED_EDGE('',*,*,#199197,.T.); #270017=ORIENTED_EDGE('',*,*,#199194,.T.); #270018=ORIENTED_EDGE('',*,*,#199191,.T.); #270019=ORIENTED_EDGE('',*,*,#199188,.T.); #270020=ORIENTED_EDGE('',*,*,#199185,.T.); #270021=ORIENTED_EDGE('',*,*,#199182,.T.); #270022=ORIENTED_EDGE('',*,*,#199179,.T.); #270023=ORIENTED_EDGE('',*,*,#199176,.T.); #270024=ORIENTED_EDGE('',*,*,#199173,.T.); #270025=ORIENTED_EDGE('',*,*,#199170,.T.); #270026=ORIENTED_EDGE('',*,*,#199167,.T.); #270027=ORIENTED_EDGE('',*,*,#199164,.T.); #270028=ORIENTED_EDGE('',*,*,#199161,.T.); #270029=ORIENTED_EDGE('',*,*,#199158,.T.); #270030=ORIENTED_EDGE('',*,*,#199155,.T.); #270031=ORIENTED_EDGE('',*,*,#199152,.T.); #270032=ORIENTED_EDGE('',*,*,#199149,.T.); #270033=ORIENTED_EDGE('',*,*,#199146,.T.); #270034=ORIENTED_EDGE('',*,*,#199143,.T.); #270035=ORIENTED_EDGE('',*,*,#199140,.T.); #270036=ORIENTED_EDGE('',*,*,#199137,.T.); #270037=ORIENTED_EDGE('',*,*,#199134,.T.); #270038=ORIENTED_EDGE('',*,*,#199131,.T.); #270039=ORIENTED_EDGE('',*,*,#199128,.T.); #270040=ORIENTED_EDGE('',*,*,#199125,.T.); #270041=ORIENTED_EDGE('',*,*,#199122,.T.); #270042=ORIENTED_EDGE('',*,*,#199119,.T.); #270043=ORIENTED_EDGE('',*,*,#199116,.T.); #270044=ORIENTED_EDGE('',*,*,#199113,.T.); #270045=ORIENTED_EDGE('',*,*,#199110,.T.); #270046=ORIENTED_EDGE('',*,*,#199107,.T.); #270047=ORIENTED_EDGE('',*,*,#199104,.T.); #270048=ORIENTED_EDGE('',*,*,#199101,.T.); #270049=ORIENTED_EDGE('',*,*,#199098,.T.); #270050=ORIENTED_EDGE('',*,*,#199095,.T.); #270051=ORIENTED_EDGE('',*,*,#199092,.T.); #270052=ORIENTED_EDGE('',*,*,#199089,.T.); #270053=ORIENTED_EDGE('',*,*,#199086,.T.); #270054=ORIENTED_EDGE('',*,*,#199083,.T.); #270055=ORIENTED_EDGE('',*,*,#199080,.T.); #270056=ORIENTED_EDGE('',*,*,#199077,.T.); #270057=ORIENTED_EDGE('',*,*,#199074,.T.); #270058=ORIENTED_EDGE('',*,*,#199071,.T.); #270059=ORIENTED_EDGE('',*,*,#199068,.T.); #270060=ORIENTED_EDGE('',*,*,#199065,.T.); #270061=ORIENTED_EDGE('',*,*,#199062,.T.); #270062=ORIENTED_EDGE('',*,*,#199059,.T.); #270063=ORIENTED_EDGE('',*,*,#199056,.T.); #270064=ORIENTED_EDGE('',*,*,#199053,.T.); #270065=ORIENTED_EDGE('',*,*,#199050,.T.); #270066=ORIENTED_EDGE('',*,*,#199047,.T.); #270067=ORIENTED_EDGE('',*,*,#199044,.T.); #270068=ORIENTED_EDGE('',*,*,#199041,.T.); #270069=ORIENTED_EDGE('',*,*,#199038,.T.); #270070=ORIENTED_EDGE('',*,*,#199035,.T.); #270071=ORIENTED_EDGE('',*,*,#199032,.T.); #270072=ORIENTED_EDGE('',*,*,#199029,.T.); #270073=ORIENTED_EDGE('',*,*,#199026,.T.); #270074=ORIENTED_EDGE('',*,*,#199023,.T.); #270075=ORIENTED_EDGE('',*,*,#199020,.T.); #270076=ORIENTED_EDGE('',*,*,#199017,.T.); #270077=ORIENTED_EDGE('',*,*,#199014,.T.); #270078=ORIENTED_EDGE('',*,*,#199011,.T.); #270079=ORIENTED_EDGE('',*,*,#199008,.T.); #270080=ORIENTED_EDGE('',*,*,#199005,.T.); #270081=ORIENTED_EDGE('',*,*,#199002,.T.); #270082=ORIENTED_EDGE('',*,*,#198999,.T.); #270083=ORIENTED_EDGE('',*,*,#198996,.T.); #270084=ORIENTED_EDGE('',*,*,#198993,.T.); #270085=ORIENTED_EDGE('',*,*,#198990,.T.); #270086=ORIENTED_EDGE('',*,*,#198987,.T.); #270087=ORIENTED_EDGE('',*,*,#198984,.T.); #270088=ORIENTED_EDGE('',*,*,#198981,.T.); #270089=ORIENTED_EDGE('',*,*,#198978,.T.); #270090=ORIENTED_EDGE('',*,*,#198975,.T.); #270091=ORIENTED_EDGE('',*,*,#198972,.T.); #270092=ORIENTED_EDGE('',*,*,#198969,.T.); #270093=ORIENTED_EDGE('',*,*,#198966,.T.); #270094=ORIENTED_EDGE('',*,*,#198963,.T.); #270095=ORIENTED_EDGE('',*,*,#198960,.T.); #270096=ORIENTED_EDGE('',*,*,#198957,.T.); #270097=ORIENTED_EDGE('',*,*,#198954,.T.); #270098=ORIENTED_EDGE('',*,*,#198951,.T.); #270099=ORIENTED_EDGE('',*,*,#198948,.T.); #270100=ORIENTED_EDGE('',*,*,#198945,.T.); #270101=ORIENTED_EDGE('',*,*,#198942,.T.); #270102=ORIENTED_EDGE('',*,*,#199682,.F.); #270103=ORIENTED_EDGE('',*,*,#199204,.F.); #270104=ORIENTED_EDGE('',*,*,#199208,.F.); #270105=ORIENTED_EDGE('',*,*,#199211,.F.); #270106=ORIENTED_EDGE('',*,*,#199214,.F.); #270107=ORIENTED_EDGE('',*,*,#199217,.F.); #270108=ORIENTED_EDGE('',*,*,#199220,.F.); #270109=ORIENTED_EDGE('',*,*,#199223,.F.); #270110=ORIENTED_EDGE('',*,*,#199226,.F.); #270111=ORIENTED_EDGE('',*,*,#199229,.F.); #270112=ORIENTED_EDGE('',*,*,#199232,.F.); #270113=ORIENTED_EDGE('',*,*,#199235,.F.); #270114=ORIENTED_EDGE('',*,*,#199238,.F.); #270115=ORIENTED_EDGE('',*,*,#199241,.F.); #270116=ORIENTED_EDGE('',*,*,#199244,.F.); #270117=ORIENTED_EDGE('',*,*,#199247,.F.); #270118=ORIENTED_EDGE('',*,*,#199250,.F.); #270119=ORIENTED_EDGE('',*,*,#199253,.F.); #270120=ORIENTED_EDGE('',*,*,#199256,.F.); #270121=ORIENTED_EDGE('',*,*,#199259,.F.); #270122=ORIENTED_EDGE('',*,*,#199262,.F.); #270123=ORIENTED_EDGE('',*,*,#199265,.F.); #270124=ORIENTED_EDGE('',*,*,#199268,.F.); #270125=ORIENTED_EDGE('',*,*,#199271,.F.); #270126=ORIENTED_EDGE('',*,*,#199274,.F.); #270127=ORIENTED_EDGE('',*,*,#199277,.F.); #270128=ORIENTED_EDGE('',*,*,#199280,.F.); #270129=ORIENTED_EDGE('',*,*,#199283,.F.); #270130=ORIENTED_EDGE('',*,*,#199286,.F.); #270131=ORIENTED_EDGE('',*,*,#199289,.F.); #270132=ORIENTED_EDGE('',*,*,#199292,.F.); #270133=ORIENTED_EDGE('',*,*,#199295,.F.); #270134=ORIENTED_EDGE('',*,*,#199298,.F.); #270135=ORIENTED_EDGE('',*,*,#199301,.F.); #270136=ORIENTED_EDGE('',*,*,#199304,.F.); #270137=ORIENTED_EDGE('',*,*,#199307,.F.); #270138=ORIENTED_EDGE('',*,*,#199310,.F.); #270139=ORIENTED_EDGE('',*,*,#199313,.F.); #270140=ORIENTED_EDGE('',*,*,#199316,.F.); #270141=ORIENTED_EDGE('',*,*,#199319,.F.); #270142=ORIENTED_EDGE('',*,*,#199322,.F.); #270143=ORIENTED_EDGE('',*,*,#199325,.F.); #270144=ORIENTED_EDGE('',*,*,#199328,.F.); #270145=ORIENTED_EDGE('',*,*,#199331,.F.); #270146=ORIENTED_EDGE('',*,*,#199334,.F.); #270147=ORIENTED_EDGE('',*,*,#199337,.F.); #270148=ORIENTED_EDGE('',*,*,#199340,.F.); #270149=ORIENTED_EDGE('',*,*,#199343,.F.); #270150=ORIENTED_EDGE('',*,*,#199346,.F.); #270151=ORIENTED_EDGE('',*,*,#199349,.F.); #270152=ORIENTED_EDGE('',*,*,#199352,.F.); #270153=ORIENTED_EDGE('',*,*,#199355,.F.); #270154=ORIENTED_EDGE('',*,*,#199358,.F.); #270155=ORIENTED_EDGE('',*,*,#199361,.F.); #270156=ORIENTED_EDGE('',*,*,#199364,.F.); #270157=ORIENTED_EDGE('',*,*,#199367,.F.); #270158=ORIENTED_EDGE('',*,*,#199370,.F.); #270159=ORIENTED_EDGE('',*,*,#199373,.F.); #270160=ORIENTED_EDGE('',*,*,#199376,.F.); #270161=ORIENTED_EDGE('',*,*,#199379,.F.); #270162=ORIENTED_EDGE('',*,*,#199382,.F.); #270163=ORIENTED_EDGE('',*,*,#199385,.F.); #270164=ORIENTED_EDGE('',*,*,#199388,.F.); #270165=ORIENTED_EDGE('',*,*,#199391,.F.); #270166=ORIENTED_EDGE('',*,*,#199394,.F.); #270167=ORIENTED_EDGE('',*,*,#199397,.F.); #270168=ORIENTED_EDGE('',*,*,#199400,.F.); #270169=ORIENTED_EDGE('',*,*,#199403,.F.); #270170=ORIENTED_EDGE('',*,*,#199406,.F.); #270171=ORIENTED_EDGE('',*,*,#199409,.F.); #270172=ORIENTED_EDGE('',*,*,#199412,.F.); #270173=ORIENTED_EDGE('',*,*,#199415,.F.); #270174=ORIENTED_EDGE('',*,*,#199418,.F.); #270175=ORIENTED_EDGE('',*,*,#199421,.F.); #270176=ORIENTED_EDGE('',*,*,#199424,.F.); #270177=ORIENTED_EDGE('',*,*,#199427,.F.); #270178=ORIENTED_EDGE('',*,*,#199430,.F.); #270179=ORIENTED_EDGE('',*,*,#199433,.F.); #270180=ORIENTED_EDGE('',*,*,#199436,.F.); #270181=ORIENTED_EDGE('',*,*,#199439,.F.); #270182=ORIENTED_EDGE('',*,*,#199442,.F.); #270183=ORIENTED_EDGE('',*,*,#199445,.F.); #270184=ORIENTED_EDGE('',*,*,#199448,.F.); #270185=ORIENTED_EDGE('',*,*,#199451,.F.); #270186=ORIENTED_EDGE('',*,*,#199454,.F.); #270187=ORIENTED_EDGE('',*,*,#199457,.F.); #270188=ORIENTED_EDGE('',*,*,#199460,.F.); #270189=ORIENTED_EDGE('',*,*,#199463,.F.); #270190=ORIENTED_EDGE('',*,*,#199466,.F.); #270191=ORIENTED_EDGE('',*,*,#199469,.F.); #270192=ORIENTED_EDGE('',*,*,#199472,.F.); #270193=ORIENTED_EDGE('',*,*,#199475,.F.); #270194=ORIENTED_EDGE('',*,*,#199478,.F.); #270195=ORIENTED_EDGE('',*,*,#199481,.F.); #270196=ORIENTED_EDGE('',*,*,#199484,.F.); #270197=ORIENTED_EDGE('',*,*,#199487,.F.); #270198=ORIENTED_EDGE('',*,*,#199490,.F.); #270199=ORIENTED_EDGE('',*,*,#199493,.F.); #270200=ORIENTED_EDGE('',*,*,#199496,.F.); #270201=ORIENTED_EDGE('',*,*,#199499,.F.); #270202=ORIENTED_EDGE('',*,*,#199502,.F.); #270203=ORIENTED_EDGE('',*,*,#199505,.F.); #270204=ORIENTED_EDGE('',*,*,#199508,.F.); #270205=ORIENTED_EDGE('',*,*,#199511,.F.); #270206=ORIENTED_EDGE('',*,*,#199514,.F.); #270207=ORIENTED_EDGE('',*,*,#199517,.F.); #270208=ORIENTED_EDGE('',*,*,#199520,.F.); #270209=ORIENTED_EDGE('',*,*,#199523,.F.); #270210=ORIENTED_EDGE('',*,*,#199526,.F.); #270211=ORIENTED_EDGE('',*,*,#199529,.F.); #270212=ORIENTED_EDGE('',*,*,#199532,.F.); #270213=ORIENTED_EDGE('',*,*,#199535,.F.); #270214=ORIENTED_EDGE('',*,*,#199538,.F.); #270215=ORIENTED_EDGE('',*,*,#199541,.F.); #270216=ORIENTED_EDGE('',*,*,#199544,.F.); #270217=ORIENTED_EDGE('',*,*,#199547,.F.); #270218=ORIENTED_EDGE('',*,*,#199550,.F.); #270219=ORIENTED_EDGE('',*,*,#199553,.F.); #270220=ORIENTED_EDGE('',*,*,#199556,.F.); #270221=ORIENTED_EDGE('',*,*,#199559,.F.); #270222=ORIENTED_EDGE('',*,*,#199562,.F.); #270223=ORIENTED_EDGE('',*,*,#199565,.F.); #270224=ORIENTED_EDGE('',*,*,#199568,.F.); #270225=ORIENTED_EDGE('',*,*,#199571,.F.); #270226=ORIENTED_EDGE('',*,*,#199574,.F.); #270227=ORIENTED_EDGE('',*,*,#199577,.F.); #270228=ORIENTED_EDGE('',*,*,#199580,.F.); #270229=ORIENTED_EDGE('',*,*,#199583,.F.); #270230=ORIENTED_EDGE('',*,*,#199586,.F.); #270231=ORIENTED_EDGE('',*,*,#199589,.F.); #270232=ORIENTED_EDGE('',*,*,#199592,.F.); #270233=ORIENTED_EDGE('',*,*,#199595,.F.); #270234=ORIENTED_EDGE('',*,*,#199598,.F.); #270235=ORIENTED_EDGE('',*,*,#199601,.F.); #270236=ORIENTED_EDGE('',*,*,#199604,.F.); #270237=ORIENTED_EDGE('',*,*,#199607,.F.); #270238=ORIENTED_EDGE('',*,*,#199610,.F.); #270239=ORIENTED_EDGE('',*,*,#199613,.F.); #270240=ORIENTED_EDGE('',*,*,#199616,.F.); #270241=ORIENTED_EDGE('',*,*,#199619,.F.); #270242=ORIENTED_EDGE('',*,*,#199622,.F.); #270243=ORIENTED_EDGE('',*,*,#199625,.F.); #270244=ORIENTED_EDGE('',*,*,#199628,.F.); #270245=ORIENTED_EDGE('',*,*,#199631,.F.); #270246=ORIENTED_EDGE('',*,*,#199634,.F.); #270247=ORIENTED_EDGE('',*,*,#199637,.F.); #270248=ORIENTED_EDGE('',*,*,#199640,.F.); #270249=ORIENTED_EDGE('',*,*,#199643,.F.); #270250=ORIENTED_EDGE('',*,*,#199646,.F.); #270251=ORIENTED_EDGE('',*,*,#199649,.F.); #270252=ORIENTED_EDGE('',*,*,#199652,.F.); #270253=ORIENTED_EDGE('',*,*,#199655,.F.); #270254=ORIENTED_EDGE('',*,*,#199658,.F.); #270255=ORIENTED_EDGE('',*,*,#199661,.F.); #270256=ORIENTED_EDGE('',*,*,#199664,.F.); #270257=ORIENTED_EDGE('',*,*,#199667,.F.); #270258=ORIENTED_EDGE('',*,*,#199670,.F.); #270259=ORIENTED_EDGE('',*,*,#199673,.F.); #270260=ORIENTED_EDGE('',*,*,#199676,.F.); #270261=ORIENTED_EDGE('',*,*,#199679,.F.); #270262=ORIENTED_EDGE('',*,*,#198904,.T.); #270263=ORIENTED_EDGE('',*,*,#198907,.T.); #270264=ORIENTED_EDGE('',*,*,#198910,.T.); #270265=ORIENTED_EDGE('',*,*,#198913,.T.); #270266=ORIENTED_EDGE('',*,*,#198916,.T.); #270267=ORIENTED_EDGE('',*,*,#198919,.T.); #270268=ORIENTED_EDGE('',*,*,#198922,.T.); #270269=ORIENTED_EDGE('',*,*,#198925,.T.); #270270=ORIENTED_EDGE('',*,*,#198928,.T.); #270271=ORIENTED_EDGE('',*,*,#198931,.T.); #270272=ORIENTED_EDGE('',*,*,#198934,.T.); #270273=ORIENTED_EDGE('',*,*,#198937,.T.); #270274=ORIENTED_EDGE('',*,*,#199202,.F.); #270275=ORIENTED_EDGE('',*,*,#199132,.F.); #270276=ORIENTED_EDGE('',*,*,#199136,.F.); #270277=ORIENTED_EDGE('',*,*,#199139,.F.); #270278=ORIENTED_EDGE('',*,*,#199142,.F.); #270279=ORIENTED_EDGE('',*,*,#199145,.F.); #270280=ORIENTED_EDGE('',*,*,#199148,.F.); #270281=ORIENTED_EDGE('',*,*,#199151,.F.); #270282=ORIENTED_EDGE('',*,*,#199154,.F.); #270283=ORIENTED_EDGE('',*,*,#199157,.F.); #270284=ORIENTED_EDGE('',*,*,#199160,.F.); #270285=ORIENTED_EDGE('',*,*,#199163,.F.); #270286=ORIENTED_EDGE('',*,*,#199166,.F.); #270287=ORIENTED_EDGE('',*,*,#199169,.F.); #270288=ORIENTED_EDGE('',*,*,#199172,.F.); #270289=ORIENTED_EDGE('',*,*,#199175,.F.); #270290=ORIENTED_EDGE('',*,*,#199178,.F.); #270291=ORIENTED_EDGE('',*,*,#199181,.F.); #270292=ORIENTED_EDGE('',*,*,#199184,.F.); #270293=ORIENTED_EDGE('',*,*,#199187,.F.); #270294=ORIENTED_EDGE('',*,*,#199190,.F.); #270295=ORIENTED_EDGE('',*,*,#199193,.F.); #270296=ORIENTED_EDGE('',*,*,#199196,.F.); #270297=ORIENTED_EDGE('',*,*,#199199,.F.); #270298=ORIENTED_EDGE('',*,*,#199130,.F.); #270299=ORIENTED_EDGE('',*,*,#199060,.F.); #270300=ORIENTED_EDGE('',*,*,#199064,.F.); #270301=ORIENTED_EDGE('',*,*,#199067,.F.); #270302=ORIENTED_EDGE('',*,*,#199070,.F.); #270303=ORIENTED_EDGE('',*,*,#199073,.F.); #270304=ORIENTED_EDGE('',*,*,#199076,.F.); #270305=ORIENTED_EDGE('',*,*,#199079,.F.); #270306=ORIENTED_EDGE('',*,*,#199082,.F.); #270307=ORIENTED_EDGE('',*,*,#199085,.F.); #270308=ORIENTED_EDGE('',*,*,#199088,.F.); #270309=ORIENTED_EDGE('',*,*,#199091,.F.); #270310=ORIENTED_EDGE('',*,*,#199094,.F.); #270311=ORIENTED_EDGE('',*,*,#199097,.F.); #270312=ORIENTED_EDGE('',*,*,#199100,.F.); #270313=ORIENTED_EDGE('',*,*,#199103,.F.); #270314=ORIENTED_EDGE('',*,*,#199106,.F.); #270315=ORIENTED_EDGE('',*,*,#199109,.F.); #270316=ORIENTED_EDGE('',*,*,#199112,.F.); #270317=ORIENTED_EDGE('',*,*,#199115,.F.); #270318=ORIENTED_EDGE('',*,*,#199118,.F.); #270319=ORIENTED_EDGE('',*,*,#199121,.F.); #270320=ORIENTED_EDGE('',*,*,#199124,.F.); #270321=ORIENTED_EDGE('',*,*,#199127,.F.); #270322=ORIENTED_EDGE('',*,*,#199058,.F.); #270323=ORIENTED_EDGE('',*,*,#199036,.F.); #270324=ORIENTED_EDGE('',*,*,#199040,.F.); #270325=ORIENTED_EDGE('',*,*,#199043,.F.); #270326=ORIENTED_EDGE('',*,*,#199046,.F.); #270327=ORIENTED_EDGE('',*,*,#199049,.F.); #270328=ORIENTED_EDGE('',*,*,#199052,.F.); #270329=ORIENTED_EDGE('',*,*,#199055,.F.); #270330=ORIENTED_EDGE('',*,*,#199034,.F.); #270331=ORIENTED_EDGE('',*,*,#198988,.F.); #270332=ORIENTED_EDGE('',*,*,#198992,.F.); #270333=ORIENTED_EDGE('',*,*,#198995,.F.); #270334=ORIENTED_EDGE('',*,*,#198998,.F.); #270335=ORIENTED_EDGE('',*,*,#199001,.F.); #270336=ORIENTED_EDGE('',*,*,#199004,.F.); #270337=ORIENTED_EDGE('',*,*,#199007,.F.); #270338=ORIENTED_EDGE('',*,*,#199010,.F.); #270339=ORIENTED_EDGE('',*,*,#199013,.F.); #270340=ORIENTED_EDGE('',*,*,#199016,.F.); #270341=ORIENTED_EDGE('',*,*,#199019,.F.); #270342=ORIENTED_EDGE('',*,*,#199022,.F.); #270343=ORIENTED_EDGE('',*,*,#199025,.F.); #270344=ORIENTED_EDGE('',*,*,#199028,.F.); #270345=ORIENTED_EDGE('',*,*,#199031,.F.); #270346=ORIENTED_EDGE('',*,*,#198986,.F.); #270347=ORIENTED_EDGE('',*,*,#198940,.F.); #270348=ORIENTED_EDGE('',*,*,#198944,.F.); #270349=ORIENTED_EDGE('',*,*,#198947,.F.); #270350=ORIENTED_EDGE('',*,*,#198950,.F.); #270351=ORIENTED_EDGE('',*,*,#198953,.F.); #270352=ORIENTED_EDGE('',*,*,#198956,.F.); #270353=ORIENTED_EDGE('',*,*,#198959,.F.); #270354=ORIENTED_EDGE('',*,*,#198962,.F.); #270355=ORIENTED_EDGE('',*,*,#198965,.F.); #270356=ORIENTED_EDGE('',*,*,#198968,.F.); #270357=ORIENTED_EDGE('',*,*,#198971,.F.); #270358=ORIENTED_EDGE('',*,*,#198974,.F.); #270359=ORIENTED_EDGE('',*,*,#198977,.F.); #270360=ORIENTED_EDGE('',*,*,#198980,.F.); #270361=ORIENTED_EDGE('',*,*,#198983,.F.); #270362=ORIENTED_EDGE('',*,*,#199684,.T.); #270363=ORIENTED_EDGE('',*,*,#199685,.T.); #270364=ORIENTED_EDGE('',*,*,#199686,.F.); #270365=ORIENTED_EDGE('',*,*,#199687,.F.); #270366=ORIENTED_EDGE('',*,*,#199688,.T.); #270367=ORIENTED_EDGE('',*,*,#199687,.T.); #270368=ORIENTED_EDGE('',*,*,#199689,.F.); #270369=ORIENTED_EDGE('',*,*,#199690,.F.); #270370=ORIENTED_EDGE('',*,*,#199691,.T.); #270371=ORIENTED_EDGE('',*,*,#199690,.T.); #270372=ORIENTED_EDGE('',*,*,#199692,.F.); #270373=ORIENTED_EDGE('',*,*,#199693,.F.); #270374=ORIENTED_EDGE('',*,*,#199694,.T.); #270375=ORIENTED_EDGE('',*,*,#199693,.T.); #270376=ORIENTED_EDGE('',*,*,#199695,.F.); #270377=ORIENTED_EDGE('',*,*,#199696,.F.); #270378=ORIENTED_EDGE('',*,*,#199697,.T.); #270379=ORIENTED_EDGE('',*,*,#199696,.T.); #270380=ORIENTED_EDGE('',*,*,#199698,.F.); #270381=ORIENTED_EDGE('',*,*,#199699,.F.); #270382=ORIENTED_EDGE('',*,*,#199700,.T.); #270383=ORIENTED_EDGE('',*,*,#199699,.T.); #270384=ORIENTED_EDGE('',*,*,#199701,.F.); #270385=ORIENTED_EDGE('',*,*,#199702,.F.); #270386=ORIENTED_EDGE('',*,*,#199703,.T.); #270387=ORIENTED_EDGE('',*,*,#199702,.T.); #270388=ORIENTED_EDGE('',*,*,#199704,.F.); #270389=ORIENTED_EDGE('',*,*,#199705,.F.); #270390=ORIENTED_EDGE('',*,*,#199706,.T.); #270391=ORIENTED_EDGE('',*,*,#199705,.T.); #270392=ORIENTED_EDGE('',*,*,#199707,.F.); #270393=ORIENTED_EDGE('',*,*,#199708,.F.); #270394=ORIENTED_EDGE('',*,*,#199709,.T.); #270395=ORIENTED_EDGE('',*,*,#199708,.T.); #270396=ORIENTED_EDGE('',*,*,#199710,.F.); #270397=ORIENTED_EDGE('',*,*,#199711,.F.); #270398=ORIENTED_EDGE('',*,*,#199712,.T.); #270399=ORIENTED_EDGE('',*,*,#199711,.T.); #270400=ORIENTED_EDGE('',*,*,#199713,.F.); #270401=ORIENTED_EDGE('',*,*,#199714,.F.); #270402=ORIENTED_EDGE('',*,*,#199715,.T.); #270403=ORIENTED_EDGE('',*,*,#199714,.T.); #270404=ORIENTED_EDGE('',*,*,#199716,.F.); #270405=ORIENTED_EDGE('',*,*,#199717,.F.); #270406=ORIENTED_EDGE('',*,*,#199718,.T.); #270407=ORIENTED_EDGE('',*,*,#199717,.T.); #270408=ORIENTED_EDGE('',*,*,#199719,.F.); #270409=ORIENTED_EDGE('',*,*,#199720,.F.); #270410=ORIENTED_EDGE('',*,*,#199721,.T.); #270411=ORIENTED_EDGE('',*,*,#199720,.T.); #270412=ORIENTED_EDGE('',*,*,#199722,.F.); #270413=ORIENTED_EDGE('',*,*,#199723,.F.); #270414=ORIENTED_EDGE('',*,*,#199724,.T.); #270415=ORIENTED_EDGE('',*,*,#199723,.T.); #270416=ORIENTED_EDGE('',*,*,#199725,.F.); #270417=ORIENTED_EDGE('',*,*,#199726,.F.); #270418=ORIENTED_EDGE('',*,*,#199727,.T.); #270419=ORIENTED_EDGE('',*,*,#199726,.T.); #270420=ORIENTED_EDGE('',*,*,#199728,.F.); #270421=ORIENTED_EDGE('',*,*,#199729,.F.); #270422=ORIENTED_EDGE('',*,*,#199730,.T.); #270423=ORIENTED_EDGE('',*,*,#199729,.T.); #270424=ORIENTED_EDGE('',*,*,#199731,.F.); #270425=ORIENTED_EDGE('',*,*,#199732,.F.); #270426=ORIENTED_EDGE('',*,*,#199733,.T.); #270427=ORIENTED_EDGE('',*,*,#199732,.T.); #270428=ORIENTED_EDGE('',*,*,#199734,.F.); #270429=ORIENTED_EDGE('',*,*,#199735,.F.); #270430=ORIENTED_EDGE('',*,*,#199736,.T.); #270431=ORIENTED_EDGE('',*,*,#199735,.T.); #270432=ORIENTED_EDGE('',*,*,#199737,.F.); #270433=ORIENTED_EDGE('',*,*,#199738,.F.); #270434=ORIENTED_EDGE('',*,*,#199739,.T.); #270435=ORIENTED_EDGE('',*,*,#199738,.T.); #270436=ORIENTED_EDGE('',*,*,#199740,.F.); #270437=ORIENTED_EDGE('',*,*,#199741,.F.); #270438=ORIENTED_EDGE('',*,*,#199742,.T.); #270439=ORIENTED_EDGE('',*,*,#199741,.T.); #270440=ORIENTED_EDGE('',*,*,#199743,.F.); #270441=ORIENTED_EDGE('',*,*,#199744,.F.); #270442=ORIENTED_EDGE('',*,*,#199745,.T.); #270443=ORIENTED_EDGE('',*,*,#199744,.T.); #270444=ORIENTED_EDGE('',*,*,#199746,.F.); #270445=ORIENTED_EDGE('',*,*,#199747,.F.); #270446=ORIENTED_EDGE('',*,*,#199748,.T.); #270447=ORIENTED_EDGE('',*,*,#199747,.T.); #270448=ORIENTED_EDGE('',*,*,#199749,.F.); #270449=ORIENTED_EDGE('',*,*,#199685,.F.); #270450=ORIENTED_EDGE('',*,*,#199749,.T.); #270451=ORIENTED_EDGE('',*,*,#199746,.T.); #270452=ORIENTED_EDGE('',*,*,#199743,.T.); #270453=ORIENTED_EDGE('',*,*,#199740,.T.); #270454=ORIENTED_EDGE('',*,*,#199737,.T.); #270455=ORIENTED_EDGE('',*,*,#199734,.T.); #270456=ORIENTED_EDGE('',*,*,#199731,.T.); #270457=ORIENTED_EDGE('',*,*,#199728,.T.); #270458=ORIENTED_EDGE('',*,*,#199725,.T.); #270459=ORIENTED_EDGE('',*,*,#199722,.T.); #270460=ORIENTED_EDGE('',*,*,#199719,.T.); #270461=ORIENTED_EDGE('',*,*,#199716,.T.); #270462=ORIENTED_EDGE('',*,*,#199713,.T.); #270463=ORIENTED_EDGE('',*,*,#199710,.T.); #270464=ORIENTED_EDGE('',*,*,#199707,.T.); #270465=ORIENTED_EDGE('',*,*,#199704,.T.); #270466=ORIENTED_EDGE('',*,*,#199701,.T.); #270467=ORIENTED_EDGE('',*,*,#199698,.T.); #270468=ORIENTED_EDGE('',*,*,#199695,.T.); #270469=ORIENTED_EDGE('',*,*,#199692,.T.); #270470=ORIENTED_EDGE('',*,*,#199689,.T.); #270471=ORIENTED_EDGE('',*,*,#199686,.T.); #270472=ORIENTED_EDGE('',*,*,#199748,.F.); #270473=ORIENTED_EDGE('',*,*,#199684,.F.); #270474=ORIENTED_EDGE('',*,*,#199688,.F.); #270475=ORIENTED_EDGE('',*,*,#199691,.F.); #270476=ORIENTED_EDGE('',*,*,#199694,.F.); #270477=ORIENTED_EDGE('',*,*,#199697,.F.); #270478=ORIENTED_EDGE('',*,*,#199700,.F.); #270479=ORIENTED_EDGE('',*,*,#199703,.F.); #270480=ORIENTED_EDGE('',*,*,#199706,.F.); #270481=ORIENTED_EDGE('',*,*,#199709,.F.); #270482=ORIENTED_EDGE('',*,*,#199712,.F.); #270483=ORIENTED_EDGE('',*,*,#199715,.F.); #270484=ORIENTED_EDGE('',*,*,#199718,.F.); #270485=ORIENTED_EDGE('',*,*,#199721,.F.); #270486=ORIENTED_EDGE('',*,*,#199724,.F.); #270487=ORIENTED_EDGE('',*,*,#199727,.F.); #270488=ORIENTED_EDGE('',*,*,#199730,.F.); #270489=ORIENTED_EDGE('',*,*,#199733,.F.); #270490=ORIENTED_EDGE('',*,*,#199736,.F.); #270491=ORIENTED_EDGE('',*,*,#199739,.F.); #270492=ORIENTED_EDGE('',*,*,#199742,.F.); #270493=ORIENTED_EDGE('',*,*,#199745,.F.); #270494=ORIENTED_EDGE('',*,*,#199750,.T.); #270495=ORIENTED_EDGE('',*,*,#199751,.T.); #270496=ORIENTED_EDGE('',*,*,#199752,.F.); #270497=ORIENTED_EDGE('',*,*,#199753,.F.); #270498=ORIENTED_EDGE('',*,*,#199754,.T.); #270499=ORIENTED_EDGE('',*,*,#199753,.T.); #270500=ORIENTED_EDGE('',*,*,#199755,.F.); #270501=ORIENTED_EDGE('',*,*,#199756,.F.); #270502=ORIENTED_EDGE('',*,*,#199757,.T.); #270503=ORIENTED_EDGE('',*,*,#199756,.T.); #270504=ORIENTED_EDGE('',*,*,#199758,.F.); #270505=ORIENTED_EDGE('',*,*,#199759,.F.); #270506=ORIENTED_EDGE('',*,*,#199760,.T.); #270507=ORIENTED_EDGE('',*,*,#199759,.T.); #270508=ORIENTED_EDGE('',*,*,#199761,.F.); #270509=ORIENTED_EDGE('',*,*,#199762,.F.); #270510=ORIENTED_EDGE('',*,*,#199763,.T.); #270511=ORIENTED_EDGE('',*,*,#199762,.T.); #270512=ORIENTED_EDGE('',*,*,#199764,.F.); #270513=ORIENTED_EDGE('',*,*,#199765,.F.); #270514=ORIENTED_EDGE('',*,*,#199766,.T.); #270515=ORIENTED_EDGE('',*,*,#199765,.T.); #270516=ORIENTED_EDGE('',*,*,#199767,.F.); #270517=ORIENTED_EDGE('',*,*,#199768,.F.); #270518=ORIENTED_EDGE('',*,*,#199769,.T.); #270519=ORIENTED_EDGE('',*,*,#199768,.T.); #270520=ORIENTED_EDGE('',*,*,#199770,.F.); #270521=ORIENTED_EDGE('',*,*,#199771,.F.); #270522=ORIENTED_EDGE('',*,*,#199772,.T.); #270523=ORIENTED_EDGE('',*,*,#199771,.T.); #270524=ORIENTED_EDGE('',*,*,#199773,.F.); #270525=ORIENTED_EDGE('',*,*,#199774,.F.); #270526=ORIENTED_EDGE('',*,*,#199775,.T.); #270527=ORIENTED_EDGE('',*,*,#199774,.T.); #270528=ORIENTED_EDGE('',*,*,#199776,.F.); #270529=ORIENTED_EDGE('',*,*,#199777,.F.); #270530=ORIENTED_EDGE('',*,*,#199778,.T.); #270531=ORIENTED_EDGE('',*,*,#199777,.T.); #270532=ORIENTED_EDGE('',*,*,#199779,.F.); #270533=ORIENTED_EDGE('',*,*,#199780,.F.); #270534=ORIENTED_EDGE('',*,*,#199781,.T.); #270535=ORIENTED_EDGE('',*,*,#199780,.T.); #270536=ORIENTED_EDGE('',*,*,#199782,.F.); #270537=ORIENTED_EDGE('',*,*,#199783,.F.); #270538=ORIENTED_EDGE('',*,*,#199784,.T.); #270539=ORIENTED_EDGE('',*,*,#199783,.T.); #270540=ORIENTED_EDGE('',*,*,#199785,.F.); #270541=ORIENTED_EDGE('',*,*,#199786,.F.); #270542=ORIENTED_EDGE('',*,*,#199787,.T.); #270543=ORIENTED_EDGE('',*,*,#199786,.T.); #270544=ORIENTED_EDGE('',*,*,#199788,.F.); #270545=ORIENTED_EDGE('',*,*,#199789,.F.); #270546=ORIENTED_EDGE('',*,*,#199790,.T.); #270547=ORIENTED_EDGE('',*,*,#199789,.T.); #270548=ORIENTED_EDGE('',*,*,#199791,.F.); #270549=ORIENTED_EDGE('',*,*,#199792,.F.); #270550=ORIENTED_EDGE('',*,*,#199793,.T.); #270551=ORIENTED_EDGE('',*,*,#199792,.T.); #270552=ORIENTED_EDGE('',*,*,#199794,.F.); #270553=ORIENTED_EDGE('',*,*,#199795,.F.); #270554=ORIENTED_EDGE('',*,*,#199796,.T.); #270555=ORIENTED_EDGE('',*,*,#199795,.T.); #270556=ORIENTED_EDGE('',*,*,#199797,.F.); #270557=ORIENTED_EDGE('',*,*,#199798,.F.); #270558=ORIENTED_EDGE('',*,*,#199799,.T.); #270559=ORIENTED_EDGE('',*,*,#199798,.T.); #270560=ORIENTED_EDGE('',*,*,#199800,.F.); #270561=ORIENTED_EDGE('',*,*,#199801,.F.); #270562=ORIENTED_EDGE('',*,*,#199802,.T.); #270563=ORIENTED_EDGE('',*,*,#199801,.T.); #270564=ORIENTED_EDGE('',*,*,#199803,.F.); #270565=ORIENTED_EDGE('',*,*,#199804,.F.); #270566=ORIENTED_EDGE('',*,*,#199805,.T.); #270567=ORIENTED_EDGE('',*,*,#199804,.T.); #270568=ORIENTED_EDGE('',*,*,#199806,.F.); #270569=ORIENTED_EDGE('',*,*,#199807,.F.); #270570=ORIENTED_EDGE('',*,*,#199808,.T.); #270571=ORIENTED_EDGE('',*,*,#199807,.T.); #270572=ORIENTED_EDGE('',*,*,#199809,.F.); #270573=ORIENTED_EDGE('',*,*,#199810,.F.); #270574=ORIENTED_EDGE('',*,*,#199811,.T.); #270575=ORIENTED_EDGE('',*,*,#199810,.T.); #270576=ORIENTED_EDGE('',*,*,#199812,.F.); #270577=ORIENTED_EDGE('',*,*,#199751,.F.); #270578=ORIENTED_EDGE('',*,*,#199812,.T.); #270579=ORIENTED_EDGE('',*,*,#199809,.T.); #270580=ORIENTED_EDGE('',*,*,#199806,.T.); #270581=ORIENTED_EDGE('',*,*,#199803,.T.); #270582=ORIENTED_EDGE('',*,*,#199800,.T.); #270583=ORIENTED_EDGE('',*,*,#199797,.T.); #270584=ORIENTED_EDGE('',*,*,#199794,.T.); #270585=ORIENTED_EDGE('',*,*,#199791,.T.); #270586=ORIENTED_EDGE('',*,*,#199788,.T.); #270587=ORIENTED_EDGE('',*,*,#199785,.T.); #270588=ORIENTED_EDGE('',*,*,#199782,.T.); #270589=ORIENTED_EDGE('',*,*,#199779,.T.); #270590=ORIENTED_EDGE('',*,*,#199776,.T.); #270591=ORIENTED_EDGE('',*,*,#199773,.T.); #270592=ORIENTED_EDGE('',*,*,#199770,.T.); #270593=ORIENTED_EDGE('',*,*,#199767,.T.); #270594=ORIENTED_EDGE('',*,*,#199764,.T.); #270595=ORIENTED_EDGE('',*,*,#199761,.T.); #270596=ORIENTED_EDGE('',*,*,#199758,.T.); #270597=ORIENTED_EDGE('',*,*,#199755,.T.); #270598=ORIENTED_EDGE('',*,*,#199752,.T.); #270599=ORIENTED_EDGE('',*,*,#199811,.F.); #270600=ORIENTED_EDGE('',*,*,#199750,.F.); #270601=ORIENTED_EDGE('',*,*,#199754,.F.); #270602=ORIENTED_EDGE('',*,*,#199757,.F.); #270603=ORIENTED_EDGE('',*,*,#199760,.F.); #270604=ORIENTED_EDGE('',*,*,#199763,.F.); #270605=ORIENTED_EDGE('',*,*,#199766,.F.); #270606=ORIENTED_EDGE('',*,*,#199769,.F.); #270607=ORIENTED_EDGE('',*,*,#199772,.F.); #270608=ORIENTED_EDGE('',*,*,#199775,.F.); #270609=ORIENTED_EDGE('',*,*,#199778,.F.); #270610=ORIENTED_EDGE('',*,*,#199781,.F.); #270611=ORIENTED_EDGE('',*,*,#199784,.F.); #270612=ORIENTED_EDGE('',*,*,#199787,.F.); #270613=ORIENTED_EDGE('',*,*,#199790,.F.); #270614=ORIENTED_EDGE('',*,*,#199793,.F.); #270615=ORIENTED_EDGE('',*,*,#199796,.F.); #270616=ORIENTED_EDGE('',*,*,#199799,.F.); #270617=ORIENTED_EDGE('',*,*,#199802,.F.); #270618=ORIENTED_EDGE('',*,*,#199805,.F.); #270619=ORIENTED_EDGE('',*,*,#199808,.F.); #270620=ORIENTED_EDGE('',*,*,#199813,.T.); #270621=ORIENTED_EDGE('',*,*,#199814,.T.); #270622=ORIENTED_EDGE('',*,*,#199815,.F.); #270623=ORIENTED_EDGE('',*,*,#199816,.F.); #270624=ORIENTED_EDGE('',*,*,#199817,.T.); #270625=ORIENTED_EDGE('',*,*,#199816,.T.); #270626=ORIENTED_EDGE('',*,*,#199818,.F.); #270627=ORIENTED_EDGE('',*,*,#199819,.F.); #270628=ORIENTED_EDGE('',*,*,#199820,.T.); #270629=ORIENTED_EDGE('',*,*,#199819,.T.); #270630=ORIENTED_EDGE('',*,*,#199821,.F.); #270631=ORIENTED_EDGE('',*,*,#199822,.F.); #270632=ORIENTED_EDGE('',*,*,#199823,.T.); #270633=ORIENTED_EDGE('',*,*,#199822,.T.); #270634=ORIENTED_EDGE('',*,*,#199824,.F.); #270635=ORIENTED_EDGE('',*,*,#199825,.F.); #270636=ORIENTED_EDGE('',*,*,#199826,.T.); #270637=ORIENTED_EDGE('',*,*,#199825,.T.); #270638=ORIENTED_EDGE('',*,*,#199827,.F.); #270639=ORIENTED_EDGE('',*,*,#199828,.F.); #270640=ORIENTED_EDGE('',*,*,#199829,.T.); #270641=ORIENTED_EDGE('',*,*,#199828,.T.); #270642=ORIENTED_EDGE('',*,*,#199830,.F.); #270643=ORIENTED_EDGE('',*,*,#199831,.F.); #270644=ORIENTED_EDGE('',*,*,#199832,.T.); #270645=ORIENTED_EDGE('',*,*,#199831,.T.); #270646=ORIENTED_EDGE('',*,*,#199833,.F.); #270647=ORIENTED_EDGE('',*,*,#199834,.F.); #270648=ORIENTED_EDGE('',*,*,#199835,.T.); #270649=ORIENTED_EDGE('',*,*,#199834,.T.); #270650=ORIENTED_EDGE('',*,*,#199836,.F.); #270651=ORIENTED_EDGE('',*,*,#199837,.F.); #270652=ORIENTED_EDGE('',*,*,#199838,.T.); #270653=ORIENTED_EDGE('',*,*,#199837,.T.); #270654=ORIENTED_EDGE('',*,*,#199839,.F.); #270655=ORIENTED_EDGE('',*,*,#199840,.F.); #270656=ORIENTED_EDGE('',*,*,#199841,.T.); #270657=ORIENTED_EDGE('',*,*,#199840,.T.); #270658=ORIENTED_EDGE('',*,*,#199842,.F.); #270659=ORIENTED_EDGE('',*,*,#199843,.F.); #270660=ORIENTED_EDGE('',*,*,#199844,.T.); #270661=ORIENTED_EDGE('',*,*,#199843,.T.); #270662=ORIENTED_EDGE('',*,*,#199845,.F.); #270663=ORIENTED_EDGE('',*,*,#199846,.F.); #270664=ORIENTED_EDGE('',*,*,#199847,.T.); #270665=ORIENTED_EDGE('',*,*,#199846,.T.); #270666=ORIENTED_EDGE('',*,*,#199848,.F.); #270667=ORIENTED_EDGE('',*,*,#199849,.F.); #270668=ORIENTED_EDGE('',*,*,#199850,.T.); #270669=ORIENTED_EDGE('',*,*,#199849,.T.); #270670=ORIENTED_EDGE('',*,*,#199851,.F.); #270671=ORIENTED_EDGE('',*,*,#199852,.F.); #270672=ORIENTED_EDGE('',*,*,#199853,.T.); #270673=ORIENTED_EDGE('',*,*,#199852,.T.); #270674=ORIENTED_EDGE('',*,*,#199854,.F.); #270675=ORIENTED_EDGE('',*,*,#199855,.F.); #270676=ORIENTED_EDGE('',*,*,#199856,.T.); #270677=ORIENTED_EDGE('',*,*,#199855,.T.); #270678=ORIENTED_EDGE('',*,*,#199857,.F.); #270679=ORIENTED_EDGE('',*,*,#199858,.F.); #270680=ORIENTED_EDGE('',*,*,#199859,.T.); #270681=ORIENTED_EDGE('',*,*,#199858,.T.); #270682=ORIENTED_EDGE('',*,*,#199860,.F.); #270683=ORIENTED_EDGE('',*,*,#199861,.F.); #270684=ORIENTED_EDGE('',*,*,#199862,.T.); #270685=ORIENTED_EDGE('',*,*,#199861,.T.); #270686=ORIENTED_EDGE('',*,*,#199863,.F.); #270687=ORIENTED_EDGE('',*,*,#199864,.F.); #270688=ORIENTED_EDGE('',*,*,#199865,.T.); #270689=ORIENTED_EDGE('',*,*,#199864,.T.); #270690=ORIENTED_EDGE('',*,*,#199866,.F.); #270691=ORIENTED_EDGE('',*,*,#199867,.F.); #270692=ORIENTED_EDGE('',*,*,#199868,.T.); #270693=ORIENTED_EDGE('',*,*,#199867,.T.); #270694=ORIENTED_EDGE('',*,*,#199869,.F.); #270695=ORIENTED_EDGE('',*,*,#199870,.F.); #270696=ORIENTED_EDGE('',*,*,#199871,.T.); #270697=ORIENTED_EDGE('',*,*,#199870,.T.); #270698=ORIENTED_EDGE('',*,*,#199872,.F.); #270699=ORIENTED_EDGE('',*,*,#199814,.F.); #270700=ORIENTED_EDGE('',*,*,#199872,.T.); #270701=ORIENTED_EDGE('',*,*,#199869,.T.); #270702=ORIENTED_EDGE('',*,*,#199866,.T.); #270703=ORIENTED_EDGE('',*,*,#199863,.T.); #270704=ORIENTED_EDGE('',*,*,#199860,.T.); #270705=ORIENTED_EDGE('',*,*,#199857,.T.); #270706=ORIENTED_EDGE('',*,*,#199854,.T.); #270707=ORIENTED_EDGE('',*,*,#199851,.T.); #270708=ORIENTED_EDGE('',*,*,#199848,.T.); #270709=ORIENTED_EDGE('',*,*,#199845,.T.); #270710=ORIENTED_EDGE('',*,*,#199842,.T.); #270711=ORIENTED_EDGE('',*,*,#199839,.T.); #270712=ORIENTED_EDGE('',*,*,#199836,.T.); #270713=ORIENTED_EDGE('',*,*,#199833,.T.); #270714=ORIENTED_EDGE('',*,*,#199830,.T.); #270715=ORIENTED_EDGE('',*,*,#199827,.T.); #270716=ORIENTED_EDGE('',*,*,#199824,.T.); #270717=ORIENTED_EDGE('',*,*,#199821,.T.); #270718=ORIENTED_EDGE('',*,*,#199818,.T.); #270719=ORIENTED_EDGE('',*,*,#199815,.T.); #270720=ORIENTED_EDGE('',*,*,#199871,.F.); #270721=ORIENTED_EDGE('',*,*,#199813,.F.); #270722=ORIENTED_EDGE('',*,*,#199817,.F.); #270723=ORIENTED_EDGE('',*,*,#199820,.F.); #270724=ORIENTED_EDGE('',*,*,#199823,.F.); #270725=ORIENTED_EDGE('',*,*,#199826,.F.); #270726=ORIENTED_EDGE('',*,*,#199829,.F.); #270727=ORIENTED_EDGE('',*,*,#199832,.F.); #270728=ORIENTED_EDGE('',*,*,#199835,.F.); #270729=ORIENTED_EDGE('',*,*,#199838,.F.); #270730=ORIENTED_EDGE('',*,*,#199841,.F.); #270731=ORIENTED_EDGE('',*,*,#199844,.F.); #270732=ORIENTED_EDGE('',*,*,#199847,.F.); #270733=ORIENTED_EDGE('',*,*,#199850,.F.); #270734=ORIENTED_EDGE('',*,*,#199853,.F.); #270735=ORIENTED_EDGE('',*,*,#199856,.F.); #270736=ORIENTED_EDGE('',*,*,#199859,.F.); #270737=ORIENTED_EDGE('',*,*,#199862,.F.); #270738=ORIENTED_EDGE('',*,*,#199865,.F.); #270739=ORIENTED_EDGE('',*,*,#199868,.F.); #270740=ORIENTED_EDGE('',*,*,#199873,.F.); #270741=ORIENTED_EDGE('',*,*,#199874,.F.); #270742=ORIENTED_EDGE('',*,*,#199875,.F.); #270743=ORIENTED_EDGE('',*,*,#199876,.T.); #270744=ORIENTED_EDGE('',*,*,#199877,.F.); #270745=ORIENTED_EDGE('',*,*,#199876,.F.); #270746=ORIENTED_EDGE('',*,*,#199878,.F.); #270747=ORIENTED_EDGE('',*,*,#199879,.T.); #270748=ORIENTED_EDGE('',*,*,#199880,.F.); #270749=ORIENTED_EDGE('',*,*,#199879,.F.); #270750=ORIENTED_EDGE('',*,*,#199881,.F.); #270751=ORIENTED_EDGE('',*,*,#199882,.T.); #270752=ORIENTED_EDGE('',*,*,#199883,.F.); #270753=ORIENTED_EDGE('',*,*,#199882,.F.); #270754=ORIENTED_EDGE('',*,*,#199884,.F.); #270755=ORIENTED_EDGE('',*,*,#199874,.T.); #270756=ORIENTED_EDGE('',*,*,#199885,.T.); #270757=ORIENTED_EDGE('',*,*,#199886,.T.); #270758=ORIENTED_EDGE('',*,*,#199887,.F.); #270759=ORIENTED_EDGE('',*,*,#199888,.F.); #270760=ORIENTED_EDGE('',*,*,#199889,.T.); #270761=ORIENTED_EDGE('',*,*,#199888,.T.); #270762=ORIENTED_EDGE('',*,*,#199890,.F.); #270763=ORIENTED_EDGE('',*,*,#199891,.F.); #270764=ORIENTED_EDGE('',*,*,#199892,.T.); #270765=ORIENTED_EDGE('',*,*,#199891,.T.); #270766=ORIENTED_EDGE('',*,*,#199893,.F.); #270767=ORIENTED_EDGE('',*,*,#199894,.F.); #270768=ORIENTED_EDGE('',*,*,#199895,.T.); #270769=ORIENTED_EDGE('',*,*,#199894,.T.); #270770=ORIENTED_EDGE('',*,*,#199896,.F.); #270771=ORIENTED_EDGE('',*,*,#199897,.F.); #270772=ORIENTED_EDGE('',*,*,#199898,.T.); #270773=ORIENTED_EDGE('',*,*,#199897,.T.); #270774=ORIENTED_EDGE('',*,*,#199899,.F.); #270775=ORIENTED_EDGE('',*,*,#199900,.F.); #270776=ORIENTED_EDGE('',*,*,#199901,.T.); #270777=ORIENTED_EDGE('',*,*,#199900,.T.); #270778=ORIENTED_EDGE('',*,*,#199902,.F.); #270779=ORIENTED_EDGE('',*,*,#199903,.F.); #270780=ORIENTED_EDGE('',*,*,#199904,.T.); #270781=ORIENTED_EDGE('',*,*,#199903,.T.); #270782=ORIENTED_EDGE('',*,*,#199905,.F.); #270783=ORIENTED_EDGE('',*,*,#199906,.F.); #270784=ORIENTED_EDGE('',*,*,#199907,.T.); #270785=ORIENTED_EDGE('',*,*,#199906,.T.); #270786=ORIENTED_EDGE('',*,*,#199908,.F.); #270787=ORIENTED_EDGE('',*,*,#199909,.F.); #270788=ORIENTED_EDGE('',*,*,#199910,.T.); #270789=ORIENTED_EDGE('',*,*,#199909,.T.); #270790=ORIENTED_EDGE('',*,*,#199911,.F.); #270791=ORIENTED_EDGE('',*,*,#199912,.F.); #270792=ORIENTED_EDGE('',*,*,#199913,.T.); #270793=ORIENTED_EDGE('',*,*,#199912,.T.); #270794=ORIENTED_EDGE('',*,*,#199914,.F.); #270795=ORIENTED_EDGE('',*,*,#199915,.F.); #270796=ORIENTED_EDGE('',*,*,#199916,.T.); #270797=ORIENTED_EDGE('',*,*,#199915,.T.); #270798=ORIENTED_EDGE('',*,*,#199917,.F.); #270799=ORIENTED_EDGE('',*,*,#199918,.F.); #270800=ORIENTED_EDGE('',*,*,#199919,.T.); #270801=ORIENTED_EDGE('',*,*,#199918,.T.); #270802=ORIENTED_EDGE('',*,*,#199920,.F.); #270803=ORIENTED_EDGE('',*,*,#199921,.F.); #270804=ORIENTED_EDGE('',*,*,#199922,.T.); #270805=ORIENTED_EDGE('',*,*,#199921,.T.); #270806=ORIENTED_EDGE('',*,*,#199923,.F.); #270807=ORIENTED_EDGE('',*,*,#199924,.F.); #270808=ORIENTED_EDGE('',*,*,#199925,.T.); #270809=ORIENTED_EDGE('',*,*,#199924,.T.); #270810=ORIENTED_EDGE('',*,*,#199926,.F.); #270811=ORIENTED_EDGE('',*,*,#199927,.F.); #270812=ORIENTED_EDGE('',*,*,#199928,.T.); #270813=ORIENTED_EDGE('',*,*,#199927,.T.); #270814=ORIENTED_EDGE('',*,*,#199929,.F.); #270815=ORIENTED_EDGE('',*,*,#199930,.F.); #270816=ORIENTED_EDGE('',*,*,#199931,.T.); #270817=ORIENTED_EDGE('',*,*,#199930,.T.); #270818=ORIENTED_EDGE('',*,*,#199932,.F.); #270819=ORIENTED_EDGE('',*,*,#199933,.F.); #270820=ORIENTED_EDGE('',*,*,#199934,.T.); #270821=ORIENTED_EDGE('',*,*,#199933,.T.); #270822=ORIENTED_EDGE('',*,*,#199935,.F.); #270823=ORIENTED_EDGE('',*,*,#199936,.F.); #270824=ORIENTED_EDGE('',*,*,#199937,.T.); #270825=ORIENTED_EDGE('',*,*,#199936,.T.); #270826=ORIENTED_EDGE('',*,*,#199938,.F.); #270827=ORIENTED_EDGE('',*,*,#199939,.F.); #270828=ORIENTED_EDGE('',*,*,#199940,.T.); #270829=ORIENTED_EDGE('',*,*,#199939,.T.); #270830=ORIENTED_EDGE('',*,*,#199941,.F.); #270831=ORIENTED_EDGE('',*,*,#199942,.F.); #270832=ORIENTED_EDGE('',*,*,#199943,.T.); #270833=ORIENTED_EDGE('',*,*,#199942,.T.); #270834=ORIENTED_EDGE('',*,*,#199944,.F.); #270835=ORIENTED_EDGE('',*,*,#199945,.F.); #270836=ORIENTED_EDGE('',*,*,#199946,.T.); #270837=ORIENTED_EDGE('',*,*,#199945,.T.); #270838=ORIENTED_EDGE('',*,*,#199947,.F.); #270839=ORIENTED_EDGE('',*,*,#199948,.F.); #270840=ORIENTED_EDGE('',*,*,#199949,.T.); #270841=ORIENTED_EDGE('',*,*,#199948,.T.); #270842=ORIENTED_EDGE('',*,*,#199950,.F.); #270843=ORIENTED_EDGE('',*,*,#199951,.F.); #270844=ORIENTED_EDGE('',*,*,#199952,.T.); #270845=ORIENTED_EDGE('',*,*,#199951,.T.); #270846=ORIENTED_EDGE('',*,*,#199953,.F.); #270847=ORIENTED_EDGE('',*,*,#199954,.F.); #270848=ORIENTED_EDGE('',*,*,#199955,.T.); #270849=ORIENTED_EDGE('',*,*,#199954,.T.); #270850=ORIENTED_EDGE('',*,*,#199956,.F.); #270851=ORIENTED_EDGE('',*,*,#199957,.F.); #270852=ORIENTED_EDGE('',*,*,#199958,.T.); #270853=ORIENTED_EDGE('',*,*,#199957,.T.); #270854=ORIENTED_EDGE('',*,*,#199959,.F.); #270855=ORIENTED_EDGE('',*,*,#199960,.F.); #270856=ORIENTED_EDGE('',*,*,#199961,.T.); #270857=ORIENTED_EDGE('',*,*,#199960,.T.); #270858=ORIENTED_EDGE('',*,*,#199962,.F.); #270859=ORIENTED_EDGE('',*,*,#199963,.F.); #270860=ORIENTED_EDGE('',*,*,#199964,.T.); #270861=ORIENTED_EDGE('',*,*,#199963,.T.); #270862=ORIENTED_EDGE('',*,*,#199965,.F.); #270863=ORIENTED_EDGE('',*,*,#199966,.F.); #270864=ORIENTED_EDGE('',*,*,#199967,.T.); #270865=ORIENTED_EDGE('',*,*,#199966,.T.); #270866=ORIENTED_EDGE('',*,*,#199968,.F.); #270867=ORIENTED_EDGE('',*,*,#199969,.F.); #270868=ORIENTED_EDGE('',*,*,#199970,.T.); #270869=ORIENTED_EDGE('',*,*,#199969,.T.); #270870=ORIENTED_EDGE('',*,*,#199971,.F.); #270871=ORIENTED_EDGE('',*,*,#199972,.F.); #270872=ORIENTED_EDGE('',*,*,#199973,.T.); #270873=ORIENTED_EDGE('',*,*,#199972,.T.); #270874=ORIENTED_EDGE('',*,*,#199974,.F.); #270875=ORIENTED_EDGE('',*,*,#199975,.F.); #270876=ORIENTED_EDGE('',*,*,#199976,.T.); #270877=ORIENTED_EDGE('',*,*,#199975,.T.); #270878=ORIENTED_EDGE('',*,*,#199977,.F.); #270879=ORIENTED_EDGE('',*,*,#199978,.F.); #270880=ORIENTED_EDGE('',*,*,#199979,.T.); #270881=ORIENTED_EDGE('',*,*,#199978,.T.); #270882=ORIENTED_EDGE('',*,*,#199980,.F.); #270883=ORIENTED_EDGE('',*,*,#199981,.F.); #270884=ORIENTED_EDGE('',*,*,#199982,.T.); #270885=ORIENTED_EDGE('',*,*,#199981,.T.); #270886=ORIENTED_EDGE('',*,*,#199983,.F.); #270887=ORIENTED_EDGE('',*,*,#199984,.F.); #270888=ORIENTED_EDGE('',*,*,#199985,.T.); #270889=ORIENTED_EDGE('',*,*,#199984,.T.); #270890=ORIENTED_EDGE('',*,*,#199986,.F.); #270891=ORIENTED_EDGE('',*,*,#199987,.F.); #270892=ORIENTED_EDGE('',*,*,#199988,.T.); #270893=ORIENTED_EDGE('',*,*,#199987,.T.); #270894=ORIENTED_EDGE('',*,*,#199989,.F.); #270895=ORIENTED_EDGE('',*,*,#199990,.F.); #270896=ORIENTED_EDGE('',*,*,#199991,.T.); #270897=ORIENTED_EDGE('',*,*,#199990,.T.); #270898=ORIENTED_EDGE('',*,*,#199992,.F.); #270899=ORIENTED_EDGE('',*,*,#199993,.F.); #270900=ORIENTED_EDGE('',*,*,#199994,.T.); #270901=ORIENTED_EDGE('',*,*,#199993,.T.); #270902=ORIENTED_EDGE('',*,*,#199995,.F.); #270903=ORIENTED_EDGE('',*,*,#199996,.F.); #270904=ORIENTED_EDGE('',*,*,#199997,.T.); #270905=ORIENTED_EDGE('',*,*,#199996,.T.); #270906=ORIENTED_EDGE('',*,*,#199998,.F.); #270907=ORIENTED_EDGE('',*,*,#199999,.F.); #270908=ORIENTED_EDGE('',*,*,#200000,.T.); #270909=ORIENTED_EDGE('',*,*,#199999,.T.); #270910=ORIENTED_EDGE('',*,*,#200001,.F.); #270911=ORIENTED_EDGE('',*,*,#200002,.F.); #270912=ORIENTED_EDGE('',*,*,#200003,.T.); #270913=ORIENTED_EDGE('',*,*,#200002,.T.); #270914=ORIENTED_EDGE('',*,*,#200004,.F.); #270915=ORIENTED_EDGE('',*,*,#200005,.F.); #270916=ORIENTED_EDGE('',*,*,#200006,.T.); #270917=ORIENTED_EDGE('',*,*,#200005,.T.); #270918=ORIENTED_EDGE('',*,*,#200007,.F.); #270919=ORIENTED_EDGE('',*,*,#200008,.F.); #270920=ORIENTED_EDGE('',*,*,#200009,.T.); #270921=ORIENTED_EDGE('',*,*,#200008,.T.); #270922=ORIENTED_EDGE('',*,*,#200010,.F.); #270923=ORIENTED_EDGE('',*,*,#200011,.F.); #270924=ORIENTED_EDGE('',*,*,#200012,.T.); #270925=ORIENTED_EDGE('',*,*,#200011,.T.); #270926=ORIENTED_EDGE('',*,*,#200013,.F.); #270927=ORIENTED_EDGE('',*,*,#200014,.F.); #270928=ORIENTED_EDGE('',*,*,#200015,.T.); #270929=ORIENTED_EDGE('',*,*,#200014,.T.); #270930=ORIENTED_EDGE('',*,*,#200016,.F.); #270931=ORIENTED_EDGE('',*,*,#200017,.F.); #270932=ORIENTED_EDGE('',*,*,#200018,.T.); #270933=ORIENTED_EDGE('',*,*,#200017,.T.); #270934=ORIENTED_EDGE('',*,*,#200019,.F.); #270935=ORIENTED_EDGE('',*,*,#200020,.F.); #270936=ORIENTED_EDGE('',*,*,#200021,.T.); #270937=ORIENTED_EDGE('',*,*,#200020,.T.); #270938=ORIENTED_EDGE('',*,*,#200022,.F.); #270939=ORIENTED_EDGE('',*,*,#200023,.F.); #270940=ORIENTED_EDGE('',*,*,#200024,.T.); #270941=ORIENTED_EDGE('',*,*,#200023,.T.); #270942=ORIENTED_EDGE('',*,*,#200025,.F.); #270943=ORIENTED_EDGE('',*,*,#200026,.F.); #270944=ORIENTED_EDGE('',*,*,#200027,.T.); #270945=ORIENTED_EDGE('',*,*,#200026,.T.); #270946=ORIENTED_EDGE('',*,*,#200028,.F.); #270947=ORIENTED_EDGE('',*,*,#200029,.F.); #270948=ORIENTED_EDGE('',*,*,#200030,.T.); #270949=ORIENTED_EDGE('',*,*,#200029,.T.); #270950=ORIENTED_EDGE('',*,*,#200031,.F.); #270951=ORIENTED_EDGE('',*,*,#200032,.F.); #270952=ORIENTED_EDGE('',*,*,#200033,.T.); #270953=ORIENTED_EDGE('',*,*,#200032,.T.); #270954=ORIENTED_EDGE('',*,*,#200034,.F.); #270955=ORIENTED_EDGE('',*,*,#200035,.F.); #270956=ORIENTED_EDGE('',*,*,#200036,.T.); #270957=ORIENTED_EDGE('',*,*,#200035,.T.); #270958=ORIENTED_EDGE('',*,*,#200037,.F.); #270959=ORIENTED_EDGE('',*,*,#200038,.F.); #270960=ORIENTED_EDGE('',*,*,#200039,.T.); #270961=ORIENTED_EDGE('',*,*,#200038,.T.); #270962=ORIENTED_EDGE('',*,*,#200040,.F.); #270963=ORIENTED_EDGE('',*,*,#200041,.F.); #270964=ORIENTED_EDGE('',*,*,#200042,.T.); #270965=ORIENTED_EDGE('',*,*,#200041,.T.); #270966=ORIENTED_EDGE('',*,*,#200043,.F.); #270967=ORIENTED_EDGE('',*,*,#200044,.F.); #270968=ORIENTED_EDGE('',*,*,#200045,.T.); #270969=ORIENTED_EDGE('',*,*,#200044,.T.); #270970=ORIENTED_EDGE('',*,*,#200046,.F.); #270971=ORIENTED_EDGE('',*,*,#200047,.F.); #270972=ORIENTED_EDGE('',*,*,#200048,.T.); #270973=ORIENTED_EDGE('',*,*,#200047,.T.); #270974=ORIENTED_EDGE('',*,*,#200049,.F.); #270975=ORIENTED_EDGE('',*,*,#200050,.F.); #270976=ORIENTED_EDGE('',*,*,#200051,.T.); #270977=ORIENTED_EDGE('',*,*,#200050,.T.); #270978=ORIENTED_EDGE('',*,*,#200052,.F.); #270979=ORIENTED_EDGE('',*,*,#200053,.F.); #270980=ORIENTED_EDGE('',*,*,#200054,.T.); #270981=ORIENTED_EDGE('',*,*,#200053,.T.); #270982=ORIENTED_EDGE('',*,*,#200055,.F.); #270983=ORIENTED_EDGE('',*,*,#200056,.F.); #270984=ORIENTED_EDGE('',*,*,#200057,.T.); #270985=ORIENTED_EDGE('',*,*,#200056,.T.); #270986=ORIENTED_EDGE('',*,*,#200058,.F.); #270987=ORIENTED_EDGE('',*,*,#200059,.F.); #270988=ORIENTED_EDGE('',*,*,#200060,.T.); #270989=ORIENTED_EDGE('',*,*,#200059,.T.); #270990=ORIENTED_EDGE('',*,*,#200061,.F.); #270991=ORIENTED_EDGE('',*,*,#200062,.F.); #270992=ORIENTED_EDGE('',*,*,#200063,.T.); #270993=ORIENTED_EDGE('',*,*,#200062,.T.); #270994=ORIENTED_EDGE('',*,*,#200064,.F.); #270995=ORIENTED_EDGE('',*,*,#200065,.F.); #270996=ORIENTED_EDGE('',*,*,#200066,.T.); #270997=ORIENTED_EDGE('',*,*,#200065,.T.); #270998=ORIENTED_EDGE('',*,*,#200067,.F.); #270999=ORIENTED_EDGE('',*,*,#200068,.F.); #271000=ORIENTED_EDGE('',*,*,#200069,.T.); #271001=ORIENTED_EDGE('',*,*,#200068,.T.); #271002=ORIENTED_EDGE('',*,*,#200070,.F.); #271003=ORIENTED_EDGE('',*,*,#200071,.F.); #271004=ORIENTED_EDGE('',*,*,#200072,.T.); #271005=ORIENTED_EDGE('',*,*,#200071,.T.); #271006=ORIENTED_EDGE('',*,*,#200073,.F.); #271007=ORIENTED_EDGE('',*,*,#200074,.F.); #271008=ORIENTED_EDGE('',*,*,#200075,.T.); #271009=ORIENTED_EDGE('',*,*,#200074,.T.); #271010=ORIENTED_EDGE('',*,*,#200076,.F.); #271011=ORIENTED_EDGE('',*,*,#200077,.F.); #271012=ORIENTED_EDGE('',*,*,#200078,.T.); #271013=ORIENTED_EDGE('',*,*,#200077,.T.); #271014=ORIENTED_EDGE('',*,*,#200079,.F.); #271015=ORIENTED_EDGE('',*,*,#200080,.F.); #271016=ORIENTED_EDGE('',*,*,#200081,.T.); #271017=ORIENTED_EDGE('',*,*,#200080,.T.); #271018=ORIENTED_EDGE('',*,*,#200082,.F.); #271019=ORIENTED_EDGE('',*,*,#200083,.F.); #271020=ORIENTED_EDGE('',*,*,#200084,.T.); #271021=ORIENTED_EDGE('',*,*,#200083,.T.); #271022=ORIENTED_EDGE('',*,*,#200085,.F.); #271023=ORIENTED_EDGE('',*,*,#200086,.F.); #271024=ORIENTED_EDGE('',*,*,#200087,.T.); #271025=ORIENTED_EDGE('',*,*,#200086,.T.); #271026=ORIENTED_EDGE('',*,*,#200088,.F.); #271027=ORIENTED_EDGE('',*,*,#200089,.F.); #271028=ORIENTED_EDGE('',*,*,#200090,.T.); #271029=ORIENTED_EDGE('',*,*,#200089,.T.); #271030=ORIENTED_EDGE('',*,*,#200091,.F.); #271031=ORIENTED_EDGE('',*,*,#200092,.F.); #271032=ORIENTED_EDGE('',*,*,#200093,.T.); #271033=ORIENTED_EDGE('',*,*,#200092,.T.); #271034=ORIENTED_EDGE('',*,*,#200094,.F.); #271035=ORIENTED_EDGE('',*,*,#200095,.F.); #271036=ORIENTED_EDGE('',*,*,#200096,.T.); #271037=ORIENTED_EDGE('',*,*,#200095,.T.); #271038=ORIENTED_EDGE('',*,*,#200097,.F.); #271039=ORIENTED_EDGE('',*,*,#200098,.F.); #271040=ORIENTED_EDGE('',*,*,#200099,.T.); #271041=ORIENTED_EDGE('',*,*,#200098,.T.); #271042=ORIENTED_EDGE('',*,*,#200100,.F.); #271043=ORIENTED_EDGE('',*,*,#199886,.F.); #271044=ORIENTED_EDGE('',*,*,#200100,.T.); #271045=ORIENTED_EDGE('',*,*,#200097,.T.); #271046=ORIENTED_EDGE('',*,*,#200094,.T.); #271047=ORIENTED_EDGE('',*,*,#200091,.T.); #271048=ORIENTED_EDGE('',*,*,#200088,.T.); #271049=ORIENTED_EDGE('',*,*,#200085,.T.); #271050=ORIENTED_EDGE('',*,*,#200082,.T.); #271051=ORIENTED_EDGE('',*,*,#200079,.T.); #271052=ORIENTED_EDGE('',*,*,#200076,.T.); #271053=ORIENTED_EDGE('',*,*,#200073,.T.); #271054=ORIENTED_EDGE('',*,*,#200070,.T.); #271055=ORIENTED_EDGE('',*,*,#200067,.T.); #271056=ORIENTED_EDGE('',*,*,#200064,.T.); #271057=ORIENTED_EDGE('',*,*,#200061,.T.); #271058=ORIENTED_EDGE('',*,*,#200058,.T.); #271059=ORIENTED_EDGE('',*,*,#200055,.T.); #271060=ORIENTED_EDGE('',*,*,#200052,.T.); #271061=ORIENTED_EDGE('',*,*,#200049,.T.); #271062=ORIENTED_EDGE('',*,*,#200046,.T.); #271063=ORIENTED_EDGE('',*,*,#200043,.T.); #271064=ORIENTED_EDGE('',*,*,#200040,.T.); #271065=ORIENTED_EDGE('',*,*,#200037,.T.); #271066=ORIENTED_EDGE('',*,*,#200034,.T.); #271067=ORIENTED_EDGE('',*,*,#200031,.T.); #271068=ORIENTED_EDGE('',*,*,#200028,.T.); #271069=ORIENTED_EDGE('',*,*,#200025,.T.); #271070=ORIENTED_EDGE('',*,*,#200022,.T.); #271071=ORIENTED_EDGE('',*,*,#200019,.T.); #271072=ORIENTED_EDGE('',*,*,#200016,.T.); #271073=ORIENTED_EDGE('',*,*,#200013,.T.); #271074=ORIENTED_EDGE('',*,*,#200010,.T.); #271075=ORIENTED_EDGE('',*,*,#200007,.T.); #271076=ORIENTED_EDGE('',*,*,#200004,.T.); #271077=ORIENTED_EDGE('',*,*,#200001,.T.); #271078=ORIENTED_EDGE('',*,*,#199998,.T.); #271079=ORIENTED_EDGE('',*,*,#199995,.T.); #271080=ORIENTED_EDGE('',*,*,#199992,.T.); #271081=ORIENTED_EDGE('',*,*,#199989,.T.); #271082=ORIENTED_EDGE('',*,*,#199986,.T.); #271083=ORIENTED_EDGE('',*,*,#199983,.T.); #271084=ORIENTED_EDGE('',*,*,#199980,.T.); #271085=ORIENTED_EDGE('',*,*,#199977,.T.); #271086=ORIENTED_EDGE('',*,*,#199974,.T.); #271087=ORIENTED_EDGE('',*,*,#199971,.T.); #271088=ORIENTED_EDGE('',*,*,#199968,.T.); #271089=ORIENTED_EDGE('',*,*,#199965,.T.); #271090=ORIENTED_EDGE('',*,*,#199962,.T.); #271091=ORIENTED_EDGE('',*,*,#199959,.T.); #271092=ORIENTED_EDGE('',*,*,#199956,.T.); #271093=ORIENTED_EDGE('',*,*,#199953,.T.); #271094=ORIENTED_EDGE('',*,*,#199950,.T.); #271095=ORIENTED_EDGE('',*,*,#199947,.T.); #271096=ORIENTED_EDGE('',*,*,#199944,.T.); #271097=ORIENTED_EDGE('',*,*,#199941,.T.); #271098=ORIENTED_EDGE('',*,*,#199938,.T.); #271099=ORIENTED_EDGE('',*,*,#199935,.T.); #271100=ORIENTED_EDGE('',*,*,#199932,.T.); #271101=ORIENTED_EDGE('',*,*,#199929,.T.); #271102=ORIENTED_EDGE('',*,*,#199926,.T.); #271103=ORIENTED_EDGE('',*,*,#199923,.T.); #271104=ORIENTED_EDGE('',*,*,#199920,.T.); #271105=ORIENTED_EDGE('',*,*,#199917,.T.); #271106=ORIENTED_EDGE('',*,*,#199914,.T.); #271107=ORIENTED_EDGE('',*,*,#199911,.T.); #271108=ORIENTED_EDGE('',*,*,#199908,.T.); #271109=ORIENTED_EDGE('',*,*,#199905,.T.); #271110=ORIENTED_EDGE('',*,*,#199902,.T.); #271111=ORIENTED_EDGE('',*,*,#199899,.T.); #271112=ORIENTED_EDGE('',*,*,#199896,.T.); #271113=ORIENTED_EDGE('',*,*,#199893,.T.); #271114=ORIENTED_EDGE('',*,*,#199890,.T.); #271115=ORIENTED_EDGE('',*,*,#199887,.T.); #271116=ORIENTED_EDGE('',*,*,#199880,.T.); #271117=ORIENTED_EDGE('',*,*,#199883,.T.); #271118=ORIENTED_EDGE('',*,*,#199873,.T.); #271119=ORIENTED_EDGE('',*,*,#199877,.T.); #271120=ORIENTED_EDGE('',*,*,#200099,.F.); #271121=ORIENTED_EDGE('',*,*,#199885,.F.); #271122=ORIENTED_EDGE('',*,*,#199889,.F.); #271123=ORIENTED_EDGE('',*,*,#199892,.F.); #271124=ORIENTED_EDGE('',*,*,#199895,.F.); #271125=ORIENTED_EDGE('',*,*,#199898,.F.); #271126=ORIENTED_EDGE('',*,*,#199901,.F.); #271127=ORIENTED_EDGE('',*,*,#199904,.F.); #271128=ORIENTED_EDGE('',*,*,#199907,.F.); #271129=ORIENTED_EDGE('',*,*,#199910,.F.); #271130=ORIENTED_EDGE('',*,*,#199913,.F.); #271131=ORIENTED_EDGE('',*,*,#199916,.F.); #271132=ORIENTED_EDGE('',*,*,#199919,.F.); #271133=ORIENTED_EDGE('',*,*,#199922,.F.); #271134=ORIENTED_EDGE('',*,*,#199925,.F.); #271135=ORIENTED_EDGE('',*,*,#199928,.F.); #271136=ORIENTED_EDGE('',*,*,#199931,.F.); #271137=ORIENTED_EDGE('',*,*,#199934,.F.); #271138=ORIENTED_EDGE('',*,*,#199937,.F.); #271139=ORIENTED_EDGE('',*,*,#199940,.F.); #271140=ORIENTED_EDGE('',*,*,#199943,.F.); #271141=ORIENTED_EDGE('',*,*,#199946,.F.); #271142=ORIENTED_EDGE('',*,*,#199949,.F.); #271143=ORIENTED_EDGE('',*,*,#199952,.F.); #271144=ORIENTED_EDGE('',*,*,#199955,.F.); #271145=ORIENTED_EDGE('',*,*,#199958,.F.); #271146=ORIENTED_EDGE('',*,*,#199961,.F.); #271147=ORIENTED_EDGE('',*,*,#199964,.F.); #271148=ORIENTED_EDGE('',*,*,#199967,.F.); #271149=ORIENTED_EDGE('',*,*,#199970,.F.); #271150=ORIENTED_EDGE('',*,*,#199973,.F.); #271151=ORIENTED_EDGE('',*,*,#199976,.F.); #271152=ORIENTED_EDGE('',*,*,#199979,.F.); #271153=ORIENTED_EDGE('',*,*,#199982,.F.); #271154=ORIENTED_EDGE('',*,*,#199985,.F.); #271155=ORIENTED_EDGE('',*,*,#199988,.F.); #271156=ORIENTED_EDGE('',*,*,#199991,.F.); #271157=ORIENTED_EDGE('',*,*,#199994,.F.); #271158=ORIENTED_EDGE('',*,*,#199997,.F.); #271159=ORIENTED_EDGE('',*,*,#200000,.F.); #271160=ORIENTED_EDGE('',*,*,#200003,.F.); #271161=ORIENTED_EDGE('',*,*,#200006,.F.); #271162=ORIENTED_EDGE('',*,*,#200009,.F.); #271163=ORIENTED_EDGE('',*,*,#200012,.F.); #271164=ORIENTED_EDGE('',*,*,#200015,.F.); #271165=ORIENTED_EDGE('',*,*,#200018,.F.); #271166=ORIENTED_EDGE('',*,*,#200021,.F.); #271167=ORIENTED_EDGE('',*,*,#200024,.F.); #271168=ORIENTED_EDGE('',*,*,#200027,.F.); #271169=ORIENTED_EDGE('',*,*,#200030,.F.); #271170=ORIENTED_EDGE('',*,*,#200033,.F.); #271171=ORIENTED_EDGE('',*,*,#200036,.F.); #271172=ORIENTED_EDGE('',*,*,#200039,.F.); #271173=ORIENTED_EDGE('',*,*,#200042,.F.); #271174=ORIENTED_EDGE('',*,*,#200045,.F.); #271175=ORIENTED_EDGE('',*,*,#200048,.F.); #271176=ORIENTED_EDGE('',*,*,#200051,.F.); #271177=ORIENTED_EDGE('',*,*,#200054,.F.); #271178=ORIENTED_EDGE('',*,*,#200057,.F.); #271179=ORIENTED_EDGE('',*,*,#200060,.F.); #271180=ORIENTED_EDGE('',*,*,#200063,.F.); #271181=ORIENTED_EDGE('',*,*,#200066,.F.); #271182=ORIENTED_EDGE('',*,*,#200069,.F.); #271183=ORIENTED_EDGE('',*,*,#200072,.F.); #271184=ORIENTED_EDGE('',*,*,#200075,.F.); #271185=ORIENTED_EDGE('',*,*,#200078,.F.); #271186=ORIENTED_EDGE('',*,*,#200081,.F.); #271187=ORIENTED_EDGE('',*,*,#200084,.F.); #271188=ORIENTED_EDGE('',*,*,#200087,.F.); #271189=ORIENTED_EDGE('',*,*,#200090,.F.); #271190=ORIENTED_EDGE('',*,*,#200093,.F.); #271191=ORIENTED_EDGE('',*,*,#200096,.F.); #271192=ORIENTED_EDGE('',*,*,#199881,.T.); #271193=ORIENTED_EDGE('',*,*,#199878,.T.); #271194=ORIENTED_EDGE('',*,*,#199875,.T.); #271195=ORIENTED_EDGE('',*,*,#199884,.T.); #271196=ORIENTED_EDGE('',*,*,#200101,.T.); #271197=ORIENTED_EDGE('',*,*,#200102,.T.); #271198=ORIENTED_EDGE('',*,*,#200103,.F.); #271199=ORIENTED_EDGE('',*,*,#200104,.F.); #271200=ORIENTED_EDGE('',*,*,#200105,.T.); #271201=ORIENTED_EDGE('',*,*,#200104,.T.); #271202=ORIENTED_EDGE('',*,*,#200106,.F.); #271203=ORIENTED_EDGE('',*,*,#200107,.F.); #271204=ORIENTED_EDGE('',*,*,#200108,.T.); #271205=ORIENTED_EDGE('',*,*,#200107,.T.); #271206=ORIENTED_EDGE('',*,*,#200109,.F.); #271207=ORIENTED_EDGE('',*,*,#200110,.F.); #271208=ORIENTED_EDGE('',*,*,#200111,.T.); #271209=ORIENTED_EDGE('',*,*,#200110,.T.); #271210=ORIENTED_EDGE('',*,*,#200112,.F.); #271211=ORIENTED_EDGE('',*,*,#200113,.F.); #271212=ORIENTED_EDGE('',*,*,#200114,.T.); #271213=ORIENTED_EDGE('',*,*,#200113,.T.); #271214=ORIENTED_EDGE('',*,*,#200115,.F.); #271215=ORIENTED_EDGE('',*,*,#200116,.F.); #271216=ORIENTED_EDGE('',*,*,#200117,.T.); #271217=ORIENTED_EDGE('',*,*,#200116,.T.); #271218=ORIENTED_EDGE('',*,*,#200118,.F.); #271219=ORIENTED_EDGE('',*,*,#200119,.F.); #271220=ORIENTED_EDGE('',*,*,#200120,.T.); #271221=ORIENTED_EDGE('',*,*,#200119,.T.); #271222=ORIENTED_EDGE('',*,*,#200121,.F.); #271223=ORIENTED_EDGE('',*,*,#200122,.F.); #271224=ORIENTED_EDGE('',*,*,#200123,.T.); #271225=ORIENTED_EDGE('',*,*,#200122,.T.); #271226=ORIENTED_EDGE('',*,*,#200124,.F.); #271227=ORIENTED_EDGE('',*,*,#200125,.F.); #271228=ORIENTED_EDGE('',*,*,#200126,.T.); #271229=ORIENTED_EDGE('',*,*,#200125,.T.); #271230=ORIENTED_EDGE('',*,*,#200127,.F.); #271231=ORIENTED_EDGE('',*,*,#200128,.F.); #271232=ORIENTED_EDGE('',*,*,#200129,.T.); #271233=ORIENTED_EDGE('',*,*,#200128,.T.); #271234=ORIENTED_EDGE('',*,*,#200130,.F.); #271235=ORIENTED_EDGE('',*,*,#200131,.F.); #271236=ORIENTED_EDGE('',*,*,#200132,.T.); #271237=ORIENTED_EDGE('',*,*,#200131,.T.); #271238=ORIENTED_EDGE('',*,*,#200133,.F.); #271239=ORIENTED_EDGE('',*,*,#200134,.F.); #271240=ORIENTED_EDGE('',*,*,#200135,.T.); #271241=ORIENTED_EDGE('',*,*,#200134,.T.); #271242=ORIENTED_EDGE('',*,*,#200136,.F.); #271243=ORIENTED_EDGE('',*,*,#200137,.F.); #271244=ORIENTED_EDGE('',*,*,#200138,.T.); #271245=ORIENTED_EDGE('',*,*,#200137,.T.); #271246=ORIENTED_EDGE('',*,*,#200139,.F.); #271247=ORIENTED_EDGE('',*,*,#200140,.F.); #271248=ORIENTED_EDGE('',*,*,#200141,.T.); #271249=ORIENTED_EDGE('',*,*,#200140,.T.); #271250=ORIENTED_EDGE('',*,*,#200142,.F.); #271251=ORIENTED_EDGE('',*,*,#200143,.F.); #271252=ORIENTED_EDGE('',*,*,#200144,.T.); #271253=ORIENTED_EDGE('',*,*,#200143,.T.); #271254=ORIENTED_EDGE('',*,*,#200145,.F.); #271255=ORIENTED_EDGE('',*,*,#200146,.F.); #271256=ORIENTED_EDGE('',*,*,#200147,.T.); #271257=ORIENTED_EDGE('',*,*,#200146,.T.); #271258=ORIENTED_EDGE('',*,*,#200148,.F.); #271259=ORIENTED_EDGE('',*,*,#200149,.F.); #271260=ORIENTED_EDGE('',*,*,#200150,.T.); #271261=ORIENTED_EDGE('',*,*,#200149,.T.); #271262=ORIENTED_EDGE('',*,*,#200151,.F.); #271263=ORIENTED_EDGE('',*,*,#200152,.F.); #271264=ORIENTED_EDGE('',*,*,#200153,.T.); #271265=ORIENTED_EDGE('',*,*,#200152,.T.); #271266=ORIENTED_EDGE('',*,*,#200154,.F.); #271267=ORIENTED_EDGE('',*,*,#200155,.F.); #271268=ORIENTED_EDGE('',*,*,#200156,.T.); #271269=ORIENTED_EDGE('',*,*,#200155,.T.); #271270=ORIENTED_EDGE('',*,*,#200157,.F.); #271271=ORIENTED_EDGE('',*,*,#200158,.F.); #271272=ORIENTED_EDGE('',*,*,#200159,.T.); #271273=ORIENTED_EDGE('',*,*,#200158,.T.); #271274=ORIENTED_EDGE('',*,*,#200160,.F.); #271275=ORIENTED_EDGE('',*,*,#200161,.F.); #271276=ORIENTED_EDGE('',*,*,#200162,.T.); #271277=ORIENTED_EDGE('',*,*,#200161,.T.); #271278=ORIENTED_EDGE('',*,*,#200163,.F.); #271279=ORIENTED_EDGE('',*,*,#200164,.F.); #271280=ORIENTED_EDGE('',*,*,#200165,.T.); #271281=ORIENTED_EDGE('',*,*,#200164,.T.); #271282=ORIENTED_EDGE('',*,*,#200166,.F.); #271283=ORIENTED_EDGE('',*,*,#200167,.F.); #271284=ORIENTED_EDGE('',*,*,#200168,.T.); #271285=ORIENTED_EDGE('',*,*,#200167,.T.); #271286=ORIENTED_EDGE('',*,*,#200169,.F.); #271287=ORIENTED_EDGE('',*,*,#200170,.F.); #271288=ORIENTED_EDGE('',*,*,#200171,.T.); #271289=ORIENTED_EDGE('',*,*,#200170,.T.); #271290=ORIENTED_EDGE('',*,*,#200172,.F.); #271291=ORIENTED_EDGE('',*,*,#200173,.F.); #271292=ORIENTED_EDGE('',*,*,#200174,.T.); #271293=ORIENTED_EDGE('',*,*,#200173,.T.); #271294=ORIENTED_EDGE('',*,*,#200175,.F.); #271295=ORIENTED_EDGE('',*,*,#200176,.F.); #271296=ORIENTED_EDGE('',*,*,#200177,.T.); #271297=ORIENTED_EDGE('',*,*,#200176,.T.); #271298=ORIENTED_EDGE('',*,*,#200178,.F.); #271299=ORIENTED_EDGE('',*,*,#200179,.F.); #271300=ORIENTED_EDGE('',*,*,#200180,.T.); #271301=ORIENTED_EDGE('',*,*,#200179,.T.); #271302=ORIENTED_EDGE('',*,*,#200181,.F.); #271303=ORIENTED_EDGE('',*,*,#200182,.F.); #271304=ORIENTED_EDGE('',*,*,#200183,.T.); #271305=ORIENTED_EDGE('',*,*,#200182,.T.); #271306=ORIENTED_EDGE('',*,*,#200184,.F.); #271307=ORIENTED_EDGE('',*,*,#200185,.F.); #271308=ORIENTED_EDGE('',*,*,#200186,.T.); #271309=ORIENTED_EDGE('',*,*,#200185,.T.); #271310=ORIENTED_EDGE('',*,*,#200187,.F.); #271311=ORIENTED_EDGE('',*,*,#200188,.F.); #271312=ORIENTED_EDGE('',*,*,#200189,.T.); #271313=ORIENTED_EDGE('',*,*,#200188,.T.); #271314=ORIENTED_EDGE('',*,*,#200190,.F.); #271315=ORIENTED_EDGE('',*,*,#200191,.F.); #271316=ORIENTED_EDGE('',*,*,#200192,.T.); #271317=ORIENTED_EDGE('',*,*,#200191,.T.); #271318=ORIENTED_EDGE('',*,*,#200193,.F.); #271319=ORIENTED_EDGE('',*,*,#200194,.F.); #271320=ORIENTED_EDGE('',*,*,#200195,.T.); #271321=ORIENTED_EDGE('',*,*,#200194,.T.); #271322=ORIENTED_EDGE('',*,*,#200196,.F.); #271323=ORIENTED_EDGE('',*,*,#200197,.F.); #271324=ORIENTED_EDGE('',*,*,#200198,.T.); #271325=ORIENTED_EDGE('',*,*,#200197,.T.); #271326=ORIENTED_EDGE('',*,*,#200199,.F.); #271327=ORIENTED_EDGE('',*,*,#200200,.F.); #271328=ORIENTED_EDGE('',*,*,#200201,.T.); #271329=ORIENTED_EDGE('',*,*,#200200,.T.); #271330=ORIENTED_EDGE('',*,*,#200202,.F.); #271331=ORIENTED_EDGE('',*,*,#200102,.F.); #271332=ORIENTED_EDGE('',*,*,#200202,.T.); #271333=ORIENTED_EDGE('',*,*,#200199,.T.); #271334=ORIENTED_EDGE('',*,*,#200196,.T.); #271335=ORIENTED_EDGE('',*,*,#200193,.T.); #271336=ORIENTED_EDGE('',*,*,#200190,.T.); #271337=ORIENTED_EDGE('',*,*,#200187,.T.); #271338=ORIENTED_EDGE('',*,*,#200184,.T.); #271339=ORIENTED_EDGE('',*,*,#200181,.T.); #271340=ORIENTED_EDGE('',*,*,#200178,.T.); #271341=ORIENTED_EDGE('',*,*,#200175,.T.); #271342=ORIENTED_EDGE('',*,*,#200172,.T.); #271343=ORIENTED_EDGE('',*,*,#200169,.T.); #271344=ORIENTED_EDGE('',*,*,#200166,.T.); #271345=ORIENTED_EDGE('',*,*,#200163,.T.); #271346=ORIENTED_EDGE('',*,*,#200160,.T.); #271347=ORIENTED_EDGE('',*,*,#200157,.T.); #271348=ORIENTED_EDGE('',*,*,#200154,.T.); #271349=ORIENTED_EDGE('',*,*,#200151,.T.); #271350=ORIENTED_EDGE('',*,*,#200148,.T.); #271351=ORIENTED_EDGE('',*,*,#200145,.T.); #271352=ORIENTED_EDGE('',*,*,#200142,.T.); #271353=ORIENTED_EDGE('',*,*,#200139,.T.); #271354=ORIENTED_EDGE('',*,*,#200136,.T.); #271355=ORIENTED_EDGE('',*,*,#200133,.T.); #271356=ORIENTED_EDGE('',*,*,#200130,.T.); #271357=ORIENTED_EDGE('',*,*,#200127,.T.); #271358=ORIENTED_EDGE('',*,*,#200124,.T.); #271359=ORIENTED_EDGE('',*,*,#200121,.T.); #271360=ORIENTED_EDGE('',*,*,#200118,.T.); #271361=ORIENTED_EDGE('',*,*,#200115,.T.); #271362=ORIENTED_EDGE('',*,*,#200112,.T.); #271363=ORIENTED_EDGE('',*,*,#200109,.T.); #271364=ORIENTED_EDGE('',*,*,#200106,.T.); #271365=ORIENTED_EDGE('',*,*,#200103,.T.); #271366=ORIENTED_EDGE('',*,*,#200201,.F.); #271367=ORIENTED_EDGE('',*,*,#200101,.F.); #271368=ORIENTED_EDGE('',*,*,#200105,.F.); #271369=ORIENTED_EDGE('',*,*,#200108,.F.); #271370=ORIENTED_EDGE('',*,*,#200111,.F.); #271371=ORIENTED_EDGE('',*,*,#200114,.F.); #271372=ORIENTED_EDGE('',*,*,#200117,.F.); #271373=ORIENTED_EDGE('',*,*,#200120,.F.); #271374=ORIENTED_EDGE('',*,*,#200123,.F.); #271375=ORIENTED_EDGE('',*,*,#200126,.F.); #271376=ORIENTED_EDGE('',*,*,#200129,.F.); #271377=ORIENTED_EDGE('',*,*,#200132,.F.); #271378=ORIENTED_EDGE('',*,*,#200135,.F.); #271379=ORIENTED_EDGE('',*,*,#200138,.F.); #271380=ORIENTED_EDGE('',*,*,#200141,.F.); #271381=ORIENTED_EDGE('',*,*,#200144,.F.); #271382=ORIENTED_EDGE('',*,*,#200147,.F.); #271383=ORIENTED_EDGE('',*,*,#200150,.F.); #271384=ORIENTED_EDGE('',*,*,#200153,.F.); #271385=ORIENTED_EDGE('',*,*,#200156,.F.); #271386=ORIENTED_EDGE('',*,*,#200159,.F.); #271387=ORIENTED_EDGE('',*,*,#200162,.F.); #271388=ORIENTED_EDGE('',*,*,#200165,.F.); #271389=ORIENTED_EDGE('',*,*,#200168,.F.); #271390=ORIENTED_EDGE('',*,*,#200171,.F.); #271391=ORIENTED_EDGE('',*,*,#200174,.F.); #271392=ORIENTED_EDGE('',*,*,#200177,.F.); #271393=ORIENTED_EDGE('',*,*,#200180,.F.); #271394=ORIENTED_EDGE('',*,*,#200183,.F.); #271395=ORIENTED_EDGE('',*,*,#200186,.F.); #271396=ORIENTED_EDGE('',*,*,#200189,.F.); #271397=ORIENTED_EDGE('',*,*,#200192,.F.); #271398=ORIENTED_EDGE('',*,*,#200195,.F.); #271399=ORIENTED_EDGE('',*,*,#200198,.F.); #271400=ORIENTED_EDGE('',*,*,#200203,.F.); #271401=ORIENTED_EDGE('',*,*,#200204,.T.); #271402=ORIENTED_EDGE('',*,*,#200205,.F.); #271403=ORIENTED_EDGE('',*,*,#200204,.F.); #271404=ORIENTED_EDGE('',*,*,#200206,.T.); #271405=ORIENTED_EDGE('',*,*,#200207,.T.); #271406=ORIENTED_EDGE('',*,*,#200208,.F.); #271407=ORIENTED_EDGE('',*,*,#200209,.F.); #271408=ORIENTED_EDGE('',*,*,#200210,.T.); #271409=ORIENTED_EDGE('',*,*,#200209,.T.); #271410=ORIENTED_EDGE('',*,*,#200211,.F.); #271411=ORIENTED_EDGE('',*,*,#200212,.F.); #271412=ORIENTED_EDGE('',*,*,#200213,.T.); #271413=ORIENTED_EDGE('',*,*,#200212,.T.); #271414=ORIENTED_EDGE('',*,*,#200214,.F.); #271415=ORIENTED_EDGE('',*,*,#200215,.F.); #271416=ORIENTED_EDGE('',*,*,#200216,.T.); #271417=ORIENTED_EDGE('',*,*,#200215,.T.); #271418=ORIENTED_EDGE('',*,*,#200217,.F.); #271419=ORIENTED_EDGE('',*,*,#200218,.F.); #271420=ORIENTED_EDGE('',*,*,#200219,.T.); #271421=ORIENTED_EDGE('',*,*,#200218,.T.); #271422=ORIENTED_EDGE('',*,*,#200220,.F.); #271423=ORIENTED_EDGE('',*,*,#200221,.F.); #271424=ORIENTED_EDGE('',*,*,#200222,.T.); #271425=ORIENTED_EDGE('',*,*,#200221,.T.); #271426=ORIENTED_EDGE('',*,*,#200223,.F.); #271427=ORIENTED_EDGE('',*,*,#200224,.F.); #271428=ORIENTED_EDGE('',*,*,#200225,.T.); #271429=ORIENTED_EDGE('',*,*,#200224,.T.); #271430=ORIENTED_EDGE('',*,*,#200226,.F.); #271431=ORIENTED_EDGE('',*,*,#200227,.F.); #271432=ORIENTED_EDGE('',*,*,#200228,.T.); #271433=ORIENTED_EDGE('',*,*,#200227,.T.); #271434=ORIENTED_EDGE('',*,*,#200229,.F.); #271435=ORIENTED_EDGE('',*,*,#200207,.F.); #271436=ORIENTED_EDGE('',*,*,#200229,.T.); #271437=ORIENTED_EDGE('',*,*,#200226,.T.); #271438=ORIENTED_EDGE('',*,*,#200223,.T.); #271439=ORIENTED_EDGE('',*,*,#200220,.T.); #271440=ORIENTED_EDGE('',*,*,#200217,.T.); #271441=ORIENTED_EDGE('',*,*,#200214,.T.); #271442=ORIENTED_EDGE('',*,*,#200211,.T.); #271443=ORIENTED_EDGE('',*,*,#200208,.T.); #271444=ORIENTED_EDGE('',*,*,#200205,.T.); #271445=ORIENTED_EDGE('',*,*,#200228,.F.); #271446=ORIENTED_EDGE('',*,*,#200206,.F.); #271447=ORIENTED_EDGE('',*,*,#200210,.F.); #271448=ORIENTED_EDGE('',*,*,#200213,.F.); #271449=ORIENTED_EDGE('',*,*,#200216,.F.); #271450=ORIENTED_EDGE('',*,*,#200219,.F.); #271451=ORIENTED_EDGE('',*,*,#200222,.F.); #271452=ORIENTED_EDGE('',*,*,#200225,.F.); #271453=ORIENTED_EDGE('',*,*,#200203,.T.); #271454=ORIENTED_EDGE('',*,*,#200230,.F.); #271455=ORIENTED_EDGE('',*,*,#200231,.T.); #271456=ORIENTED_EDGE('',*,*,#200232,.F.); #271457=ORIENTED_EDGE('',*,*,#200231,.F.); #271458=ORIENTED_EDGE('',*,*,#200233,.F.); #271459=ORIENTED_EDGE('',*,*,#200234,.T.); #271460=ORIENTED_EDGE('',*,*,#200235,.F.); #271461=ORIENTED_EDGE('',*,*,#200234,.F.); #271462=ORIENTED_EDGE('',*,*,#200236,.F.); #271463=ORIENTED_EDGE('',*,*,#200237,.T.); #271464=ORIENTED_EDGE('',*,*,#200238,.F.); #271465=ORIENTED_EDGE('',*,*,#200237,.F.); #271466=ORIENTED_EDGE('',*,*,#200239,.F.); #271467=ORIENTED_EDGE('',*,*,#200240,.T.); #271468=ORIENTED_EDGE('',*,*,#200241,.F.); #271469=ORIENTED_EDGE('',*,*,#200240,.F.); #271470=ORIENTED_EDGE('',*,*,#200242,.F.); #271471=ORIENTED_EDGE('',*,*,#200243,.T.); #271472=ORIENTED_EDGE('',*,*,#200244,.F.); #271473=ORIENTED_EDGE('',*,*,#200243,.F.); #271474=ORIENTED_EDGE('',*,*,#200245,.F.); #271475=ORIENTED_EDGE('',*,*,#200246,.T.); #271476=ORIENTED_EDGE('',*,*,#200247,.F.); #271477=ORIENTED_EDGE('',*,*,#200246,.F.); #271478=ORIENTED_EDGE('',*,*,#200248,.F.); #271479=ORIENTED_EDGE('',*,*,#200249,.T.); #271480=ORIENTED_EDGE('',*,*,#200250,.F.); #271481=ORIENTED_EDGE('',*,*,#200249,.F.); #271482=ORIENTED_EDGE('',*,*,#200251,.F.); #271483=ORIENTED_EDGE('',*,*,#200252,.T.); #271484=ORIENTED_EDGE('',*,*,#200253,.F.); #271485=ORIENTED_EDGE('',*,*,#200252,.F.); #271486=ORIENTED_EDGE('',*,*,#200254,.F.); #271487=ORIENTED_EDGE('',*,*,#200255,.T.); #271488=ORIENTED_EDGE('',*,*,#200256,.F.); #271489=ORIENTED_EDGE('',*,*,#200255,.F.); #271490=ORIENTED_EDGE('',*,*,#200257,.F.); #271491=ORIENTED_EDGE('',*,*,#200258,.T.); #271492=ORIENTED_EDGE('',*,*,#200259,.F.); #271493=ORIENTED_EDGE('',*,*,#200258,.F.); #271494=ORIENTED_EDGE('',*,*,#200260,.F.); #271495=ORIENTED_EDGE('',*,*,#200261,.T.); #271496=ORIENTED_EDGE('',*,*,#200262,.F.); #271497=ORIENTED_EDGE('',*,*,#200261,.F.); #271498=ORIENTED_EDGE('',*,*,#200263,.F.); #271499=ORIENTED_EDGE('',*,*,#200264,.T.); #271500=ORIENTED_EDGE('',*,*,#200265,.F.); #271501=ORIENTED_EDGE('',*,*,#200264,.F.); #271502=ORIENTED_EDGE('',*,*,#200266,.F.); #271503=ORIENTED_EDGE('',*,*,#200267,.T.); #271504=ORIENTED_EDGE('',*,*,#200268,.F.); #271505=ORIENTED_EDGE('',*,*,#200267,.F.); #271506=ORIENTED_EDGE('',*,*,#200269,.F.); #271507=ORIENTED_EDGE('',*,*,#200270,.T.); #271508=ORIENTED_EDGE('',*,*,#200271,.F.); #271509=ORIENTED_EDGE('',*,*,#200270,.F.); #271510=ORIENTED_EDGE('',*,*,#200272,.F.); #271511=ORIENTED_EDGE('',*,*,#200273,.T.); #271512=ORIENTED_EDGE('',*,*,#200274,.F.); #271513=ORIENTED_EDGE('',*,*,#200273,.F.); #271514=ORIENTED_EDGE('',*,*,#200275,.F.); #271515=ORIENTED_EDGE('',*,*,#200276,.T.); #271516=ORIENTED_EDGE('',*,*,#200277,.F.); #271517=ORIENTED_EDGE('',*,*,#200276,.F.); #271518=ORIENTED_EDGE('',*,*,#200278,.F.); #271519=ORIENTED_EDGE('',*,*,#200279,.F.); #271520=ORIENTED_EDGE('',*,*,#200280,.F.); #271521=ORIENTED_EDGE('',*,*,#200281,.T.); #271522=ORIENTED_EDGE('',*,*,#200282,.F.); #271523=ORIENTED_EDGE('',*,*,#200281,.F.); #271524=ORIENTED_EDGE('',*,*,#200283,.F.); #271525=ORIENTED_EDGE('',*,*,#200284,.T.); #271526=ORIENTED_EDGE('',*,*,#200285,.F.); #271527=ORIENTED_EDGE('',*,*,#200284,.F.); #271528=ORIENTED_EDGE('',*,*,#200286,.F.); #271529=ORIENTED_EDGE('',*,*,#200287,.T.); #271530=ORIENTED_EDGE('',*,*,#200288,.F.); #271531=ORIENTED_EDGE('',*,*,#200287,.F.); #271532=ORIENTED_EDGE('',*,*,#200289,.F.); #271533=ORIENTED_EDGE('',*,*,#200279,.T.); #271534=ORIENTED_EDGE('',*,*,#200290,.F.); #271535=ORIENTED_EDGE('',*,*,#200291,.F.); #271536=ORIENTED_EDGE('',*,*,#200292,.F.); #271537=ORIENTED_EDGE('',*,*,#200293,.T.); #271538=ORIENTED_EDGE('',*,*,#200294,.F.); #271539=ORIENTED_EDGE('',*,*,#200293,.F.); #271540=ORIENTED_EDGE('',*,*,#200295,.F.); #271541=ORIENTED_EDGE('',*,*,#200296,.T.); #271542=ORIENTED_EDGE('',*,*,#200297,.F.); #271543=ORIENTED_EDGE('',*,*,#200296,.F.); #271544=ORIENTED_EDGE('',*,*,#200298,.F.); #271545=ORIENTED_EDGE('',*,*,#200299,.T.); #271546=ORIENTED_EDGE('',*,*,#200300,.F.); #271547=ORIENTED_EDGE('',*,*,#200299,.F.); #271548=ORIENTED_EDGE('',*,*,#200301,.F.); #271549=ORIENTED_EDGE('',*,*,#200291,.T.); #271550=ORIENTED_EDGE('',*,*,#200302,.F.); #271551=ORIENTED_EDGE('',*,*,#200303,.T.); #271552=ORIENTED_EDGE('',*,*,#200304,.F.); #271553=ORIENTED_EDGE('',*,*,#200303,.F.); #271554=ORIENTED_EDGE('',*,*,#200305,.F.); #271555=ORIENTED_EDGE('',*,*,#200306,.T.); #271556=ORIENTED_EDGE('',*,*,#200307,.F.); #271557=ORIENTED_EDGE('',*,*,#200306,.F.); #271558=ORIENTED_EDGE('',*,*,#200308,.F.); #271559=ORIENTED_EDGE('',*,*,#200309,.T.); #271560=ORIENTED_EDGE('',*,*,#200310,.F.); #271561=ORIENTED_EDGE('',*,*,#200309,.F.); #271562=ORIENTED_EDGE('',*,*,#200311,.F.); #271563=ORIENTED_EDGE('',*,*,#200312,.T.); #271564=ORIENTED_EDGE('',*,*,#200313,.F.); #271565=ORIENTED_EDGE('',*,*,#200312,.F.); #271566=ORIENTED_EDGE('',*,*,#200314,.F.); #271567=ORIENTED_EDGE('',*,*,#200315,.T.); #271568=ORIENTED_EDGE('',*,*,#200316,.F.); #271569=ORIENTED_EDGE('',*,*,#200315,.F.); #271570=ORIENTED_EDGE('',*,*,#200317,.F.); #271571=ORIENTED_EDGE('',*,*,#200318,.T.); #271572=ORIENTED_EDGE('',*,*,#200319,.F.); #271573=ORIENTED_EDGE('',*,*,#200318,.F.); #271574=ORIENTED_EDGE('',*,*,#200320,.F.); #271575=ORIENTED_EDGE('',*,*,#200321,.T.); #271576=ORIENTED_EDGE('',*,*,#200322,.F.); #271577=ORIENTED_EDGE('',*,*,#200321,.F.); #271578=ORIENTED_EDGE('',*,*,#200323,.F.); #271579=ORIENTED_EDGE('',*,*,#200324,.T.); #271580=ORIENTED_EDGE('',*,*,#200325,.F.); #271581=ORIENTED_EDGE('',*,*,#200324,.F.); #271582=ORIENTED_EDGE('',*,*,#200326,.F.); #271583=ORIENTED_EDGE('',*,*,#200327,.F.); #271584=ORIENTED_EDGE('',*,*,#200328,.F.); #271585=ORIENTED_EDGE('',*,*,#200329,.T.); #271586=ORIENTED_EDGE('',*,*,#200330,.F.); #271587=ORIENTED_EDGE('',*,*,#200329,.F.); #271588=ORIENTED_EDGE('',*,*,#200331,.F.); #271589=ORIENTED_EDGE('',*,*,#200332,.T.); #271590=ORIENTED_EDGE('',*,*,#200333,.F.); #271591=ORIENTED_EDGE('',*,*,#200332,.F.); #271592=ORIENTED_EDGE('',*,*,#200334,.F.); #271593=ORIENTED_EDGE('',*,*,#200335,.T.); #271594=ORIENTED_EDGE('',*,*,#200336,.F.); #271595=ORIENTED_EDGE('',*,*,#200335,.F.); #271596=ORIENTED_EDGE('',*,*,#200337,.F.); #271597=ORIENTED_EDGE('',*,*,#200327,.T.); #271598=ORIENTED_EDGE('',*,*,#200338,.F.); #271599=ORIENTED_EDGE('',*,*,#200339,.F.); #271600=ORIENTED_EDGE('',*,*,#200340,.F.); #271601=ORIENTED_EDGE('',*,*,#200341,.T.); #271602=ORIENTED_EDGE('',*,*,#200342,.F.); #271603=ORIENTED_EDGE('',*,*,#200341,.F.); #271604=ORIENTED_EDGE('',*,*,#200343,.F.); #271605=ORIENTED_EDGE('',*,*,#200344,.T.); #271606=ORIENTED_EDGE('',*,*,#200345,.F.); #271607=ORIENTED_EDGE('',*,*,#200344,.F.); #271608=ORIENTED_EDGE('',*,*,#200346,.F.); #271609=ORIENTED_EDGE('',*,*,#200347,.T.); #271610=ORIENTED_EDGE('',*,*,#200348,.F.); #271611=ORIENTED_EDGE('',*,*,#200347,.F.); #271612=ORIENTED_EDGE('',*,*,#200349,.F.); #271613=ORIENTED_EDGE('',*,*,#200339,.T.); #271614=ORIENTED_EDGE('',*,*,#200350,.F.); #271615=ORIENTED_EDGE('',*,*,#200351,.T.); #271616=ORIENTED_EDGE('',*,*,#200352,.F.); #271617=ORIENTED_EDGE('',*,*,#200351,.F.); #271618=ORIENTED_EDGE('',*,*,#200353,.F.); #271619=ORIENTED_EDGE('',*,*,#200354,.T.); #271620=ORIENTED_EDGE('',*,*,#200355,.F.); #271621=ORIENTED_EDGE('',*,*,#200354,.F.); #271622=ORIENTED_EDGE('',*,*,#200356,.F.); #271623=ORIENTED_EDGE('',*,*,#200357,.T.); #271624=ORIENTED_EDGE('',*,*,#200358,.F.); #271625=ORIENTED_EDGE('',*,*,#200357,.F.); #271626=ORIENTED_EDGE('',*,*,#200359,.F.); #271627=ORIENTED_EDGE('',*,*,#200360,.T.); #271628=ORIENTED_EDGE('',*,*,#200361,.F.); #271629=ORIENTED_EDGE('',*,*,#200360,.F.); #271630=ORIENTED_EDGE('',*,*,#200362,.F.); #271631=ORIENTED_EDGE('',*,*,#200363,.T.); #271632=ORIENTED_EDGE('',*,*,#200364,.F.); #271633=ORIENTED_EDGE('',*,*,#200363,.F.); #271634=ORIENTED_EDGE('',*,*,#200365,.F.); #271635=ORIENTED_EDGE('',*,*,#200366,.T.); #271636=ORIENTED_EDGE('',*,*,#200367,.F.); #271637=ORIENTED_EDGE('',*,*,#200366,.F.); #271638=ORIENTED_EDGE('',*,*,#200368,.F.); #271639=ORIENTED_EDGE('',*,*,#200369,.T.); #271640=ORIENTED_EDGE('',*,*,#200370,.F.); #271641=ORIENTED_EDGE('',*,*,#200369,.F.); #271642=ORIENTED_EDGE('',*,*,#200371,.F.); #271643=ORIENTED_EDGE('',*,*,#200372,.T.); #271644=ORIENTED_EDGE('',*,*,#200373,.F.); #271645=ORIENTED_EDGE('',*,*,#200372,.F.); #271646=ORIENTED_EDGE('',*,*,#200374,.F.); #271647=ORIENTED_EDGE('',*,*,#200375,.T.); #271648=ORIENTED_EDGE('',*,*,#200376,.F.); #271649=ORIENTED_EDGE('',*,*,#200375,.F.); #271650=ORIENTED_EDGE('',*,*,#200377,.F.); #271651=ORIENTED_EDGE('',*,*,#200378,.T.); #271652=ORIENTED_EDGE('',*,*,#200379,.F.); #271653=ORIENTED_EDGE('',*,*,#200378,.F.); #271654=ORIENTED_EDGE('',*,*,#200380,.F.); #271655=ORIENTED_EDGE('',*,*,#200381,.T.); #271656=ORIENTED_EDGE('',*,*,#200382,.F.); #271657=ORIENTED_EDGE('',*,*,#200381,.F.); #271658=ORIENTED_EDGE('',*,*,#200383,.F.); #271659=ORIENTED_EDGE('',*,*,#200384,.T.); #271660=ORIENTED_EDGE('',*,*,#200385,.F.); #271661=ORIENTED_EDGE('',*,*,#200384,.F.); #271662=ORIENTED_EDGE('',*,*,#200386,.F.); #271663=ORIENTED_EDGE('',*,*,#200387,.T.); #271664=ORIENTED_EDGE('',*,*,#200388,.F.); #271665=ORIENTED_EDGE('',*,*,#200387,.F.); #271666=ORIENTED_EDGE('',*,*,#200389,.F.); #271667=ORIENTED_EDGE('',*,*,#200390,.T.); #271668=ORIENTED_EDGE('',*,*,#200391,.F.); #271669=ORIENTED_EDGE('',*,*,#200390,.F.); #271670=ORIENTED_EDGE('',*,*,#200392,.F.); #271671=ORIENTED_EDGE('',*,*,#200393,.T.); #271672=ORIENTED_EDGE('',*,*,#200394,.F.); #271673=ORIENTED_EDGE('',*,*,#200393,.F.); #271674=ORIENTED_EDGE('',*,*,#200395,.F.); #271675=ORIENTED_EDGE('',*,*,#200396,.T.); #271676=ORIENTED_EDGE('',*,*,#200397,.F.); #271677=ORIENTED_EDGE('',*,*,#200396,.F.); #271678=ORIENTED_EDGE('',*,*,#200398,.F.); #271679=ORIENTED_EDGE('',*,*,#200399,.T.); #271680=ORIENTED_EDGE('',*,*,#200400,.F.); #271681=ORIENTED_EDGE('',*,*,#200399,.F.); #271682=ORIENTED_EDGE('',*,*,#200401,.F.); #271683=ORIENTED_EDGE('',*,*,#200402,.T.); #271684=ORIENTED_EDGE('',*,*,#200403,.F.); #271685=ORIENTED_EDGE('',*,*,#200402,.F.); #271686=ORIENTED_EDGE('',*,*,#200404,.F.); #271687=ORIENTED_EDGE('',*,*,#200405,.T.); #271688=ORIENTED_EDGE('',*,*,#200406,.F.); #271689=ORIENTED_EDGE('',*,*,#200405,.F.); #271690=ORIENTED_EDGE('',*,*,#200407,.F.); #271691=ORIENTED_EDGE('',*,*,#200408,.T.); #271692=ORIENTED_EDGE('',*,*,#200409,.F.); #271693=ORIENTED_EDGE('',*,*,#200408,.F.); #271694=ORIENTED_EDGE('',*,*,#200410,.F.); #271695=ORIENTED_EDGE('',*,*,#200411,.T.); #271696=ORIENTED_EDGE('',*,*,#200412,.F.); #271697=ORIENTED_EDGE('',*,*,#200411,.F.); #271698=ORIENTED_EDGE('',*,*,#200413,.F.); #271699=ORIENTED_EDGE('',*,*,#200414,.T.); #271700=ORIENTED_EDGE('',*,*,#200415,.F.); #271701=ORIENTED_EDGE('',*,*,#200414,.F.); #271702=ORIENTED_EDGE('',*,*,#200416,.F.); #271703=ORIENTED_EDGE('',*,*,#200417,.T.); #271704=ORIENTED_EDGE('',*,*,#200418,.F.); #271705=ORIENTED_EDGE('',*,*,#200417,.F.); #271706=ORIENTED_EDGE('',*,*,#200419,.F.); #271707=ORIENTED_EDGE('',*,*,#200420,.T.); #271708=ORIENTED_EDGE('',*,*,#200421,.F.); #271709=ORIENTED_EDGE('',*,*,#200420,.F.); #271710=ORIENTED_EDGE('',*,*,#200422,.F.); #271711=ORIENTED_EDGE('',*,*,#200423,.T.); #271712=ORIENTED_EDGE('',*,*,#200424,.F.); #271713=ORIENTED_EDGE('',*,*,#200423,.F.); #271714=ORIENTED_EDGE('',*,*,#200425,.F.); #271715=ORIENTED_EDGE('',*,*,#200426,.T.); #271716=ORIENTED_EDGE('',*,*,#200427,.F.); #271717=ORIENTED_EDGE('',*,*,#200426,.F.); #271718=ORIENTED_EDGE('',*,*,#200428,.F.); #271719=ORIENTED_EDGE('',*,*,#200429,.T.); #271720=ORIENTED_EDGE('',*,*,#200430,.F.); #271721=ORIENTED_EDGE('',*,*,#200429,.F.); #271722=ORIENTED_EDGE('',*,*,#200431,.F.); #271723=ORIENTED_EDGE('',*,*,#200432,.T.); #271724=ORIENTED_EDGE('',*,*,#200433,.F.); #271725=ORIENTED_EDGE('',*,*,#200432,.F.); #271726=ORIENTED_EDGE('',*,*,#200434,.F.); #271727=ORIENTED_EDGE('',*,*,#200435,.T.); #271728=ORIENTED_EDGE('',*,*,#200436,.F.); #271729=ORIENTED_EDGE('',*,*,#200435,.F.); #271730=ORIENTED_EDGE('',*,*,#200437,.F.); #271731=ORIENTED_EDGE('',*,*,#200438,.T.); #271732=ORIENTED_EDGE('',*,*,#200439,.F.); #271733=ORIENTED_EDGE('',*,*,#200438,.F.); #271734=ORIENTED_EDGE('',*,*,#200440,.F.); #271735=ORIENTED_EDGE('',*,*,#200441,.T.); #271736=ORIENTED_EDGE('',*,*,#200442,.F.); #271737=ORIENTED_EDGE('',*,*,#200441,.F.); #271738=ORIENTED_EDGE('',*,*,#200443,.F.); #271739=ORIENTED_EDGE('',*,*,#200444,.T.); #271740=ORIENTED_EDGE('',*,*,#200445,.F.); #271741=ORIENTED_EDGE('',*,*,#200444,.F.); #271742=ORIENTED_EDGE('',*,*,#200446,.F.); #271743=ORIENTED_EDGE('',*,*,#200447,.T.); #271744=ORIENTED_EDGE('',*,*,#200448,.F.); #271745=ORIENTED_EDGE('',*,*,#200447,.F.); #271746=ORIENTED_EDGE('',*,*,#200449,.F.); #271747=ORIENTED_EDGE('',*,*,#200450,.T.); #271748=ORIENTED_EDGE('',*,*,#200451,.F.); #271749=ORIENTED_EDGE('',*,*,#200450,.F.); #271750=ORIENTED_EDGE('',*,*,#200452,.F.); #271751=ORIENTED_EDGE('',*,*,#200453,.T.); #271752=ORIENTED_EDGE('',*,*,#200454,.F.); #271753=ORIENTED_EDGE('',*,*,#200453,.F.); #271754=ORIENTED_EDGE('',*,*,#200455,.F.); #271755=ORIENTED_EDGE('',*,*,#200456,.T.); #271756=ORIENTED_EDGE('',*,*,#200457,.F.); #271757=ORIENTED_EDGE('',*,*,#200456,.F.); #271758=ORIENTED_EDGE('',*,*,#200458,.F.); #271759=ORIENTED_EDGE('',*,*,#200459,.T.); #271760=ORIENTED_EDGE('',*,*,#200460,.F.); #271761=ORIENTED_EDGE('',*,*,#200459,.F.); #271762=ORIENTED_EDGE('',*,*,#200461,.F.); #271763=ORIENTED_EDGE('',*,*,#200462,.T.); #271764=ORIENTED_EDGE('',*,*,#200463,.F.); #271765=ORIENTED_EDGE('',*,*,#200462,.F.); #271766=ORIENTED_EDGE('',*,*,#200464,.F.); #271767=ORIENTED_EDGE('',*,*,#200465,.T.); #271768=ORIENTED_EDGE('',*,*,#200466,.F.); #271769=ORIENTED_EDGE('',*,*,#200465,.F.); #271770=ORIENTED_EDGE('',*,*,#200467,.F.); #271771=ORIENTED_EDGE('',*,*,#200468,.T.); #271772=ORIENTED_EDGE('',*,*,#200469,.F.); #271773=ORIENTED_EDGE('',*,*,#200468,.F.); #271774=ORIENTED_EDGE('',*,*,#200470,.F.); #271775=ORIENTED_EDGE('',*,*,#200471,.T.); #271776=ORIENTED_EDGE('',*,*,#200472,.F.); #271777=ORIENTED_EDGE('',*,*,#200471,.F.); #271778=ORIENTED_EDGE('',*,*,#200473,.F.); #271779=ORIENTED_EDGE('',*,*,#200474,.T.); #271780=ORIENTED_EDGE('',*,*,#200475,.F.); #271781=ORIENTED_EDGE('',*,*,#200474,.F.); #271782=ORIENTED_EDGE('',*,*,#200476,.F.); #271783=ORIENTED_EDGE('',*,*,#200477,.T.); #271784=ORIENTED_EDGE('',*,*,#200478,.F.); #271785=ORIENTED_EDGE('',*,*,#200477,.F.); #271786=ORIENTED_EDGE('',*,*,#200479,.F.); #271787=ORIENTED_EDGE('',*,*,#200480,.T.); #271788=ORIENTED_EDGE('',*,*,#200481,.F.); #271789=ORIENTED_EDGE('',*,*,#200480,.F.); #271790=ORIENTED_EDGE('',*,*,#200482,.F.); #271791=ORIENTED_EDGE('',*,*,#200483,.T.); #271792=ORIENTED_EDGE('',*,*,#200484,.F.); #271793=ORIENTED_EDGE('',*,*,#200483,.F.); #271794=ORIENTED_EDGE('',*,*,#200485,.F.); #271795=ORIENTED_EDGE('',*,*,#200486,.T.); #271796=ORIENTED_EDGE('',*,*,#200487,.F.); #271797=ORIENTED_EDGE('',*,*,#200486,.F.); #271798=ORIENTED_EDGE('',*,*,#200488,.F.); #271799=ORIENTED_EDGE('',*,*,#200489,.T.); #271800=ORIENTED_EDGE('',*,*,#200490,.F.); #271801=ORIENTED_EDGE('',*,*,#200489,.F.); #271802=ORIENTED_EDGE('',*,*,#200491,.F.); #271803=ORIENTED_EDGE('',*,*,#200492,.T.); #271804=ORIENTED_EDGE('',*,*,#200493,.F.); #271805=ORIENTED_EDGE('',*,*,#200492,.F.); #271806=ORIENTED_EDGE('',*,*,#200494,.F.); #271807=ORIENTED_EDGE('',*,*,#200495,.T.); #271808=ORIENTED_EDGE('',*,*,#200496,.F.); #271809=ORIENTED_EDGE('',*,*,#200495,.F.); #271810=ORIENTED_EDGE('',*,*,#200497,.F.); #271811=ORIENTED_EDGE('',*,*,#200498,.T.); #271812=ORIENTED_EDGE('',*,*,#200499,.F.); #271813=ORIENTED_EDGE('',*,*,#200498,.F.); #271814=ORIENTED_EDGE('',*,*,#200500,.F.); #271815=ORIENTED_EDGE('',*,*,#200501,.T.); #271816=ORIENTED_EDGE('',*,*,#200502,.F.); #271817=ORIENTED_EDGE('',*,*,#200501,.F.); #271818=ORIENTED_EDGE('',*,*,#200503,.F.); #271819=ORIENTED_EDGE('',*,*,#200504,.T.); #271820=ORIENTED_EDGE('',*,*,#200505,.F.); #271821=ORIENTED_EDGE('',*,*,#200504,.F.); #271822=ORIENTED_EDGE('',*,*,#200506,.F.); #271823=ORIENTED_EDGE('',*,*,#200507,.T.); #271824=ORIENTED_EDGE('',*,*,#200508,.F.); #271825=ORIENTED_EDGE('',*,*,#200507,.F.); #271826=ORIENTED_EDGE('',*,*,#200509,.F.); #271827=ORIENTED_EDGE('',*,*,#200510,.T.); #271828=ORIENTED_EDGE('',*,*,#200511,.F.); #271829=ORIENTED_EDGE('',*,*,#200510,.F.); #271830=ORIENTED_EDGE('',*,*,#200512,.F.); #271831=ORIENTED_EDGE('',*,*,#200513,.T.); #271832=ORIENTED_EDGE('',*,*,#200514,.F.); #271833=ORIENTED_EDGE('',*,*,#200513,.F.); #271834=ORIENTED_EDGE('',*,*,#200515,.F.); #271835=ORIENTED_EDGE('',*,*,#200516,.T.); #271836=ORIENTED_EDGE('',*,*,#200517,.F.); #271837=ORIENTED_EDGE('',*,*,#200516,.F.); #271838=ORIENTED_EDGE('',*,*,#200518,.F.); #271839=ORIENTED_EDGE('',*,*,#200519,.T.); #271840=ORIENTED_EDGE('',*,*,#200520,.F.); #271841=ORIENTED_EDGE('',*,*,#200519,.F.); #271842=ORIENTED_EDGE('',*,*,#200521,.F.); #271843=ORIENTED_EDGE('',*,*,#200522,.T.); #271844=ORIENTED_EDGE('',*,*,#200523,.F.); #271845=ORIENTED_EDGE('',*,*,#200522,.F.); #271846=ORIENTED_EDGE('',*,*,#200524,.F.); #271847=ORIENTED_EDGE('',*,*,#200525,.T.); #271848=ORIENTED_EDGE('',*,*,#200526,.F.); #271849=ORIENTED_EDGE('',*,*,#200525,.F.); #271850=ORIENTED_EDGE('',*,*,#200527,.F.); #271851=ORIENTED_EDGE('',*,*,#200528,.T.); #271852=ORIENTED_EDGE('',*,*,#200529,.F.); #271853=ORIENTED_EDGE('',*,*,#200528,.F.); #271854=ORIENTED_EDGE('',*,*,#200530,.F.); #271855=ORIENTED_EDGE('',*,*,#200531,.T.); #271856=ORIENTED_EDGE('',*,*,#200532,.F.); #271857=ORIENTED_EDGE('',*,*,#200531,.F.); #271858=ORIENTED_EDGE('',*,*,#200533,.F.); #271859=ORIENTED_EDGE('',*,*,#200534,.T.); #271860=ORIENTED_EDGE('',*,*,#200535,.F.); #271861=ORIENTED_EDGE('',*,*,#200534,.F.); #271862=ORIENTED_EDGE('',*,*,#200536,.F.); #271863=ORIENTED_EDGE('',*,*,#200537,.T.); #271864=ORIENTED_EDGE('',*,*,#200538,.F.); #271865=ORIENTED_EDGE('',*,*,#200537,.F.); #271866=ORIENTED_EDGE('',*,*,#200539,.F.); #271867=ORIENTED_EDGE('',*,*,#200540,.T.); #271868=ORIENTED_EDGE('',*,*,#200541,.F.); #271869=ORIENTED_EDGE('',*,*,#200540,.F.); #271870=ORIENTED_EDGE('',*,*,#200542,.F.); #271871=ORIENTED_EDGE('',*,*,#200543,.T.); #271872=ORIENTED_EDGE('',*,*,#200544,.F.); #271873=ORIENTED_EDGE('',*,*,#200543,.F.); #271874=ORIENTED_EDGE('',*,*,#200545,.F.); #271875=ORIENTED_EDGE('',*,*,#200546,.T.); #271876=ORIENTED_EDGE('',*,*,#200547,.F.); #271877=ORIENTED_EDGE('',*,*,#200546,.F.); #271878=ORIENTED_EDGE('',*,*,#200548,.F.); #271879=ORIENTED_EDGE('',*,*,#200549,.T.); #271880=ORIENTED_EDGE('',*,*,#200550,.F.); #271881=ORIENTED_EDGE('',*,*,#200549,.F.); #271882=ORIENTED_EDGE('',*,*,#200551,.F.); #271883=ORIENTED_EDGE('',*,*,#200552,.T.); #271884=ORIENTED_EDGE('',*,*,#200553,.F.); #271885=ORIENTED_EDGE('',*,*,#200552,.F.); #271886=ORIENTED_EDGE('',*,*,#200554,.F.); #271887=ORIENTED_EDGE('',*,*,#200555,.T.); #271888=ORIENTED_EDGE('',*,*,#200556,.F.); #271889=ORIENTED_EDGE('',*,*,#200555,.F.); #271890=ORIENTED_EDGE('',*,*,#200557,.F.); #271891=ORIENTED_EDGE('',*,*,#200558,.T.); #271892=ORIENTED_EDGE('',*,*,#200559,.F.); #271893=ORIENTED_EDGE('',*,*,#200558,.F.); #271894=ORIENTED_EDGE('',*,*,#200560,.F.); #271895=ORIENTED_EDGE('',*,*,#200561,.T.); #271896=ORIENTED_EDGE('',*,*,#200562,.F.); #271897=ORIENTED_EDGE('',*,*,#200561,.F.); #271898=ORIENTED_EDGE('',*,*,#200563,.F.); #271899=ORIENTED_EDGE('',*,*,#200564,.T.); #271900=ORIENTED_EDGE('',*,*,#200565,.F.); #271901=ORIENTED_EDGE('',*,*,#200564,.F.); #271902=ORIENTED_EDGE('',*,*,#200566,.F.); #271903=ORIENTED_EDGE('',*,*,#200567,.T.); #271904=ORIENTED_EDGE('',*,*,#200568,.F.); #271905=ORIENTED_EDGE('',*,*,#200567,.F.); #271906=ORIENTED_EDGE('',*,*,#200569,.F.); #271907=ORIENTED_EDGE('',*,*,#200570,.T.); #271908=ORIENTED_EDGE('',*,*,#200571,.F.); #271909=ORIENTED_EDGE('',*,*,#200570,.F.); #271910=ORIENTED_EDGE('',*,*,#200572,.F.); #271911=ORIENTED_EDGE('',*,*,#200573,.T.); #271912=ORIENTED_EDGE('',*,*,#200574,.F.); #271913=ORIENTED_EDGE('',*,*,#200573,.F.); #271914=ORIENTED_EDGE('',*,*,#200575,.F.); #271915=ORIENTED_EDGE('',*,*,#200576,.T.); #271916=ORIENTED_EDGE('',*,*,#200577,.F.); #271917=ORIENTED_EDGE('',*,*,#200576,.F.); #271918=ORIENTED_EDGE('',*,*,#200578,.F.); #271919=ORIENTED_EDGE('',*,*,#200579,.T.); #271920=ORIENTED_EDGE('',*,*,#200580,.F.); #271921=ORIENTED_EDGE('',*,*,#200579,.F.); #271922=ORIENTED_EDGE('',*,*,#200581,.F.); #271923=ORIENTED_EDGE('',*,*,#200582,.T.); #271924=ORIENTED_EDGE('',*,*,#200583,.F.); #271925=ORIENTED_EDGE('',*,*,#200582,.F.); #271926=ORIENTED_EDGE('',*,*,#200584,.F.); #271927=ORIENTED_EDGE('',*,*,#200585,.T.); #271928=ORIENTED_EDGE('',*,*,#200586,.F.); #271929=ORIENTED_EDGE('',*,*,#200585,.F.); #271930=ORIENTED_EDGE('',*,*,#200587,.F.); #271931=ORIENTED_EDGE('',*,*,#200588,.T.); #271932=ORIENTED_EDGE('',*,*,#200589,.F.); #271933=ORIENTED_EDGE('',*,*,#200588,.F.); #271934=ORIENTED_EDGE('',*,*,#200590,.F.); #271935=ORIENTED_EDGE('',*,*,#200591,.T.); #271936=ORIENTED_EDGE('',*,*,#200592,.F.); #271937=ORIENTED_EDGE('',*,*,#200591,.F.); #271938=ORIENTED_EDGE('',*,*,#200593,.F.); #271939=ORIENTED_EDGE('',*,*,#200594,.T.); #271940=ORIENTED_EDGE('',*,*,#200595,.F.); #271941=ORIENTED_EDGE('',*,*,#200594,.F.); #271942=ORIENTED_EDGE('',*,*,#200596,.F.); #271943=ORIENTED_EDGE('',*,*,#200597,.T.); #271944=ORIENTED_EDGE('',*,*,#200598,.F.); #271945=ORIENTED_EDGE('',*,*,#200597,.F.); #271946=ORIENTED_EDGE('',*,*,#200599,.F.); #271947=ORIENTED_EDGE('',*,*,#200600,.T.); #271948=ORIENTED_EDGE('',*,*,#200601,.F.); #271949=ORIENTED_EDGE('',*,*,#200600,.F.); #271950=ORIENTED_EDGE('',*,*,#200602,.F.); #271951=ORIENTED_EDGE('',*,*,#200603,.T.); #271952=ORIENTED_EDGE('',*,*,#200604,.F.); #271953=ORIENTED_EDGE('',*,*,#200603,.F.); #271954=ORIENTED_EDGE('',*,*,#200605,.F.); #271955=ORIENTED_EDGE('',*,*,#200606,.T.); #271956=ORIENTED_EDGE('',*,*,#200607,.F.); #271957=ORIENTED_EDGE('',*,*,#200606,.F.); #271958=ORIENTED_EDGE('',*,*,#200608,.F.); #271959=ORIENTED_EDGE('',*,*,#200609,.T.); #271960=ORIENTED_EDGE('',*,*,#200610,.F.); #271961=ORIENTED_EDGE('',*,*,#200609,.F.); #271962=ORIENTED_EDGE('',*,*,#200611,.F.); #271963=ORIENTED_EDGE('',*,*,#200612,.T.); #271964=ORIENTED_EDGE('',*,*,#200613,.F.); #271965=ORIENTED_EDGE('',*,*,#200612,.F.); #271966=ORIENTED_EDGE('',*,*,#200614,.F.); #271967=ORIENTED_EDGE('',*,*,#200615,.T.); #271968=ORIENTED_EDGE('',*,*,#200616,.F.); #271969=ORIENTED_EDGE('',*,*,#200615,.F.); #271970=ORIENTED_EDGE('',*,*,#200617,.F.); #271971=ORIENTED_EDGE('',*,*,#200618,.T.); #271972=ORIENTED_EDGE('',*,*,#200619,.F.); #271973=ORIENTED_EDGE('',*,*,#200618,.F.); #271974=ORIENTED_EDGE('',*,*,#200620,.F.); #271975=ORIENTED_EDGE('',*,*,#200621,.T.); #271976=ORIENTED_EDGE('',*,*,#200622,.F.); #271977=ORIENTED_EDGE('',*,*,#200621,.F.); #271978=ORIENTED_EDGE('',*,*,#200623,.F.); #271979=ORIENTED_EDGE('',*,*,#200624,.T.); #271980=ORIENTED_EDGE('',*,*,#200625,.F.); #271981=ORIENTED_EDGE('',*,*,#200624,.F.); #271982=ORIENTED_EDGE('',*,*,#200626,.F.); #271983=ORIENTED_EDGE('',*,*,#200627,.T.); #271984=ORIENTED_EDGE('',*,*,#200628,.F.); #271985=ORIENTED_EDGE('',*,*,#200627,.F.); #271986=ORIENTED_EDGE('',*,*,#200629,.F.); #271987=ORIENTED_EDGE('',*,*,#200630,.T.); #271988=ORIENTED_EDGE('',*,*,#200631,.F.); #271989=ORIENTED_EDGE('',*,*,#200630,.F.); #271990=ORIENTED_EDGE('',*,*,#200632,.F.); #271991=ORIENTED_EDGE('',*,*,#200633,.T.); #271992=ORIENTED_EDGE('',*,*,#200634,.F.); #271993=ORIENTED_EDGE('',*,*,#200633,.F.); #271994=ORIENTED_EDGE('',*,*,#200635,.F.); #271995=ORIENTED_EDGE('',*,*,#200636,.T.); #271996=ORIENTED_EDGE('',*,*,#200637,.F.); #271997=ORIENTED_EDGE('',*,*,#200636,.F.); #271998=ORIENTED_EDGE('',*,*,#200638,.F.); #271999=ORIENTED_EDGE('',*,*,#200639,.T.); #272000=ORIENTED_EDGE('',*,*,#200640,.F.); #272001=ORIENTED_EDGE('',*,*,#200639,.F.); #272002=ORIENTED_EDGE('',*,*,#200641,.F.); #272003=ORIENTED_EDGE('',*,*,#200642,.T.); #272004=ORIENTED_EDGE('',*,*,#200643,.F.); #272005=ORIENTED_EDGE('',*,*,#200642,.F.); #272006=ORIENTED_EDGE('',*,*,#200644,.F.); #272007=ORIENTED_EDGE('',*,*,#200645,.T.); #272008=ORIENTED_EDGE('',*,*,#200646,.F.); #272009=ORIENTED_EDGE('',*,*,#200645,.F.); #272010=ORIENTED_EDGE('',*,*,#200647,.T.); #272011=ORIENTED_EDGE('',*,*,#200648,.T.); #272012=ORIENTED_EDGE('',*,*,#200649,.F.); #272013=ORIENTED_EDGE('',*,*,#200650,.F.); #272014=ORIENTED_EDGE('',*,*,#200651,.T.); #272015=ORIENTED_EDGE('',*,*,#200650,.T.); #272016=ORIENTED_EDGE('',*,*,#200652,.F.); #272017=ORIENTED_EDGE('',*,*,#200653,.F.); #272018=ORIENTED_EDGE('',*,*,#200654,.T.); #272019=ORIENTED_EDGE('',*,*,#200653,.T.); #272020=ORIENTED_EDGE('',*,*,#200655,.F.); #272021=ORIENTED_EDGE('',*,*,#200656,.F.); #272022=ORIENTED_EDGE('',*,*,#200657,.T.); #272023=ORIENTED_EDGE('',*,*,#200656,.T.); #272024=ORIENTED_EDGE('',*,*,#200658,.F.); #272025=ORIENTED_EDGE('',*,*,#200659,.F.); #272026=ORIENTED_EDGE('',*,*,#200660,.T.); #272027=ORIENTED_EDGE('',*,*,#200659,.T.); #272028=ORIENTED_EDGE('',*,*,#200661,.F.); #272029=ORIENTED_EDGE('',*,*,#200662,.F.); #272030=ORIENTED_EDGE('',*,*,#200663,.T.); #272031=ORIENTED_EDGE('',*,*,#200662,.T.); #272032=ORIENTED_EDGE('',*,*,#200664,.F.); #272033=ORIENTED_EDGE('',*,*,#200665,.F.); #272034=ORIENTED_EDGE('',*,*,#200666,.T.); #272035=ORIENTED_EDGE('',*,*,#200665,.T.); #272036=ORIENTED_EDGE('',*,*,#200667,.F.); #272037=ORIENTED_EDGE('',*,*,#200668,.F.); #272038=ORIENTED_EDGE('',*,*,#200669,.T.); #272039=ORIENTED_EDGE('',*,*,#200668,.T.); #272040=ORIENTED_EDGE('',*,*,#200670,.F.); #272041=ORIENTED_EDGE('',*,*,#200671,.F.); #272042=ORIENTED_EDGE('',*,*,#200672,.T.); #272043=ORIENTED_EDGE('',*,*,#200671,.T.); #272044=ORIENTED_EDGE('',*,*,#200673,.F.); #272045=ORIENTED_EDGE('',*,*,#200674,.F.); #272046=ORIENTED_EDGE('',*,*,#200675,.T.); #272047=ORIENTED_EDGE('',*,*,#200674,.T.); #272048=ORIENTED_EDGE('',*,*,#200676,.F.); #272049=ORIENTED_EDGE('',*,*,#200677,.F.); #272050=ORIENTED_EDGE('',*,*,#200678,.T.); #272051=ORIENTED_EDGE('',*,*,#200677,.T.); #272052=ORIENTED_EDGE('',*,*,#200679,.F.); #272053=ORIENTED_EDGE('',*,*,#200680,.F.); #272054=ORIENTED_EDGE('',*,*,#200681,.T.); #272055=ORIENTED_EDGE('',*,*,#200680,.T.); #272056=ORIENTED_EDGE('',*,*,#200682,.F.); #272057=ORIENTED_EDGE('',*,*,#200683,.F.); #272058=ORIENTED_EDGE('',*,*,#200684,.T.); #272059=ORIENTED_EDGE('',*,*,#200683,.T.); #272060=ORIENTED_EDGE('',*,*,#200685,.F.); #272061=ORIENTED_EDGE('',*,*,#200686,.F.); #272062=ORIENTED_EDGE('',*,*,#200687,.T.); #272063=ORIENTED_EDGE('',*,*,#200686,.T.); #272064=ORIENTED_EDGE('',*,*,#200688,.F.); #272065=ORIENTED_EDGE('',*,*,#200689,.F.); #272066=ORIENTED_EDGE('',*,*,#200690,.T.); #272067=ORIENTED_EDGE('',*,*,#200689,.T.); #272068=ORIENTED_EDGE('',*,*,#200691,.F.); #272069=ORIENTED_EDGE('',*,*,#200692,.F.); #272070=ORIENTED_EDGE('',*,*,#200693,.T.); #272071=ORIENTED_EDGE('',*,*,#200692,.T.); #272072=ORIENTED_EDGE('',*,*,#200694,.F.); #272073=ORIENTED_EDGE('',*,*,#200695,.F.); #272074=ORIENTED_EDGE('',*,*,#200696,.T.); #272075=ORIENTED_EDGE('',*,*,#200695,.T.); #272076=ORIENTED_EDGE('',*,*,#200697,.F.); #272077=ORIENTED_EDGE('',*,*,#200698,.F.); #272078=ORIENTED_EDGE('',*,*,#200699,.T.); #272079=ORIENTED_EDGE('',*,*,#200698,.T.); #272080=ORIENTED_EDGE('',*,*,#200700,.F.); #272081=ORIENTED_EDGE('',*,*,#200701,.F.); #272082=ORIENTED_EDGE('',*,*,#200702,.T.); #272083=ORIENTED_EDGE('',*,*,#200701,.T.); #272084=ORIENTED_EDGE('',*,*,#200703,.F.); #272085=ORIENTED_EDGE('',*,*,#200704,.F.); #272086=ORIENTED_EDGE('',*,*,#200705,.T.); #272087=ORIENTED_EDGE('',*,*,#200704,.T.); #272088=ORIENTED_EDGE('',*,*,#200706,.F.); #272089=ORIENTED_EDGE('',*,*,#200707,.F.); #272090=ORIENTED_EDGE('',*,*,#200708,.T.); #272091=ORIENTED_EDGE('',*,*,#200707,.T.); #272092=ORIENTED_EDGE('',*,*,#200709,.F.); #272093=ORIENTED_EDGE('',*,*,#200710,.F.); #272094=ORIENTED_EDGE('',*,*,#200711,.T.); #272095=ORIENTED_EDGE('',*,*,#200710,.T.); #272096=ORIENTED_EDGE('',*,*,#200712,.F.); #272097=ORIENTED_EDGE('',*,*,#200713,.F.); #272098=ORIENTED_EDGE('',*,*,#200714,.T.); #272099=ORIENTED_EDGE('',*,*,#200713,.T.); #272100=ORIENTED_EDGE('',*,*,#200715,.F.); #272101=ORIENTED_EDGE('',*,*,#200716,.F.); #272102=ORIENTED_EDGE('',*,*,#200717,.T.); #272103=ORIENTED_EDGE('',*,*,#200716,.T.); #272104=ORIENTED_EDGE('',*,*,#200718,.F.); #272105=ORIENTED_EDGE('',*,*,#200719,.F.); #272106=ORIENTED_EDGE('',*,*,#200720,.T.); #272107=ORIENTED_EDGE('',*,*,#200719,.T.); #272108=ORIENTED_EDGE('',*,*,#200721,.F.); #272109=ORIENTED_EDGE('',*,*,#200722,.F.); #272110=ORIENTED_EDGE('',*,*,#200723,.T.); #272111=ORIENTED_EDGE('',*,*,#200722,.T.); #272112=ORIENTED_EDGE('',*,*,#200724,.F.); #272113=ORIENTED_EDGE('',*,*,#200725,.F.); #272114=ORIENTED_EDGE('',*,*,#200726,.T.); #272115=ORIENTED_EDGE('',*,*,#200725,.T.); #272116=ORIENTED_EDGE('',*,*,#200727,.F.); #272117=ORIENTED_EDGE('',*,*,#200728,.F.); #272118=ORIENTED_EDGE('',*,*,#200729,.T.); #272119=ORIENTED_EDGE('',*,*,#200728,.T.); #272120=ORIENTED_EDGE('',*,*,#200730,.F.); #272121=ORIENTED_EDGE('',*,*,#200731,.F.); #272122=ORIENTED_EDGE('',*,*,#200732,.T.); #272123=ORIENTED_EDGE('',*,*,#200731,.T.); #272124=ORIENTED_EDGE('',*,*,#200733,.F.); #272125=ORIENTED_EDGE('',*,*,#200734,.F.); #272126=ORIENTED_EDGE('',*,*,#200735,.T.); #272127=ORIENTED_EDGE('',*,*,#200734,.T.); #272128=ORIENTED_EDGE('',*,*,#200736,.F.); #272129=ORIENTED_EDGE('',*,*,#200737,.F.); #272130=ORIENTED_EDGE('',*,*,#200738,.T.); #272131=ORIENTED_EDGE('',*,*,#200737,.T.); #272132=ORIENTED_EDGE('',*,*,#200739,.F.); #272133=ORIENTED_EDGE('',*,*,#200740,.F.); #272134=ORIENTED_EDGE('',*,*,#200741,.T.); #272135=ORIENTED_EDGE('',*,*,#200740,.T.); #272136=ORIENTED_EDGE('',*,*,#200742,.F.); #272137=ORIENTED_EDGE('',*,*,#200743,.F.); #272138=ORIENTED_EDGE('',*,*,#200744,.T.); #272139=ORIENTED_EDGE('',*,*,#200743,.T.); #272140=ORIENTED_EDGE('',*,*,#200745,.F.); #272141=ORIENTED_EDGE('',*,*,#200746,.F.); #272142=ORIENTED_EDGE('',*,*,#200747,.T.); #272143=ORIENTED_EDGE('',*,*,#200746,.T.); #272144=ORIENTED_EDGE('',*,*,#200748,.F.); #272145=ORIENTED_EDGE('',*,*,#200749,.F.); #272146=ORIENTED_EDGE('',*,*,#200750,.T.); #272147=ORIENTED_EDGE('',*,*,#200749,.T.); #272148=ORIENTED_EDGE('',*,*,#200751,.F.); #272149=ORIENTED_EDGE('',*,*,#200752,.F.); #272150=ORIENTED_EDGE('',*,*,#200753,.T.); #272151=ORIENTED_EDGE('',*,*,#200752,.T.); #272152=ORIENTED_EDGE('',*,*,#200754,.F.); #272153=ORIENTED_EDGE('',*,*,#200755,.F.); #272154=ORIENTED_EDGE('',*,*,#200756,.T.); #272155=ORIENTED_EDGE('',*,*,#200755,.T.); #272156=ORIENTED_EDGE('',*,*,#200757,.F.); #272157=ORIENTED_EDGE('',*,*,#200758,.F.); #272158=ORIENTED_EDGE('',*,*,#200759,.T.); #272159=ORIENTED_EDGE('',*,*,#200758,.T.); #272160=ORIENTED_EDGE('',*,*,#200760,.F.); #272161=ORIENTED_EDGE('',*,*,#200761,.F.); #272162=ORIENTED_EDGE('',*,*,#200762,.T.); #272163=ORIENTED_EDGE('',*,*,#200761,.T.); #272164=ORIENTED_EDGE('',*,*,#200763,.F.); #272165=ORIENTED_EDGE('',*,*,#200764,.F.); #272166=ORIENTED_EDGE('',*,*,#200765,.T.); #272167=ORIENTED_EDGE('',*,*,#200764,.T.); #272168=ORIENTED_EDGE('',*,*,#200766,.F.); #272169=ORIENTED_EDGE('',*,*,#200767,.F.); #272170=ORIENTED_EDGE('',*,*,#200768,.T.); #272171=ORIENTED_EDGE('',*,*,#200767,.T.); #272172=ORIENTED_EDGE('',*,*,#200769,.F.); #272173=ORIENTED_EDGE('',*,*,#200770,.F.); #272174=ORIENTED_EDGE('',*,*,#200771,.T.); #272175=ORIENTED_EDGE('',*,*,#200770,.T.); #272176=ORIENTED_EDGE('',*,*,#200772,.F.); #272177=ORIENTED_EDGE('',*,*,#200773,.F.); #272178=ORIENTED_EDGE('',*,*,#200774,.T.); #272179=ORIENTED_EDGE('',*,*,#200773,.T.); #272180=ORIENTED_EDGE('',*,*,#200775,.F.); #272181=ORIENTED_EDGE('',*,*,#200776,.F.); #272182=ORIENTED_EDGE('',*,*,#200777,.T.); #272183=ORIENTED_EDGE('',*,*,#200776,.T.); #272184=ORIENTED_EDGE('',*,*,#200778,.F.); #272185=ORIENTED_EDGE('',*,*,#200779,.F.); #272186=ORIENTED_EDGE('',*,*,#200780,.T.); #272187=ORIENTED_EDGE('',*,*,#200779,.T.); #272188=ORIENTED_EDGE('',*,*,#200781,.F.); #272189=ORIENTED_EDGE('',*,*,#200782,.F.); #272190=ORIENTED_EDGE('',*,*,#200783,.T.); #272191=ORIENTED_EDGE('',*,*,#200782,.T.); #272192=ORIENTED_EDGE('',*,*,#200784,.F.); #272193=ORIENTED_EDGE('',*,*,#200785,.F.); #272194=ORIENTED_EDGE('',*,*,#200786,.T.); #272195=ORIENTED_EDGE('',*,*,#200785,.T.); #272196=ORIENTED_EDGE('',*,*,#200787,.F.); #272197=ORIENTED_EDGE('',*,*,#200788,.F.); #272198=ORIENTED_EDGE('',*,*,#200789,.T.); #272199=ORIENTED_EDGE('',*,*,#200788,.T.); #272200=ORIENTED_EDGE('',*,*,#200790,.F.); #272201=ORIENTED_EDGE('',*,*,#200791,.F.); #272202=ORIENTED_EDGE('',*,*,#200792,.T.); #272203=ORIENTED_EDGE('',*,*,#200791,.T.); #272204=ORIENTED_EDGE('',*,*,#200793,.F.); #272205=ORIENTED_EDGE('',*,*,#200794,.F.); #272206=ORIENTED_EDGE('',*,*,#200795,.T.); #272207=ORIENTED_EDGE('',*,*,#200794,.T.); #272208=ORIENTED_EDGE('',*,*,#200796,.F.); #272209=ORIENTED_EDGE('',*,*,#200797,.F.); #272210=ORIENTED_EDGE('',*,*,#200798,.T.); #272211=ORIENTED_EDGE('',*,*,#200797,.T.); #272212=ORIENTED_EDGE('',*,*,#200799,.F.); #272213=ORIENTED_EDGE('',*,*,#200800,.F.); #272214=ORIENTED_EDGE('',*,*,#200801,.T.); #272215=ORIENTED_EDGE('',*,*,#200800,.T.); #272216=ORIENTED_EDGE('',*,*,#200802,.F.); #272217=ORIENTED_EDGE('',*,*,#200803,.F.); #272218=ORIENTED_EDGE('',*,*,#200804,.T.); #272219=ORIENTED_EDGE('',*,*,#200803,.T.); #272220=ORIENTED_EDGE('',*,*,#200805,.F.); #272221=ORIENTED_EDGE('',*,*,#200806,.F.); #272222=ORIENTED_EDGE('',*,*,#200807,.T.); #272223=ORIENTED_EDGE('',*,*,#200806,.T.); #272224=ORIENTED_EDGE('',*,*,#200808,.F.); #272225=ORIENTED_EDGE('',*,*,#200809,.F.); #272226=ORIENTED_EDGE('',*,*,#200810,.T.); #272227=ORIENTED_EDGE('',*,*,#200809,.T.); #272228=ORIENTED_EDGE('',*,*,#200811,.F.); #272229=ORIENTED_EDGE('',*,*,#200812,.F.); #272230=ORIENTED_EDGE('',*,*,#200813,.T.); #272231=ORIENTED_EDGE('',*,*,#200812,.T.); #272232=ORIENTED_EDGE('',*,*,#200814,.F.); #272233=ORIENTED_EDGE('',*,*,#200815,.F.); #272234=ORIENTED_EDGE('',*,*,#200816,.T.); #272235=ORIENTED_EDGE('',*,*,#200815,.T.); #272236=ORIENTED_EDGE('',*,*,#200817,.F.); #272237=ORIENTED_EDGE('',*,*,#200818,.F.); #272238=ORIENTED_EDGE('',*,*,#200819,.T.); #272239=ORIENTED_EDGE('',*,*,#200818,.T.); #272240=ORIENTED_EDGE('',*,*,#200820,.F.); #272241=ORIENTED_EDGE('',*,*,#200821,.F.); #272242=ORIENTED_EDGE('',*,*,#200822,.T.); #272243=ORIENTED_EDGE('',*,*,#200821,.T.); #272244=ORIENTED_EDGE('',*,*,#200823,.F.); #272245=ORIENTED_EDGE('',*,*,#200824,.F.); #272246=ORIENTED_EDGE('',*,*,#200825,.T.); #272247=ORIENTED_EDGE('',*,*,#200824,.T.); #272248=ORIENTED_EDGE('',*,*,#200826,.F.); #272249=ORIENTED_EDGE('',*,*,#200827,.F.); #272250=ORIENTED_EDGE('',*,*,#200828,.T.); #272251=ORIENTED_EDGE('',*,*,#200827,.T.); #272252=ORIENTED_EDGE('',*,*,#200829,.F.); #272253=ORIENTED_EDGE('',*,*,#200830,.F.); #272254=ORIENTED_EDGE('',*,*,#200831,.T.); #272255=ORIENTED_EDGE('',*,*,#200830,.T.); #272256=ORIENTED_EDGE('',*,*,#200832,.F.); #272257=ORIENTED_EDGE('',*,*,#200833,.F.); #272258=ORIENTED_EDGE('',*,*,#200834,.T.); #272259=ORIENTED_EDGE('',*,*,#200833,.T.); #272260=ORIENTED_EDGE('',*,*,#200835,.F.); #272261=ORIENTED_EDGE('',*,*,#200836,.F.); #272262=ORIENTED_EDGE('',*,*,#200837,.T.); #272263=ORIENTED_EDGE('',*,*,#200836,.T.); #272264=ORIENTED_EDGE('',*,*,#200838,.F.); #272265=ORIENTED_EDGE('',*,*,#200839,.F.); #272266=ORIENTED_EDGE('',*,*,#200840,.T.); #272267=ORIENTED_EDGE('',*,*,#200839,.T.); #272268=ORIENTED_EDGE('',*,*,#200841,.F.); #272269=ORIENTED_EDGE('',*,*,#200842,.F.); #272270=ORIENTED_EDGE('',*,*,#200843,.T.); #272271=ORIENTED_EDGE('',*,*,#200842,.T.); #272272=ORIENTED_EDGE('',*,*,#200844,.F.); #272273=ORIENTED_EDGE('',*,*,#200845,.F.); #272274=ORIENTED_EDGE('',*,*,#200846,.T.); #272275=ORIENTED_EDGE('',*,*,#200845,.T.); #272276=ORIENTED_EDGE('',*,*,#200847,.F.); #272277=ORIENTED_EDGE('',*,*,#200848,.F.); #272278=ORIENTED_EDGE('',*,*,#200849,.T.); #272279=ORIENTED_EDGE('',*,*,#200848,.T.); #272280=ORIENTED_EDGE('',*,*,#200850,.F.); #272281=ORIENTED_EDGE('',*,*,#200851,.F.); #272282=ORIENTED_EDGE('',*,*,#200852,.T.); #272283=ORIENTED_EDGE('',*,*,#200851,.T.); #272284=ORIENTED_EDGE('',*,*,#200853,.F.); #272285=ORIENTED_EDGE('',*,*,#200854,.F.); #272286=ORIENTED_EDGE('',*,*,#200855,.T.); #272287=ORIENTED_EDGE('',*,*,#200854,.T.); #272288=ORIENTED_EDGE('',*,*,#200856,.F.); #272289=ORIENTED_EDGE('',*,*,#200857,.F.); #272290=ORIENTED_EDGE('',*,*,#200858,.T.); #272291=ORIENTED_EDGE('',*,*,#200857,.T.); #272292=ORIENTED_EDGE('',*,*,#200859,.F.); #272293=ORIENTED_EDGE('',*,*,#200860,.F.); #272294=ORIENTED_EDGE('',*,*,#200861,.T.); #272295=ORIENTED_EDGE('',*,*,#200860,.T.); #272296=ORIENTED_EDGE('',*,*,#200862,.F.); #272297=ORIENTED_EDGE('',*,*,#200863,.F.); #272298=ORIENTED_EDGE('',*,*,#200864,.T.); #272299=ORIENTED_EDGE('',*,*,#200863,.T.); #272300=ORIENTED_EDGE('',*,*,#200865,.F.); #272301=ORIENTED_EDGE('',*,*,#200866,.F.); #272302=ORIENTED_EDGE('',*,*,#200867,.T.); #272303=ORIENTED_EDGE('',*,*,#200866,.T.); #272304=ORIENTED_EDGE('',*,*,#200868,.F.); #272305=ORIENTED_EDGE('',*,*,#200869,.F.); #272306=ORIENTED_EDGE('',*,*,#200870,.T.); #272307=ORIENTED_EDGE('',*,*,#200869,.T.); #272308=ORIENTED_EDGE('',*,*,#200871,.F.); #272309=ORIENTED_EDGE('',*,*,#200872,.F.); #272310=ORIENTED_EDGE('',*,*,#200873,.T.); #272311=ORIENTED_EDGE('',*,*,#200872,.T.); #272312=ORIENTED_EDGE('',*,*,#200874,.F.); #272313=ORIENTED_EDGE('',*,*,#200875,.F.); #272314=ORIENTED_EDGE('',*,*,#200876,.T.); #272315=ORIENTED_EDGE('',*,*,#200875,.T.); #272316=ORIENTED_EDGE('',*,*,#200877,.F.); #272317=ORIENTED_EDGE('',*,*,#200878,.F.); #272318=ORIENTED_EDGE('',*,*,#200879,.T.); #272319=ORIENTED_EDGE('',*,*,#200878,.T.); #272320=ORIENTED_EDGE('',*,*,#200880,.F.); #272321=ORIENTED_EDGE('',*,*,#200881,.F.); #272322=ORIENTED_EDGE('',*,*,#200882,.T.); #272323=ORIENTED_EDGE('',*,*,#200881,.T.); #272324=ORIENTED_EDGE('',*,*,#200883,.F.); #272325=ORIENTED_EDGE('',*,*,#200884,.F.); #272326=ORIENTED_EDGE('',*,*,#200885,.T.); #272327=ORIENTED_EDGE('',*,*,#200884,.T.); #272328=ORIENTED_EDGE('',*,*,#200886,.F.); #272329=ORIENTED_EDGE('',*,*,#200887,.F.); #272330=ORIENTED_EDGE('',*,*,#200888,.T.); #272331=ORIENTED_EDGE('',*,*,#200887,.T.); #272332=ORIENTED_EDGE('',*,*,#200889,.F.); #272333=ORIENTED_EDGE('',*,*,#200890,.F.); #272334=ORIENTED_EDGE('',*,*,#200891,.T.); #272335=ORIENTED_EDGE('',*,*,#200890,.T.); #272336=ORIENTED_EDGE('',*,*,#200892,.F.); #272337=ORIENTED_EDGE('',*,*,#200893,.F.); #272338=ORIENTED_EDGE('',*,*,#200894,.T.); #272339=ORIENTED_EDGE('',*,*,#200893,.T.); #272340=ORIENTED_EDGE('',*,*,#200895,.F.); #272341=ORIENTED_EDGE('',*,*,#200896,.F.); #272342=ORIENTED_EDGE('',*,*,#200897,.T.); #272343=ORIENTED_EDGE('',*,*,#200896,.T.); #272344=ORIENTED_EDGE('',*,*,#200898,.F.); #272345=ORIENTED_EDGE('',*,*,#200899,.F.); #272346=ORIENTED_EDGE('',*,*,#200900,.T.); #272347=ORIENTED_EDGE('',*,*,#200899,.T.); #272348=ORIENTED_EDGE('',*,*,#200901,.F.); #272349=ORIENTED_EDGE('',*,*,#200902,.F.); #272350=ORIENTED_EDGE('',*,*,#200903,.T.); #272351=ORIENTED_EDGE('',*,*,#200902,.T.); #272352=ORIENTED_EDGE('',*,*,#200904,.F.); #272353=ORIENTED_EDGE('',*,*,#200905,.F.); #272354=ORIENTED_EDGE('',*,*,#200906,.T.); #272355=ORIENTED_EDGE('',*,*,#200905,.T.); #272356=ORIENTED_EDGE('',*,*,#200907,.F.); #272357=ORIENTED_EDGE('',*,*,#200908,.F.); #272358=ORIENTED_EDGE('',*,*,#200909,.T.); #272359=ORIENTED_EDGE('',*,*,#200908,.T.); #272360=ORIENTED_EDGE('',*,*,#200910,.F.); #272361=ORIENTED_EDGE('',*,*,#200911,.F.); #272362=ORIENTED_EDGE('',*,*,#200912,.T.); #272363=ORIENTED_EDGE('',*,*,#200911,.T.); #272364=ORIENTED_EDGE('',*,*,#200913,.F.); #272365=ORIENTED_EDGE('',*,*,#200914,.F.); #272366=ORIENTED_EDGE('',*,*,#200915,.T.); #272367=ORIENTED_EDGE('',*,*,#200914,.T.); #272368=ORIENTED_EDGE('',*,*,#200916,.F.); #272369=ORIENTED_EDGE('',*,*,#200917,.F.); #272370=ORIENTED_EDGE('',*,*,#200918,.T.); #272371=ORIENTED_EDGE('',*,*,#200917,.T.); #272372=ORIENTED_EDGE('',*,*,#200919,.F.); #272373=ORIENTED_EDGE('',*,*,#200920,.F.); #272374=ORIENTED_EDGE('',*,*,#200921,.T.); #272375=ORIENTED_EDGE('',*,*,#200920,.T.); #272376=ORIENTED_EDGE('',*,*,#200922,.F.); #272377=ORIENTED_EDGE('',*,*,#200923,.F.); #272378=ORIENTED_EDGE('',*,*,#200924,.T.); #272379=ORIENTED_EDGE('',*,*,#200923,.T.); #272380=ORIENTED_EDGE('',*,*,#200925,.F.); #272381=ORIENTED_EDGE('',*,*,#200926,.F.); #272382=ORIENTED_EDGE('',*,*,#200927,.T.); #272383=ORIENTED_EDGE('',*,*,#200926,.T.); #272384=ORIENTED_EDGE('',*,*,#200928,.F.); #272385=ORIENTED_EDGE('',*,*,#200929,.F.); #272386=ORIENTED_EDGE('',*,*,#200930,.T.); #272387=ORIENTED_EDGE('',*,*,#200929,.T.); #272388=ORIENTED_EDGE('',*,*,#200931,.F.); #272389=ORIENTED_EDGE('',*,*,#200932,.F.); #272390=ORIENTED_EDGE('',*,*,#200933,.T.); #272391=ORIENTED_EDGE('',*,*,#200932,.T.); #272392=ORIENTED_EDGE('',*,*,#200934,.F.); #272393=ORIENTED_EDGE('',*,*,#200935,.F.); #272394=ORIENTED_EDGE('',*,*,#200936,.T.); #272395=ORIENTED_EDGE('',*,*,#200935,.T.); #272396=ORIENTED_EDGE('',*,*,#200937,.F.); #272397=ORIENTED_EDGE('',*,*,#200938,.F.); #272398=ORIENTED_EDGE('',*,*,#200939,.T.); #272399=ORIENTED_EDGE('',*,*,#200938,.T.); #272400=ORIENTED_EDGE('',*,*,#200940,.F.); #272401=ORIENTED_EDGE('',*,*,#200941,.F.); #272402=ORIENTED_EDGE('',*,*,#200942,.T.); #272403=ORIENTED_EDGE('',*,*,#200941,.T.); #272404=ORIENTED_EDGE('',*,*,#200943,.F.); #272405=ORIENTED_EDGE('',*,*,#200944,.F.); #272406=ORIENTED_EDGE('',*,*,#200945,.T.); #272407=ORIENTED_EDGE('',*,*,#200944,.T.); #272408=ORIENTED_EDGE('',*,*,#200946,.F.); #272409=ORIENTED_EDGE('',*,*,#200947,.F.); #272410=ORIENTED_EDGE('',*,*,#200948,.T.); #272411=ORIENTED_EDGE('',*,*,#200947,.T.); #272412=ORIENTED_EDGE('',*,*,#200949,.F.); #272413=ORIENTED_EDGE('',*,*,#200950,.F.); #272414=ORIENTED_EDGE('',*,*,#200951,.T.); #272415=ORIENTED_EDGE('',*,*,#200950,.T.); #272416=ORIENTED_EDGE('',*,*,#200952,.F.); #272417=ORIENTED_EDGE('',*,*,#200953,.F.); #272418=ORIENTED_EDGE('',*,*,#200954,.T.); #272419=ORIENTED_EDGE('',*,*,#200953,.T.); #272420=ORIENTED_EDGE('',*,*,#200955,.F.); #272421=ORIENTED_EDGE('',*,*,#200956,.F.); #272422=ORIENTED_EDGE('',*,*,#200957,.T.); #272423=ORIENTED_EDGE('',*,*,#200956,.T.); #272424=ORIENTED_EDGE('',*,*,#200958,.F.); #272425=ORIENTED_EDGE('',*,*,#200959,.F.); #272426=ORIENTED_EDGE('',*,*,#200960,.T.); #272427=ORIENTED_EDGE('',*,*,#200959,.T.); #272428=ORIENTED_EDGE('',*,*,#200961,.F.); #272429=ORIENTED_EDGE('',*,*,#200962,.F.); #272430=ORIENTED_EDGE('',*,*,#200963,.T.); #272431=ORIENTED_EDGE('',*,*,#200962,.T.); #272432=ORIENTED_EDGE('',*,*,#200964,.F.); #272433=ORIENTED_EDGE('',*,*,#200965,.F.); #272434=ORIENTED_EDGE('',*,*,#200966,.T.); #272435=ORIENTED_EDGE('',*,*,#200965,.T.); #272436=ORIENTED_EDGE('',*,*,#200967,.F.); #272437=ORIENTED_EDGE('',*,*,#200968,.F.); #272438=ORIENTED_EDGE('',*,*,#200969,.T.); #272439=ORIENTED_EDGE('',*,*,#200968,.T.); #272440=ORIENTED_EDGE('',*,*,#200970,.F.); #272441=ORIENTED_EDGE('',*,*,#200971,.F.); #272442=ORIENTED_EDGE('',*,*,#200972,.T.); #272443=ORIENTED_EDGE('',*,*,#200971,.T.); #272444=ORIENTED_EDGE('',*,*,#200973,.F.); #272445=ORIENTED_EDGE('',*,*,#200974,.F.); #272446=ORIENTED_EDGE('',*,*,#200975,.T.); #272447=ORIENTED_EDGE('',*,*,#200974,.T.); #272448=ORIENTED_EDGE('',*,*,#200976,.F.); #272449=ORIENTED_EDGE('',*,*,#200977,.F.); #272450=ORIENTED_EDGE('',*,*,#200978,.T.); #272451=ORIENTED_EDGE('',*,*,#200977,.T.); #272452=ORIENTED_EDGE('',*,*,#200979,.F.); #272453=ORIENTED_EDGE('',*,*,#200980,.F.); #272454=ORIENTED_EDGE('',*,*,#200981,.T.); #272455=ORIENTED_EDGE('',*,*,#200980,.T.); #272456=ORIENTED_EDGE('',*,*,#200982,.F.); #272457=ORIENTED_EDGE('',*,*,#200983,.F.); #272458=ORIENTED_EDGE('',*,*,#200984,.T.); #272459=ORIENTED_EDGE('',*,*,#200983,.T.); #272460=ORIENTED_EDGE('',*,*,#200985,.F.); #272461=ORIENTED_EDGE('',*,*,#200986,.F.); #272462=ORIENTED_EDGE('',*,*,#200987,.T.); #272463=ORIENTED_EDGE('',*,*,#200986,.T.); #272464=ORIENTED_EDGE('',*,*,#200988,.F.); #272465=ORIENTED_EDGE('',*,*,#200989,.F.); #272466=ORIENTED_EDGE('',*,*,#200990,.T.); #272467=ORIENTED_EDGE('',*,*,#200989,.T.); #272468=ORIENTED_EDGE('',*,*,#200991,.F.); #272469=ORIENTED_EDGE('',*,*,#200992,.F.); #272470=ORIENTED_EDGE('',*,*,#200993,.T.); #272471=ORIENTED_EDGE('',*,*,#200992,.T.); #272472=ORIENTED_EDGE('',*,*,#200994,.F.); #272473=ORIENTED_EDGE('',*,*,#200995,.F.); #272474=ORIENTED_EDGE('',*,*,#200996,.T.); #272475=ORIENTED_EDGE('',*,*,#200995,.T.); #272476=ORIENTED_EDGE('',*,*,#200997,.F.); #272477=ORIENTED_EDGE('',*,*,#200998,.F.); #272478=ORIENTED_EDGE('',*,*,#200999,.T.); #272479=ORIENTED_EDGE('',*,*,#200998,.T.); #272480=ORIENTED_EDGE('',*,*,#201000,.F.); #272481=ORIENTED_EDGE('',*,*,#201001,.F.); #272482=ORIENTED_EDGE('',*,*,#201002,.T.); #272483=ORIENTED_EDGE('',*,*,#201001,.T.); #272484=ORIENTED_EDGE('',*,*,#201003,.F.); #272485=ORIENTED_EDGE('',*,*,#201004,.F.); #272486=ORIENTED_EDGE('',*,*,#201005,.T.); #272487=ORIENTED_EDGE('',*,*,#201004,.T.); #272488=ORIENTED_EDGE('',*,*,#201006,.F.); #272489=ORIENTED_EDGE('',*,*,#201007,.F.); #272490=ORIENTED_EDGE('',*,*,#201008,.T.); #272491=ORIENTED_EDGE('',*,*,#201007,.T.); #272492=ORIENTED_EDGE('',*,*,#201009,.F.); #272493=ORIENTED_EDGE('',*,*,#201010,.F.); #272494=ORIENTED_EDGE('',*,*,#201011,.T.); #272495=ORIENTED_EDGE('',*,*,#201010,.T.); #272496=ORIENTED_EDGE('',*,*,#201012,.F.); #272497=ORIENTED_EDGE('',*,*,#201013,.F.); #272498=ORIENTED_EDGE('',*,*,#201014,.T.); #272499=ORIENTED_EDGE('',*,*,#201013,.T.); #272500=ORIENTED_EDGE('',*,*,#201015,.F.); #272501=ORIENTED_EDGE('',*,*,#201016,.F.); #272502=ORIENTED_EDGE('',*,*,#201017,.T.); #272503=ORIENTED_EDGE('',*,*,#201016,.T.); #272504=ORIENTED_EDGE('',*,*,#201018,.F.); #272505=ORIENTED_EDGE('',*,*,#201019,.F.); #272506=ORIENTED_EDGE('',*,*,#201020,.T.); #272507=ORIENTED_EDGE('',*,*,#201019,.T.); #272508=ORIENTED_EDGE('',*,*,#201021,.F.); #272509=ORIENTED_EDGE('',*,*,#201022,.F.); #272510=ORIENTED_EDGE('',*,*,#201023,.T.); #272511=ORIENTED_EDGE('',*,*,#201022,.T.); #272512=ORIENTED_EDGE('',*,*,#201024,.F.); #272513=ORIENTED_EDGE('',*,*,#201025,.F.); #272514=ORIENTED_EDGE('',*,*,#201026,.T.); #272515=ORIENTED_EDGE('',*,*,#201025,.T.); #272516=ORIENTED_EDGE('',*,*,#201027,.F.); #272517=ORIENTED_EDGE('',*,*,#201028,.F.); #272518=ORIENTED_EDGE('',*,*,#201029,.T.); #272519=ORIENTED_EDGE('',*,*,#201028,.T.); #272520=ORIENTED_EDGE('',*,*,#201030,.F.); #272521=ORIENTED_EDGE('',*,*,#201031,.F.); #272522=ORIENTED_EDGE('',*,*,#201032,.T.); #272523=ORIENTED_EDGE('',*,*,#201031,.T.); #272524=ORIENTED_EDGE('',*,*,#201033,.F.); #272525=ORIENTED_EDGE('',*,*,#201034,.F.); #272526=ORIENTED_EDGE('',*,*,#201035,.T.); #272527=ORIENTED_EDGE('',*,*,#201034,.T.); #272528=ORIENTED_EDGE('',*,*,#201036,.F.); #272529=ORIENTED_EDGE('',*,*,#201037,.F.); #272530=ORIENTED_EDGE('',*,*,#201038,.T.); #272531=ORIENTED_EDGE('',*,*,#201037,.T.); #272532=ORIENTED_EDGE('',*,*,#201039,.F.); #272533=ORIENTED_EDGE('',*,*,#201040,.F.); #272534=ORIENTED_EDGE('',*,*,#201041,.T.); #272535=ORIENTED_EDGE('',*,*,#201040,.T.); #272536=ORIENTED_EDGE('',*,*,#201042,.F.); #272537=ORIENTED_EDGE('',*,*,#200648,.F.); #272538=ORIENTED_EDGE('',*,*,#201043,.T.); #272539=ORIENTED_EDGE('',*,*,#201044,.T.); #272540=ORIENTED_EDGE('',*,*,#201045,.F.); #272541=ORIENTED_EDGE('',*,*,#201046,.F.); #272542=ORIENTED_EDGE('',*,*,#201047,.T.); #272543=ORIENTED_EDGE('',*,*,#201046,.T.); #272544=ORIENTED_EDGE('',*,*,#201048,.F.); #272545=ORIENTED_EDGE('',*,*,#201049,.F.); #272546=ORIENTED_EDGE('',*,*,#201050,.T.); #272547=ORIENTED_EDGE('',*,*,#201049,.T.); #272548=ORIENTED_EDGE('',*,*,#201051,.F.); #272549=ORIENTED_EDGE('',*,*,#201052,.F.); #272550=ORIENTED_EDGE('',*,*,#201053,.T.); #272551=ORIENTED_EDGE('',*,*,#201052,.T.); #272552=ORIENTED_EDGE('',*,*,#201054,.F.); #272553=ORIENTED_EDGE('',*,*,#201055,.F.); #272554=ORIENTED_EDGE('',*,*,#201056,.T.); #272555=ORIENTED_EDGE('',*,*,#201055,.T.); #272556=ORIENTED_EDGE('',*,*,#201057,.F.); #272557=ORIENTED_EDGE('',*,*,#201058,.F.); #272558=ORIENTED_EDGE('',*,*,#201059,.T.); #272559=ORIENTED_EDGE('',*,*,#201058,.T.); #272560=ORIENTED_EDGE('',*,*,#201060,.F.); #272561=ORIENTED_EDGE('',*,*,#201061,.F.); #272562=ORIENTED_EDGE('',*,*,#201062,.T.); #272563=ORIENTED_EDGE('',*,*,#201061,.T.); #272564=ORIENTED_EDGE('',*,*,#201063,.F.); #272565=ORIENTED_EDGE('',*,*,#201064,.F.); #272566=ORIENTED_EDGE('',*,*,#201065,.T.); #272567=ORIENTED_EDGE('',*,*,#201064,.T.); #272568=ORIENTED_EDGE('',*,*,#201066,.F.); #272569=ORIENTED_EDGE('',*,*,#201044,.F.); #272570=ORIENTED_EDGE('',*,*,#201067,.T.); #272571=ORIENTED_EDGE('',*,*,#201068,.T.); #272572=ORIENTED_EDGE('',*,*,#201069,.F.); #272573=ORIENTED_EDGE('',*,*,#201070,.F.); #272574=ORIENTED_EDGE('',*,*,#201071,.T.); #272575=ORIENTED_EDGE('',*,*,#201070,.T.); #272576=ORIENTED_EDGE('',*,*,#201072,.F.); #272577=ORIENTED_EDGE('',*,*,#201073,.F.); #272578=ORIENTED_EDGE('',*,*,#201074,.T.); #272579=ORIENTED_EDGE('',*,*,#201073,.T.); #272580=ORIENTED_EDGE('',*,*,#201075,.F.); #272581=ORIENTED_EDGE('',*,*,#201076,.F.); #272582=ORIENTED_EDGE('',*,*,#201077,.T.); #272583=ORIENTED_EDGE('',*,*,#201076,.T.); #272584=ORIENTED_EDGE('',*,*,#201078,.F.); #272585=ORIENTED_EDGE('',*,*,#201079,.F.); #272586=ORIENTED_EDGE('',*,*,#201080,.T.); #272587=ORIENTED_EDGE('',*,*,#201079,.T.); #272588=ORIENTED_EDGE('',*,*,#201081,.F.); #272589=ORIENTED_EDGE('',*,*,#201082,.F.); #272590=ORIENTED_EDGE('',*,*,#201083,.T.); #272591=ORIENTED_EDGE('',*,*,#201082,.T.); #272592=ORIENTED_EDGE('',*,*,#201084,.F.); #272593=ORIENTED_EDGE('',*,*,#201085,.F.); #272594=ORIENTED_EDGE('',*,*,#201086,.T.); #272595=ORIENTED_EDGE('',*,*,#201085,.T.); #272596=ORIENTED_EDGE('',*,*,#201087,.F.); #272597=ORIENTED_EDGE('',*,*,#201088,.F.); #272598=ORIENTED_EDGE('',*,*,#201089,.T.); #272599=ORIENTED_EDGE('',*,*,#201088,.T.); #272600=ORIENTED_EDGE('',*,*,#201090,.F.); #272601=ORIENTED_EDGE('',*,*,#201068,.F.); #272602=ORIENTED_EDGE('',*,*,#201091,.T.); #272603=ORIENTED_EDGE('',*,*,#201092,.T.); #272604=ORIENTED_EDGE('',*,*,#201093,.F.); #272605=ORIENTED_EDGE('',*,*,#201094,.F.); #272606=ORIENTED_EDGE('',*,*,#201095,.T.); #272607=ORIENTED_EDGE('',*,*,#201094,.T.); #272608=ORIENTED_EDGE('',*,*,#201096,.F.); #272609=ORIENTED_EDGE('',*,*,#201097,.F.); #272610=ORIENTED_EDGE('',*,*,#201098,.T.); #272611=ORIENTED_EDGE('',*,*,#201097,.T.); #272612=ORIENTED_EDGE('',*,*,#201099,.F.); #272613=ORIENTED_EDGE('',*,*,#201100,.F.); #272614=ORIENTED_EDGE('',*,*,#201101,.T.); #272615=ORIENTED_EDGE('',*,*,#201100,.T.); #272616=ORIENTED_EDGE('',*,*,#201102,.F.); #272617=ORIENTED_EDGE('',*,*,#201103,.F.); #272618=ORIENTED_EDGE('',*,*,#201104,.T.); #272619=ORIENTED_EDGE('',*,*,#201103,.T.); #272620=ORIENTED_EDGE('',*,*,#201105,.F.); #272621=ORIENTED_EDGE('',*,*,#201106,.F.); #272622=ORIENTED_EDGE('',*,*,#201107,.T.); #272623=ORIENTED_EDGE('',*,*,#201106,.T.); #272624=ORIENTED_EDGE('',*,*,#201108,.F.); #272625=ORIENTED_EDGE('',*,*,#201109,.F.); #272626=ORIENTED_EDGE('',*,*,#201110,.T.); #272627=ORIENTED_EDGE('',*,*,#201109,.T.); #272628=ORIENTED_EDGE('',*,*,#201111,.F.); #272629=ORIENTED_EDGE('',*,*,#201112,.F.); #272630=ORIENTED_EDGE('',*,*,#201113,.T.); #272631=ORIENTED_EDGE('',*,*,#201112,.T.); #272632=ORIENTED_EDGE('',*,*,#201114,.F.); #272633=ORIENTED_EDGE('',*,*,#201092,.F.); #272634=ORIENTED_EDGE('',*,*,#201115,.T.); #272635=ORIENTED_EDGE('',*,*,#201116,.T.); #272636=ORIENTED_EDGE('',*,*,#201117,.F.); #272637=ORIENTED_EDGE('',*,*,#201118,.F.); #272638=ORIENTED_EDGE('',*,*,#201119,.T.); #272639=ORIENTED_EDGE('',*,*,#201118,.T.); #272640=ORIENTED_EDGE('',*,*,#201120,.F.); #272641=ORIENTED_EDGE('',*,*,#201121,.F.); #272642=ORIENTED_EDGE('',*,*,#201122,.T.); #272643=ORIENTED_EDGE('',*,*,#201121,.T.); #272644=ORIENTED_EDGE('',*,*,#201123,.F.); #272645=ORIENTED_EDGE('',*,*,#201124,.F.); #272646=ORIENTED_EDGE('',*,*,#201125,.T.); #272647=ORIENTED_EDGE('',*,*,#201124,.T.); #272648=ORIENTED_EDGE('',*,*,#201126,.F.); #272649=ORIENTED_EDGE('',*,*,#201127,.F.); #272650=ORIENTED_EDGE('',*,*,#201128,.T.); #272651=ORIENTED_EDGE('',*,*,#201127,.T.); #272652=ORIENTED_EDGE('',*,*,#201129,.F.); #272653=ORIENTED_EDGE('',*,*,#201130,.F.); #272654=ORIENTED_EDGE('',*,*,#201131,.T.); #272655=ORIENTED_EDGE('',*,*,#201130,.T.); #272656=ORIENTED_EDGE('',*,*,#201132,.F.); #272657=ORIENTED_EDGE('',*,*,#201133,.F.); #272658=ORIENTED_EDGE('',*,*,#201134,.T.); #272659=ORIENTED_EDGE('',*,*,#201133,.T.); #272660=ORIENTED_EDGE('',*,*,#201135,.F.); #272661=ORIENTED_EDGE('',*,*,#201136,.F.); #272662=ORIENTED_EDGE('',*,*,#201137,.T.); #272663=ORIENTED_EDGE('',*,*,#201136,.T.); #272664=ORIENTED_EDGE('',*,*,#201138,.F.); #272665=ORIENTED_EDGE('',*,*,#201139,.F.); #272666=ORIENTED_EDGE('',*,*,#201140,.T.); #272667=ORIENTED_EDGE('',*,*,#201139,.T.); #272668=ORIENTED_EDGE('',*,*,#201141,.F.); #272669=ORIENTED_EDGE('',*,*,#201142,.F.); #272670=ORIENTED_EDGE('',*,*,#201143,.T.); #272671=ORIENTED_EDGE('',*,*,#201142,.T.); #272672=ORIENTED_EDGE('',*,*,#201144,.F.); #272673=ORIENTED_EDGE('',*,*,#201145,.F.); #272674=ORIENTED_EDGE('',*,*,#201146,.T.); #272675=ORIENTED_EDGE('',*,*,#201145,.T.); #272676=ORIENTED_EDGE('',*,*,#201147,.F.); #272677=ORIENTED_EDGE('',*,*,#201148,.F.); #272678=ORIENTED_EDGE('',*,*,#201149,.T.); #272679=ORIENTED_EDGE('',*,*,#201148,.T.); #272680=ORIENTED_EDGE('',*,*,#201150,.F.); #272681=ORIENTED_EDGE('',*,*,#201151,.F.); #272682=ORIENTED_EDGE('',*,*,#201152,.T.); #272683=ORIENTED_EDGE('',*,*,#201151,.T.); #272684=ORIENTED_EDGE('',*,*,#201153,.F.); #272685=ORIENTED_EDGE('',*,*,#201154,.F.); #272686=ORIENTED_EDGE('',*,*,#201155,.T.); #272687=ORIENTED_EDGE('',*,*,#201154,.T.); #272688=ORIENTED_EDGE('',*,*,#201156,.F.); #272689=ORIENTED_EDGE('',*,*,#201157,.F.); #272690=ORIENTED_EDGE('',*,*,#201158,.T.); #272691=ORIENTED_EDGE('',*,*,#201157,.T.); #272692=ORIENTED_EDGE('',*,*,#201159,.F.); #272693=ORIENTED_EDGE('',*,*,#201160,.F.); #272694=ORIENTED_EDGE('',*,*,#201161,.T.); #272695=ORIENTED_EDGE('',*,*,#201160,.T.); #272696=ORIENTED_EDGE('',*,*,#201162,.F.); #272697=ORIENTED_EDGE('',*,*,#201163,.F.); #272698=ORIENTED_EDGE('',*,*,#201164,.T.); #272699=ORIENTED_EDGE('',*,*,#201163,.T.); #272700=ORIENTED_EDGE('',*,*,#201165,.F.); #272701=ORIENTED_EDGE('',*,*,#201166,.F.); #272702=ORIENTED_EDGE('',*,*,#201167,.T.); #272703=ORIENTED_EDGE('',*,*,#201166,.T.); #272704=ORIENTED_EDGE('',*,*,#201168,.F.); #272705=ORIENTED_EDGE('',*,*,#201169,.F.); #272706=ORIENTED_EDGE('',*,*,#201170,.T.); #272707=ORIENTED_EDGE('',*,*,#201169,.T.); #272708=ORIENTED_EDGE('',*,*,#201171,.F.); #272709=ORIENTED_EDGE('',*,*,#201172,.F.); #272710=ORIENTED_EDGE('',*,*,#201173,.T.); #272711=ORIENTED_EDGE('',*,*,#201172,.T.); #272712=ORIENTED_EDGE('',*,*,#201174,.F.); #272713=ORIENTED_EDGE('',*,*,#201175,.F.); #272714=ORIENTED_EDGE('',*,*,#201176,.T.); #272715=ORIENTED_EDGE('',*,*,#201175,.T.); #272716=ORIENTED_EDGE('',*,*,#201177,.F.); #272717=ORIENTED_EDGE('',*,*,#201178,.F.); #272718=ORIENTED_EDGE('',*,*,#201179,.T.); #272719=ORIENTED_EDGE('',*,*,#201178,.T.); #272720=ORIENTED_EDGE('',*,*,#201180,.F.); #272721=ORIENTED_EDGE('',*,*,#201181,.F.); #272722=ORIENTED_EDGE('',*,*,#201182,.T.); #272723=ORIENTED_EDGE('',*,*,#201181,.T.); #272724=ORIENTED_EDGE('',*,*,#201183,.F.); #272725=ORIENTED_EDGE('',*,*,#201184,.F.); #272726=ORIENTED_EDGE('',*,*,#201185,.T.); #272727=ORIENTED_EDGE('',*,*,#201184,.T.); #272728=ORIENTED_EDGE('',*,*,#201186,.F.); #272729=ORIENTED_EDGE('',*,*,#201187,.F.); #272730=ORIENTED_EDGE('',*,*,#201188,.T.); #272731=ORIENTED_EDGE('',*,*,#201187,.T.); #272732=ORIENTED_EDGE('',*,*,#201189,.F.); #272733=ORIENTED_EDGE('',*,*,#201190,.F.); #272734=ORIENTED_EDGE('',*,*,#201191,.T.); #272735=ORIENTED_EDGE('',*,*,#201190,.T.); #272736=ORIENTED_EDGE('',*,*,#201192,.F.); #272737=ORIENTED_EDGE('',*,*,#201193,.F.); #272738=ORIENTED_EDGE('',*,*,#201194,.T.); #272739=ORIENTED_EDGE('',*,*,#201193,.T.); #272740=ORIENTED_EDGE('',*,*,#201195,.F.); #272741=ORIENTED_EDGE('',*,*,#201196,.F.); #272742=ORIENTED_EDGE('',*,*,#201197,.T.); #272743=ORIENTED_EDGE('',*,*,#201196,.T.); #272744=ORIENTED_EDGE('',*,*,#201198,.F.); #272745=ORIENTED_EDGE('',*,*,#201199,.F.); #272746=ORIENTED_EDGE('',*,*,#201200,.T.); #272747=ORIENTED_EDGE('',*,*,#201199,.T.); #272748=ORIENTED_EDGE('',*,*,#201201,.F.); #272749=ORIENTED_EDGE('',*,*,#201202,.F.); #272750=ORIENTED_EDGE('',*,*,#201203,.T.); #272751=ORIENTED_EDGE('',*,*,#201202,.T.); #272752=ORIENTED_EDGE('',*,*,#201204,.F.); #272753=ORIENTED_EDGE('',*,*,#201205,.F.); #272754=ORIENTED_EDGE('',*,*,#201206,.T.); #272755=ORIENTED_EDGE('',*,*,#201205,.T.); #272756=ORIENTED_EDGE('',*,*,#201207,.F.); #272757=ORIENTED_EDGE('',*,*,#201208,.F.); #272758=ORIENTED_EDGE('',*,*,#201209,.T.); #272759=ORIENTED_EDGE('',*,*,#201208,.T.); #272760=ORIENTED_EDGE('',*,*,#201210,.F.); #272761=ORIENTED_EDGE('',*,*,#201211,.F.); #272762=ORIENTED_EDGE('',*,*,#201212,.T.); #272763=ORIENTED_EDGE('',*,*,#201211,.T.); #272764=ORIENTED_EDGE('',*,*,#201213,.F.); #272765=ORIENTED_EDGE('',*,*,#201214,.F.); #272766=ORIENTED_EDGE('',*,*,#201215,.T.); #272767=ORIENTED_EDGE('',*,*,#201214,.T.); #272768=ORIENTED_EDGE('',*,*,#201216,.F.); #272769=ORIENTED_EDGE('',*,*,#201217,.F.); #272770=ORIENTED_EDGE('',*,*,#201218,.T.); #272771=ORIENTED_EDGE('',*,*,#201217,.T.); #272772=ORIENTED_EDGE('',*,*,#201219,.F.); #272773=ORIENTED_EDGE('',*,*,#201220,.F.); #272774=ORIENTED_EDGE('',*,*,#201221,.T.); #272775=ORIENTED_EDGE('',*,*,#201220,.T.); #272776=ORIENTED_EDGE('',*,*,#201222,.F.); #272777=ORIENTED_EDGE('',*,*,#201223,.F.); #272778=ORIENTED_EDGE('',*,*,#201224,.T.); #272779=ORIENTED_EDGE('',*,*,#201223,.T.); #272780=ORIENTED_EDGE('',*,*,#201225,.F.); #272781=ORIENTED_EDGE('',*,*,#201226,.F.); #272782=ORIENTED_EDGE('',*,*,#201227,.T.); #272783=ORIENTED_EDGE('',*,*,#201226,.T.); #272784=ORIENTED_EDGE('',*,*,#201228,.F.); #272785=ORIENTED_EDGE('',*,*,#201229,.F.); #272786=ORIENTED_EDGE('',*,*,#201230,.T.); #272787=ORIENTED_EDGE('',*,*,#201229,.T.); #272788=ORIENTED_EDGE('',*,*,#201231,.F.); #272789=ORIENTED_EDGE('',*,*,#201232,.F.); #272790=ORIENTED_EDGE('',*,*,#201233,.T.); #272791=ORIENTED_EDGE('',*,*,#201232,.T.); #272792=ORIENTED_EDGE('',*,*,#201234,.F.); #272793=ORIENTED_EDGE('',*,*,#201235,.F.); #272794=ORIENTED_EDGE('',*,*,#201236,.T.); #272795=ORIENTED_EDGE('',*,*,#201235,.T.); #272796=ORIENTED_EDGE('',*,*,#201237,.F.); #272797=ORIENTED_EDGE('',*,*,#201238,.F.); #272798=ORIENTED_EDGE('',*,*,#201239,.T.); #272799=ORIENTED_EDGE('',*,*,#201238,.T.); #272800=ORIENTED_EDGE('',*,*,#201240,.F.); #272801=ORIENTED_EDGE('',*,*,#201241,.F.); #272802=ORIENTED_EDGE('',*,*,#201242,.T.); #272803=ORIENTED_EDGE('',*,*,#201241,.T.); #272804=ORIENTED_EDGE('',*,*,#201243,.F.); #272805=ORIENTED_EDGE('',*,*,#201244,.F.); #272806=ORIENTED_EDGE('',*,*,#201245,.T.); #272807=ORIENTED_EDGE('',*,*,#201244,.T.); #272808=ORIENTED_EDGE('',*,*,#201246,.F.); #272809=ORIENTED_EDGE('',*,*,#201247,.F.); #272810=ORIENTED_EDGE('',*,*,#201248,.T.); #272811=ORIENTED_EDGE('',*,*,#201247,.T.); #272812=ORIENTED_EDGE('',*,*,#201249,.F.); #272813=ORIENTED_EDGE('',*,*,#201250,.F.); #272814=ORIENTED_EDGE('',*,*,#201251,.T.); #272815=ORIENTED_EDGE('',*,*,#201250,.T.); #272816=ORIENTED_EDGE('',*,*,#201252,.F.); #272817=ORIENTED_EDGE('',*,*,#201253,.F.); #272818=ORIENTED_EDGE('',*,*,#201254,.T.); #272819=ORIENTED_EDGE('',*,*,#201253,.T.); #272820=ORIENTED_EDGE('',*,*,#201255,.F.); #272821=ORIENTED_EDGE('',*,*,#201256,.F.); #272822=ORIENTED_EDGE('',*,*,#201257,.T.); #272823=ORIENTED_EDGE('',*,*,#201256,.T.); #272824=ORIENTED_EDGE('',*,*,#201258,.F.); #272825=ORIENTED_EDGE('',*,*,#201259,.F.); #272826=ORIENTED_EDGE('',*,*,#201260,.T.); #272827=ORIENTED_EDGE('',*,*,#201259,.T.); #272828=ORIENTED_EDGE('',*,*,#201261,.F.); #272829=ORIENTED_EDGE('',*,*,#201262,.F.); #272830=ORIENTED_EDGE('',*,*,#201263,.T.); #272831=ORIENTED_EDGE('',*,*,#201262,.T.); #272832=ORIENTED_EDGE('',*,*,#201264,.F.); #272833=ORIENTED_EDGE('',*,*,#201265,.F.); #272834=ORIENTED_EDGE('',*,*,#201266,.T.); #272835=ORIENTED_EDGE('',*,*,#201265,.T.); #272836=ORIENTED_EDGE('',*,*,#201267,.F.); #272837=ORIENTED_EDGE('',*,*,#201268,.F.); #272838=ORIENTED_EDGE('',*,*,#201269,.T.); #272839=ORIENTED_EDGE('',*,*,#201268,.T.); #272840=ORIENTED_EDGE('',*,*,#201270,.F.); #272841=ORIENTED_EDGE('',*,*,#201271,.F.); #272842=ORIENTED_EDGE('',*,*,#201272,.T.); #272843=ORIENTED_EDGE('',*,*,#201271,.T.); #272844=ORIENTED_EDGE('',*,*,#201273,.F.); #272845=ORIENTED_EDGE('',*,*,#201274,.F.); #272846=ORIENTED_EDGE('',*,*,#201275,.T.); #272847=ORIENTED_EDGE('',*,*,#201274,.T.); #272848=ORIENTED_EDGE('',*,*,#201276,.F.); #272849=ORIENTED_EDGE('',*,*,#201277,.F.); #272850=ORIENTED_EDGE('',*,*,#201278,.T.); #272851=ORIENTED_EDGE('',*,*,#201277,.T.); #272852=ORIENTED_EDGE('',*,*,#201279,.F.); #272853=ORIENTED_EDGE('',*,*,#201280,.F.); #272854=ORIENTED_EDGE('',*,*,#201281,.T.); #272855=ORIENTED_EDGE('',*,*,#201280,.T.); #272856=ORIENTED_EDGE('',*,*,#201282,.F.); #272857=ORIENTED_EDGE('',*,*,#201283,.F.); #272858=ORIENTED_EDGE('',*,*,#201284,.T.); #272859=ORIENTED_EDGE('',*,*,#201283,.T.); #272860=ORIENTED_EDGE('',*,*,#201285,.F.); #272861=ORIENTED_EDGE('',*,*,#201286,.F.); #272862=ORIENTED_EDGE('',*,*,#201287,.T.); #272863=ORIENTED_EDGE('',*,*,#201286,.T.); #272864=ORIENTED_EDGE('',*,*,#201288,.F.); #272865=ORIENTED_EDGE('',*,*,#201289,.F.); #272866=ORIENTED_EDGE('',*,*,#201290,.T.); #272867=ORIENTED_EDGE('',*,*,#201289,.T.); #272868=ORIENTED_EDGE('',*,*,#201291,.F.); #272869=ORIENTED_EDGE('',*,*,#201292,.F.); #272870=ORIENTED_EDGE('',*,*,#201293,.T.); #272871=ORIENTED_EDGE('',*,*,#201292,.T.); #272872=ORIENTED_EDGE('',*,*,#201294,.F.); #272873=ORIENTED_EDGE('',*,*,#201116,.F.); #272874=ORIENTED_EDGE('',*,*,#201295,.T.); #272875=ORIENTED_EDGE('',*,*,#201296,.T.); #272876=ORIENTED_EDGE('',*,*,#201297,.F.); #272877=ORIENTED_EDGE('',*,*,#201298,.F.); #272878=ORIENTED_EDGE('',*,*,#201299,.T.); #272879=ORIENTED_EDGE('',*,*,#201298,.T.); #272880=ORIENTED_EDGE('',*,*,#201300,.F.); #272881=ORIENTED_EDGE('',*,*,#201301,.F.); #272882=ORIENTED_EDGE('',*,*,#201302,.T.); #272883=ORIENTED_EDGE('',*,*,#201301,.T.); #272884=ORIENTED_EDGE('',*,*,#201303,.F.); #272885=ORIENTED_EDGE('',*,*,#201304,.F.); #272886=ORIENTED_EDGE('',*,*,#201305,.T.); #272887=ORIENTED_EDGE('',*,*,#201304,.T.); #272888=ORIENTED_EDGE('',*,*,#201306,.F.); #272889=ORIENTED_EDGE('',*,*,#201307,.F.); #272890=ORIENTED_EDGE('',*,*,#201308,.T.); #272891=ORIENTED_EDGE('',*,*,#201307,.T.); #272892=ORIENTED_EDGE('',*,*,#201309,.F.); #272893=ORIENTED_EDGE('',*,*,#201310,.F.); #272894=ORIENTED_EDGE('',*,*,#201311,.T.); #272895=ORIENTED_EDGE('',*,*,#201310,.T.); #272896=ORIENTED_EDGE('',*,*,#201312,.F.); #272897=ORIENTED_EDGE('',*,*,#201313,.F.); #272898=ORIENTED_EDGE('',*,*,#201314,.T.); #272899=ORIENTED_EDGE('',*,*,#201313,.T.); #272900=ORIENTED_EDGE('',*,*,#201315,.F.); #272901=ORIENTED_EDGE('',*,*,#201316,.F.); #272902=ORIENTED_EDGE('',*,*,#201317,.T.); #272903=ORIENTED_EDGE('',*,*,#201316,.T.); #272904=ORIENTED_EDGE('',*,*,#201318,.F.); #272905=ORIENTED_EDGE('',*,*,#201319,.F.); #272906=ORIENTED_EDGE('',*,*,#201320,.T.); #272907=ORIENTED_EDGE('',*,*,#201319,.T.); #272908=ORIENTED_EDGE('',*,*,#201321,.F.); #272909=ORIENTED_EDGE('',*,*,#201322,.F.); #272910=ORIENTED_EDGE('',*,*,#201323,.T.); #272911=ORIENTED_EDGE('',*,*,#201322,.T.); #272912=ORIENTED_EDGE('',*,*,#201324,.F.); #272913=ORIENTED_EDGE('',*,*,#201325,.F.); #272914=ORIENTED_EDGE('',*,*,#201326,.T.); #272915=ORIENTED_EDGE('',*,*,#201325,.T.); #272916=ORIENTED_EDGE('',*,*,#201327,.F.); #272917=ORIENTED_EDGE('',*,*,#201328,.F.); #272918=ORIENTED_EDGE('',*,*,#201329,.T.); #272919=ORIENTED_EDGE('',*,*,#201328,.T.); #272920=ORIENTED_EDGE('',*,*,#201330,.F.); #272921=ORIENTED_EDGE('',*,*,#201331,.F.); #272922=ORIENTED_EDGE('',*,*,#201332,.T.); #272923=ORIENTED_EDGE('',*,*,#201331,.T.); #272924=ORIENTED_EDGE('',*,*,#201333,.F.); #272925=ORIENTED_EDGE('',*,*,#201334,.F.); #272926=ORIENTED_EDGE('',*,*,#201335,.T.); #272927=ORIENTED_EDGE('',*,*,#201334,.T.); #272928=ORIENTED_EDGE('',*,*,#201336,.F.); #272929=ORIENTED_EDGE('',*,*,#201337,.F.); #272930=ORIENTED_EDGE('',*,*,#201338,.T.); #272931=ORIENTED_EDGE('',*,*,#201337,.T.); #272932=ORIENTED_EDGE('',*,*,#201339,.F.); #272933=ORIENTED_EDGE('',*,*,#201340,.F.); #272934=ORIENTED_EDGE('',*,*,#201341,.T.); #272935=ORIENTED_EDGE('',*,*,#201340,.T.); #272936=ORIENTED_EDGE('',*,*,#201342,.F.); #272937=ORIENTED_EDGE('',*,*,#201343,.F.); #272938=ORIENTED_EDGE('',*,*,#201344,.T.); #272939=ORIENTED_EDGE('',*,*,#201343,.T.); #272940=ORIENTED_EDGE('',*,*,#201345,.F.); #272941=ORIENTED_EDGE('',*,*,#201346,.F.); #272942=ORIENTED_EDGE('',*,*,#201347,.T.); #272943=ORIENTED_EDGE('',*,*,#201346,.T.); #272944=ORIENTED_EDGE('',*,*,#201348,.F.); #272945=ORIENTED_EDGE('',*,*,#201349,.F.); #272946=ORIENTED_EDGE('',*,*,#201350,.T.); #272947=ORIENTED_EDGE('',*,*,#201349,.T.); #272948=ORIENTED_EDGE('',*,*,#201351,.F.); #272949=ORIENTED_EDGE('',*,*,#201352,.F.); #272950=ORIENTED_EDGE('',*,*,#201353,.T.); #272951=ORIENTED_EDGE('',*,*,#201352,.T.); #272952=ORIENTED_EDGE('',*,*,#201354,.F.); #272953=ORIENTED_EDGE('',*,*,#201355,.F.); #272954=ORIENTED_EDGE('',*,*,#201356,.T.); #272955=ORIENTED_EDGE('',*,*,#201355,.T.); #272956=ORIENTED_EDGE('',*,*,#201357,.F.); #272957=ORIENTED_EDGE('',*,*,#201358,.F.); #272958=ORIENTED_EDGE('',*,*,#201359,.T.); #272959=ORIENTED_EDGE('',*,*,#201358,.T.); #272960=ORIENTED_EDGE('',*,*,#201360,.F.); #272961=ORIENTED_EDGE('',*,*,#201361,.F.); #272962=ORIENTED_EDGE('',*,*,#201362,.T.); #272963=ORIENTED_EDGE('',*,*,#201361,.T.); #272964=ORIENTED_EDGE('',*,*,#201363,.F.); #272965=ORIENTED_EDGE('',*,*,#201364,.F.); #272966=ORIENTED_EDGE('',*,*,#201365,.T.); #272967=ORIENTED_EDGE('',*,*,#201364,.T.); #272968=ORIENTED_EDGE('',*,*,#201366,.F.); #272969=ORIENTED_EDGE('',*,*,#201367,.F.); #272970=ORIENTED_EDGE('',*,*,#201368,.T.); #272971=ORIENTED_EDGE('',*,*,#201367,.T.); #272972=ORIENTED_EDGE('',*,*,#201369,.F.); #272973=ORIENTED_EDGE('',*,*,#201370,.F.); #272974=ORIENTED_EDGE('',*,*,#201371,.T.); #272975=ORIENTED_EDGE('',*,*,#201370,.T.); #272976=ORIENTED_EDGE('',*,*,#201372,.F.); #272977=ORIENTED_EDGE('',*,*,#201373,.F.); #272978=ORIENTED_EDGE('',*,*,#201374,.T.); #272979=ORIENTED_EDGE('',*,*,#201373,.T.); #272980=ORIENTED_EDGE('',*,*,#201375,.F.); #272981=ORIENTED_EDGE('',*,*,#201376,.F.); #272982=ORIENTED_EDGE('',*,*,#201377,.T.); #272983=ORIENTED_EDGE('',*,*,#201376,.T.); #272984=ORIENTED_EDGE('',*,*,#201378,.F.); #272985=ORIENTED_EDGE('',*,*,#201379,.F.); #272986=ORIENTED_EDGE('',*,*,#201380,.T.); #272987=ORIENTED_EDGE('',*,*,#201379,.T.); #272988=ORIENTED_EDGE('',*,*,#201381,.F.); #272989=ORIENTED_EDGE('',*,*,#201382,.F.); #272990=ORIENTED_EDGE('',*,*,#201383,.T.); #272991=ORIENTED_EDGE('',*,*,#201382,.T.); #272992=ORIENTED_EDGE('',*,*,#201384,.F.); #272993=ORIENTED_EDGE('',*,*,#201385,.F.); #272994=ORIENTED_EDGE('',*,*,#201386,.T.); #272995=ORIENTED_EDGE('',*,*,#201385,.T.); #272996=ORIENTED_EDGE('',*,*,#201387,.F.); #272997=ORIENTED_EDGE('',*,*,#201388,.F.); #272998=ORIENTED_EDGE('',*,*,#201389,.T.); #272999=ORIENTED_EDGE('',*,*,#201388,.T.); #273000=ORIENTED_EDGE('',*,*,#201390,.F.); #273001=ORIENTED_EDGE('',*,*,#201391,.F.); #273002=ORIENTED_EDGE('',*,*,#201392,.T.); #273003=ORIENTED_EDGE('',*,*,#201391,.T.); #273004=ORIENTED_EDGE('',*,*,#201393,.F.); #273005=ORIENTED_EDGE('',*,*,#201394,.F.); #273006=ORIENTED_EDGE('',*,*,#201395,.T.); #273007=ORIENTED_EDGE('',*,*,#201394,.T.); #273008=ORIENTED_EDGE('',*,*,#201396,.F.); #273009=ORIENTED_EDGE('',*,*,#201296,.F.); #273010=ORIENTED_EDGE('',*,*,#201397,.T.); #273011=ORIENTED_EDGE('',*,*,#201398,.T.); #273012=ORIENTED_EDGE('',*,*,#201399,.F.); #273013=ORIENTED_EDGE('',*,*,#201400,.F.); #273014=ORIENTED_EDGE('',*,*,#201401,.T.); #273015=ORIENTED_EDGE('',*,*,#201400,.T.); #273016=ORIENTED_EDGE('',*,*,#201402,.F.); #273017=ORIENTED_EDGE('',*,*,#201403,.F.); #273018=ORIENTED_EDGE('',*,*,#201404,.T.); #273019=ORIENTED_EDGE('',*,*,#201403,.T.); #273020=ORIENTED_EDGE('',*,*,#201405,.F.); #273021=ORIENTED_EDGE('',*,*,#201406,.F.); #273022=ORIENTED_EDGE('',*,*,#201407,.T.); #273023=ORIENTED_EDGE('',*,*,#201406,.T.); #273024=ORIENTED_EDGE('',*,*,#201408,.F.); #273025=ORIENTED_EDGE('',*,*,#201409,.F.); #273026=ORIENTED_EDGE('',*,*,#201410,.T.); #273027=ORIENTED_EDGE('',*,*,#201409,.T.); #273028=ORIENTED_EDGE('',*,*,#201411,.F.); #273029=ORIENTED_EDGE('',*,*,#201412,.F.); #273030=ORIENTED_EDGE('',*,*,#201413,.T.); #273031=ORIENTED_EDGE('',*,*,#201412,.T.); #273032=ORIENTED_EDGE('',*,*,#201414,.F.); #273033=ORIENTED_EDGE('',*,*,#201415,.F.); #273034=ORIENTED_EDGE('',*,*,#201416,.T.); #273035=ORIENTED_EDGE('',*,*,#201415,.T.); #273036=ORIENTED_EDGE('',*,*,#201417,.F.); #273037=ORIENTED_EDGE('',*,*,#201418,.F.); #273038=ORIENTED_EDGE('',*,*,#201419,.T.); #273039=ORIENTED_EDGE('',*,*,#201418,.T.); #273040=ORIENTED_EDGE('',*,*,#201420,.F.); #273041=ORIENTED_EDGE('',*,*,#201421,.F.); #273042=ORIENTED_EDGE('',*,*,#201422,.T.); #273043=ORIENTED_EDGE('',*,*,#201421,.T.); #273044=ORIENTED_EDGE('',*,*,#201423,.F.); #273045=ORIENTED_EDGE('',*,*,#201424,.F.); #273046=ORIENTED_EDGE('',*,*,#201425,.T.); #273047=ORIENTED_EDGE('',*,*,#201424,.T.); #273048=ORIENTED_EDGE('',*,*,#201426,.F.); #273049=ORIENTED_EDGE('',*,*,#201427,.F.); #273050=ORIENTED_EDGE('',*,*,#201428,.T.); #273051=ORIENTED_EDGE('',*,*,#201427,.T.); #273052=ORIENTED_EDGE('',*,*,#201429,.F.); #273053=ORIENTED_EDGE('',*,*,#201430,.F.); #273054=ORIENTED_EDGE('',*,*,#201431,.T.); #273055=ORIENTED_EDGE('',*,*,#201430,.T.); #273056=ORIENTED_EDGE('',*,*,#201432,.F.); #273057=ORIENTED_EDGE('',*,*,#201433,.F.); #273058=ORIENTED_EDGE('',*,*,#201434,.T.); #273059=ORIENTED_EDGE('',*,*,#201433,.T.); #273060=ORIENTED_EDGE('',*,*,#201435,.F.); #273061=ORIENTED_EDGE('',*,*,#201436,.F.); #273062=ORIENTED_EDGE('',*,*,#201437,.T.); #273063=ORIENTED_EDGE('',*,*,#201436,.T.); #273064=ORIENTED_EDGE('',*,*,#201438,.F.); #273065=ORIENTED_EDGE('',*,*,#201439,.F.); #273066=ORIENTED_EDGE('',*,*,#201440,.T.); #273067=ORIENTED_EDGE('',*,*,#201439,.T.); #273068=ORIENTED_EDGE('',*,*,#201441,.F.); #273069=ORIENTED_EDGE('',*,*,#201442,.F.); #273070=ORIENTED_EDGE('',*,*,#201443,.T.); #273071=ORIENTED_EDGE('',*,*,#201442,.T.); #273072=ORIENTED_EDGE('',*,*,#201444,.F.); #273073=ORIENTED_EDGE('',*,*,#201445,.F.); #273074=ORIENTED_EDGE('',*,*,#201446,.T.); #273075=ORIENTED_EDGE('',*,*,#201445,.T.); #273076=ORIENTED_EDGE('',*,*,#201447,.F.); #273077=ORIENTED_EDGE('',*,*,#201448,.F.); #273078=ORIENTED_EDGE('',*,*,#201449,.T.); #273079=ORIENTED_EDGE('',*,*,#201448,.T.); #273080=ORIENTED_EDGE('',*,*,#201450,.F.); #273081=ORIENTED_EDGE('',*,*,#201451,.F.); #273082=ORIENTED_EDGE('',*,*,#201452,.T.); #273083=ORIENTED_EDGE('',*,*,#201451,.T.); #273084=ORIENTED_EDGE('',*,*,#201453,.F.); #273085=ORIENTED_EDGE('',*,*,#201454,.F.); #273086=ORIENTED_EDGE('',*,*,#201455,.T.); #273087=ORIENTED_EDGE('',*,*,#201454,.T.); #273088=ORIENTED_EDGE('',*,*,#201456,.F.); #273089=ORIENTED_EDGE('',*,*,#201457,.F.); #273090=ORIENTED_EDGE('',*,*,#201458,.T.); #273091=ORIENTED_EDGE('',*,*,#201457,.T.); #273092=ORIENTED_EDGE('',*,*,#201459,.F.); #273093=ORIENTED_EDGE('',*,*,#201460,.F.); #273094=ORIENTED_EDGE('',*,*,#201461,.T.); #273095=ORIENTED_EDGE('',*,*,#201460,.T.); #273096=ORIENTED_EDGE('',*,*,#201462,.F.); #273097=ORIENTED_EDGE('',*,*,#201463,.F.); #273098=ORIENTED_EDGE('',*,*,#201464,.T.); #273099=ORIENTED_EDGE('',*,*,#201463,.T.); #273100=ORIENTED_EDGE('',*,*,#201465,.F.); #273101=ORIENTED_EDGE('',*,*,#201466,.F.); #273102=ORIENTED_EDGE('',*,*,#201467,.T.); #273103=ORIENTED_EDGE('',*,*,#201466,.T.); #273104=ORIENTED_EDGE('',*,*,#201468,.F.); #273105=ORIENTED_EDGE('',*,*,#201469,.F.); #273106=ORIENTED_EDGE('',*,*,#201470,.T.); #273107=ORIENTED_EDGE('',*,*,#201469,.T.); #273108=ORIENTED_EDGE('',*,*,#201471,.F.); #273109=ORIENTED_EDGE('',*,*,#201472,.F.); #273110=ORIENTED_EDGE('',*,*,#201473,.T.); #273111=ORIENTED_EDGE('',*,*,#201472,.T.); #273112=ORIENTED_EDGE('',*,*,#201474,.F.); #273113=ORIENTED_EDGE('',*,*,#201475,.F.); #273114=ORIENTED_EDGE('',*,*,#201476,.T.); #273115=ORIENTED_EDGE('',*,*,#201475,.T.); #273116=ORIENTED_EDGE('',*,*,#201477,.F.); #273117=ORIENTED_EDGE('',*,*,#201478,.F.); #273118=ORIENTED_EDGE('',*,*,#201479,.T.); #273119=ORIENTED_EDGE('',*,*,#201478,.T.); #273120=ORIENTED_EDGE('',*,*,#201480,.F.); #273121=ORIENTED_EDGE('',*,*,#201481,.F.); #273122=ORIENTED_EDGE('',*,*,#201482,.T.); #273123=ORIENTED_EDGE('',*,*,#201481,.T.); #273124=ORIENTED_EDGE('',*,*,#201483,.F.); #273125=ORIENTED_EDGE('',*,*,#201484,.F.); #273126=ORIENTED_EDGE('',*,*,#201485,.T.); #273127=ORIENTED_EDGE('',*,*,#201484,.T.); #273128=ORIENTED_EDGE('',*,*,#201486,.F.); #273129=ORIENTED_EDGE('',*,*,#201487,.F.); #273130=ORIENTED_EDGE('',*,*,#201488,.T.); #273131=ORIENTED_EDGE('',*,*,#201487,.T.); #273132=ORIENTED_EDGE('',*,*,#201489,.F.); #273133=ORIENTED_EDGE('',*,*,#201490,.F.); #273134=ORIENTED_EDGE('',*,*,#201491,.T.); #273135=ORIENTED_EDGE('',*,*,#201490,.T.); #273136=ORIENTED_EDGE('',*,*,#201492,.F.); #273137=ORIENTED_EDGE('',*,*,#201493,.F.); #273138=ORIENTED_EDGE('',*,*,#201494,.T.); #273139=ORIENTED_EDGE('',*,*,#201493,.T.); #273140=ORIENTED_EDGE('',*,*,#201495,.F.); #273141=ORIENTED_EDGE('',*,*,#201496,.F.); #273142=ORIENTED_EDGE('',*,*,#201497,.T.); #273143=ORIENTED_EDGE('',*,*,#201496,.T.); #273144=ORIENTED_EDGE('',*,*,#201498,.F.); #273145=ORIENTED_EDGE('',*,*,#201398,.F.); #273146=ORIENTED_EDGE('',*,*,#201499,.T.); #273147=ORIENTED_EDGE('',*,*,#201500,.T.); #273148=ORIENTED_EDGE('',*,*,#201501,.F.); #273149=ORIENTED_EDGE('',*,*,#201502,.F.); #273150=ORIENTED_EDGE('',*,*,#201503,.T.); #273151=ORIENTED_EDGE('',*,*,#201502,.T.); #273152=ORIENTED_EDGE('',*,*,#201504,.F.); #273153=ORIENTED_EDGE('',*,*,#201505,.F.); #273154=ORIENTED_EDGE('',*,*,#201506,.T.); #273155=ORIENTED_EDGE('',*,*,#201505,.T.); #273156=ORIENTED_EDGE('',*,*,#201507,.F.); #273157=ORIENTED_EDGE('',*,*,#201508,.F.); #273158=ORIENTED_EDGE('',*,*,#201509,.T.); #273159=ORIENTED_EDGE('',*,*,#201508,.T.); #273160=ORIENTED_EDGE('',*,*,#201510,.F.); #273161=ORIENTED_EDGE('',*,*,#201511,.F.); #273162=ORIENTED_EDGE('',*,*,#201512,.T.); #273163=ORIENTED_EDGE('',*,*,#201511,.T.); #273164=ORIENTED_EDGE('',*,*,#201513,.F.); #273165=ORIENTED_EDGE('',*,*,#201514,.F.); #273166=ORIENTED_EDGE('',*,*,#201515,.T.); #273167=ORIENTED_EDGE('',*,*,#201514,.T.); #273168=ORIENTED_EDGE('',*,*,#201516,.F.); #273169=ORIENTED_EDGE('',*,*,#201517,.F.); #273170=ORIENTED_EDGE('',*,*,#201518,.T.); #273171=ORIENTED_EDGE('',*,*,#201517,.T.); #273172=ORIENTED_EDGE('',*,*,#201519,.F.); #273173=ORIENTED_EDGE('',*,*,#201520,.F.); #273174=ORIENTED_EDGE('',*,*,#201521,.T.); #273175=ORIENTED_EDGE('',*,*,#201520,.T.); #273176=ORIENTED_EDGE('',*,*,#201522,.F.); #273177=ORIENTED_EDGE('',*,*,#201523,.F.); #273178=ORIENTED_EDGE('',*,*,#201524,.T.); #273179=ORIENTED_EDGE('',*,*,#201523,.T.); #273180=ORIENTED_EDGE('',*,*,#201525,.F.); #273181=ORIENTED_EDGE('',*,*,#201526,.F.); #273182=ORIENTED_EDGE('',*,*,#201527,.T.); #273183=ORIENTED_EDGE('',*,*,#201526,.T.); #273184=ORIENTED_EDGE('',*,*,#201528,.F.); #273185=ORIENTED_EDGE('',*,*,#201529,.F.); #273186=ORIENTED_EDGE('',*,*,#201530,.T.); #273187=ORIENTED_EDGE('',*,*,#201529,.T.); #273188=ORIENTED_EDGE('',*,*,#201531,.F.); #273189=ORIENTED_EDGE('',*,*,#201532,.F.); #273190=ORIENTED_EDGE('',*,*,#201533,.T.); #273191=ORIENTED_EDGE('',*,*,#201532,.T.); #273192=ORIENTED_EDGE('',*,*,#201534,.F.); #273193=ORIENTED_EDGE('',*,*,#201535,.F.); #273194=ORIENTED_EDGE('',*,*,#201536,.T.); #273195=ORIENTED_EDGE('',*,*,#201535,.T.); #273196=ORIENTED_EDGE('',*,*,#201537,.F.); #273197=ORIENTED_EDGE('',*,*,#201538,.F.); #273198=ORIENTED_EDGE('',*,*,#201539,.T.); #273199=ORIENTED_EDGE('',*,*,#201538,.T.); #273200=ORIENTED_EDGE('',*,*,#201540,.F.); #273201=ORIENTED_EDGE('',*,*,#201541,.F.); #273202=ORIENTED_EDGE('',*,*,#201542,.T.); #273203=ORIENTED_EDGE('',*,*,#201541,.T.); #273204=ORIENTED_EDGE('',*,*,#201543,.F.); #273205=ORIENTED_EDGE('',*,*,#201544,.F.); #273206=ORIENTED_EDGE('',*,*,#201545,.T.); #273207=ORIENTED_EDGE('',*,*,#201544,.T.); #273208=ORIENTED_EDGE('',*,*,#201546,.F.); #273209=ORIENTED_EDGE('',*,*,#201547,.F.); #273210=ORIENTED_EDGE('',*,*,#201548,.T.); #273211=ORIENTED_EDGE('',*,*,#201547,.T.); #273212=ORIENTED_EDGE('',*,*,#201549,.F.); #273213=ORIENTED_EDGE('',*,*,#201550,.F.); #273214=ORIENTED_EDGE('',*,*,#201551,.T.); #273215=ORIENTED_EDGE('',*,*,#201550,.T.); #273216=ORIENTED_EDGE('',*,*,#201552,.F.); #273217=ORIENTED_EDGE('',*,*,#201553,.F.); #273218=ORIENTED_EDGE('',*,*,#201554,.T.); #273219=ORIENTED_EDGE('',*,*,#201553,.T.); #273220=ORIENTED_EDGE('',*,*,#201555,.F.); #273221=ORIENTED_EDGE('',*,*,#201556,.F.); #273222=ORIENTED_EDGE('',*,*,#201557,.T.); #273223=ORIENTED_EDGE('',*,*,#201556,.T.); #273224=ORIENTED_EDGE('',*,*,#201558,.F.); #273225=ORIENTED_EDGE('',*,*,#201559,.F.); #273226=ORIENTED_EDGE('',*,*,#201560,.T.); #273227=ORIENTED_EDGE('',*,*,#201559,.T.); #273228=ORIENTED_EDGE('',*,*,#201561,.F.); #273229=ORIENTED_EDGE('',*,*,#201562,.F.); #273230=ORIENTED_EDGE('',*,*,#201563,.T.); #273231=ORIENTED_EDGE('',*,*,#201562,.T.); #273232=ORIENTED_EDGE('',*,*,#201564,.F.); #273233=ORIENTED_EDGE('',*,*,#201565,.F.); #273234=ORIENTED_EDGE('',*,*,#201566,.T.); #273235=ORIENTED_EDGE('',*,*,#201565,.T.); #273236=ORIENTED_EDGE('',*,*,#201567,.F.); #273237=ORIENTED_EDGE('',*,*,#201568,.F.); #273238=ORIENTED_EDGE('',*,*,#201569,.T.); #273239=ORIENTED_EDGE('',*,*,#201568,.T.); #273240=ORIENTED_EDGE('',*,*,#201570,.F.); #273241=ORIENTED_EDGE('',*,*,#201571,.F.); #273242=ORIENTED_EDGE('',*,*,#201572,.T.); #273243=ORIENTED_EDGE('',*,*,#201571,.T.); #273244=ORIENTED_EDGE('',*,*,#201573,.F.); #273245=ORIENTED_EDGE('',*,*,#201574,.F.); #273246=ORIENTED_EDGE('',*,*,#201575,.T.); #273247=ORIENTED_EDGE('',*,*,#201574,.T.); #273248=ORIENTED_EDGE('',*,*,#201576,.F.); #273249=ORIENTED_EDGE('',*,*,#201577,.F.); #273250=ORIENTED_EDGE('',*,*,#201578,.T.); #273251=ORIENTED_EDGE('',*,*,#201577,.T.); #273252=ORIENTED_EDGE('',*,*,#201579,.F.); #273253=ORIENTED_EDGE('',*,*,#201580,.F.); #273254=ORIENTED_EDGE('',*,*,#201581,.T.); #273255=ORIENTED_EDGE('',*,*,#201580,.T.); #273256=ORIENTED_EDGE('',*,*,#201582,.F.); #273257=ORIENTED_EDGE('',*,*,#201583,.F.); #273258=ORIENTED_EDGE('',*,*,#201584,.T.); #273259=ORIENTED_EDGE('',*,*,#201583,.T.); #273260=ORIENTED_EDGE('',*,*,#201585,.F.); #273261=ORIENTED_EDGE('',*,*,#201586,.F.); #273262=ORIENTED_EDGE('',*,*,#201587,.T.); #273263=ORIENTED_EDGE('',*,*,#201586,.T.); #273264=ORIENTED_EDGE('',*,*,#201588,.F.); #273265=ORIENTED_EDGE('',*,*,#201589,.F.); #273266=ORIENTED_EDGE('',*,*,#201590,.T.); #273267=ORIENTED_EDGE('',*,*,#201589,.T.); #273268=ORIENTED_EDGE('',*,*,#201591,.F.); #273269=ORIENTED_EDGE('',*,*,#201592,.F.); #273270=ORIENTED_EDGE('',*,*,#201593,.T.); #273271=ORIENTED_EDGE('',*,*,#201592,.T.); #273272=ORIENTED_EDGE('',*,*,#201594,.F.); #273273=ORIENTED_EDGE('',*,*,#201595,.F.); #273274=ORIENTED_EDGE('',*,*,#201596,.T.); #273275=ORIENTED_EDGE('',*,*,#201595,.T.); #273276=ORIENTED_EDGE('',*,*,#201597,.F.); #273277=ORIENTED_EDGE('',*,*,#201598,.F.); #273278=ORIENTED_EDGE('',*,*,#201599,.T.); #273279=ORIENTED_EDGE('',*,*,#201598,.T.); #273280=ORIENTED_EDGE('',*,*,#201600,.F.); #273281=ORIENTED_EDGE('',*,*,#201601,.F.); #273282=ORIENTED_EDGE('',*,*,#201602,.T.); #273283=ORIENTED_EDGE('',*,*,#201601,.T.); #273284=ORIENTED_EDGE('',*,*,#201603,.F.); #273285=ORIENTED_EDGE('',*,*,#201604,.F.); #273286=ORIENTED_EDGE('',*,*,#201605,.T.); #273287=ORIENTED_EDGE('',*,*,#201604,.T.); #273288=ORIENTED_EDGE('',*,*,#201606,.F.); #273289=ORIENTED_EDGE('',*,*,#201607,.F.); #273290=ORIENTED_EDGE('',*,*,#201608,.T.); #273291=ORIENTED_EDGE('',*,*,#201607,.T.); #273292=ORIENTED_EDGE('',*,*,#201609,.F.); #273293=ORIENTED_EDGE('',*,*,#201610,.F.); #273294=ORIENTED_EDGE('',*,*,#201611,.T.); #273295=ORIENTED_EDGE('',*,*,#201610,.T.); #273296=ORIENTED_EDGE('',*,*,#201612,.F.); #273297=ORIENTED_EDGE('',*,*,#201613,.F.); #273298=ORIENTED_EDGE('',*,*,#201614,.T.); #273299=ORIENTED_EDGE('',*,*,#201613,.T.); #273300=ORIENTED_EDGE('',*,*,#201615,.F.); #273301=ORIENTED_EDGE('',*,*,#201616,.F.); #273302=ORIENTED_EDGE('',*,*,#201617,.T.); #273303=ORIENTED_EDGE('',*,*,#201616,.T.); #273304=ORIENTED_EDGE('',*,*,#201618,.F.); #273305=ORIENTED_EDGE('',*,*,#201500,.F.); #273306=ORIENTED_EDGE('',*,*,#201619,.T.); #273307=ORIENTED_EDGE('',*,*,#201620,.T.); #273308=ORIENTED_EDGE('',*,*,#201621,.F.); #273309=ORIENTED_EDGE('',*,*,#201622,.F.); #273310=ORIENTED_EDGE('',*,*,#201623,.T.); #273311=ORIENTED_EDGE('',*,*,#201622,.T.); #273312=ORIENTED_EDGE('',*,*,#201624,.F.); #273313=ORIENTED_EDGE('',*,*,#201625,.F.); #273314=ORIENTED_EDGE('',*,*,#201626,.T.); #273315=ORIENTED_EDGE('',*,*,#201625,.T.); #273316=ORIENTED_EDGE('',*,*,#201627,.F.); #273317=ORIENTED_EDGE('',*,*,#201628,.F.); #273318=ORIENTED_EDGE('',*,*,#201629,.T.); #273319=ORIENTED_EDGE('',*,*,#201628,.T.); #273320=ORIENTED_EDGE('',*,*,#201630,.F.); #273321=ORIENTED_EDGE('',*,*,#201631,.F.); #273322=ORIENTED_EDGE('',*,*,#201632,.T.); #273323=ORIENTED_EDGE('',*,*,#201631,.T.); #273324=ORIENTED_EDGE('',*,*,#201633,.F.); #273325=ORIENTED_EDGE('',*,*,#201634,.F.); #273326=ORIENTED_EDGE('',*,*,#201635,.T.); #273327=ORIENTED_EDGE('',*,*,#201634,.T.); #273328=ORIENTED_EDGE('',*,*,#201636,.F.); #273329=ORIENTED_EDGE('',*,*,#201637,.F.); #273330=ORIENTED_EDGE('',*,*,#201638,.T.); #273331=ORIENTED_EDGE('',*,*,#201637,.T.); #273332=ORIENTED_EDGE('',*,*,#201639,.F.); #273333=ORIENTED_EDGE('',*,*,#201640,.F.); #273334=ORIENTED_EDGE('',*,*,#201641,.T.); #273335=ORIENTED_EDGE('',*,*,#201640,.T.); #273336=ORIENTED_EDGE('',*,*,#201642,.F.); #273337=ORIENTED_EDGE('',*,*,#201643,.F.); #273338=ORIENTED_EDGE('',*,*,#201644,.T.); #273339=ORIENTED_EDGE('',*,*,#201643,.T.); #273340=ORIENTED_EDGE('',*,*,#201645,.F.); #273341=ORIENTED_EDGE('',*,*,#201646,.F.); #273342=ORIENTED_EDGE('',*,*,#201647,.T.); #273343=ORIENTED_EDGE('',*,*,#201646,.T.); #273344=ORIENTED_EDGE('',*,*,#201648,.F.); #273345=ORIENTED_EDGE('',*,*,#201649,.F.); #273346=ORIENTED_EDGE('',*,*,#201650,.T.); #273347=ORIENTED_EDGE('',*,*,#201649,.T.); #273348=ORIENTED_EDGE('',*,*,#201651,.F.); #273349=ORIENTED_EDGE('',*,*,#201652,.F.); #273350=ORIENTED_EDGE('',*,*,#201653,.T.); #273351=ORIENTED_EDGE('',*,*,#201652,.T.); #273352=ORIENTED_EDGE('',*,*,#201654,.F.); #273353=ORIENTED_EDGE('',*,*,#201655,.F.); #273354=ORIENTED_EDGE('',*,*,#201656,.T.); #273355=ORIENTED_EDGE('',*,*,#201655,.T.); #273356=ORIENTED_EDGE('',*,*,#201657,.F.); #273357=ORIENTED_EDGE('',*,*,#201658,.F.); #273358=ORIENTED_EDGE('',*,*,#201659,.T.); #273359=ORIENTED_EDGE('',*,*,#201658,.T.); #273360=ORIENTED_EDGE('',*,*,#201660,.F.); #273361=ORIENTED_EDGE('',*,*,#201661,.F.); #273362=ORIENTED_EDGE('',*,*,#201662,.T.); #273363=ORIENTED_EDGE('',*,*,#201661,.T.); #273364=ORIENTED_EDGE('',*,*,#201663,.F.); #273365=ORIENTED_EDGE('',*,*,#201664,.F.); #273366=ORIENTED_EDGE('',*,*,#201665,.T.); #273367=ORIENTED_EDGE('',*,*,#201664,.T.); #273368=ORIENTED_EDGE('',*,*,#201666,.F.); #273369=ORIENTED_EDGE('',*,*,#201620,.F.); #273370=ORIENTED_EDGE('',*,*,#201667,.T.); #273371=ORIENTED_EDGE('',*,*,#201668,.T.); #273372=ORIENTED_EDGE('',*,*,#201669,.F.); #273373=ORIENTED_EDGE('',*,*,#201670,.F.); #273374=ORIENTED_EDGE('',*,*,#201671,.T.); #273375=ORIENTED_EDGE('',*,*,#201670,.T.); #273376=ORIENTED_EDGE('',*,*,#201672,.F.); #273377=ORIENTED_EDGE('',*,*,#201673,.F.); #273378=ORIENTED_EDGE('',*,*,#201674,.T.); #273379=ORIENTED_EDGE('',*,*,#201673,.T.); #273380=ORIENTED_EDGE('',*,*,#201675,.F.); #273381=ORIENTED_EDGE('',*,*,#201676,.F.); #273382=ORIENTED_EDGE('',*,*,#201677,.T.); #273383=ORIENTED_EDGE('',*,*,#201676,.T.); #273384=ORIENTED_EDGE('',*,*,#201678,.F.); #273385=ORIENTED_EDGE('',*,*,#201679,.F.); #273386=ORIENTED_EDGE('',*,*,#201680,.T.); #273387=ORIENTED_EDGE('',*,*,#201679,.T.); #273388=ORIENTED_EDGE('',*,*,#201681,.F.); #273389=ORIENTED_EDGE('',*,*,#201682,.F.); #273390=ORIENTED_EDGE('',*,*,#201683,.T.); #273391=ORIENTED_EDGE('',*,*,#201682,.T.); #273392=ORIENTED_EDGE('',*,*,#201684,.F.); #273393=ORIENTED_EDGE('',*,*,#201685,.F.); #273394=ORIENTED_EDGE('',*,*,#201686,.T.); #273395=ORIENTED_EDGE('',*,*,#201685,.T.); #273396=ORIENTED_EDGE('',*,*,#201687,.F.); #273397=ORIENTED_EDGE('',*,*,#201688,.F.); #273398=ORIENTED_EDGE('',*,*,#201689,.T.); #273399=ORIENTED_EDGE('',*,*,#201688,.T.); #273400=ORIENTED_EDGE('',*,*,#201690,.F.); #273401=ORIENTED_EDGE('',*,*,#201691,.F.); #273402=ORIENTED_EDGE('',*,*,#201692,.T.); #273403=ORIENTED_EDGE('',*,*,#201691,.T.); #273404=ORIENTED_EDGE('',*,*,#201693,.F.); #273405=ORIENTED_EDGE('',*,*,#201694,.F.); #273406=ORIENTED_EDGE('',*,*,#201695,.T.); #273407=ORIENTED_EDGE('',*,*,#201694,.T.); #273408=ORIENTED_EDGE('',*,*,#201696,.F.); #273409=ORIENTED_EDGE('',*,*,#201697,.F.); #273410=ORIENTED_EDGE('',*,*,#201698,.T.); #273411=ORIENTED_EDGE('',*,*,#201697,.T.); #273412=ORIENTED_EDGE('',*,*,#201699,.F.); #273413=ORIENTED_EDGE('',*,*,#201700,.F.); #273414=ORIENTED_EDGE('',*,*,#201701,.T.); #273415=ORIENTED_EDGE('',*,*,#201700,.T.); #273416=ORIENTED_EDGE('',*,*,#201702,.F.); #273417=ORIENTED_EDGE('',*,*,#201703,.F.); #273418=ORIENTED_EDGE('',*,*,#201704,.T.); #273419=ORIENTED_EDGE('',*,*,#201703,.T.); #273420=ORIENTED_EDGE('',*,*,#201705,.F.); #273421=ORIENTED_EDGE('',*,*,#201706,.F.); #273422=ORIENTED_EDGE('',*,*,#201707,.T.); #273423=ORIENTED_EDGE('',*,*,#201706,.T.); #273424=ORIENTED_EDGE('',*,*,#201708,.F.); #273425=ORIENTED_EDGE('',*,*,#201709,.F.); #273426=ORIENTED_EDGE('',*,*,#201710,.T.); #273427=ORIENTED_EDGE('',*,*,#201709,.T.); #273428=ORIENTED_EDGE('',*,*,#201711,.F.); #273429=ORIENTED_EDGE('',*,*,#201712,.F.); #273430=ORIENTED_EDGE('',*,*,#201713,.T.); #273431=ORIENTED_EDGE('',*,*,#201712,.T.); #273432=ORIENTED_EDGE('',*,*,#201714,.F.); #273433=ORIENTED_EDGE('',*,*,#201715,.F.); #273434=ORIENTED_EDGE('',*,*,#201716,.T.); #273435=ORIENTED_EDGE('',*,*,#201715,.T.); #273436=ORIENTED_EDGE('',*,*,#201717,.F.); #273437=ORIENTED_EDGE('',*,*,#201718,.F.); #273438=ORIENTED_EDGE('',*,*,#201719,.T.); #273439=ORIENTED_EDGE('',*,*,#201718,.T.); #273440=ORIENTED_EDGE('',*,*,#201720,.F.); #273441=ORIENTED_EDGE('',*,*,#201721,.F.); #273442=ORIENTED_EDGE('',*,*,#201722,.T.); #273443=ORIENTED_EDGE('',*,*,#201721,.T.); #273444=ORIENTED_EDGE('',*,*,#201723,.F.); #273445=ORIENTED_EDGE('',*,*,#201724,.F.); #273446=ORIENTED_EDGE('',*,*,#201725,.T.); #273447=ORIENTED_EDGE('',*,*,#201724,.T.); #273448=ORIENTED_EDGE('',*,*,#201726,.F.); #273449=ORIENTED_EDGE('',*,*,#201727,.F.); #273450=ORIENTED_EDGE('',*,*,#201728,.T.); #273451=ORIENTED_EDGE('',*,*,#201727,.T.); #273452=ORIENTED_EDGE('',*,*,#201729,.F.); #273453=ORIENTED_EDGE('',*,*,#201730,.F.); #273454=ORIENTED_EDGE('',*,*,#201731,.T.); #273455=ORIENTED_EDGE('',*,*,#201730,.T.); #273456=ORIENTED_EDGE('',*,*,#201732,.F.); #273457=ORIENTED_EDGE('',*,*,#201733,.F.); #273458=ORIENTED_EDGE('',*,*,#201734,.T.); #273459=ORIENTED_EDGE('',*,*,#201733,.T.); #273460=ORIENTED_EDGE('',*,*,#201735,.F.); #273461=ORIENTED_EDGE('',*,*,#201736,.F.); #273462=ORIENTED_EDGE('',*,*,#201737,.T.); #273463=ORIENTED_EDGE('',*,*,#201736,.T.); #273464=ORIENTED_EDGE('',*,*,#201738,.F.); #273465=ORIENTED_EDGE('',*,*,#201739,.F.); #273466=ORIENTED_EDGE('',*,*,#201740,.T.); #273467=ORIENTED_EDGE('',*,*,#201739,.T.); #273468=ORIENTED_EDGE('',*,*,#201741,.F.); #273469=ORIENTED_EDGE('',*,*,#201742,.F.); #273470=ORIENTED_EDGE('',*,*,#201743,.T.); #273471=ORIENTED_EDGE('',*,*,#201742,.T.); #273472=ORIENTED_EDGE('',*,*,#201744,.F.); #273473=ORIENTED_EDGE('',*,*,#201745,.F.); #273474=ORIENTED_EDGE('',*,*,#201746,.T.); #273475=ORIENTED_EDGE('',*,*,#201745,.T.); #273476=ORIENTED_EDGE('',*,*,#201747,.F.); #273477=ORIENTED_EDGE('',*,*,#201748,.F.); #273478=ORIENTED_EDGE('',*,*,#201749,.T.); #273479=ORIENTED_EDGE('',*,*,#201748,.T.); #273480=ORIENTED_EDGE('',*,*,#201750,.F.); #273481=ORIENTED_EDGE('',*,*,#201751,.F.); #273482=ORIENTED_EDGE('',*,*,#201752,.T.); #273483=ORIENTED_EDGE('',*,*,#201751,.T.); #273484=ORIENTED_EDGE('',*,*,#201753,.F.); #273485=ORIENTED_EDGE('',*,*,#201754,.F.); #273486=ORIENTED_EDGE('',*,*,#201755,.T.); #273487=ORIENTED_EDGE('',*,*,#201754,.T.); #273488=ORIENTED_EDGE('',*,*,#201756,.F.); #273489=ORIENTED_EDGE('',*,*,#201757,.F.); #273490=ORIENTED_EDGE('',*,*,#201758,.T.); #273491=ORIENTED_EDGE('',*,*,#201757,.T.); #273492=ORIENTED_EDGE('',*,*,#201759,.F.); #273493=ORIENTED_EDGE('',*,*,#201760,.F.); #273494=ORIENTED_EDGE('',*,*,#201761,.T.); #273495=ORIENTED_EDGE('',*,*,#201760,.T.); #273496=ORIENTED_EDGE('',*,*,#201762,.F.); #273497=ORIENTED_EDGE('',*,*,#201763,.F.); #273498=ORIENTED_EDGE('',*,*,#201764,.T.); #273499=ORIENTED_EDGE('',*,*,#201763,.T.); #273500=ORIENTED_EDGE('',*,*,#201765,.F.); #273501=ORIENTED_EDGE('',*,*,#201766,.F.); #273502=ORIENTED_EDGE('',*,*,#201767,.T.); #273503=ORIENTED_EDGE('',*,*,#201766,.T.); #273504=ORIENTED_EDGE('',*,*,#201768,.F.); #273505=ORIENTED_EDGE('',*,*,#201769,.F.); #273506=ORIENTED_EDGE('',*,*,#201770,.T.); #273507=ORIENTED_EDGE('',*,*,#201769,.T.); #273508=ORIENTED_EDGE('',*,*,#201771,.F.); #273509=ORIENTED_EDGE('',*,*,#201772,.F.); #273510=ORIENTED_EDGE('',*,*,#201773,.T.); #273511=ORIENTED_EDGE('',*,*,#201772,.T.); #273512=ORIENTED_EDGE('',*,*,#201774,.F.); #273513=ORIENTED_EDGE('',*,*,#201775,.F.); #273514=ORIENTED_EDGE('',*,*,#201776,.T.); #273515=ORIENTED_EDGE('',*,*,#201775,.T.); #273516=ORIENTED_EDGE('',*,*,#201777,.F.); #273517=ORIENTED_EDGE('',*,*,#201778,.F.); #273518=ORIENTED_EDGE('',*,*,#201779,.T.); #273519=ORIENTED_EDGE('',*,*,#201778,.T.); #273520=ORIENTED_EDGE('',*,*,#201780,.F.); #273521=ORIENTED_EDGE('',*,*,#201781,.F.); #273522=ORIENTED_EDGE('',*,*,#201782,.T.); #273523=ORIENTED_EDGE('',*,*,#201781,.T.); #273524=ORIENTED_EDGE('',*,*,#201783,.F.); #273525=ORIENTED_EDGE('',*,*,#201784,.F.); #273526=ORIENTED_EDGE('',*,*,#201785,.T.); #273527=ORIENTED_EDGE('',*,*,#201784,.T.); #273528=ORIENTED_EDGE('',*,*,#201786,.F.); #273529=ORIENTED_EDGE('',*,*,#201787,.F.); #273530=ORIENTED_EDGE('',*,*,#201788,.T.); #273531=ORIENTED_EDGE('',*,*,#201787,.T.); #273532=ORIENTED_EDGE('',*,*,#201789,.F.); #273533=ORIENTED_EDGE('',*,*,#201790,.F.); #273534=ORIENTED_EDGE('',*,*,#201791,.T.); #273535=ORIENTED_EDGE('',*,*,#201790,.T.); #273536=ORIENTED_EDGE('',*,*,#201792,.F.); #273537=ORIENTED_EDGE('',*,*,#201793,.F.); #273538=ORIENTED_EDGE('',*,*,#201794,.T.); #273539=ORIENTED_EDGE('',*,*,#201793,.T.); #273540=ORIENTED_EDGE('',*,*,#201795,.F.); #273541=ORIENTED_EDGE('',*,*,#201796,.F.); #273542=ORIENTED_EDGE('',*,*,#201797,.T.); #273543=ORIENTED_EDGE('',*,*,#201796,.T.); #273544=ORIENTED_EDGE('',*,*,#201798,.F.); #273545=ORIENTED_EDGE('',*,*,#201799,.F.); #273546=ORIENTED_EDGE('',*,*,#201800,.T.); #273547=ORIENTED_EDGE('',*,*,#201799,.T.); #273548=ORIENTED_EDGE('',*,*,#201801,.F.); #273549=ORIENTED_EDGE('',*,*,#201802,.F.); #273550=ORIENTED_EDGE('',*,*,#201803,.T.); #273551=ORIENTED_EDGE('',*,*,#201802,.T.); #273552=ORIENTED_EDGE('',*,*,#201804,.F.); #273553=ORIENTED_EDGE('',*,*,#201805,.F.); #273554=ORIENTED_EDGE('',*,*,#201806,.T.); #273555=ORIENTED_EDGE('',*,*,#201805,.T.); #273556=ORIENTED_EDGE('',*,*,#201807,.F.); #273557=ORIENTED_EDGE('',*,*,#201808,.F.); #273558=ORIENTED_EDGE('',*,*,#201809,.T.); #273559=ORIENTED_EDGE('',*,*,#201808,.T.); #273560=ORIENTED_EDGE('',*,*,#201810,.F.); #273561=ORIENTED_EDGE('',*,*,#201811,.F.); #273562=ORIENTED_EDGE('',*,*,#201812,.T.); #273563=ORIENTED_EDGE('',*,*,#201811,.T.); #273564=ORIENTED_EDGE('',*,*,#201813,.F.); #273565=ORIENTED_EDGE('',*,*,#201814,.F.); #273566=ORIENTED_EDGE('',*,*,#201815,.T.); #273567=ORIENTED_EDGE('',*,*,#201814,.T.); #273568=ORIENTED_EDGE('',*,*,#201816,.F.); #273569=ORIENTED_EDGE('',*,*,#201817,.F.); #273570=ORIENTED_EDGE('',*,*,#201818,.T.); #273571=ORIENTED_EDGE('',*,*,#201817,.T.); #273572=ORIENTED_EDGE('',*,*,#201819,.F.); #273573=ORIENTED_EDGE('',*,*,#201820,.F.); #273574=ORIENTED_EDGE('',*,*,#201821,.T.); #273575=ORIENTED_EDGE('',*,*,#201820,.T.); #273576=ORIENTED_EDGE('',*,*,#201822,.F.); #273577=ORIENTED_EDGE('',*,*,#201823,.F.); #273578=ORIENTED_EDGE('',*,*,#201824,.T.); #273579=ORIENTED_EDGE('',*,*,#201823,.T.); #273580=ORIENTED_EDGE('',*,*,#201825,.F.); #273581=ORIENTED_EDGE('',*,*,#201826,.F.); #273582=ORIENTED_EDGE('',*,*,#201827,.T.); #273583=ORIENTED_EDGE('',*,*,#201826,.T.); #273584=ORIENTED_EDGE('',*,*,#201828,.F.); #273585=ORIENTED_EDGE('',*,*,#201829,.F.); #273586=ORIENTED_EDGE('',*,*,#201830,.T.); #273587=ORIENTED_EDGE('',*,*,#201829,.T.); #273588=ORIENTED_EDGE('',*,*,#201831,.F.); #273589=ORIENTED_EDGE('',*,*,#201832,.F.); #273590=ORIENTED_EDGE('',*,*,#201833,.T.); #273591=ORIENTED_EDGE('',*,*,#201832,.T.); #273592=ORIENTED_EDGE('',*,*,#201834,.F.); #273593=ORIENTED_EDGE('',*,*,#201835,.F.); #273594=ORIENTED_EDGE('',*,*,#201836,.T.); #273595=ORIENTED_EDGE('',*,*,#201835,.T.); #273596=ORIENTED_EDGE('',*,*,#201837,.F.); #273597=ORIENTED_EDGE('',*,*,#201838,.F.); #273598=ORIENTED_EDGE('',*,*,#201839,.T.); #273599=ORIENTED_EDGE('',*,*,#201838,.T.); #273600=ORIENTED_EDGE('',*,*,#201840,.F.); #273601=ORIENTED_EDGE('',*,*,#201841,.F.); #273602=ORIENTED_EDGE('',*,*,#201842,.T.); #273603=ORIENTED_EDGE('',*,*,#201841,.T.); #273604=ORIENTED_EDGE('',*,*,#201843,.F.); #273605=ORIENTED_EDGE('',*,*,#201844,.F.); #273606=ORIENTED_EDGE('',*,*,#201845,.T.); #273607=ORIENTED_EDGE('',*,*,#201844,.T.); #273608=ORIENTED_EDGE('',*,*,#201846,.F.); #273609=ORIENTED_EDGE('',*,*,#201847,.F.); #273610=ORIENTED_EDGE('',*,*,#201848,.T.); #273611=ORIENTED_EDGE('',*,*,#201847,.T.); #273612=ORIENTED_EDGE('',*,*,#201849,.F.); #273613=ORIENTED_EDGE('',*,*,#201850,.F.); #273614=ORIENTED_EDGE('',*,*,#201851,.T.); #273615=ORIENTED_EDGE('',*,*,#201850,.T.); #273616=ORIENTED_EDGE('',*,*,#201852,.F.); #273617=ORIENTED_EDGE('',*,*,#201853,.F.); #273618=ORIENTED_EDGE('',*,*,#201854,.T.); #273619=ORIENTED_EDGE('',*,*,#201853,.T.); #273620=ORIENTED_EDGE('',*,*,#201855,.F.); #273621=ORIENTED_EDGE('',*,*,#201856,.F.); #273622=ORIENTED_EDGE('',*,*,#201857,.T.); #273623=ORIENTED_EDGE('',*,*,#201856,.T.); #273624=ORIENTED_EDGE('',*,*,#201858,.F.); #273625=ORIENTED_EDGE('',*,*,#201859,.F.); #273626=ORIENTED_EDGE('',*,*,#201860,.T.); #273627=ORIENTED_EDGE('',*,*,#201859,.T.); #273628=ORIENTED_EDGE('',*,*,#201861,.F.); #273629=ORIENTED_EDGE('',*,*,#201862,.F.); #273630=ORIENTED_EDGE('',*,*,#201863,.T.); #273631=ORIENTED_EDGE('',*,*,#201862,.T.); #273632=ORIENTED_EDGE('',*,*,#201864,.F.); #273633=ORIENTED_EDGE('',*,*,#201865,.F.); #273634=ORIENTED_EDGE('',*,*,#201866,.T.); #273635=ORIENTED_EDGE('',*,*,#201865,.T.); #273636=ORIENTED_EDGE('',*,*,#201867,.F.); #273637=ORIENTED_EDGE('',*,*,#201868,.F.); #273638=ORIENTED_EDGE('',*,*,#201869,.T.); #273639=ORIENTED_EDGE('',*,*,#201868,.T.); #273640=ORIENTED_EDGE('',*,*,#201870,.F.); #273641=ORIENTED_EDGE('',*,*,#201871,.F.); #273642=ORIENTED_EDGE('',*,*,#201872,.T.); #273643=ORIENTED_EDGE('',*,*,#201871,.T.); #273644=ORIENTED_EDGE('',*,*,#201873,.F.); #273645=ORIENTED_EDGE('',*,*,#201874,.F.); #273646=ORIENTED_EDGE('',*,*,#201875,.T.); #273647=ORIENTED_EDGE('',*,*,#201874,.T.); #273648=ORIENTED_EDGE('',*,*,#201876,.F.); #273649=ORIENTED_EDGE('',*,*,#201877,.F.); #273650=ORIENTED_EDGE('',*,*,#201878,.T.); #273651=ORIENTED_EDGE('',*,*,#201877,.T.); #273652=ORIENTED_EDGE('',*,*,#201879,.F.); #273653=ORIENTED_EDGE('',*,*,#201880,.F.); #273654=ORIENTED_EDGE('',*,*,#201881,.T.); #273655=ORIENTED_EDGE('',*,*,#201880,.T.); #273656=ORIENTED_EDGE('',*,*,#201882,.F.); #273657=ORIENTED_EDGE('',*,*,#201883,.F.); #273658=ORIENTED_EDGE('',*,*,#201884,.T.); #273659=ORIENTED_EDGE('',*,*,#201883,.T.); #273660=ORIENTED_EDGE('',*,*,#201885,.F.); #273661=ORIENTED_EDGE('',*,*,#201886,.F.); #273662=ORIENTED_EDGE('',*,*,#201887,.T.); #273663=ORIENTED_EDGE('',*,*,#201886,.T.); #273664=ORIENTED_EDGE('',*,*,#201888,.F.); #273665=ORIENTED_EDGE('',*,*,#201889,.F.); #273666=ORIENTED_EDGE('',*,*,#201890,.T.); #273667=ORIENTED_EDGE('',*,*,#201889,.T.); #273668=ORIENTED_EDGE('',*,*,#201891,.F.); #273669=ORIENTED_EDGE('',*,*,#201892,.F.); #273670=ORIENTED_EDGE('',*,*,#201893,.T.); #273671=ORIENTED_EDGE('',*,*,#201892,.T.); #273672=ORIENTED_EDGE('',*,*,#201894,.F.); #273673=ORIENTED_EDGE('',*,*,#201895,.F.); #273674=ORIENTED_EDGE('',*,*,#201896,.T.); #273675=ORIENTED_EDGE('',*,*,#201895,.T.); #273676=ORIENTED_EDGE('',*,*,#201897,.F.); #273677=ORIENTED_EDGE('',*,*,#201898,.F.); #273678=ORIENTED_EDGE('',*,*,#201899,.T.); #273679=ORIENTED_EDGE('',*,*,#201898,.T.); #273680=ORIENTED_EDGE('',*,*,#201900,.F.); #273681=ORIENTED_EDGE('',*,*,#201901,.F.); #273682=ORIENTED_EDGE('',*,*,#201902,.T.); #273683=ORIENTED_EDGE('',*,*,#201901,.T.); #273684=ORIENTED_EDGE('',*,*,#201903,.F.); #273685=ORIENTED_EDGE('',*,*,#201904,.F.); #273686=ORIENTED_EDGE('',*,*,#201905,.T.); #273687=ORIENTED_EDGE('',*,*,#201904,.T.); #273688=ORIENTED_EDGE('',*,*,#201906,.F.); #273689=ORIENTED_EDGE('',*,*,#201907,.F.); #273690=ORIENTED_EDGE('',*,*,#201908,.T.); #273691=ORIENTED_EDGE('',*,*,#201907,.T.); #273692=ORIENTED_EDGE('',*,*,#201909,.F.); #273693=ORIENTED_EDGE('',*,*,#201910,.F.); #273694=ORIENTED_EDGE('',*,*,#201911,.T.); #273695=ORIENTED_EDGE('',*,*,#201910,.T.); #273696=ORIENTED_EDGE('',*,*,#201912,.F.); #273697=ORIENTED_EDGE('',*,*,#201913,.F.); #273698=ORIENTED_EDGE('',*,*,#201914,.T.); #273699=ORIENTED_EDGE('',*,*,#201913,.T.); #273700=ORIENTED_EDGE('',*,*,#201915,.F.); #273701=ORIENTED_EDGE('',*,*,#201916,.F.); #273702=ORIENTED_EDGE('',*,*,#201917,.T.); #273703=ORIENTED_EDGE('',*,*,#201916,.T.); #273704=ORIENTED_EDGE('',*,*,#201918,.F.); #273705=ORIENTED_EDGE('',*,*,#201919,.F.); #273706=ORIENTED_EDGE('',*,*,#201920,.T.); #273707=ORIENTED_EDGE('',*,*,#201919,.T.); #273708=ORIENTED_EDGE('',*,*,#201921,.F.); #273709=ORIENTED_EDGE('',*,*,#201922,.F.); #273710=ORIENTED_EDGE('',*,*,#201923,.T.); #273711=ORIENTED_EDGE('',*,*,#201922,.T.); #273712=ORIENTED_EDGE('',*,*,#201924,.F.); #273713=ORIENTED_EDGE('',*,*,#201925,.F.); #273714=ORIENTED_EDGE('',*,*,#201926,.T.); #273715=ORIENTED_EDGE('',*,*,#201925,.T.); #273716=ORIENTED_EDGE('',*,*,#201927,.F.); #273717=ORIENTED_EDGE('',*,*,#201928,.F.); #273718=ORIENTED_EDGE('',*,*,#201929,.T.); #273719=ORIENTED_EDGE('',*,*,#201928,.T.); #273720=ORIENTED_EDGE('',*,*,#201930,.F.); #273721=ORIENTED_EDGE('',*,*,#201931,.F.); #273722=ORIENTED_EDGE('',*,*,#201932,.T.); #273723=ORIENTED_EDGE('',*,*,#201931,.T.); #273724=ORIENTED_EDGE('',*,*,#201933,.F.); #273725=ORIENTED_EDGE('',*,*,#201934,.F.); #273726=ORIENTED_EDGE('',*,*,#201935,.T.); #273727=ORIENTED_EDGE('',*,*,#201934,.T.); #273728=ORIENTED_EDGE('',*,*,#201936,.F.); #273729=ORIENTED_EDGE('',*,*,#201937,.F.); #273730=ORIENTED_EDGE('',*,*,#201938,.T.); #273731=ORIENTED_EDGE('',*,*,#201937,.T.); #273732=ORIENTED_EDGE('',*,*,#201939,.F.); #273733=ORIENTED_EDGE('',*,*,#201940,.F.); #273734=ORIENTED_EDGE('',*,*,#201941,.T.); #273735=ORIENTED_EDGE('',*,*,#201940,.T.); #273736=ORIENTED_EDGE('',*,*,#201942,.F.); #273737=ORIENTED_EDGE('',*,*,#201943,.F.); #273738=ORIENTED_EDGE('',*,*,#201944,.T.); #273739=ORIENTED_EDGE('',*,*,#201943,.T.); #273740=ORIENTED_EDGE('',*,*,#201945,.F.); #273741=ORIENTED_EDGE('',*,*,#201946,.F.); #273742=ORIENTED_EDGE('',*,*,#201947,.T.); #273743=ORIENTED_EDGE('',*,*,#201946,.T.); #273744=ORIENTED_EDGE('',*,*,#201948,.F.); #273745=ORIENTED_EDGE('',*,*,#201949,.F.); #273746=ORIENTED_EDGE('',*,*,#201950,.T.); #273747=ORIENTED_EDGE('',*,*,#201949,.T.); #273748=ORIENTED_EDGE('',*,*,#201951,.F.); #273749=ORIENTED_EDGE('',*,*,#201952,.F.); #273750=ORIENTED_EDGE('',*,*,#201953,.T.); #273751=ORIENTED_EDGE('',*,*,#201952,.T.); #273752=ORIENTED_EDGE('',*,*,#201954,.F.); #273753=ORIENTED_EDGE('',*,*,#201955,.F.); #273754=ORIENTED_EDGE('',*,*,#201956,.T.); #273755=ORIENTED_EDGE('',*,*,#201955,.T.); #273756=ORIENTED_EDGE('',*,*,#201957,.F.); #273757=ORIENTED_EDGE('',*,*,#201958,.F.); #273758=ORIENTED_EDGE('',*,*,#201959,.T.); #273759=ORIENTED_EDGE('',*,*,#201958,.T.); #273760=ORIENTED_EDGE('',*,*,#201960,.F.); #273761=ORIENTED_EDGE('',*,*,#201961,.F.); #273762=ORIENTED_EDGE('',*,*,#201962,.T.); #273763=ORIENTED_EDGE('',*,*,#201961,.T.); #273764=ORIENTED_EDGE('',*,*,#201963,.F.); #273765=ORIENTED_EDGE('',*,*,#201964,.F.); #273766=ORIENTED_EDGE('',*,*,#201965,.T.); #273767=ORIENTED_EDGE('',*,*,#201964,.T.); #273768=ORIENTED_EDGE('',*,*,#201966,.F.); #273769=ORIENTED_EDGE('',*,*,#201967,.F.); #273770=ORIENTED_EDGE('',*,*,#201968,.T.); #273771=ORIENTED_EDGE('',*,*,#201967,.T.); #273772=ORIENTED_EDGE('',*,*,#201969,.F.); #273773=ORIENTED_EDGE('',*,*,#201970,.F.); #273774=ORIENTED_EDGE('',*,*,#201971,.T.); #273775=ORIENTED_EDGE('',*,*,#201970,.T.); #273776=ORIENTED_EDGE('',*,*,#201972,.F.); #273777=ORIENTED_EDGE('',*,*,#201973,.F.); #273778=ORIENTED_EDGE('',*,*,#201974,.T.); #273779=ORIENTED_EDGE('',*,*,#201973,.T.); #273780=ORIENTED_EDGE('',*,*,#201975,.F.); #273781=ORIENTED_EDGE('',*,*,#201976,.F.); #273782=ORIENTED_EDGE('',*,*,#201977,.T.); #273783=ORIENTED_EDGE('',*,*,#201976,.T.); #273784=ORIENTED_EDGE('',*,*,#201978,.F.); #273785=ORIENTED_EDGE('',*,*,#201979,.F.); #273786=ORIENTED_EDGE('',*,*,#201980,.T.); #273787=ORIENTED_EDGE('',*,*,#201979,.T.); #273788=ORIENTED_EDGE('',*,*,#201981,.F.); #273789=ORIENTED_EDGE('',*,*,#201982,.F.); #273790=ORIENTED_EDGE('',*,*,#201983,.T.); #273791=ORIENTED_EDGE('',*,*,#201982,.T.); #273792=ORIENTED_EDGE('',*,*,#201984,.F.); #273793=ORIENTED_EDGE('',*,*,#201985,.F.); #273794=ORIENTED_EDGE('',*,*,#201986,.T.); #273795=ORIENTED_EDGE('',*,*,#201985,.T.); #273796=ORIENTED_EDGE('',*,*,#201987,.F.); #273797=ORIENTED_EDGE('',*,*,#201988,.F.); #273798=ORIENTED_EDGE('',*,*,#201989,.T.); #273799=ORIENTED_EDGE('',*,*,#201988,.T.); #273800=ORIENTED_EDGE('',*,*,#201990,.F.); #273801=ORIENTED_EDGE('',*,*,#201991,.F.); #273802=ORIENTED_EDGE('',*,*,#201992,.T.); #273803=ORIENTED_EDGE('',*,*,#201991,.T.); #273804=ORIENTED_EDGE('',*,*,#201993,.F.); #273805=ORIENTED_EDGE('',*,*,#201994,.F.); #273806=ORIENTED_EDGE('',*,*,#201995,.T.); #273807=ORIENTED_EDGE('',*,*,#201994,.T.); #273808=ORIENTED_EDGE('',*,*,#201996,.F.); #273809=ORIENTED_EDGE('',*,*,#201997,.F.); #273810=ORIENTED_EDGE('',*,*,#201998,.T.); #273811=ORIENTED_EDGE('',*,*,#201997,.T.); #273812=ORIENTED_EDGE('',*,*,#201999,.F.); #273813=ORIENTED_EDGE('',*,*,#202000,.F.); #273814=ORIENTED_EDGE('',*,*,#202001,.T.); #273815=ORIENTED_EDGE('',*,*,#202000,.T.); #273816=ORIENTED_EDGE('',*,*,#202002,.F.); #273817=ORIENTED_EDGE('',*,*,#202003,.F.); #273818=ORIENTED_EDGE('',*,*,#202004,.T.); #273819=ORIENTED_EDGE('',*,*,#202003,.T.); #273820=ORIENTED_EDGE('',*,*,#202005,.F.); #273821=ORIENTED_EDGE('',*,*,#202006,.F.); #273822=ORIENTED_EDGE('',*,*,#202007,.T.); #273823=ORIENTED_EDGE('',*,*,#202006,.T.); #273824=ORIENTED_EDGE('',*,*,#202008,.F.); #273825=ORIENTED_EDGE('',*,*,#202009,.F.); #273826=ORIENTED_EDGE('',*,*,#202010,.T.); #273827=ORIENTED_EDGE('',*,*,#202009,.T.); #273828=ORIENTED_EDGE('',*,*,#202011,.F.); #273829=ORIENTED_EDGE('',*,*,#202012,.F.); #273830=ORIENTED_EDGE('',*,*,#202013,.T.); #273831=ORIENTED_EDGE('',*,*,#202012,.T.); #273832=ORIENTED_EDGE('',*,*,#202014,.F.); #273833=ORIENTED_EDGE('',*,*,#202015,.F.); #273834=ORIENTED_EDGE('',*,*,#202016,.T.); #273835=ORIENTED_EDGE('',*,*,#202015,.T.); #273836=ORIENTED_EDGE('',*,*,#202017,.F.); #273837=ORIENTED_EDGE('',*,*,#202018,.F.); #273838=ORIENTED_EDGE('',*,*,#202019,.T.); #273839=ORIENTED_EDGE('',*,*,#202018,.T.); #273840=ORIENTED_EDGE('',*,*,#202020,.F.); #273841=ORIENTED_EDGE('',*,*,#202021,.F.); #273842=ORIENTED_EDGE('',*,*,#202022,.T.); #273843=ORIENTED_EDGE('',*,*,#202021,.T.); #273844=ORIENTED_EDGE('',*,*,#202023,.F.); #273845=ORIENTED_EDGE('',*,*,#202024,.F.); #273846=ORIENTED_EDGE('',*,*,#202025,.T.); #273847=ORIENTED_EDGE('',*,*,#202024,.T.); #273848=ORIENTED_EDGE('',*,*,#202026,.F.); #273849=ORIENTED_EDGE('',*,*,#202027,.F.); #273850=ORIENTED_EDGE('',*,*,#202028,.T.); #273851=ORIENTED_EDGE('',*,*,#202027,.T.); #273852=ORIENTED_EDGE('',*,*,#202029,.F.); #273853=ORIENTED_EDGE('',*,*,#202030,.F.); #273854=ORIENTED_EDGE('',*,*,#202031,.T.); #273855=ORIENTED_EDGE('',*,*,#202030,.T.); #273856=ORIENTED_EDGE('',*,*,#202032,.F.); #273857=ORIENTED_EDGE('',*,*,#202033,.F.); #273858=ORIENTED_EDGE('',*,*,#202034,.T.); #273859=ORIENTED_EDGE('',*,*,#202033,.T.); #273860=ORIENTED_EDGE('',*,*,#202035,.F.); #273861=ORIENTED_EDGE('',*,*,#202036,.F.); #273862=ORIENTED_EDGE('',*,*,#202037,.T.); #273863=ORIENTED_EDGE('',*,*,#202036,.T.); #273864=ORIENTED_EDGE('',*,*,#202038,.F.); #273865=ORIENTED_EDGE('',*,*,#202039,.F.); #273866=ORIENTED_EDGE('',*,*,#202040,.T.); #273867=ORIENTED_EDGE('',*,*,#202039,.T.); #273868=ORIENTED_EDGE('',*,*,#202041,.F.); #273869=ORIENTED_EDGE('',*,*,#202042,.F.); #273870=ORIENTED_EDGE('',*,*,#202043,.T.); #273871=ORIENTED_EDGE('',*,*,#202042,.T.); #273872=ORIENTED_EDGE('',*,*,#202044,.F.); #273873=ORIENTED_EDGE('',*,*,#202045,.F.); #273874=ORIENTED_EDGE('',*,*,#202046,.T.); #273875=ORIENTED_EDGE('',*,*,#202045,.T.); #273876=ORIENTED_EDGE('',*,*,#202047,.F.); #273877=ORIENTED_EDGE('',*,*,#202048,.F.); #273878=ORIENTED_EDGE('',*,*,#202049,.T.); #273879=ORIENTED_EDGE('',*,*,#202048,.T.); #273880=ORIENTED_EDGE('',*,*,#202050,.F.); #273881=ORIENTED_EDGE('',*,*,#202051,.F.); #273882=ORIENTED_EDGE('',*,*,#202052,.T.); #273883=ORIENTED_EDGE('',*,*,#202051,.T.); #273884=ORIENTED_EDGE('',*,*,#202053,.F.); #273885=ORIENTED_EDGE('',*,*,#202054,.F.); #273886=ORIENTED_EDGE('',*,*,#202055,.T.); #273887=ORIENTED_EDGE('',*,*,#202054,.T.); #273888=ORIENTED_EDGE('',*,*,#202056,.F.); #273889=ORIENTED_EDGE('',*,*,#202057,.F.); #273890=ORIENTED_EDGE('',*,*,#202058,.T.); #273891=ORIENTED_EDGE('',*,*,#202057,.T.); #273892=ORIENTED_EDGE('',*,*,#202059,.F.); #273893=ORIENTED_EDGE('',*,*,#202060,.F.); #273894=ORIENTED_EDGE('',*,*,#202061,.T.); #273895=ORIENTED_EDGE('',*,*,#202060,.T.); #273896=ORIENTED_EDGE('',*,*,#202062,.F.); #273897=ORIENTED_EDGE('',*,*,#202063,.F.); #273898=ORIENTED_EDGE('',*,*,#202064,.T.); #273899=ORIENTED_EDGE('',*,*,#202063,.T.); #273900=ORIENTED_EDGE('',*,*,#202065,.F.); #273901=ORIENTED_EDGE('',*,*,#202066,.F.); #273902=ORIENTED_EDGE('',*,*,#202067,.T.); #273903=ORIENTED_EDGE('',*,*,#202066,.T.); #273904=ORIENTED_EDGE('',*,*,#202068,.F.); #273905=ORIENTED_EDGE('',*,*,#202069,.F.); #273906=ORIENTED_EDGE('',*,*,#202070,.T.); #273907=ORIENTED_EDGE('',*,*,#202069,.T.); #273908=ORIENTED_EDGE('',*,*,#202071,.F.); #273909=ORIENTED_EDGE('',*,*,#202072,.F.); #273910=ORIENTED_EDGE('',*,*,#202073,.T.); #273911=ORIENTED_EDGE('',*,*,#202072,.T.); #273912=ORIENTED_EDGE('',*,*,#202074,.F.); #273913=ORIENTED_EDGE('',*,*,#202075,.F.); #273914=ORIENTED_EDGE('',*,*,#202076,.T.); #273915=ORIENTED_EDGE('',*,*,#202075,.T.); #273916=ORIENTED_EDGE('',*,*,#202077,.F.); #273917=ORIENTED_EDGE('',*,*,#202078,.F.); #273918=ORIENTED_EDGE('',*,*,#202079,.T.); #273919=ORIENTED_EDGE('',*,*,#202078,.T.); #273920=ORIENTED_EDGE('',*,*,#202080,.F.); #273921=ORIENTED_EDGE('',*,*,#202081,.F.); #273922=ORIENTED_EDGE('',*,*,#202082,.T.); #273923=ORIENTED_EDGE('',*,*,#202081,.T.); #273924=ORIENTED_EDGE('',*,*,#202083,.F.); #273925=ORIENTED_EDGE('',*,*,#202084,.F.); #273926=ORIENTED_EDGE('',*,*,#202085,.T.); #273927=ORIENTED_EDGE('',*,*,#202084,.T.); #273928=ORIENTED_EDGE('',*,*,#202086,.F.); #273929=ORIENTED_EDGE('',*,*,#202087,.F.); #273930=ORIENTED_EDGE('',*,*,#202088,.T.); #273931=ORIENTED_EDGE('',*,*,#202087,.T.); #273932=ORIENTED_EDGE('',*,*,#202089,.F.); #273933=ORIENTED_EDGE('',*,*,#202090,.F.); #273934=ORIENTED_EDGE('',*,*,#202091,.T.); #273935=ORIENTED_EDGE('',*,*,#202090,.T.); #273936=ORIENTED_EDGE('',*,*,#202092,.F.); #273937=ORIENTED_EDGE('',*,*,#202093,.F.); #273938=ORIENTED_EDGE('',*,*,#202094,.T.); #273939=ORIENTED_EDGE('',*,*,#202093,.T.); #273940=ORIENTED_EDGE('',*,*,#202095,.F.); #273941=ORIENTED_EDGE('',*,*,#202096,.F.); #273942=ORIENTED_EDGE('',*,*,#202097,.T.); #273943=ORIENTED_EDGE('',*,*,#202096,.T.); #273944=ORIENTED_EDGE('',*,*,#202098,.F.); #273945=ORIENTED_EDGE('',*,*,#202099,.F.); #273946=ORIENTED_EDGE('',*,*,#202100,.T.); #273947=ORIENTED_EDGE('',*,*,#202099,.T.); #273948=ORIENTED_EDGE('',*,*,#202101,.F.); #273949=ORIENTED_EDGE('',*,*,#202102,.F.); #273950=ORIENTED_EDGE('',*,*,#202103,.T.); #273951=ORIENTED_EDGE('',*,*,#202102,.T.); #273952=ORIENTED_EDGE('',*,*,#202104,.F.); #273953=ORIENTED_EDGE('',*,*,#202105,.F.); #273954=ORIENTED_EDGE('',*,*,#202106,.T.); #273955=ORIENTED_EDGE('',*,*,#202105,.T.); #273956=ORIENTED_EDGE('',*,*,#202107,.F.); #273957=ORIENTED_EDGE('',*,*,#202108,.F.); #273958=ORIENTED_EDGE('',*,*,#202109,.T.); #273959=ORIENTED_EDGE('',*,*,#202108,.T.); #273960=ORIENTED_EDGE('',*,*,#202110,.F.); #273961=ORIENTED_EDGE('',*,*,#202111,.F.); #273962=ORIENTED_EDGE('',*,*,#202112,.T.); #273963=ORIENTED_EDGE('',*,*,#202111,.T.); #273964=ORIENTED_EDGE('',*,*,#202113,.F.); #273965=ORIENTED_EDGE('',*,*,#202114,.F.); #273966=ORIENTED_EDGE('',*,*,#202115,.T.); #273967=ORIENTED_EDGE('',*,*,#202114,.T.); #273968=ORIENTED_EDGE('',*,*,#202116,.F.); #273969=ORIENTED_EDGE('',*,*,#202117,.F.); #273970=ORIENTED_EDGE('',*,*,#202118,.T.); #273971=ORIENTED_EDGE('',*,*,#202117,.T.); #273972=ORIENTED_EDGE('',*,*,#202119,.F.); #273973=ORIENTED_EDGE('',*,*,#202120,.F.); #273974=ORIENTED_EDGE('',*,*,#202121,.T.); #273975=ORIENTED_EDGE('',*,*,#202120,.T.); #273976=ORIENTED_EDGE('',*,*,#202122,.F.); #273977=ORIENTED_EDGE('',*,*,#202123,.F.); #273978=ORIENTED_EDGE('',*,*,#202124,.T.); #273979=ORIENTED_EDGE('',*,*,#202123,.T.); #273980=ORIENTED_EDGE('',*,*,#202125,.F.); #273981=ORIENTED_EDGE('',*,*,#202126,.F.); #273982=ORIENTED_EDGE('',*,*,#202127,.T.); #273983=ORIENTED_EDGE('',*,*,#202126,.T.); #273984=ORIENTED_EDGE('',*,*,#202128,.F.); #273985=ORIENTED_EDGE('',*,*,#202129,.F.); #273986=ORIENTED_EDGE('',*,*,#202130,.T.); #273987=ORIENTED_EDGE('',*,*,#202129,.T.); #273988=ORIENTED_EDGE('',*,*,#202131,.F.); #273989=ORIENTED_EDGE('',*,*,#202132,.F.); #273990=ORIENTED_EDGE('',*,*,#202133,.T.); #273991=ORIENTED_EDGE('',*,*,#202132,.T.); #273992=ORIENTED_EDGE('',*,*,#202134,.F.); #273993=ORIENTED_EDGE('',*,*,#202135,.F.); #273994=ORIENTED_EDGE('',*,*,#202136,.T.); #273995=ORIENTED_EDGE('',*,*,#202135,.T.); #273996=ORIENTED_EDGE('',*,*,#202137,.F.); #273997=ORIENTED_EDGE('',*,*,#202138,.F.); #273998=ORIENTED_EDGE('',*,*,#202139,.T.); #273999=ORIENTED_EDGE('',*,*,#202138,.T.); #274000=ORIENTED_EDGE('',*,*,#202140,.F.); #274001=ORIENTED_EDGE('',*,*,#202141,.F.); #274002=ORIENTED_EDGE('',*,*,#202142,.T.); #274003=ORIENTED_EDGE('',*,*,#202141,.T.); #274004=ORIENTED_EDGE('',*,*,#202143,.F.); #274005=ORIENTED_EDGE('',*,*,#202144,.F.); #274006=ORIENTED_EDGE('',*,*,#202145,.T.); #274007=ORIENTED_EDGE('',*,*,#202144,.T.); #274008=ORIENTED_EDGE('',*,*,#202146,.F.); #274009=ORIENTED_EDGE('',*,*,#202147,.F.); #274010=ORIENTED_EDGE('',*,*,#202148,.T.); #274011=ORIENTED_EDGE('',*,*,#202147,.T.); #274012=ORIENTED_EDGE('',*,*,#202149,.F.); #274013=ORIENTED_EDGE('',*,*,#202150,.F.); #274014=ORIENTED_EDGE('',*,*,#202151,.T.); #274015=ORIENTED_EDGE('',*,*,#202150,.T.); #274016=ORIENTED_EDGE('',*,*,#202152,.F.); #274017=ORIENTED_EDGE('',*,*,#202153,.F.); #274018=ORIENTED_EDGE('',*,*,#202154,.T.); #274019=ORIENTED_EDGE('',*,*,#202153,.T.); #274020=ORIENTED_EDGE('',*,*,#202155,.F.); #274021=ORIENTED_EDGE('',*,*,#202156,.F.); #274022=ORIENTED_EDGE('',*,*,#202157,.T.); #274023=ORIENTED_EDGE('',*,*,#202156,.T.); #274024=ORIENTED_EDGE('',*,*,#202158,.F.); #274025=ORIENTED_EDGE('',*,*,#202159,.F.); #274026=ORIENTED_EDGE('',*,*,#202160,.T.); #274027=ORIENTED_EDGE('',*,*,#202159,.T.); #274028=ORIENTED_EDGE('',*,*,#202161,.F.); #274029=ORIENTED_EDGE('',*,*,#202162,.F.); #274030=ORIENTED_EDGE('',*,*,#202163,.T.); #274031=ORIENTED_EDGE('',*,*,#202162,.T.); #274032=ORIENTED_EDGE('',*,*,#202164,.F.); #274033=ORIENTED_EDGE('',*,*,#202165,.F.); #274034=ORIENTED_EDGE('',*,*,#202166,.T.); #274035=ORIENTED_EDGE('',*,*,#202165,.T.); #274036=ORIENTED_EDGE('',*,*,#202167,.F.); #274037=ORIENTED_EDGE('',*,*,#202168,.F.); #274038=ORIENTED_EDGE('',*,*,#202169,.T.); #274039=ORIENTED_EDGE('',*,*,#202168,.T.); #274040=ORIENTED_EDGE('',*,*,#202170,.F.); #274041=ORIENTED_EDGE('',*,*,#202171,.F.); #274042=ORIENTED_EDGE('',*,*,#202172,.T.); #274043=ORIENTED_EDGE('',*,*,#202171,.T.); #274044=ORIENTED_EDGE('',*,*,#202173,.F.); #274045=ORIENTED_EDGE('',*,*,#202174,.F.); #274046=ORIENTED_EDGE('',*,*,#202175,.T.); #274047=ORIENTED_EDGE('',*,*,#202174,.T.); #274048=ORIENTED_EDGE('',*,*,#202176,.F.); #274049=ORIENTED_EDGE('',*,*,#202177,.F.); #274050=ORIENTED_EDGE('',*,*,#202178,.T.); #274051=ORIENTED_EDGE('',*,*,#202177,.T.); #274052=ORIENTED_EDGE('',*,*,#202179,.F.); #274053=ORIENTED_EDGE('',*,*,#202180,.F.); #274054=ORIENTED_EDGE('',*,*,#202181,.T.); #274055=ORIENTED_EDGE('',*,*,#202180,.T.); #274056=ORIENTED_EDGE('',*,*,#202182,.F.); #274057=ORIENTED_EDGE('',*,*,#202183,.F.); #274058=ORIENTED_EDGE('',*,*,#202184,.T.); #274059=ORIENTED_EDGE('',*,*,#202183,.T.); #274060=ORIENTED_EDGE('',*,*,#202185,.F.); #274061=ORIENTED_EDGE('',*,*,#202186,.F.); #274062=ORIENTED_EDGE('',*,*,#202187,.T.); #274063=ORIENTED_EDGE('',*,*,#202186,.T.); #274064=ORIENTED_EDGE('',*,*,#202188,.F.); #274065=ORIENTED_EDGE('',*,*,#202189,.F.); #274066=ORIENTED_EDGE('',*,*,#202190,.T.); #274067=ORIENTED_EDGE('',*,*,#202189,.T.); #274068=ORIENTED_EDGE('',*,*,#202191,.F.); #274069=ORIENTED_EDGE('',*,*,#202192,.F.); #274070=ORIENTED_EDGE('',*,*,#202193,.T.); #274071=ORIENTED_EDGE('',*,*,#202192,.T.); #274072=ORIENTED_EDGE('',*,*,#202194,.F.); #274073=ORIENTED_EDGE('',*,*,#202195,.F.); #274074=ORIENTED_EDGE('',*,*,#202196,.T.); #274075=ORIENTED_EDGE('',*,*,#202195,.T.); #274076=ORIENTED_EDGE('',*,*,#202197,.F.); #274077=ORIENTED_EDGE('',*,*,#202198,.F.); #274078=ORIENTED_EDGE('',*,*,#202199,.T.); #274079=ORIENTED_EDGE('',*,*,#202198,.T.); #274080=ORIENTED_EDGE('',*,*,#202200,.F.); #274081=ORIENTED_EDGE('',*,*,#202201,.F.); #274082=ORIENTED_EDGE('',*,*,#202202,.T.); #274083=ORIENTED_EDGE('',*,*,#202201,.T.); #274084=ORIENTED_EDGE('',*,*,#202203,.F.); #274085=ORIENTED_EDGE('',*,*,#202204,.F.); #274086=ORIENTED_EDGE('',*,*,#202205,.T.); #274087=ORIENTED_EDGE('',*,*,#202204,.T.); #274088=ORIENTED_EDGE('',*,*,#202206,.F.); #274089=ORIENTED_EDGE('',*,*,#202207,.F.); #274090=ORIENTED_EDGE('',*,*,#202208,.T.); #274091=ORIENTED_EDGE('',*,*,#202207,.T.); #274092=ORIENTED_EDGE('',*,*,#202209,.F.); #274093=ORIENTED_EDGE('',*,*,#202210,.F.); #274094=ORIENTED_EDGE('',*,*,#202211,.T.); #274095=ORIENTED_EDGE('',*,*,#202210,.T.); #274096=ORIENTED_EDGE('',*,*,#202212,.F.); #274097=ORIENTED_EDGE('',*,*,#202213,.F.); #274098=ORIENTED_EDGE('',*,*,#202214,.T.); #274099=ORIENTED_EDGE('',*,*,#202213,.T.); #274100=ORIENTED_EDGE('',*,*,#202215,.F.); #274101=ORIENTED_EDGE('',*,*,#202216,.F.); #274102=ORIENTED_EDGE('',*,*,#202217,.T.); #274103=ORIENTED_EDGE('',*,*,#202216,.T.); #274104=ORIENTED_EDGE('',*,*,#202218,.F.); #274105=ORIENTED_EDGE('',*,*,#202219,.F.); #274106=ORIENTED_EDGE('',*,*,#202220,.T.); #274107=ORIENTED_EDGE('',*,*,#202219,.T.); #274108=ORIENTED_EDGE('',*,*,#202221,.F.); #274109=ORIENTED_EDGE('',*,*,#202222,.F.); #274110=ORIENTED_EDGE('',*,*,#202223,.T.); #274111=ORIENTED_EDGE('',*,*,#202222,.T.); #274112=ORIENTED_EDGE('',*,*,#202224,.F.); #274113=ORIENTED_EDGE('',*,*,#202225,.F.); #274114=ORIENTED_EDGE('',*,*,#202226,.T.); #274115=ORIENTED_EDGE('',*,*,#202225,.T.); #274116=ORIENTED_EDGE('',*,*,#202227,.F.); #274117=ORIENTED_EDGE('',*,*,#202228,.F.); #274118=ORIENTED_EDGE('',*,*,#202229,.T.); #274119=ORIENTED_EDGE('',*,*,#202228,.T.); #274120=ORIENTED_EDGE('',*,*,#202230,.F.); #274121=ORIENTED_EDGE('',*,*,#202231,.F.); #274122=ORIENTED_EDGE('',*,*,#202232,.T.); #274123=ORIENTED_EDGE('',*,*,#202231,.T.); #274124=ORIENTED_EDGE('',*,*,#202233,.F.); #274125=ORIENTED_EDGE('',*,*,#202234,.F.); #274126=ORIENTED_EDGE('',*,*,#202235,.T.); #274127=ORIENTED_EDGE('',*,*,#202234,.T.); #274128=ORIENTED_EDGE('',*,*,#202236,.F.); #274129=ORIENTED_EDGE('',*,*,#202237,.F.); #274130=ORIENTED_EDGE('',*,*,#202238,.T.); #274131=ORIENTED_EDGE('',*,*,#202237,.T.); #274132=ORIENTED_EDGE('',*,*,#202239,.F.); #274133=ORIENTED_EDGE('',*,*,#202240,.F.); #274134=ORIENTED_EDGE('',*,*,#202241,.T.); #274135=ORIENTED_EDGE('',*,*,#202240,.T.); #274136=ORIENTED_EDGE('',*,*,#202242,.F.); #274137=ORIENTED_EDGE('',*,*,#202243,.F.); #274138=ORIENTED_EDGE('',*,*,#202244,.T.); #274139=ORIENTED_EDGE('',*,*,#202243,.T.); #274140=ORIENTED_EDGE('',*,*,#202245,.F.); #274141=ORIENTED_EDGE('',*,*,#202246,.F.); #274142=ORIENTED_EDGE('',*,*,#202247,.T.); #274143=ORIENTED_EDGE('',*,*,#202246,.T.); #274144=ORIENTED_EDGE('',*,*,#202248,.F.); #274145=ORIENTED_EDGE('',*,*,#202249,.F.); #274146=ORIENTED_EDGE('',*,*,#202250,.T.); #274147=ORIENTED_EDGE('',*,*,#202249,.T.); #274148=ORIENTED_EDGE('',*,*,#202251,.F.); #274149=ORIENTED_EDGE('',*,*,#202252,.F.); #274150=ORIENTED_EDGE('',*,*,#202253,.T.); #274151=ORIENTED_EDGE('',*,*,#202252,.T.); #274152=ORIENTED_EDGE('',*,*,#202254,.F.); #274153=ORIENTED_EDGE('',*,*,#202255,.F.); #274154=ORIENTED_EDGE('',*,*,#202256,.T.); #274155=ORIENTED_EDGE('',*,*,#202255,.T.); #274156=ORIENTED_EDGE('',*,*,#202257,.F.); #274157=ORIENTED_EDGE('',*,*,#202258,.F.); #274158=ORIENTED_EDGE('',*,*,#202259,.T.); #274159=ORIENTED_EDGE('',*,*,#202258,.T.); #274160=ORIENTED_EDGE('',*,*,#202260,.F.); #274161=ORIENTED_EDGE('',*,*,#202261,.F.); #274162=ORIENTED_EDGE('',*,*,#202262,.T.); #274163=ORIENTED_EDGE('',*,*,#202261,.T.); #274164=ORIENTED_EDGE('',*,*,#202263,.F.); #274165=ORIENTED_EDGE('',*,*,#202264,.F.); #274166=ORIENTED_EDGE('',*,*,#202265,.T.); #274167=ORIENTED_EDGE('',*,*,#202264,.T.); #274168=ORIENTED_EDGE('',*,*,#202266,.F.); #274169=ORIENTED_EDGE('',*,*,#202267,.F.); #274170=ORIENTED_EDGE('',*,*,#202268,.T.); #274171=ORIENTED_EDGE('',*,*,#202267,.T.); #274172=ORIENTED_EDGE('',*,*,#202269,.F.); #274173=ORIENTED_EDGE('',*,*,#202270,.F.); #274174=ORIENTED_EDGE('',*,*,#202271,.T.); #274175=ORIENTED_EDGE('',*,*,#202270,.T.); #274176=ORIENTED_EDGE('',*,*,#202272,.F.); #274177=ORIENTED_EDGE('',*,*,#202273,.F.); #274178=ORIENTED_EDGE('',*,*,#202274,.T.); #274179=ORIENTED_EDGE('',*,*,#202273,.T.); #274180=ORIENTED_EDGE('',*,*,#202275,.F.); #274181=ORIENTED_EDGE('',*,*,#202276,.F.); #274182=ORIENTED_EDGE('',*,*,#202277,.T.); #274183=ORIENTED_EDGE('',*,*,#202276,.T.); #274184=ORIENTED_EDGE('',*,*,#202278,.F.); #274185=ORIENTED_EDGE('',*,*,#202279,.F.); #274186=ORIENTED_EDGE('',*,*,#202280,.T.); #274187=ORIENTED_EDGE('',*,*,#202279,.T.); #274188=ORIENTED_EDGE('',*,*,#202281,.F.); #274189=ORIENTED_EDGE('',*,*,#202282,.F.); #274190=ORIENTED_EDGE('',*,*,#202283,.T.); #274191=ORIENTED_EDGE('',*,*,#202282,.T.); #274192=ORIENTED_EDGE('',*,*,#202284,.F.); #274193=ORIENTED_EDGE('',*,*,#202285,.F.); #274194=ORIENTED_EDGE('',*,*,#202286,.T.); #274195=ORIENTED_EDGE('',*,*,#202285,.T.); #274196=ORIENTED_EDGE('',*,*,#202287,.F.); #274197=ORIENTED_EDGE('',*,*,#202288,.F.); #274198=ORIENTED_EDGE('',*,*,#202289,.T.); #274199=ORIENTED_EDGE('',*,*,#202288,.T.); #274200=ORIENTED_EDGE('',*,*,#202290,.F.); #274201=ORIENTED_EDGE('',*,*,#202291,.F.); #274202=ORIENTED_EDGE('',*,*,#202292,.T.); #274203=ORIENTED_EDGE('',*,*,#202291,.T.); #274204=ORIENTED_EDGE('',*,*,#202293,.F.); #274205=ORIENTED_EDGE('',*,*,#202294,.F.); #274206=ORIENTED_EDGE('',*,*,#202295,.T.); #274207=ORIENTED_EDGE('',*,*,#202294,.T.); #274208=ORIENTED_EDGE('',*,*,#202296,.F.); #274209=ORIENTED_EDGE('',*,*,#202297,.F.); #274210=ORIENTED_EDGE('',*,*,#202298,.T.); #274211=ORIENTED_EDGE('',*,*,#202297,.T.); #274212=ORIENTED_EDGE('',*,*,#202299,.F.); #274213=ORIENTED_EDGE('',*,*,#202300,.F.); #274214=ORIENTED_EDGE('',*,*,#202301,.T.); #274215=ORIENTED_EDGE('',*,*,#202300,.T.); #274216=ORIENTED_EDGE('',*,*,#202302,.F.); #274217=ORIENTED_EDGE('',*,*,#202303,.F.); #274218=ORIENTED_EDGE('',*,*,#202304,.T.); #274219=ORIENTED_EDGE('',*,*,#202303,.T.); #274220=ORIENTED_EDGE('',*,*,#202305,.F.); #274221=ORIENTED_EDGE('',*,*,#202306,.F.); #274222=ORIENTED_EDGE('',*,*,#202307,.T.); #274223=ORIENTED_EDGE('',*,*,#202306,.T.); #274224=ORIENTED_EDGE('',*,*,#202308,.F.); #274225=ORIENTED_EDGE('',*,*,#202309,.F.); #274226=ORIENTED_EDGE('',*,*,#202310,.T.); #274227=ORIENTED_EDGE('',*,*,#202309,.T.); #274228=ORIENTED_EDGE('',*,*,#202311,.F.); #274229=ORIENTED_EDGE('',*,*,#202312,.F.); #274230=ORIENTED_EDGE('',*,*,#202313,.T.); #274231=ORIENTED_EDGE('',*,*,#202312,.T.); #274232=ORIENTED_EDGE('',*,*,#202314,.F.); #274233=ORIENTED_EDGE('',*,*,#202315,.F.); #274234=ORIENTED_EDGE('',*,*,#202316,.T.); #274235=ORIENTED_EDGE('',*,*,#202315,.T.); #274236=ORIENTED_EDGE('',*,*,#202317,.F.); #274237=ORIENTED_EDGE('',*,*,#202318,.F.); #274238=ORIENTED_EDGE('',*,*,#202319,.T.); #274239=ORIENTED_EDGE('',*,*,#202318,.T.); #274240=ORIENTED_EDGE('',*,*,#202320,.F.); #274241=ORIENTED_EDGE('',*,*,#202321,.F.); #274242=ORIENTED_EDGE('',*,*,#202322,.T.); #274243=ORIENTED_EDGE('',*,*,#202321,.T.); #274244=ORIENTED_EDGE('',*,*,#202323,.F.); #274245=ORIENTED_EDGE('',*,*,#202324,.F.); #274246=ORIENTED_EDGE('',*,*,#202325,.T.); #274247=ORIENTED_EDGE('',*,*,#202324,.T.); #274248=ORIENTED_EDGE('',*,*,#202326,.F.); #274249=ORIENTED_EDGE('',*,*,#202327,.F.); #274250=ORIENTED_EDGE('',*,*,#202328,.T.); #274251=ORIENTED_EDGE('',*,*,#202327,.T.); #274252=ORIENTED_EDGE('',*,*,#202329,.F.); #274253=ORIENTED_EDGE('',*,*,#202330,.F.); #274254=ORIENTED_EDGE('',*,*,#202331,.T.); #274255=ORIENTED_EDGE('',*,*,#202330,.T.); #274256=ORIENTED_EDGE('',*,*,#202332,.F.); #274257=ORIENTED_EDGE('',*,*,#202333,.F.); #274258=ORIENTED_EDGE('',*,*,#202334,.T.); #274259=ORIENTED_EDGE('',*,*,#202333,.T.); #274260=ORIENTED_EDGE('',*,*,#202335,.F.); #274261=ORIENTED_EDGE('',*,*,#202336,.F.); #274262=ORIENTED_EDGE('',*,*,#202337,.T.); #274263=ORIENTED_EDGE('',*,*,#202336,.T.); #274264=ORIENTED_EDGE('',*,*,#202338,.F.); #274265=ORIENTED_EDGE('',*,*,#202339,.F.); #274266=ORIENTED_EDGE('',*,*,#202340,.T.); #274267=ORIENTED_EDGE('',*,*,#202339,.T.); #274268=ORIENTED_EDGE('',*,*,#202341,.F.); #274269=ORIENTED_EDGE('',*,*,#202342,.F.); #274270=ORIENTED_EDGE('',*,*,#202343,.T.); #274271=ORIENTED_EDGE('',*,*,#202342,.T.); #274272=ORIENTED_EDGE('',*,*,#202344,.F.); #274273=ORIENTED_EDGE('',*,*,#202345,.F.); #274274=ORIENTED_EDGE('',*,*,#202346,.T.); #274275=ORIENTED_EDGE('',*,*,#202345,.T.); #274276=ORIENTED_EDGE('',*,*,#202347,.F.); #274277=ORIENTED_EDGE('',*,*,#202348,.F.); #274278=ORIENTED_EDGE('',*,*,#202349,.T.); #274279=ORIENTED_EDGE('',*,*,#202348,.T.); #274280=ORIENTED_EDGE('',*,*,#202350,.F.); #274281=ORIENTED_EDGE('',*,*,#202351,.F.); #274282=ORIENTED_EDGE('',*,*,#202352,.T.); #274283=ORIENTED_EDGE('',*,*,#202351,.T.); #274284=ORIENTED_EDGE('',*,*,#202353,.F.); #274285=ORIENTED_EDGE('',*,*,#202354,.F.); #274286=ORIENTED_EDGE('',*,*,#202355,.T.); #274287=ORIENTED_EDGE('',*,*,#202354,.T.); #274288=ORIENTED_EDGE('',*,*,#202356,.F.); #274289=ORIENTED_EDGE('',*,*,#202357,.F.); #274290=ORIENTED_EDGE('',*,*,#202358,.T.); #274291=ORIENTED_EDGE('',*,*,#202357,.T.); #274292=ORIENTED_EDGE('',*,*,#202359,.F.); #274293=ORIENTED_EDGE('',*,*,#202360,.F.); #274294=ORIENTED_EDGE('',*,*,#202361,.T.); #274295=ORIENTED_EDGE('',*,*,#202360,.T.); #274296=ORIENTED_EDGE('',*,*,#202362,.F.); #274297=ORIENTED_EDGE('',*,*,#202363,.F.); #274298=ORIENTED_EDGE('',*,*,#202364,.T.); #274299=ORIENTED_EDGE('',*,*,#202363,.T.); #274300=ORIENTED_EDGE('',*,*,#202365,.F.); #274301=ORIENTED_EDGE('',*,*,#202366,.F.); #274302=ORIENTED_EDGE('',*,*,#202367,.T.); #274303=ORIENTED_EDGE('',*,*,#202366,.T.); #274304=ORIENTED_EDGE('',*,*,#202368,.F.); #274305=ORIENTED_EDGE('',*,*,#202369,.F.); #274306=ORIENTED_EDGE('',*,*,#202370,.T.); #274307=ORIENTED_EDGE('',*,*,#202369,.T.); #274308=ORIENTED_EDGE('',*,*,#202371,.F.); #274309=ORIENTED_EDGE('',*,*,#202372,.F.); #274310=ORIENTED_EDGE('',*,*,#202373,.T.); #274311=ORIENTED_EDGE('',*,*,#202372,.T.); #274312=ORIENTED_EDGE('',*,*,#202374,.F.); #274313=ORIENTED_EDGE('',*,*,#202375,.F.); #274314=ORIENTED_EDGE('',*,*,#202376,.T.); #274315=ORIENTED_EDGE('',*,*,#202375,.T.); #274316=ORIENTED_EDGE('',*,*,#202377,.F.); #274317=ORIENTED_EDGE('',*,*,#202378,.F.); #274318=ORIENTED_EDGE('',*,*,#202379,.T.); #274319=ORIENTED_EDGE('',*,*,#202378,.T.); #274320=ORIENTED_EDGE('',*,*,#202380,.F.); #274321=ORIENTED_EDGE('',*,*,#202381,.F.); #274322=ORIENTED_EDGE('',*,*,#202382,.T.); #274323=ORIENTED_EDGE('',*,*,#202381,.T.); #274324=ORIENTED_EDGE('',*,*,#202383,.F.); #274325=ORIENTED_EDGE('',*,*,#202384,.F.); #274326=ORIENTED_EDGE('',*,*,#202385,.T.); #274327=ORIENTED_EDGE('',*,*,#202384,.T.); #274328=ORIENTED_EDGE('',*,*,#202386,.F.); #274329=ORIENTED_EDGE('',*,*,#202387,.F.); #274330=ORIENTED_EDGE('',*,*,#202388,.T.); #274331=ORIENTED_EDGE('',*,*,#202387,.T.); #274332=ORIENTED_EDGE('',*,*,#202389,.F.); #274333=ORIENTED_EDGE('',*,*,#202390,.F.); #274334=ORIENTED_EDGE('',*,*,#202391,.T.); #274335=ORIENTED_EDGE('',*,*,#202390,.T.); #274336=ORIENTED_EDGE('',*,*,#202392,.F.); #274337=ORIENTED_EDGE('',*,*,#202393,.F.); #274338=ORIENTED_EDGE('',*,*,#202394,.T.); #274339=ORIENTED_EDGE('',*,*,#202393,.T.); #274340=ORIENTED_EDGE('',*,*,#202395,.F.); #274341=ORIENTED_EDGE('',*,*,#202396,.F.); #274342=ORIENTED_EDGE('',*,*,#202397,.T.); #274343=ORIENTED_EDGE('',*,*,#202396,.T.); #274344=ORIENTED_EDGE('',*,*,#202398,.F.); #274345=ORIENTED_EDGE('',*,*,#202399,.F.); #274346=ORIENTED_EDGE('',*,*,#202400,.T.); #274347=ORIENTED_EDGE('',*,*,#202399,.T.); #274348=ORIENTED_EDGE('',*,*,#202401,.F.); #274349=ORIENTED_EDGE('',*,*,#202402,.F.); #274350=ORIENTED_EDGE('',*,*,#202403,.T.); #274351=ORIENTED_EDGE('',*,*,#202402,.T.); #274352=ORIENTED_EDGE('',*,*,#202404,.F.); #274353=ORIENTED_EDGE('',*,*,#202405,.F.); #274354=ORIENTED_EDGE('',*,*,#202406,.T.); #274355=ORIENTED_EDGE('',*,*,#202405,.T.); #274356=ORIENTED_EDGE('',*,*,#202407,.F.); #274357=ORIENTED_EDGE('',*,*,#202408,.F.); #274358=ORIENTED_EDGE('',*,*,#202409,.T.); #274359=ORIENTED_EDGE('',*,*,#202408,.T.); #274360=ORIENTED_EDGE('',*,*,#202410,.F.); #274361=ORIENTED_EDGE('',*,*,#202411,.F.); #274362=ORIENTED_EDGE('',*,*,#202412,.T.); #274363=ORIENTED_EDGE('',*,*,#202411,.T.); #274364=ORIENTED_EDGE('',*,*,#202413,.F.); #274365=ORIENTED_EDGE('',*,*,#202414,.F.); #274366=ORIENTED_EDGE('',*,*,#202415,.T.); #274367=ORIENTED_EDGE('',*,*,#202414,.T.); #274368=ORIENTED_EDGE('',*,*,#202416,.F.); #274369=ORIENTED_EDGE('',*,*,#202417,.F.); #274370=ORIENTED_EDGE('',*,*,#202418,.T.); #274371=ORIENTED_EDGE('',*,*,#202417,.T.); #274372=ORIENTED_EDGE('',*,*,#202419,.F.); #274373=ORIENTED_EDGE('',*,*,#202420,.F.); #274374=ORIENTED_EDGE('',*,*,#202421,.T.); #274375=ORIENTED_EDGE('',*,*,#202420,.T.); #274376=ORIENTED_EDGE('',*,*,#202422,.F.); #274377=ORIENTED_EDGE('',*,*,#202423,.F.); #274378=ORIENTED_EDGE('',*,*,#202424,.T.); #274379=ORIENTED_EDGE('',*,*,#202423,.T.); #274380=ORIENTED_EDGE('',*,*,#202425,.F.); #274381=ORIENTED_EDGE('',*,*,#202426,.F.); #274382=ORIENTED_EDGE('',*,*,#202427,.T.); #274383=ORIENTED_EDGE('',*,*,#202426,.T.); #274384=ORIENTED_EDGE('',*,*,#202428,.F.); #274385=ORIENTED_EDGE('',*,*,#202429,.F.); #274386=ORIENTED_EDGE('',*,*,#202430,.T.); #274387=ORIENTED_EDGE('',*,*,#202429,.T.); #274388=ORIENTED_EDGE('',*,*,#202431,.F.); #274389=ORIENTED_EDGE('',*,*,#202432,.F.); #274390=ORIENTED_EDGE('',*,*,#202433,.T.); #274391=ORIENTED_EDGE('',*,*,#202432,.T.); #274392=ORIENTED_EDGE('',*,*,#202434,.F.); #274393=ORIENTED_EDGE('',*,*,#202435,.F.); #274394=ORIENTED_EDGE('',*,*,#202436,.T.); #274395=ORIENTED_EDGE('',*,*,#202435,.T.); #274396=ORIENTED_EDGE('',*,*,#202437,.F.); #274397=ORIENTED_EDGE('',*,*,#202438,.F.); #274398=ORIENTED_EDGE('',*,*,#202439,.T.); #274399=ORIENTED_EDGE('',*,*,#202438,.T.); #274400=ORIENTED_EDGE('',*,*,#202440,.F.); #274401=ORIENTED_EDGE('',*,*,#202441,.F.); #274402=ORIENTED_EDGE('',*,*,#202442,.T.); #274403=ORIENTED_EDGE('',*,*,#202441,.T.); #274404=ORIENTED_EDGE('',*,*,#202443,.F.); #274405=ORIENTED_EDGE('',*,*,#202444,.F.); #274406=ORIENTED_EDGE('',*,*,#202445,.T.); #274407=ORIENTED_EDGE('',*,*,#202444,.T.); #274408=ORIENTED_EDGE('',*,*,#202446,.F.); #274409=ORIENTED_EDGE('',*,*,#202447,.F.); #274410=ORIENTED_EDGE('',*,*,#202448,.T.); #274411=ORIENTED_EDGE('',*,*,#202447,.T.); #274412=ORIENTED_EDGE('',*,*,#202449,.F.); #274413=ORIENTED_EDGE('',*,*,#202450,.F.); #274414=ORIENTED_EDGE('',*,*,#202451,.T.); #274415=ORIENTED_EDGE('',*,*,#202450,.T.); #274416=ORIENTED_EDGE('',*,*,#202452,.F.); #274417=ORIENTED_EDGE('',*,*,#202453,.F.); #274418=ORIENTED_EDGE('',*,*,#202454,.T.); #274419=ORIENTED_EDGE('',*,*,#202453,.T.); #274420=ORIENTED_EDGE('',*,*,#202455,.F.); #274421=ORIENTED_EDGE('',*,*,#202456,.F.); #274422=ORIENTED_EDGE('',*,*,#202457,.T.); #274423=ORIENTED_EDGE('',*,*,#202456,.T.); #274424=ORIENTED_EDGE('',*,*,#202458,.F.); #274425=ORIENTED_EDGE('',*,*,#202459,.F.); #274426=ORIENTED_EDGE('',*,*,#202460,.T.); #274427=ORIENTED_EDGE('',*,*,#202459,.T.); #274428=ORIENTED_EDGE('',*,*,#202461,.F.); #274429=ORIENTED_EDGE('',*,*,#202462,.F.); #274430=ORIENTED_EDGE('',*,*,#202463,.T.); #274431=ORIENTED_EDGE('',*,*,#202462,.T.); #274432=ORIENTED_EDGE('',*,*,#202464,.F.); #274433=ORIENTED_EDGE('',*,*,#202465,.F.); #274434=ORIENTED_EDGE('',*,*,#202466,.T.); #274435=ORIENTED_EDGE('',*,*,#202465,.T.); #274436=ORIENTED_EDGE('',*,*,#202467,.F.); #274437=ORIENTED_EDGE('',*,*,#202468,.F.); #274438=ORIENTED_EDGE('',*,*,#202469,.T.); #274439=ORIENTED_EDGE('',*,*,#202468,.T.); #274440=ORIENTED_EDGE('',*,*,#202470,.F.); #274441=ORIENTED_EDGE('',*,*,#202471,.F.); #274442=ORIENTED_EDGE('',*,*,#202472,.T.); #274443=ORIENTED_EDGE('',*,*,#202471,.T.); #274444=ORIENTED_EDGE('',*,*,#202473,.F.); #274445=ORIENTED_EDGE('',*,*,#202474,.F.); #274446=ORIENTED_EDGE('',*,*,#202475,.T.); #274447=ORIENTED_EDGE('',*,*,#202474,.T.); #274448=ORIENTED_EDGE('',*,*,#202476,.F.); #274449=ORIENTED_EDGE('',*,*,#202477,.F.); #274450=ORIENTED_EDGE('',*,*,#202478,.T.); #274451=ORIENTED_EDGE('',*,*,#202477,.T.); #274452=ORIENTED_EDGE('',*,*,#202479,.F.); #274453=ORIENTED_EDGE('',*,*,#202480,.F.); #274454=ORIENTED_EDGE('',*,*,#202481,.T.); #274455=ORIENTED_EDGE('',*,*,#202480,.T.); #274456=ORIENTED_EDGE('',*,*,#202482,.F.); #274457=ORIENTED_EDGE('',*,*,#202483,.F.); #274458=ORIENTED_EDGE('',*,*,#202484,.T.); #274459=ORIENTED_EDGE('',*,*,#202483,.T.); #274460=ORIENTED_EDGE('',*,*,#202485,.F.); #274461=ORIENTED_EDGE('',*,*,#202486,.F.); #274462=ORIENTED_EDGE('',*,*,#202487,.T.); #274463=ORIENTED_EDGE('',*,*,#202486,.T.); #274464=ORIENTED_EDGE('',*,*,#202488,.F.); #274465=ORIENTED_EDGE('',*,*,#202489,.F.); #274466=ORIENTED_EDGE('',*,*,#202490,.T.); #274467=ORIENTED_EDGE('',*,*,#202489,.T.); #274468=ORIENTED_EDGE('',*,*,#202491,.F.); #274469=ORIENTED_EDGE('',*,*,#202492,.F.); #274470=ORIENTED_EDGE('',*,*,#202493,.T.); #274471=ORIENTED_EDGE('',*,*,#202492,.T.); #274472=ORIENTED_EDGE('',*,*,#202494,.F.); #274473=ORIENTED_EDGE('',*,*,#202495,.F.); #274474=ORIENTED_EDGE('',*,*,#202496,.T.); #274475=ORIENTED_EDGE('',*,*,#202495,.T.); #274476=ORIENTED_EDGE('',*,*,#202497,.F.); #274477=ORIENTED_EDGE('',*,*,#202498,.F.); #274478=ORIENTED_EDGE('',*,*,#202499,.T.); #274479=ORIENTED_EDGE('',*,*,#202498,.T.); #274480=ORIENTED_EDGE('',*,*,#202500,.F.); #274481=ORIENTED_EDGE('',*,*,#202501,.F.); #274482=ORIENTED_EDGE('',*,*,#202502,.T.); #274483=ORIENTED_EDGE('',*,*,#202501,.T.); #274484=ORIENTED_EDGE('',*,*,#202503,.F.); #274485=ORIENTED_EDGE('',*,*,#202504,.F.); #274486=ORIENTED_EDGE('',*,*,#202505,.T.); #274487=ORIENTED_EDGE('',*,*,#202504,.T.); #274488=ORIENTED_EDGE('',*,*,#202506,.F.); #274489=ORIENTED_EDGE('',*,*,#202507,.F.); #274490=ORIENTED_EDGE('',*,*,#202508,.T.); #274491=ORIENTED_EDGE('',*,*,#202507,.T.); #274492=ORIENTED_EDGE('',*,*,#202509,.F.); #274493=ORIENTED_EDGE('',*,*,#202510,.F.); #274494=ORIENTED_EDGE('',*,*,#202511,.T.); #274495=ORIENTED_EDGE('',*,*,#202510,.T.); #274496=ORIENTED_EDGE('',*,*,#202512,.F.); #274497=ORIENTED_EDGE('',*,*,#202513,.F.); #274498=ORIENTED_EDGE('',*,*,#202514,.T.); #274499=ORIENTED_EDGE('',*,*,#202513,.T.); #274500=ORIENTED_EDGE('',*,*,#202515,.F.); #274501=ORIENTED_EDGE('',*,*,#202516,.F.); #274502=ORIENTED_EDGE('',*,*,#202517,.T.); #274503=ORIENTED_EDGE('',*,*,#202516,.T.); #274504=ORIENTED_EDGE('',*,*,#202518,.F.); #274505=ORIENTED_EDGE('',*,*,#202519,.F.); #274506=ORIENTED_EDGE('',*,*,#202520,.T.); #274507=ORIENTED_EDGE('',*,*,#202519,.T.); #274508=ORIENTED_EDGE('',*,*,#202521,.F.); #274509=ORIENTED_EDGE('',*,*,#202522,.F.); #274510=ORIENTED_EDGE('',*,*,#202523,.T.); #274511=ORIENTED_EDGE('',*,*,#202522,.T.); #274512=ORIENTED_EDGE('',*,*,#202524,.F.); #274513=ORIENTED_EDGE('',*,*,#202525,.F.); #274514=ORIENTED_EDGE('',*,*,#202526,.T.); #274515=ORIENTED_EDGE('',*,*,#202525,.T.); #274516=ORIENTED_EDGE('',*,*,#202527,.F.); #274517=ORIENTED_EDGE('',*,*,#202528,.F.); #274518=ORIENTED_EDGE('',*,*,#202529,.T.); #274519=ORIENTED_EDGE('',*,*,#202528,.T.); #274520=ORIENTED_EDGE('',*,*,#202530,.F.); #274521=ORIENTED_EDGE('',*,*,#202531,.F.); #274522=ORIENTED_EDGE('',*,*,#202532,.T.); #274523=ORIENTED_EDGE('',*,*,#202531,.T.); #274524=ORIENTED_EDGE('',*,*,#202533,.F.); #274525=ORIENTED_EDGE('',*,*,#202534,.F.); #274526=ORIENTED_EDGE('',*,*,#202535,.T.); #274527=ORIENTED_EDGE('',*,*,#202534,.T.); #274528=ORIENTED_EDGE('',*,*,#202536,.F.); #274529=ORIENTED_EDGE('',*,*,#202537,.F.); #274530=ORIENTED_EDGE('',*,*,#202538,.T.); #274531=ORIENTED_EDGE('',*,*,#202537,.T.); #274532=ORIENTED_EDGE('',*,*,#202539,.F.); #274533=ORIENTED_EDGE('',*,*,#202540,.F.); #274534=ORIENTED_EDGE('',*,*,#202541,.T.); #274535=ORIENTED_EDGE('',*,*,#202540,.T.); #274536=ORIENTED_EDGE('',*,*,#202542,.F.); #274537=ORIENTED_EDGE('',*,*,#202543,.F.); #274538=ORIENTED_EDGE('',*,*,#202544,.T.); #274539=ORIENTED_EDGE('',*,*,#202543,.T.); #274540=ORIENTED_EDGE('',*,*,#202545,.F.); #274541=ORIENTED_EDGE('',*,*,#202546,.F.); #274542=ORIENTED_EDGE('',*,*,#202547,.T.); #274543=ORIENTED_EDGE('',*,*,#202546,.T.); #274544=ORIENTED_EDGE('',*,*,#202548,.F.); #274545=ORIENTED_EDGE('',*,*,#202549,.F.); #274546=ORIENTED_EDGE('',*,*,#202550,.T.); #274547=ORIENTED_EDGE('',*,*,#202549,.T.); #274548=ORIENTED_EDGE('',*,*,#202551,.F.); #274549=ORIENTED_EDGE('',*,*,#202552,.F.); #274550=ORIENTED_EDGE('',*,*,#202553,.T.); #274551=ORIENTED_EDGE('',*,*,#202552,.T.); #274552=ORIENTED_EDGE('',*,*,#202554,.F.); #274553=ORIENTED_EDGE('',*,*,#202555,.F.); #274554=ORIENTED_EDGE('',*,*,#202556,.T.); #274555=ORIENTED_EDGE('',*,*,#202555,.T.); #274556=ORIENTED_EDGE('',*,*,#202557,.F.); #274557=ORIENTED_EDGE('',*,*,#202558,.F.); #274558=ORIENTED_EDGE('',*,*,#202559,.T.); #274559=ORIENTED_EDGE('',*,*,#202558,.T.); #274560=ORIENTED_EDGE('',*,*,#202560,.F.); #274561=ORIENTED_EDGE('',*,*,#202561,.F.); #274562=ORIENTED_EDGE('',*,*,#202562,.T.); #274563=ORIENTED_EDGE('',*,*,#202561,.T.); #274564=ORIENTED_EDGE('',*,*,#202563,.F.); #274565=ORIENTED_EDGE('',*,*,#202564,.F.); #274566=ORIENTED_EDGE('',*,*,#202565,.T.); #274567=ORIENTED_EDGE('',*,*,#202564,.T.); #274568=ORIENTED_EDGE('',*,*,#202566,.F.); #274569=ORIENTED_EDGE('',*,*,#202567,.F.); #274570=ORIENTED_EDGE('',*,*,#202568,.T.); #274571=ORIENTED_EDGE('',*,*,#202567,.T.); #274572=ORIENTED_EDGE('',*,*,#202569,.F.); #274573=ORIENTED_EDGE('',*,*,#202570,.F.); #274574=ORIENTED_EDGE('',*,*,#202571,.T.); #274575=ORIENTED_EDGE('',*,*,#202570,.T.); #274576=ORIENTED_EDGE('',*,*,#202572,.F.); #274577=ORIENTED_EDGE('',*,*,#202573,.F.); #274578=ORIENTED_EDGE('',*,*,#202574,.T.); #274579=ORIENTED_EDGE('',*,*,#202573,.T.); #274580=ORIENTED_EDGE('',*,*,#202575,.F.); #274581=ORIENTED_EDGE('',*,*,#202576,.F.); #274582=ORIENTED_EDGE('',*,*,#202577,.T.); #274583=ORIENTED_EDGE('',*,*,#202576,.T.); #274584=ORIENTED_EDGE('',*,*,#202578,.F.); #274585=ORIENTED_EDGE('',*,*,#202579,.F.); #274586=ORIENTED_EDGE('',*,*,#202580,.T.); #274587=ORIENTED_EDGE('',*,*,#202579,.T.); #274588=ORIENTED_EDGE('',*,*,#202581,.F.); #274589=ORIENTED_EDGE('',*,*,#202582,.F.); #274590=ORIENTED_EDGE('',*,*,#202583,.T.); #274591=ORIENTED_EDGE('',*,*,#202582,.T.); #274592=ORIENTED_EDGE('',*,*,#202584,.F.); #274593=ORIENTED_EDGE('',*,*,#202585,.F.); #274594=ORIENTED_EDGE('',*,*,#202586,.T.); #274595=ORIENTED_EDGE('',*,*,#202585,.T.); #274596=ORIENTED_EDGE('',*,*,#202587,.F.); #274597=ORIENTED_EDGE('',*,*,#202588,.F.); #274598=ORIENTED_EDGE('',*,*,#202589,.T.); #274599=ORIENTED_EDGE('',*,*,#202588,.T.); #274600=ORIENTED_EDGE('',*,*,#202590,.F.); #274601=ORIENTED_EDGE('',*,*,#202591,.F.); #274602=ORIENTED_EDGE('',*,*,#202592,.T.); #274603=ORIENTED_EDGE('',*,*,#202591,.T.); #274604=ORIENTED_EDGE('',*,*,#202593,.F.); #274605=ORIENTED_EDGE('',*,*,#202594,.F.); #274606=ORIENTED_EDGE('',*,*,#202595,.T.); #274607=ORIENTED_EDGE('',*,*,#202594,.T.); #274608=ORIENTED_EDGE('',*,*,#202596,.F.); #274609=ORIENTED_EDGE('',*,*,#202597,.F.); #274610=ORIENTED_EDGE('',*,*,#202598,.T.); #274611=ORIENTED_EDGE('',*,*,#202597,.T.); #274612=ORIENTED_EDGE('',*,*,#202599,.F.); #274613=ORIENTED_EDGE('',*,*,#202600,.F.); #274614=ORIENTED_EDGE('',*,*,#202601,.T.); #274615=ORIENTED_EDGE('',*,*,#202600,.T.); #274616=ORIENTED_EDGE('',*,*,#202602,.F.); #274617=ORIENTED_EDGE('',*,*,#202603,.F.); #274618=ORIENTED_EDGE('',*,*,#202604,.T.); #274619=ORIENTED_EDGE('',*,*,#202603,.T.); #274620=ORIENTED_EDGE('',*,*,#202605,.F.); #274621=ORIENTED_EDGE('',*,*,#202606,.F.); #274622=ORIENTED_EDGE('',*,*,#202607,.T.); #274623=ORIENTED_EDGE('',*,*,#202606,.T.); #274624=ORIENTED_EDGE('',*,*,#202608,.F.); #274625=ORIENTED_EDGE('',*,*,#202609,.F.); #274626=ORIENTED_EDGE('',*,*,#202610,.T.); #274627=ORIENTED_EDGE('',*,*,#202609,.T.); #274628=ORIENTED_EDGE('',*,*,#202611,.F.); #274629=ORIENTED_EDGE('',*,*,#202612,.F.); #274630=ORIENTED_EDGE('',*,*,#202613,.T.); #274631=ORIENTED_EDGE('',*,*,#202612,.T.); #274632=ORIENTED_EDGE('',*,*,#202614,.F.); #274633=ORIENTED_EDGE('',*,*,#202615,.F.); #274634=ORIENTED_EDGE('',*,*,#202616,.T.); #274635=ORIENTED_EDGE('',*,*,#202615,.T.); #274636=ORIENTED_EDGE('',*,*,#202617,.F.); #274637=ORIENTED_EDGE('',*,*,#202618,.F.); #274638=ORIENTED_EDGE('',*,*,#202619,.T.); #274639=ORIENTED_EDGE('',*,*,#202618,.T.); #274640=ORIENTED_EDGE('',*,*,#202620,.F.); #274641=ORIENTED_EDGE('',*,*,#202621,.F.); #274642=ORIENTED_EDGE('',*,*,#202622,.T.); #274643=ORIENTED_EDGE('',*,*,#202621,.T.); #274644=ORIENTED_EDGE('',*,*,#202623,.F.); #274645=ORIENTED_EDGE('',*,*,#202624,.F.); #274646=ORIENTED_EDGE('',*,*,#202625,.T.); #274647=ORIENTED_EDGE('',*,*,#202624,.T.); #274648=ORIENTED_EDGE('',*,*,#202626,.F.); #274649=ORIENTED_EDGE('',*,*,#202627,.F.); #274650=ORIENTED_EDGE('',*,*,#202628,.T.); #274651=ORIENTED_EDGE('',*,*,#202627,.T.); #274652=ORIENTED_EDGE('',*,*,#202629,.F.); #274653=ORIENTED_EDGE('',*,*,#202630,.F.); #274654=ORIENTED_EDGE('',*,*,#202631,.T.); #274655=ORIENTED_EDGE('',*,*,#202630,.T.); #274656=ORIENTED_EDGE('',*,*,#202632,.F.); #274657=ORIENTED_EDGE('',*,*,#202633,.F.); #274658=ORIENTED_EDGE('',*,*,#202634,.T.); #274659=ORIENTED_EDGE('',*,*,#202633,.T.); #274660=ORIENTED_EDGE('',*,*,#202635,.F.); #274661=ORIENTED_EDGE('',*,*,#202636,.F.); #274662=ORIENTED_EDGE('',*,*,#202637,.T.); #274663=ORIENTED_EDGE('',*,*,#202636,.T.); #274664=ORIENTED_EDGE('',*,*,#202638,.F.); #274665=ORIENTED_EDGE('',*,*,#202639,.F.); #274666=ORIENTED_EDGE('',*,*,#202640,.T.); #274667=ORIENTED_EDGE('',*,*,#202639,.T.); #274668=ORIENTED_EDGE('',*,*,#202641,.F.); #274669=ORIENTED_EDGE('',*,*,#202642,.F.); #274670=ORIENTED_EDGE('',*,*,#202643,.T.); #274671=ORIENTED_EDGE('',*,*,#202642,.T.); #274672=ORIENTED_EDGE('',*,*,#202644,.F.); #274673=ORIENTED_EDGE('',*,*,#202645,.F.); #274674=ORIENTED_EDGE('',*,*,#202646,.T.); #274675=ORIENTED_EDGE('',*,*,#202645,.T.); #274676=ORIENTED_EDGE('',*,*,#202647,.F.); #274677=ORIENTED_EDGE('',*,*,#202648,.F.); #274678=ORIENTED_EDGE('',*,*,#202649,.T.); #274679=ORIENTED_EDGE('',*,*,#202648,.T.); #274680=ORIENTED_EDGE('',*,*,#202650,.F.); #274681=ORIENTED_EDGE('',*,*,#202651,.F.); #274682=ORIENTED_EDGE('',*,*,#202652,.T.); #274683=ORIENTED_EDGE('',*,*,#202651,.T.); #274684=ORIENTED_EDGE('',*,*,#202653,.F.); #274685=ORIENTED_EDGE('',*,*,#202654,.F.); #274686=ORIENTED_EDGE('',*,*,#202655,.T.); #274687=ORIENTED_EDGE('',*,*,#202654,.T.); #274688=ORIENTED_EDGE('',*,*,#202656,.F.); #274689=ORIENTED_EDGE('',*,*,#202657,.F.); #274690=ORIENTED_EDGE('',*,*,#202658,.T.); #274691=ORIENTED_EDGE('',*,*,#202657,.T.); #274692=ORIENTED_EDGE('',*,*,#202659,.F.); #274693=ORIENTED_EDGE('',*,*,#202660,.F.); #274694=ORIENTED_EDGE('',*,*,#202661,.T.); #274695=ORIENTED_EDGE('',*,*,#202660,.T.); #274696=ORIENTED_EDGE('',*,*,#202662,.F.); #274697=ORIENTED_EDGE('',*,*,#202663,.F.); #274698=ORIENTED_EDGE('',*,*,#202664,.T.); #274699=ORIENTED_EDGE('',*,*,#202663,.T.); #274700=ORIENTED_EDGE('',*,*,#202665,.F.); #274701=ORIENTED_EDGE('',*,*,#202666,.F.); #274702=ORIENTED_EDGE('',*,*,#202667,.T.); #274703=ORIENTED_EDGE('',*,*,#202666,.T.); #274704=ORIENTED_EDGE('',*,*,#202668,.F.); #274705=ORIENTED_EDGE('',*,*,#202669,.F.); #274706=ORIENTED_EDGE('',*,*,#202670,.T.); #274707=ORIENTED_EDGE('',*,*,#202669,.T.); #274708=ORIENTED_EDGE('',*,*,#202671,.F.); #274709=ORIENTED_EDGE('',*,*,#202672,.F.); #274710=ORIENTED_EDGE('',*,*,#202673,.T.); #274711=ORIENTED_EDGE('',*,*,#202672,.T.); #274712=ORIENTED_EDGE('',*,*,#202674,.F.); #274713=ORIENTED_EDGE('',*,*,#202675,.F.); #274714=ORIENTED_EDGE('',*,*,#202676,.T.); #274715=ORIENTED_EDGE('',*,*,#202675,.T.); #274716=ORIENTED_EDGE('',*,*,#202677,.F.); #274717=ORIENTED_EDGE('',*,*,#202678,.F.); #274718=ORIENTED_EDGE('',*,*,#202679,.T.); #274719=ORIENTED_EDGE('',*,*,#202678,.T.); #274720=ORIENTED_EDGE('',*,*,#202680,.F.); #274721=ORIENTED_EDGE('',*,*,#202681,.F.); #274722=ORIENTED_EDGE('',*,*,#202682,.T.); #274723=ORIENTED_EDGE('',*,*,#202681,.T.); #274724=ORIENTED_EDGE('',*,*,#202683,.F.); #274725=ORIENTED_EDGE('',*,*,#202684,.F.); #274726=ORIENTED_EDGE('',*,*,#202685,.T.); #274727=ORIENTED_EDGE('',*,*,#202684,.T.); #274728=ORIENTED_EDGE('',*,*,#202686,.F.); #274729=ORIENTED_EDGE('',*,*,#202687,.F.); #274730=ORIENTED_EDGE('',*,*,#202688,.T.); #274731=ORIENTED_EDGE('',*,*,#202687,.T.); #274732=ORIENTED_EDGE('',*,*,#202689,.F.); #274733=ORIENTED_EDGE('',*,*,#202690,.F.); #274734=ORIENTED_EDGE('',*,*,#202691,.T.); #274735=ORIENTED_EDGE('',*,*,#202690,.T.); #274736=ORIENTED_EDGE('',*,*,#202692,.F.); #274737=ORIENTED_EDGE('',*,*,#202693,.F.); #274738=ORIENTED_EDGE('',*,*,#202694,.T.); #274739=ORIENTED_EDGE('',*,*,#202693,.T.); #274740=ORIENTED_EDGE('',*,*,#202695,.F.); #274741=ORIENTED_EDGE('',*,*,#202696,.F.); #274742=ORIENTED_EDGE('',*,*,#202697,.T.); #274743=ORIENTED_EDGE('',*,*,#202696,.T.); #274744=ORIENTED_EDGE('',*,*,#202698,.F.); #274745=ORIENTED_EDGE('',*,*,#202699,.F.); #274746=ORIENTED_EDGE('',*,*,#202700,.T.); #274747=ORIENTED_EDGE('',*,*,#202699,.T.); #274748=ORIENTED_EDGE('',*,*,#202701,.F.); #274749=ORIENTED_EDGE('',*,*,#202702,.F.); #274750=ORIENTED_EDGE('',*,*,#202703,.T.); #274751=ORIENTED_EDGE('',*,*,#202702,.T.); #274752=ORIENTED_EDGE('',*,*,#202704,.F.); #274753=ORIENTED_EDGE('',*,*,#202705,.F.); #274754=ORIENTED_EDGE('',*,*,#202706,.T.); #274755=ORIENTED_EDGE('',*,*,#202705,.T.); #274756=ORIENTED_EDGE('',*,*,#202707,.F.); #274757=ORIENTED_EDGE('',*,*,#202708,.F.); #274758=ORIENTED_EDGE('',*,*,#202709,.T.); #274759=ORIENTED_EDGE('',*,*,#202708,.T.); #274760=ORIENTED_EDGE('',*,*,#202710,.F.); #274761=ORIENTED_EDGE('',*,*,#202711,.F.); #274762=ORIENTED_EDGE('',*,*,#202712,.T.); #274763=ORIENTED_EDGE('',*,*,#202711,.T.); #274764=ORIENTED_EDGE('',*,*,#202713,.F.); #274765=ORIENTED_EDGE('',*,*,#202714,.F.); #274766=ORIENTED_EDGE('',*,*,#202715,.T.); #274767=ORIENTED_EDGE('',*,*,#202714,.T.); #274768=ORIENTED_EDGE('',*,*,#202716,.F.); #274769=ORIENTED_EDGE('',*,*,#202717,.F.); #274770=ORIENTED_EDGE('',*,*,#202718,.T.); #274771=ORIENTED_EDGE('',*,*,#202717,.T.); #274772=ORIENTED_EDGE('',*,*,#202719,.F.); #274773=ORIENTED_EDGE('',*,*,#202720,.F.); #274774=ORIENTED_EDGE('',*,*,#202721,.T.); #274775=ORIENTED_EDGE('',*,*,#202720,.T.); #274776=ORIENTED_EDGE('',*,*,#202722,.F.); #274777=ORIENTED_EDGE('',*,*,#202723,.F.); #274778=ORIENTED_EDGE('',*,*,#202724,.T.); #274779=ORIENTED_EDGE('',*,*,#202723,.T.); #274780=ORIENTED_EDGE('',*,*,#202725,.F.); #274781=ORIENTED_EDGE('',*,*,#202726,.F.); #274782=ORIENTED_EDGE('',*,*,#202727,.T.); #274783=ORIENTED_EDGE('',*,*,#202726,.T.); #274784=ORIENTED_EDGE('',*,*,#202728,.F.); #274785=ORIENTED_EDGE('',*,*,#202729,.F.); #274786=ORIENTED_EDGE('',*,*,#202730,.T.); #274787=ORIENTED_EDGE('',*,*,#202729,.T.); #274788=ORIENTED_EDGE('',*,*,#202731,.F.); #274789=ORIENTED_EDGE('',*,*,#202732,.F.); #274790=ORIENTED_EDGE('',*,*,#202733,.T.); #274791=ORIENTED_EDGE('',*,*,#202732,.T.); #274792=ORIENTED_EDGE('',*,*,#202734,.F.); #274793=ORIENTED_EDGE('',*,*,#202735,.F.); #274794=ORIENTED_EDGE('',*,*,#202736,.T.); #274795=ORIENTED_EDGE('',*,*,#202735,.T.); #274796=ORIENTED_EDGE('',*,*,#202737,.F.); #274797=ORIENTED_EDGE('',*,*,#202738,.F.); #274798=ORIENTED_EDGE('',*,*,#202739,.T.); #274799=ORIENTED_EDGE('',*,*,#202738,.T.); #274800=ORIENTED_EDGE('',*,*,#202740,.F.); #274801=ORIENTED_EDGE('',*,*,#202741,.F.); #274802=ORIENTED_EDGE('',*,*,#202742,.T.); #274803=ORIENTED_EDGE('',*,*,#202741,.T.); #274804=ORIENTED_EDGE('',*,*,#202743,.F.); #274805=ORIENTED_EDGE('',*,*,#202744,.F.); #274806=ORIENTED_EDGE('',*,*,#202745,.T.); #274807=ORIENTED_EDGE('',*,*,#202744,.T.); #274808=ORIENTED_EDGE('',*,*,#202746,.F.); #274809=ORIENTED_EDGE('',*,*,#202747,.F.); #274810=ORIENTED_EDGE('',*,*,#202748,.T.); #274811=ORIENTED_EDGE('',*,*,#202747,.T.); #274812=ORIENTED_EDGE('',*,*,#202749,.F.); #274813=ORIENTED_EDGE('',*,*,#202750,.F.); #274814=ORIENTED_EDGE('',*,*,#202751,.T.); #274815=ORIENTED_EDGE('',*,*,#202750,.T.); #274816=ORIENTED_EDGE('',*,*,#202752,.F.); #274817=ORIENTED_EDGE('',*,*,#202753,.F.); #274818=ORIENTED_EDGE('',*,*,#202754,.T.); #274819=ORIENTED_EDGE('',*,*,#202753,.T.); #274820=ORIENTED_EDGE('',*,*,#202755,.F.); #274821=ORIENTED_EDGE('',*,*,#202756,.F.); #274822=ORIENTED_EDGE('',*,*,#202757,.T.); #274823=ORIENTED_EDGE('',*,*,#202756,.T.); #274824=ORIENTED_EDGE('',*,*,#202758,.F.); #274825=ORIENTED_EDGE('',*,*,#202759,.F.); #274826=ORIENTED_EDGE('',*,*,#202760,.T.); #274827=ORIENTED_EDGE('',*,*,#202759,.T.); #274828=ORIENTED_EDGE('',*,*,#202761,.F.); #274829=ORIENTED_EDGE('',*,*,#202762,.F.); #274830=ORIENTED_EDGE('',*,*,#202763,.T.); #274831=ORIENTED_EDGE('',*,*,#202762,.T.); #274832=ORIENTED_EDGE('',*,*,#202764,.F.); #274833=ORIENTED_EDGE('',*,*,#202765,.F.); #274834=ORIENTED_EDGE('',*,*,#202766,.T.); #274835=ORIENTED_EDGE('',*,*,#202765,.T.); #274836=ORIENTED_EDGE('',*,*,#202767,.F.); #274837=ORIENTED_EDGE('',*,*,#202768,.F.); #274838=ORIENTED_EDGE('',*,*,#202769,.T.); #274839=ORIENTED_EDGE('',*,*,#202768,.T.); #274840=ORIENTED_EDGE('',*,*,#202770,.F.); #274841=ORIENTED_EDGE('',*,*,#202771,.F.); #274842=ORIENTED_EDGE('',*,*,#202772,.T.); #274843=ORIENTED_EDGE('',*,*,#202771,.T.); #274844=ORIENTED_EDGE('',*,*,#202773,.F.); #274845=ORIENTED_EDGE('',*,*,#202774,.F.); #274846=ORIENTED_EDGE('',*,*,#202775,.T.); #274847=ORIENTED_EDGE('',*,*,#202774,.T.); #274848=ORIENTED_EDGE('',*,*,#202776,.F.); #274849=ORIENTED_EDGE('',*,*,#202777,.F.); #274850=ORIENTED_EDGE('',*,*,#202778,.T.); #274851=ORIENTED_EDGE('',*,*,#202777,.T.); #274852=ORIENTED_EDGE('',*,*,#202779,.F.); #274853=ORIENTED_EDGE('',*,*,#202780,.F.); #274854=ORIENTED_EDGE('',*,*,#202781,.T.); #274855=ORIENTED_EDGE('',*,*,#202780,.T.); #274856=ORIENTED_EDGE('',*,*,#202782,.F.); #274857=ORIENTED_EDGE('',*,*,#202783,.F.); #274858=ORIENTED_EDGE('',*,*,#202784,.T.); #274859=ORIENTED_EDGE('',*,*,#202783,.T.); #274860=ORIENTED_EDGE('',*,*,#202785,.F.); #274861=ORIENTED_EDGE('',*,*,#202786,.F.); #274862=ORIENTED_EDGE('',*,*,#202787,.T.); #274863=ORIENTED_EDGE('',*,*,#202786,.T.); #274864=ORIENTED_EDGE('',*,*,#202788,.F.); #274865=ORIENTED_EDGE('',*,*,#202789,.F.); #274866=ORIENTED_EDGE('',*,*,#202790,.T.); #274867=ORIENTED_EDGE('',*,*,#202789,.T.); #274868=ORIENTED_EDGE('',*,*,#202791,.F.); #274869=ORIENTED_EDGE('',*,*,#202792,.F.); #274870=ORIENTED_EDGE('',*,*,#202793,.T.); #274871=ORIENTED_EDGE('',*,*,#202792,.T.); #274872=ORIENTED_EDGE('',*,*,#202794,.F.); #274873=ORIENTED_EDGE('',*,*,#202795,.F.); #274874=ORIENTED_EDGE('',*,*,#202796,.T.); #274875=ORIENTED_EDGE('',*,*,#202795,.T.); #274876=ORIENTED_EDGE('',*,*,#202797,.F.); #274877=ORIENTED_EDGE('',*,*,#202798,.F.); #274878=ORIENTED_EDGE('',*,*,#202799,.T.); #274879=ORIENTED_EDGE('',*,*,#202798,.T.); #274880=ORIENTED_EDGE('',*,*,#202800,.F.); #274881=ORIENTED_EDGE('',*,*,#202801,.F.); #274882=ORIENTED_EDGE('',*,*,#202802,.T.); #274883=ORIENTED_EDGE('',*,*,#202801,.T.); #274884=ORIENTED_EDGE('',*,*,#202803,.F.); #274885=ORIENTED_EDGE('',*,*,#202804,.F.); #274886=ORIENTED_EDGE('',*,*,#202805,.T.); #274887=ORIENTED_EDGE('',*,*,#202804,.T.); #274888=ORIENTED_EDGE('',*,*,#202806,.F.); #274889=ORIENTED_EDGE('',*,*,#202807,.F.); #274890=ORIENTED_EDGE('',*,*,#202808,.T.); #274891=ORIENTED_EDGE('',*,*,#202807,.T.); #274892=ORIENTED_EDGE('',*,*,#202809,.F.); #274893=ORIENTED_EDGE('',*,*,#202810,.F.); #274894=ORIENTED_EDGE('',*,*,#202811,.T.); #274895=ORIENTED_EDGE('',*,*,#202810,.T.); #274896=ORIENTED_EDGE('',*,*,#202812,.F.); #274897=ORIENTED_EDGE('',*,*,#202813,.F.); #274898=ORIENTED_EDGE('',*,*,#202814,.T.); #274899=ORIENTED_EDGE('',*,*,#202813,.T.); #274900=ORIENTED_EDGE('',*,*,#202815,.F.); #274901=ORIENTED_EDGE('',*,*,#202816,.F.); #274902=ORIENTED_EDGE('',*,*,#202817,.T.); #274903=ORIENTED_EDGE('',*,*,#202816,.T.); #274904=ORIENTED_EDGE('',*,*,#202818,.F.); #274905=ORIENTED_EDGE('',*,*,#202819,.F.); #274906=ORIENTED_EDGE('',*,*,#202820,.T.); #274907=ORIENTED_EDGE('',*,*,#202819,.T.); #274908=ORIENTED_EDGE('',*,*,#202821,.F.); #274909=ORIENTED_EDGE('',*,*,#202822,.F.); #274910=ORIENTED_EDGE('',*,*,#202823,.T.); #274911=ORIENTED_EDGE('',*,*,#202822,.T.); #274912=ORIENTED_EDGE('',*,*,#202824,.F.); #274913=ORIENTED_EDGE('',*,*,#202825,.F.); #274914=ORIENTED_EDGE('',*,*,#202826,.T.); #274915=ORIENTED_EDGE('',*,*,#202825,.T.); #274916=ORIENTED_EDGE('',*,*,#202827,.F.); #274917=ORIENTED_EDGE('',*,*,#202828,.F.); #274918=ORIENTED_EDGE('',*,*,#202829,.T.); #274919=ORIENTED_EDGE('',*,*,#202828,.T.); #274920=ORIENTED_EDGE('',*,*,#202830,.F.); #274921=ORIENTED_EDGE('',*,*,#202831,.F.); #274922=ORIENTED_EDGE('',*,*,#202832,.T.); #274923=ORIENTED_EDGE('',*,*,#202831,.T.); #274924=ORIENTED_EDGE('',*,*,#202833,.F.); #274925=ORIENTED_EDGE('',*,*,#202834,.F.); #274926=ORIENTED_EDGE('',*,*,#202835,.T.); #274927=ORIENTED_EDGE('',*,*,#202834,.T.); #274928=ORIENTED_EDGE('',*,*,#202836,.F.); #274929=ORIENTED_EDGE('',*,*,#202837,.F.); #274930=ORIENTED_EDGE('',*,*,#202838,.T.); #274931=ORIENTED_EDGE('',*,*,#202837,.T.); #274932=ORIENTED_EDGE('',*,*,#202839,.F.); #274933=ORIENTED_EDGE('',*,*,#202840,.F.); #274934=ORIENTED_EDGE('',*,*,#202841,.T.); #274935=ORIENTED_EDGE('',*,*,#202840,.T.); #274936=ORIENTED_EDGE('',*,*,#202842,.F.); #274937=ORIENTED_EDGE('',*,*,#202843,.F.); #274938=ORIENTED_EDGE('',*,*,#202844,.T.); #274939=ORIENTED_EDGE('',*,*,#202843,.T.); #274940=ORIENTED_EDGE('',*,*,#202845,.F.); #274941=ORIENTED_EDGE('',*,*,#202846,.F.); #274942=ORIENTED_EDGE('',*,*,#202847,.T.); #274943=ORIENTED_EDGE('',*,*,#202846,.T.); #274944=ORIENTED_EDGE('',*,*,#202848,.F.); #274945=ORIENTED_EDGE('',*,*,#202849,.F.); #274946=ORIENTED_EDGE('',*,*,#202850,.T.); #274947=ORIENTED_EDGE('',*,*,#202849,.T.); #274948=ORIENTED_EDGE('',*,*,#202851,.F.); #274949=ORIENTED_EDGE('',*,*,#202852,.F.); #274950=ORIENTED_EDGE('',*,*,#202853,.T.); #274951=ORIENTED_EDGE('',*,*,#202852,.T.); #274952=ORIENTED_EDGE('',*,*,#202854,.F.); #274953=ORIENTED_EDGE('',*,*,#202855,.F.); #274954=ORIENTED_EDGE('',*,*,#202856,.T.); #274955=ORIENTED_EDGE('',*,*,#202855,.T.); #274956=ORIENTED_EDGE('',*,*,#202857,.F.); #274957=ORIENTED_EDGE('',*,*,#202858,.F.); #274958=ORIENTED_EDGE('',*,*,#202859,.T.); #274959=ORIENTED_EDGE('',*,*,#202858,.T.); #274960=ORIENTED_EDGE('',*,*,#202860,.F.); #274961=ORIENTED_EDGE('',*,*,#202861,.F.); #274962=ORIENTED_EDGE('',*,*,#202862,.T.); #274963=ORIENTED_EDGE('',*,*,#202861,.T.); #274964=ORIENTED_EDGE('',*,*,#202863,.F.); #274965=ORIENTED_EDGE('',*,*,#202864,.F.); #274966=ORIENTED_EDGE('',*,*,#202865,.T.); #274967=ORIENTED_EDGE('',*,*,#202864,.T.); #274968=ORIENTED_EDGE('',*,*,#202866,.F.); #274969=ORIENTED_EDGE('',*,*,#202867,.F.); #274970=ORIENTED_EDGE('',*,*,#202868,.T.); #274971=ORIENTED_EDGE('',*,*,#202867,.T.); #274972=ORIENTED_EDGE('',*,*,#202869,.F.); #274973=ORIENTED_EDGE('',*,*,#202870,.F.); #274974=ORIENTED_EDGE('',*,*,#202871,.T.); #274975=ORIENTED_EDGE('',*,*,#202870,.T.); #274976=ORIENTED_EDGE('',*,*,#202872,.F.); #274977=ORIENTED_EDGE('',*,*,#202873,.F.); #274978=ORIENTED_EDGE('',*,*,#202874,.T.); #274979=ORIENTED_EDGE('',*,*,#202873,.T.); #274980=ORIENTED_EDGE('',*,*,#202875,.F.); #274981=ORIENTED_EDGE('',*,*,#202876,.F.); #274982=ORIENTED_EDGE('',*,*,#202877,.T.); #274983=ORIENTED_EDGE('',*,*,#202876,.T.); #274984=ORIENTED_EDGE('',*,*,#202878,.F.); #274985=ORIENTED_EDGE('',*,*,#202879,.F.); #274986=ORIENTED_EDGE('',*,*,#202880,.T.); #274987=ORIENTED_EDGE('',*,*,#202879,.T.); #274988=ORIENTED_EDGE('',*,*,#202881,.F.); #274989=ORIENTED_EDGE('',*,*,#202882,.F.); #274990=ORIENTED_EDGE('',*,*,#202883,.T.); #274991=ORIENTED_EDGE('',*,*,#202882,.T.); #274992=ORIENTED_EDGE('',*,*,#202884,.F.); #274993=ORIENTED_EDGE('',*,*,#202885,.F.); #274994=ORIENTED_EDGE('',*,*,#202886,.T.); #274995=ORIENTED_EDGE('',*,*,#202885,.T.); #274996=ORIENTED_EDGE('',*,*,#202887,.F.); #274997=ORIENTED_EDGE('',*,*,#202888,.F.); #274998=ORIENTED_EDGE('',*,*,#202889,.T.); #274999=ORIENTED_EDGE('',*,*,#202888,.T.); #275000=ORIENTED_EDGE('',*,*,#202890,.F.); #275001=ORIENTED_EDGE('',*,*,#202891,.F.); #275002=ORIENTED_EDGE('',*,*,#202892,.T.); #275003=ORIENTED_EDGE('',*,*,#202891,.T.); #275004=ORIENTED_EDGE('',*,*,#202893,.F.); #275005=ORIENTED_EDGE('',*,*,#202894,.F.); #275006=ORIENTED_EDGE('',*,*,#202895,.T.); #275007=ORIENTED_EDGE('',*,*,#202894,.T.); #275008=ORIENTED_EDGE('',*,*,#202896,.F.); #275009=ORIENTED_EDGE('',*,*,#202897,.F.); #275010=ORIENTED_EDGE('',*,*,#202898,.T.); #275011=ORIENTED_EDGE('',*,*,#202897,.T.); #275012=ORIENTED_EDGE('',*,*,#202899,.F.); #275013=ORIENTED_EDGE('',*,*,#202900,.F.); #275014=ORIENTED_EDGE('',*,*,#202901,.T.); #275015=ORIENTED_EDGE('',*,*,#202900,.T.); #275016=ORIENTED_EDGE('',*,*,#202902,.F.); #275017=ORIENTED_EDGE('',*,*,#202903,.F.); #275018=ORIENTED_EDGE('',*,*,#202904,.T.); #275019=ORIENTED_EDGE('',*,*,#202903,.T.); #275020=ORIENTED_EDGE('',*,*,#202905,.F.); #275021=ORIENTED_EDGE('',*,*,#202906,.F.); #275022=ORIENTED_EDGE('',*,*,#202907,.T.); #275023=ORIENTED_EDGE('',*,*,#202906,.T.); #275024=ORIENTED_EDGE('',*,*,#202908,.F.); #275025=ORIENTED_EDGE('',*,*,#202909,.F.); #275026=ORIENTED_EDGE('',*,*,#202910,.T.); #275027=ORIENTED_EDGE('',*,*,#202909,.T.); #275028=ORIENTED_EDGE('',*,*,#202911,.F.); #275029=ORIENTED_EDGE('',*,*,#202912,.F.); #275030=ORIENTED_EDGE('',*,*,#202913,.T.); #275031=ORIENTED_EDGE('',*,*,#202912,.T.); #275032=ORIENTED_EDGE('',*,*,#202914,.F.); #275033=ORIENTED_EDGE('',*,*,#202915,.F.); #275034=ORIENTED_EDGE('',*,*,#202916,.T.); #275035=ORIENTED_EDGE('',*,*,#202915,.T.); #275036=ORIENTED_EDGE('',*,*,#202917,.F.); #275037=ORIENTED_EDGE('',*,*,#202918,.F.); #275038=ORIENTED_EDGE('',*,*,#202919,.T.); #275039=ORIENTED_EDGE('',*,*,#202918,.T.); #275040=ORIENTED_EDGE('',*,*,#202920,.F.); #275041=ORIENTED_EDGE('',*,*,#202921,.F.); #275042=ORIENTED_EDGE('',*,*,#202922,.T.); #275043=ORIENTED_EDGE('',*,*,#202921,.T.); #275044=ORIENTED_EDGE('',*,*,#202923,.F.); #275045=ORIENTED_EDGE('',*,*,#202924,.F.); #275046=ORIENTED_EDGE('',*,*,#202925,.T.); #275047=ORIENTED_EDGE('',*,*,#202924,.T.); #275048=ORIENTED_EDGE('',*,*,#202926,.F.); #275049=ORIENTED_EDGE('',*,*,#202927,.F.); #275050=ORIENTED_EDGE('',*,*,#202928,.T.); #275051=ORIENTED_EDGE('',*,*,#202927,.T.); #275052=ORIENTED_EDGE('',*,*,#202929,.F.); #275053=ORIENTED_EDGE('',*,*,#202930,.F.); #275054=ORIENTED_EDGE('',*,*,#202931,.T.); #275055=ORIENTED_EDGE('',*,*,#202930,.T.); #275056=ORIENTED_EDGE('',*,*,#202932,.F.); #275057=ORIENTED_EDGE('',*,*,#202933,.F.); #275058=ORIENTED_EDGE('',*,*,#202934,.T.); #275059=ORIENTED_EDGE('',*,*,#202933,.T.); #275060=ORIENTED_EDGE('',*,*,#202935,.F.); #275061=ORIENTED_EDGE('',*,*,#202936,.F.); #275062=ORIENTED_EDGE('',*,*,#202937,.T.); #275063=ORIENTED_EDGE('',*,*,#202936,.T.); #275064=ORIENTED_EDGE('',*,*,#202938,.F.); #275065=ORIENTED_EDGE('',*,*,#202939,.F.); #275066=ORIENTED_EDGE('',*,*,#202940,.T.); #275067=ORIENTED_EDGE('',*,*,#202939,.T.); #275068=ORIENTED_EDGE('',*,*,#202941,.F.); #275069=ORIENTED_EDGE('',*,*,#202942,.F.); #275070=ORIENTED_EDGE('',*,*,#202943,.T.); #275071=ORIENTED_EDGE('',*,*,#202942,.T.); #275072=ORIENTED_EDGE('',*,*,#202944,.F.); #275073=ORIENTED_EDGE('',*,*,#202945,.F.); #275074=ORIENTED_EDGE('',*,*,#202946,.T.); #275075=ORIENTED_EDGE('',*,*,#202945,.T.); #275076=ORIENTED_EDGE('',*,*,#202947,.F.); #275077=ORIENTED_EDGE('',*,*,#202948,.F.); #275078=ORIENTED_EDGE('',*,*,#202949,.T.); #275079=ORIENTED_EDGE('',*,*,#202948,.T.); #275080=ORIENTED_EDGE('',*,*,#202950,.F.); #275081=ORIENTED_EDGE('',*,*,#202951,.F.); #275082=ORIENTED_EDGE('',*,*,#202952,.T.); #275083=ORIENTED_EDGE('',*,*,#202951,.T.); #275084=ORIENTED_EDGE('',*,*,#202953,.F.); #275085=ORIENTED_EDGE('',*,*,#202954,.F.); #275086=ORIENTED_EDGE('',*,*,#202955,.T.); #275087=ORIENTED_EDGE('',*,*,#202954,.T.); #275088=ORIENTED_EDGE('',*,*,#202956,.F.); #275089=ORIENTED_EDGE('',*,*,#202957,.F.); #275090=ORIENTED_EDGE('',*,*,#202958,.T.); #275091=ORIENTED_EDGE('',*,*,#202957,.T.); #275092=ORIENTED_EDGE('',*,*,#202959,.F.); #275093=ORIENTED_EDGE('',*,*,#202960,.F.); #275094=ORIENTED_EDGE('',*,*,#202961,.T.); #275095=ORIENTED_EDGE('',*,*,#202960,.T.); #275096=ORIENTED_EDGE('',*,*,#202962,.F.); #275097=ORIENTED_EDGE('',*,*,#202963,.F.); #275098=ORIENTED_EDGE('',*,*,#202964,.T.); #275099=ORIENTED_EDGE('',*,*,#202963,.T.); #275100=ORIENTED_EDGE('',*,*,#202965,.F.); #275101=ORIENTED_EDGE('',*,*,#202966,.F.); #275102=ORIENTED_EDGE('',*,*,#202967,.T.); #275103=ORIENTED_EDGE('',*,*,#202966,.T.); #275104=ORIENTED_EDGE('',*,*,#202968,.F.); #275105=ORIENTED_EDGE('',*,*,#202969,.F.); #275106=ORIENTED_EDGE('',*,*,#202970,.T.); #275107=ORIENTED_EDGE('',*,*,#202969,.T.); #275108=ORIENTED_EDGE('',*,*,#202971,.F.); #275109=ORIENTED_EDGE('',*,*,#202972,.F.); #275110=ORIENTED_EDGE('',*,*,#202973,.T.); #275111=ORIENTED_EDGE('',*,*,#202972,.T.); #275112=ORIENTED_EDGE('',*,*,#202974,.F.); #275113=ORIENTED_EDGE('',*,*,#202975,.F.); #275114=ORIENTED_EDGE('',*,*,#202976,.T.); #275115=ORIENTED_EDGE('',*,*,#202975,.T.); #275116=ORIENTED_EDGE('',*,*,#202977,.F.); #275117=ORIENTED_EDGE('',*,*,#202978,.F.); #275118=ORIENTED_EDGE('',*,*,#202979,.T.); #275119=ORIENTED_EDGE('',*,*,#202978,.T.); #275120=ORIENTED_EDGE('',*,*,#202980,.F.); #275121=ORIENTED_EDGE('',*,*,#202981,.F.); #275122=ORIENTED_EDGE('',*,*,#202982,.T.); #275123=ORIENTED_EDGE('',*,*,#202981,.T.); #275124=ORIENTED_EDGE('',*,*,#202983,.F.); #275125=ORIENTED_EDGE('',*,*,#202984,.F.); #275126=ORIENTED_EDGE('',*,*,#202985,.T.); #275127=ORIENTED_EDGE('',*,*,#202984,.T.); #275128=ORIENTED_EDGE('',*,*,#202986,.F.); #275129=ORIENTED_EDGE('',*,*,#202987,.F.); #275130=ORIENTED_EDGE('',*,*,#202988,.T.); #275131=ORIENTED_EDGE('',*,*,#202987,.T.); #275132=ORIENTED_EDGE('',*,*,#202989,.F.); #275133=ORIENTED_EDGE('',*,*,#202990,.F.); #275134=ORIENTED_EDGE('',*,*,#202991,.T.); #275135=ORIENTED_EDGE('',*,*,#202990,.T.); #275136=ORIENTED_EDGE('',*,*,#202992,.F.); #275137=ORIENTED_EDGE('',*,*,#202993,.F.); #275138=ORIENTED_EDGE('',*,*,#202994,.T.); #275139=ORIENTED_EDGE('',*,*,#202993,.T.); #275140=ORIENTED_EDGE('',*,*,#202995,.F.); #275141=ORIENTED_EDGE('',*,*,#202996,.F.); #275142=ORIENTED_EDGE('',*,*,#202997,.T.); #275143=ORIENTED_EDGE('',*,*,#202996,.T.); #275144=ORIENTED_EDGE('',*,*,#202998,.F.); #275145=ORIENTED_EDGE('',*,*,#202999,.F.); #275146=ORIENTED_EDGE('',*,*,#203000,.T.); #275147=ORIENTED_EDGE('',*,*,#202999,.T.); #275148=ORIENTED_EDGE('',*,*,#203001,.F.); #275149=ORIENTED_EDGE('',*,*,#203002,.F.); #275150=ORIENTED_EDGE('',*,*,#203003,.T.); #275151=ORIENTED_EDGE('',*,*,#203002,.T.); #275152=ORIENTED_EDGE('',*,*,#203004,.F.); #275153=ORIENTED_EDGE('',*,*,#203005,.F.); #275154=ORIENTED_EDGE('',*,*,#203006,.T.); #275155=ORIENTED_EDGE('',*,*,#203005,.T.); #275156=ORIENTED_EDGE('',*,*,#203007,.F.); #275157=ORIENTED_EDGE('',*,*,#203008,.F.); #275158=ORIENTED_EDGE('',*,*,#203009,.T.); #275159=ORIENTED_EDGE('',*,*,#203008,.T.); #275160=ORIENTED_EDGE('',*,*,#203010,.F.); #275161=ORIENTED_EDGE('',*,*,#203011,.F.); #275162=ORIENTED_EDGE('',*,*,#203012,.T.); #275163=ORIENTED_EDGE('',*,*,#203011,.T.); #275164=ORIENTED_EDGE('',*,*,#203013,.F.); #275165=ORIENTED_EDGE('',*,*,#203014,.F.); #275166=ORIENTED_EDGE('',*,*,#203015,.T.); #275167=ORIENTED_EDGE('',*,*,#203014,.T.); #275168=ORIENTED_EDGE('',*,*,#203016,.F.); #275169=ORIENTED_EDGE('',*,*,#203017,.F.); #275170=ORIENTED_EDGE('',*,*,#203018,.T.); #275171=ORIENTED_EDGE('',*,*,#203017,.T.); #275172=ORIENTED_EDGE('',*,*,#203019,.F.); #275173=ORIENTED_EDGE('',*,*,#203020,.F.); #275174=ORIENTED_EDGE('',*,*,#203021,.T.); #275175=ORIENTED_EDGE('',*,*,#203020,.T.); #275176=ORIENTED_EDGE('',*,*,#203022,.F.); #275177=ORIENTED_EDGE('',*,*,#203023,.F.); #275178=ORIENTED_EDGE('',*,*,#203024,.T.); #275179=ORIENTED_EDGE('',*,*,#203023,.T.); #275180=ORIENTED_EDGE('',*,*,#203025,.F.); #275181=ORIENTED_EDGE('',*,*,#203026,.F.); #275182=ORIENTED_EDGE('',*,*,#203027,.T.); #275183=ORIENTED_EDGE('',*,*,#203026,.T.); #275184=ORIENTED_EDGE('',*,*,#203028,.F.); #275185=ORIENTED_EDGE('',*,*,#203029,.F.); #275186=ORIENTED_EDGE('',*,*,#203030,.T.); #275187=ORIENTED_EDGE('',*,*,#203029,.T.); #275188=ORIENTED_EDGE('',*,*,#203031,.F.); #275189=ORIENTED_EDGE('',*,*,#203032,.F.); #275190=ORIENTED_EDGE('',*,*,#203033,.T.); #275191=ORIENTED_EDGE('',*,*,#203032,.T.); #275192=ORIENTED_EDGE('',*,*,#203034,.F.); #275193=ORIENTED_EDGE('',*,*,#203035,.F.); #275194=ORIENTED_EDGE('',*,*,#203036,.T.); #275195=ORIENTED_EDGE('',*,*,#203035,.T.); #275196=ORIENTED_EDGE('',*,*,#203037,.F.); #275197=ORIENTED_EDGE('',*,*,#203038,.F.); #275198=ORIENTED_EDGE('',*,*,#203039,.T.); #275199=ORIENTED_EDGE('',*,*,#203038,.T.); #275200=ORIENTED_EDGE('',*,*,#203040,.F.); #275201=ORIENTED_EDGE('',*,*,#203041,.F.); #275202=ORIENTED_EDGE('',*,*,#203042,.T.); #275203=ORIENTED_EDGE('',*,*,#203041,.T.); #275204=ORIENTED_EDGE('',*,*,#203043,.F.); #275205=ORIENTED_EDGE('',*,*,#203044,.F.); #275206=ORIENTED_EDGE('',*,*,#203045,.T.); #275207=ORIENTED_EDGE('',*,*,#203044,.T.); #275208=ORIENTED_EDGE('',*,*,#203046,.F.); #275209=ORIENTED_EDGE('',*,*,#203047,.F.); #275210=ORIENTED_EDGE('',*,*,#203048,.T.); #275211=ORIENTED_EDGE('',*,*,#203047,.T.); #275212=ORIENTED_EDGE('',*,*,#203049,.F.); #275213=ORIENTED_EDGE('',*,*,#203050,.F.); #275214=ORIENTED_EDGE('',*,*,#203051,.T.); #275215=ORIENTED_EDGE('',*,*,#203050,.T.); #275216=ORIENTED_EDGE('',*,*,#203052,.F.); #275217=ORIENTED_EDGE('',*,*,#203053,.F.); #275218=ORIENTED_EDGE('',*,*,#203054,.T.); #275219=ORIENTED_EDGE('',*,*,#203053,.T.); #275220=ORIENTED_EDGE('',*,*,#203055,.F.); #275221=ORIENTED_EDGE('',*,*,#203056,.F.); #275222=ORIENTED_EDGE('',*,*,#203057,.T.); #275223=ORIENTED_EDGE('',*,*,#203056,.T.); #275224=ORIENTED_EDGE('',*,*,#203058,.F.); #275225=ORIENTED_EDGE('',*,*,#203059,.F.); #275226=ORIENTED_EDGE('',*,*,#203060,.T.); #275227=ORIENTED_EDGE('',*,*,#203059,.T.); #275228=ORIENTED_EDGE('',*,*,#203061,.F.); #275229=ORIENTED_EDGE('',*,*,#203062,.F.); #275230=ORIENTED_EDGE('',*,*,#203063,.T.); #275231=ORIENTED_EDGE('',*,*,#203062,.T.); #275232=ORIENTED_EDGE('',*,*,#203064,.F.); #275233=ORIENTED_EDGE('',*,*,#203065,.F.); #275234=ORIENTED_EDGE('',*,*,#203066,.T.); #275235=ORIENTED_EDGE('',*,*,#203065,.T.); #275236=ORIENTED_EDGE('',*,*,#203067,.F.); #275237=ORIENTED_EDGE('',*,*,#203068,.F.); #275238=ORIENTED_EDGE('',*,*,#203069,.T.); #275239=ORIENTED_EDGE('',*,*,#203068,.T.); #275240=ORIENTED_EDGE('',*,*,#203070,.F.); #275241=ORIENTED_EDGE('',*,*,#203071,.F.); #275242=ORIENTED_EDGE('',*,*,#203072,.T.); #275243=ORIENTED_EDGE('',*,*,#203071,.T.); #275244=ORIENTED_EDGE('',*,*,#203073,.F.); #275245=ORIENTED_EDGE('',*,*,#203074,.F.); #275246=ORIENTED_EDGE('',*,*,#203075,.T.); #275247=ORIENTED_EDGE('',*,*,#203074,.T.); #275248=ORIENTED_EDGE('',*,*,#203076,.F.); #275249=ORIENTED_EDGE('',*,*,#203077,.F.); #275250=ORIENTED_EDGE('',*,*,#203078,.T.); #275251=ORIENTED_EDGE('',*,*,#203077,.T.); #275252=ORIENTED_EDGE('',*,*,#203079,.F.); #275253=ORIENTED_EDGE('',*,*,#203080,.F.); #275254=ORIENTED_EDGE('',*,*,#203081,.T.); #275255=ORIENTED_EDGE('',*,*,#203080,.T.); #275256=ORIENTED_EDGE('',*,*,#203082,.F.); #275257=ORIENTED_EDGE('',*,*,#203083,.F.); #275258=ORIENTED_EDGE('',*,*,#203084,.T.); #275259=ORIENTED_EDGE('',*,*,#203083,.T.); #275260=ORIENTED_EDGE('',*,*,#203085,.F.); #275261=ORIENTED_EDGE('',*,*,#203086,.F.); #275262=ORIENTED_EDGE('',*,*,#203087,.T.); #275263=ORIENTED_EDGE('',*,*,#203086,.T.); #275264=ORIENTED_EDGE('',*,*,#203088,.F.); #275265=ORIENTED_EDGE('',*,*,#203089,.F.); #275266=ORIENTED_EDGE('',*,*,#203090,.T.); #275267=ORIENTED_EDGE('',*,*,#203089,.T.); #275268=ORIENTED_EDGE('',*,*,#203091,.F.); #275269=ORIENTED_EDGE('',*,*,#203092,.F.); #275270=ORIENTED_EDGE('',*,*,#203093,.T.); #275271=ORIENTED_EDGE('',*,*,#203092,.T.); #275272=ORIENTED_EDGE('',*,*,#203094,.F.); #275273=ORIENTED_EDGE('',*,*,#203095,.F.); #275274=ORIENTED_EDGE('',*,*,#203096,.T.); #275275=ORIENTED_EDGE('',*,*,#203095,.T.); #275276=ORIENTED_EDGE('',*,*,#203097,.F.); #275277=ORIENTED_EDGE('',*,*,#203098,.F.); #275278=ORIENTED_EDGE('',*,*,#203099,.T.); #275279=ORIENTED_EDGE('',*,*,#203098,.T.); #275280=ORIENTED_EDGE('',*,*,#203100,.F.); #275281=ORIENTED_EDGE('',*,*,#203101,.F.); #275282=ORIENTED_EDGE('',*,*,#203102,.T.); #275283=ORIENTED_EDGE('',*,*,#203101,.T.); #275284=ORIENTED_EDGE('',*,*,#203103,.F.); #275285=ORIENTED_EDGE('',*,*,#203104,.F.); #275286=ORIENTED_EDGE('',*,*,#203105,.T.); #275287=ORIENTED_EDGE('',*,*,#203104,.T.); #275288=ORIENTED_EDGE('',*,*,#203106,.F.); #275289=ORIENTED_EDGE('',*,*,#203107,.F.); #275290=ORIENTED_EDGE('',*,*,#203108,.T.); #275291=ORIENTED_EDGE('',*,*,#203107,.T.); #275292=ORIENTED_EDGE('',*,*,#203109,.F.); #275293=ORIENTED_EDGE('',*,*,#203110,.F.); #275294=ORIENTED_EDGE('',*,*,#203111,.T.); #275295=ORIENTED_EDGE('',*,*,#203110,.T.); #275296=ORIENTED_EDGE('',*,*,#203112,.F.); #275297=ORIENTED_EDGE('',*,*,#203113,.F.); #275298=ORIENTED_EDGE('',*,*,#203114,.T.); #275299=ORIENTED_EDGE('',*,*,#203113,.T.); #275300=ORIENTED_EDGE('',*,*,#203115,.F.); #275301=ORIENTED_EDGE('',*,*,#203116,.F.); #275302=ORIENTED_EDGE('',*,*,#203117,.T.); #275303=ORIENTED_EDGE('',*,*,#203116,.T.); #275304=ORIENTED_EDGE('',*,*,#203118,.F.); #275305=ORIENTED_EDGE('',*,*,#203119,.F.); #275306=ORIENTED_EDGE('',*,*,#203120,.T.); #275307=ORIENTED_EDGE('',*,*,#203119,.T.); #275308=ORIENTED_EDGE('',*,*,#203121,.F.); #275309=ORIENTED_EDGE('',*,*,#203122,.F.); #275310=ORIENTED_EDGE('',*,*,#203123,.T.); #275311=ORIENTED_EDGE('',*,*,#203122,.T.); #275312=ORIENTED_EDGE('',*,*,#203124,.F.); #275313=ORIENTED_EDGE('',*,*,#203125,.F.); #275314=ORIENTED_EDGE('',*,*,#203126,.T.); #275315=ORIENTED_EDGE('',*,*,#203125,.T.); #275316=ORIENTED_EDGE('',*,*,#203127,.F.); #275317=ORIENTED_EDGE('',*,*,#203128,.F.); #275318=ORIENTED_EDGE('',*,*,#203129,.T.); #275319=ORIENTED_EDGE('',*,*,#203128,.T.); #275320=ORIENTED_EDGE('',*,*,#203130,.F.); #275321=ORIENTED_EDGE('',*,*,#203131,.F.); #275322=ORIENTED_EDGE('',*,*,#203132,.T.); #275323=ORIENTED_EDGE('',*,*,#203131,.T.); #275324=ORIENTED_EDGE('',*,*,#203133,.F.); #275325=ORIENTED_EDGE('',*,*,#203134,.F.); #275326=ORIENTED_EDGE('',*,*,#203135,.T.); #275327=ORIENTED_EDGE('',*,*,#203134,.T.); #275328=ORIENTED_EDGE('',*,*,#203136,.F.); #275329=ORIENTED_EDGE('',*,*,#203137,.F.); #275330=ORIENTED_EDGE('',*,*,#203138,.T.); #275331=ORIENTED_EDGE('',*,*,#203137,.T.); #275332=ORIENTED_EDGE('',*,*,#203139,.F.); #275333=ORIENTED_EDGE('',*,*,#203140,.F.); #275334=ORIENTED_EDGE('',*,*,#203141,.T.); #275335=ORIENTED_EDGE('',*,*,#203140,.T.); #275336=ORIENTED_EDGE('',*,*,#203142,.F.); #275337=ORIENTED_EDGE('',*,*,#203143,.F.); #275338=ORIENTED_EDGE('',*,*,#203144,.T.); #275339=ORIENTED_EDGE('',*,*,#203143,.T.); #275340=ORIENTED_EDGE('',*,*,#203145,.F.); #275341=ORIENTED_EDGE('',*,*,#203146,.F.); #275342=ORIENTED_EDGE('',*,*,#203147,.T.); #275343=ORIENTED_EDGE('',*,*,#203146,.T.); #275344=ORIENTED_EDGE('',*,*,#203148,.F.); #275345=ORIENTED_EDGE('',*,*,#203149,.F.); #275346=ORIENTED_EDGE('',*,*,#203150,.T.); #275347=ORIENTED_EDGE('',*,*,#203149,.T.); #275348=ORIENTED_EDGE('',*,*,#203151,.F.); #275349=ORIENTED_EDGE('',*,*,#203152,.F.); #275350=ORIENTED_EDGE('',*,*,#203153,.T.); #275351=ORIENTED_EDGE('',*,*,#203152,.T.); #275352=ORIENTED_EDGE('',*,*,#203154,.F.); #275353=ORIENTED_EDGE('',*,*,#203155,.F.); #275354=ORIENTED_EDGE('',*,*,#203156,.T.); #275355=ORIENTED_EDGE('',*,*,#203155,.T.); #275356=ORIENTED_EDGE('',*,*,#203157,.F.); #275357=ORIENTED_EDGE('',*,*,#203158,.F.); #275358=ORIENTED_EDGE('',*,*,#203159,.T.); #275359=ORIENTED_EDGE('',*,*,#203158,.T.); #275360=ORIENTED_EDGE('',*,*,#203160,.F.); #275361=ORIENTED_EDGE('',*,*,#203161,.F.); #275362=ORIENTED_EDGE('',*,*,#203162,.T.); #275363=ORIENTED_EDGE('',*,*,#203161,.T.); #275364=ORIENTED_EDGE('',*,*,#203163,.F.); #275365=ORIENTED_EDGE('',*,*,#203164,.F.); #275366=ORIENTED_EDGE('',*,*,#203165,.T.); #275367=ORIENTED_EDGE('',*,*,#203164,.T.); #275368=ORIENTED_EDGE('',*,*,#203166,.F.); #275369=ORIENTED_EDGE('',*,*,#203167,.F.); #275370=ORIENTED_EDGE('',*,*,#203168,.T.); #275371=ORIENTED_EDGE('',*,*,#203167,.T.); #275372=ORIENTED_EDGE('',*,*,#203169,.F.); #275373=ORIENTED_EDGE('',*,*,#203170,.F.); #275374=ORIENTED_EDGE('',*,*,#203171,.T.); #275375=ORIENTED_EDGE('',*,*,#203170,.T.); #275376=ORIENTED_EDGE('',*,*,#203172,.F.); #275377=ORIENTED_EDGE('',*,*,#203173,.F.); #275378=ORIENTED_EDGE('',*,*,#203174,.T.); #275379=ORIENTED_EDGE('',*,*,#203173,.T.); #275380=ORIENTED_EDGE('',*,*,#203175,.F.); #275381=ORIENTED_EDGE('',*,*,#203176,.F.); #275382=ORIENTED_EDGE('',*,*,#203177,.T.); #275383=ORIENTED_EDGE('',*,*,#203176,.T.); #275384=ORIENTED_EDGE('',*,*,#203178,.F.); #275385=ORIENTED_EDGE('',*,*,#203179,.F.); #275386=ORIENTED_EDGE('',*,*,#203180,.T.); #275387=ORIENTED_EDGE('',*,*,#203179,.T.); #275388=ORIENTED_EDGE('',*,*,#203181,.F.); #275389=ORIENTED_EDGE('',*,*,#203182,.F.); #275390=ORIENTED_EDGE('',*,*,#203183,.T.); #275391=ORIENTED_EDGE('',*,*,#203182,.T.); #275392=ORIENTED_EDGE('',*,*,#203184,.F.); #275393=ORIENTED_EDGE('',*,*,#203185,.F.); #275394=ORIENTED_EDGE('',*,*,#203186,.T.); #275395=ORIENTED_EDGE('',*,*,#203185,.T.); #275396=ORIENTED_EDGE('',*,*,#203187,.F.); #275397=ORIENTED_EDGE('',*,*,#203188,.F.); #275398=ORIENTED_EDGE('',*,*,#203189,.T.); #275399=ORIENTED_EDGE('',*,*,#203188,.T.); #275400=ORIENTED_EDGE('',*,*,#203190,.F.); #275401=ORIENTED_EDGE('',*,*,#203191,.F.); #275402=ORIENTED_EDGE('',*,*,#203192,.T.); #275403=ORIENTED_EDGE('',*,*,#203191,.T.); #275404=ORIENTED_EDGE('',*,*,#203193,.F.); #275405=ORIENTED_EDGE('',*,*,#203194,.F.); #275406=ORIENTED_EDGE('',*,*,#203195,.T.); #275407=ORIENTED_EDGE('',*,*,#203194,.T.); #275408=ORIENTED_EDGE('',*,*,#203196,.F.); #275409=ORIENTED_EDGE('',*,*,#203197,.F.); #275410=ORIENTED_EDGE('',*,*,#203198,.T.); #275411=ORIENTED_EDGE('',*,*,#203197,.T.); #275412=ORIENTED_EDGE('',*,*,#203199,.F.); #275413=ORIENTED_EDGE('',*,*,#203200,.F.); #275414=ORIENTED_EDGE('',*,*,#203201,.T.); #275415=ORIENTED_EDGE('',*,*,#203200,.T.); #275416=ORIENTED_EDGE('',*,*,#203202,.F.); #275417=ORIENTED_EDGE('',*,*,#203203,.F.); #275418=ORIENTED_EDGE('',*,*,#203204,.T.); #275419=ORIENTED_EDGE('',*,*,#203203,.T.); #275420=ORIENTED_EDGE('',*,*,#203205,.F.); #275421=ORIENTED_EDGE('',*,*,#203206,.F.); #275422=ORIENTED_EDGE('',*,*,#203207,.T.); #275423=ORIENTED_EDGE('',*,*,#203206,.T.); #275424=ORIENTED_EDGE('',*,*,#203208,.F.); #275425=ORIENTED_EDGE('',*,*,#203209,.F.); #275426=ORIENTED_EDGE('',*,*,#203210,.T.); #275427=ORIENTED_EDGE('',*,*,#203209,.T.); #275428=ORIENTED_EDGE('',*,*,#203211,.F.); #275429=ORIENTED_EDGE('',*,*,#203212,.F.); #275430=ORIENTED_EDGE('',*,*,#203213,.T.); #275431=ORIENTED_EDGE('',*,*,#203212,.T.); #275432=ORIENTED_EDGE('',*,*,#203214,.F.); #275433=ORIENTED_EDGE('',*,*,#203215,.F.); #275434=ORIENTED_EDGE('',*,*,#203216,.T.); #275435=ORIENTED_EDGE('',*,*,#203215,.T.); #275436=ORIENTED_EDGE('',*,*,#203217,.F.); #275437=ORIENTED_EDGE('',*,*,#201668,.F.); #275438=ORIENTED_EDGE('',*,*,#203218,.T.); #275439=ORIENTED_EDGE('',*,*,#203219,.T.); #275440=ORIENTED_EDGE('',*,*,#203220,.F.); #275441=ORIENTED_EDGE('',*,*,#203221,.F.); #275442=ORIENTED_EDGE('',*,*,#203222,.T.); #275443=ORIENTED_EDGE('',*,*,#203221,.T.); #275444=ORIENTED_EDGE('',*,*,#203223,.F.); #275445=ORIENTED_EDGE('',*,*,#203224,.F.); #275446=ORIENTED_EDGE('',*,*,#203225,.T.); #275447=ORIENTED_EDGE('',*,*,#203224,.T.); #275448=ORIENTED_EDGE('',*,*,#203226,.F.); #275449=ORIENTED_EDGE('',*,*,#203227,.F.); #275450=ORIENTED_EDGE('',*,*,#203228,.T.); #275451=ORIENTED_EDGE('',*,*,#203227,.T.); #275452=ORIENTED_EDGE('',*,*,#203229,.F.); #275453=ORIENTED_EDGE('',*,*,#203230,.F.); #275454=ORIENTED_EDGE('',*,*,#203231,.T.); #275455=ORIENTED_EDGE('',*,*,#203230,.T.); #275456=ORIENTED_EDGE('',*,*,#203232,.F.); #275457=ORIENTED_EDGE('',*,*,#203233,.F.); #275458=ORIENTED_EDGE('',*,*,#203234,.T.); #275459=ORIENTED_EDGE('',*,*,#203233,.T.); #275460=ORIENTED_EDGE('',*,*,#203235,.F.); #275461=ORIENTED_EDGE('',*,*,#203236,.F.); #275462=ORIENTED_EDGE('',*,*,#203237,.T.); #275463=ORIENTED_EDGE('',*,*,#203236,.T.); #275464=ORIENTED_EDGE('',*,*,#203238,.F.); #275465=ORIENTED_EDGE('',*,*,#203239,.F.); #275466=ORIENTED_EDGE('',*,*,#203240,.T.); #275467=ORIENTED_EDGE('',*,*,#203239,.T.); #275468=ORIENTED_EDGE('',*,*,#203241,.F.); #275469=ORIENTED_EDGE('',*,*,#203242,.F.); #275470=ORIENTED_EDGE('',*,*,#203243,.T.); #275471=ORIENTED_EDGE('',*,*,#203242,.T.); #275472=ORIENTED_EDGE('',*,*,#203244,.F.); #275473=ORIENTED_EDGE('',*,*,#203245,.F.); #275474=ORIENTED_EDGE('',*,*,#203246,.T.); #275475=ORIENTED_EDGE('',*,*,#203245,.T.); #275476=ORIENTED_EDGE('',*,*,#203247,.F.); #275477=ORIENTED_EDGE('',*,*,#203248,.F.); #275478=ORIENTED_EDGE('',*,*,#203249,.T.); #275479=ORIENTED_EDGE('',*,*,#203248,.T.); #275480=ORIENTED_EDGE('',*,*,#203250,.F.); #275481=ORIENTED_EDGE('',*,*,#203251,.F.); #275482=ORIENTED_EDGE('',*,*,#203252,.T.); #275483=ORIENTED_EDGE('',*,*,#203251,.T.); #275484=ORIENTED_EDGE('',*,*,#203253,.F.); #275485=ORIENTED_EDGE('',*,*,#203254,.F.); #275486=ORIENTED_EDGE('',*,*,#203255,.T.); #275487=ORIENTED_EDGE('',*,*,#203254,.T.); #275488=ORIENTED_EDGE('',*,*,#203256,.F.); #275489=ORIENTED_EDGE('',*,*,#203257,.F.); #275490=ORIENTED_EDGE('',*,*,#203258,.T.); #275491=ORIENTED_EDGE('',*,*,#203257,.T.); #275492=ORIENTED_EDGE('',*,*,#203259,.F.); #275493=ORIENTED_EDGE('',*,*,#203260,.F.); #275494=ORIENTED_EDGE('',*,*,#203261,.T.); #275495=ORIENTED_EDGE('',*,*,#203260,.T.); #275496=ORIENTED_EDGE('',*,*,#203262,.F.); #275497=ORIENTED_EDGE('',*,*,#203263,.F.); #275498=ORIENTED_EDGE('',*,*,#203264,.T.); #275499=ORIENTED_EDGE('',*,*,#203263,.T.); #275500=ORIENTED_EDGE('',*,*,#203265,.F.); #275501=ORIENTED_EDGE('',*,*,#203219,.F.); #275502=ORIENTED_EDGE('',*,*,#203266,.T.); #275503=ORIENTED_EDGE('',*,*,#203267,.T.); #275504=ORIENTED_EDGE('',*,*,#203268,.F.); #275505=ORIENTED_EDGE('',*,*,#203269,.F.); #275506=ORIENTED_EDGE('',*,*,#203270,.T.); #275507=ORIENTED_EDGE('',*,*,#203269,.T.); #275508=ORIENTED_EDGE('',*,*,#203271,.F.); #275509=ORIENTED_EDGE('',*,*,#203272,.F.); #275510=ORIENTED_EDGE('',*,*,#203273,.T.); #275511=ORIENTED_EDGE('',*,*,#203272,.T.); #275512=ORIENTED_EDGE('',*,*,#203274,.F.); #275513=ORIENTED_EDGE('',*,*,#203275,.F.); #275514=ORIENTED_EDGE('',*,*,#203276,.T.); #275515=ORIENTED_EDGE('',*,*,#203275,.T.); #275516=ORIENTED_EDGE('',*,*,#203277,.F.); #275517=ORIENTED_EDGE('',*,*,#203278,.F.); #275518=ORIENTED_EDGE('',*,*,#203279,.T.); #275519=ORIENTED_EDGE('',*,*,#203278,.T.); #275520=ORIENTED_EDGE('',*,*,#203280,.F.); #275521=ORIENTED_EDGE('',*,*,#203281,.F.); #275522=ORIENTED_EDGE('',*,*,#203282,.T.); #275523=ORIENTED_EDGE('',*,*,#203281,.T.); #275524=ORIENTED_EDGE('',*,*,#203283,.F.); #275525=ORIENTED_EDGE('',*,*,#203284,.F.); #275526=ORIENTED_EDGE('',*,*,#203285,.T.); #275527=ORIENTED_EDGE('',*,*,#203284,.T.); #275528=ORIENTED_EDGE('',*,*,#203286,.F.); #275529=ORIENTED_EDGE('',*,*,#203287,.F.); #275530=ORIENTED_EDGE('',*,*,#203288,.T.); #275531=ORIENTED_EDGE('',*,*,#203287,.T.); #275532=ORIENTED_EDGE('',*,*,#203289,.F.); #275533=ORIENTED_EDGE('',*,*,#203290,.F.); #275534=ORIENTED_EDGE('',*,*,#203291,.T.); #275535=ORIENTED_EDGE('',*,*,#203290,.T.); #275536=ORIENTED_EDGE('',*,*,#203292,.F.); #275537=ORIENTED_EDGE('',*,*,#203293,.F.); #275538=ORIENTED_EDGE('',*,*,#203294,.T.); #275539=ORIENTED_EDGE('',*,*,#203293,.T.); #275540=ORIENTED_EDGE('',*,*,#203295,.F.); #275541=ORIENTED_EDGE('',*,*,#203296,.F.); #275542=ORIENTED_EDGE('',*,*,#203297,.T.); #275543=ORIENTED_EDGE('',*,*,#203296,.T.); #275544=ORIENTED_EDGE('',*,*,#203298,.F.); #275545=ORIENTED_EDGE('',*,*,#203299,.F.); #275546=ORIENTED_EDGE('',*,*,#203300,.T.); #275547=ORIENTED_EDGE('',*,*,#203299,.T.); #275548=ORIENTED_EDGE('',*,*,#203301,.F.); #275549=ORIENTED_EDGE('',*,*,#203302,.F.); #275550=ORIENTED_EDGE('',*,*,#203303,.T.); #275551=ORIENTED_EDGE('',*,*,#203302,.T.); #275552=ORIENTED_EDGE('',*,*,#203304,.F.); #275553=ORIENTED_EDGE('',*,*,#203305,.F.); #275554=ORIENTED_EDGE('',*,*,#203306,.T.); #275555=ORIENTED_EDGE('',*,*,#203305,.T.); #275556=ORIENTED_EDGE('',*,*,#203307,.F.); #275557=ORIENTED_EDGE('',*,*,#203308,.F.); #275558=ORIENTED_EDGE('',*,*,#203309,.T.); #275559=ORIENTED_EDGE('',*,*,#203308,.T.); #275560=ORIENTED_EDGE('',*,*,#203310,.F.); #275561=ORIENTED_EDGE('',*,*,#203311,.F.); #275562=ORIENTED_EDGE('',*,*,#203312,.T.); #275563=ORIENTED_EDGE('',*,*,#203311,.T.); #275564=ORIENTED_EDGE('',*,*,#203313,.F.); #275565=ORIENTED_EDGE('',*,*,#203314,.F.); #275566=ORIENTED_EDGE('',*,*,#203315,.T.); #275567=ORIENTED_EDGE('',*,*,#203314,.T.); #275568=ORIENTED_EDGE('',*,*,#203316,.F.); #275569=ORIENTED_EDGE('',*,*,#203317,.F.); #275570=ORIENTED_EDGE('',*,*,#203318,.T.); #275571=ORIENTED_EDGE('',*,*,#203317,.T.); #275572=ORIENTED_EDGE('',*,*,#203319,.F.); #275573=ORIENTED_EDGE('',*,*,#203320,.F.); #275574=ORIENTED_EDGE('',*,*,#203321,.T.); #275575=ORIENTED_EDGE('',*,*,#203320,.T.); #275576=ORIENTED_EDGE('',*,*,#203322,.F.); #275577=ORIENTED_EDGE('',*,*,#203323,.F.); #275578=ORIENTED_EDGE('',*,*,#203324,.T.); #275579=ORIENTED_EDGE('',*,*,#203323,.T.); #275580=ORIENTED_EDGE('',*,*,#203325,.F.); #275581=ORIENTED_EDGE('',*,*,#203326,.F.); #275582=ORIENTED_EDGE('',*,*,#203327,.T.); #275583=ORIENTED_EDGE('',*,*,#203326,.T.); #275584=ORIENTED_EDGE('',*,*,#203328,.F.); #275585=ORIENTED_EDGE('',*,*,#203329,.F.); #275586=ORIENTED_EDGE('',*,*,#203330,.T.); #275587=ORIENTED_EDGE('',*,*,#203329,.T.); #275588=ORIENTED_EDGE('',*,*,#203331,.F.); #275589=ORIENTED_EDGE('',*,*,#203332,.F.); #275590=ORIENTED_EDGE('',*,*,#203333,.T.); #275591=ORIENTED_EDGE('',*,*,#203332,.T.); #275592=ORIENTED_EDGE('',*,*,#203334,.F.); #275593=ORIENTED_EDGE('',*,*,#203335,.F.); #275594=ORIENTED_EDGE('',*,*,#203336,.T.); #275595=ORIENTED_EDGE('',*,*,#203335,.T.); #275596=ORIENTED_EDGE('',*,*,#203337,.F.); #275597=ORIENTED_EDGE('',*,*,#203338,.F.); #275598=ORIENTED_EDGE('',*,*,#203339,.T.); #275599=ORIENTED_EDGE('',*,*,#203338,.T.); #275600=ORIENTED_EDGE('',*,*,#203340,.F.); #275601=ORIENTED_EDGE('',*,*,#203341,.F.); #275602=ORIENTED_EDGE('',*,*,#203342,.T.); #275603=ORIENTED_EDGE('',*,*,#203341,.T.); #275604=ORIENTED_EDGE('',*,*,#203343,.F.); #275605=ORIENTED_EDGE('',*,*,#203344,.F.); #275606=ORIENTED_EDGE('',*,*,#203345,.T.); #275607=ORIENTED_EDGE('',*,*,#203344,.T.); #275608=ORIENTED_EDGE('',*,*,#203346,.F.); #275609=ORIENTED_EDGE('',*,*,#203347,.F.); #275610=ORIENTED_EDGE('',*,*,#203348,.T.); #275611=ORIENTED_EDGE('',*,*,#203347,.T.); #275612=ORIENTED_EDGE('',*,*,#203349,.F.); #275613=ORIENTED_EDGE('',*,*,#203350,.F.); #275614=ORIENTED_EDGE('',*,*,#203351,.T.); #275615=ORIENTED_EDGE('',*,*,#203350,.T.); #275616=ORIENTED_EDGE('',*,*,#203352,.F.); #275617=ORIENTED_EDGE('',*,*,#203353,.F.); #275618=ORIENTED_EDGE('',*,*,#203354,.T.); #275619=ORIENTED_EDGE('',*,*,#203353,.T.); #275620=ORIENTED_EDGE('',*,*,#203355,.F.); #275621=ORIENTED_EDGE('',*,*,#203356,.F.); #275622=ORIENTED_EDGE('',*,*,#203357,.T.); #275623=ORIENTED_EDGE('',*,*,#203356,.T.); #275624=ORIENTED_EDGE('',*,*,#203358,.F.); #275625=ORIENTED_EDGE('',*,*,#203359,.F.); #275626=ORIENTED_EDGE('',*,*,#203360,.T.); #275627=ORIENTED_EDGE('',*,*,#203359,.T.); #275628=ORIENTED_EDGE('',*,*,#203361,.F.); #275629=ORIENTED_EDGE('',*,*,#203362,.F.); #275630=ORIENTED_EDGE('',*,*,#203363,.T.); #275631=ORIENTED_EDGE('',*,*,#203362,.T.); #275632=ORIENTED_EDGE('',*,*,#203364,.F.); #275633=ORIENTED_EDGE('',*,*,#203365,.F.); #275634=ORIENTED_EDGE('',*,*,#203366,.T.); #275635=ORIENTED_EDGE('',*,*,#203365,.T.); #275636=ORIENTED_EDGE('',*,*,#203367,.F.); #275637=ORIENTED_EDGE('',*,*,#203368,.F.); #275638=ORIENTED_EDGE('',*,*,#203369,.T.); #275639=ORIENTED_EDGE('',*,*,#203368,.T.); #275640=ORIENTED_EDGE('',*,*,#203370,.F.); #275641=ORIENTED_EDGE('',*,*,#203371,.F.); #275642=ORIENTED_EDGE('',*,*,#203372,.T.); #275643=ORIENTED_EDGE('',*,*,#203371,.T.); #275644=ORIENTED_EDGE('',*,*,#203373,.F.); #275645=ORIENTED_EDGE('',*,*,#203374,.F.); #275646=ORIENTED_EDGE('',*,*,#203375,.T.); #275647=ORIENTED_EDGE('',*,*,#203374,.T.); #275648=ORIENTED_EDGE('',*,*,#203376,.F.); #275649=ORIENTED_EDGE('',*,*,#203377,.F.); #275650=ORIENTED_EDGE('',*,*,#203378,.T.); #275651=ORIENTED_EDGE('',*,*,#203377,.T.); #275652=ORIENTED_EDGE('',*,*,#203379,.F.); #275653=ORIENTED_EDGE('',*,*,#203380,.F.); #275654=ORIENTED_EDGE('',*,*,#203381,.T.); #275655=ORIENTED_EDGE('',*,*,#203380,.T.); #275656=ORIENTED_EDGE('',*,*,#203382,.F.); #275657=ORIENTED_EDGE('',*,*,#203383,.F.); #275658=ORIENTED_EDGE('',*,*,#203384,.T.); #275659=ORIENTED_EDGE('',*,*,#203383,.T.); #275660=ORIENTED_EDGE('',*,*,#203385,.F.); #275661=ORIENTED_EDGE('',*,*,#203386,.F.); #275662=ORIENTED_EDGE('',*,*,#203387,.T.); #275663=ORIENTED_EDGE('',*,*,#203386,.T.); #275664=ORIENTED_EDGE('',*,*,#203388,.F.); #275665=ORIENTED_EDGE('',*,*,#203389,.F.); #275666=ORIENTED_EDGE('',*,*,#203390,.T.); #275667=ORIENTED_EDGE('',*,*,#203389,.T.); #275668=ORIENTED_EDGE('',*,*,#203391,.F.); #275669=ORIENTED_EDGE('',*,*,#203392,.F.); #275670=ORIENTED_EDGE('',*,*,#203393,.T.); #275671=ORIENTED_EDGE('',*,*,#203392,.T.); #275672=ORIENTED_EDGE('',*,*,#203394,.F.); #275673=ORIENTED_EDGE('',*,*,#203395,.F.); #275674=ORIENTED_EDGE('',*,*,#203396,.T.); #275675=ORIENTED_EDGE('',*,*,#203395,.T.); #275676=ORIENTED_EDGE('',*,*,#203397,.F.); #275677=ORIENTED_EDGE('',*,*,#203398,.F.); #275678=ORIENTED_EDGE('',*,*,#203399,.T.); #275679=ORIENTED_EDGE('',*,*,#203398,.T.); #275680=ORIENTED_EDGE('',*,*,#203400,.F.); #275681=ORIENTED_EDGE('',*,*,#203401,.F.); #275682=ORIENTED_EDGE('',*,*,#203402,.T.); #275683=ORIENTED_EDGE('',*,*,#203401,.T.); #275684=ORIENTED_EDGE('',*,*,#203403,.F.); #275685=ORIENTED_EDGE('',*,*,#203404,.F.); #275686=ORIENTED_EDGE('',*,*,#203405,.T.); #275687=ORIENTED_EDGE('',*,*,#203404,.T.); #275688=ORIENTED_EDGE('',*,*,#203406,.F.); #275689=ORIENTED_EDGE('',*,*,#203407,.F.); #275690=ORIENTED_EDGE('',*,*,#203408,.T.); #275691=ORIENTED_EDGE('',*,*,#203407,.T.); #275692=ORIENTED_EDGE('',*,*,#203409,.F.); #275693=ORIENTED_EDGE('',*,*,#203410,.F.); #275694=ORIENTED_EDGE('',*,*,#203411,.T.); #275695=ORIENTED_EDGE('',*,*,#203410,.T.); #275696=ORIENTED_EDGE('',*,*,#203412,.F.); #275697=ORIENTED_EDGE('',*,*,#203413,.F.); #275698=ORIENTED_EDGE('',*,*,#203414,.T.); #275699=ORIENTED_EDGE('',*,*,#203413,.T.); #275700=ORIENTED_EDGE('',*,*,#203415,.F.); #275701=ORIENTED_EDGE('',*,*,#203416,.F.); #275702=ORIENTED_EDGE('',*,*,#203417,.T.); #275703=ORIENTED_EDGE('',*,*,#203416,.T.); #275704=ORIENTED_EDGE('',*,*,#203418,.F.); #275705=ORIENTED_EDGE('',*,*,#203419,.F.); #275706=ORIENTED_EDGE('',*,*,#203420,.T.); #275707=ORIENTED_EDGE('',*,*,#203419,.T.); #275708=ORIENTED_EDGE('',*,*,#203421,.F.); #275709=ORIENTED_EDGE('',*,*,#203422,.F.); #275710=ORIENTED_EDGE('',*,*,#203423,.T.); #275711=ORIENTED_EDGE('',*,*,#203422,.T.); #275712=ORIENTED_EDGE('',*,*,#203424,.F.); #275713=ORIENTED_EDGE('',*,*,#203425,.F.); #275714=ORIENTED_EDGE('',*,*,#203426,.T.); #275715=ORIENTED_EDGE('',*,*,#203425,.T.); #275716=ORIENTED_EDGE('',*,*,#203427,.F.); #275717=ORIENTED_EDGE('',*,*,#203428,.F.); #275718=ORIENTED_EDGE('',*,*,#203429,.T.); #275719=ORIENTED_EDGE('',*,*,#203428,.T.); #275720=ORIENTED_EDGE('',*,*,#203430,.F.); #275721=ORIENTED_EDGE('',*,*,#203431,.F.); #275722=ORIENTED_EDGE('',*,*,#203432,.T.); #275723=ORIENTED_EDGE('',*,*,#203431,.T.); #275724=ORIENTED_EDGE('',*,*,#203433,.F.); #275725=ORIENTED_EDGE('',*,*,#203434,.F.); #275726=ORIENTED_EDGE('',*,*,#203435,.T.); #275727=ORIENTED_EDGE('',*,*,#203434,.T.); #275728=ORIENTED_EDGE('',*,*,#203436,.F.); #275729=ORIENTED_EDGE('',*,*,#203437,.F.); #275730=ORIENTED_EDGE('',*,*,#203438,.T.); #275731=ORIENTED_EDGE('',*,*,#203437,.T.); #275732=ORIENTED_EDGE('',*,*,#203439,.F.); #275733=ORIENTED_EDGE('',*,*,#203440,.F.); #275734=ORIENTED_EDGE('',*,*,#203441,.T.); #275735=ORIENTED_EDGE('',*,*,#203440,.T.); #275736=ORIENTED_EDGE('',*,*,#203442,.F.); #275737=ORIENTED_EDGE('',*,*,#203443,.F.); #275738=ORIENTED_EDGE('',*,*,#203444,.T.); #275739=ORIENTED_EDGE('',*,*,#203443,.T.); #275740=ORIENTED_EDGE('',*,*,#203445,.F.); #275741=ORIENTED_EDGE('',*,*,#203446,.F.); #275742=ORIENTED_EDGE('',*,*,#203447,.T.); #275743=ORIENTED_EDGE('',*,*,#203446,.T.); #275744=ORIENTED_EDGE('',*,*,#203448,.F.); #275745=ORIENTED_EDGE('',*,*,#203449,.F.); #275746=ORIENTED_EDGE('',*,*,#203450,.T.); #275747=ORIENTED_EDGE('',*,*,#203449,.T.); #275748=ORIENTED_EDGE('',*,*,#203451,.F.); #275749=ORIENTED_EDGE('',*,*,#203452,.F.); #275750=ORIENTED_EDGE('',*,*,#203453,.T.); #275751=ORIENTED_EDGE('',*,*,#203452,.T.); #275752=ORIENTED_EDGE('',*,*,#203454,.F.); #275753=ORIENTED_EDGE('',*,*,#203455,.F.); #275754=ORIENTED_EDGE('',*,*,#203456,.T.); #275755=ORIENTED_EDGE('',*,*,#203455,.T.); #275756=ORIENTED_EDGE('',*,*,#203457,.F.); #275757=ORIENTED_EDGE('',*,*,#203458,.F.); #275758=ORIENTED_EDGE('',*,*,#203459,.T.); #275759=ORIENTED_EDGE('',*,*,#203458,.T.); #275760=ORIENTED_EDGE('',*,*,#203460,.F.); #275761=ORIENTED_EDGE('',*,*,#203461,.F.); #275762=ORIENTED_EDGE('',*,*,#203462,.T.); #275763=ORIENTED_EDGE('',*,*,#203461,.T.); #275764=ORIENTED_EDGE('',*,*,#203463,.F.); #275765=ORIENTED_EDGE('',*,*,#203464,.F.); #275766=ORIENTED_EDGE('',*,*,#203465,.T.); #275767=ORIENTED_EDGE('',*,*,#203464,.T.); #275768=ORIENTED_EDGE('',*,*,#203466,.F.); #275769=ORIENTED_EDGE('',*,*,#203467,.F.); #275770=ORIENTED_EDGE('',*,*,#203468,.T.); #275771=ORIENTED_EDGE('',*,*,#203467,.T.); #275772=ORIENTED_EDGE('',*,*,#203469,.F.); #275773=ORIENTED_EDGE('',*,*,#203470,.F.); #275774=ORIENTED_EDGE('',*,*,#203471,.T.); #275775=ORIENTED_EDGE('',*,*,#203470,.T.); #275776=ORIENTED_EDGE('',*,*,#203472,.F.); #275777=ORIENTED_EDGE('',*,*,#203473,.F.); #275778=ORIENTED_EDGE('',*,*,#203474,.T.); #275779=ORIENTED_EDGE('',*,*,#203473,.T.); #275780=ORIENTED_EDGE('',*,*,#203475,.F.); #275781=ORIENTED_EDGE('',*,*,#203476,.F.); #275782=ORIENTED_EDGE('',*,*,#203477,.T.); #275783=ORIENTED_EDGE('',*,*,#203476,.T.); #275784=ORIENTED_EDGE('',*,*,#203478,.F.); #275785=ORIENTED_EDGE('',*,*,#203479,.F.); #275786=ORIENTED_EDGE('',*,*,#203480,.T.); #275787=ORIENTED_EDGE('',*,*,#203479,.T.); #275788=ORIENTED_EDGE('',*,*,#203481,.F.); #275789=ORIENTED_EDGE('',*,*,#203482,.F.); #275790=ORIENTED_EDGE('',*,*,#203483,.T.); #275791=ORIENTED_EDGE('',*,*,#203482,.T.); #275792=ORIENTED_EDGE('',*,*,#203484,.F.); #275793=ORIENTED_EDGE('',*,*,#203485,.F.); #275794=ORIENTED_EDGE('',*,*,#203486,.T.); #275795=ORIENTED_EDGE('',*,*,#203485,.T.); #275796=ORIENTED_EDGE('',*,*,#203487,.F.); #275797=ORIENTED_EDGE('',*,*,#203488,.F.); #275798=ORIENTED_EDGE('',*,*,#203489,.T.); #275799=ORIENTED_EDGE('',*,*,#203488,.T.); #275800=ORIENTED_EDGE('',*,*,#203490,.F.); #275801=ORIENTED_EDGE('',*,*,#203491,.F.); #275802=ORIENTED_EDGE('',*,*,#203492,.T.); #275803=ORIENTED_EDGE('',*,*,#203491,.T.); #275804=ORIENTED_EDGE('',*,*,#203493,.F.); #275805=ORIENTED_EDGE('',*,*,#203494,.F.); #275806=ORIENTED_EDGE('',*,*,#203495,.T.); #275807=ORIENTED_EDGE('',*,*,#203494,.T.); #275808=ORIENTED_EDGE('',*,*,#203496,.F.); #275809=ORIENTED_EDGE('',*,*,#203497,.F.); #275810=ORIENTED_EDGE('',*,*,#203498,.T.); #275811=ORIENTED_EDGE('',*,*,#203497,.T.); #275812=ORIENTED_EDGE('',*,*,#203499,.F.); #275813=ORIENTED_EDGE('',*,*,#203500,.F.); #275814=ORIENTED_EDGE('',*,*,#203501,.T.); #275815=ORIENTED_EDGE('',*,*,#203500,.T.); #275816=ORIENTED_EDGE('',*,*,#203502,.F.); #275817=ORIENTED_EDGE('',*,*,#203503,.F.); #275818=ORIENTED_EDGE('',*,*,#203504,.T.); #275819=ORIENTED_EDGE('',*,*,#203503,.T.); #275820=ORIENTED_EDGE('',*,*,#203505,.F.); #275821=ORIENTED_EDGE('',*,*,#203506,.F.); #275822=ORIENTED_EDGE('',*,*,#203507,.T.); #275823=ORIENTED_EDGE('',*,*,#203506,.T.); #275824=ORIENTED_EDGE('',*,*,#203508,.F.); #275825=ORIENTED_EDGE('',*,*,#203509,.F.); #275826=ORIENTED_EDGE('',*,*,#203510,.T.); #275827=ORIENTED_EDGE('',*,*,#203509,.T.); #275828=ORIENTED_EDGE('',*,*,#203511,.F.); #275829=ORIENTED_EDGE('',*,*,#203512,.F.); #275830=ORIENTED_EDGE('',*,*,#203513,.T.); #275831=ORIENTED_EDGE('',*,*,#203512,.T.); #275832=ORIENTED_EDGE('',*,*,#203514,.F.); #275833=ORIENTED_EDGE('',*,*,#203515,.F.); #275834=ORIENTED_EDGE('',*,*,#203516,.T.); #275835=ORIENTED_EDGE('',*,*,#203515,.T.); #275836=ORIENTED_EDGE('',*,*,#203517,.F.); #275837=ORIENTED_EDGE('',*,*,#203518,.F.); #275838=ORIENTED_EDGE('',*,*,#203519,.T.); #275839=ORIENTED_EDGE('',*,*,#203518,.T.); #275840=ORIENTED_EDGE('',*,*,#203520,.F.); #275841=ORIENTED_EDGE('',*,*,#203521,.F.); #275842=ORIENTED_EDGE('',*,*,#203522,.T.); #275843=ORIENTED_EDGE('',*,*,#203521,.T.); #275844=ORIENTED_EDGE('',*,*,#203523,.F.); #275845=ORIENTED_EDGE('',*,*,#203524,.F.); #275846=ORIENTED_EDGE('',*,*,#203525,.T.); #275847=ORIENTED_EDGE('',*,*,#203524,.T.); #275848=ORIENTED_EDGE('',*,*,#203526,.F.); #275849=ORIENTED_EDGE('',*,*,#203527,.F.); #275850=ORIENTED_EDGE('',*,*,#203528,.T.); #275851=ORIENTED_EDGE('',*,*,#203527,.T.); #275852=ORIENTED_EDGE('',*,*,#203529,.F.); #275853=ORIENTED_EDGE('',*,*,#203530,.F.); #275854=ORIENTED_EDGE('',*,*,#203531,.T.); #275855=ORIENTED_EDGE('',*,*,#203530,.T.); #275856=ORIENTED_EDGE('',*,*,#203532,.F.); #275857=ORIENTED_EDGE('',*,*,#203533,.F.); #275858=ORIENTED_EDGE('',*,*,#203534,.T.); #275859=ORIENTED_EDGE('',*,*,#203533,.T.); #275860=ORIENTED_EDGE('',*,*,#203535,.F.); #275861=ORIENTED_EDGE('',*,*,#203536,.F.); #275862=ORIENTED_EDGE('',*,*,#203537,.T.); #275863=ORIENTED_EDGE('',*,*,#203536,.T.); #275864=ORIENTED_EDGE('',*,*,#203538,.F.); #275865=ORIENTED_EDGE('',*,*,#203539,.F.); #275866=ORIENTED_EDGE('',*,*,#203540,.T.); #275867=ORIENTED_EDGE('',*,*,#203539,.T.); #275868=ORIENTED_EDGE('',*,*,#203541,.F.); #275869=ORIENTED_EDGE('',*,*,#203542,.F.); #275870=ORIENTED_EDGE('',*,*,#203543,.T.); #275871=ORIENTED_EDGE('',*,*,#203542,.T.); #275872=ORIENTED_EDGE('',*,*,#203544,.F.); #275873=ORIENTED_EDGE('',*,*,#203545,.F.); #275874=ORIENTED_EDGE('',*,*,#203546,.T.); #275875=ORIENTED_EDGE('',*,*,#203545,.T.); #275876=ORIENTED_EDGE('',*,*,#203547,.F.); #275877=ORIENTED_EDGE('',*,*,#203548,.F.); #275878=ORIENTED_EDGE('',*,*,#203549,.T.); #275879=ORIENTED_EDGE('',*,*,#203548,.T.); #275880=ORIENTED_EDGE('',*,*,#203550,.F.); #275881=ORIENTED_EDGE('',*,*,#203551,.F.); #275882=ORIENTED_EDGE('',*,*,#203552,.T.); #275883=ORIENTED_EDGE('',*,*,#203551,.T.); #275884=ORIENTED_EDGE('',*,*,#203553,.F.); #275885=ORIENTED_EDGE('',*,*,#203554,.F.); #275886=ORIENTED_EDGE('',*,*,#203555,.T.); #275887=ORIENTED_EDGE('',*,*,#203554,.T.); #275888=ORIENTED_EDGE('',*,*,#203556,.F.); #275889=ORIENTED_EDGE('',*,*,#203557,.F.); #275890=ORIENTED_EDGE('',*,*,#203558,.T.); #275891=ORIENTED_EDGE('',*,*,#203557,.T.); #275892=ORIENTED_EDGE('',*,*,#203559,.F.); #275893=ORIENTED_EDGE('',*,*,#203560,.F.); #275894=ORIENTED_EDGE('',*,*,#203561,.T.); #275895=ORIENTED_EDGE('',*,*,#203560,.T.); #275896=ORIENTED_EDGE('',*,*,#203562,.F.); #275897=ORIENTED_EDGE('',*,*,#203563,.F.); #275898=ORIENTED_EDGE('',*,*,#203564,.T.); #275899=ORIENTED_EDGE('',*,*,#203563,.T.); #275900=ORIENTED_EDGE('',*,*,#203565,.F.); #275901=ORIENTED_EDGE('',*,*,#203566,.F.); #275902=ORIENTED_EDGE('',*,*,#203567,.T.); #275903=ORIENTED_EDGE('',*,*,#203566,.T.); #275904=ORIENTED_EDGE('',*,*,#203568,.F.); #275905=ORIENTED_EDGE('',*,*,#203569,.F.); #275906=ORIENTED_EDGE('',*,*,#203570,.T.); #275907=ORIENTED_EDGE('',*,*,#203569,.T.); #275908=ORIENTED_EDGE('',*,*,#203571,.F.); #275909=ORIENTED_EDGE('',*,*,#203572,.F.); #275910=ORIENTED_EDGE('',*,*,#203573,.T.); #275911=ORIENTED_EDGE('',*,*,#203572,.T.); #275912=ORIENTED_EDGE('',*,*,#203574,.F.); #275913=ORIENTED_EDGE('',*,*,#203575,.F.); #275914=ORIENTED_EDGE('',*,*,#203576,.T.); #275915=ORIENTED_EDGE('',*,*,#203575,.T.); #275916=ORIENTED_EDGE('',*,*,#203577,.F.); #275917=ORIENTED_EDGE('',*,*,#203578,.F.); #275918=ORIENTED_EDGE('',*,*,#203579,.T.); #275919=ORIENTED_EDGE('',*,*,#203578,.T.); #275920=ORIENTED_EDGE('',*,*,#203580,.F.); #275921=ORIENTED_EDGE('',*,*,#203581,.F.); #275922=ORIENTED_EDGE('',*,*,#203582,.T.); #275923=ORIENTED_EDGE('',*,*,#203581,.T.); #275924=ORIENTED_EDGE('',*,*,#203583,.F.); #275925=ORIENTED_EDGE('',*,*,#203584,.F.); #275926=ORIENTED_EDGE('',*,*,#203585,.T.); #275927=ORIENTED_EDGE('',*,*,#203584,.T.); #275928=ORIENTED_EDGE('',*,*,#203586,.F.); #275929=ORIENTED_EDGE('',*,*,#203587,.F.); #275930=ORIENTED_EDGE('',*,*,#203588,.T.); #275931=ORIENTED_EDGE('',*,*,#203587,.T.); #275932=ORIENTED_EDGE('',*,*,#203589,.F.); #275933=ORIENTED_EDGE('',*,*,#203590,.F.); #275934=ORIENTED_EDGE('',*,*,#203591,.T.); #275935=ORIENTED_EDGE('',*,*,#203590,.T.); #275936=ORIENTED_EDGE('',*,*,#203592,.F.); #275937=ORIENTED_EDGE('',*,*,#203593,.F.); #275938=ORIENTED_EDGE('',*,*,#203594,.T.); #275939=ORIENTED_EDGE('',*,*,#203593,.T.); #275940=ORIENTED_EDGE('',*,*,#203595,.F.); #275941=ORIENTED_EDGE('',*,*,#203596,.F.); #275942=ORIENTED_EDGE('',*,*,#203597,.T.); #275943=ORIENTED_EDGE('',*,*,#203596,.T.); #275944=ORIENTED_EDGE('',*,*,#203598,.F.); #275945=ORIENTED_EDGE('',*,*,#203599,.F.); #275946=ORIENTED_EDGE('',*,*,#203600,.T.); #275947=ORIENTED_EDGE('',*,*,#203599,.T.); #275948=ORIENTED_EDGE('',*,*,#203601,.F.); #275949=ORIENTED_EDGE('',*,*,#203602,.F.); #275950=ORIENTED_EDGE('',*,*,#203603,.T.); #275951=ORIENTED_EDGE('',*,*,#203602,.T.); #275952=ORIENTED_EDGE('',*,*,#203604,.F.); #275953=ORIENTED_EDGE('',*,*,#203605,.F.); #275954=ORIENTED_EDGE('',*,*,#203606,.T.); #275955=ORIENTED_EDGE('',*,*,#203605,.T.); #275956=ORIENTED_EDGE('',*,*,#203607,.F.); #275957=ORIENTED_EDGE('',*,*,#203608,.F.); #275958=ORIENTED_EDGE('',*,*,#203609,.T.); #275959=ORIENTED_EDGE('',*,*,#203608,.T.); #275960=ORIENTED_EDGE('',*,*,#203610,.F.); #275961=ORIENTED_EDGE('',*,*,#203611,.F.); #275962=ORIENTED_EDGE('',*,*,#203612,.T.); #275963=ORIENTED_EDGE('',*,*,#203611,.T.); #275964=ORIENTED_EDGE('',*,*,#203613,.F.); #275965=ORIENTED_EDGE('',*,*,#203614,.F.); #275966=ORIENTED_EDGE('',*,*,#203615,.T.); #275967=ORIENTED_EDGE('',*,*,#203614,.T.); #275968=ORIENTED_EDGE('',*,*,#203616,.F.); #275969=ORIENTED_EDGE('',*,*,#203617,.F.); #275970=ORIENTED_EDGE('',*,*,#203618,.T.); #275971=ORIENTED_EDGE('',*,*,#203617,.T.); #275972=ORIENTED_EDGE('',*,*,#203619,.F.); #275973=ORIENTED_EDGE('',*,*,#203620,.F.); #275974=ORIENTED_EDGE('',*,*,#203621,.T.); #275975=ORIENTED_EDGE('',*,*,#203620,.T.); #275976=ORIENTED_EDGE('',*,*,#203622,.F.); #275977=ORIENTED_EDGE('',*,*,#203623,.F.); #275978=ORIENTED_EDGE('',*,*,#203624,.T.); #275979=ORIENTED_EDGE('',*,*,#203623,.T.); #275980=ORIENTED_EDGE('',*,*,#203625,.F.); #275981=ORIENTED_EDGE('',*,*,#203626,.F.); #275982=ORIENTED_EDGE('',*,*,#203627,.T.); #275983=ORIENTED_EDGE('',*,*,#203626,.T.); #275984=ORIENTED_EDGE('',*,*,#203628,.F.); #275985=ORIENTED_EDGE('',*,*,#203629,.F.); #275986=ORIENTED_EDGE('',*,*,#203630,.T.); #275987=ORIENTED_EDGE('',*,*,#203629,.T.); #275988=ORIENTED_EDGE('',*,*,#203631,.F.); #275989=ORIENTED_EDGE('',*,*,#203632,.F.); #275990=ORIENTED_EDGE('',*,*,#203633,.T.); #275991=ORIENTED_EDGE('',*,*,#203632,.T.); #275992=ORIENTED_EDGE('',*,*,#203634,.F.); #275993=ORIENTED_EDGE('',*,*,#203635,.F.); #275994=ORIENTED_EDGE('',*,*,#203636,.T.); #275995=ORIENTED_EDGE('',*,*,#203635,.T.); #275996=ORIENTED_EDGE('',*,*,#203637,.F.); #275997=ORIENTED_EDGE('',*,*,#203638,.F.); #275998=ORIENTED_EDGE('',*,*,#203639,.T.); #275999=ORIENTED_EDGE('',*,*,#203638,.T.); #276000=ORIENTED_EDGE('',*,*,#203640,.F.); #276001=ORIENTED_EDGE('',*,*,#203641,.F.); #276002=ORIENTED_EDGE('',*,*,#203642,.T.); #276003=ORIENTED_EDGE('',*,*,#203641,.T.); #276004=ORIENTED_EDGE('',*,*,#203643,.F.); #276005=ORIENTED_EDGE('',*,*,#203644,.F.); #276006=ORIENTED_EDGE('',*,*,#203645,.T.); #276007=ORIENTED_EDGE('',*,*,#203644,.T.); #276008=ORIENTED_EDGE('',*,*,#203646,.F.); #276009=ORIENTED_EDGE('',*,*,#203647,.F.); #276010=ORIENTED_EDGE('',*,*,#203648,.T.); #276011=ORIENTED_EDGE('',*,*,#203647,.T.); #276012=ORIENTED_EDGE('',*,*,#203649,.F.); #276013=ORIENTED_EDGE('',*,*,#203650,.F.); #276014=ORIENTED_EDGE('',*,*,#203651,.T.); #276015=ORIENTED_EDGE('',*,*,#203650,.T.); #276016=ORIENTED_EDGE('',*,*,#203652,.F.); #276017=ORIENTED_EDGE('',*,*,#203653,.F.); #276018=ORIENTED_EDGE('',*,*,#203654,.T.); #276019=ORIENTED_EDGE('',*,*,#203653,.T.); #276020=ORIENTED_EDGE('',*,*,#203655,.F.); #276021=ORIENTED_EDGE('',*,*,#203656,.F.); #276022=ORIENTED_EDGE('',*,*,#203657,.T.); #276023=ORIENTED_EDGE('',*,*,#203656,.T.); #276024=ORIENTED_EDGE('',*,*,#203658,.F.); #276025=ORIENTED_EDGE('',*,*,#203659,.F.); #276026=ORIENTED_EDGE('',*,*,#203660,.T.); #276027=ORIENTED_EDGE('',*,*,#203659,.T.); #276028=ORIENTED_EDGE('',*,*,#203661,.F.); #276029=ORIENTED_EDGE('',*,*,#203662,.F.); #276030=ORIENTED_EDGE('',*,*,#203663,.T.); #276031=ORIENTED_EDGE('',*,*,#203662,.T.); #276032=ORIENTED_EDGE('',*,*,#203664,.F.); #276033=ORIENTED_EDGE('',*,*,#203665,.F.); #276034=ORIENTED_EDGE('',*,*,#203666,.T.); #276035=ORIENTED_EDGE('',*,*,#203665,.T.); #276036=ORIENTED_EDGE('',*,*,#203667,.F.); #276037=ORIENTED_EDGE('',*,*,#203668,.F.); #276038=ORIENTED_EDGE('',*,*,#203669,.T.); #276039=ORIENTED_EDGE('',*,*,#203668,.T.); #276040=ORIENTED_EDGE('',*,*,#203670,.F.); #276041=ORIENTED_EDGE('',*,*,#203671,.F.); #276042=ORIENTED_EDGE('',*,*,#203672,.T.); #276043=ORIENTED_EDGE('',*,*,#203671,.T.); #276044=ORIENTED_EDGE('',*,*,#203673,.F.); #276045=ORIENTED_EDGE('',*,*,#203674,.F.); #276046=ORIENTED_EDGE('',*,*,#203675,.T.); #276047=ORIENTED_EDGE('',*,*,#203674,.T.); #276048=ORIENTED_EDGE('',*,*,#203676,.F.); #276049=ORIENTED_EDGE('',*,*,#203677,.F.); #276050=ORIENTED_EDGE('',*,*,#203678,.T.); #276051=ORIENTED_EDGE('',*,*,#203677,.T.); #276052=ORIENTED_EDGE('',*,*,#203679,.F.); #276053=ORIENTED_EDGE('',*,*,#203680,.F.); #276054=ORIENTED_EDGE('',*,*,#203681,.T.); #276055=ORIENTED_EDGE('',*,*,#203680,.T.); #276056=ORIENTED_EDGE('',*,*,#203682,.F.); #276057=ORIENTED_EDGE('',*,*,#203683,.F.); #276058=ORIENTED_EDGE('',*,*,#203684,.T.); #276059=ORIENTED_EDGE('',*,*,#203683,.T.); #276060=ORIENTED_EDGE('',*,*,#203685,.F.); #276061=ORIENTED_EDGE('',*,*,#203686,.F.); #276062=ORIENTED_EDGE('',*,*,#203687,.T.); #276063=ORIENTED_EDGE('',*,*,#203686,.T.); #276064=ORIENTED_EDGE('',*,*,#203688,.F.); #276065=ORIENTED_EDGE('',*,*,#203689,.F.); #276066=ORIENTED_EDGE('',*,*,#203690,.T.); #276067=ORIENTED_EDGE('',*,*,#203689,.T.); #276068=ORIENTED_EDGE('',*,*,#203691,.F.); #276069=ORIENTED_EDGE('',*,*,#203692,.F.); #276070=ORIENTED_EDGE('',*,*,#203693,.T.); #276071=ORIENTED_EDGE('',*,*,#203692,.T.); #276072=ORIENTED_EDGE('',*,*,#203694,.F.); #276073=ORIENTED_EDGE('',*,*,#203695,.F.); #276074=ORIENTED_EDGE('',*,*,#203696,.T.); #276075=ORIENTED_EDGE('',*,*,#203695,.T.); #276076=ORIENTED_EDGE('',*,*,#203697,.F.); #276077=ORIENTED_EDGE('',*,*,#203698,.F.); #276078=ORIENTED_EDGE('',*,*,#203699,.T.); #276079=ORIENTED_EDGE('',*,*,#203698,.T.); #276080=ORIENTED_EDGE('',*,*,#203700,.F.); #276081=ORIENTED_EDGE('',*,*,#203701,.F.); #276082=ORIENTED_EDGE('',*,*,#203702,.T.); #276083=ORIENTED_EDGE('',*,*,#203701,.T.); #276084=ORIENTED_EDGE('',*,*,#203703,.F.); #276085=ORIENTED_EDGE('',*,*,#203704,.F.); #276086=ORIENTED_EDGE('',*,*,#203705,.T.); #276087=ORIENTED_EDGE('',*,*,#203704,.T.); #276088=ORIENTED_EDGE('',*,*,#203706,.F.); #276089=ORIENTED_EDGE('',*,*,#203707,.F.); #276090=ORIENTED_EDGE('',*,*,#203708,.T.); #276091=ORIENTED_EDGE('',*,*,#203707,.T.); #276092=ORIENTED_EDGE('',*,*,#203709,.F.); #276093=ORIENTED_EDGE('',*,*,#203710,.F.); #276094=ORIENTED_EDGE('',*,*,#203711,.T.); #276095=ORIENTED_EDGE('',*,*,#203710,.T.); #276096=ORIENTED_EDGE('',*,*,#203712,.F.); #276097=ORIENTED_EDGE('',*,*,#203713,.F.); #276098=ORIENTED_EDGE('',*,*,#203714,.T.); #276099=ORIENTED_EDGE('',*,*,#203713,.T.); #276100=ORIENTED_EDGE('',*,*,#203715,.F.); #276101=ORIENTED_EDGE('',*,*,#203716,.F.); #276102=ORIENTED_EDGE('',*,*,#203717,.T.); #276103=ORIENTED_EDGE('',*,*,#203716,.T.); #276104=ORIENTED_EDGE('',*,*,#203718,.F.); #276105=ORIENTED_EDGE('',*,*,#203719,.F.); #276106=ORIENTED_EDGE('',*,*,#203720,.T.); #276107=ORIENTED_EDGE('',*,*,#203719,.T.); #276108=ORIENTED_EDGE('',*,*,#203721,.F.); #276109=ORIENTED_EDGE('',*,*,#203722,.F.); #276110=ORIENTED_EDGE('',*,*,#203723,.T.); #276111=ORIENTED_EDGE('',*,*,#203722,.T.); #276112=ORIENTED_EDGE('',*,*,#203724,.F.); #276113=ORIENTED_EDGE('',*,*,#203725,.F.); #276114=ORIENTED_EDGE('',*,*,#203726,.T.); #276115=ORIENTED_EDGE('',*,*,#203725,.T.); #276116=ORIENTED_EDGE('',*,*,#203727,.F.); #276117=ORIENTED_EDGE('',*,*,#203728,.F.); #276118=ORIENTED_EDGE('',*,*,#203729,.T.); #276119=ORIENTED_EDGE('',*,*,#203728,.T.); #276120=ORIENTED_EDGE('',*,*,#203730,.F.); #276121=ORIENTED_EDGE('',*,*,#203731,.F.); #276122=ORIENTED_EDGE('',*,*,#203732,.T.); #276123=ORIENTED_EDGE('',*,*,#203731,.T.); #276124=ORIENTED_EDGE('',*,*,#203733,.F.); #276125=ORIENTED_EDGE('',*,*,#203734,.F.); #276126=ORIENTED_EDGE('',*,*,#203735,.T.); #276127=ORIENTED_EDGE('',*,*,#203734,.T.); #276128=ORIENTED_EDGE('',*,*,#203736,.F.); #276129=ORIENTED_EDGE('',*,*,#203737,.F.); #276130=ORIENTED_EDGE('',*,*,#203738,.T.); #276131=ORIENTED_EDGE('',*,*,#203737,.T.); #276132=ORIENTED_EDGE('',*,*,#203739,.F.); #276133=ORIENTED_EDGE('',*,*,#203740,.F.); #276134=ORIENTED_EDGE('',*,*,#203741,.T.); #276135=ORIENTED_EDGE('',*,*,#203740,.T.); #276136=ORIENTED_EDGE('',*,*,#203742,.F.); #276137=ORIENTED_EDGE('',*,*,#203743,.F.); #276138=ORIENTED_EDGE('',*,*,#203744,.T.); #276139=ORIENTED_EDGE('',*,*,#203743,.T.); #276140=ORIENTED_EDGE('',*,*,#203745,.F.); #276141=ORIENTED_EDGE('',*,*,#203746,.F.); #276142=ORIENTED_EDGE('',*,*,#203747,.T.); #276143=ORIENTED_EDGE('',*,*,#203746,.T.); #276144=ORIENTED_EDGE('',*,*,#203748,.F.); #276145=ORIENTED_EDGE('',*,*,#203749,.F.); #276146=ORIENTED_EDGE('',*,*,#203750,.T.); #276147=ORIENTED_EDGE('',*,*,#203749,.T.); #276148=ORIENTED_EDGE('',*,*,#203751,.F.); #276149=ORIENTED_EDGE('',*,*,#203752,.F.); #276150=ORIENTED_EDGE('',*,*,#203753,.T.); #276151=ORIENTED_EDGE('',*,*,#203752,.T.); #276152=ORIENTED_EDGE('',*,*,#203754,.F.); #276153=ORIENTED_EDGE('',*,*,#203755,.F.); #276154=ORIENTED_EDGE('',*,*,#203756,.T.); #276155=ORIENTED_EDGE('',*,*,#203755,.T.); #276156=ORIENTED_EDGE('',*,*,#203757,.F.); #276157=ORIENTED_EDGE('',*,*,#203758,.F.); #276158=ORIENTED_EDGE('',*,*,#203759,.T.); #276159=ORIENTED_EDGE('',*,*,#203758,.T.); #276160=ORIENTED_EDGE('',*,*,#203760,.F.); #276161=ORIENTED_EDGE('',*,*,#203761,.F.); #276162=ORIENTED_EDGE('',*,*,#203762,.T.); #276163=ORIENTED_EDGE('',*,*,#203761,.T.); #276164=ORIENTED_EDGE('',*,*,#203763,.F.); #276165=ORIENTED_EDGE('',*,*,#203764,.F.); #276166=ORIENTED_EDGE('',*,*,#203765,.T.); #276167=ORIENTED_EDGE('',*,*,#203764,.T.); #276168=ORIENTED_EDGE('',*,*,#203766,.F.); #276169=ORIENTED_EDGE('',*,*,#203767,.F.); #276170=ORIENTED_EDGE('',*,*,#203768,.T.); #276171=ORIENTED_EDGE('',*,*,#203767,.T.); #276172=ORIENTED_EDGE('',*,*,#203769,.F.); #276173=ORIENTED_EDGE('',*,*,#203770,.F.); #276174=ORIENTED_EDGE('',*,*,#203771,.T.); #276175=ORIENTED_EDGE('',*,*,#203770,.T.); #276176=ORIENTED_EDGE('',*,*,#203772,.F.); #276177=ORIENTED_EDGE('',*,*,#203773,.F.); #276178=ORIENTED_EDGE('',*,*,#203774,.T.); #276179=ORIENTED_EDGE('',*,*,#203773,.T.); #276180=ORIENTED_EDGE('',*,*,#203775,.F.); #276181=ORIENTED_EDGE('',*,*,#203776,.F.); #276182=ORIENTED_EDGE('',*,*,#203777,.T.); #276183=ORIENTED_EDGE('',*,*,#203776,.T.); #276184=ORIENTED_EDGE('',*,*,#203778,.F.); #276185=ORIENTED_EDGE('',*,*,#203779,.F.); #276186=ORIENTED_EDGE('',*,*,#203780,.T.); #276187=ORIENTED_EDGE('',*,*,#203779,.T.); #276188=ORIENTED_EDGE('',*,*,#203781,.F.); #276189=ORIENTED_EDGE('',*,*,#203782,.F.); #276190=ORIENTED_EDGE('',*,*,#203783,.T.); #276191=ORIENTED_EDGE('',*,*,#203782,.T.); #276192=ORIENTED_EDGE('',*,*,#203784,.F.); #276193=ORIENTED_EDGE('',*,*,#203785,.F.); #276194=ORIENTED_EDGE('',*,*,#203786,.T.); #276195=ORIENTED_EDGE('',*,*,#203785,.T.); #276196=ORIENTED_EDGE('',*,*,#203787,.F.); #276197=ORIENTED_EDGE('',*,*,#203788,.F.); #276198=ORIENTED_EDGE('',*,*,#203789,.T.); #276199=ORIENTED_EDGE('',*,*,#203788,.T.); #276200=ORIENTED_EDGE('',*,*,#203790,.F.); #276201=ORIENTED_EDGE('',*,*,#203791,.F.); #276202=ORIENTED_EDGE('',*,*,#203792,.T.); #276203=ORIENTED_EDGE('',*,*,#203791,.T.); #276204=ORIENTED_EDGE('',*,*,#203793,.F.); #276205=ORIENTED_EDGE('',*,*,#203794,.F.); #276206=ORIENTED_EDGE('',*,*,#203795,.T.); #276207=ORIENTED_EDGE('',*,*,#203794,.T.); #276208=ORIENTED_EDGE('',*,*,#203796,.F.); #276209=ORIENTED_EDGE('',*,*,#203797,.F.); #276210=ORIENTED_EDGE('',*,*,#203798,.T.); #276211=ORIENTED_EDGE('',*,*,#203797,.T.); #276212=ORIENTED_EDGE('',*,*,#203799,.F.); #276213=ORIENTED_EDGE('',*,*,#203800,.F.); #276214=ORIENTED_EDGE('',*,*,#203801,.T.); #276215=ORIENTED_EDGE('',*,*,#203800,.T.); #276216=ORIENTED_EDGE('',*,*,#203802,.F.); #276217=ORIENTED_EDGE('',*,*,#203803,.F.); #276218=ORIENTED_EDGE('',*,*,#203804,.T.); #276219=ORIENTED_EDGE('',*,*,#203803,.T.); #276220=ORIENTED_EDGE('',*,*,#203805,.F.); #276221=ORIENTED_EDGE('',*,*,#203806,.F.); #276222=ORIENTED_EDGE('',*,*,#203807,.T.); #276223=ORIENTED_EDGE('',*,*,#203806,.T.); #276224=ORIENTED_EDGE('',*,*,#203808,.F.); #276225=ORIENTED_EDGE('',*,*,#203809,.F.); #276226=ORIENTED_EDGE('',*,*,#203810,.T.); #276227=ORIENTED_EDGE('',*,*,#203809,.T.); #276228=ORIENTED_EDGE('',*,*,#203811,.F.); #276229=ORIENTED_EDGE('',*,*,#203812,.F.); #276230=ORIENTED_EDGE('',*,*,#203813,.T.); #276231=ORIENTED_EDGE('',*,*,#203812,.T.); #276232=ORIENTED_EDGE('',*,*,#203814,.F.); #276233=ORIENTED_EDGE('',*,*,#203815,.F.); #276234=ORIENTED_EDGE('',*,*,#203816,.T.); #276235=ORIENTED_EDGE('',*,*,#203815,.T.); #276236=ORIENTED_EDGE('',*,*,#203817,.F.); #276237=ORIENTED_EDGE('',*,*,#203818,.F.); #276238=ORIENTED_EDGE('',*,*,#203819,.T.); #276239=ORIENTED_EDGE('',*,*,#203818,.T.); #276240=ORIENTED_EDGE('',*,*,#203820,.F.); #276241=ORIENTED_EDGE('',*,*,#203821,.F.); #276242=ORIENTED_EDGE('',*,*,#203822,.T.); #276243=ORIENTED_EDGE('',*,*,#203821,.T.); #276244=ORIENTED_EDGE('',*,*,#203823,.F.); #276245=ORIENTED_EDGE('',*,*,#203824,.F.); #276246=ORIENTED_EDGE('',*,*,#203825,.T.); #276247=ORIENTED_EDGE('',*,*,#203824,.T.); #276248=ORIENTED_EDGE('',*,*,#203826,.F.); #276249=ORIENTED_EDGE('',*,*,#203827,.F.); #276250=ORIENTED_EDGE('',*,*,#203828,.T.); #276251=ORIENTED_EDGE('',*,*,#203827,.T.); #276252=ORIENTED_EDGE('',*,*,#203829,.F.); #276253=ORIENTED_EDGE('',*,*,#203830,.F.); #276254=ORIENTED_EDGE('',*,*,#203831,.T.); #276255=ORIENTED_EDGE('',*,*,#203830,.T.); #276256=ORIENTED_EDGE('',*,*,#203832,.F.); #276257=ORIENTED_EDGE('',*,*,#203833,.F.); #276258=ORIENTED_EDGE('',*,*,#203834,.T.); #276259=ORIENTED_EDGE('',*,*,#203833,.T.); #276260=ORIENTED_EDGE('',*,*,#203835,.F.); #276261=ORIENTED_EDGE('',*,*,#203836,.F.); #276262=ORIENTED_EDGE('',*,*,#203837,.T.); #276263=ORIENTED_EDGE('',*,*,#203836,.T.); #276264=ORIENTED_EDGE('',*,*,#203838,.F.); #276265=ORIENTED_EDGE('',*,*,#203839,.F.); #276266=ORIENTED_EDGE('',*,*,#203840,.T.); #276267=ORIENTED_EDGE('',*,*,#203839,.T.); #276268=ORIENTED_EDGE('',*,*,#203841,.F.); #276269=ORIENTED_EDGE('',*,*,#203842,.F.); #276270=ORIENTED_EDGE('',*,*,#203843,.T.); #276271=ORIENTED_EDGE('',*,*,#203842,.T.); #276272=ORIENTED_EDGE('',*,*,#203844,.F.); #276273=ORIENTED_EDGE('',*,*,#203845,.F.); #276274=ORIENTED_EDGE('',*,*,#203846,.T.); #276275=ORIENTED_EDGE('',*,*,#203845,.T.); #276276=ORIENTED_EDGE('',*,*,#203847,.F.); #276277=ORIENTED_EDGE('',*,*,#203848,.F.); #276278=ORIENTED_EDGE('',*,*,#203849,.T.); #276279=ORIENTED_EDGE('',*,*,#203848,.T.); #276280=ORIENTED_EDGE('',*,*,#203850,.F.); #276281=ORIENTED_EDGE('',*,*,#203851,.F.); #276282=ORIENTED_EDGE('',*,*,#203852,.T.); #276283=ORIENTED_EDGE('',*,*,#203851,.T.); #276284=ORIENTED_EDGE('',*,*,#203853,.F.); #276285=ORIENTED_EDGE('',*,*,#203854,.F.); #276286=ORIENTED_EDGE('',*,*,#203855,.T.); #276287=ORIENTED_EDGE('',*,*,#203854,.T.); #276288=ORIENTED_EDGE('',*,*,#203856,.F.); #276289=ORIENTED_EDGE('',*,*,#203857,.F.); #276290=ORIENTED_EDGE('',*,*,#203858,.T.); #276291=ORIENTED_EDGE('',*,*,#203857,.T.); #276292=ORIENTED_EDGE('',*,*,#203859,.F.); #276293=ORIENTED_EDGE('',*,*,#203860,.F.); #276294=ORIENTED_EDGE('',*,*,#203861,.T.); #276295=ORIENTED_EDGE('',*,*,#203860,.T.); #276296=ORIENTED_EDGE('',*,*,#203862,.F.); #276297=ORIENTED_EDGE('',*,*,#203863,.F.); #276298=ORIENTED_EDGE('',*,*,#203864,.T.); #276299=ORIENTED_EDGE('',*,*,#203863,.T.); #276300=ORIENTED_EDGE('',*,*,#203865,.F.); #276301=ORIENTED_EDGE('',*,*,#203866,.F.); #276302=ORIENTED_EDGE('',*,*,#203867,.T.); #276303=ORIENTED_EDGE('',*,*,#203866,.T.); #276304=ORIENTED_EDGE('',*,*,#203868,.F.); #276305=ORIENTED_EDGE('',*,*,#203869,.F.); #276306=ORIENTED_EDGE('',*,*,#203870,.T.); #276307=ORIENTED_EDGE('',*,*,#203869,.T.); #276308=ORIENTED_EDGE('',*,*,#203871,.F.); #276309=ORIENTED_EDGE('',*,*,#203872,.F.); #276310=ORIENTED_EDGE('',*,*,#203873,.T.); #276311=ORIENTED_EDGE('',*,*,#203872,.T.); #276312=ORIENTED_EDGE('',*,*,#203874,.F.); #276313=ORIENTED_EDGE('',*,*,#203875,.F.); #276314=ORIENTED_EDGE('',*,*,#203876,.T.); #276315=ORIENTED_EDGE('',*,*,#203875,.T.); #276316=ORIENTED_EDGE('',*,*,#203877,.F.); #276317=ORIENTED_EDGE('',*,*,#203878,.F.); #276318=ORIENTED_EDGE('',*,*,#203879,.T.); #276319=ORIENTED_EDGE('',*,*,#203878,.T.); #276320=ORIENTED_EDGE('',*,*,#203880,.F.); #276321=ORIENTED_EDGE('',*,*,#203267,.F.); #276322=ORIENTED_EDGE('',*,*,#203881,.T.); #276323=ORIENTED_EDGE('',*,*,#203882,.T.); #276324=ORIENTED_EDGE('',*,*,#203883,.F.); #276325=ORIENTED_EDGE('',*,*,#203884,.F.); #276326=ORIENTED_EDGE('',*,*,#203885,.T.); #276327=ORIENTED_EDGE('',*,*,#203884,.T.); #276328=ORIENTED_EDGE('',*,*,#203886,.F.); #276329=ORIENTED_EDGE('',*,*,#203887,.F.); #276330=ORIENTED_EDGE('',*,*,#203888,.T.); #276331=ORIENTED_EDGE('',*,*,#203887,.T.); #276332=ORIENTED_EDGE('',*,*,#203889,.F.); #276333=ORIENTED_EDGE('',*,*,#203890,.F.); #276334=ORIENTED_EDGE('',*,*,#203891,.T.); #276335=ORIENTED_EDGE('',*,*,#203890,.T.); #276336=ORIENTED_EDGE('',*,*,#203892,.F.); #276337=ORIENTED_EDGE('',*,*,#203893,.F.); #276338=ORIENTED_EDGE('',*,*,#203894,.T.); #276339=ORIENTED_EDGE('',*,*,#203893,.T.); #276340=ORIENTED_EDGE('',*,*,#203895,.F.); #276341=ORIENTED_EDGE('',*,*,#203896,.F.); #276342=ORIENTED_EDGE('',*,*,#203897,.T.); #276343=ORIENTED_EDGE('',*,*,#203896,.T.); #276344=ORIENTED_EDGE('',*,*,#203898,.F.); #276345=ORIENTED_EDGE('',*,*,#203899,.F.); #276346=ORIENTED_EDGE('',*,*,#203900,.T.); #276347=ORIENTED_EDGE('',*,*,#203899,.T.); #276348=ORIENTED_EDGE('',*,*,#203901,.F.); #276349=ORIENTED_EDGE('',*,*,#203902,.F.); #276350=ORIENTED_EDGE('',*,*,#203903,.T.); #276351=ORIENTED_EDGE('',*,*,#203902,.T.); #276352=ORIENTED_EDGE('',*,*,#203904,.F.); #276353=ORIENTED_EDGE('',*,*,#203905,.F.); #276354=ORIENTED_EDGE('',*,*,#203906,.T.); #276355=ORIENTED_EDGE('',*,*,#203905,.T.); #276356=ORIENTED_EDGE('',*,*,#203907,.F.); #276357=ORIENTED_EDGE('',*,*,#203908,.F.); #276358=ORIENTED_EDGE('',*,*,#203909,.T.); #276359=ORIENTED_EDGE('',*,*,#203908,.T.); #276360=ORIENTED_EDGE('',*,*,#203910,.F.); #276361=ORIENTED_EDGE('',*,*,#203911,.F.); #276362=ORIENTED_EDGE('',*,*,#203912,.T.); #276363=ORIENTED_EDGE('',*,*,#203911,.T.); #276364=ORIENTED_EDGE('',*,*,#203913,.F.); #276365=ORIENTED_EDGE('',*,*,#203914,.F.); #276366=ORIENTED_EDGE('',*,*,#203915,.T.); #276367=ORIENTED_EDGE('',*,*,#203914,.T.); #276368=ORIENTED_EDGE('',*,*,#203916,.F.); #276369=ORIENTED_EDGE('',*,*,#203917,.F.); #276370=ORIENTED_EDGE('',*,*,#203918,.T.); #276371=ORIENTED_EDGE('',*,*,#203917,.T.); #276372=ORIENTED_EDGE('',*,*,#203919,.F.); #276373=ORIENTED_EDGE('',*,*,#203920,.F.); #276374=ORIENTED_EDGE('',*,*,#203921,.T.); #276375=ORIENTED_EDGE('',*,*,#203920,.T.); #276376=ORIENTED_EDGE('',*,*,#203922,.F.); #276377=ORIENTED_EDGE('',*,*,#203923,.F.); #276378=ORIENTED_EDGE('',*,*,#203924,.T.); #276379=ORIENTED_EDGE('',*,*,#203923,.T.); #276380=ORIENTED_EDGE('',*,*,#203925,.F.); #276381=ORIENTED_EDGE('',*,*,#203926,.F.); #276382=ORIENTED_EDGE('',*,*,#203927,.T.); #276383=ORIENTED_EDGE('',*,*,#203926,.T.); #276384=ORIENTED_EDGE('',*,*,#203928,.F.); #276385=ORIENTED_EDGE('',*,*,#203929,.F.); #276386=ORIENTED_EDGE('',*,*,#203930,.T.); #276387=ORIENTED_EDGE('',*,*,#203929,.T.); #276388=ORIENTED_EDGE('',*,*,#203931,.F.); #276389=ORIENTED_EDGE('',*,*,#203932,.F.); #276390=ORIENTED_EDGE('',*,*,#203933,.T.); #276391=ORIENTED_EDGE('',*,*,#203932,.T.); #276392=ORIENTED_EDGE('',*,*,#203934,.F.); #276393=ORIENTED_EDGE('',*,*,#203935,.F.); #276394=ORIENTED_EDGE('',*,*,#203936,.T.); #276395=ORIENTED_EDGE('',*,*,#203935,.T.); #276396=ORIENTED_EDGE('',*,*,#203937,.F.); #276397=ORIENTED_EDGE('',*,*,#203938,.F.); #276398=ORIENTED_EDGE('',*,*,#203939,.T.); #276399=ORIENTED_EDGE('',*,*,#203938,.T.); #276400=ORIENTED_EDGE('',*,*,#203940,.F.); #276401=ORIENTED_EDGE('',*,*,#203941,.F.); #276402=ORIENTED_EDGE('',*,*,#203942,.T.); #276403=ORIENTED_EDGE('',*,*,#203941,.T.); #276404=ORIENTED_EDGE('',*,*,#203943,.F.); #276405=ORIENTED_EDGE('',*,*,#203944,.F.); #276406=ORIENTED_EDGE('',*,*,#203945,.T.); #276407=ORIENTED_EDGE('',*,*,#203944,.T.); #276408=ORIENTED_EDGE('',*,*,#203946,.F.); #276409=ORIENTED_EDGE('',*,*,#203947,.F.); #276410=ORIENTED_EDGE('',*,*,#203948,.T.); #276411=ORIENTED_EDGE('',*,*,#203947,.T.); #276412=ORIENTED_EDGE('',*,*,#203949,.F.); #276413=ORIENTED_EDGE('',*,*,#203950,.F.); #276414=ORIENTED_EDGE('',*,*,#203951,.T.); #276415=ORIENTED_EDGE('',*,*,#203950,.T.); #276416=ORIENTED_EDGE('',*,*,#203952,.F.); #276417=ORIENTED_EDGE('',*,*,#203953,.F.); #276418=ORIENTED_EDGE('',*,*,#203954,.T.); #276419=ORIENTED_EDGE('',*,*,#203953,.T.); #276420=ORIENTED_EDGE('',*,*,#203955,.F.); #276421=ORIENTED_EDGE('',*,*,#203956,.F.); #276422=ORIENTED_EDGE('',*,*,#203957,.T.); #276423=ORIENTED_EDGE('',*,*,#203956,.T.); #276424=ORIENTED_EDGE('',*,*,#203958,.F.); #276425=ORIENTED_EDGE('',*,*,#203959,.F.); #276426=ORIENTED_EDGE('',*,*,#203960,.T.); #276427=ORIENTED_EDGE('',*,*,#203959,.T.); #276428=ORIENTED_EDGE('',*,*,#203961,.F.); #276429=ORIENTED_EDGE('',*,*,#203962,.F.); #276430=ORIENTED_EDGE('',*,*,#203963,.T.); #276431=ORIENTED_EDGE('',*,*,#203962,.T.); #276432=ORIENTED_EDGE('',*,*,#203964,.F.); #276433=ORIENTED_EDGE('',*,*,#203965,.F.); #276434=ORIENTED_EDGE('',*,*,#203966,.T.); #276435=ORIENTED_EDGE('',*,*,#203965,.T.); #276436=ORIENTED_EDGE('',*,*,#203967,.F.); #276437=ORIENTED_EDGE('',*,*,#203968,.F.); #276438=ORIENTED_EDGE('',*,*,#203969,.T.); #276439=ORIENTED_EDGE('',*,*,#203968,.T.); #276440=ORIENTED_EDGE('',*,*,#203970,.F.); #276441=ORIENTED_EDGE('',*,*,#203971,.F.); #276442=ORIENTED_EDGE('',*,*,#203972,.T.); #276443=ORIENTED_EDGE('',*,*,#203971,.T.); #276444=ORIENTED_EDGE('',*,*,#203973,.F.); #276445=ORIENTED_EDGE('',*,*,#203974,.F.); #276446=ORIENTED_EDGE('',*,*,#203975,.T.); #276447=ORIENTED_EDGE('',*,*,#203974,.T.); #276448=ORIENTED_EDGE('',*,*,#203976,.F.); #276449=ORIENTED_EDGE('',*,*,#203977,.F.); #276450=ORIENTED_EDGE('',*,*,#203978,.T.); #276451=ORIENTED_EDGE('',*,*,#203977,.T.); #276452=ORIENTED_EDGE('',*,*,#203979,.F.); #276453=ORIENTED_EDGE('',*,*,#203980,.F.); #276454=ORIENTED_EDGE('',*,*,#203981,.T.); #276455=ORIENTED_EDGE('',*,*,#203980,.T.); #276456=ORIENTED_EDGE('',*,*,#203982,.F.); #276457=ORIENTED_EDGE('',*,*,#203983,.F.); #276458=ORIENTED_EDGE('',*,*,#203984,.T.); #276459=ORIENTED_EDGE('',*,*,#203983,.T.); #276460=ORIENTED_EDGE('',*,*,#203985,.F.); #276461=ORIENTED_EDGE('',*,*,#203986,.F.); #276462=ORIENTED_EDGE('',*,*,#203987,.T.); #276463=ORIENTED_EDGE('',*,*,#203986,.T.); #276464=ORIENTED_EDGE('',*,*,#203988,.F.); #276465=ORIENTED_EDGE('',*,*,#203989,.F.); #276466=ORIENTED_EDGE('',*,*,#203990,.T.); #276467=ORIENTED_EDGE('',*,*,#203989,.T.); #276468=ORIENTED_EDGE('',*,*,#203991,.F.); #276469=ORIENTED_EDGE('',*,*,#203992,.F.); #276470=ORIENTED_EDGE('',*,*,#203993,.T.); #276471=ORIENTED_EDGE('',*,*,#203992,.T.); #276472=ORIENTED_EDGE('',*,*,#203994,.F.); #276473=ORIENTED_EDGE('',*,*,#203995,.F.); #276474=ORIENTED_EDGE('',*,*,#203996,.T.); #276475=ORIENTED_EDGE('',*,*,#203995,.T.); #276476=ORIENTED_EDGE('',*,*,#203997,.F.); #276477=ORIENTED_EDGE('',*,*,#203998,.F.); #276478=ORIENTED_EDGE('',*,*,#203999,.T.); #276479=ORIENTED_EDGE('',*,*,#203998,.T.); #276480=ORIENTED_EDGE('',*,*,#204000,.F.); #276481=ORIENTED_EDGE('',*,*,#204001,.F.); #276482=ORIENTED_EDGE('',*,*,#204002,.T.); #276483=ORIENTED_EDGE('',*,*,#204001,.T.); #276484=ORIENTED_EDGE('',*,*,#204003,.F.); #276485=ORIENTED_EDGE('',*,*,#204004,.F.); #276486=ORIENTED_EDGE('',*,*,#204005,.T.); #276487=ORIENTED_EDGE('',*,*,#204004,.T.); #276488=ORIENTED_EDGE('',*,*,#204006,.F.); #276489=ORIENTED_EDGE('',*,*,#204007,.F.); #276490=ORIENTED_EDGE('',*,*,#204008,.T.); #276491=ORIENTED_EDGE('',*,*,#204007,.T.); #276492=ORIENTED_EDGE('',*,*,#204009,.F.); #276493=ORIENTED_EDGE('',*,*,#204010,.F.); #276494=ORIENTED_EDGE('',*,*,#204011,.T.); #276495=ORIENTED_EDGE('',*,*,#204010,.T.); #276496=ORIENTED_EDGE('',*,*,#204012,.F.); #276497=ORIENTED_EDGE('',*,*,#204013,.F.); #276498=ORIENTED_EDGE('',*,*,#204014,.T.); #276499=ORIENTED_EDGE('',*,*,#204013,.T.); #276500=ORIENTED_EDGE('',*,*,#204015,.F.); #276501=ORIENTED_EDGE('',*,*,#204016,.F.); #276502=ORIENTED_EDGE('',*,*,#204017,.T.); #276503=ORIENTED_EDGE('',*,*,#204016,.T.); #276504=ORIENTED_EDGE('',*,*,#204018,.F.); #276505=ORIENTED_EDGE('',*,*,#204019,.F.); #276506=ORIENTED_EDGE('',*,*,#204020,.T.); #276507=ORIENTED_EDGE('',*,*,#204019,.T.); #276508=ORIENTED_EDGE('',*,*,#204021,.F.); #276509=ORIENTED_EDGE('',*,*,#204022,.F.); #276510=ORIENTED_EDGE('',*,*,#204023,.T.); #276511=ORIENTED_EDGE('',*,*,#204022,.T.); #276512=ORIENTED_EDGE('',*,*,#204024,.F.); #276513=ORIENTED_EDGE('',*,*,#204025,.F.); #276514=ORIENTED_EDGE('',*,*,#204026,.T.); #276515=ORIENTED_EDGE('',*,*,#204025,.T.); #276516=ORIENTED_EDGE('',*,*,#204027,.F.); #276517=ORIENTED_EDGE('',*,*,#204028,.F.); #276518=ORIENTED_EDGE('',*,*,#204029,.T.); #276519=ORIENTED_EDGE('',*,*,#204028,.T.); #276520=ORIENTED_EDGE('',*,*,#204030,.F.); #276521=ORIENTED_EDGE('',*,*,#204031,.F.); #276522=ORIENTED_EDGE('',*,*,#204032,.T.); #276523=ORIENTED_EDGE('',*,*,#204031,.T.); #276524=ORIENTED_EDGE('',*,*,#204033,.F.); #276525=ORIENTED_EDGE('',*,*,#204034,.F.); #276526=ORIENTED_EDGE('',*,*,#204035,.T.); #276527=ORIENTED_EDGE('',*,*,#204034,.T.); #276528=ORIENTED_EDGE('',*,*,#204036,.F.); #276529=ORIENTED_EDGE('',*,*,#204037,.F.); #276530=ORIENTED_EDGE('',*,*,#204038,.T.); #276531=ORIENTED_EDGE('',*,*,#204037,.T.); #276532=ORIENTED_EDGE('',*,*,#204039,.F.); #276533=ORIENTED_EDGE('',*,*,#204040,.F.); #276534=ORIENTED_EDGE('',*,*,#204041,.T.); #276535=ORIENTED_EDGE('',*,*,#204040,.T.); #276536=ORIENTED_EDGE('',*,*,#204042,.F.); #276537=ORIENTED_EDGE('',*,*,#204043,.F.); #276538=ORIENTED_EDGE('',*,*,#204044,.T.); #276539=ORIENTED_EDGE('',*,*,#204043,.T.); #276540=ORIENTED_EDGE('',*,*,#204045,.F.); #276541=ORIENTED_EDGE('',*,*,#204046,.F.); #276542=ORIENTED_EDGE('',*,*,#204047,.T.); #276543=ORIENTED_EDGE('',*,*,#204046,.T.); #276544=ORIENTED_EDGE('',*,*,#204048,.F.); #276545=ORIENTED_EDGE('',*,*,#204049,.F.); #276546=ORIENTED_EDGE('',*,*,#204050,.T.); #276547=ORIENTED_EDGE('',*,*,#204049,.T.); #276548=ORIENTED_EDGE('',*,*,#204051,.F.); #276549=ORIENTED_EDGE('',*,*,#204052,.F.); #276550=ORIENTED_EDGE('',*,*,#204053,.T.); #276551=ORIENTED_EDGE('',*,*,#204052,.T.); #276552=ORIENTED_EDGE('',*,*,#204054,.F.); #276553=ORIENTED_EDGE('',*,*,#204055,.F.); #276554=ORIENTED_EDGE('',*,*,#204056,.T.); #276555=ORIENTED_EDGE('',*,*,#204055,.T.); #276556=ORIENTED_EDGE('',*,*,#204057,.F.); #276557=ORIENTED_EDGE('',*,*,#204058,.F.); #276558=ORIENTED_EDGE('',*,*,#204059,.T.); #276559=ORIENTED_EDGE('',*,*,#204058,.T.); #276560=ORIENTED_EDGE('',*,*,#204060,.F.); #276561=ORIENTED_EDGE('',*,*,#204061,.F.); #276562=ORIENTED_EDGE('',*,*,#204062,.T.); #276563=ORIENTED_EDGE('',*,*,#204061,.T.); #276564=ORIENTED_EDGE('',*,*,#204063,.F.); #276565=ORIENTED_EDGE('',*,*,#204064,.F.); #276566=ORIENTED_EDGE('',*,*,#204065,.T.); #276567=ORIENTED_EDGE('',*,*,#204064,.T.); #276568=ORIENTED_EDGE('',*,*,#204066,.F.); #276569=ORIENTED_EDGE('',*,*,#204067,.F.); #276570=ORIENTED_EDGE('',*,*,#204068,.T.); #276571=ORIENTED_EDGE('',*,*,#204067,.T.); #276572=ORIENTED_EDGE('',*,*,#204069,.F.); #276573=ORIENTED_EDGE('',*,*,#204070,.F.); #276574=ORIENTED_EDGE('',*,*,#204071,.T.); #276575=ORIENTED_EDGE('',*,*,#204070,.T.); #276576=ORIENTED_EDGE('',*,*,#204072,.F.); #276577=ORIENTED_EDGE('',*,*,#204073,.F.); #276578=ORIENTED_EDGE('',*,*,#204074,.T.); #276579=ORIENTED_EDGE('',*,*,#204073,.T.); #276580=ORIENTED_EDGE('',*,*,#204075,.F.); #276581=ORIENTED_EDGE('',*,*,#204076,.F.); #276582=ORIENTED_EDGE('',*,*,#204077,.T.); #276583=ORIENTED_EDGE('',*,*,#204076,.T.); #276584=ORIENTED_EDGE('',*,*,#204078,.F.); #276585=ORIENTED_EDGE('',*,*,#204079,.F.); #276586=ORIENTED_EDGE('',*,*,#204080,.T.); #276587=ORIENTED_EDGE('',*,*,#204079,.T.); #276588=ORIENTED_EDGE('',*,*,#204081,.F.); #276589=ORIENTED_EDGE('',*,*,#204082,.F.); #276590=ORIENTED_EDGE('',*,*,#204083,.T.); #276591=ORIENTED_EDGE('',*,*,#204082,.T.); #276592=ORIENTED_EDGE('',*,*,#204084,.F.); #276593=ORIENTED_EDGE('',*,*,#204085,.F.); #276594=ORIENTED_EDGE('',*,*,#204086,.T.); #276595=ORIENTED_EDGE('',*,*,#204085,.T.); #276596=ORIENTED_EDGE('',*,*,#204087,.F.); #276597=ORIENTED_EDGE('',*,*,#204088,.F.); #276598=ORIENTED_EDGE('',*,*,#204089,.T.); #276599=ORIENTED_EDGE('',*,*,#204088,.T.); #276600=ORIENTED_EDGE('',*,*,#204090,.F.); #276601=ORIENTED_EDGE('',*,*,#204091,.F.); #276602=ORIENTED_EDGE('',*,*,#204092,.T.); #276603=ORIENTED_EDGE('',*,*,#204091,.T.); #276604=ORIENTED_EDGE('',*,*,#204093,.F.); #276605=ORIENTED_EDGE('',*,*,#204094,.F.); #276606=ORIENTED_EDGE('',*,*,#204095,.T.); #276607=ORIENTED_EDGE('',*,*,#204094,.T.); #276608=ORIENTED_EDGE('',*,*,#204096,.F.); #276609=ORIENTED_EDGE('',*,*,#204097,.F.); #276610=ORIENTED_EDGE('',*,*,#204098,.T.); #276611=ORIENTED_EDGE('',*,*,#204097,.T.); #276612=ORIENTED_EDGE('',*,*,#204099,.F.); #276613=ORIENTED_EDGE('',*,*,#204100,.F.); #276614=ORIENTED_EDGE('',*,*,#204101,.T.); #276615=ORIENTED_EDGE('',*,*,#204100,.T.); #276616=ORIENTED_EDGE('',*,*,#204102,.F.); #276617=ORIENTED_EDGE('',*,*,#204103,.F.); #276618=ORIENTED_EDGE('',*,*,#204104,.T.); #276619=ORIENTED_EDGE('',*,*,#204103,.T.); #276620=ORIENTED_EDGE('',*,*,#204105,.F.); #276621=ORIENTED_EDGE('',*,*,#204106,.F.); #276622=ORIENTED_EDGE('',*,*,#204107,.T.); #276623=ORIENTED_EDGE('',*,*,#204106,.T.); #276624=ORIENTED_EDGE('',*,*,#204108,.F.); #276625=ORIENTED_EDGE('',*,*,#204109,.F.); #276626=ORIENTED_EDGE('',*,*,#204110,.T.); #276627=ORIENTED_EDGE('',*,*,#204109,.T.); #276628=ORIENTED_EDGE('',*,*,#204111,.F.); #276629=ORIENTED_EDGE('',*,*,#204112,.F.); #276630=ORIENTED_EDGE('',*,*,#204113,.T.); #276631=ORIENTED_EDGE('',*,*,#204112,.T.); #276632=ORIENTED_EDGE('',*,*,#204114,.F.); #276633=ORIENTED_EDGE('',*,*,#204115,.F.); #276634=ORIENTED_EDGE('',*,*,#204116,.T.); #276635=ORIENTED_EDGE('',*,*,#204115,.T.); #276636=ORIENTED_EDGE('',*,*,#204117,.F.); #276637=ORIENTED_EDGE('',*,*,#204118,.F.); #276638=ORIENTED_EDGE('',*,*,#204119,.T.); #276639=ORIENTED_EDGE('',*,*,#204118,.T.); #276640=ORIENTED_EDGE('',*,*,#204120,.F.); #276641=ORIENTED_EDGE('',*,*,#204121,.F.); #276642=ORIENTED_EDGE('',*,*,#204122,.T.); #276643=ORIENTED_EDGE('',*,*,#204121,.T.); #276644=ORIENTED_EDGE('',*,*,#204123,.F.); #276645=ORIENTED_EDGE('',*,*,#204124,.F.); #276646=ORIENTED_EDGE('',*,*,#204125,.T.); #276647=ORIENTED_EDGE('',*,*,#204124,.T.); #276648=ORIENTED_EDGE('',*,*,#204126,.F.); #276649=ORIENTED_EDGE('',*,*,#204127,.F.); #276650=ORIENTED_EDGE('',*,*,#204128,.T.); #276651=ORIENTED_EDGE('',*,*,#204127,.T.); #276652=ORIENTED_EDGE('',*,*,#204129,.F.); #276653=ORIENTED_EDGE('',*,*,#204130,.F.); #276654=ORIENTED_EDGE('',*,*,#204131,.T.); #276655=ORIENTED_EDGE('',*,*,#204130,.T.); #276656=ORIENTED_EDGE('',*,*,#204132,.F.); #276657=ORIENTED_EDGE('',*,*,#204133,.F.); #276658=ORIENTED_EDGE('',*,*,#204134,.T.); #276659=ORIENTED_EDGE('',*,*,#204133,.T.); #276660=ORIENTED_EDGE('',*,*,#204135,.F.); #276661=ORIENTED_EDGE('',*,*,#204136,.F.); #276662=ORIENTED_EDGE('',*,*,#204137,.T.); #276663=ORIENTED_EDGE('',*,*,#204136,.T.); #276664=ORIENTED_EDGE('',*,*,#204138,.F.); #276665=ORIENTED_EDGE('',*,*,#204139,.F.); #276666=ORIENTED_EDGE('',*,*,#204140,.T.); #276667=ORIENTED_EDGE('',*,*,#204139,.T.); #276668=ORIENTED_EDGE('',*,*,#204141,.F.); #276669=ORIENTED_EDGE('',*,*,#204142,.F.); #276670=ORIENTED_EDGE('',*,*,#204143,.T.); #276671=ORIENTED_EDGE('',*,*,#204142,.T.); #276672=ORIENTED_EDGE('',*,*,#204144,.F.); #276673=ORIENTED_EDGE('',*,*,#204145,.F.); #276674=ORIENTED_EDGE('',*,*,#204146,.T.); #276675=ORIENTED_EDGE('',*,*,#204145,.T.); #276676=ORIENTED_EDGE('',*,*,#204147,.F.); #276677=ORIENTED_EDGE('',*,*,#204148,.F.); #276678=ORIENTED_EDGE('',*,*,#204149,.T.); #276679=ORIENTED_EDGE('',*,*,#204148,.T.); #276680=ORIENTED_EDGE('',*,*,#204150,.F.); #276681=ORIENTED_EDGE('',*,*,#204151,.F.); #276682=ORIENTED_EDGE('',*,*,#204152,.T.); #276683=ORIENTED_EDGE('',*,*,#204151,.T.); #276684=ORIENTED_EDGE('',*,*,#204153,.F.); #276685=ORIENTED_EDGE('',*,*,#204154,.F.); #276686=ORIENTED_EDGE('',*,*,#204155,.T.); #276687=ORIENTED_EDGE('',*,*,#204154,.T.); #276688=ORIENTED_EDGE('',*,*,#204156,.F.); #276689=ORIENTED_EDGE('',*,*,#204157,.F.); #276690=ORIENTED_EDGE('',*,*,#204158,.T.); #276691=ORIENTED_EDGE('',*,*,#204157,.T.); #276692=ORIENTED_EDGE('',*,*,#204159,.F.); #276693=ORIENTED_EDGE('',*,*,#204160,.F.); #276694=ORIENTED_EDGE('',*,*,#204161,.T.); #276695=ORIENTED_EDGE('',*,*,#204160,.T.); #276696=ORIENTED_EDGE('',*,*,#204162,.F.); #276697=ORIENTED_EDGE('',*,*,#204163,.F.); #276698=ORIENTED_EDGE('',*,*,#204164,.T.); #276699=ORIENTED_EDGE('',*,*,#204163,.T.); #276700=ORIENTED_EDGE('',*,*,#204165,.F.); #276701=ORIENTED_EDGE('',*,*,#204166,.F.); #276702=ORIENTED_EDGE('',*,*,#204167,.T.); #276703=ORIENTED_EDGE('',*,*,#204166,.T.); #276704=ORIENTED_EDGE('',*,*,#204168,.F.); #276705=ORIENTED_EDGE('',*,*,#204169,.F.); #276706=ORIENTED_EDGE('',*,*,#204170,.T.); #276707=ORIENTED_EDGE('',*,*,#204169,.T.); #276708=ORIENTED_EDGE('',*,*,#204171,.F.); #276709=ORIENTED_EDGE('',*,*,#204172,.F.); #276710=ORIENTED_EDGE('',*,*,#204173,.T.); #276711=ORIENTED_EDGE('',*,*,#204172,.T.); #276712=ORIENTED_EDGE('',*,*,#204174,.F.); #276713=ORIENTED_EDGE('',*,*,#204175,.F.); #276714=ORIENTED_EDGE('',*,*,#204176,.T.); #276715=ORIENTED_EDGE('',*,*,#204175,.T.); #276716=ORIENTED_EDGE('',*,*,#204177,.F.); #276717=ORIENTED_EDGE('',*,*,#204178,.F.); #276718=ORIENTED_EDGE('',*,*,#204179,.T.); #276719=ORIENTED_EDGE('',*,*,#204178,.T.); #276720=ORIENTED_EDGE('',*,*,#204180,.F.); #276721=ORIENTED_EDGE('',*,*,#203882,.F.); #276722=ORIENTED_EDGE('',*,*,#204181,.T.); #276723=ORIENTED_EDGE('',*,*,#204182,.T.); #276724=ORIENTED_EDGE('',*,*,#204183,.F.); #276725=ORIENTED_EDGE('',*,*,#204184,.F.); #276726=ORIENTED_EDGE('',*,*,#204185,.T.); #276727=ORIENTED_EDGE('',*,*,#204184,.T.); #276728=ORIENTED_EDGE('',*,*,#204186,.F.); #276729=ORIENTED_EDGE('',*,*,#204187,.F.); #276730=ORIENTED_EDGE('',*,*,#204188,.T.); #276731=ORIENTED_EDGE('',*,*,#204187,.T.); #276732=ORIENTED_EDGE('',*,*,#204189,.F.); #276733=ORIENTED_EDGE('',*,*,#204190,.F.); #276734=ORIENTED_EDGE('',*,*,#204191,.T.); #276735=ORIENTED_EDGE('',*,*,#204190,.T.); #276736=ORIENTED_EDGE('',*,*,#204192,.F.); #276737=ORIENTED_EDGE('',*,*,#204193,.F.); #276738=ORIENTED_EDGE('',*,*,#204194,.T.); #276739=ORIENTED_EDGE('',*,*,#204193,.T.); #276740=ORIENTED_EDGE('',*,*,#204195,.F.); #276741=ORIENTED_EDGE('',*,*,#204196,.F.); #276742=ORIENTED_EDGE('',*,*,#204197,.T.); #276743=ORIENTED_EDGE('',*,*,#204196,.T.); #276744=ORIENTED_EDGE('',*,*,#204198,.F.); #276745=ORIENTED_EDGE('',*,*,#204199,.F.); #276746=ORIENTED_EDGE('',*,*,#204200,.T.); #276747=ORIENTED_EDGE('',*,*,#204199,.T.); #276748=ORIENTED_EDGE('',*,*,#204201,.F.); #276749=ORIENTED_EDGE('',*,*,#204202,.F.); #276750=ORIENTED_EDGE('',*,*,#204203,.T.); #276751=ORIENTED_EDGE('',*,*,#204202,.T.); #276752=ORIENTED_EDGE('',*,*,#204204,.F.); #276753=ORIENTED_EDGE('',*,*,#204205,.F.); #276754=ORIENTED_EDGE('',*,*,#204206,.T.); #276755=ORIENTED_EDGE('',*,*,#204205,.T.); #276756=ORIENTED_EDGE('',*,*,#204207,.F.); #276757=ORIENTED_EDGE('',*,*,#204208,.F.); #276758=ORIENTED_EDGE('',*,*,#204209,.T.); #276759=ORIENTED_EDGE('',*,*,#204208,.T.); #276760=ORIENTED_EDGE('',*,*,#204210,.F.); #276761=ORIENTED_EDGE('',*,*,#204211,.F.); #276762=ORIENTED_EDGE('',*,*,#204212,.T.); #276763=ORIENTED_EDGE('',*,*,#204211,.T.); #276764=ORIENTED_EDGE('',*,*,#204213,.F.); #276765=ORIENTED_EDGE('',*,*,#204214,.F.); #276766=ORIENTED_EDGE('',*,*,#204215,.T.); #276767=ORIENTED_EDGE('',*,*,#204214,.T.); #276768=ORIENTED_EDGE('',*,*,#204216,.F.); #276769=ORIENTED_EDGE('',*,*,#204217,.F.); #276770=ORIENTED_EDGE('',*,*,#204218,.T.); #276771=ORIENTED_EDGE('',*,*,#204217,.T.); #276772=ORIENTED_EDGE('',*,*,#204219,.F.); #276773=ORIENTED_EDGE('',*,*,#204220,.F.); #276774=ORIENTED_EDGE('',*,*,#204221,.T.); #276775=ORIENTED_EDGE('',*,*,#204220,.T.); #276776=ORIENTED_EDGE('',*,*,#204222,.F.); #276777=ORIENTED_EDGE('',*,*,#204223,.F.); #276778=ORIENTED_EDGE('',*,*,#204224,.T.); #276779=ORIENTED_EDGE('',*,*,#204223,.T.); #276780=ORIENTED_EDGE('',*,*,#204225,.F.); #276781=ORIENTED_EDGE('',*,*,#204226,.F.); #276782=ORIENTED_EDGE('',*,*,#204227,.T.); #276783=ORIENTED_EDGE('',*,*,#204226,.T.); #276784=ORIENTED_EDGE('',*,*,#204228,.F.); #276785=ORIENTED_EDGE('',*,*,#204229,.F.); #276786=ORIENTED_EDGE('',*,*,#204230,.T.); #276787=ORIENTED_EDGE('',*,*,#204229,.T.); #276788=ORIENTED_EDGE('',*,*,#204231,.F.); #276789=ORIENTED_EDGE('',*,*,#204232,.F.); #276790=ORIENTED_EDGE('',*,*,#204233,.T.); #276791=ORIENTED_EDGE('',*,*,#204232,.T.); #276792=ORIENTED_EDGE('',*,*,#204234,.F.); #276793=ORIENTED_EDGE('',*,*,#204235,.F.); #276794=ORIENTED_EDGE('',*,*,#204236,.T.); #276795=ORIENTED_EDGE('',*,*,#204235,.T.); #276796=ORIENTED_EDGE('',*,*,#204237,.F.); #276797=ORIENTED_EDGE('',*,*,#204238,.F.); #276798=ORIENTED_EDGE('',*,*,#204239,.T.); #276799=ORIENTED_EDGE('',*,*,#204238,.T.); #276800=ORIENTED_EDGE('',*,*,#204240,.F.); #276801=ORIENTED_EDGE('',*,*,#204241,.F.); #276802=ORIENTED_EDGE('',*,*,#204242,.T.); #276803=ORIENTED_EDGE('',*,*,#204241,.T.); #276804=ORIENTED_EDGE('',*,*,#204243,.F.); #276805=ORIENTED_EDGE('',*,*,#204244,.F.); #276806=ORIENTED_EDGE('',*,*,#204245,.T.); #276807=ORIENTED_EDGE('',*,*,#204244,.T.); #276808=ORIENTED_EDGE('',*,*,#204246,.F.); #276809=ORIENTED_EDGE('',*,*,#204247,.F.); #276810=ORIENTED_EDGE('',*,*,#204248,.T.); #276811=ORIENTED_EDGE('',*,*,#204247,.T.); #276812=ORIENTED_EDGE('',*,*,#204249,.F.); #276813=ORIENTED_EDGE('',*,*,#204250,.F.); #276814=ORIENTED_EDGE('',*,*,#204251,.T.); #276815=ORIENTED_EDGE('',*,*,#204250,.T.); #276816=ORIENTED_EDGE('',*,*,#204252,.F.); #276817=ORIENTED_EDGE('',*,*,#204182,.F.); #276818=ORIENTED_EDGE('',*,*,#204253,.T.); #276819=ORIENTED_EDGE('',*,*,#204254,.T.); #276820=ORIENTED_EDGE('',*,*,#204255,.F.); #276821=ORIENTED_EDGE('',*,*,#204256,.F.); #276822=ORIENTED_EDGE('',*,*,#204257,.T.); #276823=ORIENTED_EDGE('',*,*,#204256,.T.); #276824=ORIENTED_EDGE('',*,*,#204258,.F.); #276825=ORIENTED_EDGE('',*,*,#204259,.F.); #276826=ORIENTED_EDGE('',*,*,#204260,.T.); #276827=ORIENTED_EDGE('',*,*,#204259,.T.); #276828=ORIENTED_EDGE('',*,*,#204261,.F.); #276829=ORIENTED_EDGE('',*,*,#204262,.F.); #276830=ORIENTED_EDGE('',*,*,#204263,.T.); #276831=ORIENTED_EDGE('',*,*,#204262,.T.); #276832=ORIENTED_EDGE('',*,*,#204264,.F.); #276833=ORIENTED_EDGE('',*,*,#204265,.F.); #276834=ORIENTED_EDGE('',*,*,#204266,.T.); #276835=ORIENTED_EDGE('',*,*,#204265,.T.); #276836=ORIENTED_EDGE('',*,*,#204267,.F.); #276837=ORIENTED_EDGE('',*,*,#204268,.F.); #276838=ORIENTED_EDGE('',*,*,#204269,.T.); #276839=ORIENTED_EDGE('',*,*,#204268,.T.); #276840=ORIENTED_EDGE('',*,*,#204270,.F.); #276841=ORIENTED_EDGE('',*,*,#204271,.F.); #276842=ORIENTED_EDGE('',*,*,#204272,.T.); #276843=ORIENTED_EDGE('',*,*,#204271,.T.); #276844=ORIENTED_EDGE('',*,*,#204273,.F.); #276845=ORIENTED_EDGE('',*,*,#204274,.F.); #276846=ORIENTED_EDGE('',*,*,#204275,.T.); #276847=ORIENTED_EDGE('',*,*,#204274,.T.); #276848=ORIENTED_EDGE('',*,*,#204276,.F.); #276849=ORIENTED_EDGE('',*,*,#204277,.F.); #276850=ORIENTED_EDGE('',*,*,#204278,.T.); #276851=ORIENTED_EDGE('',*,*,#204277,.T.); #276852=ORIENTED_EDGE('',*,*,#204279,.F.); #276853=ORIENTED_EDGE('',*,*,#204280,.F.); #276854=ORIENTED_EDGE('',*,*,#204281,.T.); #276855=ORIENTED_EDGE('',*,*,#204280,.T.); #276856=ORIENTED_EDGE('',*,*,#204282,.F.); #276857=ORIENTED_EDGE('',*,*,#204283,.F.); #276858=ORIENTED_EDGE('',*,*,#204284,.T.); #276859=ORIENTED_EDGE('',*,*,#204283,.T.); #276860=ORIENTED_EDGE('',*,*,#204285,.F.); #276861=ORIENTED_EDGE('',*,*,#204286,.F.); #276862=ORIENTED_EDGE('',*,*,#204287,.T.); #276863=ORIENTED_EDGE('',*,*,#204286,.T.); #276864=ORIENTED_EDGE('',*,*,#204288,.F.); #276865=ORIENTED_EDGE('',*,*,#204289,.F.); #276866=ORIENTED_EDGE('',*,*,#204290,.T.); #276867=ORIENTED_EDGE('',*,*,#204289,.T.); #276868=ORIENTED_EDGE('',*,*,#204291,.F.); #276869=ORIENTED_EDGE('',*,*,#204292,.F.); #276870=ORIENTED_EDGE('',*,*,#204293,.T.); #276871=ORIENTED_EDGE('',*,*,#204292,.T.); #276872=ORIENTED_EDGE('',*,*,#204294,.F.); #276873=ORIENTED_EDGE('',*,*,#204295,.F.); #276874=ORIENTED_EDGE('',*,*,#204296,.T.); #276875=ORIENTED_EDGE('',*,*,#204295,.T.); #276876=ORIENTED_EDGE('',*,*,#204297,.F.); #276877=ORIENTED_EDGE('',*,*,#204298,.F.); #276878=ORIENTED_EDGE('',*,*,#204299,.T.); #276879=ORIENTED_EDGE('',*,*,#204298,.T.); #276880=ORIENTED_EDGE('',*,*,#204300,.F.); #276881=ORIENTED_EDGE('',*,*,#204301,.F.); #276882=ORIENTED_EDGE('',*,*,#204302,.T.); #276883=ORIENTED_EDGE('',*,*,#204301,.T.); #276884=ORIENTED_EDGE('',*,*,#204303,.F.); #276885=ORIENTED_EDGE('',*,*,#204304,.F.); #276886=ORIENTED_EDGE('',*,*,#204305,.T.); #276887=ORIENTED_EDGE('',*,*,#204304,.T.); #276888=ORIENTED_EDGE('',*,*,#204306,.F.); #276889=ORIENTED_EDGE('',*,*,#204307,.F.); #276890=ORIENTED_EDGE('',*,*,#204308,.T.); #276891=ORIENTED_EDGE('',*,*,#204307,.T.); #276892=ORIENTED_EDGE('',*,*,#204309,.F.); #276893=ORIENTED_EDGE('',*,*,#204310,.F.); #276894=ORIENTED_EDGE('',*,*,#204311,.T.); #276895=ORIENTED_EDGE('',*,*,#204310,.T.); #276896=ORIENTED_EDGE('',*,*,#204312,.F.); #276897=ORIENTED_EDGE('',*,*,#204313,.F.); #276898=ORIENTED_EDGE('',*,*,#204314,.T.); #276899=ORIENTED_EDGE('',*,*,#204313,.T.); #276900=ORIENTED_EDGE('',*,*,#204315,.F.); #276901=ORIENTED_EDGE('',*,*,#204316,.F.); #276902=ORIENTED_EDGE('',*,*,#204317,.T.); #276903=ORIENTED_EDGE('',*,*,#204316,.T.); #276904=ORIENTED_EDGE('',*,*,#204318,.F.); #276905=ORIENTED_EDGE('',*,*,#204319,.F.); #276906=ORIENTED_EDGE('',*,*,#204320,.T.); #276907=ORIENTED_EDGE('',*,*,#204319,.T.); #276908=ORIENTED_EDGE('',*,*,#204321,.F.); #276909=ORIENTED_EDGE('',*,*,#204322,.F.); #276910=ORIENTED_EDGE('',*,*,#204323,.T.); #276911=ORIENTED_EDGE('',*,*,#204322,.T.); #276912=ORIENTED_EDGE('',*,*,#204324,.F.); #276913=ORIENTED_EDGE('',*,*,#204325,.F.); #276914=ORIENTED_EDGE('',*,*,#204326,.T.); #276915=ORIENTED_EDGE('',*,*,#204325,.T.); #276916=ORIENTED_EDGE('',*,*,#204327,.F.); #276917=ORIENTED_EDGE('',*,*,#204328,.F.); #276918=ORIENTED_EDGE('',*,*,#204329,.T.); #276919=ORIENTED_EDGE('',*,*,#204328,.T.); #276920=ORIENTED_EDGE('',*,*,#204330,.F.); #276921=ORIENTED_EDGE('',*,*,#204331,.F.); #276922=ORIENTED_EDGE('',*,*,#204332,.T.); #276923=ORIENTED_EDGE('',*,*,#204331,.T.); #276924=ORIENTED_EDGE('',*,*,#204333,.F.); #276925=ORIENTED_EDGE('',*,*,#204334,.F.); #276926=ORIENTED_EDGE('',*,*,#204335,.T.); #276927=ORIENTED_EDGE('',*,*,#204334,.T.); #276928=ORIENTED_EDGE('',*,*,#204336,.F.); #276929=ORIENTED_EDGE('',*,*,#204337,.F.); #276930=ORIENTED_EDGE('',*,*,#204338,.T.); #276931=ORIENTED_EDGE('',*,*,#204337,.T.); #276932=ORIENTED_EDGE('',*,*,#204339,.F.); #276933=ORIENTED_EDGE('',*,*,#204340,.F.); #276934=ORIENTED_EDGE('',*,*,#204341,.T.); #276935=ORIENTED_EDGE('',*,*,#204340,.T.); #276936=ORIENTED_EDGE('',*,*,#204342,.F.); #276937=ORIENTED_EDGE('',*,*,#204254,.F.); #276938=ORIENTED_EDGE('',*,*,#204343,.T.); #276939=ORIENTED_EDGE('',*,*,#204344,.T.); #276940=ORIENTED_EDGE('',*,*,#204345,.F.); #276941=ORIENTED_EDGE('',*,*,#204346,.F.); #276942=ORIENTED_EDGE('',*,*,#204347,.T.); #276943=ORIENTED_EDGE('',*,*,#204346,.T.); #276944=ORIENTED_EDGE('',*,*,#204348,.F.); #276945=ORIENTED_EDGE('',*,*,#204349,.F.); #276946=ORIENTED_EDGE('',*,*,#204350,.T.); #276947=ORIENTED_EDGE('',*,*,#204349,.T.); #276948=ORIENTED_EDGE('',*,*,#204351,.F.); #276949=ORIENTED_EDGE('',*,*,#204352,.F.); #276950=ORIENTED_EDGE('',*,*,#204353,.T.); #276951=ORIENTED_EDGE('',*,*,#204352,.T.); #276952=ORIENTED_EDGE('',*,*,#204354,.F.); #276953=ORIENTED_EDGE('',*,*,#204355,.F.); #276954=ORIENTED_EDGE('',*,*,#204356,.T.); #276955=ORIENTED_EDGE('',*,*,#204355,.T.); #276956=ORIENTED_EDGE('',*,*,#204357,.F.); #276957=ORIENTED_EDGE('',*,*,#204358,.F.); #276958=ORIENTED_EDGE('',*,*,#204359,.T.); #276959=ORIENTED_EDGE('',*,*,#204358,.T.); #276960=ORIENTED_EDGE('',*,*,#204360,.F.); #276961=ORIENTED_EDGE('',*,*,#204361,.F.); #276962=ORIENTED_EDGE('',*,*,#204362,.T.); #276963=ORIENTED_EDGE('',*,*,#204361,.T.); #276964=ORIENTED_EDGE('',*,*,#204363,.F.); #276965=ORIENTED_EDGE('',*,*,#204364,.F.); #276966=ORIENTED_EDGE('',*,*,#204365,.T.); #276967=ORIENTED_EDGE('',*,*,#204364,.T.); #276968=ORIENTED_EDGE('',*,*,#204366,.F.); #276969=ORIENTED_EDGE('',*,*,#204367,.F.); #276970=ORIENTED_EDGE('',*,*,#204368,.T.); #276971=ORIENTED_EDGE('',*,*,#204367,.T.); #276972=ORIENTED_EDGE('',*,*,#204369,.F.); #276973=ORIENTED_EDGE('',*,*,#204370,.F.); #276974=ORIENTED_EDGE('',*,*,#204371,.T.); #276975=ORIENTED_EDGE('',*,*,#204370,.T.); #276976=ORIENTED_EDGE('',*,*,#204372,.F.); #276977=ORIENTED_EDGE('',*,*,#204373,.F.); #276978=ORIENTED_EDGE('',*,*,#204374,.T.); #276979=ORIENTED_EDGE('',*,*,#204373,.T.); #276980=ORIENTED_EDGE('',*,*,#204375,.F.); #276981=ORIENTED_EDGE('',*,*,#204376,.F.); #276982=ORIENTED_EDGE('',*,*,#204377,.T.); #276983=ORIENTED_EDGE('',*,*,#204376,.T.); #276984=ORIENTED_EDGE('',*,*,#204378,.F.); #276985=ORIENTED_EDGE('',*,*,#204379,.F.); #276986=ORIENTED_EDGE('',*,*,#204380,.T.); #276987=ORIENTED_EDGE('',*,*,#204379,.T.); #276988=ORIENTED_EDGE('',*,*,#204381,.F.); #276989=ORIENTED_EDGE('',*,*,#204382,.F.); #276990=ORIENTED_EDGE('',*,*,#204383,.T.); #276991=ORIENTED_EDGE('',*,*,#204382,.T.); #276992=ORIENTED_EDGE('',*,*,#204384,.F.); #276993=ORIENTED_EDGE('',*,*,#204385,.F.); #276994=ORIENTED_EDGE('',*,*,#204386,.T.); #276995=ORIENTED_EDGE('',*,*,#204385,.T.); #276996=ORIENTED_EDGE('',*,*,#204387,.F.); #276997=ORIENTED_EDGE('',*,*,#204388,.F.); #276998=ORIENTED_EDGE('',*,*,#204389,.T.); #276999=ORIENTED_EDGE('',*,*,#204388,.T.); #277000=ORIENTED_EDGE('',*,*,#204390,.F.); #277001=ORIENTED_EDGE('',*,*,#204391,.F.); #277002=ORIENTED_EDGE('',*,*,#204392,.T.); #277003=ORIENTED_EDGE('',*,*,#204391,.T.); #277004=ORIENTED_EDGE('',*,*,#204393,.F.); #277005=ORIENTED_EDGE('',*,*,#204394,.F.); #277006=ORIENTED_EDGE('',*,*,#204395,.T.); #277007=ORIENTED_EDGE('',*,*,#204394,.T.); #277008=ORIENTED_EDGE('',*,*,#204396,.F.); #277009=ORIENTED_EDGE('',*,*,#204397,.F.); #277010=ORIENTED_EDGE('',*,*,#204398,.T.); #277011=ORIENTED_EDGE('',*,*,#204397,.T.); #277012=ORIENTED_EDGE('',*,*,#204399,.F.); #277013=ORIENTED_EDGE('',*,*,#204400,.F.); #277014=ORIENTED_EDGE('',*,*,#204401,.T.); #277015=ORIENTED_EDGE('',*,*,#204400,.T.); #277016=ORIENTED_EDGE('',*,*,#204402,.F.); #277017=ORIENTED_EDGE('',*,*,#204403,.F.); #277018=ORIENTED_EDGE('',*,*,#204404,.T.); #277019=ORIENTED_EDGE('',*,*,#204403,.T.); #277020=ORIENTED_EDGE('',*,*,#204405,.F.); #277021=ORIENTED_EDGE('',*,*,#204406,.F.); #277022=ORIENTED_EDGE('',*,*,#204407,.T.); #277023=ORIENTED_EDGE('',*,*,#204406,.T.); #277024=ORIENTED_EDGE('',*,*,#204408,.F.); #277025=ORIENTED_EDGE('',*,*,#204409,.F.); #277026=ORIENTED_EDGE('',*,*,#204410,.T.); #277027=ORIENTED_EDGE('',*,*,#204409,.T.); #277028=ORIENTED_EDGE('',*,*,#204411,.F.); #277029=ORIENTED_EDGE('',*,*,#204412,.F.); #277030=ORIENTED_EDGE('',*,*,#204413,.T.); #277031=ORIENTED_EDGE('',*,*,#204412,.T.); #277032=ORIENTED_EDGE('',*,*,#204414,.F.); #277033=ORIENTED_EDGE('',*,*,#204415,.F.); #277034=ORIENTED_EDGE('',*,*,#204416,.T.); #277035=ORIENTED_EDGE('',*,*,#204415,.T.); #277036=ORIENTED_EDGE('',*,*,#204417,.F.); #277037=ORIENTED_EDGE('',*,*,#204418,.F.); #277038=ORIENTED_EDGE('',*,*,#204419,.T.); #277039=ORIENTED_EDGE('',*,*,#204418,.T.); #277040=ORIENTED_EDGE('',*,*,#204420,.F.); #277041=ORIENTED_EDGE('',*,*,#204421,.F.); #277042=ORIENTED_EDGE('',*,*,#204422,.T.); #277043=ORIENTED_EDGE('',*,*,#204421,.T.); #277044=ORIENTED_EDGE('',*,*,#204423,.F.); #277045=ORIENTED_EDGE('',*,*,#204424,.F.); #277046=ORIENTED_EDGE('',*,*,#204425,.T.); #277047=ORIENTED_EDGE('',*,*,#204424,.T.); #277048=ORIENTED_EDGE('',*,*,#204426,.F.); #277049=ORIENTED_EDGE('',*,*,#204427,.F.); #277050=ORIENTED_EDGE('',*,*,#204428,.T.); #277051=ORIENTED_EDGE('',*,*,#204427,.T.); #277052=ORIENTED_EDGE('',*,*,#204429,.F.); #277053=ORIENTED_EDGE('',*,*,#204430,.F.); #277054=ORIENTED_EDGE('',*,*,#204431,.T.); #277055=ORIENTED_EDGE('',*,*,#204430,.T.); #277056=ORIENTED_EDGE('',*,*,#204432,.F.); #277057=ORIENTED_EDGE('',*,*,#204344,.F.); #277058=ORIENTED_EDGE('',*,*,#204433,.T.); #277059=ORIENTED_EDGE('',*,*,#204434,.T.); #277060=ORIENTED_EDGE('',*,*,#204435,.F.); #277061=ORIENTED_EDGE('',*,*,#204436,.F.); #277062=ORIENTED_EDGE('',*,*,#204437,.T.); #277063=ORIENTED_EDGE('',*,*,#204436,.T.); #277064=ORIENTED_EDGE('',*,*,#204438,.F.); #277065=ORIENTED_EDGE('',*,*,#204439,.F.); #277066=ORIENTED_EDGE('',*,*,#204440,.T.); #277067=ORIENTED_EDGE('',*,*,#204439,.T.); #277068=ORIENTED_EDGE('',*,*,#204441,.F.); #277069=ORIENTED_EDGE('',*,*,#204442,.F.); #277070=ORIENTED_EDGE('',*,*,#204443,.T.); #277071=ORIENTED_EDGE('',*,*,#204442,.T.); #277072=ORIENTED_EDGE('',*,*,#204444,.F.); #277073=ORIENTED_EDGE('',*,*,#204445,.F.); #277074=ORIENTED_EDGE('',*,*,#204446,.T.); #277075=ORIENTED_EDGE('',*,*,#204445,.T.); #277076=ORIENTED_EDGE('',*,*,#204447,.F.); #277077=ORIENTED_EDGE('',*,*,#204448,.F.); #277078=ORIENTED_EDGE('',*,*,#204449,.T.); #277079=ORIENTED_EDGE('',*,*,#204448,.T.); #277080=ORIENTED_EDGE('',*,*,#204450,.F.); #277081=ORIENTED_EDGE('',*,*,#204451,.F.); #277082=ORIENTED_EDGE('',*,*,#204452,.T.); #277083=ORIENTED_EDGE('',*,*,#204451,.T.); #277084=ORIENTED_EDGE('',*,*,#204453,.F.); #277085=ORIENTED_EDGE('',*,*,#204454,.F.); #277086=ORIENTED_EDGE('',*,*,#204455,.T.); #277087=ORIENTED_EDGE('',*,*,#204454,.T.); #277088=ORIENTED_EDGE('',*,*,#204456,.F.); #277089=ORIENTED_EDGE('',*,*,#204457,.F.); #277090=ORIENTED_EDGE('',*,*,#204458,.T.); #277091=ORIENTED_EDGE('',*,*,#204457,.T.); #277092=ORIENTED_EDGE('',*,*,#204459,.F.); #277093=ORIENTED_EDGE('',*,*,#204460,.F.); #277094=ORIENTED_EDGE('',*,*,#204461,.T.); #277095=ORIENTED_EDGE('',*,*,#204460,.T.); #277096=ORIENTED_EDGE('',*,*,#204462,.F.); #277097=ORIENTED_EDGE('',*,*,#204463,.F.); #277098=ORIENTED_EDGE('',*,*,#204464,.T.); #277099=ORIENTED_EDGE('',*,*,#204463,.T.); #277100=ORIENTED_EDGE('',*,*,#204465,.F.); #277101=ORIENTED_EDGE('',*,*,#204466,.F.); #277102=ORIENTED_EDGE('',*,*,#204467,.T.); #277103=ORIENTED_EDGE('',*,*,#204466,.T.); #277104=ORIENTED_EDGE('',*,*,#204468,.F.); #277105=ORIENTED_EDGE('',*,*,#204469,.F.); #277106=ORIENTED_EDGE('',*,*,#204470,.T.); #277107=ORIENTED_EDGE('',*,*,#204469,.T.); #277108=ORIENTED_EDGE('',*,*,#204471,.F.); #277109=ORIENTED_EDGE('',*,*,#204472,.F.); #277110=ORIENTED_EDGE('',*,*,#204473,.T.); #277111=ORIENTED_EDGE('',*,*,#204472,.T.); #277112=ORIENTED_EDGE('',*,*,#204474,.F.); #277113=ORIENTED_EDGE('',*,*,#204475,.F.); #277114=ORIENTED_EDGE('',*,*,#204476,.T.); #277115=ORIENTED_EDGE('',*,*,#204475,.T.); #277116=ORIENTED_EDGE('',*,*,#204477,.F.); #277117=ORIENTED_EDGE('',*,*,#204478,.F.); #277118=ORIENTED_EDGE('',*,*,#204479,.T.); #277119=ORIENTED_EDGE('',*,*,#204478,.T.); #277120=ORIENTED_EDGE('',*,*,#204480,.F.); #277121=ORIENTED_EDGE('',*,*,#204481,.F.); #277122=ORIENTED_EDGE('',*,*,#204482,.T.); #277123=ORIENTED_EDGE('',*,*,#204481,.T.); #277124=ORIENTED_EDGE('',*,*,#204483,.F.); #277125=ORIENTED_EDGE('',*,*,#204484,.F.); #277126=ORIENTED_EDGE('',*,*,#204485,.T.); #277127=ORIENTED_EDGE('',*,*,#204484,.T.); #277128=ORIENTED_EDGE('',*,*,#204486,.F.); #277129=ORIENTED_EDGE('',*,*,#204487,.F.); #277130=ORIENTED_EDGE('',*,*,#204488,.T.); #277131=ORIENTED_EDGE('',*,*,#204487,.T.); #277132=ORIENTED_EDGE('',*,*,#204489,.F.); #277133=ORIENTED_EDGE('',*,*,#204490,.F.); #277134=ORIENTED_EDGE('',*,*,#204491,.T.); #277135=ORIENTED_EDGE('',*,*,#204490,.T.); #277136=ORIENTED_EDGE('',*,*,#204492,.F.); #277137=ORIENTED_EDGE('',*,*,#204493,.F.); #277138=ORIENTED_EDGE('',*,*,#204494,.T.); #277139=ORIENTED_EDGE('',*,*,#204493,.T.); #277140=ORIENTED_EDGE('',*,*,#204495,.F.); #277141=ORIENTED_EDGE('',*,*,#204496,.F.); #277142=ORIENTED_EDGE('',*,*,#204497,.T.); #277143=ORIENTED_EDGE('',*,*,#204496,.T.); #277144=ORIENTED_EDGE('',*,*,#204498,.F.); #277145=ORIENTED_EDGE('',*,*,#204499,.F.); #277146=ORIENTED_EDGE('',*,*,#204500,.T.); #277147=ORIENTED_EDGE('',*,*,#204499,.T.); #277148=ORIENTED_EDGE('',*,*,#204501,.F.); #277149=ORIENTED_EDGE('',*,*,#204502,.F.); #277150=ORIENTED_EDGE('',*,*,#204503,.T.); #277151=ORIENTED_EDGE('',*,*,#204502,.T.); #277152=ORIENTED_EDGE('',*,*,#204504,.F.); #277153=ORIENTED_EDGE('',*,*,#204505,.F.); #277154=ORIENTED_EDGE('',*,*,#204506,.T.); #277155=ORIENTED_EDGE('',*,*,#204505,.T.); #277156=ORIENTED_EDGE('',*,*,#204507,.F.); #277157=ORIENTED_EDGE('',*,*,#204508,.F.); #277158=ORIENTED_EDGE('',*,*,#204509,.T.); #277159=ORIENTED_EDGE('',*,*,#204508,.T.); #277160=ORIENTED_EDGE('',*,*,#204510,.F.); #277161=ORIENTED_EDGE('',*,*,#204511,.F.); #277162=ORIENTED_EDGE('',*,*,#204512,.T.); #277163=ORIENTED_EDGE('',*,*,#204511,.T.); #277164=ORIENTED_EDGE('',*,*,#204513,.F.); #277165=ORIENTED_EDGE('',*,*,#204514,.F.); #277166=ORIENTED_EDGE('',*,*,#204515,.T.); #277167=ORIENTED_EDGE('',*,*,#204514,.T.); #277168=ORIENTED_EDGE('',*,*,#204516,.F.); #277169=ORIENTED_EDGE('',*,*,#204517,.F.); #277170=ORIENTED_EDGE('',*,*,#204518,.T.); #277171=ORIENTED_EDGE('',*,*,#204517,.T.); #277172=ORIENTED_EDGE('',*,*,#204519,.F.); #277173=ORIENTED_EDGE('',*,*,#204520,.F.); #277174=ORIENTED_EDGE('',*,*,#204521,.T.); #277175=ORIENTED_EDGE('',*,*,#204520,.T.); #277176=ORIENTED_EDGE('',*,*,#204522,.F.); #277177=ORIENTED_EDGE('',*,*,#204434,.F.); #277178=ORIENTED_EDGE('',*,*,#204523,.T.); #277179=ORIENTED_EDGE('',*,*,#204524,.T.); #277180=ORIENTED_EDGE('',*,*,#204525,.F.); #277181=ORIENTED_EDGE('',*,*,#204526,.F.); #277182=ORIENTED_EDGE('',*,*,#204527,.T.); #277183=ORIENTED_EDGE('',*,*,#204526,.T.); #277184=ORIENTED_EDGE('',*,*,#204528,.F.); #277185=ORIENTED_EDGE('',*,*,#204529,.F.); #277186=ORIENTED_EDGE('',*,*,#204530,.T.); #277187=ORIENTED_EDGE('',*,*,#204529,.T.); #277188=ORIENTED_EDGE('',*,*,#204531,.F.); #277189=ORIENTED_EDGE('',*,*,#204532,.F.); #277190=ORIENTED_EDGE('',*,*,#204533,.T.); #277191=ORIENTED_EDGE('',*,*,#204532,.T.); #277192=ORIENTED_EDGE('',*,*,#204534,.F.); #277193=ORIENTED_EDGE('',*,*,#204535,.F.); #277194=ORIENTED_EDGE('',*,*,#204536,.T.); #277195=ORIENTED_EDGE('',*,*,#204535,.T.); #277196=ORIENTED_EDGE('',*,*,#204537,.F.); #277197=ORIENTED_EDGE('',*,*,#204538,.F.); #277198=ORIENTED_EDGE('',*,*,#204539,.T.); #277199=ORIENTED_EDGE('',*,*,#204538,.T.); #277200=ORIENTED_EDGE('',*,*,#204540,.F.); #277201=ORIENTED_EDGE('',*,*,#204541,.F.); #277202=ORIENTED_EDGE('',*,*,#204542,.T.); #277203=ORIENTED_EDGE('',*,*,#204541,.T.); #277204=ORIENTED_EDGE('',*,*,#204543,.F.); #277205=ORIENTED_EDGE('',*,*,#204544,.F.); #277206=ORIENTED_EDGE('',*,*,#204545,.T.); #277207=ORIENTED_EDGE('',*,*,#204544,.T.); #277208=ORIENTED_EDGE('',*,*,#204546,.F.); #277209=ORIENTED_EDGE('',*,*,#204547,.F.); #277210=ORIENTED_EDGE('',*,*,#204548,.T.); #277211=ORIENTED_EDGE('',*,*,#204547,.T.); #277212=ORIENTED_EDGE('',*,*,#204549,.F.); #277213=ORIENTED_EDGE('',*,*,#204550,.F.); #277214=ORIENTED_EDGE('',*,*,#204551,.T.); #277215=ORIENTED_EDGE('',*,*,#204550,.T.); #277216=ORIENTED_EDGE('',*,*,#204552,.F.); #277217=ORIENTED_EDGE('',*,*,#204553,.F.); #277218=ORIENTED_EDGE('',*,*,#204554,.T.); #277219=ORIENTED_EDGE('',*,*,#204553,.T.); #277220=ORIENTED_EDGE('',*,*,#204555,.F.); #277221=ORIENTED_EDGE('',*,*,#204556,.F.); #277222=ORIENTED_EDGE('',*,*,#204557,.T.); #277223=ORIENTED_EDGE('',*,*,#204556,.T.); #277224=ORIENTED_EDGE('',*,*,#204558,.F.); #277225=ORIENTED_EDGE('',*,*,#204559,.F.); #277226=ORIENTED_EDGE('',*,*,#204560,.T.); #277227=ORIENTED_EDGE('',*,*,#204559,.T.); #277228=ORIENTED_EDGE('',*,*,#204561,.F.); #277229=ORIENTED_EDGE('',*,*,#204562,.F.); #277230=ORIENTED_EDGE('',*,*,#204563,.T.); #277231=ORIENTED_EDGE('',*,*,#204562,.T.); #277232=ORIENTED_EDGE('',*,*,#204564,.F.); #277233=ORIENTED_EDGE('',*,*,#204565,.F.); #277234=ORIENTED_EDGE('',*,*,#204566,.T.); #277235=ORIENTED_EDGE('',*,*,#204565,.T.); #277236=ORIENTED_EDGE('',*,*,#204567,.F.); #277237=ORIENTED_EDGE('',*,*,#204568,.F.); #277238=ORIENTED_EDGE('',*,*,#204569,.T.); #277239=ORIENTED_EDGE('',*,*,#204568,.T.); #277240=ORIENTED_EDGE('',*,*,#204570,.F.); #277241=ORIENTED_EDGE('',*,*,#204571,.F.); #277242=ORIENTED_EDGE('',*,*,#204572,.T.); #277243=ORIENTED_EDGE('',*,*,#204571,.T.); #277244=ORIENTED_EDGE('',*,*,#204573,.F.); #277245=ORIENTED_EDGE('',*,*,#204574,.F.); #277246=ORIENTED_EDGE('',*,*,#204575,.T.); #277247=ORIENTED_EDGE('',*,*,#204574,.T.); #277248=ORIENTED_EDGE('',*,*,#204576,.F.); #277249=ORIENTED_EDGE('',*,*,#204577,.F.); #277250=ORIENTED_EDGE('',*,*,#204578,.T.); #277251=ORIENTED_EDGE('',*,*,#204577,.T.); #277252=ORIENTED_EDGE('',*,*,#204579,.F.); #277253=ORIENTED_EDGE('',*,*,#204580,.F.); #277254=ORIENTED_EDGE('',*,*,#204581,.T.); #277255=ORIENTED_EDGE('',*,*,#204580,.T.); #277256=ORIENTED_EDGE('',*,*,#204582,.F.); #277257=ORIENTED_EDGE('',*,*,#204583,.F.); #277258=ORIENTED_EDGE('',*,*,#204584,.T.); #277259=ORIENTED_EDGE('',*,*,#204583,.T.); #277260=ORIENTED_EDGE('',*,*,#204585,.F.); #277261=ORIENTED_EDGE('',*,*,#204586,.F.); #277262=ORIENTED_EDGE('',*,*,#204587,.T.); #277263=ORIENTED_EDGE('',*,*,#204586,.T.); #277264=ORIENTED_EDGE('',*,*,#204588,.F.); #277265=ORIENTED_EDGE('',*,*,#204589,.F.); #277266=ORIENTED_EDGE('',*,*,#204590,.T.); #277267=ORIENTED_EDGE('',*,*,#204589,.T.); #277268=ORIENTED_EDGE('',*,*,#204591,.F.); #277269=ORIENTED_EDGE('',*,*,#204592,.F.); #277270=ORIENTED_EDGE('',*,*,#204593,.T.); #277271=ORIENTED_EDGE('',*,*,#204592,.T.); #277272=ORIENTED_EDGE('',*,*,#204594,.F.); #277273=ORIENTED_EDGE('',*,*,#204595,.F.); #277274=ORIENTED_EDGE('',*,*,#204596,.T.); #277275=ORIENTED_EDGE('',*,*,#204595,.T.); #277276=ORIENTED_EDGE('',*,*,#204597,.F.); #277277=ORIENTED_EDGE('',*,*,#204598,.F.); #277278=ORIENTED_EDGE('',*,*,#204599,.T.); #277279=ORIENTED_EDGE('',*,*,#204598,.T.); #277280=ORIENTED_EDGE('',*,*,#204600,.F.); #277281=ORIENTED_EDGE('',*,*,#204601,.F.); #277282=ORIENTED_EDGE('',*,*,#204602,.T.); #277283=ORIENTED_EDGE('',*,*,#204601,.T.); #277284=ORIENTED_EDGE('',*,*,#204603,.F.); #277285=ORIENTED_EDGE('',*,*,#204604,.F.); #277286=ORIENTED_EDGE('',*,*,#204605,.T.); #277287=ORIENTED_EDGE('',*,*,#204604,.T.); #277288=ORIENTED_EDGE('',*,*,#204606,.F.); #277289=ORIENTED_EDGE('',*,*,#204607,.F.); #277290=ORIENTED_EDGE('',*,*,#204608,.T.); #277291=ORIENTED_EDGE('',*,*,#204607,.T.); #277292=ORIENTED_EDGE('',*,*,#204609,.F.); #277293=ORIENTED_EDGE('',*,*,#204610,.F.); #277294=ORIENTED_EDGE('',*,*,#204611,.T.); #277295=ORIENTED_EDGE('',*,*,#204610,.T.); #277296=ORIENTED_EDGE('',*,*,#204612,.F.); #277297=ORIENTED_EDGE('',*,*,#204524,.F.); #277298=ORIENTED_EDGE('',*,*,#204613,.T.); #277299=ORIENTED_EDGE('',*,*,#204614,.T.); #277300=ORIENTED_EDGE('',*,*,#204615,.F.); #277301=ORIENTED_EDGE('',*,*,#204616,.F.); #277302=ORIENTED_EDGE('',*,*,#204617,.T.); #277303=ORIENTED_EDGE('',*,*,#204616,.T.); #277304=ORIENTED_EDGE('',*,*,#204618,.F.); #277305=ORIENTED_EDGE('',*,*,#204619,.F.); #277306=ORIENTED_EDGE('',*,*,#204620,.T.); #277307=ORIENTED_EDGE('',*,*,#204619,.T.); #277308=ORIENTED_EDGE('',*,*,#204621,.F.); #277309=ORIENTED_EDGE('',*,*,#204622,.F.); #277310=ORIENTED_EDGE('',*,*,#204623,.T.); #277311=ORIENTED_EDGE('',*,*,#204622,.T.); #277312=ORIENTED_EDGE('',*,*,#204624,.F.); #277313=ORIENTED_EDGE('',*,*,#204625,.F.); #277314=ORIENTED_EDGE('',*,*,#204626,.T.); #277315=ORIENTED_EDGE('',*,*,#204625,.T.); #277316=ORIENTED_EDGE('',*,*,#204627,.F.); #277317=ORIENTED_EDGE('',*,*,#204628,.F.); #277318=ORIENTED_EDGE('',*,*,#204629,.T.); #277319=ORIENTED_EDGE('',*,*,#204628,.T.); #277320=ORIENTED_EDGE('',*,*,#204630,.F.); #277321=ORIENTED_EDGE('',*,*,#204631,.F.); #277322=ORIENTED_EDGE('',*,*,#204632,.T.); #277323=ORIENTED_EDGE('',*,*,#204631,.T.); #277324=ORIENTED_EDGE('',*,*,#204633,.F.); #277325=ORIENTED_EDGE('',*,*,#204634,.F.); #277326=ORIENTED_EDGE('',*,*,#204635,.T.); #277327=ORIENTED_EDGE('',*,*,#204634,.T.); #277328=ORIENTED_EDGE('',*,*,#204636,.F.); #277329=ORIENTED_EDGE('',*,*,#204637,.F.); #277330=ORIENTED_EDGE('',*,*,#204638,.T.); #277331=ORIENTED_EDGE('',*,*,#204637,.T.); #277332=ORIENTED_EDGE('',*,*,#204639,.F.); #277333=ORIENTED_EDGE('',*,*,#204640,.F.); #277334=ORIENTED_EDGE('',*,*,#204641,.T.); #277335=ORIENTED_EDGE('',*,*,#204640,.T.); #277336=ORIENTED_EDGE('',*,*,#204642,.F.); #277337=ORIENTED_EDGE('',*,*,#204643,.F.); #277338=ORIENTED_EDGE('',*,*,#204644,.T.); #277339=ORIENTED_EDGE('',*,*,#204643,.T.); #277340=ORIENTED_EDGE('',*,*,#204645,.F.); #277341=ORIENTED_EDGE('',*,*,#204646,.F.); #277342=ORIENTED_EDGE('',*,*,#204647,.T.); #277343=ORIENTED_EDGE('',*,*,#204646,.T.); #277344=ORIENTED_EDGE('',*,*,#204648,.F.); #277345=ORIENTED_EDGE('',*,*,#204649,.F.); #277346=ORIENTED_EDGE('',*,*,#204650,.T.); #277347=ORIENTED_EDGE('',*,*,#204649,.T.); #277348=ORIENTED_EDGE('',*,*,#204651,.F.); #277349=ORIENTED_EDGE('',*,*,#204652,.F.); #277350=ORIENTED_EDGE('',*,*,#204653,.T.); #277351=ORIENTED_EDGE('',*,*,#204652,.T.); #277352=ORIENTED_EDGE('',*,*,#204654,.F.); #277353=ORIENTED_EDGE('',*,*,#204655,.F.); #277354=ORIENTED_EDGE('',*,*,#204656,.T.); #277355=ORIENTED_EDGE('',*,*,#204655,.T.); #277356=ORIENTED_EDGE('',*,*,#204657,.F.); #277357=ORIENTED_EDGE('',*,*,#204658,.F.); #277358=ORIENTED_EDGE('',*,*,#204659,.T.); #277359=ORIENTED_EDGE('',*,*,#204658,.T.); #277360=ORIENTED_EDGE('',*,*,#204660,.F.); #277361=ORIENTED_EDGE('',*,*,#204661,.F.); #277362=ORIENTED_EDGE('',*,*,#204662,.T.); #277363=ORIENTED_EDGE('',*,*,#204661,.T.); #277364=ORIENTED_EDGE('',*,*,#204663,.F.); #277365=ORIENTED_EDGE('',*,*,#204664,.F.); #277366=ORIENTED_EDGE('',*,*,#204665,.T.); #277367=ORIENTED_EDGE('',*,*,#204664,.T.); #277368=ORIENTED_EDGE('',*,*,#204666,.F.); #277369=ORIENTED_EDGE('',*,*,#204667,.F.); #277370=ORIENTED_EDGE('',*,*,#204668,.T.); #277371=ORIENTED_EDGE('',*,*,#204667,.T.); #277372=ORIENTED_EDGE('',*,*,#204669,.F.); #277373=ORIENTED_EDGE('',*,*,#204670,.F.); #277374=ORIENTED_EDGE('',*,*,#204671,.T.); #277375=ORIENTED_EDGE('',*,*,#204670,.T.); #277376=ORIENTED_EDGE('',*,*,#204672,.F.); #277377=ORIENTED_EDGE('',*,*,#204673,.F.); #277378=ORIENTED_EDGE('',*,*,#204674,.T.); #277379=ORIENTED_EDGE('',*,*,#204673,.T.); #277380=ORIENTED_EDGE('',*,*,#204675,.F.); #277381=ORIENTED_EDGE('',*,*,#204676,.F.); #277382=ORIENTED_EDGE('',*,*,#204677,.T.); #277383=ORIENTED_EDGE('',*,*,#204676,.T.); #277384=ORIENTED_EDGE('',*,*,#204678,.F.); #277385=ORIENTED_EDGE('',*,*,#204679,.F.); #277386=ORIENTED_EDGE('',*,*,#204680,.T.); #277387=ORIENTED_EDGE('',*,*,#204679,.T.); #277388=ORIENTED_EDGE('',*,*,#204681,.F.); #277389=ORIENTED_EDGE('',*,*,#204682,.F.); #277390=ORIENTED_EDGE('',*,*,#204683,.T.); #277391=ORIENTED_EDGE('',*,*,#204682,.T.); #277392=ORIENTED_EDGE('',*,*,#204684,.F.); #277393=ORIENTED_EDGE('',*,*,#204685,.F.); #277394=ORIENTED_EDGE('',*,*,#204686,.T.); #277395=ORIENTED_EDGE('',*,*,#204685,.T.); #277396=ORIENTED_EDGE('',*,*,#204687,.F.); #277397=ORIENTED_EDGE('',*,*,#204688,.F.); #277398=ORIENTED_EDGE('',*,*,#204689,.T.); #277399=ORIENTED_EDGE('',*,*,#204688,.T.); #277400=ORIENTED_EDGE('',*,*,#204690,.F.); #277401=ORIENTED_EDGE('',*,*,#204691,.F.); #277402=ORIENTED_EDGE('',*,*,#204692,.T.); #277403=ORIENTED_EDGE('',*,*,#204691,.T.); #277404=ORIENTED_EDGE('',*,*,#204693,.F.); #277405=ORIENTED_EDGE('',*,*,#204694,.F.); #277406=ORIENTED_EDGE('',*,*,#204695,.T.); #277407=ORIENTED_EDGE('',*,*,#204694,.T.); #277408=ORIENTED_EDGE('',*,*,#204696,.F.); #277409=ORIENTED_EDGE('',*,*,#204697,.F.); #277410=ORIENTED_EDGE('',*,*,#204698,.T.); #277411=ORIENTED_EDGE('',*,*,#204697,.T.); #277412=ORIENTED_EDGE('',*,*,#204699,.F.); #277413=ORIENTED_EDGE('',*,*,#204700,.F.); #277414=ORIENTED_EDGE('',*,*,#204701,.T.); #277415=ORIENTED_EDGE('',*,*,#204700,.T.); #277416=ORIENTED_EDGE('',*,*,#204702,.F.); #277417=ORIENTED_EDGE('',*,*,#204703,.F.); #277418=ORIENTED_EDGE('',*,*,#204704,.T.); #277419=ORIENTED_EDGE('',*,*,#204703,.T.); #277420=ORIENTED_EDGE('',*,*,#204705,.F.); #277421=ORIENTED_EDGE('',*,*,#204706,.F.); #277422=ORIENTED_EDGE('',*,*,#204707,.T.); #277423=ORIENTED_EDGE('',*,*,#204706,.T.); #277424=ORIENTED_EDGE('',*,*,#204708,.F.); #277425=ORIENTED_EDGE('',*,*,#204709,.F.); #277426=ORIENTED_EDGE('',*,*,#204710,.T.); #277427=ORIENTED_EDGE('',*,*,#204709,.T.); #277428=ORIENTED_EDGE('',*,*,#204711,.F.); #277429=ORIENTED_EDGE('',*,*,#204712,.F.); #277430=ORIENTED_EDGE('',*,*,#204713,.T.); #277431=ORIENTED_EDGE('',*,*,#204712,.T.); #277432=ORIENTED_EDGE('',*,*,#204714,.F.); #277433=ORIENTED_EDGE('',*,*,#204715,.F.); #277434=ORIENTED_EDGE('',*,*,#204716,.T.); #277435=ORIENTED_EDGE('',*,*,#204715,.T.); #277436=ORIENTED_EDGE('',*,*,#204717,.F.); #277437=ORIENTED_EDGE('',*,*,#204718,.F.); #277438=ORIENTED_EDGE('',*,*,#204719,.T.); #277439=ORIENTED_EDGE('',*,*,#204718,.T.); #277440=ORIENTED_EDGE('',*,*,#204720,.F.); #277441=ORIENTED_EDGE('',*,*,#204721,.F.); #277442=ORIENTED_EDGE('',*,*,#204722,.T.); #277443=ORIENTED_EDGE('',*,*,#204721,.T.); #277444=ORIENTED_EDGE('',*,*,#204723,.F.); #277445=ORIENTED_EDGE('',*,*,#204724,.F.); #277446=ORIENTED_EDGE('',*,*,#204725,.T.); #277447=ORIENTED_EDGE('',*,*,#204724,.T.); #277448=ORIENTED_EDGE('',*,*,#204726,.F.); #277449=ORIENTED_EDGE('',*,*,#204727,.F.); #277450=ORIENTED_EDGE('',*,*,#204728,.T.); #277451=ORIENTED_EDGE('',*,*,#204727,.T.); #277452=ORIENTED_EDGE('',*,*,#204729,.F.); #277453=ORIENTED_EDGE('',*,*,#204730,.F.); #277454=ORIENTED_EDGE('',*,*,#204731,.T.); #277455=ORIENTED_EDGE('',*,*,#204730,.T.); #277456=ORIENTED_EDGE('',*,*,#204732,.F.); #277457=ORIENTED_EDGE('',*,*,#204733,.F.); #277458=ORIENTED_EDGE('',*,*,#204734,.T.); #277459=ORIENTED_EDGE('',*,*,#204733,.T.); #277460=ORIENTED_EDGE('',*,*,#204735,.F.); #277461=ORIENTED_EDGE('',*,*,#204736,.F.); #277462=ORIENTED_EDGE('',*,*,#204737,.T.); #277463=ORIENTED_EDGE('',*,*,#204736,.T.); #277464=ORIENTED_EDGE('',*,*,#204738,.F.); #277465=ORIENTED_EDGE('',*,*,#204739,.F.); #277466=ORIENTED_EDGE('',*,*,#204740,.T.); #277467=ORIENTED_EDGE('',*,*,#204739,.T.); #277468=ORIENTED_EDGE('',*,*,#204741,.F.); #277469=ORIENTED_EDGE('',*,*,#204742,.F.); #277470=ORIENTED_EDGE('',*,*,#204743,.T.); #277471=ORIENTED_EDGE('',*,*,#204742,.T.); #277472=ORIENTED_EDGE('',*,*,#204744,.F.); #277473=ORIENTED_EDGE('',*,*,#204745,.F.); #277474=ORIENTED_EDGE('',*,*,#204746,.T.); #277475=ORIENTED_EDGE('',*,*,#204745,.T.); #277476=ORIENTED_EDGE('',*,*,#204747,.F.); #277477=ORIENTED_EDGE('',*,*,#204748,.F.); #277478=ORIENTED_EDGE('',*,*,#204749,.T.); #277479=ORIENTED_EDGE('',*,*,#204748,.T.); #277480=ORIENTED_EDGE('',*,*,#204750,.F.); #277481=ORIENTED_EDGE('',*,*,#204751,.F.); #277482=ORIENTED_EDGE('',*,*,#204752,.T.); #277483=ORIENTED_EDGE('',*,*,#204751,.T.); #277484=ORIENTED_EDGE('',*,*,#204753,.F.); #277485=ORIENTED_EDGE('',*,*,#204754,.F.); #277486=ORIENTED_EDGE('',*,*,#204755,.T.); #277487=ORIENTED_EDGE('',*,*,#204754,.T.); #277488=ORIENTED_EDGE('',*,*,#204756,.F.); #277489=ORIENTED_EDGE('',*,*,#204757,.F.); #277490=ORIENTED_EDGE('',*,*,#204758,.T.); #277491=ORIENTED_EDGE('',*,*,#204757,.T.); #277492=ORIENTED_EDGE('',*,*,#204759,.F.); #277493=ORIENTED_EDGE('',*,*,#204760,.F.); #277494=ORIENTED_EDGE('',*,*,#204761,.T.); #277495=ORIENTED_EDGE('',*,*,#204760,.T.); #277496=ORIENTED_EDGE('',*,*,#204762,.F.); #277497=ORIENTED_EDGE('',*,*,#204763,.F.); #277498=ORIENTED_EDGE('',*,*,#204764,.T.); #277499=ORIENTED_EDGE('',*,*,#204763,.T.); #277500=ORIENTED_EDGE('',*,*,#204765,.F.); #277501=ORIENTED_EDGE('',*,*,#204766,.F.); #277502=ORIENTED_EDGE('',*,*,#204767,.T.); #277503=ORIENTED_EDGE('',*,*,#204766,.T.); #277504=ORIENTED_EDGE('',*,*,#204768,.F.); #277505=ORIENTED_EDGE('',*,*,#204769,.F.); #277506=ORIENTED_EDGE('',*,*,#204770,.T.); #277507=ORIENTED_EDGE('',*,*,#204769,.T.); #277508=ORIENTED_EDGE('',*,*,#204771,.F.); #277509=ORIENTED_EDGE('',*,*,#204772,.F.); #277510=ORIENTED_EDGE('',*,*,#204773,.T.); #277511=ORIENTED_EDGE('',*,*,#204772,.T.); #277512=ORIENTED_EDGE('',*,*,#204774,.F.); #277513=ORIENTED_EDGE('',*,*,#204775,.F.); #277514=ORIENTED_EDGE('',*,*,#204776,.T.); #277515=ORIENTED_EDGE('',*,*,#204775,.T.); #277516=ORIENTED_EDGE('',*,*,#204777,.F.); #277517=ORIENTED_EDGE('',*,*,#204778,.F.); #277518=ORIENTED_EDGE('',*,*,#204779,.T.); #277519=ORIENTED_EDGE('',*,*,#204778,.T.); #277520=ORIENTED_EDGE('',*,*,#204780,.F.); #277521=ORIENTED_EDGE('',*,*,#204781,.F.); #277522=ORIENTED_EDGE('',*,*,#204782,.T.); #277523=ORIENTED_EDGE('',*,*,#204781,.T.); #277524=ORIENTED_EDGE('',*,*,#204783,.F.); #277525=ORIENTED_EDGE('',*,*,#204784,.F.); #277526=ORIENTED_EDGE('',*,*,#204785,.T.); #277527=ORIENTED_EDGE('',*,*,#204784,.T.); #277528=ORIENTED_EDGE('',*,*,#204786,.F.); #277529=ORIENTED_EDGE('',*,*,#204787,.F.); #277530=ORIENTED_EDGE('',*,*,#204788,.T.); #277531=ORIENTED_EDGE('',*,*,#204787,.T.); #277532=ORIENTED_EDGE('',*,*,#204789,.F.); #277533=ORIENTED_EDGE('',*,*,#204790,.F.); #277534=ORIENTED_EDGE('',*,*,#204791,.T.); #277535=ORIENTED_EDGE('',*,*,#204790,.T.); #277536=ORIENTED_EDGE('',*,*,#204792,.F.); #277537=ORIENTED_EDGE('',*,*,#204793,.F.); #277538=ORIENTED_EDGE('',*,*,#204794,.T.); #277539=ORIENTED_EDGE('',*,*,#204793,.T.); #277540=ORIENTED_EDGE('',*,*,#204795,.F.); #277541=ORIENTED_EDGE('',*,*,#204796,.F.); #277542=ORIENTED_EDGE('',*,*,#204797,.T.); #277543=ORIENTED_EDGE('',*,*,#204796,.T.); #277544=ORIENTED_EDGE('',*,*,#204798,.F.); #277545=ORIENTED_EDGE('',*,*,#204799,.F.); #277546=ORIENTED_EDGE('',*,*,#204800,.T.); #277547=ORIENTED_EDGE('',*,*,#204799,.T.); #277548=ORIENTED_EDGE('',*,*,#204801,.F.); #277549=ORIENTED_EDGE('',*,*,#204802,.F.); #277550=ORIENTED_EDGE('',*,*,#204803,.T.); #277551=ORIENTED_EDGE('',*,*,#204802,.T.); #277552=ORIENTED_EDGE('',*,*,#204804,.F.); #277553=ORIENTED_EDGE('',*,*,#204805,.F.); #277554=ORIENTED_EDGE('',*,*,#204806,.T.); #277555=ORIENTED_EDGE('',*,*,#204805,.T.); #277556=ORIENTED_EDGE('',*,*,#204807,.F.); #277557=ORIENTED_EDGE('',*,*,#204808,.F.); #277558=ORIENTED_EDGE('',*,*,#204809,.T.); #277559=ORIENTED_EDGE('',*,*,#204808,.T.); #277560=ORIENTED_EDGE('',*,*,#204810,.F.); #277561=ORIENTED_EDGE('',*,*,#204811,.F.); #277562=ORIENTED_EDGE('',*,*,#204812,.T.); #277563=ORIENTED_EDGE('',*,*,#204811,.T.); #277564=ORIENTED_EDGE('',*,*,#204813,.F.); #277565=ORIENTED_EDGE('',*,*,#204814,.F.); #277566=ORIENTED_EDGE('',*,*,#204815,.T.); #277567=ORIENTED_EDGE('',*,*,#204814,.T.); #277568=ORIENTED_EDGE('',*,*,#204816,.F.); #277569=ORIENTED_EDGE('',*,*,#204817,.F.); #277570=ORIENTED_EDGE('',*,*,#204818,.T.); #277571=ORIENTED_EDGE('',*,*,#204817,.T.); #277572=ORIENTED_EDGE('',*,*,#204819,.F.); #277573=ORIENTED_EDGE('',*,*,#204820,.F.); #277574=ORIENTED_EDGE('',*,*,#204821,.T.); #277575=ORIENTED_EDGE('',*,*,#204820,.T.); #277576=ORIENTED_EDGE('',*,*,#204822,.F.); #277577=ORIENTED_EDGE('',*,*,#204823,.F.); #277578=ORIENTED_EDGE('',*,*,#204824,.T.); #277579=ORIENTED_EDGE('',*,*,#204823,.T.); #277580=ORIENTED_EDGE('',*,*,#204825,.F.); #277581=ORIENTED_EDGE('',*,*,#204826,.F.); #277582=ORIENTED_EDGE('',*,*,#204827,.T.); #277583=ORIENTED_EDGE('',*,*,#204826,.T.); #277584=ORIENTED_EDGE('',*,*,#204828,.F.); #277585=ORIENTED_EDGE('',*,*,#204829,.F.); #277586=ORIENTED_EDGE('',*,*,#204830,.T.); #277587=ORIENTED_EDGE('',*,*,#204829,.T.); #277588=ORIENTED_EDGE('',*,*,#204831,.F.); #277589=ORIENTED_EDGE('',*,*,#204832,.F.); #277590=ORIENTED_EDGE('',*,*,#204833,.T.); #277591=ORIENTED_EDGE('',*,*,#204832,.T.); #277592=ORIENTED_EDGE('',*,*,#204834,.F.); #277593=ORIENTED_EDGE('',*,*,#204835,.F.); #277594=ORIENTED_EDGE('',*,*,#204836,.T.); #277595=ORIENTED_EDGE('',*,*,#204835,.T.); #277596=ORIENTED_EDGE('',*,*,#204837,.F.); #277597=ORIENTED_EDGE('',*,*,#204838,.F.); #277598=ORIENTED_EDGE('',*,*,#204839,.T.); #277599=ORIENTED_EDGE('',*,*,#204838,.T.); #277600=ORIENTED_EDGE('',*,*,#204840,.F.); #277601=ORIENTED_EDGE('',*,*,#204841,.F.); #277602=ORIENTED_EDGE('',*,*,#204842,.T.); #277603=ORIENTED_EDGE('',*,*,#204841,.T.); #277604=ORIENTED_EDGE('',*,*,#204843,.F.); #277605=ORIENTED_EDGE('',*,*,#204844,.F.); #277606=ORIENTED_EDGE('',*,*,#204845,.T.); #277607=ORIENTED_EDGE('',*,*,#204844,.T.); #277608=ORIENTED_EDGE('',*,*,#204846,.F.); #277609=ORIENTED_EDGE('',*,*,#204847,.F.); #277610=ORIENTED_EDGE('',*,*,#204848,.T.); #277611=ORIENTED_EDGE('',*,*,#204847,.T.); #277612=ORIENTED_EDGE('',*,*,#204849,.F.); #277613=ORIENTED_EDGE('',*,*,#204850,.F.); #277614=ORIENTED_EDGE('',*,*,#204851,.T.); #277615=ORIENTED_EDGE('',*,*,#204850,.T.); #277616=ORIENTED_EDGE('',*,*,#204852,.F.); #277617=ORIENTED_EDGE('',*,*,#204853,.F.); #277618=ORIENTED_EDGE('',*,*,#204854,.T.); #277619=ORIENTED_EDGE('',*,*,#204853,.T.); #277620=ORIENTED_EDGE('',*,*,#204855,.F.); #277621=ORIENTED_EDGE('',*,*,#204856,.F.); #277622=ORIENTED_EDGE('',*,*,#204857,.T.); #277623=ORIENTED_EDGE('',*,*,#204856,.T.); #277624=ORIENTED_EDGE('',*,*,#204858,.F.); #277625=ORIENTED_EDGE('',*,*,#204859,.F.); #277626=ORIENTED_EDGE('',*,*,#204860,.T.); #277627=ORIENTED_EDGE('',*,*,#204859,.T.); #277628=ORIENTED_EDGE('',*,*,#204861,.F.); #277629=ORIENTED_EDGE('',*,*,#204862,.F.); #277630=ORIENTED_EDGE('',*,*,#204863,.T.); #277631=ORIENTED_EDGE('',*,*,#204862,.T.); #277632=ORIENTED_EDGE('',*,*,#204864,.F.); #277633=ORIENTED_EDGE('',*,*,#204865,.F.); #277634=ORIENTED_EDGE('',*,*,#204866,.T.); #277635=ORIENTED_EDGE('',*,*,#204865,.T.); #277636=ORIENTED_EDGE('',*,*,#204867,.F.); #277637=ORIENTED_EDGE('',*,*,#204868,.F.); #277638=ORIENTED_EDGE('',*,*,#204869,.T.); #277639=ORIENTED_EDGE('',*,*,#204868,.T.); #277640=ORIENTED_EDGE('',*,*,#204870,.F.); #277641=ORIENTED_EDGE('',*,*,#204871,.F.); #277642=ORIENTED_EDGE('',*,*,#204872,.T.); #277643=ORIENTED_EDGE('',*,*,#204871,.T.); #277644=ORIENTED_EDGE('',*,*,#204873,.F.); #277645=ORIENTED_EDGE('',*,*,#204874,.F.); #277646=ORIENTED_EDGE('',*,*,#204875,.T.); #277647=ORIENTED_EDGE('',*,*,#204874,.T.); #277648=ORIENTED_EDGE('',*,*,#204876,.F.); #277649=ORIENTED_EDGE('',*,*,#204877,.F.); #277650=ORIENTED_EDGE('',*,*,#204878,.T.); #277651=ORIENTED_EDGE('',*,*,#204877,.T.); #277652=ORIENTED_EDGE('',*,*,#204879,.F.); #277653=ORIENTED_EDGE('',*,*,#204880,.F.); #277654=ORIENTED_EDGE('',*,*,#204881,.T.); #277655=ORIENTED_EDGE('',*,*,#204880,.T.); #277656=ORIENTED_EDGE('',*,*,#204882,.F.); #277657=ORIENTED_EDGE('',*,*,#204883,.F.); #277658=ORIENTED_EDGE('',*,*,#204884,.T.); #277659=ORIENTED_EDGE('',*,*,#204883,.T.); #277660=ORIENTED_EDGE('',*,*,#204885,.F.); #277661=ORIENTED_EDGE('',*,*,#204886,.F.); #277662=ORIENTED_EDGE('',*,*,#204887,.T.); #277663=ORIENTED_EDGE('',*,*,#204886,.T.); #277664=ORIENTED_EDGE('',*,*,#204888,.F.); #277665=ORIENTED_EDGE('',*,*,#204889,.F.); #277666=ORIENTED_EDGE('',*,*,#204890,.T.); #277667=ORIENTED_EDGE('',*,*,#204889,.T.); #277668=ORIENTED_EDGE('',*,*,#204891,.F.); #277669=ORIENTED_EDGE('',*,*,#204892,.F.); #277670=ORIENTED_EDGE('',*,*,#204893,.T.); #277671=ORIENTED_EDGE('',*,*,#204892,.T.); #277672=ORIENTED_EDGE('',*,*,#204894,.F.); #277673=ORIENTED_EDGE('',*,*,#204895,.F.); #277674=ORIENTED_EDGE('',*,*,#204896,.T.); #277675=ORIENTED_EDGE('',*,*,#204895,.T.); #277676=ORIENTED_EDGE('',*,*,#204897,.F.); #277677=ORIENTED_EDGE('',*,*,#204898,.F.); #277678=ORIENTED_EDGE('',*,*,#204899,.T.); #277679=ORIENTED_EDGE('',*,*,#204898,.T.); #277680=ORIENTED_EDGE('',*,*,#204900,.F.); #277681=ORIENTED_EDGE('',*,*,#204901,.F.); #277682=ORIENTED_EDGE('',*,*,#204902,.T.); #277683=ORIENTED_EDGE('',*,*,#204901,.T.); #277684=ORIENTED_EDGE('',*,*,#204903,.F.); #277685=ORIENTED_EDGE('',*,*,#204904,.F.); #277686=ORIENTED_EDGE('',*,*,#204905,.T.); #277687=ORIENTED_EDGE('',*,*,#204904,.T.); #277688=ORIENTED_EDGE('',*,*,#204906,.F.); #277689=ORIENTED_EDGE('',*,*,#204907,.F.); #277690=ORIENTED_EDGE('',*,*,#204908,.T.); #277691=ORIENTED_EDGE('',*,*,#204907,.T.); #277692=ORIENTED_EDGE('',*,*,#204909,.F.); #277693=ORIENTED_EDGE('',*,*,#204910,.F.); #277694=ORIENTED_EDGE('',*,*,#204911,.T.); #277695=ORIENTED_EDGE('',*,*,#204910,.T.); #277696=ORIENTED_EDGE('',*,*,#204912,.F.); #277697=ORIENTED_EDGE('',*,*,#204913,.F.); #277698=ORIENTED_EDGE('',*,*,#204914,.T.); #277699=ORIENTED_EDGE('',*,*,#204913,.T.); #277700=ORIENTED_EDGE('',*,*,#204915,.F.); #277701=ORIENTED_EDGE('',*,*,#204916,.F.); #277702=ORIENTED_EDGE('',*,*,#204917,.T.); #277703=ORIENTED_EDGE('',*,*,#204916,.T.); #277704=ORIENTED_EDGE('',*,*,#204918,.F.); #277705=ORIENTED_EDGE('',*,*,#204919,.F.); #277706=ORIENTED_EDGE('',*,*,#204920,.T.); #277707=ORIENTED_EDGE('',*,*,#204919,.T.); #277708=ORIENTED_EDGE('',*,*,#204921,.F.); #277709=ORIENTED_EDGE('',*,*,#204922,.F.); #277710=ORIENTED_EDGE('',*,*,#204923,.T.); #277711=ORIENTED_EDGE('',*,*,#204922,.T.); #277712=ORIENTED_EDGE('',*,*,#204924,.F.); #277713=ORIENTED_EDGE('',*,*,#204925,.F.); #277714=ORIENTED_EDGE('',*,*,#204926,.T.); #277715=ORIENTED_EDGE('',*,*,#204925,.T.); #277716=ORIENTED_EDGE('',*,*,#204927,.F.); #277717=ORIENTED_EDGE('',*,*,#204928,.F.); #277718=ORIENTED_EDGE('',*,*,#204929,.T.); #277719=ORIENTED_EDGE('',*,*,#204928,.T.); #277720=ORIENTED_EDGE('',*,*,#204930,.F.); #277721=ORIENTED_EDGE('',*,*,#204931,.F.); #277722=ORIENTED_EDGE('',*,*,#204932,.T.); #277723=ORIENTED_EDGE('',*,*,#204931,.T.); #277724=ORIENTED_EDGE('',*,*,#204933,.F.); #277725=ORIENTED_EDGE('',*,*,#204934,.F.); #277726=ORIENTED_EDGE('',*,*,#204935,.T.); #277727=ORIENTED_EDGE('',*,*,#204934,.T.); #277728=ORIENTED_EDGE('',*,*,#204936,.F.); #277729=ORIENTED_EDGE('',*,*,#204937,.F.); #277730=ORIENTED_EDGE('',*,*,#204938,.T.); #277731=ORIENTED_EDGE('',*,*,#204937,.T.); #277732=ORIENTED_EDGE('',*,*,#204939,.F.); #277733=ORIENTED_EDGE('',*,*,#204940,.F.); #277734=ORIENTED_EDGE('',*,*,#204941,.T.); #277735=ORIENTED_EDGE('',*,*,#204940,.T.); #277736=ORIENTED_EDGE('',*,*,#204942,.F.); #277737=ORIENTED_EDGE('',*,*,#204943,.F.); #277738=ORIENTED_EDGE('',*,*,#204944,.T.); #277739=ORIENTED_EDGE('',*,*,#204943,.T.); #277740=ORIENTED_EDGE('',*,*,#204945,.F.); #277741=ORIENTED_EDGE('',*,*,#204946,.F.); #277742=ORIENTED_EDGE('',*,*,#204947,.T.); #277743=ORIENTED_EDGE('',*,*,#204946,.T.); #277744=ORIENTED_EDGE('',*,*,#204948,.F.); #277745=ORIENTED_EDGE('',*,*,#204949,.F.); #277746=ORIENTED_EDGE('',*,*,#204950,.T.); #277747=ORIENTED_EDGE('',*,*,#204949,.T.); #277748=ORIENTED_EDGE('',*,*,#204951,.F.); #277749=ORIENTED_EDGE('',*,*,#204952,.F.); #277750=ORIENTED_EDGE('',*,*,#204953,.T.); #277751=ORIENTED_EDGE('',*,*,#204952,.T.); #277752=ORIENTED_EDGE('',*,*,#204954,.F.); #277753=ORIENTED_EDGE('',*,*,#204955,.F.); #277754=ORIENTED_EDGE('',*,*,#204956,.T.); #277755=ORIENTED_EDGE('',*,*,#204955,.T.); #277756=ORIENTED_EDGE('',*,*,#204957,.F.); #277757=ORIENTED_EDGE('',*,*,#204958,.F.); #277758=ORIENTED_EDGE('',*,*,#204959,.T.); #277759=ORIENTED_EDGE('',*,*,#204958,.T.); #277760=ORIENTED_EDGE('',*,*,#204960,.F.); #277761=ORIENTED_EDGE('',*,*,#204961,.F.); #277762=ORIENTED_EDGE('',*,*,#204962,.T.); #277763=ORIENTED_EDGE('',*,*,#204961,.T.); #277764=ORIENTED_EDGE('',*,*,#204963,.F.); #277765=ORIENTED_EDGE('',*,*,#204964,.F.); #277766=ORIENTED_EDGE('',*,*,#204965,.T.); #277767=ORIENTED_EDGE('',*,*,#204964,.T.); #277768=ORIENTED_EDGE('',*,*,#204966,.F.); #277769=ORIENTED_EDGE('',*,*,#204967,.F.); #277770=ORIENTED_EDGE('',*,*,#204968,.T.); #277771=ORIENTED_EDGE('',*,*,#204967,.T.); #277772=ORIENTED_EDGE('',*,*,#204969,.F.); #277773=ORIENTED_EDGE('',*,*,#204970,.F.); #277774=ORIENTED_EDGE('',*,*,#204971,.T.); #277775=ORIENTED_EDGE('',*,*,#204970,.T.); #277776=ORIENTED_EDGE('',*,*,#204972,.F.); #277777=ORIENTED_EDGE('',*,*,#204614,.F.); #277778=ORIENTED_EDGE('',*,*,#204972,.T.); #277779=ORIENTED_EDGE('',*,*,#204969,.T.); #277780=ORIENTED_EDGE('',*,*,#204966,.T.); #277781=ORIENTED_EDGE('',*,*,#204963,.T.); #277782=ORIENTED_EDGE('',*,*,#204960,.T.); #277783=ORIENTED_EDGE('',*,*,#204957,.T.); #277784=ORIENTED_EDGE('',*,*,#204954,.T.); #277785=ORIENTED_EDGE('',*,*,#204951,.T.); #277786=ORIENTED_EDGE('',*,*,#204948,.T.); #277787=ORIENTED_EDGE('',*,*,#204945,.T.); #277788=ORIENTED_EDGE('',*,*,#204942,.T.); #277789=ORIENTED_EDGE('',*,*,#204939,.T.); #277790=ORIENTED_EDGE('',*,*,#204936,.T.); #277791=ORIENTED_EDGE('',*,*,#204933,.T.); #277792=ORIENTED_EDGE('',*,*,#204930,.T.); #277793=ORIENTED_EDGE('',*,*,#204927,.T.); #277794=ORIENTED_EDGE('',*,*,#204924,.T.); #277795=ORIENTED_EDGE('',*,*,#204921,.T.); #277796=ORIENTED_EDGE('',*,*,#204918,.T.); #277797=ORIENTED_EDGE('',*,*,#204915,.T.); #277798=ORIENTED_EDGE('',*,*,#204912,.T.); #277799=ORIENTED_EDGE('',*,*,#204909,.T.); #277800=ORIENTED_EDGE('',*,*,#204906,.T.); #277801=ORIENTED_EDGE('',*,*,#204903,.T.); #277802=ORIENTED_EDGE('',*,*,#204900,.T.); #277803=ORIENTED_EDGE('',*,*,#204897,.T.); #277804=ORIENTED_EDGE('',*,*,#204894,.T.); #277805=ORIENTED_EDGE('',*,*,#204891,.T.); #277806=ORIENTED_EDGE('',*,*,#204888,.T.); #277807=ORIENTED_EDGE('',*,*,#204885,.T.); #277808=ORIENTED_EDGE('',*,*,#204882,.T.); #277809=ORIENTED_EDGE('',*,*,#204879,.T.); #277810=ORIENTED_EDGE('',*,*,#204876,.T.); #277811=ORIENTED_EDGE('',*,*,#204873,.T.); #277812=ORIENTED_EDGE('',*,*,#204870,.T.); #277813=ORIENTED_EDGE('',*,*,#204867,.T.); #277814=ORIENTED_EDGE('',*,*,#204864,.T.); #277815=ORIENTED_EDGE('',*,*,#204861,.T.); #277816=ORIENTED_EDGE('',*,*,#204858,.T.); #277817=ORIENTED_EDGE('',*,*,#204855,.T.); #277818=ORIENTED_EDGE('',*,*,#204852,.T.); #277819=ORIENTED_EDGE('',*,*,#204849,.T.); #277820=ORIENTED_EDGE('',*,*,#204846,.T.); #277821=ORIENTED_EDGE('',*,*,#204843,.T.); #277822=ORIENTED_EDGE('',*,*,#204840,.T.); #277823=ORIENTED_EDGE('',*,*,#204837,.T.); #277824=ORIENTED_EDGE('',*,*,#204834,.T.); #277825=ORIENTED_EDGE('',*,*,#204831,.T.); #277826=ORIENTED_EDGE('',*,*,#204828,.T.); #277827=ORIENTED_EDGE('',*,*,#204825,.T.); #277828=ORIENTED_EDGE('',*,*,#204822,.T.); #277829=ORIENTED_EDGE('',*,*,#204819,.T.); #277830=ORIENTED_EDGE('',*,*,#204816,.T.); #277831=ORIENTED_EDGE('',*,*,#204813,.T.); #277832=ORIENTED_EDGE('',*,*,#204810,.T.); #277833=ORIENTED_EDGE('',*,*,#204807,.T.); #277834=ORIENTED_EDGE('',*,*,#204804,.T.); #277835=ORIENTED_EDGE('',*,*,#204801,.T.); #277836=ORIENTED_EDGE('',*,*,#204798,.T.); #277837=ORIENTED_EDGE('',*,*,#204795,.T.); #277838=ORIENTED_EDGE('',*,*,#204792,.T.); #277839=ORIENTED_EDGE('',*,*,#204789,.T.); #277840=ORIENTED_EDGE('',*,*,#204786,.T.); #277841=ORIENTED_EDGE('',*,*,#204783,.T.); #277842=ORIENTED_EDGE('',*,*,#204780,.T.); #277843=ORIENTED_EDGE('',*,*,#204777,.T.); #277844=ORIENTED_EDGE('',*,*,#204774,.T.); #277845=ORIENTED_EDGE('',*,*,#204771,.T.); #277846=ORIENTED_EDGE('',*,*,#204768,.T.); #277847=ORIENTED_EDGE('',*,*,#204765,.T.); #277848=ORIENTED_EDGE('',*,*,#204762,.T.); #277849=ORIENTED_EDGE('',*,*,#204759,.T.); #277850=ORIENTED_EDGE('',*,*,#204756,.T.); #277851=ORIENTED_EDGE('',*,*,#204753,.T.); #277852=ORIENTED_EDGE('',*,*,#204750,.T.); #277853=ORIENTED_EDGE('',*,*,#204747,.T.); #277854=ORIENTED_EDGE('',*,*,#204744,.T.); #277855=ORIENTED_EDGE('',*,*,#204741,.T.); #277856=ORIENTED_EDGE('',*,*,#204738,.T.); #277857=ORIENTED_EDGE('',*,*,#204735,.T.); #277858=ORIENTED_EDGE('',*,*,#204732,.T.); #277859=ORIENTED_EDGE('',*,*,#204729,.T.); #277860=ORIENTED_EDGE('',*,*,#204726,.T.); #277861=ORIENTED_EDGE('',*,*,#204723,.T.); #277862=ORIENTED_EDGE('',*,*,#204720,.T.); #277863=ORIENTED_EDGE('',*,*,#204717,.T.); #277864=ORIENTED_EDGE('',*,*,#204714,.T.); #277865=ORIENTED_EDGE('',*,*,#204711,.T.); #277866=ORIENTED_EDGE('',*,*,#204708,.T.); #277867=ORIENTED_EDGE('',*,*,#204705,.T.); #277868=ORIENTED_EDGE('',*,*,#204702,.T.); #277869=ORIENTED_EDGE('',*,*,#204699,.T.); #277870=ORIENTED_EDGE('',*,*,#204696,.T.); #277871=ORIENTED_EDGE('',*,*,#204693,.T.); #277872=ORIENTED_EDGE('',*,*,#204690,.T.); #277873=ORIENTED_EDGE('',*,*,#204687,.T.); #277874=ORIENTED_EDGE('',*,*,#204684,.T.); #277875=ORIENTED_EDGE('',*,*,#204681,.T.); #277876=ORIENTED_EDGE('',*,*,#204678,.T.); #277877=ORIENTED_EDGE('',*,*,#204675,.T.); #277878=ORIENTED_EDGE('',*,*,#204672,.T.); #277879=ORIENTED_EDGE('',*,*,#204669,.T.); #277880=ORIENTED_EDGE('',*,*,#204666,.T.); #277881=ORIENTED_EDGE('',*,*,#204663,.T.); #277882=ORIENTED_EDGE('',*,*,#204660,.T.); #277883=ORIENTED_EDGE('',*,*,#204657,.T.); #277884=ORIENTED_EDGE('',*,*,#204654,.T.); #277885=ORIENTED_EDGE('',*,*,#204651,.T.); #277886=ORIENTED_EDGE('',*,*,#204648,.T.); #277887=ORIENTED_EDGE('',*,*,#204645,.T.); #277888=ORIENTED_EDGE('',*,*,#204642,.T.); #277889=ORIENTED_EDGE('',*,*,#204639,.T.); #277890=ORIENTED_EDGE('',*,*,#204636,.T.); #277891=ORIENTED_EDGE('',*,*,#204633,.T.); #277892=ORIENTED_EDGE('',*,*,#204630,.T.); #277893=ORIENTED_EDGE('',*,*,#204627,.T.); #277894=ORIENTED_EDGE('',*,*,#204624,.T.); #277895=ORIENTED_EDGE('',*,*,#204621,.T.); #277896=ORIENTED_EDGE('',*,*,#204618,.T.); #277897=ORIENTED_EDGE('',*,*,#204615,.T.); #277898=ORIENTED_EDGE('',*,*,#200232,.T.); #277899=ORIENTED_EDGE('',*,*,#200235,.T.); #277900=ORIENTED_EDGE('',*,*,#200238,.T.); #277901=ORIENTED_EDGE('',*,*,#200241,.T.); #277902=ORIENTED_EDGE('',*,*,#200244,.T.); #277903=ORIENTED_EDGE('',*,*,#200247,.T.); #277904=ORIENTED_EDGE('',*,*,#200250,.T.); #277905=ORIENTED_EDGE('',*,*,#200253,.T.); #277906=ORIENTED_EDGE('',*,*,#200256,.T.); #277907=ORIENTED_EDGE('',*,*,#200259,.T.); #277908=ORIENTED_EDGE('',*,*,#200262,.T.); #277909=ORIENTED_EDGE('',*,*,#200265,.T.); #277910=ORIENTED_EDGE('',*,*,#200268,.T.); #277911=ORIENTED_EDGE('',*,*,#200271,.T.); #277912=ORIENTED_EDGE('',*,*,#200274,.T.); #277913=ORIENTED_EDGE('',*,*,#200277,.T.); #277914=ORIENTED_EDGE('',*,*,#200285,.T.); #277915=ORIENTED_EDGE('',*,*,#200288,.T.); #277916=ORIENTED_EDGE('',*,*,#200278,.T.); #277917=ORIENTED_EDGE('',*,*,#200282,.T.); #277918=ORIENTED_EDGE('',*,*,#200297,.T.); #277919=ORIENTED_EDGE('',*,*,#200300,.T.); #277920=ORIENTED_EDGE('',*,*,#200290,.T.); #277921=ORIENTED_EDGE('',*,*,#200294,.T.); #277922=ORIENTED_EDGE('',*,*,#200304,.T.); #277923=ORIENTED_EDGE('',*,*,#200307,.T.); #277924=ORIENTED_EDGE('',*,*,#200310,.T.); #277925=ORIENTED_EDGE('',*,*,#200313,.T.); #277926=ORIENTED_EDGE('',*,*,#200316,.T.); #277927=ORIENTED_EDGE('',*,*,#200319,.T.); #277928=ORIENTED_EDGE('',*,*,#200322,.T.); #277929=ORIENTED_EDGE('',*,*,#200325,.T.); #277930=ORIENTED_EDGE('',*,*,#200333,.T.); #277931=ORIENTED_EDGE('',*,*,#200336,.T.); #277932=ORIENTED_EDGE('',*,*,#200326,.T.); #277933=ORIENTED_EDGE('',*,*,#200330,.T.); #277934=ORIENTED_EDGE('',*,*,#200345,.T.); #277935=ORIENTED_EDGE('',*,*,#200348,.T.); #277936=ORIENTED_EDGE('',*,*,#200338,.T.); #277937=ORIENTED_EDGE('',*,*,#200342,.T.); #277938=ORIENTED_EDGE('',*,*,#200352,.T.); #277939=ORIENTED_EDGE('',*,*,#200355,.T.); #277940=ORIENTED_EDGE('',*,*,#200358,.T.); #277941=ORIENTED_EDGE('',*,*,#200361,.T.); #277942=ORIENTED_EDGE('',*,*,#200364,.T.); #277943=ORIENTED_EDGE('',*,*,#200367,.T.); #277944=ORIENTED_EDGE('',*,*,#200370,.T.); #277945=ORIENTED_EDGE('',*,*,#200373,.T.); #277946=ORIENTED_EDGE('',*,*,#200376,.T.); #277947=ORIENTED_EDGE('',*,*,#200379,.T.); #277948=ORIENTED_EDGE('',*,*,#200382,.T.); #277949=ORIENTED_EDGE('',*,*,#200385,.T.); #277950=ORIENTED_EDGE('',*,*,#200388,.T.); #277951=ORIENTED_EDGE('',*,*,#200391,.T.); #277952=ORIENTED_EDGE('',*,*,#200394,.T.); #277953=ORIENTED_EDGE('',*,*,#200397,.T.); #277954=ORIENTED_EDGE('',*,*,#200400,.T.); #277955=ORIENTED_EDGE('',*,*,#200403,.T.); #277956=ORIENTED_EDGE('',*,*,#200406,.T.); #277957=ORIENTED_EDGE('',*,*,#200409,.T.); #277958=ORIENTED_EDGE('',*,*,#200412,.T.); #277959=ORIENTED_EDGE('',*,*,#200415,.T.); #277960=ORIENTED_EDGE('',*,*,#200418,.T.); #277961=ORIENTED_EDGE('',*,*,#200421,.T.); #277962=ORIENTED_EDGE('',*,*,#200424,.T.); #277963=ORIENTED_EDGE('',*,*,#200427,.T.); #277964=ORIENTED_EDGE('',*,*,#200430,.T.); #277965=ORIENTED_EDGE('',*,*,#200433,.T.); #277966=ORIENTED_EDGE('',*,*,#200436,.T.); #277967=ORIENTED_EDGE('',*,*,#200439,.T.); #277968=ORIENTED_EDGE('',*,*,#200442,.T.); #277969=ORIENTED_EDGE('',*,*,#200445,.T.); #277970=ORIENTED_EDGE('',*,*,#200448,.T.); #277971=ORIENTED_EDGE('',*,*,#200451,.T.); #277972=ORIENTED_EDGE('',*,*,#200454,.T.); #277973=ORIENTED_EDGE('',*,*,#200457,.T.); #277974=ORIENTED_EDGE('',*,*,#200460,.T.); #277975=ORIENTED_EDGE('',*,*,#200463,.T.); #277976=ORIENTED_EDGE('',*,*,#200466,.T.); #277977=ORIENTED_EDGE('',*,*,#200469,.T.); #277978=ORIENTED_EDGE('',*,*,#200472,.T.); #277979=ORIENTED_EDGE('',*,*,#200475,.T.); #277980=ORIENTED_EDGE('',*,*,#200478,.T.); #277981=ORIENTED_EDGE('',*,*,#200481,.T.); #277982=ORIENTED_EDGE('',*,*,#200484,.T.); #277983=ORIENTED_EDGE('',*,*,#200487,.T.); #277984=ORIENTED_EDGE('',*,*,#200490,.T.); #277985=ORIENTED_EDGE('',*,*,#200493,.T.); #277986=ORIENTED_EDGE('',*,*,#200496,.T.); #277987=ORIENTED_EDGE('',*,*,#200499,.T.); #277988=ORIENTED_EDGE('',*,*,#200502,.T.); #277989=ORIENTED_EDGE('',*,*,#200505,.T.); #277990=ORIENTED_EDGE('',*,*,#200508,.T.); #277991=ORIENTED_EDGE('',*,*,#200511,.T.); #277992=ORIENTED_EDGE('',*,*,#200514,.T.); #277993=ORIENTED_EDGE('',*,*,#200517,.T.); #277994=ORIENTED_EDGE('',*,*,#200520,.T.); #277995=ORIENTED_EDGE('',*,*,#200523,.T.); #277996=ORIENTED_EDGE('',*,*,#200526,.T.); #277997=ORIENTED_EDGE('',*,*,#200529,.T.); #277998=ORIENTED_EDGE('',*,*,#200532,.T.); #277999=ORIENTED_EDGE('',*,*,#200535,.T.); #278000=ORIENTED_EDGE('',*,*,#200538,.T.); #278001=ORIENTED_EDGE('',*,*,#200541,.T.); #278002=ORIENTED_EDGE('',*,*,#200544,.T.); #278003=ORIENTED_EDGE('',*,*,#200547,.T.); #278004=ORIENTED_EDGE('',*,*,#200550,.T.); #278005=ORIENTED_EDGE('',*,*,#200553,.T.); #278006=ORIENTED_EDGE('',*,*,#200556,.T.); #278007=ORIENTED_EDGE('',*,*,#200559,.T.); #278008=ORIENTED_EDGE('',*,*,#200562,.T.); #278009=ORIENTED_EDGE('',*,*,#200565,.T.); #278010=ORIENTED_EDGE('',*,*,#200568,.T.); #278011=ORIENTED_EDGE('',*,*,#200571,.T.); #278012=ORIENTED_EDGE('',*,*,#200574,.T.); #278013=ORIENTED_EDGE('',*,*,#200577,.T.); #278014=ORIENTED_EDGE('',*,*,#200580,.T.); #278015=ORIENTED_EDGE('',*,*,#200583,.T.); #278016=ORIENTED_EDGE('',*,*,#200586,.T.); #278017=ORIENTED_EDGE('',*,*,#200589,.T.); #278018=ORIENTED_EDGE('',*,*,#200592,.T.); #278019=ORIENTED_EDGE('',*,*,#200595,.T.); #278020=ORIENTED_EDGE('',*,*,#200598,.T.); #278021=ORIENTED_EDGE('',*,*,#200601,.T.); #278022=ORIENTED_EDGE('',*,*,#200604,.T.); #278023=ORIENTED_EDGE('',*,*,#200607,.T.); #278024=ORIENTED_EDGE('',*,*,#200610,.T.); #278025=ORIENTED_EDGE('',*,*,#200613,.T.); #278026=ORIENTED_EDGE('',*,*,#200616,.T.); #278027=ORIENTED_EDGE('',*,*,#200619,.T.); #278028=ORIENTED_EDGE('',*,*,#200622,.T.); #278029=ORIENTED_EDGE('',*,*,#200625,.T.); #278030=ORIENTED_EDGE('',*,*,#200628,.T.); #278031=ORIENTED_EDGE('',*,*,#200631,.T.); #278032=ORIENTED_EDGE('',*,*,#200634,.T.); #278033=ORIENTED_EDGE('',*,*,#200637,.T.); #278034=ORIENTED_EDGE('',*,*,#200640,.T.); #278035=ORIENTED_EDGE('',*,*,#200643,.T.); #278036=ORIENTED_EDGE('',*,*,#200646,.T.); #278037=ORIENTED_EDGE('',*,*,#204612,.T.); #278038=ORIENTED_EDGE('',*,*,#204609,.T.); #278039=ORIENTED_EDGE('',*,*,#204606,.T.); #278040=ORIENTED_EDGE('',*,*,#204603,.T.); #278041=ORIENTED_EDGE('',*,*,#204600,.T.); #278042=ORIENTED_EDGE('',*,*,#204597,.T.); #278043=ORIENTED_EDGE('',*,*,#204594,.T.); #278044=ORIENTED_EDGE('',*,*,#204591,.T.); #278045=ORIENTED_EDGE('',*,*,#204588,.T.); #278046=ORIENTED_EDGE('',*,*,#204585,.T.); #278047=ORIENTED_EDGE('',*,*,#204582,.T.); #278048=ORIENTED_EDGE('',*,*,#204579,.T.); #278049=ORIENTED_EDGE('',*,*,#204576,.T.); #278050=ORIENTED_EDGE('',*,*,#204573,.T.); #278051=ORIENTED_EDGE('',*,*,#204570,.T.); #278052=ORIENTED_EDGE('',*,*,#204567,.T.); #278053=ORIENTED_EDGE('',*,*,#204564,.T.); #278054=ORIENTED_EDGE('',*,*,#204561,.T.); #278055=ORIENTED_EDGE('',*,*,#204558,.T.); #278056=ORIENTED_EDGE('',*,*,#204555,.T.); #278057=ORIENTED_EDGE('',*,*,#204552,.T.); #278058=ORIENTED_EDGE('',*,*,#204549,.T.); #278059=ORIENTED_EDGE('',*,*,#204546,.T.); #278060=ORIENTED_EDGE('',*,*,#204543,.T.); #278061=ORIENTED_EDGE('',*,*,#204540,.T.); #278062=ORIENTED_EDGE('',*,*,#204537,.T.); #278063=ORIENTED_EDGE('',*,*,#204534,.T.); #278064=ORIENTED_EDGE('',*,*,#204531,.T.); #278065=ORIENTED_EDGE('',*,*,#204528,.T.); #278066=ORIENTED_EDGE('',*,*,#204525,.T.); #278067=ORIENTED_EDGE('',*,*,#204522,.T.); #278068=ORIENTED_EDGE('',*,*,#204519,.T.); #278069=ORIENTED_EDGE('',*,*,#204516,.T.); #278070=ORIENTED_EDGE('',*,*,#204513,.T.); #278071=ORIENTED_EDGE('',*,*,#204510,.T.); #278072=ORIENTED_EDGE('',*,*,#204507,.T.); #278073=ORIENTED_EDGE('',*,*,#204504,.T.); #278074=ORIENTED_EDGE('',*,*,#204501,.T.); #278075=ORIENTED_EDGE('',*,*,#204498,.T.); #278076=ORIENTED_EDGE('',*,*,#204495,.T.); #278077=ORIENTED_EDGE('',*,*,#204492,.T.); #278078=ORIENTED_EDGE('',*,*,#204489,.T.); #278079=ORIENTED_EDGE('',*,*,#204486,.T.); #278080=ORIENTED_EDGE('',*,*,#204483,.T.); #278081=ORIENTED_EDGE('',*,*,#204480,.T.); #278082=ORIENTED_EDGE('',*,*,#204477,.T.); #278083=ORIENTED_EDGE('',*,*,#204474,.T.); #278084=ORIENTED_EDGE('',*,*,#204471,.T.); #278085=ORIENTED_EDGE('',*,*,#204468,.T.); #278086=ORIENTED_EDGE('',*,*,#204465,.T.); #278087=ORIENTED_EDGE('',*,*,#204462,.T.); #278088=ORIENTED_EDGE('',*,*,#204459,.T.); #278089=ORIENTED_EDGE('',*,*,#204456,.T.); #278090=ORIENTED_EDGE('',*,*,#204453,.T.); #278091=ORIENTED_EDGE('',*,*,#204450,.T.); #278092=ORIENTED_EDGE('',*,*,#204447,.T.); #278093=ORIENTED_EDGE('',*,*,#204444,.T.); #278094=ORIENTED_EDGE('',*,*,#204441,.T.); #278095=ORIENTED_EDGE('',*,*,#204438,.T.); #278096=ORIENTED_EDGE('',*,*,#204435,.T.); #278097=ORIENTED_EDGE('',*,*,#204432,.T.); #278098=ORIENTED_EDGE('',*,*,#204429,.T.); #278099=ORIENTED_EDGE('',*,*,#204426,.T.); #278100=ORIENTED_EDGE('',*,*,#204423,.T.); #278101=ORIENTED_EDGE('',*,*,#204420,.T.); #278102=ORIENTED_EDGE('',*,*,#204417,.T.); #278103=ORIENTED_EDGE('',*,*,#204414,.T.); #278104=ORIENTED_EDGE('',*,*,#204411,.T.); #278105=ORIENTED_EDGE('',*,*,#204408,.T.); #278106=ORIENTED_EDGE('',*,*,#204405,.T.); #278107=ORIENTED_EDGE('',*,*,#204402,.T.); #278108=ORIENTED_EDGE('',*,*,#204399,.T.); #278109=ORIENTED_EDGE('',*,*,#204396,.T.); #278110=ORIENTED_EDGE('',*,*,#204393,.T.); #278111=ORIENTED_EDGE('',*,*,#204390,.T.); #278112=ORIENTED_EDGE('',*,*,#204387,.T.); #278113=ORIENTED_EDGE('',*,*,#204384,.T.); #278114=ORIENTED_EDGE('',*,*,#204381,.T.); #278115=ORIENTED_EDGE('',*,*,#204378,.T.); #278116=ORIENTED_EDGE('',*,*,#204375,.T.); #278117=ORIENTED_EDGE('',*,*,#204372,.T.); #278118=ORIENTED_EDGE('',*,*,#204369,.T.); #278119=ORIENTED_EDGE('',*,*,#204366,.T.); #278120=ORIENTED_EDGE('',*,*,#204363,.T.); #278121=ORIENTED_EDGE('',*,*,#204360,.T.); #278122=ORIENTED_EDGE('',*,*,#204357,.T.); #278123=ORIENTED_EDGE('',*,*,#204354,.T.); #278124=ORIENTED_EDGE('',*,*,#204351,.T.); #278125=ORIENTED_EDGE('',*,*,#204348,.T.); #278126=ORIENTED_EDGE('',*,*,#204345,.T.); #278127=ORIENTED_EDGE('',*,*,#204342,.T.); #278128=ORIENTED_EDGE('',*,*,#204339,.T.); #278129=ORIENTED_EDGE('',*,*,#204336,.T.); #278130=ORIENTED_EDGE('',*,*,#204333,.T.); #278131=ORIENTED_EDGE('',*,*,#204330,.T.); #278132=ORIENTED_EDGE('',*,*,#204327,.T.); #278133=ORIENTED_EDGE('',*,*,#204324,.T.); #278134=ORIENTED_EDGE('',*,*,#204321,.T.); #278135=ORIENTED_EDGE('',*,*,#204318,.T.); #278136=ORIENTED_EDGE('',*,*,#204315,.T.); #278137=ORIENTED_EDGE('',*,*,#204312,.T.); #278138=ORIENTED_EDGE('',*,*,#204309,.T.); #278139=ORIENTED_EDGE('',*,*,#204306,.T.); #278140=ORIENTED_EDGE('',*,*,#204303,.T.); #278141=ORIENTED_EDGE('',*,*,#204300,.T.); #278142=ORIENTED_EDGE('',*,*,#204297,.T.); #278143=ORIENTED_EDGE('',*,*,#204294,.T.); #278144=ORIENTED_EDGE('',*,*,#204291,.T.); #278145=ORIENTED_EDGE('',*,*,#204288,.T.); #278146=ORIENTED_EDGE('',*,*,#204285,.T.); #278147=ORIENTED_EDGE('',*,*,#204282,.T.); #278148=ORIENTED_EDGE('',*,*,#204279,.T.); #278149=ORIENTED_EDGE('',*,*,#204276,.T.); #278150=ORIENTED_EDGE('',*,*,#204273,.T.); #278151=ORIENTED_EDGE('',*,*,#204270,.T.); #278152=ORIENTED_EDGE('',*,*,#204267,.T.); #278153=ORIENTED_EDGE('',*,*,#204264,.T.); #278154=ORIENTED_EDGE('',*,*,#204261,.T.); #278155=ORIENTED_EDGE('',*,*,#204258,.T.); #278156=ORIENTED_EDGE('',*,*,#204255,.T.); #278157=ORIENTED_EDGE('',*,*,#204252,.T.); #278158=ORIENTED_EDGE('',*,*,#204249,.T.); #278159=ORIENTED_EDGE('',*,*,#204246,.T.); #278160=ORIENTED_EDGE('',*,*,#204243,.T.); #278161=ORIENTED_EDGE('',*,*,#204240,.T.); #278162=ORIENTED_EDGE('',*,*,#204237,.T.); #278163=ORIENTED_EDGE('',*,*,#204234,.T.); #278164=ORIENTED_EDGE('',*,*,#204231,.T.); #278165=ORIENTED_EDGE('',*,*,#204228,.T.); #278166=ORIENTED_EDGE('',*,*,#204225,.T.); #278167=ORIENTED_EDGE('',*,*,#204222,.T.); #278168=ORIENTED_EDGE('',*,*,#204219,.T.); #278169=ORIENTED_EDGE('',*,*,#204216,.T.); #278170=ORIENTED_EDGE('',*,*,#204213,.T.); #278171=ORIENTED_EDGE('',*,*,#204210,.T.); #278172=ORIENTED_EDGE('',*,*,#204207,.T.); #278173=ORIENTED_EDGE('',*,*,#204204,.T.); #278174=ORIENTED_EDGE('',*,*,#204201,.T.); #278175=ORIENTED_EDGE('',*,*,#204198,.T.); #278176=ORIENTED_EDGE('',*,*,#204195,.T.); #278177=ORIENTED_EDGE('',*,*,#204192,.T.); #278178=ORIENTED_EDGE('',*,*,#204189,.T.); #278179=ORIENTED_EDGE('',*,*,#204186,.T.); #278180=ORIENTED_EDGE('',*,*,#204183,.T.); #278181=ORIENTED_EDGE('',*,*,#204180,.T.); #278182=ORIENTED_EDGE('',*,*,#204177,.T.); #278183=ORIENTED_EDGE('',*,*,#204174,.T.); #278184=ORIENTED_EDGE('',*,*,#204171,.T.); #278185=ORIENTED_EDGE('',*,*,#204168,.T.); #278186=ORIENTED_EDGE('',*,*,#204165,.T.); #278187=ORIENTED_EDGE('',*,*,#204162,.T.); #278188=ORIENTED_EDGE('',*,*,#204159,.T.); #278189=ORIENTED_EDGE('',*,*,#204156,.T.); #278190=ORIENTED_EDGE('',*,*,#204153,.T.); #278191=ORIENTED_EDGE('',*,*,#204150,.T.); #278192=ORIENTED_EDGE('',*,*,#204147,.T.); #278193=ORIENTED_EDGE('',*,*,#204144,.T.); #278194=ORIENTED_EDGE('',*,*,#204141,.T.); #278195=ORIENTED_EDGE('',*,*,#204138,.T.); #278196=ORIENTED_EDGE('',*,*,#204135,.T.); #278197=ORIENTED_EDGE('',*,*,#204132,.T.); #278198=ORIENTED_EDGE('',*,*,#204129,.T.); #278199=ORIENTED_EDGE('',*,*,#204126,.T.); #278200=ORIENTED_EDGE('',*,*,#204123,.T.); #278201=ORIENTED_EDGE('',*,*,#204120,.T.); #278202=ORIENTED_EDGE('',*,*,#204117,.T.); #278203=ORIENTED_EDGE('',*,*,#204114,.T.); #278204=ORIENTED_EDGE('',*,*,#204111,.T.); #278205=ORIENTED_EDGE('',*,*,#204108,.T.); #278206=ORIENTED_EDGE('',*,*,#204105,.T.); #278207=ORIENTED_EDGE('',*,*,#204102,.T.); #278208=ORIENTED_EDGE('',*,*,#204099,.T.); #278209=ORIENTED_EDGE('',*,*,#204096,.T.); #278210=ORIENTED_EDGE('',*,*,#204093,.T.); #278211=ORIENTED_EDGE('',*,*,#204090,.T.); #278212=ORIENTED_EDGE('',*,*,#204087,.T.); #278213=ORIENTED_EDGE('',*,*,#204084,.T.); #278214=ORIENTED_EDGE('',*,*,#204081,.T.); #278215=ORIENTED_EDGE('',*,*,#204078,.T.); #278216=ORIENTED_EDGE('',*,*,#204075,.T.); #278217=ORIENTED_EDGE('',*,*,#204072,.T.); #278218=ORIENTED_EDGE('',*,*,#204069,.T.); #278219=ORIENTED_EDGE('',*,*,#204066,.T.); #278220=ORIENTED_EDGE('',*,*,#204063,.T.); #278221=ORIENTED_EDGE('',*,*,#204060,.T.); #278222=ORIENTED_EDGE('',*,*,#204057,.T.); #278223=ORIENTED_EDGE('',*,*,#204054,.T.); #278224=ORIENTED_EDGE('',*,*,#204051,.T.); #278225=ORIENTED_EDGE('',*,*,#204048,.T.); #278226=ORIENTED_EDGE('',*,*,#204045,.T.); #278227=ORIENTED_EDGE('',*,*,#204042,.T.); #278228=ORIENTED_EDGE('',*,*,#204039,.T.); #278229=ORIENTED_EDGE('',*,*,#204036,.T.); #278230=ORIENTED_EDGE('',*,*,#204033,.T.); #278231=ORIENTED_EDGE('',*,*,#204030,.T.); #278232=ORIENTED_EDGE('',*,*,#204027,.T.); #278233=ORIENTED_EDGE('',*,*,#204024,.T.); #278234=ORIENTED_EDGE('',*,*,#204021,.T.); #278235=ORIENTED_EDGE('',*,*,#204018,.T.); #278236=ORIENTED_EDGE('',*,*,#204015,.T.); #278237=ORIENTED_EDGE('',*,*,#204012,.T.); #278238=ORIENTED_EDGE('',*,*,#204009,.T.); #278239=ORIENTED_EDGE('',*,*,#204006,.T.); #278240=ORIENTED_EDGE('',*,*,#204003,.T.); #278241=ORIENTED_EDGE('',*,*,#204000,.T.); #278242=ORIENTED_EDGE('',*,*,#203997,.T.); #278243=ORIENTED_EDGE('',*,*,#203994,.T.); #278244=ORIENTED_EDGE('',*,*,#203991,.T.); #278245=ORIENTED_EDGE('',*,*,#203988,.T.); #278246=ORIENTED_EDGE('',*,*,#203985,.T.); #278247=ORIENTED_EDGE('',*,*,#203982,.T.); #278248=ORIENTED_EDGE('',*,*,#203979,.T.); #278249=ORIENTED_EDGE('',*,*,#203976,.T.); #278250=ORIENTED_EDGE('',*,*,#203973,.T.); #278251=ORIENTED_EDGE('',*,*,#203970,.T.); #278252=ORIENTED_EDGE('',*,*,#203967,.T.); #278253=ORIENTED_EDGE('',*,*,#203964,.T.); #278254=ORIENTED_EDGE('',*,*,#203961,.T.); #278255=ORIENTED_EDGE('',*,*,#203958,.T.); #278256=ORIENTED_EDGE('',*,*,#203955,.T.); #278257=ORIENTED_EDGE('',*,*,#203952,.T.); #278258=ORIENTED_EDGE('',*,*,#203949,.T.); #278259=ORIENTED_EDGE('',*,*,#203946,.T.); #278260=ORIENTED_EDGE('',*,*,#203943,.T.); #278261=ORIENTED_EDGE('',*,*,#203940,.T.); #278262=ORIENTED_EDGE('',*,*,#203937,.T.); #278263=ORIENTED_EDGE('',*,*,#203934,.T.); #278264=ORIENTED_EDGE('',*,*,#203931,.T.); #278265=ORIENTED_EDGE('',*,*,#203928,.T.); #278266=ORIENTED_EDGE('',*,*,#203925,.T.); #278267=ORIENTED_EDGE('',*,*,#203922,.T.); #278268=ORIENTED_EDGE('',*,*,#203919,.T.); #278269=ORIENTED_EDGE('',*,*,#203916,.T.); #278270=ORIENTED_EDGE('',*,*,#203913,.T.); #278271=ORIENTED_EDGE('',*,*,#203910,.T.); #278272=ORIENTED_EDGE('',*,*,#203907,.T.); #278273=ORIENTED_EDGE('',*,*,#203904,.T.); #278274=ORIENTED_EDGE('',*,*,#203901,.T.); #278275=ORIENTED_EDGE('',*,*,#203898,.T.); #278276=ORIENTED_EDGE('',*,*,#203895,.T.); #278277=ORIENTED_EDGE('',*,*,#203892,.T.); #278278=ORIENTED_EDGE('',*,*,#203889,.T.); #278279=ORIENTED_EDGE('',*,*,#203886,.T.); #278280=ORIENTED_EDGE('',*,*,#203883,.T.); #278281=ORIENTED_EDGE('',*,*,#203880,.T.); #278282=ORIENTED_EDGE('',*,*,#203877,.T.); #278283=ORIENTED_EDGE('',*,*,#203874,.T.); #278284=ORIENTED_EDGE('',*,*,#203871,.T.); #278285=ORIENTED_EDGE('',*,*,#203868,.T.); #278286=ORIENTED_EDGE('',*,*,#203865,.T.); #278287=ORIENTED_EDGE('',*,*,#203862,.T.); #278288=ORIENTED_EDGE('',*,*,#203859,.T.); #278289=ORIENTED_EDGE('',*,*,#203856,.T.); #278290=ORIENTED_EDGE('',*,*,#203853,.T.); #278291=ORIENTED_EDGE('',*,*,#203850,.T.); #278292=ORIENTED_EDGE('',*,*,#203847,.T.); #278293=ORIENTED_EDGE('',*,*,#203844,.T.); #278294=ORIENTED_EDGE('',*,*,#203841,.T.); #278295=ORIENTED_EDGE('',*,*,#203838,.T.); #278296=ORIENTED_EDGE('',*,*,#203835,.T.); #278297=ORIENTED_EDGE('',*,*,#203832,.T.); #278298=ORIENTED_EDGE('',*,*,#203829,.T.); #278299=ORIENTED_EDGE('',*,*,#203826,.T.); #278300=ORIENTED_EDGE('',*,*,#203823,.T.); #278301=ORIENTED_EDGE('',*,*,#203820,.T.); #278302=ORIENTED_EDGE('',*,*,#203817,.T.); #278303=ORIENTED_EDGE('',*,*,#203814,.T.); #278304=ORIENTED_EDGE('',*,*,#203811,.T.); #278305=ORIENTED_EDGE('',*,*,#203808,.T.); #278306=ORIENTED_EDGE('',*,*,#203805,.T.); #278307=ORIENTED_EDGE('',*,*,#203802,.T.); #278308=ORIENTED_EDGE('',*,*,#203799,.T.); #278309=ORIENTED_EDGE('',*,*,#203796,.T.); #278310=ORIENTED_EDGE('',*,*,#203793,.T.); #278311=ORIENTED_EDGE('',*,*,#203790,.T.); #278312=ORIENTED_EDGE('',*,*,#203787,.T.); #278313=ORIENTED_EDGE('',*,*,#203784,.T.); #278314=ORIENTED_EDGE('',*,*,#203781,.T.); #278315=ORIENTED_EDGE('',*,*,#203778,.T.); #278316=ORIENTED_EDGE('',*,*,#203775,.T.); #278317=ORIENTED_EDGE('',*,*,#203772,.T.); #278318=ORIENTED_EDGE('',*,*,#203769,.T.); #278319=ORIENTED_EDGE('',*,*,#203766,.T.); #278320=ORIENTED_EDGE('',*,*,#203763,.T.); #278321=ORIENTED_EDGE('',*,*,#203760,.T.); #278322=ORIENTED_EDGE('',*,*,#203757,.T.); #278323=ORIENTED_EDGE('',*,*,#203754,.T.); #278324=ORIENTED_EDGE('',*,*,#203751,.T.); #278325=ORIENTED_EDGE('',*,*,#203748,.T.); #278326=ORIENTED_EDGE('',*,*,#203745,.T.); #278327=ORIENTED_EDGE('',*,*,#203742,.T.); #278328=ORIENTED_EDGE('',*,*,#203739,.T.); #278329=ORIENTED_EDGE('',*,*,#203736,.T.); #278330=ORIENTED_EDGE('',*,*,#203733,.T.); #278331=ORIENTED_EDGE('',*,*,#203730,.T.); #278332=ORIENTED_EDGE('',*,*,#203727,.T.); #278333=ORIENTED_EDGE('',*,*,#203724,.T.); #278334=ORIENTED_EDGE('',*,*,#203721,.T.); #278335=ORIENTED_EDGE('',*,*,#203718,.T.); #278336=ORIENTED_EDGE('',*,*,#203715,.T.); #278337=ORIENTED_EDGE('',*,*,#203712,.T.); #278338=ORIENTED_EDGE('',*,*,#203709,.T.); #278339=ORIENTED_EDGE('',*,*,#203706,.T.); #278340=ORIENTED_EDGE('',*,*,#203703,.T.); #278341=ORIENTED_EDGE('',*,*,#203700,.T.); #278342=ORIENTED_EDGE('',*,*,#203697,.T.); #278343=ORIENTED_EDGE('',*,*,#203694,.T.); #278344=ORIENTED_EDGE('',*,*,#203691,.T.); #278345=ORIENTED_EDGE('',*,*,#203688,.T.); #278346=ORIENTED_EDGE('',*,*,#203685,.T.); #278347=ORIENTED_EDGE('',*,*,#203682,.T.); #278348=ORIENTED_EDGE('',*,*,#203679,.T.); #278349=ORIENTED_EDGE('',*,*,#203676,.T.); #278350=ORIENTED_EDGE('',*,*,#203673,.T.); #278351=ORIENTED_EDGE('',*,*,#203670,.T.); #278352=ORIENTED_EDGE('',*,*,#203667,.T.); #278353=ORIENTED_EDGE('',*,*,#203664,.T.); #278354=ORIENTED_EDGE('',*,*,#203661,.T.); #278355=ORIENTED_EDGE('',*,*,#203658,.T.); #278356=ORIENTED_EDGE('',*,*,#203655,.T.); #278357=ORIENTED_EDGE('',*,*,#203652,.T.); #278358=ORIENTED_EDGE('',*,*,#203649,.T.); #278359=ORIENTED_EDGE('',*,*,#203646,.T.); #278360=ORIENTED_EDGE('',*,*,#203643,.T.); #278361=ORIENTED_EDGE('',*,*,#203640,.T.); #278362=ORIENTED_EDGE('',*,*,#203637,.T.); #278363=ORIENTED_EDGE('',*,*,#203634,.T.); #278364=ORIENTED_EDGE('',*,*,#203631,.T.); #278365=ORIENTED_EDGE('',*,*,#203628,.T.); #278366=ORIENTED_EDGE('',*,*,#203625,.T.); #278367=ORIENTED_EDGE('',*,*,#203622,.T.); #278368=ORIENTED_EDGE('',*,*,#203619,.T.); #278369=ORIENTED_EDGE('',*,*,#203616,.T.); #278370=ORIENTED_EDGE('',*,*,#203613,.T.); #278371=ORIENTED_EDGE('',*,*,#203610,.T.); #278372=ORIENTED_EDGE('',*,*,#203607,.T.); #278373=ORIENTED_EDGE('',*,*,#203604,.T.); #278374=ORIENTED_EDGE('',*,*,#203601,.T.); #278375=ORIENTED_EDGE('',*,*,#203598,.T.); #278376=ORIENTED_EDGE('',*,*,#203595,.T.); #278377=ORIENTED_EDGE('',*,*,#203592,.T.); #278378=ORIENTED_EDGE('',*,*,#203589,.T.); #278379=ORIENTED_EDGE('',*,*,#203586,.T.); #278380=ORIENTED_EDGE('',*,*,#203583,.T.); #278381=ORIENTED_EDGE('',*,*,#203580,.T.); #278382=ORIENTED_EDGE('',*,*,#203577,.T.); #278383=ORIENTED_EDGE('',*,*,#203574,.T.); #278384=ORIENTED_EDGE('',*,*,#203571,.T.); #278385=ORIENTED_EDGE('',*,*,#203568,.T.); #278386=ORIENTED_EDGE('',*,*,#203565,.T.); #278387=ORIENTED_EDGE('',*,*,#203562,.T.); #278388=ORIENTED_EDGE('',*,*,#203559,.T.); #278389=ORIENTED_EDGE('',*,*,#203556,.T.); #278390=ORIENTED_EDGE('',*,*,#203553,.T.); #278391=ORIENTED_EDGE('',*,*,#203550,.T.); #278392=ORIENTED_EDGE('',*,*,#203547,.T.); #278393=ORIENTED_EDGE('',*,*,#203544,.T.); #278394=ORIENTED_EDGE('',*,*,#203541,.T.); #278395=ORIENTED_EDGE('',*,*,#203538,.T.); #278396=ORIENTED_EDGE('',*,*,#203535,.T.); #278397=ORIENTED_EDGE('',*,*,#203532,.T.); #278398=ORIENTED_EDGE('',*,*,#203529,.T.); #278399=ORIENTED_EDGE('',*,*,#203526,.T.); #278400=ORIENTED_EDGE('',*,*,#203523,.T.); #278401=ORIENTED_EDGE('',*,*,#203520,.T.); #278402=ORIENTED_EDGE('',*,*,#203517,.T.); #278403=ORIENTED_EDGE('',*,*,#203514,.T.); #278404=ORIENTED_EDGE('',*,*,#203511,.T.); #278405=ORIENTED_EDGE('',*,*,#203508,.T.); #278406=ORIENTED_EDGE('',*,*,#203505,.T.); #278407=ORIENTED_EDGE('',*,*,#203502,.T.); #278408=ORIENTED_EDGE('',*,*,#203499,.T.); #278409=ORIENTED_EDGE('',*,*,#203496,.T.); #278410=ORIENTED_EDGE('',*,*,#203493,.T.); #278411=ORIENTED_EDGE('',*,*,#203490,.T.); #278412=ORIENTED_EDGE('',*,*,#203487,.T.); #278413=ORIENTED_EDGE('',*,*,#203484,.T.); #278414=ORIENTED_EDGE('',*,*,#203481,.T.); #278415=ORIENTED_EDGE('',*,*,#203478,.T.); #278416=ORIENTED_EDGE('',*,*,#203475,.T.); #278417=ORIENTED_EDGE('',*,*,#203472,.T.); #278418=ORIENTED_EDGE('',*,*,#203469,.T.); #278419=ORIENTED_EDGE('',*,*,#203466,.T.); #278420=ORIENTED_EDGE('',*,*,#203463,.T.); #278421=ORIENTED_EDGE('',*,*,#203460,.T.); #278422=ORIENTED_EDGE('',*,*,#203457,.T.); #278423=ORIENTED_EDGE('',*,*,#203454,.T.); #278424=ORIENTED_EDGE('',*,*,#203451,.T.); #278425=ORIENTED_EDGE('',*,*,#203448,.T.); #278426=ORIENTED_EDGE('',*,*,#203445,.T.); #278427=ORIENTED_EDGE('',*,*,#203442,.T.); #278428=ORIENTED_EDGE('',*,*,#203439,.T.); #278429=ORIENTED_EDGE('',*,*,#203436,.T.); #278430=ORIENTED_EDGE('',*,*,#203433,.T.); #278431=ORIENTED_EDGE('',*,*,#203430,.T.); #278432=ORIENTED_EDGE('',*,*,#203427,.T.); #278433=ORIENTED_EDGE('',*,*,#203424,.T.); #278434=ORIENTED_EDGE('',*,*,#203421,.T.); #278435=ORIENTED_EDGE('',*,*,#203418,.T.); #278436=ORIENTED_EDGE('',*,*,#203415,.T.); #278437=ORIENTED_EDGE('',*,*,#203412,.T.); #278438=ORIENTED_EDGE('',*,*,#203409,.T.); #278439=ORIENTED_EDGE('',*,*,#203406,.T.); #278440=ORIENTED_EDGE('',*,*,#203403,.T.); #278441=ORIENTED_EDGE('',*,*,#203400,.T.); #278442=ORIENTED_EDGE('',*,*,#203397,.T.); #278443=ORIENTED_EDGE('',*,*,#203394,.T.); #278444=ORIENTED_EDGE('',*,*,#203391,.T.); #278445=ORIENTED_EDGE('',*,*,#203388,.T.); #278446=ORIENTED_EDGE('',*,*,#203385,.T.); #278447=ORIENTED_EDGE('',*,*,#203382,.T.); #278448=ORIENTED_EDGE('',*,*,#203379,.T.); #278449=ORIENTED_EDGE('',*,*,#203376,.T.); #278450=ORIENTED_EDGE('',*,*,#203373,.T.); #278451=ORIENTED_EDGE('',*,*,#203370,.T.); #278452=ORIENTED_EDGE('',*,*,#203367,.T.); #278453=ORIENTED_EDGE('',*,*,#203364,.T.); #278454=ORIENTED_EDGE('',*,*,#203361,.T.); #278455=ORIENTED_EDGE('',*,*,#203358,.T.); #278456=ORIENTED_EDGE('',*,*,#203355,.T.); #278457=ORIENTED_EDGE('',*,*,#203352,.T.); #278458=ORIENTED_EDGE('',*,*,#203349,.T.); #278459=ORIENTED_EDGE('',*,*,#203346,.T.); #278460=ORIENTED_EDGE('',*,*,#203343,.T.); #278461=ORIENTED_EDGE('',*,*,#203340,.T.); #278462=ORIENTED_EDGE('',*,*,#203337,.T.); #278463=ORIENTED_EDGE('',*,*,#203334,.T.); #278464=ORIENTED_EDGE('',*,*,#203331,.T.); #278465=ORIENTED_EDGE('',*,*,#203328,.T.); #278466=ORIENTED_EDGE('',*,*,#203325,.T.); #278467=ORIENTED_EDGE('',*,*,#203322,.T.); #278468=ORIENTED_EDGE('',*,*,#203319,.T.); #278469=ORIENTED_EDGE('',*,*,#203316,.T.); #278470=ORIENTED_EDGE('',*,*,#203313,.T.); #278471=ORIENTED_EDGE('',*,*,#203310,.T.); #278472=ORIENTED_EDGE('',*,*,#203307,.T.); #278473=ORIENTED_EDGE('',*,*,#203304,.T.); #278474=ORIENTED_EDGE('',*,*,#203301,.T.); #278475=ORIENTED_EDGE('',*,*,#203298,.T.); #278476=ORIENTED_EDGE('',*,*,#203295,.T.); #278477=ORIENTED_EDGE('',*,*,#203292,.T.); #278478=ORIENTED_EDGE('',*,*,#203289,.T.); #278479=ORIENTED_EDGE('',*,*,#203286,.T.); #278480=ORIENTED_EDGE('',*,*,#203283,.T.); #278481=ORIENTED_EDGE('',*,*,#203280,.T.); #278482=ORIENTED_EDGE('',*,*,#203277,.T.); #278483=ORIENTED_EDGE('',*,*,#203274,.T.); #278484=ORIENTED_EDGE('',*,*,#203271,.T.); #278485=ORIENTED_EDGE('',*,*,#203268,.T.); #278486=ORIENTED_EDGE('',*,*,#203265,.T.); #278487=ORIENTED_EDGE('',*,*,#203262,.T.); #278488=ORIENTED_EDGE('',*,*,#203259,.T.); #278489=ORIENTED_EDGE('',*,*,#203256,.T.); #278490=ORIENTED_EDGE('',*,*,#203253,.T.); #278491=ORIENTED_EDGE('',*,*,#203250,.T.); #278492=ORIENTED_EDGE('',*,*,#203247,.T.); #278493=ORIENTED_EDGE('',*,*,#203244,.T.); #278494=ORIENTED_EDGE('',*,*,#203241,.T.); #278495=ORIENTED_EDGE('',*,*,#203238,.T.); #278496=ORIENTED_EDGE('',*,*,#203235,.T.); #278497=ORIENTED_EDGE('',*,*,#203232,.T.); #278498=ORIENTED_EDGE('',*,*,#203229,.T.); #278499=ORIENTED_EDGE('',*,*,#203226,.T.); #278500=ORIENTED_EDGE('',*,*,#203223,.T.); #278501=ORIENTED_EDGE('',*,*,#203220,.T.); #278502=ORIENTED_EDGE('',*,*,#203217,.T.); #278503=ORIENTED_EDGE('',*,*,#203214,.T.); #278504=ORIENTED_EDGE('',*,*,#203211,.T.); #278505=ORIENTED_EDGE('',*,*,#203208,.T.); #278506=ORIENTED_EDGE('',*,*,#203205,.T.); #278507=ORIENTED_EDGE('',*,*,#203202,.T.); #278508=ORIENTED_EDGE('',*,*,#203199,.T.); #278509=ORIENTED_EDGE('',*,*,#203196,.T.); #278510=ORIENTED_EDGE('',*,*,#203193,.T.); #278511=ORIENTED_EDGE('',*,*,#203190,.T.); #278512=ORIENTED_EDGE('',*,*,#203187,.T.); #278513=ORIENTED_EDGE('',*,*,#203184,.T.); #278514=ORIENTED_EDGE('',*,*,#203181,.T.); #278515=ORIENTED_EDGE('',*,*,#203178,.T.); #278516=ORIENTED_EDGE('',*,*,#203175,.T.); #278517=ORIENTED_EDGE('',*,*,#203172,.T.); #278518=ORIENTED_EDGE('',*,*,#203169,.T.); #278519=ORIENTED_EDGE('',*,*,#203166,.T.); #278520=ORIENTED_EDGE('',*,*,#203163,.T.); #278521=ORIENTED_EDGE('',*,*,#203160,.T.); #278522=ORIENTED_EDGE('',*,*,#203157,.T.); #278523=ORIENTED_EDGE('',*,*,#203154,.T.); #278524=ORIENTED_EDGE('',*,*,#203151,.T.); #278525=ORIENTED_EDGE('',*,*,#203148,.T.); #278526=ORIENTED_EDGE('',*,*,#203145,.T.); #278527=ORIENTED_EDGE('',*,*,#203142,.T.); #278528=ORIENTED_EDGE('',*,*,#203139,.T.); #278529=ORIENTED_EDGE('',*,*,#203136,.T.); #278530=ORIENTED_EDGE('',*,*,#203133,.T.); #278531=ORIENTED_EDGE('',*,*,#203130,.T.); #278532=ORIENTED_EDGE('',*,*,#203127,.T.); #278533=ORIENTED_EDGE('',*,*,#203124,.T.); #278534=ORIENTED_EDGE('',*,*,#203121,.T.); #278535=ORIENTED_EDGE('',*,*,#203118,.T.); #278536=ORIENTED_EDGE('',*,*,#203115,.T.); #278537=ORIENTED_EDGE('',*,*,#203112,.T.); #278538=ORIENTED_EDGE('',*,*,#203109,.T.); #278539=ORIENTED_EDGE('',*,*,#203106,.T.); #278540=ORIENTED_EDGE('',*,*,#203103,.T.); #278541=ORIENTED_EDGE('',*,*,#203100,.T.); #278542=ORIENTED_EDGE('',*,*,#203097,.T.); #278543=ORIENTED_EDGE('',*,*,#203094,.T.); #278544=ORIENTED_EDGE('',*,*,#203091,.T.); #278545=ORIENTED_EDGE('',*,*,#203088,.T.); #278546=ORIENTED_EDGE('',*,*,#203085,.T.); #278547=ORIENTED_EDGE('',*,*,#203082,.T.); #278548=ORIENTED_EDGE('',*,*,#203079,.T.); #278549=ORIENTED_EDGE('',*,*,#203076,.T.); #278550=ORIENTED_EDGE('',*,*,#203073,.T.); #278551=ORIENTED_EDGE('',*,*,#203070,.T.); #278552=ORIENTED_EDGE('',*,*,#203067,.T.); #278553=ORIENTED_EDGE('',*,*,#203064,.T.); #278554=ORIENTED_EDGE('',*,*,#203061,.T.); #278555=ORIENTED_EDGE('',*,*,#203058,.T.); #278556=ORIENTED_EDGE('',*,*,#203055,.T.); #278557=ORIENTED_EDGE('',*,*,#203052,.T.); #278558=ORIENTED_EDGE('',*,*,#203049,.T.); #278559=ORIENTED_EDGE('',*,*,#203046,.T.); #278560=ORIENTED_EDGE('',*,*,#203043,.T.); #278561=ORIENTED_EDGE('',*,*,#203040,.T.); #278562=ORIENTED_EDGE('',*,*,#203037,.T.); #278563=ORIENTED_EDGE('',*,*,#203034,.T.); #278564=ORIENTED_EDGE('',*,*,#203031,.T.); #278565=ORIENTED_EDGE('',*,*,#203028,.T.); #278566=ORIENTED_EDGE('',*,*,#203025,.T.); #278567=ORIENTED_EDGE('',*,*,#203022,.T.); #278568=ORIENTED_EDGE('',*,*,#203019,.T.); #278569=ORIENTED_EDGE('',*,*,#203016,.T.); #278570=ORIENTED_EDGE('',*,*,#203013,.T.); #278571=ORIENTED_EDGE('',*,*,#203010,.T.); #278572=ORIENTED_EDGE('',*,*,#203007,.T.); #278573=ORIENTED_EDGE('',*,*,#203004,.T.); #278574=ORIENTED_EDGE('',*,*,#203001,.T.); #278575=ORIENTED_EDGE('',*,*,#202998,.T.); #278576=ORIENTED_EDGE('',*,*,#202995,.T.); #278577=ORIENTED_EDGE('',*,*,#202992,.T.); #278578=ORIENTED_EDGE('',*,*,#202989,.T.); #278579=ORIENTED_EDGE('',*,*,#202986,.T.); #278580=ORIENTED_EDGE('',*,*,#202983,.T.); #278581=ORIENTED_EDGE('',*,*,#202980,.T.); #278582=ORIENTED_EDGE('',*,*,#202977,.T.); #278583=ORIENTED_EDGE('',*,*,#202974,.T.); #278584=ORIENTED_EDGE('',*,*,#202971,.T.); #278585=ORIENTED_EDGE('',*,*,#202968,.T.); #278586=ORIENTED_EDGE('',*,*,#202965,.T.); #278587=ORIENTED_EDGE('',*,*,#202962,.T.); #278588=ORIENTED_EDGE('',*,*,#202959,.T.); #278589=ORIENTED_EDGE('',*,*,#202956,.T.); #278590=ORIENTED_EDGE('',*,*,#202953,.T.); #278591=ORIENTED_EDGE('',*,*,#202950,.T.); #278592=ORIENTED_EDGE('',*,*,#202947,.T.); #278593=ORIENTED_EDGE('',*,*,#202944,.T.); #278594=ORIENTED_EDGE('',*,*,#202941,.T.); #278595=ORIENTED_EDGE('',*,*,#202938,.T.); #278596=ORIENTED_EDGE('',*,*,#202935,.T.); #278597=ORIENTED_EDGE('',*,*,#202932,.T.); #278598=ORIENTED_EDGE('',*,*,#202929,.T.); #278599=ORIENTED_EDGE('',*,*,#202926,.T.); #278600=ORIENTED_EDGE('',*,*,#202923,.T.); #278601=ORIENTED_EDGE('',*,*,#202920,.T.); #278602=ORIENTED_EDGE('',*,*,#202917,.T.); #278603=ORIENTED_EDGE('',*,*,#202914,.T.); #278604=ORIENTED_EDGE('',*,*,#202911,.T.); #278605=ORIENTED_EDGE('',*,*,#202908,.T.); #278606=ORIENTED_EDGE('',*,*,#202905,.T.); #278607=ORIENTED_EDGE('',*,*,#202902,.T.); #278608=ORIENTED_EDGE('',*,*,#202899,.T.); #278609=ORIENTED_EDGE('',*,*,#202896,.T.); #278610=ORIENTED_EDGE('',*,*,#202893,.T.); #278611=ORIENTED_EDGE('',*,*,#202890,.T.); #278612=ORIENTED_EDGE('',*,*,#202887,.T.); #278613=ORIENTED_EDGE('',*,*,#202884,.T.); #278614=ORIENTED_EDGE('',*,*,#202881,.T.); #278615=ORIENTED_EDGE('',*,*,#202878,.T.); #278616=ORIENTED_EDGE('',*,*,#202875,.T.); #278617=ORIENTED_EDGE('',*,*,#202872,.T.); #278618=ORIENTED_EDGE('',*,*,#202869,.T.); #278619=ORIENTED_EDGE('',*,*,#202866,.T.); #278620=ORIENTED_EDGE('',*,*,#202863,.T.); #278621=ORIENTED_EDGE('',*,*,#202860,.T.); #278622=ORIENTED_EDGE('',*,*,#202857,.T.); #278623=ORIENTED_EDGE('',*,*,#202854,.T.); #278624=ORIENTED_EDGE('',*,*,#202851,.T.); #278625=ORIENTED_EDGE('',*,*,#202848,.T.); #278626=ORIENTED_EDGE('',*,*,#202845,.T.); #278627=ORIENTED_EDGE('',*,*,#202842,.T.); #278628=ORIENTED_EDGE('',*,*,#202839,.T.); #278629=ORIENTED_EDGE('',*,*,#202836,.T.); #278630=ORIENTED_EDGE('',*,*,#202833,.T.); #278631=ORIENTED_EDGE('',*,*,#202830,.T.); #278632=ORIENTED_EDGE('',*,*,#202827,.T.); #278633=ORIENTED_EDGE('',*,*,#202824,.T.); #278634=ORIENTED_EDGE('',*,*,#202821,.T.); #278635=ORIENTED_EDGE('',*,*,#202818,.T.); #278636=ORIENTED_EDGE('',*,*,#202815,.T.); #278637=ORIENTED_EDGE('',*,*,#202812,.T.); #278638=ORIENTED_EDGE('',*,*,#202809,.T.); #278639=ORIENTED_EDGE('',*,*,#202806,.T.); #278640=ORIENTED_EDGE('',*,*,#202803,.T.); #278641=ORIENTED_EDGE('',*,*,#202800,.T.); #278642=ORIENTED_EDGE('',*,*,#202797,.T.); #278643=ORIENTED_EDGE('',*,*,#202794,.T.); #278644=ORIENTED_EDGE('',*,*,#202791,.T.); #278645=ORIENTED_EDGE('',*,*,#202788,.T.); #278646=ORIENTED_EDGE('',*,*,#202785,.T.); #278647=ORIENTED_EDGE('',*,*,#202782,.T.); #278648=ORIENTED_EDGE('',*,*,#202779,.T.); #278649=ORIENTED_EDGE('',*,*,#202776,.T.); #278650=ORIENTED_EDGE('',*,*,#202773,.T.); #278651=ORIENTED_EDGE('',*,*,#202770,.T.); #278652=ORIENTED_EDGE('',*,*,#202767,.T.); #278653=ORIENTED_EDGE('',*,*,#202764,.T.); #278654=ORIENTED_EDGE('',*,*,#202761,.T.); #278655=ORIENTED_EDGE('',*,*,#202758,.T.); #278656=ORIENTED_EDGE('',*,*,#202755,.T.); #278657=ORIENTED_EDGE('',*,*,#202752,.T.); #278658=ORIENTED_EDGE('',*,*,#202749,.T.); #278659=ORIENTED_EDGE('',*,*,#202746,.T.); #278660=ORIENTED_EDGE('',*,*,#202743,.T.); #278661=ORIENTED_EDGE('',*,*,#202740,.T.); #278662=ORIENTED_EDGE('',*,*,#202737,.T.); #278663=ORIENTED_EDGE('',*,*,#202734,.T.); #278664=ORIENTED_EDGE('',*,*,#202731,.T.); #278665=ORIENTED_EDGE('',*,*,#202728,.T.); #278666=ORIENTED_EDGE('',*,*,#202725,.T.); #278667=ORIENTED_EDGE('',*,*,#202722,.T.); #278668=ORIENTED_EDGE('',*,*,#202719,.T.); #278669=ORIENTED_EDGE('',*,*,#202716,.T.); #278670=ORIENTED_EDGE('',*,*,#202713,.T.); #278671=ORIENTED_EDGE('',*,*,#202710,.T.); #278672=ORIENTED_EDGE('',*,*,#202707,.T.); #278673=ORIENTED_EDGE('',*,*,#202704,.T.); #278674=ORIENTED_EDGE('',*,*,#202701,.T.); #278675=ORIENTED_EDGE('',*,*,#202698,.T.); #278676=ORIENTED_EDGE('',*,*,#202695,.T.); #278677=ORIENTED_EDGE('',*,*,#202692,.T.); #278678=ORIENTED_EDGE('',*,*,#202689,.T.); #278679=ORIENTED_EDGE('',*,*,#202686,.T.); #278680=ORIENTED_EDGE('',*,*,#202683,.T.); #278681=ORIENTED_EDGE('',*,*,#202680,.T.); #278682=ORIENTED_EDGE('',*,*,#202677,.T.); #278683=ORIENTED_EDGE('',*,*,#202674,.T.); #278684=ORIENTED_EDGE('',*,*,#202671,.T.); #278685=ORIENTED_EDGE('',*,*,#202668,.T.); #278686=ORIENTED_EDGE('',*,*,#202665,.T.); #278687=ORIENTED_EDGE('',*,*,#202662,.T.); #278688=ORIENTED_EDGE('',*,*,#202659,.T.); #278689=ORIENTED_EDGE('',*,*,#202656,.T.); #278690=ORIENTED_EDGE('',*,*,#202653,.T.); #278691=ORIENTED_EDGE('',*,*,#202650,.T.); #278692=ORIENTED_EDGE('',*,*,#202647,.T.); #278693=ORIENTED_EDGE('',*,*,#202644,.T.); #278694=ORIENTED_EDGE('',*,*,#202641,.T.); #278695=ORIENTED_EDGE('',*,*,#202638,.T.); #278696=ORIENTED_EDGE('',*,*,#202635,.T.); #278697=ORIENTED_EDGE('',*,*,#202632,.T.); #278698=ORIENTED_EDGE('',*,*,#202629,.T.); #278699=ORIENTED_EDGE('',*,*,#202626,.T.); #278700=ORIENTED_EDGE('',*,*,#202623,.T.); #278701=ORIENTED_EDGE('',*,*,#202620,.T.); #278702=ORIENTED_EDGE('',*,*,#202617,.T.); #278703=ORIENTED_EDGE('',*,*,#202614,.T.); #278704=ORIENTED_EDGE('',*,*,#202611,.T.); #278705=ORIENTED_EDGE('',*,*,#202608,.T.); #278706=ORIENTED_EDGE('',*,*,#202605,.T.); #278707=ORIENTED_EDGE('',*,*,#202602,.T.); #278708=ORIENTED_EDGE('',*,*,#202599,.T.); #278709=ORIENTED_EDGE('',*,*,#202596,.T.); #278710=ORIENTED_EDGE('',*,*,#202593,.T.); #278711=ORIENTED_EDGE('',*,*,#202590,.T.); #278712=ORIENTED_EDGE('',*,*,#202587,.T.); #278713=ORIENTED_EDGE('',*,*,#202584,.T.); #278714=ORIENTED_EDGE('',*,*,#202581,.T.); #278715=ORIENTED_EDGE('',*,*,#202578,.T.); #278716=ORIENTED_EDGE('',*,*,#202575,.T.); #278717=ORIENTED_EDGE('',*,*,#202572,.T.); #278718=ORIENTED_EDGE('',*,*,#202569,.T.); #278719=ORIENTED_EDGE('',*,*,#202566,.T.); #278720=ORIENTED_EDGE('',*,*,#202563,.T.); #278721=ORIENTED_EDGE('',*,*,#202560,.T.); #278722=ORIENTED_EDGE('',*,*,#202557,.T.); #278723=ORIENTED_EDGE('',*,*,#202554,.T.); #278724=ORIENTED_EDGE('',*,*,#202551,.T.); #278725=ORIENTED_EDGE('',*,*,#202548,.T.); #278726=ORIENTED_EDGE('',*,*,#202545,.T.); #278727=ORIENTED_EDGE('',*,*,#202542,.T.); #278728=ORIENTED_EDGE('',*,*,#202539,.T.); #278729=ORIENTED_EDGE('',*,*,#202536,.T.); #278730=ORIENTED_EDGE('',*,*,#202533,.T.); #278731=ORIENTED_EDGE('',*,*,#202530,.T.); #278732=ORIENTED_EDGE('',*,*,#202527,.T.); #278733=ORIENTED_EDGE('',*,*,#202524,.T.); #278734=ORIENTED_EDGE('',*,*,#202521,.T.); #278735=ORIENTED_EDGE('',*,*,#202518,.T.); #278736=ORIENTED_EDGE('',*,*,#202515,.T.); #278737=ORIENTED_EDGE('',*,*,#202512,.T.); #278738=ORIENTED_EDGE('',*,*,#202509,.T.); #278739=ORIENTED_EDGE('',*,*,#202506,.T.); #278740=ORIENTED_EDGE('',*,*,#202503,.T.); #278741=ORIENTED_EDGE('',*,*,#202500,.T.); #278742=ORIENTED_EDGE('',*,*,#202497,.T.); #278743=ORIENTED_EDGE('',*,*,#202494,.T.); #278744=ORIENTED_EDGE('',*,*,#202491,.T.); #278745=ORIENTED_EDGE('',*,*,#202488,.T.); #278746=ORIENTED_EDGE('',*,*,#202485,.T.); #278747=ORIENTED_EDGE('',*,*,#202482,.T.); #278748=ORIENTED_EDGE('',*,*,#202479,.T.); #278749=ORIENTED_EDGE('',*,*,#202476,.T.); #278750=ORIENTED_EDGE('',*,*,#202473,.T.); #278751=ORIENTED_EDGE('',*,*,#202470,.T.); #278752=ORIENTED_EDGE('',*,*,#202467,.T.); #278753=ORIENTED_EDGE('',*,*,#202464,.T.); #278754=ORIENTED_EDGE('',*,*,#202461,.T.); #278755=ORIENTED_EDGE('',*,*,#202458,.T.); #278756=ORIENTED_EDGE('',*,*,#202455,.T.); #278757=ORIENTED_EDGE('',*,*,#202452,.T.); #278758=ORIENTED_EDGE('',*,*,#202449,.T.); #278759=ORIENTED_EDGE('',*,*,#202446,.T.); #278760=ORIENTED_EDGE('',*,*,#202443,.T.); #278761=ORIENTED_EDGE('',*,*,#202440,.T.); #278762=ORIENTED_EDGE('',*,*,#202437,.T.); #278763=ORIENTED_EDGE('',*,*,#202434,.T.); #278764=ORIENTED_EDGE('',*,*,#202431,.T.); #278765=ORIENTED_EDGE('',*,*,#202428,.T.); #278766=ORIENTED_EDGE('',*,*,#202425,.T.); #278767=ORIENTED_EDGE('',*,*,#202422,.T.); #278768=ORIENTED_EDGE('',*,*,#202419,.T.); #278769=ORIENTED_EDGE('',*,*,#202416,.T.); #278770=ORIENTED_EDGE('',*,*,#202413,.T.); #278771=ORIENTED_EDGE('',*,*,#202410,.T.); #278772=ORIENTED_EDGE('',*,*,#202407,.T.); #278773=ORIENTED_EDGE('',*,*,#202404,.T.); #278774=ORIENTED_EDGE('',*,*,#202401,.T.); #278775=ORIENTED_EDGE('',*,*,#202398,.T.); #278776=ORIENTED_EDGE('',*,*,#202395,.T.); #278777=ORIENTED_EDGE('',*,*,#202392,.T.); #278778=ORIENTED_EDGE('',*,*,#202389,.T.); #278779=ORIENTED_EDGE('',*,*,#202386,.T.); #278780=ORIENTED_EDGE('',*,*,#202383,.T.); #278781=ORIENTED_EDGE('',*,*,#202380,.T.); #278782=ORIENTED_EDGE('',*,*,#202377,.T.); #278783=ORIENTED_EDGE('',*,*,#202374,.T.); #278784=ORIENTED_EDGE('',*,*,#202371,.T.); #278785=ORIENTED_EDGE('',*,*,#202368,.T.); #278786=ORIENTED_EDGE('',*,*,#202365,.T.); #278787=ORIENTED_EDGE('',*,*,#202362,.T.); #278788=ORIENTED_EDGE('',*,*,#202359,.T.); #278789=ORIENTED_EDGE('',*,*,#202356,.T.); #278790=ORIENTED_EDGE('',*,*,#202353,.T.); #278791=ORIENTED_EDGE('',*,*,#202350,.T.); #278792=ORIENTED_EDGE('',*,*,#202347,.T.); #278793=ORIENTED_EDGE('',*,*,#202344,.T.); #278794=ORIENTED_EDGE('',*,*,#202341,.T.); #278795=ORIENTED_EDGE('',*,*,#202338,.T.); #278796=ORIENTED_EDGE('',*,*,#202335,.T.); #278797=ORIENTED_EDGE('',*,*,#202332,.T.); #278798=ORIENTED_EDGE('',*,*,#202329,.T.); #278799=ORIENTED_EDGE('',*,*,#202326,.T.); #278800=ORIENTED_EDGE('',*,*,#202323,.T.); #278801=ORIENTED_EDGE('',*,*,#202320,.T.); #278802=ORIENTED_EDGE('',*,*,#202317,.T.); #278803=ORIENTED_EDGE('',*,*,#202314,.T.); #278804=ORIENTED_EDGE('',*,*,#202311,.T.); #278805=ORIENTED_EDGE('',*,*,#202308,.T.); #278806=ORIENTED_EDGE('',*,*,#202305,.T.); #278807=ORIENTED_EDGE('',*,*,#202302,.T.); #278808=ORIENTED_EDGE('',*,*,#202299,.T.); #278809=ORIENTED_EDGE('',*,*,#202296,.T.); #278810=ORIENTED_EDGE('',*,*,#202293,.T.); #278811=ORIENTED_EDGE('',*,*,#202290,.T.); #278812=ORIENTED_EDGE('',*,*,#202287,.T.); #278813=ORIENTED_EDGE('',*,*,#202284,.T.); #278814=ORIENTED_EDGE('',*,*,#202281,.T.); #278815=ORIENTED_EDGE('',*,*,#202278,.T.); #278816=ORIENTED_EDGE('',*,*,#202275,.T.); #278817=ORIENTED_EDGE('',*,*,#202272,.T.); #278818=ORIENTED_EDGE('',*,*,#202269,.T.); #278819=ORIENTED_EDGE('',*,*,#202266,.T.); #278820=ORIENTED_EDGE('',*,*,#202263,.T.); #278821=ORIENTED_EDGE('',*,*,#202260,.T.); #278822=ORIENTED_EDGE('',*,*,#202257,.T.); #278823=ORIENTED_EDGE('',*,*,#202254,.T.); #278824=ORIENTED_EDGE('',*,*,#202251,.T.); #278825=ORIENTED_EDGE('',*,*,#202248,.T.); #278826=ORIENTED_EDGE('',*,*,#202245,.T.); #278827=ORIENTED_EDGE('',*,*,#202242,.T.); #278828=ORIENTED_EDGE('',*,*,#202239,.T.); #278829=ORIENTED_EDGE('',*,*,#202236,.T.); #278830=ORIENTED_EDGE('',*,*,#202233,.T.); #278831=ORIENTED_EDGE('',*,*,#202230,.T.); #278832=ORIENTED_EDGE('',*,*,#202227,.T.); #278833=ORIENTED_EDGE('',*,*,#202224,.T.); #278834=ORIENTED_EDGE('',*,*,#202221,.T.); #278835=ORIENTED_EDGE('',*,*,#202218,.T.); #278836=ORIENTED_EDGE('',*,*,#202215,.T.); #278837=ORIENTED_EDGE('',*,*,#202212,.T.); #278838=ORIENTED_EDGE('',*,*,#202209,.T.); #278839=ORIENTED_EDGE('',*,*,#202206,.T.); #278840=ORIENTED_EDGE('',*,*,#202203,.T.); #278841=ORIENTED_EDGE('',*,*,#202200,.T.); #278842=ORIENTED_EDGE('',*,*,#202197,.T.); #278843=ORIENTED_EDGE('',*,*,#202194,.T.); #278844=ORIENTED_EDGE('',*,*,#202191,.T.); #278845=ORIENTED_EDGE('',*,*,#202188,.T.); #278846=ORIENTED_EDGE('',*,*,#202185,.T.); #278847=ORIENTED_EDGE('',*,*,#202182,.T.); #278848=ORIENTED_EDGE('',*,*,#202179,.T.); #278849=ORIENTED_EDGE('',*,*,#202176,.T.); #278850=ORIENTED_EDGE('',*,*,#202173,.T.); #278851=ORIENTED_EDGE('',*,*,#202170,.T.); #278852=ORIENTED_EDGE('',*,*,#202167,.T.); #278853=ORIENTED_EDGE('',*,*,#202164,.T.); #278854=ORIENTED_EDGE('',*,*,#202161,.T.); #278855=ORIENTED_EDGE('',*,*,#202158,.T.); #278856=ORIENTED_EDGE('',*,*,#202155,.T.); #278857=ORIENTED_EDGE('',*,*,#202152,.T.); #278858=ORIENTED_EDGE('',*,*,#202149,.T.); #278859=ORIENTED_EDGE('',*,*,#202146,.T.); #278860=ORIENTED_EDGE('',*,*,#202143,.T.); #278861=ORIENTED_EDGE('',*,*,#202140,.T.); #278862=ORIENTED_EDGE('',*,*,#202137,.T.); #278863=ORIENTED_EDGE('',*,*,#202134,.T.); #278864=ORIENTED_EDGE('',*,*,#202131,.T.); #278865=ORIENTED_EDGE('',*,*,#202128,.T.); #278866=ORIENTED_EDGE('',*,*,#202125,.T.); #278867=ORIENTED_EDGE('',*,*,#202122,.T.); #278868=ORIENTED_EDGE('',*,*,#202119,.T.); #278869=ORIENTED_EDGE('',*,*,#202116,.T.); #278870=ORIENTED_EDGE('',*,*,#202113,.T.); #278871=ORIENTED_EDGE('',*,*,#202110,.T.); #278872=ORIENTED_EDGE('',*,*,#202107,.T.); #278873=ORIENTED_EDGE('',*,*,#202104,.T.); #278874=ORIENTED_EDGE('',*,*,#202101,.T.); #278875=ORIENTED_EDGE('',*,*,#202098,.T.); #278876=ORIENTED_EDGE('',*,*,#202095,.T.); #278877=ORIENTED_EDGE('',*,*,#202092,.T.); #278878=ORIENTED_EDGE('',*,*,#202089,.T.); #278879=ORIENTED_EDGE('',*,*,#202086,.T.); #278880=ORIENTED_EDGE('',*,*,#202083,.T.); #278881=ORIENTED_EDGE('',*,*,#202080,.T.); #278882=ORIENTED_EDGE('',*,*,#202077,.T.); #278883=ORIENTED_EDGE('',*,*,#202074,.T.); #278884=ORIENTED_EDGE('',*,*,#202071,.T.); #278885=ORIENTED_EDGE('',*,*,#202068,.T.); #278886=ORIENTED_EDGE('',*,*,#202065,.T.); #278887=ORIENTED_EDGE('',*,*,#202062,.T.); #278888=ORIENTED_EDGE('',*,*,#202059,.T.); #278889=ORIENTED_EDGE('',*,*,#202056,.T.); #278890=ORIENTED_EDGE('',*,*,#202053,.T.); #278891=ORIENTED_EDGE('',*,*,#202050,.T.); #278892=ORIENTED_EDGE('',*,*,#202047,.T.); #278893=ORIENTED_EDGE('',*,*,#202044,.T.); #278894=ORIENTED_EDGE('',*,*,#202041,.T.); #278895=ORIENTED_EDGE('',*,*,#202038,.T.); #278896=ORIENTED_EDGE('',*,*,#202035,.T.); #278897=ORIENTED_EDGE('',*,*,#202032,.T.); #278898=ORIENTED_EDGE('',*,*,#202029,.T.); #278899=ORIENTED_EDGE('',*,*,#202026,.T.); #278900=ORIENTED_EDGE('',*,*,#202023,.T.); #278901=ORIENTED_EDGE('',*,*,#202020,.T.); #278902=ORIENTED_EDGE('',*,*,#202017,.T.); #278903=ORIENTED_EDGE('',*,*,#202014,.T.); #278904=ORIENTED_EDGE('',*,*,#202011,.T.); #278905=ORIENTED_EDGE('',*,*,#202008,.T.); #278906=ORIENTED_EDGE('',*,*,#202005,.T.); #278907=ORIENTED_EDGE('',*,*,#202002,.T.); #278908=ORIENTED_EDGE('',*,*,#201999,.T.); #278909=ORIENTED_EDGE('',*,*,#201996,.T.); #278910=ORIENTED_EDGE('',*,*,#201993,.T.); #278911=ORIENTED_EDGE('',*,*,#201990,.T.); #278912=ORIENTED_EDGE('',*,*,#201987,.T.); #278913=ORIENTED_EDGE('',*,*,#201984,.T.); #278914=ORIENTED_EDGE('',*,*,#201981,.T.); #278915=ORIENTED_EDGE('',*,*,#201978,.T.); #278916=ORIENTED_EDGE('',*,*,#201975,.T.); #278917=ORIENTED_EDGE('',*,*,#201972,.T.); #278918=ORIENTED_EDGE('',*,*,#201969,.T.); #278919=ORIENTED_EDGE('',*,*,#201966,.T.); #278920=ORIENTED_EDGE('',*,*,#201963,.T.); #278921=ORIENTED_EDGE('',*,*,#201960,.T.); #278922=ORIENTED_EDGE('',*,*,#201957,.T.); #278923=ORIENTED_EDGE('',*,*,#201954,.T.); #278924=ORIENTED_EDGE('',*,*,#201951,.T.); #278925=ORIENTED_EDGE('',*,*,#201948,.T.); #278926=ORIENTED_EDGE('',*,*,#201945,.T.); #278927=ORIENTED_EDGE('',*,*,#201942,.T.); #278928=ORIENTED_EDGE('',*,*,#201939,.T.); #278929=ORIENTED_EDGE('',*,*,#201936,.T.); #278930=ORIENTED_EDGE('',*,*,#201933,.T.); #278931=ORIENTED_EDGE('',*,*,#201930,.T.); #278932=ORIENTED_EDGE('',*,*,#201927,.T.); #278933=ORIENTED_EDGE('',*,*,#201924,.T.); #278934=ORIENTED_EDGE('',*,*,#201921,.T.); #278935=ORIENTED_EDGE('',*,*,#201918,.T.); #278936=ORIENTED_EDGE('',*,*,#201915,.T.); #278937=ORIENTED_EDGE('',*,*,#201912,.T.); #278938=ORIENTED_EDGE('',*,*,#201909,.T.); #278939=ORIENTED_EDGE('',*,*,#201906,.T.); #278940=ORIENTED_EDGE('',*,*,#201903,.T.); #278941=ORIENTED_EDGE('',*,*,#201900,.T.); #278942=ORIENTED_EDGE('',*,*,#201897,.T.); #278943=ORIENTED_EDGE('',*,*,#201894,.T.); #278944=ORIENTED_EDGE('',*,*,#201891,.T.); #278945=ORIENTED_EDGE('',*,*,#201888,.T.); #278946=ORIENTED_EDGE('',*,*,#201885,.T.); #278947=ORIENTED_EDGE('',*,*,#201882,.T.); #278948=ORIENTED_EDGE('',*,*,#201879,.T.); #278949=ORIENTED_EDGE('',*,*,#201876,.T.); #278950=ORIENTED_EDGE('',*,*,#201873,.T.); #278951=ORIENTED_EDGE('',*,*,#201870,.T.); #278952=ORIENTED_EDGE('',*,*,#201867,.T.); #278953=ORIENTED_EDGE('',*,*,#201864,.T.); #278954=ORIENTED_EDGE('',*,*,#201861,.T.); #278955=ORIENTED_EDGE('',*,*,#201858,.T.); #278956=ORIENTED_EDGE('',*,*,#201855,.T.); #278957=ORIENTED_EDGE('',*,*,#201852,.T.); #278958=ORIENTED_EDGE('',*,*,#201849,.T.); #278959=ORIENTED_EDGE('',*,*,#201846,.T.); #278960=ORIENTED_EDGE('',*,*,#201843,.T.); #278961=ORIENTED_EDGE('',*,*,#201840,.T.); #278962=ORIENTED_EDGE('',*,*,#201837,.T.); #278963=ORIENTED_EDGE('',*,*,#201834,.T.); #278964=ORIENTED_EDGE('',*,*,#201831,.T.); #278965=ORIENTED_EDGE('',*,*,#201828,.T.); #278966=ORIENTED_EDGE('',*,*,#201825,.T.); #278967=ORIENTED_EDGE('',*,*,#201822,.T.); #278968=ORIENTED_EDGE('',*,*,#201819,.T.); #278969=ORIENTED_EDGE('',*,*,#201816,.T.); #278970=ORIENTED_EDGE('',*,*,#201813,.T.); #278971=ORIENTED_EDGE('',*,*,#201810,.T.); #278972=ORIENTED_EDGE('',*,*,#201807,.T.); #278973=ORIENTED_EDGE('',*,*,#201804,.T.); #278974=ORIENTED_EDGE('',*,*,#201801,.T.); #278975=ORIENTED_EDGE('',*,*,#201798,.T.); #278976=ORIENTED_EDGE('',*,*,#201795,.T.); #278977=ORIENTED_EDGE('',*,*,#201792,.T.); #278978=ORIENTED_EDGE('',*,*,#201789,.T.); #278979=ORIENTED_EDGE('',*,*,#201786,.T.); #278980=ORIENTED_EDGE('',*,*,#201783,.T.); #278981=ORIENTED_EDGE('',*,*,#201780,.T.); #278982=ORIENTED_EDGE('',*,*,#201777,.T.); #278983=ORIENTED_EDGE('',*,*,#201774,.T.); #278984=ORIENTED_EDGE('',*,*,#201771,.T.); #278985=ORIENTED_EDGE('',*,*,#201768,.T.); #278986=ORIENTED_EDGE('',*,*,#201765,.T.); #278987=ORIENTED_EDGE('',*,*,#201762,.T.); #278988=ORIENTED_EDGE('',*,*,#201759,.T.); #278989=ORIENTED_EDGE('',*,*,#201756,.T.); #278990=ORIENTED_EDGE('',*,*,#201753,.T.); #278991=ORIENTED_EDGE('',*,*,#201750,.T.); #278992=ORIENTED_EDGE('',*,*,#201747,.T.); #278993=ORIENTED_EDGE('',*,*,#201744,.T.); #278994=ORIENTED_EDGE('',*,*,#201741,.T.); #278995=ORIENTED_EDGE('',*,*,#201738,.T.); #278996=ORIENTED_EDGE('',*,*,#201735,.T.); #278997=ORIENTED_EDGE('',*,*,#201732,.T.); #278998=ORIENTED_EDGE('',*,*,#201729,.T.); #278999=ORIENTED_EDGE('',*,*,#201726,.T.); #279000=ORIENTED_EDGE('',*,*,#201723,.T.); #279001=ORIENTED_EDGE('',*,*,#201720,.T.); #279002=ORIENTED_EDGE('',*,*,#201717,.T.); #279003=ORIENTED_EDGE('',*,*,#201714,.T.); #279004=ORIENTED_EDGE('',*,*,#201711,.T.); #279005=ORIENTED_EDGE('',*,*,#201708,.T.); #279006=ORIENTED_EDGE('',*,*,#201705,.T.); #279007=ORIENTED_EDGE('',*,*,#201702,.T.); #279008=ORIENTED_EDGE('',*,*,#201699,.T.); #279009=ORIENTED_EDGE('',*,*,#201696,.T.); #279010=ORIENTED_EDGE('',*,*,#201693,.T.); #279011=ORIENTED_EDGE('',*,*,#201690,.T.); #279012=ORIENTED_EDGE('',*,*,#201687,.T.); #279013=ORIENTED_EDGE('',*,*,#201684,.T.); #279014=ORIENTED_EDGE('',*,*,#201681,.T.); #279015=ORIENTED_EDGE('',*,*,#201678,.T.); #279016=ORIENTED_EDGE('',*,*,#201675,.T.); #279017=ORIENTED_EDGE('',*,*,#201672,.T.); #279018=ORIENTED_EDGE('',*,*,#201669,.T.); #279019=ORIENTED_EDGE('',*,*,#201666,.T.); #279020=ORIENTED_EDGE('',*,*,#201663,.T.); #279021=ORIENTED_EDGE('',*,*,#201660,.T.); #279022=ORIENTED_EDGE('',*,*,#201657,.T.); #279023=ORIENTED_EDGE('',*,*,#201654,.T.); #279024=ORIENTED_EDGE('',*,*,#201651,.T.); #279025=ORIENTED_EDGE('',*,*,#201648,.T.); #279026=ORIENTED_EDGE('',*,*,#201645,.T.); #279027=ORIENTED_EDGE('',*,*,#201642,.T.); #279028=ORIENTED_EDGE('',*,*,#201639,.T.); #279029=ORIENTED_EDGE('',*,*,#201636,.T.); #279030=ORIENTED_EDGE('',*,*,#201633,.T.); #279031=ORIENTED_EDGE('',*,*,#201630,.T.); #279032=ORIENTED_EDGE('',*,*,#201627,.T.); #279033=ORIENTED_EDGE('',*,*,#201624,.T.); #279034=ORIENTED_EDGE('',*,*,#201621,.T.); #279035=ORIENTED_EDGE('',*,*,#201618,.T.); #279036=ORIENTED_EDGE('',*,*,#201615,.T.); #279037=ORIENTED_EDGE('',*,*,#201612,.T.); #279038=ORIENTED_EDGE('',*,*,#201609,.T.); #279039=ORIENTED_EDGE('',*,*,#201606,.T.); #279040=ORIENTED_EDGE('',*,*,#201603,.T.); #279041=ORIENTED_EDGE('',*,*,#201600,.T.); #279042=ORIENTED_EDGE('',*,*,#201597,.T.); #279043=ORIENTED_EDGE('',*,*,#201594,.T.); #279044=ORIENTED_EDGE('',*,*,#201591,.T.); #279045=ORIENTED_EDGE('',*,*,#201588,.T.); #279046=ORIENTED_EDGE('',*,*,#201585,.T.); #279047=ORIENTED_EDGE('',*,*,#201582,.T.); #279048=ORIENTED_EDGE('',*,*,#201579,.T.); #279049=ORIENTED_EDGE('',*,*,#201576,.T.); #279050=ORIENTED_EDGE('',*,*,#201573,.T.); #279051=ORIENTED_EDGE('',*,*,#201570,.T.); #279052=ORIENTED_EDGE('',*,*,#201567,.T.); #279053=ORIENTED_EDGE('',*,*,#201564,.T.); #279054=ORIENTED_EDGE('',*,*,#201561,.T.); #279055=ORIENTED_EDGE('',*,*,#201558,.T.); #279056=ORIENTED_EDGE('',*,*,#201555,.T.); #279057=ORIENTED_EDGE('',*,*,#201552,.T.); #279058=ORIENTED_EDGE('',*,*,#201549,.T.); #279059=ORIENTED_EDGE('',*,*,#201546,.T.); #279060=ORIENTED_EDGE('',*,*,#201543,.T.); #279061=ORIENTED_EDGE('',*,*,#201540,.T.); #279062=ORIENTED_EDGE('',*,*,#201537,.T.); #279063=ORIENTED_EDGE('',*,*,#201534,.T.); #279064=ORIENTED_EDGE('',*,*,#201531,.T.); #279065=ORIENTED_EDGE('',*,*,#201528,.T.); #279066=ORIENTED_EDGE('',*,*,#201525,.T.); #279067=ORIENTED_EDGE('',*,*,#201522,.T.); #279068=ORIENTED_EDGE('',*,*,#201519,.T.); #279069=ORIENTED_EDGE('',*,*,#201516,.T.); #279070=ORIENTED_EDGE('',*,*,#201513,.T.); #279071=ORIENTED_EDGE('',*,*,#201510,.T.); #279072=ORIENTED_EDGE('',*,*,#201507,.T.); #279073=ORIENTED_EDGE('',*,*,#201504,.T.); #279074=ORIENTED_EDGE('',*,*,#201501,.T.); #279075=ORIENTED_EDGE('',*,*,#201498,.T.); #279076=ORIENTED_EDGE('',*,*,#201495,.T.); #279077=ORIENTED_EDGE('',*,*,#201492,.T.); #279078=ORIENTED_EDGE('',*,*,#201489,.T.); #279079=ORIENTED_EDGE('',*,*,#201486,.T.); #279080=ORIENTED_EDGE('',*,*,#201483,.T.); #279081=ORIENTED_EDGE('',*,*,#201480,.T.); #279082=ORIENTED_EDGE('',*,*,#201477,.T.); #279083=ORIENTED_EDGE('',*,*,#201474,.T.); #279084=ORIENTED_EDGE('',*,*,#201471,.T.); #279085=ORIENTED_EDGE('',*,*,#201468,.T.); #279086=ORIENTED_EDGE('',*,*,#201465,.T.); #279087=ORIENTED_EDGE('',*,*,#201462,.T.); #279088=ORIENTED_EDGE('',*,*,#201459,.T.); #279089=ORIENTED_EDGE('',*,*,#201456,.T.); #279090=ORIENTED_EDGE('',*,*,#201453,.T.); #279091=ORIENTED_EDGE('',*,*,#201450,.T.); #279092=ORIENTED_EDGE('',*,*,#201447,.T.); #279093=ORIENTED_EDGE('',*,*,#201444,.T.); #279094=ORIENTED_EDGE('',*,*,#201441,.T.); #279095=ORIENTED_EDGE('',*,*,#201438,.T.); #279096=ORIENTED_EDGE('',*,*,#201435,.T.); #279097=ORIENTED_EDGE('',*,*,#201432,.T.); #279098=ORIENTED_EDGE('',*,*,#201429,.T.); #279099=ORIENTED_EDGE('',*,*,#201426,.T.); #279100=ORIENTED_EDGE('',*,*,#201423,.T.); #279101=ORIENTED_EDGE('',*,*,#201420,.T.); #279102=ORIENTED_EDGE('',*,*,#201417,.T.); #279103=ORIENTED_EDGE('',*,*,#201414,.T.); #279104=ORIENTED_EDGE('',*,*,#201411,.T.); #279105=ORIENTED_EDGE('',*,*,#201408,.T.); #279106=ORIENTED_EDGE('',*,*,#201405,.T.); #279107=ORIENTED_EDGE('',*,*,#201402,.T.); #279108=ORIENTED_EDGE('',*,*,#201399,.T.); #279109=ORIENTED_EDGE('',*,*,#201396,.T.); #279110=ORIENTED_EDGE('',*,*,#201393,.T.); #279111=ORIENTED_EDGE('',*,*,#201390,.T.); #279112=ORIENTED_EDGE('',*,*,#201387,.T.); #279113=ORIENTED_EDGE('',*,*,#201384,.T.); #279114=ORIENTED_EDGE('',*,*,#201381,.T.); #279115=ORIENTED_EDGE('',*,*,#201378,.T.); #279116=ORIENTED_EDGE('',*,*,#201375,.T.); #279117=ORIENTED_EDGE('',*,*,#201372,.T.); #279118=ORIENTED_EDGE('',*,*,#201369,.T.); #279119=ORIENTED_EDGE('',*,*,#201366,.T.); #279120=ORIENTED_EDGE('',*,*,#201363,.T.); #279121=ORIENTED_EDGE('',*,*,#201360,.T.); #279122=ORIENTED_EDGE('',*,*,#201357,.T.); #279123=ORIENTED_EDGE('',*,*,#201354,.T.); #279124=ORIENTED_EDGE('',*,*,#201351,.T.); #279125=ORIENTED_EDGE('',*,*,#201348,.T.); #279126=ORIENTED_EDGE('',*,*,#201345,.T.); #279127=ORIENTED_EDGE('',*,*,#201342,.T.); #279128=ORIENTED_EDGE('',*,*,#201339,.T.); #279129=ORIENTED_EDGE('',*,*,#201336,.T.); #279130=ORIENTED_EDGE('',*,*,#201333,.T.); #279131=ORIENTED_EDGE('',*,*,#201330,.T.); #279132=ORIENTED_EDGE('',*,*,#201327,.T.); #279133=ORIENTED_EDGE('',*,*,#201324,.T.); #279134=ORIENTED_EDGE('',*,*,#201321,.T.); #279135=ORIENTED_EDGE('',*,*,#201318,.T.); #279136=ORIENTED_EDGE('',*,*,#201315,.T.); #279137=ORIENTED_EDGE('',*,*,#201312,.T.); #279138=ORIENTED_EDGE('',*,*,#201309,.T.); #279139=ORIENTED_EDGE('',*,*,#201306,.T.); #279140=ORIENTED_EDGE('',*,*,#201303,.T.); #279141=ORIENTED_EDGE('',*,*,#201300,.T.); #279142=ORIENTED_EDGE('',*,*,#201297,.T.); #279143=ORIENTED_EDGE('',*,*,#201294,.T.); #279144=ORIENTED_EDGE('',*,*,#201291,.T.); #279145=ORIENTED_EDGE('',*,*,#201288,.T.); #279146=ORIENTED_EDGE('',*,*,#201285,.T.); #279147=ORIENTED_EDGE('',*,*,#201282,.T.); #279148=ORIENTED_EDGE('',*,*,#201279,.T.); #279149=ORIENTED_EDGE('',*,*,#201276,.T.); #279150=ORIENTED_EDGE('',*,*,#201273,.T.); #279151=ORIENTED_EDGE('',*,*,#201270,.T.); #279152=ORIENTED_EDGE('',*,*,#201267,.T.); #279153=ORIENTED_EDGE('',*,*,#201264,.T.); #279154=ORIENTED_EDGE('',*,*,#201261,.T.); #279155=ORIENTED_EDGE('',*,*,#201258,.T.); #279156=ORIENTED_EDGE('',*,*,#201255,.T.); #279157=ORIENTED_EDGE('',*,*,#201252,.T.); #279158=ORIENTED_EDGE('',*,*,#201249,.T.); #279159=ORIENTED_EDGE('',*,*,#201246,.T.); #279160=ORIENTED_EDGE('',*,*,#201243,.T.); #279161=ORIENTED_EDGE('',*,*,#201240,.T.); #279162=ORIENTED_EDGE('',*,*,#201237,.T.); #279163=ORIENTED_EDGE('',*,*,#201234,.T.); #279164=ORIENTED_EDGE('',*,*,#201231,.T.); #279165=ORIENTED_EDGE('',*,*,#201228,.T.); #279166=ORIENTED_EDGE('',*,*,#201225,.T.); #279167=ORIENTED_EDGE('',*,*,#201222,.T.); #279168=ORIENTED_EDGE('',*,*,#201219,.T.); #279169=ORIENTED_EDGE('',*,*,#201216,.T.); #279170=ORIENTED_EDGE('',*,*,#201213,.T.); #279171=ORIENTED_EDGE('',*,*,#201210,.T.); #279172=ORIENTED_EDGE('',*,*,#201207,.T.); #279173=ORIENTED_EDGE('',*,*,#201204,.T.); #279174=ORIENTED_EDGE('',*,*,#201201,.T.); #279175=ORIENTED_EDGE('',*,*,#201198,.T.); #279176=ORIENTED_EDGE('',*,*,#201195,.T.); #279177=ORIENTED_EDGE('',*,*,#201192,.T.); #279178=ORIENTED_EDGE('',*,*,#201189,.T.); #279179=ORIENTED_EDGE('',*,*,#201186,.T.); #279180=ORIENTED_EDGE('',*,*,#201183,.T.); #279181=ORIENTED_EDGE('',*,*,#201180,.T.); #279182=ORIENTED_EDGE('',*,*,#201177,.T.); #279183=ORIENTED_EDGE('',*,*,#201174,.T.); #279184=ORIENTED_EDGE('',*,*,#201171,.T.); #279185=ORIENTED_EDGE('',*,*,#201168,.T.); #279186=ORIENTED_EDGE('',*,*,#201165,.T.); #279187=ORIENTED_EDGE('',*,*,#201162,.T.); #279188=ORIENTED_EDGE('',*,*,#201159,.T.); #279189=ORIENTED_EDGE('',*,*,#201156,.T.); #279190=ORIENTED_EDGE('',*,*,#201153,.T.); #279191=ORIENTED_EDGE('',*,*,#201150,.T.); #279192=ORIENTED_EDGE('',*,*,#201147,.T.); #279193=ORIENTED_EDGE('',*,*,#201144,.T.); #279194=ORIENTED_EDGE('',*,*,#201141,.T.); #279195=ORIENTED_EDGE('',*,*,#201138,.T.); #279196=ORIENTED_EDGE('',*,*,#201135,.T.); #279197=ORIENTED_EDGE('',*,*,#201132,.T.); #279198=ORIENTED_EDGE('',*,*,#201129,.T.); #279199=ORIENTED_EDGE('',*,*,#201126,.T.); #279200=ORIENTED_EDGE('',*,*,#201123,.T.); #279201=ORIENTED_EDGE('',*,*,#201120,.T.); #279202=ORIENTED_EDGE('',*,*,#201117,.T.); #279203=ORIENTED_EDGE('',*,*,#201114,.T.); #279204=ORIENTED_EDGE('',*,*,#201111,.T.); #279205=ORIENTED_EDGE('',*,*,#201108,.T.); #279206=ORIENTED_EDGE('',*,*,#201105,.T.); #279207=ORIENTED_EDGE('',*,*,#201102,.T.); #279208=ORIENTED_EDGE('',*,*,#201099,.T.); #279209=ORIENTED_EDGE('',*,*,#201096,.T.); #279210=ORIENTED_EDGE('',*,*,#201093,.T.); #279211=ORIENTED_EDGE('',*,*,#201090,.T.); #279212=ORIENTED_EDGE('',*,*,#201087,.T.); #279213=ORIENTED_EDGE('',*,*,#201084,.T.); #279214=ORIENTED_EDGE('',*,*,#201081,.T.); #279215=ORIENTED_EDGE('',*,*,#201078,.T.); #279216=ORIENTED_EDGE('',*,*,#201075,.T.); #279217=ORIENTED_EDGE('',*,*,#201072,.T.); #279218=ORIENTED_EDGE('',*,*,#201069,.T.); #279219=ORIENTED_EDGE('',*,*,#201066,.T.); #279220=ORIENTED_EDGE('',*,*,#201063,.T.); #279221=ORIENTED_EDGE('',*,*,#201060,.T.); #279222=ORIENTED_EDGE('',*,*,#201057,.T.); #279223=ORIENTED_EDGE('',*,*,#201054,.T.); #279224=ORIENTED_EDGE('',*,*,#201051,.T.); #279225=ORIENTED_EDGE('',*,*,#201048,.T.); #279226=ORIENTED_EDGE('',*,*,#201045,.T.); #279227=ORIENTED_EDGE('',*,*,#201042,.T.); #279228=ORIENTED_EDGE('',*,*,#201039,.T.); #279229=ORIENTED_EDGE('',*,*,#201036,.T.); #279230=ORIENTED_EDGE('',*,*,#201033,.T.); #279231=ORIENTED_EDGE('',*,*,#201030,.T.); #279232=ORIENTED_EDGE('',*,*,#201027,.T.); #279233=ORIENTED_EDGE('',*,*,#201024,.T.); #279234=ORIENTED_EDGE('',*,*,#201021,.T.); #279235=ORIENTED_EDGE('',*,*,#201018,.T.); #279236=ORIENTED_EDGE('',*,*,#201015,.T.); #279237=ORIENTED_EDGE('',*,*,#201012,.T.); #279238=ORIENTED_EDGE('',*,*,#201009,.T.); #279239=ORIENTED_EDGE('',*,*,#201006,.T.); #279240=ORIENTED_EDGE('',*,*,#201003,.T.); #279241=ORIENTED_EDGE('',*,*,#201000,.T.); #279242=ORIENTED_EDGE('',*,*,#200997,.T.); #279243=ORIENTED_EDGE('',*,*,#200994,.T.); #279244=ORIENTED_EDGE('',*,*,#200991,.T.); #279245=ORIENTED_EDGE('',*,*,#200988,.T.); #279246=ORIENTED_EDGE('',*,*,#200985,.T.); #279247=ORIENTED_EDGE('',*,*,#200982,.T.); #279248=ORIENTED_EDGE('',*,*,#200979,.T.); #279249=ORIENTED_EDGE('',*,*,#200976,.T.); #279250=ORIENTED_EDGE('',*,*,#200973,.T.); #279251=ORIENTED_EDGE('',*,*,#200970,.T.); #279252=ORIENTED_EDGE('',*,*,#200967,.T.); #279253=ORIENTED_EDGE('',*,*,#200964,.T.); #279254=ORIENTED_EDGE('',*,*,#200961,.T.); #279255=ORIENTED_EDGE('',*,*,#200958,.T.); #279256=ORIENTED_EDGE('',*,*,#200955,.T.); #279257=ORIENTED_EDGE('',*,*,#200952,.T.); #279258=ORIENTED_EDGE('',*,*,#200949,.T.); #279259=ORIENTED_EDGE('',*,*,#200946,.T.); #279260=ORIENTED_EDGE('',*,*,#200943,.T.); #279261=ORIENTED_EDGE('',*,*,#200940,.T.); #279262=ORIENTED_EDGE('',*,*,#200937,.T.); #279263=ORIENTED_EDGE('',*,*,#200934,.T.); #279264=ORIENTED_EDGE('',*,*,#200931,.T.); #279265=ORIENTED_EDGE('',*,*,#200928,.T.); #279266=ORIENTED_EDGE('',*,*,#200925,.T.); #279267=ORIENTED_EDGE('',*,*,#200922,.T.); #279268=ORIENTED_EDGE('',*,*,#200919,.T.); #279269=ORIENTED_EDGE('',*,*,#200916,.T.); #279270=ORIENTED_EDGE('',*,*,#200913,.T.); #279271=ORIENTED_EDGE('',*,*,#200910,.T.); #279272=ORIENTED_EDGE('',*,*,#200907,.T.); #279273=ORIENTED_EDGE('',*,*,#200904,.T.); #279274=ORIENTED_EDGE('',*,*,#200901,.T.); #279275=ORIENTED_EDGE('',*,*,#200898,.T.); #279276=ORIENTED_EDGE('',*,*,#200895,.T.); #279277=ORIENTED_EDGE('',*,*,#200892,.T.); #279278=ORIENTED_EDGE('',*,*,#200889,.T.); #279279=ORIENTED_EDGE('',*,*,#200886,.T.); #279280=ORIENTED_EDGE('',*,*,#200883,.T.); #279281=ORIENTED_EDGE('',*,*,#200880,.T.); #279282=ORIENTED_EDGE('',*,*,#200877,.T.); #279283=ORIENTED_EDGE('',*,*,#200874,.T.); #279284=ORIENTED_EDGE('',*,*,#200871,.T.); #279285=ORIENTED_EDGE('',*,*,#200868,.T.); #279286=ORIENTED_EDGE('',*,*,#200865,.T.); #279287=ORIENTED_EDGE('',*,*,#200862,.T.); #279288=ORIENTED_EDGE('',*,*,#200859,.T.); #279289=ORIENTED_EDGE('',*,*,#200856,.T.); #279290=ORIENTED_EDGE('',*,*,#200853,.T.); #279291=ORIENTED_EDGE('',*,*,#200850,.T.); #279292=ORIENTED_EDGE('',*,*,#200847,.T.); #279293=ORIENTED_EDGE('',*,*,#200844,.T.); #279294=ORIENTED_EDGE('',*,*,#200841,.T.); #279295=ORIENTED_EDGE('',*,*,#200838,.T.); #279296=ORIENTED_EDGE('',*,*,#200835,.T.); #279297=ORIENTED_EDGE('',*,*,#200832,.T.); #279298=ORIENTED_EDGE('',*,*,#200829,.T.); #279299=ORIENTED_EDGE('',*,*,#200826,.T.); #279300=ORIENTED_EDGE('',*,*,#200823,.T.); #279301=ORIENTED_EDGE('',*,*,#200820,.T.); #279302=ORIENTED_EDGE('',*,*,#200817,.T.); #279303=ORIENTED_EDGE('',*,*,#200814,.T.); #279304=ORIENTED_EDGE('',*,*,#200811,.T.); #279305=ORIENTED_EDGE('',*,*,#200808,.T.); #279306=ORIENTED_EDGE('',*,*,#200805,.T.); #279307=ORIENTED_EDGE('',*,*,#200802,.T.); #279308=ORIENTED_EDGE('',*,*,#200799,.T.); #279309=ORIENTED_EDGE('',*,*,#200796,.T.); #279310=ORIENTED_EDGE('',*,*,#200793,.T.); #279311=ORIENTED_EDGE('',*,*,#200790,.T.); #279312=ORIENTED_EDGE('',*,*,#200787,.T.); #279313=ORIENTED_EDGE('',*,*,#200784,.T.); #279314=ORIENTED_EDGE('',*,*,#200781,.T.); #279315=ORIENTED_EDGE('',*,*,#200778,.T.); #279316=ORIENTED_EDGE('',*,*,#200775,.T.); #279317=ORIENTED_EDGE('',*,*,#200772,.T.); #279318=ORIENTED_EDGE('',*,*,#200769,.T.); #279319=ORIENTED_EDGE('',*,*,#200766,.T.); #279320=ORIENTED_EDGE('',*,*,#200763,.T.); #279321=ORIENTED_EDGE('',*,*,#200760,.T.); #279322=ORIENTED_EDGE('',*,*,#200757,.T.); #279323=ORIENTED_EDGE('',*,*,#200754,.T.); #279324=ORIENTED_EDGE('',*,*,#200751,.T.); #279325=ORIENTED_EDGE('',*,*,#200748,.T.); #279326=ORIENTED_EDGE('',*,*,#200745,.T.); #279327=ORIENTED_EDGE('',*,*,#200742,.T.); #279328=ORIENTED_EDGE('',*,*,#200739,.T.); #279329=ORIENTED_EDGE('',*,*,#200736,.T.); #279330=ORIENTED_EDGE('',*,*,#200733,.T.); #279331=ORIENTED_EDGE('',*,*,#200730,.T.); #279332=ORIENTED_EDGE('',*,*,#200727,.T.); #279333=ORIENTED_EDGE('',*,*,#200724,.T.); #279334=ORIENTED_EDGE('',*,*,#200721,.T.); #279335=ORIENTED_EDGE('',*,*,#200718,.T.); #279336=ORIENTED_EDGE('',*,*,#200715,.T.); #279337=ORIENTED_EDGE('',*,*,#200712,.T.); #279338=ORIENTED_EDGE('',*,*,#200709,.T.); #279339=ORIENTED_EDGE('',*,*,#200706,.T.); #279340=ORIENTED_EDGE('',*,*,#200703,.T.); #279341=ORIENTED_EDGE('',*,*,#200700,.T.); #279342=ORIENTED_EDGE('',*,*,#200697,.T.); #279343=ORIENTED_EDGE('',*,*,#200694,.T.); #279344=ORIENTED_EDGE('',*,*,#200691,.T.); #279345=ORIENTED_EDGE('',*,*,#200688,.T.); #279346=ORIENTED_EDGE('',*,*,#200685,.T.); #279347=ORIENTED_EDGE('',*,*,#200682,.T.); #279348=ORIENTED_EDGE('',*,*,#200679,.T.); #279349=ORIENTED_EDGE('',*,*,#200676,.T.); #279350=ORIENTED_EDGE('',*,*,#200673,.T.); #279351=ORIENTED_EDGE('',*,*,#200670,.T.); #279352=ORIENTED_EDGE('',*,*,#200667,.T.); #279353=ORIENTED_EDGE('',*,*,#200664,.T.); #279354=ORIENTED_EDGE('',*,*,#200661,.T.); #279355=ORIENTED_EDGE('',*,*,#200658,.T.); #279356=ORIENTED_EDGE('',*,*,#200655,.T.); #279357=ORIENTED_EDGE('',*,*,#200652,.T.); #279358=ORIENTED_EDGE('',*,*,#200649,.T.); #279359=ORIENTED_EDGE('',*,*,#204971,.F.); #279360=ORIENTED_EDGE('',*,*,#204613,.F.); #279361=ORIENTED_EDGE('',*,*,#204617,.F.); #279362=ORIENTED_EDGE('',*,*,#204620,.F.); #279363=ORIENTED_EDGE('',*,*,#204623,.F.); #279364=ORIENTED_EDGE('',*,*,#204626,.F.); #279365=ORIENTED_EDGE('',*,*,#204629,.F.); #279366=ORIENTED_EDGE('',*,*,#204632,.F.); #279367=ORIENTED_EDGE('',*,*,#204635,.F.); #279368=ORIENTED_EDGE('',*,*,#204638,.F.); #279369=ORIENTED_EDGE('',*,*,#204641,.F.); #279370=ORIENTED_EDGE('',*,*,#204644,.F.); #279371=ORIENTED_EDGE('',*,*,#204647,.F.); #279372=ORIENTED_EDGE('',*,*,#204650,.F.); #279373=ORIENTED_EDGE('',*,*,#204653,.F.); #279374=ORIENTED_EDGE('',*,*,#204656,.F.); #279375=ORIENTED_EDGE('',*,*,#204659,.F.); #279376=ORIENTED_EDGE('',*,*,#204662,.F.); #279377=ORIENTED_EDGE('',*,*,#204665,.F.); #279378=ORIENTED_EDGE('',*,*,#204668,.F.); #279379=ORIENTED_EDGE('',*,*,#204671,.F.); #279380=ORIENTED_EDGE('',*,*,#204674,.F.); #279381=ORIENTED_EDGE('',*,*,#204677,.F.); #279382=ORIENTED_EDGE('',*,*,#204680,.F.); #279383=ORIENTED_EDGE('',*,*,#204683,.F.); #279384=ORIENTED_EDGE('',*,*,#204686,.F.); #279385=ORIENTED_EDGE('',*,*,#204689,.F.); #279386=ORIENTED_EDGE('',*,*,#204692,.F.); #279387=ORIENTED_EDGE('',*,*,#204695,.F.); #279388=ORIENTED_EDGE('',*,*,#204698,.F.); #279389=ORIENTED_EDGE('',*,*,#204701,.F.); #279390=ORIENTED_EDGE('',*,*,#204704,.F.); #279391=ORIENTED_EDGE('',*,*,#204707,.F.); #279392=ORIENTED_EDGE('',*,*,#204710,.F.); #279393=ORIENTED_EDGE('',*,*,#204713,.F.); #279394=ORIENTED_EDGE('',*,*,#204716,.F.); #279395=ORIENTED_EDGE('',*,*,#204719,.F.); #279396=ORIENTED_EDGE('',*,*,#204722,.F.); #279397=ORIENTED_EDGE('',*,*,#204725,.F.); #279398=ORIENTED_EDGE('',*,*,#204728,.F.); #279399=ORIENTED_EDGE('',*,*,#204731,.F.); #279400=ORIENTED_EDGE('',*,*,#204734,.F.); #279401=ORIENTED_EDGE('',*,*,#204737,.F.); #279402=ORIENTED_EDGE('',*,*,#204740,.F.); #279403=ORIENTED_EDGE('',*,*,#204743,.F.); #279404=ORIENTED_EDGE('',*,*,#204746,.F.); #279405=ORIENTED_EDGE('',*,*,#204749,.F.); #279406=ORIENTED_EDGE('',*,*,#204752,.F.); #279407=ORIENTED_EDGE('',*,*,#204755,.F.); #279408=ORIENTED_EDGE('',*,*,#204758,.F.); #279409=ORIENTED_EDGE('',*,*,#204761,.F.); #279410=ORIENTED_EDGE('',*,*,#204764,.F.); #279411=ORIENTED_EDGE('',*,*,#204767,.F.); #279412=ORIENTED_EDGE('',*,*,#204770,.F.); #279413=ORIENTED_EDGE('',*,*,#204773,.F.); #279414=ORIENTED_EDGE('',*,*,#204776,.F.); #279415=ORIENTED_EDGE('',*,*,#204779,.F.); #279416=ORIENTED_EDGE('',*,*,#204782,.F.); #279417=ORIENTED_EDGE('',*,*,#204785,.F.); #279418=ORIENTED_EDGE('',*,*,#204788,.F.); #279419=ORIENTED_EDGE('',*,*,#204791,.F.); #279420=ORIENTED_EDGE('',*,*,#204794,.F.); #279421=ORIENTED_EDGE('',*,*,#204797,.F.); #279422=ORIENTED_EDGE('',*,*,#204800,.F.); #279423=ORIENTED_EDGE('',*,*,#204803,.F.); #279424=ORIENTED_EDGE('',*,*,#204806,.F.); #279425=ORIENTED_EDGE('',*,*,#204809,.F.); #279426=ORIENTED_EDGE('',*,*,#204812,.F.); #279427=ORIENTED_EDGE('',*,*,#204815,.F.); #279428=ORIENTED_EDGE('',*,*,#204818,.F.); #279429=ORIENTED_EDGE('',*,*,#204821,.F.); #279430=ORIENTED_EDGE('',*,*,#204824,.F.); #279431=ORIENTED_EDGE('',*,*,#204827,.F.); #279432=ORIENTED_EDGE('',*,*,#204830,.F.); #279433=ORIENTED_EDGE('',*,*,#204833,.F.); #279434=ORIENTED_EDGE('',*,*,#204836,.F.); #279435=ORIENTED_EDGE('',*,*,#204839,.F.); #279436=ORIENTED_EDGE('',*,*,#204842,.F.); #279437=ORIENTED_EDGE('',*,*,#204845,.F.); #279438=ORIENTED_EDGE('',*,*,#204848,.F.); #279439=ORIENTED_EDGE('',*,*,#204851,.F.); #279440=ORIENTED_EDGE('',*,*,#204854,.F.); #279441=ORIENTED_EDGE('',*,*,#204857,.F.); #279442=ORIENTED_EDGE('',*,*,#204860,.F.); #279443=ORIENTED_EDGE('',*,*,#204863,.F.); #279444=ORIENTED_EDGE('',*,*,#204866,.F.); #279445=ORIENTED_EDGE('',*,*,#204869,.F.); #279446=ORIENTED_EDGE('',*,*,#204872,.F.); #279447=ORIENTED_EDGE('',*,*,#204875,.F.); #279448=ORIENTED_EDGE('',*,*,#204878,.F.); #279449=ORIENTED_EDGE('',*,*,#204881,.F.); #279450=ORIENTED_EDGE('',*,*,#204884,.F.); #279451=ORIENTED_EDGE('',*,*,#204887,.F.); #279452=ORIENTED_EDGE('',*,*,#204890,.F.); #279453=ORIENTED_EDGE('',*,*,#204893,.F.); #279454=ORIENTED_EDGE('',*,*,#204896,.F.); #279455=ORIENTED_EDGE('',*,*,#204899,.F.); #279456=ORIENTED_EDGE('',*,*,#204902,.F.); #279457=ORIENTED_EDGE('',*,*,#204905,.F.); #279458=ORIENTED_EDGE('',*,*,#204908,.F.); #279459=ORIENTED_EDGE('',*,*,#204911,.F.); #279460=ORIENTED_EDGE('',*,*,#204914,.F.); #279461=ORIENTED_EDGE('',*,*,#204917,.F.); #279462=ORIENTED_EDGE('',*,*,#204920,.F.); #279463=ORIENTED_EDGE('',*,*,#204923,.F.); #279464=ORIENTED_EDGE('',*,*,#204926,.F.); #279465=ORIENTED_EDGE('',*,*,#204929,.F.); #279466=ORIENTED_EDGE('',*,*,#204932,.F.); #279467=ORIENTED_EDGE('',*,*,#204935,.F.); #279468=ORIENTED_EDGE('',*,*,#204938,.F.); #279469=ORIENTED_EDGE('',*,*,#204941,.F.); #279470=ORIENTED_EDGE('',*,*,#204944,.F.); #279471=ORIENTED_EDGE('',*,*,#204947,.F.); #279472=ORIENTED_EDGE('',*,*,#204950,.F.); #279473=ORIENTED_EDGE('',*,*,#204953,.F.); #279474=ORIENTED_EDGE('',*,*,#204956,.F.); #279475=ORIENTED_EDGE('',*,*,#204959,.F.); #279476=ORIENTED_EDGE('',*,*,#204962,.F.); #279477=ORIENTED_EDGE('',*,*,#204965,.F.); #279478=ORIENTED_EDGE('',*,*,#204968,.F.); #279479=ORIENTED_EDGE('',*,*,#200230,.T.); #279480=ORIENTED_EDGE('',*,*,#200233,.T.); #279481=ORIENTED_EDGE('',*,*,#200236,.T.); #279482=ORIENTED_EDGE('',*,*,#200239,.T.); #279483=ORIENTED_EDGE('',*,*,#200242,.T.); #279484=ORIENTED_EDGE('',*,*,#200245,.T.); #279485=ORIENTED_EDGE('',*,*,#200248,.T.); #279486=ORIENTED_EDGE('',*,*,#200251,.T.); #279487=ORIENTED_EDGE('',*,*,#200254,.T.); #279488=ORIENTED_EDGE('',*,*,#200257,.T.); #279489=ORIENTED_EDGE('',*,*,#200260,.T.); #279490=ORIENTED_EDGE('',*,*,#200263,.T.); #279491=ORIENTED_EDGE('',*,*,#200266,.T.); #279492=ORIENTED_EDGE('',*,*,#200269,.T.); #279493=ORIENTED_EDGE('',*,*,#200272,.T.); #279494=ORIENTED_EDGE('',*,*,#200275,.T.); #279495=ORIENTED_EDGE('',*,*,#200286,.T.); #279496=ORIENTED_EDGE('',*,*,#200283,.T.); #279497=ORIENTED_EDGE('',*,*,#200280,.T.); #279498=ORIENTED_EDGE('',*,*,#200289,.T.); #279499=ORIENTED_EDGE('',*,*,#200298,.T.); #279500=ORIENTED_EDGE('',*,*,#200295,.T.); #279501=ORIENTED_EDGE('',*,*,#200292,.T.); #279502=ORIENTED_EDGE('',*,*,#200301,.T.); #279503=ORIENTED_EDGE('',*,*,#200302,.T.); #279504=ORIENTED_EDGE('',*,*,#200305,.T.); #279505=ORIENTED_EDGE('',*,*,#200308,.T.); #279506=ORIENTED_EDGE('',*,*,#200311,.T.); #279507=ORIENTED_EDGE('',*,*,#200314,.T.); #279508=ORIENTED_EDGE('',*,*,#200317,.T.); #279509=ORIENTED_EDGE('',*,*,#200320,.T.); #279510=ORIENTED_EDGE('',*,*,#200323,.T.); #279511=ORIENTED_EDGE('',*,*,#200334,.T.); #279512=ORIENTED_EDGE('',*,*,#200331,.T.); #279513=ORIENTED_EDGE('',*,*,#200328,.T.); #279514=ORIENTED_EDGE('',*,*,#200337,.T.); #279515=ORIENTED_EDGE('',*,*,#200346,.T.); #279516=ORIENTED_EDGE('',*,*,#200343,.T.); #279517=ORIENTED_EDGE('',*,*,#200340,.T.); #279518=ORIENTED_EDGE('',*,*,#200349,.T.); #279519=ORIENTED_EDGE('',*,*,#200350,.T.); #279520=ORIENTED_EDGE('',*,*,#200353,.T.); #279521=ORIENTED_EDGE('',*,*,#200356,.T.); #279522=ORIENTED_EDGE('',*,*,#200359,.T.); #279523=ORIENTED_EDGE('',*,*,#200362,.T.); #279524=ORIENTED_EDGE('',*,*,#200365,.T.); #279525=ORIENTED_EDGE('',*,*,#200368,.T.); #279526=ORIENTED_EDGE('',*,*,#200371,.T.); #279527=ORIENTED_EDGE('',*,*,#200374,.T.); #279528=ORIENTED_EDGE('',*,*,#200377,.T.); #279529=ORIENTED_EDGE('',*,*,#200380,.T.); #279530=ORIENTED_EDGE('',*,*,#200383,.T.); #279531=ORIENTED_EDGE('',*,*,#200386,.T.); #279532=ORIENTED_EDGE('',*,*,#200389,.T.); #279533=ORIENTED_EDGE('',*,*,#200392,.T.); #279534=ORIENTED_EDGE('',*,*,#200395,.T.); #279535=ORIENTED_EDGE('',*,*,#200398,.T.); #279536=ORIENTED_EDGE('',*,*,#200401,.T.); #279537=ORIENTED_EDGE('',*,*,#200404,.T.); #279538=ORIENTED_EDGE('',*,*,#200407,.T.); #279539=ORIENTED_EDGE('',*,*,#200410,.T.); #279540=ORIENTED_EDGE('',*,*,#200413,.T.); #279541=ORIENTED_EDGE('',*,*,#200416,.T.); #279542=ORIENTED_EDGE('',*,*,#200419,.T.); #279543=ORIENTED_EDGE('',*,*,#200422,.T.); #279544=ORIENTED_EDGE('',*,*,#200425,.T.); #279545=ORIENTED_EDGE('',*,*,#200428,.T.); #279546=ORIENTED_EDGE('',*,*,#200431,.T.); #279547=ORIENTED_EDGE('',*,*,#200434,.T.); #279548=ORIENTED_EDGE('',*,*,#200437,.T.); #279549=ORIENTED_EDGE('',*,*,#200440,.T.); #279550=ORIENTED_EDGE('',*,*,#200443,.T.); #279551=ORIENTED_EDGE('',*,*,#200446,.T.); #279552=ORIENTED_EDGE('',*,*,#200449,.T.); #279553=ORIENTED_EDGE('',*,*,#200452,.T.); #279554=ORIENTED_EDGE('',*,*,#200455,.T.); #279555=ORIENTED_EDGE('',*,*,#200458,.T.); #279556=ORIENTED_EDGE('',*,*,#200461,.T.); #279557=ORIENTED_EDGE('',*,*,#200464,.T.); #279558=ORIENTED_EDGE('',*,*,#200467,.T.); #279559=ORIENTED_EDGE('',*,*,#200470,.T.); #279560=ORIENTED_EDGE('',*,*,#200473,.T.); #279561=ORIENTED_EDGE('',*,*,#200476,.T.); #279562=ORIENTED_EDGE('',*,*,#200479,.T.); #279563=ORIENTED_EDGE('',*,*,#200482,.T.); #279564=ORIENTED_EDGE('',*,*,#200485,.T.); #279565=ORIENTED_EDGE('',*,*,#200488,.T.); #279566=ORIENTED_EDGE('',*,*,#200491,.T.); #279567=ORIENTED_EDGE('',*,*,#200494,.T.); #279568=ORIENTED_EDGE('',*,*,#200497,.T.); #279569=ORIENTED_EDGE('',*,*,#200500,.T.); #279570=ORIENTED_EDGE('',*,*,#200503,.T.); #279571=ORIENTED_EDGE('',*,*,#200506,.T.); #279572=ORIENTED_EDGE('',*,*,#200509,.T.); #279573=ORIENTED_EDGE('',*,*,#200512,.T.); #279574=ORIENTED_EDGE('',*,*,#200515,.T.); #279575=ORIENTED_EDGE('',*,*,#200518,.T.); #279576=ORIENTED_EDGE('',*,*,#200521,.T.); #279577=ORIENTED_EDGE('',*,*,#200524,.T.); #279578=ORIENTED_EDGE('',*,*,#200527,.T.); #279579=ORIENTED_EDGE('',*,*,#200530,.T.); #279580=ORIENTED_EDGE('',*,*,#200533,.T.); #279581=ORIENTED_EDGE('',*,*,#200536,.T.); #279582=ORIENTED_EDGE('',*,*,#200539,.T.); #279583=ORIENTED_EDGE('',*,*,#200542,.T.); #279584=ORIENTED_EDGE('',*,*,#200545,.T.); #279585=ORIENTED_EDGE('',*,*,#200548,.T.); #279586=ORIENTED_EDGE('',*,*,#200551,.T.); #279587=ORIENTED_EDGE('',*,*,#200554,.T.); #279588=ORIENTED_EDGE('',*,*,#200557,.T.); #279589=ORIENTED_EDGE('',*,*,#200560,.T.); #279590=ORIENTED_EDGE('',*,*,#200563,.T.); #279591=ORIENTED_EDGE('',*,*,#200566,.T.); #279592=ORIENTED_EDGE('',*,*,#200569,.T.); #279593=ORIENTED_EDGE('',*,*,#200572,.T.); #279594=ORIENTED_EDGE('',*,*,#200575,.T.); #279595=ORIENTED_EDGE('',*,*,#200578,.T.); #279596=ORIENTED_EDGE('',*,*,#200581,.T.); #279597=ORIENTED_EDGE('',*,*,#200584,.T.); #279598=ORIENTED_EDGE('',*,*,#200587,.T.); #279599=ORIENTED_EDGE('',*,*,#200590,.T.); #279600=ORIENTED_EDGE('',*,*,#200593,.T.); #279601=ORIENTED_EDGE('',*,*,#200596,.T.); #279602=ORIENTED_EDGE('',*,*,#200599,.T.); #279603=ORIENTED_EDGE('',*,*,#200602,.T.); #279604=ORIENTED_EDGE('',*,*,#200605,.T.); #279605=ORIENTED_EDGE('',*,*,#200608,.T.); #279606=ORIENTED_EDGE('',*,*,#200611,.T.); #279607=ORIENTED_EDGE('',*,*,#200614,.T.); #279608=ORIENTED_EDGE('',*,*,#200617,.T.); #279609=ORIENTED_EDGE('',*,*,#200620,.T.); #279610=ORIENTED_EDGE('',*,*,#200623,.T.); #279611=ORIENTED_EDGE('',*,*,#200626,.T.); #279612=ORIENTED_EDGE('',*,*,#200629,.T.); #279613=ORIENTED_EDGE('',*,*,#200632,.T.); #279614=ORIENTED_EDGE('',*,*,#200635,.T.); #279615=ORIENTED_EDGE('',*,*,#200638,.T.); #279616=ORIENTED_EDGE('',*,*,#200641,.T.); #279617=ORIENTED_EDGE('',*,*,#200644,.T.); #279618=ORIENTED_EDGE('',*,*,#204611,.F.); #279619=ORIENTED_EDGE('',*,*,#204523,.F.); #279620=ORIENTED_EDGE('',*,*,#204527,.F.); #279621=ORIENTED_EDGE('',*,*,#204530,.F.); #279622=ORIENTED_EDGE('',*,*,#204533,.F.); #279623=ORIENTED_EDGE('',*,*,#204536,.F.); #279624=ORIENTED_EDGE('',*,*,#204539,.F.); #279625=ORIENTED_EDGE('',*,*,#204542,.F.); #279626=ORIENTED_EDGE('',*,*,#204545,.F.); #279627=ORIENTED_EDGE('',*,*,#204548,.F.); #279628=ORIENTED_EDGE('',*,*,#204551,.F.); #279629=ORIENTED_EDGE('',*,*,#204554,.F.); #279630=ORIENTED_EDGE('',*,*,#204557,.F.); #279631=ORIENTED_EDGE('',*,*,#204560,.F.); #279632=ORIENTED_EDGE('',*,*,#204563,.F.); #279633=ORIENTED_EDGE('',*,*,#204566,.F.); #279634=ORIENTED_EDGE('',*,*,#204569,.F.); #279635=ORIENTED_EDGE('',*,*,#204572,.F.); #279636=ORIENTED_EDGE('',*,*,#204575,.F.); #279637=ORIENTED_EDGE('',*,*,#204578,.F.); #279638=ORIENTED_EDGE('',*,*,#204581,.F.); #279639=ORIENTED_EDGE('',*,*,#204584,.F.); #279640=ORIENTED_EDGE('',*,*,#204587,.F.); #279641=ORIENTED_EDGE('',*,*,#204590,.F.); #279642=ORIENTED_EDGE('',*,*,#204593,.F.); #279643=ORIENTED_EDGE('',*,*,#204596,.F.); #279644=ORIENTED_EDGE('',*,*,#204599,.F.); #279645=ORIENTED_EDGE('',*,*,#204602,.F.); #279646=ORIENTED_EDGE('',*,*,#204605,.F.); #279647=ORIENTED_EDGE('',*,*,#204608,.F.); #279648=ORIENTED_EDGE('',*,*,#204521,.F.); #279649=ORIENTED_EDGE('',*,*,#204433,.F.); #279650=ORIENTED_EDGE('',*,*,#204437,.F.); #279651=ORIENTED_EDGE('',*,*,#204440,.F.); #279652=ORIENTED_EDGE('',*,*,#204443,.F.); #279653=ORIENTED_EDGE('',*,*,#204446,.F.); #279654=ORIENTED_EDGE('',*,*,#204449,.F.); #279655=ORIENTED_EDGE('',*,*,#204452,.F.); #279656=ORIENTED_EDGE('',*,*,#204455,.F.); #279657=ORIENTED_EDGE('',*,*,#204458,.F.); #279658=ORIENTED_EDGE('',*,*,#204461,.F.); #279659=ORIENTED_EDGE('',*,*,#204464,.F.); #279660=ORIENTED_EDGE('',*,*,#204467,.F.); #279661=ORIENTED_EDGE('',*,*,#204470,.F.); #279662=ORIENTED_EDGE('',*,*,#204473,.F.); #279663=ORIENTED_EDGE('',*,*,#204476,.F.); #279664=ORIENTED_EDGE('',*,*,#204479,.F.); #279665=ORIENTED_EDGE('',*,*,#204482,.F.); #279666=ORIENTED_EDGE('',*,*,#204485,.F.); #279667=ORIENTED_EDGE('',*,*,#204488,.F.); #279668=ORIENTED_EDGE('',*,*,#204491,.F.); #279669=ORIENTED_EDGE('',*,*,#204494,.F.); #279670=ORIENTED_EDGE('',*,*,#204497,.F.); #279671=ORIENTED_EDGE('',*,*,#204500,.F.); #279672=ORIENTED_EDGE('',*,*,#204503,.F.); #279673=ORIENTED_EDGE('',*,*,#204506,.F.); #279674=ORIENTED_EDGE('',*,*,#204509,.F.); #279675=ORIENTED_EDGE('',*,*,#204512,.F.); #279676=ORIENTED_EDGE('',*,*,#204515,.F.); #279677=ORIENTED_EDGE('',*,*,#204518,.F.); #279678=ORIENTED_EDGE('',*,*,#204431,.F.); #279679=ORIENTED_EDGE('',*,*,#204343,.F.); #279680=ORIENTED_EDGE('',*,*,#204347,.F.); #279681=ORIENTED_EDGE('',*,*,#204350,.F.); #279682=ORIENTED_EDGE('',*,*,#204353,.F.); #279683=ORIENTED_EDGE('',*,*,#204356,.F.); #279684=ORIENTED_EDGE('',*,*,#204359,.F.); #279685=ORIENTED_EDGE('',*,*,#204362,.F.); #279686=ORIENTED_EDGE('',*,*,#204365,.F.); #279687=ORIENTED_EDGE('',*,*,#204368,.F.); #279688=ORIENTED_EDGE('',*,*,#204371,.F.); #279689=ORIENTED_EDGE('',*,*,#204374,.F.); #279690=ORIENTED_EDGE('',*,*,#204377,.F.); #279691=ORIENTED_EDGE('',*,*,#204380,.F.); #279692=ORIENTED_EDGE('',*,*,#204383,.F.); #279693=ORIENTED_EDGE('',*,*,#204386,.F.); #279694=ORIENTED_EDGE('',*,*,#204389,.F.); #279695=ORIENTED_EDGE('',*,*,#204392,.F.); #279696=ORIENTED_EDGE('',*,*,#204395,.F.); #279697=ORIENTED_EDGE('',*,*,#204398,.F.); #279698=ORIENTED_EDGE('',*,*,#204401,.F.); #279699=ORIENTED_EDGE('',*,*,#204404,.F.); #279700=ORIENTED_EDGE('',*,*,#204407,.F.); #279701=ORIENTED_EDGE('',*,*,#204410,.F.); #279702=ORIENTED_EDGE('',*,*,#204413,.F.); #279703=ORIENTED_EDGE('',*,*,#204416,.F.); #279704=ORIENTED_EDGE('',*,*,#204419,.F.); #279705=ORIENTED_EDGE('',*,*,#204422,.F.); #279706=ORIENTED_EDGE('',*,*,#204425,.F.); #279707=ORIENTED_EDGE('',*,*,#204428,.F.); #279708=ORIENTED_EDGE('',*,*,#204341,.F.); #279709=ORIENTED_EDGE('',*,*,#204253,.F.); #279710=ORIENTED_EDGE('',*,*,#204257,.F.); #279711=ORIENTED_EDGE('',*,*,#204260,.F.); #279712=ORIENTED_EDGE('',*,*,#204263,.F.); #279713=ORIENTED_EDGE('',*,*,#204266,.F.); #279714=ORIENTED_EDGE('',*,*,#204269,.F.); #279715=ORIENTED_EDGE('',*,*,#204272,.F.); #279716=ORIENTED_EDGE('',*,*,#204275,.F.); #279717=ORIENTED_EDGE('',*,*,#204278,.F.); #279718=ORIENTED_EDGE('',*,*,#204281,.F.); #279719=ORIENTED_EDGE('',*,*,#204284,.F.); #279720=ORIENTED_EDGE('',*,*,#204287,.F.); #279721=ORIENTED_EDGE('',*,*,#204290,.F.); #279722=ORIENTED_EDGE('',*,*,#204293,.F.); #279723=ORIENTED_EDGE('',*,*,#204296,.F.); #279724=ORIENTED_EDGE('',*,*,#204299,.F.); #279725=ORIENTED_EDGE('',*,*,#204302,.F.); #279726=ORIENTED_EDGE('',*,*,#204305,.F.); #279727=ORIENTED_EDGE('',*,*,#204308,.F.); #279728=ORIENTED_EDGE('',*,*,#204311,.F.); #279729=ORIENTED_EDGE('',*,*,#204314,.F.); #279730=ORIENTED_EDGE('',*,*,#204317,.F.); #279731=ORIENTED_EDGE('',*,*,#204320,.F.); #279732=ORIENTED_EDGE('',*,*,#204323,.F.); #279733=ORIENTED_EDGE('',*,*,#204326,.F.); #279734=ORIENTED_EDGE('',*,*,#204329,.F.); #279735=ORIENTED_EDGE('',*,*,#204332,.F.); #279736=ORIENTED_EDGE('',*,*,#204335,.F.); #279737=ORIENTED_EDGE('',*,*,#204338,.F.); #279738=ORIENTED_EDGE('',*,*,#204251,.F.); #279739=ORIENTED_EDGE('',*,*,#204181,.F.); #279740=ORIENTED_EDGE('',*,*,#204185,.F.); #279741=ORIENTED_EDGE('',*,*,#204188,.F.); #279742=ORIENTED_EDGE('',*,*,#204191,.F.); #279743=ORIENTED_EDGE('',*,*,#204194,.F.); #279744=ORIENTED_EDGE('',*,*,#204197,.F.); #279745=ORIENTED_EDGE('',*,*,#204200,.F.); #279746=ORIENTED_EDGE('',*,*,#204203,.F.); #279747=ORIENTED_EDGE('',*,*,#204206,.F.); #279748=ORIENTED_EDGE('',*,*,#204209,.F.); #279749=ORIENTED_EDGE('',*,*,#204212,.F.); #279750=ORIENTED_EDGE('',*,*,#204215,.F.); #279751=ORIENTED_EDGE('',*,*,#204218,.F.); #279752=ORIENTED_EDGE('',*,*,#204221,.F.); #279753=ORIENTED_EDGE('',*,*,#204224,.F.); #279754=ORIENTED_EDGE('',*,*,#204227,.F.); #279755=ORIENTED_EDGE('',*,*,#204230,.F.); #279756=ORIENTED_EDGE('',*,*,#204233,.F.); #279757=ORIENTED_EDGE('',*,*,#204236,.F.); #279758=ORIENTED_EDGE('',*,*,#204239,.F.); #279759=ORIENTED_EDGE('',*,*,#204242,.F.); #279760=ORIENTED_EDGE('',*,*,#204245,.F.); #279761=ORIENTED_EDGE('',*,*,#204248,.F.); #279762=ORIENTED_EDGE('',*,*,#204179,.F.); #279763=ORIENTED_EDGE('',*,*,#203881,.F.); #279764=ORIENTED_EDGE('',*,*,#203885,.F.); #279765=ORIENTED_EDGE('',*,*,#203888,.F.); #279766=ORIENTED_EDGE('',*,*,#203891,.F.); #279767=ORIENTED_EDGE('',*,*,#203894,.F.); #279768=ORIENTED_EDGE('',*,*,#203897,.F.); #279769=ORIENTED_EDGE('',*,*,#203900,.F.); #279770=ORIENTED_EDGE('',*,*,#203903,.F.); #279771=ORIENTED_EDGE('',*,*,#203906,.F.); #279772=ORIENTED_EDGE('',*,*,#203909,.F.); #279773=ORIENTED_EDGE('',*,*,#203912,.F.); #279774=ORIENTED_EDGE('',*,*,#203915,.F.); #279775=ORIENTED_EDGE('',*,*,#203918,.F.); #279776=ORIENTED_EDGE('',*,*,#203921,.F.); #279777=ORIENTED_EDGE('',*,*,#203924,.F.); #279778=ORIENTED_EDGE('',*,*,#203927,.F.); #279779=ORIENTED_EDGE('',*,*,#203930,.F.); #279780=ORIENTED_EDGE('',*,*,#203933,.F.); #279781=ORIENTED_EDGE('',*,*,#203936,.F.); #279782=ORIENTED_EDGE('',*,*,#203939,.F.); #279783=ORIENTED_EDGE('',*,*,#203942,.F.); #279784=ORIENTED_EDGE('',*,*,#203945,.F.); #279785=ORIENTED_EDGE('',*,*,#203948,.F.); #279786=ORIENTED_EDGE('',*,*,#203951,.F.); #279787=ORIENTED_EDGE('',*,*,#203954,.F.); #279788=ORIENTED_EDGE('',*,*,#203957,.F.); #279789=ORIENTED_EDGE('',*,*,#203960,.F.); #279790=ORIENTED_EDGE('',*,*,#203963,.F.); #279791=ORIENTED_EDGE('',*,*,#203966,.F.); #279792=ORIENTED_EDGE('',*,*,#203969,.F.); #279793=ORIENTED_EDGE('',*,*,#203972,.F.); #279794=ORIENTED_EDGE('',*,*,#203975,.F.); #279795=ORIENTED_EDGE('',*,*,#203978,.F.); #279796=ORIENTED_EDGE('',*,*,#203981,.F.); #279797=ORIENTED_EDGE('',*,*,#203984,.F.); #279798=ORIENTED_EDGE('',*,*,#203987,.F.); #279799=ORIENTED_EDGE('',*,*,#203990,.F.); #279800=ORIENTED_EDGE('',*,*,#203993,.F.); #279801=ORIENTED_EDGE('',*,*,#203996,.F.); #279802=ORIENTED_EDGE('',*,*,#203999,.F.); #279803=ORIENTED_EDGE('',*,*,#204002,.F.); #279804=ORIENTED_EDGE('',*,*,#204005,.F.); #279805=ORIENTED_EDGE('',*,*,#204008,.F.); #279806=ORIENTED_EDGE('',*,*,#204011,.F.); #279807=ORIENTED_EDGE('',*,*,#204014,.F.); #279808=ORIENTED_EDGE('',*,*,#204017,.F.); #279809=ORIENTED_EDGE('',*,*,#204020,.F.); #279810=ORIENTED_EDGE('',*,*,#204023,.F.); #279811=ORIENTED_EDGE('',*,*,#204026,.F.); #279812=ORIENTED_EDGE('',*,*,#204029,.F.); #279813=ORIENTED_EDGE('',*,*,#204032,.F.); #279814=ORIENTED_EDGE('',*,*,#204035,.F.); #279815=ORIENTED_EDGE('',*,*,#204038,.F.); #279816=ORIENTED_EDGE('',*,*,#204041,.F.); #279817=ORIENTED_EDGE('',*,*,#204044,.F.); #279818=ORIENTED_EDGE('',*,*,#204047,.F.); #279819=ORIENTED_EDGE('',*,*,#204050,.F.); #279820=ORIENTED_EDGE('',*,*,#204053,.F.); #279821=ORIENTED_EDGE('',*,*,#204056,.F.); #279822=ORIENTED_EDGE('',*,*,#204059,.F.); #279823=ORIENTED_EDGE('',*,*,#204062,.F.); #279824=ORIENTED_EDGE('',*,*,#204065,.F.); #279825=ORIENTED_EDGE('',*,*,#204068,.F.); #279826=ORIENTED_EDGE('',*,*,#204071,.F.); #279827=ORIENTED_EDGE('',*,*,#204074,.F.); #279828=ORIENTED_EDGE('',*,*,#204077,.F.); #279829=ORIENTED_EDGE('',*,*,#204080,.F.); #279830=ORIENTED_EDGE('',*,*,#204083,.F.); #279831=ORIENTED_EDGE('',*,*,#204086,.F.); #279832=ORIENTED_EDGE('',*,*,#204089,.F.); #279833=ORIENTED_EDGE('',*,*,#204092,.F.); #279834=ORIENTED_EDGE('',*,*,#204095,.F.); #279835=ORIENTED_EDGE('',*,*,#204098,.F.); #279836=ORIENTED_EDGE('',*,*,#204101,.F.); #279837=ORIENTED_EDGE('',*,*,#204104,.F.); #279838=ORIENTED_EDGE('',*,*,#204107,.F.); #279839=ORIENTED_EDGE('',*,*,#204110,.F.); #279840=ORIENTED_EDGE('',*,*,#204113,.F.); #279841=ORIENTED_EDGE('',*,*,#204116,.F.); #279842=ORIENTED_EDGE('',*,*,#204119,.F.); #279843=ORIENTED_EDGE('',*,*,#204122,.F.); #279844=ORIENTED_EDGE('',*,*,#204125,.F.); #279845=ORIENTED_EDGE('',*,*,#204128,.F.); #279846=ORIENTED_EDGE('',*,*,#204131,.F.); #279847=ORIENTED_EDGE('',*,*,#204134,.F.); #279848=ORIENTED_EDGE('',*,*,#204137,.F.); #279849=ORIENTED_EDGE('',*,*,#204140,.F.); #279850=ORIENTED_EDGE('',*,*,#204143,.F.); #279851=ORIENTED_EDGE('',*,*,#204146,.F.); #279852=ORIENTED_EDGE('',*,*,#204149,.F.); #279853=ORIENTED_EDGE('',*,*,#204152,.F.); #279854=ORIENTED_EDGE('',*,*,#204155,.F.); #279855=ORIENTED_EDGE('',*,*,#204158,.F.); #279856=ORIENTED_EDGE('',*,*,#204161,.F.); #279857=ORIENTED_EDGE('',*,*,#204164,.F.); #279858=ORIENTED_EDGE('',*,*,#204167,.F.); #279859=ORIENTED_EDGE('',*,*,#204170,.F.); #279860=ORIENTED_EDGE('',*,*,#204173,.F.); #279861=ORIENTED_EDGE('',*,*,#204176,.F.); #279862=ORIENTED_EDGE('',*,*,#203879,.F.); #279863=ORIENTED_EDGE('',*,*,#203266,.F.); #279864=ORIENTED_EDGE('',*,*,#203270,.F.); #279865=ORIENTED_EDGE('',*,*,#203273,.F.); #279866=ORIENTED_EDGE('',*,*,#203276,.F.); #279867=ORIENTED_EDGE('',*,*,#203279,.F.); #279868=ORIENTED_EDGE('',*,*,#203282,.F.); #279869=ORIENTED_EDGE('',*,*,#203285,.F.); #279870=ORIENTED_EDGE('',*,*,#203288,.F.); #279871=ORIENTED_EDGE('',*,*,#203291,.F.); #279872=ORIENTED_EDGE('',*,*,#203294,.F.); #279873=ORIENTED_EDGE('',*,*,#203297,.F.); #279874=ORIENTED_EDGE('',*,*,#203300,.F.); #279875=ORIENTED_EDGE('',*,*,#203303,.F.); #279876=ORIENTED_EDGE('',*,*,#203306,.F.); #279877=ORIENTED_EDGE('',*,*,#203309,.F.); #279878=ORIENTED_EDGE('',*,*,#203312,.F.); #279879=ORIENTED_EDGE('',*,*,#203315,.F.); #279880=ORIENTED_EDGE('',*,*,#203318,.F.); #279881=ORIENTED_EDGE('',*,*,#203321,.F.); #279882=ORIENTED_EDGE('',*,*,#203324,.F.); #279883=ORIENTED_EDGE('',*,*,#203327,.F.); #279884=ORIENTED_EDGE('',*,*,#203330,.F.); #279885=ORIENTED_EDGE('',*,*,#203333,.F.); #279886=ORIENTED_EDGE('',*,*,#203336,.F.); #279887=ORIENTED_EDGE('',*,*,#203339,.F.); #279888=ORIENTED_EDGE('',*,*,#203342,.F.); #279889=ORIENTED_EDGE('',*,*,#203345,.F.); #279890=ORIENTED_EDGE('',*,*,#203348,.F.); #279891=ORIENTED_EDGE('',*,*,#203351,.F.); #279892=ORIENTED_EDGE('',*,*,#203354,.F.); #279893=ORIENTED_EDGE('',*,*,#203357,.F.); #279894=ORIENTED_EDGE('',*,*,#203360,.F.); #279895=ORIENTED_EDGE('',*,*,#203363,.F.); #279896=ORIENTED_EDGE('',*,*,#203366,.F.); #279897=ORIENTED_EDGE('',*,*,#203369,.F.); #279898=ORIENTED_EDGE('',*,*,#203372,.F.); #279899=ORIENTED_EDGE('',*,*,#203375,.F.); #279900=ORIENTED_EDGE('',*,*,#203378,.F.); #279901=ORIENTED_EDGE('',*,*,#203381,.F.); #279902=ORIENTED_EDGE('',*,*,#203384,.F.); #279903=ORIENTED_EDGE('',*,*,#203387,.F.); #279904=ORIENTED_EDGE('',*,*,#203390,.F.); #279905=ORIENTED_EDGE('',*,*,#203393,.F.); #279906=ORIENTED_EDGE('',*,*,#203396,.F.); #279907=ORIENTED_EDGE('',*,*,#203399,.F.); #279908=ORIENTED_EDGE('',*,*,#203402,.F.); #279909=ORIENTED_EDGE('',*,*,#203405,.F.); #279910=ORIENTED_EDGE('',*,*,#203408,.F.); #279911=ORIENTED_EDGE('',*,*,#203411,.F.); #279912=ORIENTED_EDGE('',*,*,#203414,.F.); #279913=ORIENTED_EDGE('',*,*,#203417,.F.); #279914=ORIENTED_EDGE('',*,*,#203420,.F.); #279915=ORIENTED_EDGE('',*,*,#203423,.F.); #279916=ORIENTED_EDGE('',*,*,#203426,.F.); #279917=ORIENTED_EDGE('',*,*,#203429,.F.); #279918=ORIENTED_EDGE('',*,*,#203432,.F.); #279919=ORIENTED_EDGE('',*,*,#203435,.F.); #279920=ORIENTED_EDGE('',*,*,#203438,.F.); #279921=ORIENTED_EDGE('',*,*,#203441,.F.); #279922=ORIENTED_EDGE('',*,*,#203444,.F.); #279923=ORIENTED_EDGE('',*,*,#203447,.F.); #279924=ORIENTED_EDGE('',*,*,#203450,.F.); #279925=ORIENTED_EDGE('',*,*,#203453,.F.); #279926=ORIENTED_EDGE('',*,*,#203456,.F.); #279927=ORIENTED_EDGE('',*,*,#203459,.F.); #279928=ORIENTED_EDGE('',*,*,#203462,.F.); #279929=ORIENTED_EDGE('',*,*,#203465,.F.); #279930=ORIENTED_EDGE('',*,*,#203468,.F.); #279931=ORIENTED_EDGE('',*,*,#203471,.F.); #279932=ORIENTED_EDGE('',*,*,#203474,.F.); #279933=ORIENTED_EDGE('',*,*,#203477,.F.); #279934=ORIENTED_EDGE('',*,*,#203480,.F.); #279935=ORIENTED_EDGE('',*,*,#203483,.F.); #279936=ORIENTED_EDGE('',*,*,#203486,.F.); #279937=ORIENTED_EDGE('',*,*,#203489,.F.); #279938=ORIENTED_EDGE('',*,*,#203492,.F.); #279939=ORIENTED_EDGE('',*,*,#203495,.F.); #279940=ORIENTED_EDGE('',*,*,#203498,.F.); #279941=ORIENTED_EDGE('',*,*,#203501,.F.); #279942=ORIENTED_EDGE('',*,*,#203504,.F.); #279943=ORIENTED_EDGE('',*,*,#203507,.F.); #279944=ORIENTED_EDGE('',*,*,#203510,.F.); #279945=ORIENTED_EDGE('',*,*,#203513,.F.); #279946=ORIENTED_EDGE('',*,*,#203516,.F.); #279947=ORIENTED_EDGE('',*,*,#203519,.F.); #279948=ORIENTED_EDGE('',*,*,#203522,.F.); #279949=ORIENTED_EDGE('',*,*,#203525,.F.); #279950=ORIENTED_EDGE('',*,*,#203528,.F.); #279951=ORIENTED_EDGE('',*,*,#203531,.F.); #279952=ORIENTED_EDGE('',*,*,#203534,.F.); #279953=ORIENTED_EDGE('',*,*,#203537,.F.); #279954=ORIENTED_EDGE('',*,*,#203540,.F.); #279955=ORIENTED_EDGE('',*,*,#203543,.F.); #279956=ORIENTED_EDGE('',*,*,#203546,.F.); #279957=ORIENTED_EDGE('',*,*,#203549,.F.); #279958=ORIENTED_EDGE('',*,*,#203552,.F.); #279959=ORIENTED_EDGE('',*,*,#203555,.F.); #279960=ORIENTED_EDGE('',*,*,#203558,.F.); #279961=ORIENTED_EDGE('',*,*,#203561,.F.); #279962=ORIENTED_EDGE('',*,*,#203564,.F.); #279963=ORIENTED_EDGE('',*,*,#203567,.F.); #279964=ORIENTED_EDGE('',*,*,#203570,.F.); #279965=ORIENTED_EDGE('',*,*,#203573,.F.); #279966=ORIENTED_EDGE('',*,*,#203576,.F.); #279967=ORIENTED_EDGE('',*,*,#203579,.F.); #279968=ORIENTED_EDGE('',*,*,#203582,.F.); #279969=ORIENTED_EDGE('',*,*,#203585,.F.); #279970=ORIENTED_EDGE('',*,*,#203588,.F.); #279971=ORIENTED_EDGE('',*,*,#203591,.F.); #279972=ORIENTED_EDGE('',*,*,#203594,.F.); #279973=ORIENTED_EDGE('',*,*,#203597,.F.); #279974=ORIENTED_EDGE('',*,*,#203600,.F.); #279975=ORIENTED_EDGE('',*,*,#203603,.F.); #279976=ORIENTED_EDGE('',*,*,#203606,.F.); #279977=ORIENTED_EDGE('',*,*,#203609,.F.); #279978=ORIENTED_EDGE('',*,*,#203612,.F.); #279979=ORIENTED_EDGE('',*,*,#203615,.F.); #279980=ORIENTED_EDGE('',*,*,#203618,.F.); #279981=ORIENTED_EDGE('',*,*,#203621,.F.); #279982=ORIENTED_EDGE('',*,*,#203624,.F.); #279983=ORIENTED_EDGE('',*,*,#203627,.F.); #279984=ORIENTED_EDGE('',*,*,#203630,.F.); #279985=ORIENTED_EDGE('',*,*,#203633,.F.); #279986=ORIENTED_EDGE('',*,*,#203636,.F.); #279987=ORIENTED_EDGE('',*,*,#203639,.F.); #279988=ORIENTED_EDGE('',*,*,#203642,.F.); #279989=ORIENTED_EDGE('',*,*,#203645,.F.); #279990=ORIENTED_EDGE('',*,*,#203648,.F.); #279991=ORIENTED_EDGE('',*,*,#203651,.F.); #279992=ORIENTED_EDGE('',*,*,#203654,.F.); #279993=ORIENTED_EDGE('',*,*,#203657,.F.); #279994=ORIENTED_EDGE('',*,*,#203660,.F.); #279995=ORIENTED_EDGE('',*,*,#203663,.F.); #279996=ORIENTED_EDGE('',*,*,#203666,.F.); #279997=ORIENTED_EDGE('',*,*,#203669,.F.); #279998=ORIENTED_EDGE('',*,*,#203672,.F.); #279999=ORIENTED_EDGE('',*,*,#203675,.F.); #280000=ORIENTED_EDGE('',*,*,#203678,.F.); #280001=ORIENTED_EDGE('',*,*,#203681,.F.); #280002=ORIENTED_EDGE('',*,*,#203684,.F.); #280003=ORIENTED_EDGE('',*,*,#203687,.F.); #280004=ORIENTED_EDGE('',*,*,#203690,.F.); #280005=ORIENTED_EDGE('',*,*,#203693,.F.); #280006=ORIENTED_EDGE('',*,*,#203696,.F.); #280007=ORIENTED_EDGE('',*,*,#203699,.F.); #280008=ORIENTED_EDGE('',*,*,#203702,.F.); #280009=ORIENTED_EDGE('',*,*,#203705,.F.); #280010=ORIENTED_EDGE('',*,*,#203708,.F.); #280011=ORIENTED_EDGE('',*,*,#203711,.F.); #280012=ORIENTED_EDGE('',*,*,#203714,.F.); #280013=ORIENTED_EDGE('',*,*,#203717,.F.); #280014=ORIENTED_EDGE('',*,*,#203720,.F.); #280015=ORIENTED_EDGE('',*,*,#203723,.F.); #280016=ORIENTED_EDGE('',*,*,#203726,.F.); #280017=ORIENTED_EDGE('',*,*,#203729,.F.); #280018=ORIENTED_EDGE('',*,*,#203732,.F.); #280019=ORIENTED_EDGE('',*,*,#203735,.F.); #280020=ORIENTED_EDGE('',*,*,#203738,.F.); #280021=ORIENTED_EDGE('',*,*,#203741,.F.); #280022=ORIENTED_EDGE('',*,*,#203744,.F.); #280023=ORIENTED_EDGE('',*,*,#203747,.F.); #280024=ORIENTED_EDGE('',*,*,#203750,.F.); #280025=ORIENTED_EDGE('',*,*,#203753,.F.); #280026=ORIENTED_EDGE('',*,*,#203756,.F.); #280027=ORIENTED_EDGE('',*,*,#203759,.F.); #280028=ORIENTED_EDGE('',*,*,#203762,.F.); #280029=ORIENTED_EDGE('',*,*,#203765,.F.); #280030=ORIENTED_EDGE('',*,*,#203768,.F.); #280031=ORIENTED_EDGE('',*,*,#203771,.F.); #280032=ORIENTED_EDGE('',*,*,#203774,.F.); #280033=ORIENTED_EDGE('',*,*,#203777,.F.); #280034=ORIENTED_EDGE('',*,*,#203780,.F.); #280035=ORIENTED_EDGE('',*,*,#203783,.F.); #280036=ORIENTED_EDGE('',*,*,#203786,.F.); #280037=ORIENTED_EDGE('',*,*,#203789,.F.); #280038=ORIENTED_EDGE('',*,*,#203792,.F.); #280039=ORIENTED_EDGE('',*,*,#203795,.F.); #280040=ORIENTED_EDGE('',*,*,#203798,.F.); #280041=ORIENTED_EDGE('',*,*,#203801,.F.); #280042=ORIENTED_EDGE('',*,*,#203804,.F.); #280043=ORIENTED_EDGE('',*,*,#203807,.F.); #280044=ORIENTED_EDGE('',*,*,#203810,.F.); #280045=ORIENTED_EDGE('',*,*,#203813,.F.); #280046=ORIENTED_EDGE('',*,*,#203816,.F.); #280047=ORIENTED_EDGE('',*,*,#203819,.F.); #280048=ORIENTED_EDGE('',*,*,#203822,.F.); #280049=ORIENTED_EDGE('',*,*,#203825,.F.); #280050=ORIENTED_EDGE('',*,*,#203828,.F.); #280051=ORIENTED_EDGE('',*,*,#203831,.F.); #280052=ORIENTED_EDGE('',*,*,#203834,.F.); #280053=ORIENTED_EDGE('',*,*,#203837,.F.); #280054=ORIENTED_EDGE('',*,*,#203840,.F.); #280055=ORIENTED_EDGE('',*,*,#203843,.F.); #280056=ORIENTED_EDGE('',*,*,#203846,.F.); #280057=ORIENTED_EDGE('',*,*,#203849,.F.); #280058=ORIENTED_EDGE('',*,*,#203852,.F.); #280059=ORIENTED_EDGE('',*,*,#203855,.F.); #280060=ORIENTED_EDGE('',*,*,#203858,.F.); #280061=ORIENTED_EDGE('',*,*,#203861,.F.); #280062=ORIENTED_EDGE('',*,*,#203864,.F.); #280063=ORIENTED_EDGE('',*,*,#203867,.F.); #280064=ORIENTED_EDGE('',*,*,#203870,.F.); #280065=ORIENTED_EDGE('',*,*,#203873,.F.); #280066=ORIENTED_EDGE('',*,*,#203876,.F.); #280067=ORIENTED_EDGE('',*,*,#203264,.F.); #280068=ORIENTED_EDGE('',*,*,#203218,.F.); #280069=ORIENTED_EDGE('',*,*,#203222,.F.); #280070=ORIENTED_EDGE('',*,*,#203225,.F.); #280071=ORIENTED_EDGE('',*,*,#203228,.F.); #280072=ORIENTED_EDGE('',*,*,#203231,.F.); #280073=ORIENTED_EDGE('',*,*,#203234,.F.); #280074=ORIENTED_EDGE('',*,*,#203237,.F.); #280075=ORIENTED_EDGE('',*,*,#203240,.F.); #280076=ORIENTED_EDGE('',*,*,#203243,.F.); #280077=ORIENTED_EDGE('',*,*,#203246,.F.); #280078=ORIENTED_EDGE('',*,*,#203249,.F.); #280079=ORIENTED_EDGE('',*,*,#203252,.F.); #280080=ORIENTED_EDGE('',*,*,#203255,.F.); #280081=ORIENTED_EDGE('',*,*,#203258,.F.); #280082=ORIENTED_EDGE('',*,*,#203261,.F.); #280083=ORIENTED_EDGE('',*,*,#203216,.F.); #280084=ORIENTED_EDGE('',*,*,#201667,.F.); #280085=ORIENTED_EDGE('',*,*,#201671,.F.); #280086=ORIENTED_EDGE('',*,*,#201674,.F.); #280087=ORIENTED_EDGE('',*,*,#201677,.F.); #280088=ORIENTED_EDGE('',*,*,#201680,.F.); #280089=ORIENTED_EDGE('',*,*,#201683,.F.); #280090=ORIENTED_EDGE('',*,*,#201686,.F.); #280091=ORIENTED_EDGE('',*,*,#201689,.F.); #280092=ORIENTED_EDGE('',*,*,#201692,.F.); #280093=ORIENTED_EDGE('',*,*,#201695,.F.); #280094=ORIENTED_EDGE('',*,*,#201698,.F.); #280095=ORIENTED_EDGE('',*,*,#201701,.F.); #280096=ORIENTED_EDGE('',*,*,#201704,.F.); #280097=ORIENTED_EDGE('',*,*,#201707,.F.); #280098=ORIENTED_EDGE('',*,*,#201710,.F.); #280099=ORIENTED_EDGE('',*,*,#201713,.F.); #280100=ORIENTED_EDGE('',*,*,#201716,.F.); #280101=ORIENTED_EDGE('',*,*,#201719,.F.); #280102=ORIENTED_EDGE('',*,*,#201722,.F.); #280103=ORIENTED_EDGE('',*,*,#201725,.F.); #280104=ORIENTED_EDGE('',*,*,#201728,.F.); #280105=ORIENTED_EDGE('',*,*,#201731,.F.); #280106=ORIENTED_EDGE('',*,*,#201734,.F.); #280107=ORIENTED_EDGE('',*,*,#201737,.F.); #280108=ORIENTED_EDGE('',*,*,#201740,.F.); #280109=ORIENTED_EDGE('',*,*,#201743,.F.); #280110=ORIENTED_EDGE('',*,*,#201746,.F.); #280111=ORIENTED_EDGE('',*,*,#201749,.F.); #280112=ORIENTED_EDGE('',*,*,#201752,.F.); #280113=ORIENTED_EDGE('',*,*,#201755,.F.); #280114=ORIENTED_EDGE('',*,*,#201758,.F.); #280115=ORIENTED_EDGE('',*,*,#201761,.F.); #280116=ORIENTED_EDGE('',*,*,#201764,.F.); #280117=ORIENTED_EDGE('',*,*,#201767,.F.); #280118=ORIENTED_EDGE('',*,*,#201770,.F.); #280119=ORIENTED_EDGE('',*,*,#201773,.F.); #280120=ORIENTED_EDGE('',*,*,#201776,.F.); #280121=ORIENTED_EDGE('',*,*,#201779,.F.); #280122=ORIENTED_EDGE('',*,*,#201782,.F.); #280123=ORIENTED_EDGE('',*,*,#201785,.F.); #280124=ORIENTED_EDGE('',*,*,#201788,.F.); #280125=ORIENTED_EDGE('',*,*,#201791,.F.); #280126=ORIENTED_EDGE('',*,*,#201794,.F.); #280127=ORIENTED_EDGE('',*,*,#201797,.F.); #280128=ORIENTED_EDGE('',*,*,#201800,.F.); #280129=ORIENTED_EDGE('',*,*,#201803,.F.); #280130=ORIENTED_EDGE('',*,*,#201806,.F.); #280131=ORIENTED_EDGE('',*,*,#201809,.F.); #280132=ORIENTED_EDGE('',*,*,#201812,.F.); #280133=ORIENTED_EDGE('',*,*,#201815,.F.); #280134=ORIENTED_EDGE('',*,*,#201818,.F.); #280135=ORIENTED_EDGE('',*,*,#201821,.F.); #280136=ORIENTED_EDGE('',*,*,#201824,.F.); #280137=ORIENTED_EDGE('',*,*,#201827,.F.); #280138=ORIENTED_EDGE('',*,*,#201830,.F.); #280139=ORIENTED_EDGE('',*,*,#201833,.F.); #280140=ORIENTED_EDGE('',*,*,#201836,.F.); #280141=ORIENTED_EDGE('',*,*,#201839,.F.); #280142=ORIENTED_EDGE('',*,*,#201842,.F.); #280143=ORIENTED_EDGE('',*,*,#201845,.F.); #280144=ORIENTED_EDGE('',*,*,#201848,.F.); #280145=ORIENTED_EDGE('',*,*,#201851,.F.); #280146=ORIENTED_EDGE('',*,*,#201854,.F.); #280147=ORIENTED_EDGE('',*,*,#201857,.F.); #280148=ORIENTED_EDGE('',*,*,#201860,.F.); #280149=ORIENTED_EDGE('',*,*,#201863,.F.); #280150=ORIENTED_EDGE('',*,*,#201866,.F.); #280151=ORIENTED_EDGE('',*,*,#201869,.F.); #280152=ORIENTED_EDGE('',*,*,#201872,.F.); #280153=ORIENTED_EDGE('',*,*,#201875,.F.); #280154=ORIENTED_EDGE('',*,*,#201878,.F.); #280155=ORIENTED_EDGE('',*,*,#201881,.F.); #280156=ORIENTED_EDGE('',*,*,#201884,.F.); #280157=ORIENTED_EDGE('',*,*,#201887,.F.); #280158=ORIENTED_EDGE('',*,*,#201890,.F.); #280159=ORIENTED_EDGE('',*,*,#201893,.F.); #280160=ORIENTED_EDGE('',*,*,#201896,.F.); #280161=ORIENTED_EDGE('',*,*,#201899,.F.); #280162=ORIENTED_EDGE('',*,*,#201902,.F.); #280163=ORIENTED_EDGE('',*,*,#201905,.F.); #280164=ORIENTED_EDGE('',*,*,#201908,.F.); #280165=ORIENTED_EDGE('',*,*,#201911,.F.); #280166=ORIENTED_EDGE('',*,*,#201914,.F.); #280167=ORIENTED_EDGE('',*,*,#201917,.F.); #280168=ORIENTED_EDGE('',*,*,#201920,.F.); #280169=ORIENTED_EDGE('',*,*,#201923,.F.); #280170=ORIENTED_EDGE('',*,*,#201926,.F.); #280171=ORIENTED_EDGE('',*,*,#201929,.F.); #280172=ORIENTED_EDGE('',*,*,#201932,.F.); #280173=ORIENTED_EDGE('',*,*,#201935,.F.); #280174=ORIENTED_EDGE('',*,*,#201938,.F.); #280175=ORIENTED_EDGE('',*,*,#201941,.F.); #280176=ORIENTED_EDGE('',*,*,#201944,.F.); #280177=ORIENTED_EDGE('',*,*,#201947,.F.); #280178=ORIENTED_EDGE('',*,*,#201950,.F.); #280179=ORIENTED_EDGE('',*,*,#201953,.F.); #280180=ORIENTED_EDGE('',*,*,#201956,.F.); #280181=ORIENTED_EDGE('',*,*,#201959,.F.); #280182=ORIENTED_EDGE('',*,*,#201962,.F.); #280183=ORIENTED_EDGE('',*,*,#201965,.F.); #280184=ORIENTED_EDGE('',*,*,#201968,.F.); #280185=ORIENTED_EDGE('',*,*,#201971,.F.); #280186=ORIENTED_EDGE('',*,*,#201974,.F.); #280187=ORIENTED_EDGE('',*,*,#201977,.F.); #280188=ORIENTED_EDGE('',*,*,#201980,.F.); #280189=ORIENTED_EDGE('',*,*,#201983,.F.); #280190=ORIENTED_EDGE('',*,*,#201986,.F.); #280191=ORIENTED_EDGE('',*,*,#201989,.F.); #280192=ORIENTED_EDGE('',*,*,#201992,.F.); #280193=ORIENTED_EDGE('',*,*,#201995,.F.); #280194=ORIENTED_EDGE('',*,*,#201998,.F.); #280195=ORIENTED_EDGE('',*,*,#202001,.F.); #280196=ORIENTED_EDGE('',*,*,#202004,.F.); #280197=ORIENTED_EDGE('',*,*,#202007,.F.); #280198=ORIENTED_EDGE('',*,*,#202010,.F.); #280199=ORIENTED_EDGE('',*,*,#202013,.F.); #280200=ORIENTED_EDGE('',*,*,#202016,.F.); #280201=ORIENTED_EDGE('',*,*,#202019,.F.); #280202=ORIENTED_EDGE('',*,*,#202022,.F.); #280203=ORIENTED_EDGE('',*,*,#202025,.F.); #280204=ORIENTED_EDGE('',*,*,#202028,.F.); #280205=ORIENTED_EDGE('',*,*,#202031,.F.); #280206=ORIENTED_EDGE('',*,*,#202034,.F.); #280207=ORIENTED_EDGE('',*,*,#202037,.F.); #280208=ORIENTED_EDGE('',*,*,#202040,.F.); #280209=ORIENTED_EDGE('',*,*,#202043,.F.); #280210=ORIENTED_EDGE('',*,*,#202046,.F.); #280211=ORIENTED_EDGE('',*,*,#202049,.F.); #280212=ORIENTED_EDGE('',*,*,#202052,.F.); #280213=ORIENTED_EDGE('',*,*,#202055,.F.); #280214=ORIENTED_EDGE('',*,*,#202058,.F.); #280215=ORIENTED_EDGE('',*,*,#202061,.F.); #280216=ORIENTED_EDGE('',*,*,#202064,.F.); #280217=ORIENTED_EDGE('',*,*,#202067,.F.); #280218=ORIENTED_EDGE('',*,*,#202070,.F.); #280219=ORIENTED_EDGE('',*,*,#202073,.F.); #280220=ORIENTED_EDGE('',*,*,#202076,.F.); #280221=ORIENTED_EDGE('',*,*,#202079,.F.); #280222=ORIENTED_EDGE('',*,*,#202082,.F.); #280223=ORIENTED_EDGE('',*,*,#202085,.F.); #280224=ORIENTED_EDGE('',*,*,#202088,.F.); #280225=ORIENTED_EDGE('',*,*,#202091,.F.); #280226=ORIENTED_EDGE('',*,*,#202094,.F.); #280227=ORIENTED_EDGE('',*,*,#202097,.F.); #280228=ORIENTED_EDGE('',*,*,#202100,.F.); #280229=ORIENTED_EDGE('',*,*,#202103,.F.); #280230=ORIENTED_EDGE('',*,*,#202106,.F.); #280231=ORIENTED_EDGE('',*,*,#202109,.F.); #280232=ORIENTED_EDGE('',*,*,#202112,.F.); #280233=ORIENTED_EDGE('',*,*,#202115,.F.); #280234=ORIENTED_EDGE('',*,*,#202118,.F.); #280235=ORIENTED_EDGE('',*,*,#202121,.F.); #280236=ORIENTED_EDGE('',*,*,#202124,.F.); #280237=ORIENTED_EDGE('',*,*,#202127,.F.); #280238=ORIENTED_EDGE('',*,*,#202130,.F.); #280239=ORIENTED_EDGE('',*,*,#202133,.F.); #280240=ORIENTED_EDGE('',*,*,#202136,.F.); #280241=ORIENTED_EDGE('',*,*,#202139,.F.); #280242=ORIENTED_EDGE('',*,*,#202142,.F.); #280243=ORIENTED_EDGE('',*,*,#202145,.F.); #280244=ORIENTED_EDGE('',*,*,#202148,.F.); #280245=ORIENTED_EDGE('',*,*,#202151,.F.); #280246=ORIENTED_EDGE('',*,*,#202154,.F.); #280247=ORIENTED_EDGE('',*,*,#202157,.F.); #280248=ORIENTED_EDGE('',*,*,#202160,.F.); #280249=ORIENTED_EDGE('',*,*,#202163,.F.); #280250=ORIENTED_EDGE('',*,*,#202166,.F.); #280251=ORIENTED_EDGE('',*,*,#202169,.F.); #280252=ORIENTED_EDGE('',*,*,#202172,.F.); #280253=ORIENTED_EDGE('',*,*,#202175,.F.); #280254=ORIENTED_EDGE('',*,*,#202178,.F.); #280255=ORIENTED_EDGE('',*,*,#202181,.F.); #280256=ORIENTED_EDGE('',*,*,#202184,.F.); #280257=ORIENTED_EDGE('',*,*,#202187,.F.); #280258=ORIENTED_EDGE('',*,*,#202190,.F.); #280259=ORIENTED_EDGE('',*,*,#202193,.F.); #280260=ORIENTED_EDGE('',*,*,#202196,.F.); #280261=ORIENTED_EDGE('',*,*,#202199,.F.); #280262=ORIENTED_EDGE('',*,*,#202202,.F.); #280263=ORIENTED_EDGE('',*,*,#202205,.F.); #280264=ORIENTED_EDGE('',*,*,#202208,.F.); #280265=ORIENTED_EDGE('',*,*,#202211,.F.); #280266=ORIENTED_EDGE('',*,*,#202214,.F.); #280267=ORIENTED_EDGE('',*,*,#202217,.F.); #280268=ORIENTED_EDGE('',*,*,#202220,.F.); #280269=ORIENTED_EDGE('',*,*,#202223,.F.); #280270=ORIENTED_EDGE('',*,*,#202226,.F.); #280271=ORIENTED_EDGE('',*,*,#202229,.F.); #280272=ORIENTED_EDGE('',*,*,#202232,.F.); #280273=ORIENTED_EDGE('',*,*,#202235,.F.); #280274=ORIENTED_EDGE('',*,*,#202238,.F.); #280275=ORIENTED_EDGE('',*,*,#202241,.F.); #280276=ORIENTED_EDGE('',*,*,#202244,.F.); #280277=ORIENTED_EDGE('',*,*,#202247,.F.); #280278=ORIENTED_EDGE('',*,*,#202250,.F.); #280279=ORIENTED_EDGE('',*,*,#202253,.F.); #280280=ORIENTED_EDGE('',*,*,#202256,.F.); #280281=ORIENTED_EDGE('',*,*,#202259,.F.); #280282=ORIENTED_EDGE('',*,*,#202262,.F.); #280283=ORIENTED_EDGE('',*,*,#202265,.F.); #280284=ORIENTED_EDGE('',*,*,#202268,.F.); #280285=ORIENTED_EDGE('',*,*,#202271,.F.); #280286=ORIENTED_EDGE('',*,*,#202274,.F.); #280287=ORIENTED_EDGE('',*,*,#202277,.F.); #280288=ORIENTED_EDGE('',*,*,#202280,.F.); #280289=ORIENTED_EDGE('',*,*,#202283,.F.); #280290=ORIENTED_EDGE('',*,*,#202286,.F.); #280291=ORIENTED_EDGE('',*,*,#202289,.F.); #280292=ORIENTED_EDGE('',*,*,#202292,.F.); #280293=ORIENTED_EDGE('',*,*,#202295,.F.); #280294=ORIENTED_EDGE('',*,*,#202298,.F.); #280295=ORIENTED_EDGE('',*,*,#202301,.F.); #280296=ORIENTED_EDGE('',*,*,#202304,.F.); #280297=ORIENTED_EDGE('',*,*,#202307,.F.); #280298=ORIENTED_EDGE('',*,*,#202310,.F.); #280299=ORIENTED_EDGE('',*,*,#202313,.F.); #280300=ORIENTED_EDGE('',*,*,#202316,.F.); #280301=ORIENTED_EDGE('',*,*,#202319,.F.); #280302=ORIENTED_EDGE('',*,*,#202322,.F.); #280303=ORIENTED_EDGE('',*,*,#202325,.F.); #280304=ORIENTED_EDGE('',*,*,#202328,.F.); #280305=ORIENTED_EDGE('',*,*,#202331,.F.); #280306=ORIENTED_EDGE('',*,*,#202334,.F.); #280307=ORIENTED_EDGE('',*,*,#202337,.F.); #280308=ORIENTED_EDGE('',*,*,#202340,.F.); #280309=ORIENTED_EDGE('',*,*,#202343,.F.); #280310=ORIENTED_EDGE('',*,*,#202346,.F.); #280311=ORIENTED_EDGE('',*,*,#202349,.F.); #280312=ORIENTED_EDGE('',*,*,#202352,.F.); #280313=ORIENTED_EDGE('',*,*,#202355,.F.); #280314=ORIENTED_EDGE('',*,*,#202358,.F.); #280315=ORIENTED_EDGE('',*,*,#202361,.F.); #280316=ORIENTED_EDGE('',*,*,#202364,.F.); #280317=ORIENTED_EDGE('',*,*,#202367,.F.); #280318=ORIENTED_EDGE('',*,*,#202370,.F.); #280319=ORIENTED_EDGE('',*,*,#202373,.F.); #280320=ORIENTED_EDGE('',*,*,#202376,.F.); #280321=ORIENTED_EDGE('',*,*,#202379,.F.); #280322=ORIENTED_EDGE('',*,*,#202382,.F.); #280323=ORIENTED_EDGE('',*,*,#202385,.F.); #280324=ORIENTED_EDGE('',*,*,#202388,.F.); #280325=ORIENTED_EDGE('',*,*,#202391,.F.); #280326=ORIENTED_EDGE('',*,*,#202394,.F.); #280327=ORIENTED_EDGE('',*,*,#202397,.F.); #280328=ORIENTED_EDGE('',*,*,#202400,.F.); #280329=ORIENTED_EDGE('',*,*,#202403,.F.); #280330=ORIENTED_EDGE('',*,*,#202406,.F.); #280331=ORIENTED_EDGE('',*,*,#202409,.F.); #280332=ORIENTED_EDGE('',*,*,#202412,.F.); #280333=ORIENTED_EDGE('',*,*,#202415,.F.); #280334=ORIENTED_EDGE('',*,*,#202418,.F.); #280335=ORIENTED_EDGE('',*,*,#202421,.F.); #280336=ORIENTED_EDGE('',*,*,#202424,.F.); #280337=ORIENTED_EDGE('',*,*,#202427,.F.); #280338=ORIENTED_EDGE('',*,*,#202430,.F.); #280339=ORIENTED_EDGE('',*,*,#202433,.F.); #280340=ORIENTED_EDGE('',*,*,#202436,.F.); #280341=ORIENTED_EDGE('',*,*,#202439,.F.); #280342=ORIENTED_EDGE('',*,*,#202442,.F.); #280343=ORIENTED_EDGE('',*,*,#202445,.F.); #280344=ORIENTED_EDGE('',*,*,#202448,.F.); #280345=ORIENTED_EDGE('',*,*,#202451,.F.); #280346=ORIENTED_EDGE('',*,*,#202454,.F.); #280347=ORIENTED_EDGE('',*,*,#202457,.F.); #280348=ORIENTED_EDGE('',*,*,#202460,.F.); #280349=ORIENTED_EDGE('',*,*,#202463,.F.); #280350=ORIENTED_EDGE('',*,*,#202466,.F.); #280351=ORIENTED_EDGE('',*,*,#202469,.F.); #280352=ORIENTED_EDGE('',*,*,#202472,.F.); #280353=ORIENTED_EDGE('',*,*,#202475,.F.); #280354=ORIENTED_EDGE('',*,*,#202478,.F.); #280355=ORIENTED_EDGE('',*,*,#202481,.F.); #280356=ORIENTED_EDGE('',*,*,#202484,.F.); #280357=ORIENTED_EDGE('',*,*,#202487,.F.); #280358=ORIENTED_EDGE('',*,*,#202490,.F.); #280359=ORIENTED_EDGE('',*,*,#202493,.F.); #280360=ORIENTED_EDGE('',*,*,#202496,.F.); #280361=ORIENTED_EDGE('',*,*,#202499,.F.); #280362=ORIENTED_EDGE('',*,*,#202502,.F.); #280363=ORIENTED_EDGE('',*,*,#202505,.F.); #280364=ORIENTED_EDGE('',*,*,#202508,.F.); #280365=ORIENTED_EDGE('',*,*,#202511,.F.); #280366=ORIENTED_EDGE('',*,*,#202514,.F.); #280367=ORIENTED_EDGE('',*,*,#202517,.F.); #280368=ORIENTED_EDGE('',*,*,#202520,.F.); #280369=ORIENTED_EDGE('',*,*,#202523,.F.); #280370=ORIENTED_EDGE('',*,*,#202526,.F.); #280371=ORIENTED_EDGE('',*,*,#202529,.F.); #280372=ORIENTED_EDGE('',*,*,#202532,.F.); #280373=ORIENTED_EDGE('',*,*,#202535,.F.); #280374=ORIENTED_EDGE('',*,*,#202538,.F.); #280375=ORIENTED_EDGE('',*,*,#202541,.F.); #280376=ORIENTED_EDGE('',*,*,#202544,.F.); #280377=ORIENTED_EDGE('',*,*,#202547,.F.); #280378=ORIENTED_EDGE('',*,*,#202550,.F.); #280379=ORIENTED_EDGE('',*,*,#202553,.F.); #280380=ORIENTED_EDGE('',*,*,#202556,.F.); #280381=ORIENTED_EDGE('',*,*,#202559,.F.); #280382=ORIENTED_EDGE('',*,*,#202562,.F.); #280383=ORIENTED_EDGE('',*,*,#202565,.F.); #280384=ORIENTED_EDGE('',*,*,#202568,.F.); #280385=ORIENTED_EDGE('',*,*,#202571,.F.); #280386=ORIENTED_EDGE('',*,*,#202574,.F.); #280387=ORIENTED_EDGE('',*,*,#202577,.F.); #280388=ORIENTED_EDGE('',*,*,#202580,.F.); #280389=ORIENTED_EDGE('',*,*,#202583,.F.); #280390=ORIENTED_EDGE('',*,*,#202586,.F.); #280391=ORIENTED_EDGE('',*,*,#202589,.F.); #280392=ORIENTED_EDGE('',*,*,#202592,.F.); #280393=ORIENTED_EDGE('',*,*,#202595,.F.); #280394=ORIENTED_EDGE('',*,*,#202598,.F.); #280395=ORIENTED_EDGE('',*,*,#202601,.F.); #280396=ORIENTED_EDGE('',*,*,#202604,.F.); #280397=ORIENTED_EDGE('',*,*,#202607,.F.); #280398=ORIENTED_EDGE('',*,*,#202610,.F.); #280399=ORIENTED_EDGE('',*,*,#202613,.F.); #280400=ORIENTED_EDGE('',*,*,#202616,.F.); #280401=ORIENTED_EDGE('',*,*,#202619,.F.); #280402=ORIENTED_EDGE('',*,*,#202622,.F.); #280403=ORIENTED_EDGE('',*,*,#202625,.F.); #280404=ORIENTED_EDGE('',*,*,#202628,.F.); #280405=ORIENTED_EDGE('',*,*,#202631,.F.); #280406=ORIENTED_EDGE('',*,*,#202634,.F.); #280407=ORIENTED_EDGE('',*,*,#202637,.F.); #280408=ORIENTED_EDGE('',*,*,#202640,.F.); #280409=ORIENTED_EDGE('',*,*,#202643,.F.); #280410=ORIENTED_EDGE('',*,*,#202646,.F.); #280411=ORIENTED_EDGE('',*,*,#202649,.F.); #280412=ORIENTED_EDGE('',*,*,#202652,.F.); #280413=ORIENTED_EDGE('',*,*,#202655,.F.); #280414=ORIENTED_EDGE('',*,*,#202658,.F.); #280415=ORIENTED_EDGE('',*,*,#202661,.F.); #280416=ORIENTED_EDGE('',*,*,#202664,.F.); #280417=ORIENTED_EDGE('',*,*,#202667,.F.); #280418=ORIENTED_EDGE('',*,*,#202670,.F.); #280419=ORIENTED_EDGE('',*,*,#202673,.F.); #280420=ORIENTED_EDGE('',*,*,#202676,.F.); #280421=ORIENTED_EDGE('',*,*,#202679,.F.); #280422=ORIENTED_EDGE('',*,*,#202682,.F.); #280423=ORIENTED_EDGE('',*,*,#202685,.F.); #280424=ORIENTED_EDGE('',*,*,#202688,.F.); #280425=ORIENTED_EDGE('',*,*,#202691,.F.); #280426=ORIENTED_EDGE('',*,*,#202694,.F.); #280427=ORIENTED_EDGE('',*,*,#202697,.F.); #280428=ORIENTED_EDGE('',*,*,#202700,.F.); #280429=ORIENTED_EDGE('',*,*,#202703,.F.); #280430=ORIENTED_EDGE('',*,*,#202706,.F.); #280431=ORIENTED_EDGE('',*,*,#202709,.F.); #280432=ORIENTED_EDGE('',*,*,#202712,.F.); #280433=ORIENTED_EDGE('',*,*,#202715,.F.); #280434=ORIENTED_EDGE('',*,*,#202718,.F.); #280435=ORIENTED_EDGE('',*,*,#202721,.F.); #280436=ORIENTED_EDGE('',*,*,#202724,.F.); #280437=ORIENTED_EDGE('',*,*,#202727,.F.); #280438=ORIENTED_EDGE('',*,*,#202730,.F.); #280439=ORIENTED_EDGE('',*,*,#202733,.F.); #280440=ORIENTED_EDGE('',*,*,#202736,.F.); #280441=ORIENTED_EDGE('',*,*,#202739,.F.); #280442=ORIENTED_EDGE('',*,*,#202742,.F.); #280443=ORIENTED_EDGE('',*,*,#202745,.F.); #280444=ORIENTED_EDGE('',*,*,#202748,.F.); #280445=ORIENTED_EDGE('',*,*,#202751,.F.); #280446=ORIENTED_EDGE('',*,*,#202754,.F.); #280447=ORIENTED_EDGE('',*,*,#202757,.F.); #280448=ORIENTED_EDGE('',*,*,#202760,.F.); #280449=ORIENTED_EDGE('',*,*,#202763,.F.); #280450=ORIENTED_EDGE('',*,*,#202766,.F.); #280451=ORIENTED_EDGE('',*,*,#202769,.F.); #280452=ORIENTED_EDGE('',*,*,#202772,.F.); #280453=ORIENTED_EDGE('',*,*,#202775,.F.); #280454=ORIENTED_EDGE('',*,*,#202778,.F.); #280455=ORIENTED_EDGE('',*,*,#202781,.F.); #280456=ORIENTED_EDGE('',*,*,#202784,.F.); #280457=ORIENTED_EDGE('',*,*,#202787,.F.); #280458=ORIENTED_EDGE('',*,*,#202790,.F.); #280459=ORIENTED_EDGE('',*,*,#202793,.F.); #280460=ORIENTED_EDGE('',*,*,#202796,.F.); #280461=ORIENTED_EDGE('',*,*,#202799,.F.); #280462=ORIENTED_EDGE('',*,*,#202802,.F.); #280463=ORIENTED_EDGE('',*,*,#202805,.F.); #280464=ORIENTED_EDGE('',*,*,#202808,.F.); #280465=ORIENTED_EDGE('',*,*,#202811,.F.); #280466=ORIENTED_EDGE('',*,*,#202814,.F.); #280467=ORIENTED_EDGE('',*,*,#202817,.F.); #280468=ORIENTED_EDGE('',*,*,#202820,.F.); #280469=ORIENTED_EDGE('',*,*,#202823,.F.); #280470=ORIENTED_EDGE('',*,*,#202826,.F.); #280471=ORIENTED_EDGE('',*,*,#202829,.F.); #280472=ORIENTED_EDGE('',*,*,#202832,.F.); #280473=ORIENTED_EDGE('',*,*,#202835,.F.); #280474=ORIENTED_EDGE('',*,*,#202838,.F.); #280475=ORIENTED_EDGE('',*,*,#202841,.F.); #280476=ORIENTED_EDGE('',*,*,#202844,.F.); #280477=ORIENTED_EDGE('',*,*,#202847,.F.); #280478=ORIENTED_EDGE('',*,*,#202850,.F.); #280479=ORIENTED_EDGE('',*,*,#202853,.F.); #280480=ORIENTED_EDGE('',*,*,#202856,.F.); #280481=ORIENTED_EDGE('',*,*,#202859,.F.); #280482=ORIENTED_EDGE('',*,*,#202862,.F.); #280483=ORIENTED_EDGE('',*,*,#202865,.F.); #280484=ORIENTED_EDGE('',*,*,#202868,.F.); #280485=ORIENTED_EDGE('',*,*,#202871,.F.); #280486=ORIENTED_EDGE('',*,*,#202874,.F.); #280487=ORIENTED_EDGE('',*,*,#202877,.F.); #280488=ORIENTED_EDGE('',*,*,#202880,.F.); #280489=ORIENTED_EDGE('',*,*,#202883,.F.); #280490=ORIENTED_EDGE('',*,*,#202886,.F.); #280491=ORIENTED_EDGE('',*,*,#202889,.F.); #280492=ORIENTED_EDGE('',*,*,#202892,.F.); #280493=ORIENTED_EDGE('',*,*,#202895,.F.); #280494=ORIENTED_EDGE('',*,*,#202898,.F.); #280495=ORIENTED_EDGE('',*,*,#202901,.F.); #280496=ORIENTED_EDGE('',*,*,#202904,.F.); #280497=ORIENTED_EDGE('',*,*,#202907,.F.); #280498=ORIENTED_EDGE('',*,*,#202910,.F.); #280499=ORIENTED_EDGE('',*,*,#202913,.F.); #280500=ORIENTED_EDGE('',*,*,#202916,.F.); #280501=ORIENTED_EDGE('',*,*,#202919,.F.); #280502=ORIENTED_EDGE('',*,*,#202922,.F.); #280503=ORIENTED_EDGE('',*,*,#202925,.F.); #280504=ORIENTED_EDGE('',*,*,#202928,.F.); #280505=ORIENTED_EDGE('',*,*,#202931,.F.); #280506=ORIENTED_EDGE('',*,*,#202934,.F.); #280507=ORIENTED_EDGE('',*,*,#202937,.F.); #280508=ORIENTED_EDGE('',*,*,#202940,.F.); #280509=ORIENTED_EDGE('',*,*,#202943,.F.); #280510=ORIENTED_EDGE('',*,*,#202946,.F.); #280511=ORIENTED_EDGE('',*,*,#202949,.F.); #280512=ORIENTED_EDGE('',*,*,#202952,.F.); #280513=ORIENTED_EDGE('',*,*,#202955,.F.); #280514=ORIENTED_EDGE('',*,*,#202958,.F.); #280515=ORIENTED_EDGE('',*,*,#202961,.F.); #280516=ORIENTED_EDGE('',*,*,#202964,.F.); #280517=ORIENTED_EDGE('',*,*,#202967,.F.); #280518=ORIENTED_EDGE('',*,*,#202970,.F.); #280519=ORIENTED_EDGE('',*,*,#202973,.F.); #280520=ORIENTED_EDGE('',*,*,#202976,.F.); #280521=ORIENTED_EDGE('',*,*,#202979,.F.); #280522=ORIENTED_EDGE('',*,*,#202982,.F.); #280523=ORIENTED_EDGE('',*,*,#202985,.F.); #280524=ORIENTED_EDGE('',*,*,#202988,.F.); #280525=ORIENTED_EDGE('',*,*,#202991,.F.); #280526=ORIENTED_EDGE('',*,*,#202994,.F.); #280527=ORIENTED_EDGE('',*,*,#202997,.F.); #280528=ORIENTED_EDGE('',*,*,#203000,.F.); #280529=ORIENTED_EDGE('',*,*,#203003,.F.); #280530=ORIENTED_EDGE('',*,*,#203006,.F.); #280531=ORIENTED_EDGE('',*,*,#203009,.F.); #280532=ORIENTED_EDGE('',*,*,#203012,.F.); #280533=ORIENTED_EDGE('',*,*,#203015,.F.); #280534=ORIENTED_EDGE('',*,*,#203018,.F.); #280535=ORIENTED_EDGE('',*,*,#203021,.F.); #280536=ORIENTED_EDGE('',*,*,#203024,.F.); #280537=ORIENTED_EDGE('',*,*,#203027,.F.); #280538=ORIENTED_EDGE('',*,*,#203030,.F.); #280539=ORIENTED_EDGE('',*,*,#203033,.F.); #280540=ORIENTED_EDGE('',*,*,#203036,.F.); #280541=ORIENTED_EDGE('',*,*,#203039,.F.); #280542=ORIENTED_EDGE('',*,*,#203042,.F.); #280543=ORIENTED_EDGE('',*,*,#203045,.F.); #280544=ORIENTED_EDGE('',*,*,#203048,.F.); #280545=ORIENTED_EDGE('',*,*,#203051,.F.); #280546=ORIENTED_EDGE('',*,*,#203054,.F.); #280547=ORIENTED_EDGE('',*,*,#203057,.F.); #280548=ORIENTED_EDGE('',*,*,#203060,.F.); #280549=ORIENTED_EDGE('',*,*,#203063,.F.); #280550=ORIENTED_EDGE('',*,*,#203066,.F.); #280551=ORIENTED_EDGE('',*,*,#203069,.F.); #280552=ORIENTED_EDGE('',*,*,#203072,.F.); #280553=ORIENTED_EDGE('',*,*,#203075,.F.); #280554=ORIENTED_EDGE('',*,*,#203078,.F.); #280555=ORIENTED_EDGE('',*,*,#203081,.F.); #280556=ORIENTED_EDGE('',*,*,#203084,.F.); #280557=ORIENTED_EDGE('',*,*,#203087,.F.); #280558=ORIENTED_EDGE('',*,*,#203090,.F.); #280559=ORIENTED_EDGE('',*,*,#203093,.F.); #280560=ORIENTED_EDGE('',*,*,#203096,.F.); #280561=ORIENTED_EDGE('',*,*,#203099,.F.); #280562=ORIENTED_EDGE('',*,*,#203102,.F.); #280563=ORIENTED_EDGE('',*,*,#203105,.F.); #280564=ORIENTED_EDGE('',*,*,#203108,.F.); #280565=ORIENTED_EDGE('',*,*,#203111,.F.); #280566=ORIENTED_EDGE('',*,*,#203114,.F.); #280567=ORIENTED_EDGE('',*,*,#203117,.F.); #280568=ORIENTED_EDGE('',*,*,#203120,.F.); #280569=ORIENTED_EDGE('',*,*,#203123,.F.); #280570=ORIENTED_EDGE('',*,*,#203126,.F.); #280571=ORIENTED_EDGE('',*,*,#203129,.F.); #280572=ORIENTED_EDGE('',*,*,#203132,.F.); #280573=ORIENTED_EDGE('',*,*,#203135,.F.); #280574=ORIENTED_EDGE('',*,*,#203138,.F.); #280575=ORIENTED_EDGE('',*,*,#203141,.F.); #280576=ORIENTED_EDGE('',*,*,#203144,.F.); #280577=ORIENTED_EDGE('',*,*,#203147,.F.); #280578=ORIENTED_EDGE('',*,*,#203150,.F.); #280579=ORIENTED_EDGE('',*,*,#203153,.F.); #280580=ORIENTED_EDGE('',*,*,#203156,.F.); #280581=ORIENTED_EDGE('',*,*,#203159,.F.); #280582=ORIENTED_EDGE('',*,*,#203162,.F.); #280583=ORIENTED_EDGE('',*,*,#203165,.F.); #280584=ORIENTED_EDGE('',*,*,#203168,.F.); #280585=ORIENTED_EDGE('',*,*,#203171,.F.); #280586=ORIENTED_EDGE('',*,*,#203174,.F.); #280587=ORIENTED_EDGE('',*,*,#203177,.F.); #280588=ORIENTED_EDGE('',*,*,#203180,.F.); #280589=ORIENTED_EDGE('',*,*,#203183,.F.); #280590=ORIENTED_EDGE('',*,*,#203186,.F.); #280591=ORIENTED_EDGE('',*,*,#203189,.F.); #280592=ORIENTED_EDGE('',*,*,#203192,.F.); #280593=ORIENTED_EDGE('',*,*,#203195,.F.); #280594=ORIENTED_EDGE('',*,*,#203198,.F.); #280595=ORIENTED_EDGE('',*,*,#203201,.F.); #280596=ORIENTED_EDGE('',*,*,#203204,.F.); #280597=ORIENTED_EDGE('',*,*,#203207,.F.); #280598=ORIENTED_EDGE('',*,*,#203210,.F.); #280599=ORIENTED_EDGE('',*,*,#203213,.F.); #280600=ORIENTED_EDGE('',*,*,#201665,.F.); #280601=ORIENTED_EDGE('',*,*,#201619,.F.); #280602=ORIENTED_EDGE('',*,*,#201623,.F.); #280603=ORIENTED_EDGE('',*,*,#201626,.F.); #280604=ORIENTED_EDGE('',*,*,#201629,.F.); #280605=ORIENTED_EDGE('',*,*,#201632,.F.); #280606=ORIENTED_EDGE('',*,*,#201635,.F.); #280607=ORIENTED_EDGE('',*,*,#201638,.F.); #280608=ORIENTED_EDGE('',*,*,#201641,.F.); #280609=ORIENTED_EDGE('',*,*,#201644,.F.); #280610=ORIENTED_EDGE('',*,*,#201647,.F.); #280611=ORIENTED_EDGE('',*,*,#201650,.F.); #280612=ORIENTED_EDGE('',*,*,#201653,.F.); #280613=ORIENTED_EDGE('',*,*,#201656,.F.); #280614=ORIENTED_EDGE('',*,*,#201659,.F.); #280615=ORIENTED_EDGE('',*,*,#201662,.F.); #280616=ORIENTED_EDGE('',*,*,#201617,.F.); #280617=ORIENTED_EDGE('',*,*,#201499,.F.); #280618=ORIENTED_EDGE('',*,*,#201503,.F.); #280619=ORIENTED_EDGE('',*,*,#201506,.F.); #280620=ORIENTED_EDGE('',*,*,#201509,.F.); #280621=ORIENTED_EDGE('',*,*,#201512,.F.); #280622=ORIENTED_EDGE('',*,*,#201515,.F.); #280623=ORIENTED_EDGE('',*,*,#201518,.F.); #280624=ORIENTED_EDGE('',*,*,#201521,.F.); #280625=ORIENTED_EDGE('',*,*,#201524,.F.); #280626=ORIENTED_EDGE('',*,*,#201527,.F.); #280627=ORIENTED_EDGE('',*,*,#201530,.F.); #280628=ORIENTED_EDGE('',*,*,#201533,.F.); #280629=ORIENTED_EDGE('',*,*,#201536,.F.); #280630=ORIENTED_EDGE('',*,*,#201539,.F.); #280631=ORIENTED_EDGE('',*,*,#201542,.F.); #280632=ORIENTED_EDGE('',*,*,#201545,.F.); #280633=ORIENTED_EDGE('',*,*,#201548,.F.); #280634=ORIENTED_EDGE('',*,*,#201551,.F.); #280635=ORIENTED_EDGE('',*,*,#201554,.F.); #280636=ORIENTED_EDGE('',*,*,#201557,.F.); #280637=ORIENTED_EDGE('',*,*,#201560,.F.); #280638=ORIENTED_EDGE('',*,*,#201563,.F.); #280639=ORIENTED_EDGE('',*,*,#201566,.F.); #280640=ORIENTED_EDGE('',*,*,#201569,.F.); #280641=ORIENTED_EDGE('',*,*,#201572,.F.); #280642=ORIENTED_EDGE('',*,*,#201575,.F.); #280643=ORIENTED_EDGE('',*,*,#201578,.F.); #280644=ORIENTED_EDGE('',*,*,#201581,.F.); #280645=ORIENTED_EDGE('',*,*,#201584,.F.); #280646=ORIENTED_EDGE('',*,*,#201587,.F.); #280647=ORIENTED_EDGE('',*,*,#201590,.F.); #280648=ORIENTED_EDGE('',*,*,#201593,.F.); #280649=ORIENTED_EDGE('',*,*,#201596,.F.); #280650=ORIENTED_EDGE('',*,*,#201599,.F.); #280651=ORIENTED_EDGE('',*,*,#201602,.F.); #280652=ORIENTED_EDGE('',*,*,#201605,.F.); #280653=ORIENTED_EDGE('',*,*,#201608,.F.); #280654=ORIENTED_EDGE('',*,*,#201611,.F.); #280655=ORIENTED_EDGE('',*,*,#201614,.F.); #280656=ORIENTED_EDGE('',*,*,#201497,.F.); #280657=ORIENTED_EDGE('',*,*,#201397,.F.); #280658=ORIENTED_EDGE('',*,*,#201401,.F.); #280659=ORIENTED_EDGE('',*,*,#201404,.F.); #280660=ORIENTED_EDGE('',*,*,#201407,.F.); #280661=ORIENTED_EDGE('',*,*,#201410,.F.); #280662=ORIENTED_EDGE('',*,*,#201413,.F.); #280663=ORIENTED_EDGE('',*,*,#201416,.F.); #280664=ORIENTED_EDGE('',*,*,#201419,.F.); #280665=ORIENTED_EDGE('',*,*,#201422,.F.); #280666=ORIENTED_EDGE('',*,*,#201425,.F.); #280667=ORIENTED_EDGE('',*,*,#201428,.F.); #280668=ORIENTED_EDGE('',*,*,#201431,.F.); #280669=ORIENTED_EDGE('',*,*,#201434,.F.); #280670=ORIENTED_EDGE('',*,*,#201437,.F.); #280671=ORIENTED_EDGE('',*,*,#201440,.F.); #280672=ORIENTED_EDGE('',*,*,#201443,.F.); #280673=ORIENTED_EDGE('',*,*,#201446,.F.); #280674=ORIENTED_EDGE('',*,*,#201449,.F.); #280675=ORIENTED_EDGE('',*,*,#201452,.F.); #280676=ORIENTED_EDGE('',*,*,#201455,.F.); #280677=ORIENTED_EDGE('',*,*,#201458,.F.); #280678=ORIENTED_EDGE('',*,*,#201461,.F.); #280679=ORIENTED_EDGE('',*,*,#201464,.F.); #280680=ORIENTED_EDGE('',*,*,#201467,.F.); #280681=ORIENTED_EDGE('',*,*,#201470,.F.); #280682=ORIENTED_EDGE('',*,*,#201473,.F.); #280683=ORIENTED_EDGE('',*,*,#201476,.F.); #280684=ORIENTED_EDGE('',*,*,#201479,.F.); #280685=ORIENTED_EDGE('',*,*,#201482,.F.); #280686=ORIENTED_EDGE('',*,*,#201485,.F.); #280687=ORIENTED_EDGE('',*,*,#201488,.F.); #280688=ORIENTED_EDGE('',*,*,#201491,.F.); #280689=ORIENTED_EDGE('',*,*,#201494,.F.); #280690=ORIENTED_EDGE('',*,*,#201395,.F.); #280691=ORIENTED_EDGE('',*,*,#201295,.F.); #280692=ORIENTED_EDGE('',*,*,#201299,.F.); #280693=ORIENTED_EDGE('',*,*,#201302,.F.); #280694=ORIENTED_EDGE('',*,*,#201305,.F.); #280695=ORIENTED_EDGE('',*,*,#201308,.F.); #280696=ORIENTED_EDGE('',*,*,#201311,.F.); #280697=ORIENTED_EDGE('',*,*,#201314,.F.); #280698=ORIENTED_EDGE('',*,*,#201317,.F.); #280699=ORIENTED_EDGE('',*,*,#201320,.F.); #280700=ORIENTED_EDGE('',*,*,#201323,.F.); #280701=ORIENTED_EDGE('',*,*,#201326,.F.); #280702=ORIENTED_EDGE('',*,*,#201329,.F.); #280703=ORIENTED_EDGE('',*,*,#201332,.F.); #280704=ORIENTED_EDGE('',*,*,#201335,.F.); #280705=ORIENTED_EDGE('',*,*,#201338,.F.); #280706=ORIENTED_EDGE('',*,*,#201341,.F.); #280707=ORIENTED_EDGE('',*,*,#201344,.F.); #280708=ORIENTED_EDGE('',*,*,#201347,.F.); #280709=ORIENTED_EDGE('',*,*,#201350,.F.); #280710=ORIENTED_EDGE('',*,*,#201353,.F.); #280711=ORIENTED_EDGE('',*,*,#201356,.F.); #280712=ORIENTED_EDGE('',*,*,#201359,.F.); #280713=ORIENTED_EDGE('',*,*,#201362,.F.); #280714=ORIENTED_EDGE('',*,*,#201365,.F.); #280715=ORIENTED_EDGE('',*,*,#201368,.F.); #280716=ORIENTED_EDGE('',*,*,#201371,.F.); #280717=ORIENTED_EDGE('',*,*,#201374,.F.); #280718=ORIENTED_EDGE('',*,*,#201377,.F.); #280719=ORIENTED_EDGE('',*,*,#201380,.F.); #280720=ORIENTED_EDGE('',*,*,#201383,.F.); #280721=ORIENTED_EDGE('',*,*,#201386,.F.); #280722=ORIENTED_EDGE('',*,*,#201389,.F.); #280723=ORIENTED_EDGE('',*,*,#201392,.F.); #280724=ORIENTED_EDGE('',*,*,#201293,.F.); #280725=ORIENTED_EDGE('',*,*,#201115,.F.); #280726=ORIENTED_EDGE('',*,*,#201119,.F.); #280727=ORIENTED_EDGE('',*,*,#201122,.F.); #280728=ORIENTED_EDGE('',*,*,#201125,.F.); #280729=ORIENTED_EDGE('',*,*,#201128,.F.); #280730=ORIENTED_EDGE('',*,*,#201131,.F.); #280731=ORIENTED_EDGE('',*,*,#201134,.F.); #280732=ORIENTED_EDGE('',*,*,#201137,.F.); #280733=ORIENTED_EDGE('',*,*,#201140,.F.); #280734=ORIENTED_EDGE('',*,*,#201143,.F.); #280735=ORIENTED_EDGE('',*,*,#201146,.F.); #280736=ORIENTED_EDGE('',*,*,#201149,.F.); #280737=ORIENTED_EDGE('',*,*,#201152,.F.); #280738=ORIENTED_EDGE('',*,*,#201155,.F.); #280739=ORIENTED_EDGE('',*,*,#201158,.F.); #280740=ORIENTED_EDGE('',*,*,#201161,.F.); #280741=ORIENTED_EDGE('',*,*,#201164,.F.); #280742=ORIENTED_EDGE('',*,*,#201167,.F.); #280743=ORIENTED_EDGE('',*,*,#201170,.F.); #280744=ORIENTED_EDGE('',*,*,#201173,.F.); #280745=ORIENTED_EDGE('',*,*,#201176,.F.); #280746=ORIENTED_EDGE('',*,*,#201179,.F.); #280747=ORIENTED_EDGE('',*,*,#201182,.F.); #280748=ORIENTED_EDGE('',*,*,#201185,.F.); #280749=ORIENTED_EDGE('',*,*,#201188,.F.); #280750=ORIENTED_EDGE('',*,*,#201191,.F.); #280751=ORIENTED_EDGE('',*,*,#201194,.F.); #280752=ORIENTED_EDGE('',*,*,#201197,.F.); #280753=ORIENTED_EDGE('',*,*,#201200,.F.); #280754=ORIENTED_EDGE('',*,*,#201203,.F.); #280755=ORIENTED_EDGE('',*,*,#201206,.F.); #280756=ORIENTED_EDGE('',*,*,#201209,.F.); #280757=ORIENTED_EDGE('',*,*,#201212,.F.); #280758=ORIENTED_EDGE('',*,*,#201215,.F.); #280759=ORIENTED_EDGE('',*,*,#201218,.F.); #280760=ORIENTED_EDGE('',*,*,#201221,.F.); #280761=ORIENTED_EDGE('',*,*,#201224,.F.); #280762=ORIENTED_EDGE('',*,*,#201227,.F.); #280763=ORIENTED_EDGE('',*,*,#201230,.F.); #280764=ORIENTED_EDGE('',*,*,#201233,.F.); #280765=ORIENTED_EDGE('',*,*,#201236,.F.); #280766=ORIENTED_EDGE('',*,*,#201239,.F.); #280767=ORIENTED_EDGE('',*,*,#201242,.F.); #280768=ORIENTED_EDGE('',*,*,#201245,.F.); #280769=ORIENTED_EDGE('',*,*,#201248,.F.); #280770=ORIENTED_EDGE('',*,*,#201251,.F.); #280771=ORIENTED_EDGE('',*,*,#201254,.F.); #280772=ORIENTED_EDGE('',*,*,#201257,.F.); #280773=ORIENTED_EDGE('',*,*,#201260,.F.); #280774=ORIENTED_EDGE('',*,*,#201263,.F.); #280775=ORIENTED_EDGE('',*,*,#201266,.F.); #280776=ORIENTED_EDGE('',*,*,#201269,.F.); #280777=ORIENTED_EDGE('',*,*,#201272,.F.); #280778=ORIENTED_EDGE('',*,*,#201275,.F.); #280779=ORIENTED_EDGE('',*,*,#201278,.F.); #280780=ORIENTED_EDGE('',*,*,#201281,.F.); #280781=ORIENTED_EDGE('',*,*,#201284,.F.); #280782=ORIENTED_EDGE('',*,*,#201287,.F.); #280783=ORIENTED_EDGE('',*,*,#201290,.F.); #280784=ORIENTED_EDGE('',*,*,#201113,.F.); #280785=ORIENTED_EDGE('',*,*,#201091,.F.); #280786=ORIENTED_EDGE('',*,*,#201095,.F.); #280787=ORIENTED_EDGE('',*,*,#201098,.F.); #280788=ORIENTED_EDGE('',*,*,#201101,.F.); #280789=ORIENTED_EDGE('',*,*,#201104,.F.); #280790=ORIENTED_EDGE('',*,*,#201107,.F.); #280791=ORIENTED_EDGE('',*,*,#201110,.F.); #280792=ORIENTED_EDGE('',*,*,#201089,.F.); #280793=ORIENTED_EDGE('',*,*,#201067,.F.); #280794=ORIENTED_EDGE('',*,*,#201071,.F.); #280795=ORIENTED_EDGE('',*,*,#201074,.F.); #280796=ORIENTED_EDGE('',*,*,#201077,.F.); #280797=ORIENTED_EDGE('',*,*,#201080,.F.); #280798=ORIENTED_EDGE('',*,*,#201083,.F.); #280799=ORIENTED_EDGE('',*,*,#201086,.F.); #280800=ORIENTED_EDGE('',*,*,#201065,.F.); #280801=ORIENTED_EDGE('',*,*,#201043,.F.); #280802=ORIENTED_EDGE('',*,*,#201047,.F.); #280803=ORIENTED_EDGE('',*,*,#201050,.F.); #280804=ORIENTED_EDGE('',*,*,#201053,.F.); #280805=ORIENTED_EDGE('',*,*,#201056,.F.); #280806=ORIENTED_EDGE('',*,*,#201059,.F.); #280807=ORIENTED_EDGE('',*,*,#201062,.F.); #280808=ORIENTED_EDGE('',*,*,#201041,.F.); #280809=ORIENTED_EDGE('',*,*,#200647,.F.); #280810=ORIENTED_EDGE('',*,*,#200651,.F.); #280811=ORIENTED_EDGE('',*,*,#200654,.F.); #280812=ORIENTED_EDGE('',*,*,#200657,.F.); #280813=ORIENTED_EDGE('',*,*,#200660,.F.); #280814=ORIENTED_EDGE('',*,*,#200663,.F.); #280815=ORIENTED_EDGE('',*,*,#200666,.F.); #280816=ORIENTED_EDGE('',*,*,#200669,.F.); #280817=ORIENTED_EDGE('',*,*,#200672,.F.); #280818=ORIENTED_EDGE('',*,*,#200675,.F.); #280819=ORIENTED_EDGE('',*,*,#200678,.F.); #280820=ORIENTED_EDGE('',*,*,#200681,.F.); #280821=ORIENTED_EDGE('',*,*,#200684,.F.); #280822=ORIENTED_EDGE('',*,*,#200687,.F.); #280823=ORIENTED_EDGE('',*,*,#200690,.F.); #280824=ORIENTED_EDGE('',*,*,#200693,.F.); #280825=ORIENTED_EDGE('',*,*,#200696,.F.); #280826=ORIENTED_EDGE('',*,*,#200699,.F.); #280827=ORIENTED_EDGE('',*,*,#200702,.F.); #280828=ORIENTED_EDGE('',*,*,#200705,.F.); #280829=ORIENTED_EDGE('',*,*,#200708,.F.); #280830=ORIENTED_EDGE('',*,*,#200711,.F.); #280831=ORIENTED_EDGE('',*,*,#200714,.F.); #280832=ORIENTED_EDGE('',*,*,#200717,.F.); #280833=ORIENTED_EDGE('',*,*,#200720,.F.); #280834=ORIENTED_EDGE('',*,*,#200723,.F.); #280835=ORIENTED_EDGE('',*,*,#200726,.F.); #280836=ORIENTED_EDGE('',*,*,#200729,.F.); #280837=ORIENTED_EDGE('',*,*,#200732,.F.); #280838=ORIENTED_EDGE('',*,*,#200735,.F.); #280839=ORIENTED_EDGE('',*,*,#200738,.F.); #280840=ORIENTED_EDGE('',*,*,#200741,.F.); #280841=ORIENTED_EDGE('',*,*,#200744,.F.); #280842=ORIENTED_EDGE('',*,*,#200747,.F.); #280843=ORIENTED_EDGE('',*,*,#200750,.F.); #280844=ORIENTED_EDGE('',*,*,#200753,.F.); #280845=ORIENTED_EDGE('',*,*,#200756,.F.); #280846=ORIENTED_EDGE('',*,*,#200759,.F.); #280847=ORIENTED_EDGE('',*,*,#200762,.F.); #280848=ORIENTED_EDGE('',*,*,#200765,.F.); #280849=ORIENTED_EDGE('',*,*,#200768,.F.); #280850=ORIENTED_EDGE('',*,*,#200771,.F.); #280851=ORIENTED_EDGE('',*,*,#200774,.F.); #280852=ORIENTED_EDGE('',*,*,#200777,.F.); #280853=ORIENTED_EDGE('',*,*,#200780,.F.); #280854=ORIENTED_EDGE('',*,*,#200783,.F.); #280855=ORIENTED_EDGE('',*,*,#200786,.F.); #280856=ORIENTED_EDGE('',*,*,#200789,.F.); #280857=ORIENTED_EDGE('',*,*,#200792,.F.); #280858=ORIENTED_EDGE('',*,*,#200795,.F.); #280859=ORIENTED_EDGE('',*,*,#200798,.F.); #280860=ORIENTED_EDGE('',*,*,#200801,.F.); #280861=ORIENTED_EDGE('',*,*,#200804,.F.); #280862=ORIENTED_EDGE('',*,*,#200807,.F.); #280863=ORIENTED_EDGE('',*,*,#200810,.F.); #280864=ORIENTED_EDGE('',*,*,#200813,.F.); #280865=ORIENTED_EDGE('',*,*,#200816,.F.); #280866=ORIENTED_EDGE('',*,*,#200819,.F.); #280867=ORIENTED_EDGE('',*,*,#200822,.F.); #280868=ORIENTED_EDGE('',*,*,#200825,.F.); #280869=ORIENTED_EDGE('',*,*,#200828,.F.); #280870=ORIENTED_EDGE('',*,*,#200831,.F.); #280871=ORIENTED_EDGE('',*,*,#200834,.F.); #280872=ORIENTED_EDGE('',*,*,#200837,.F.); #280873=ORIENTED_EDGE('',*,*,#200840,.F.); #280874=ORIENTED_EDGE('',*,*,#200843,.F.); #280875=ORIENTED_EDGE('',*,*,#200846,.F.); #280876=ORIENTED_EDGE('',*,*,#200849,.F.); #280877=ORIENTED_EDGE('',*,*,#200852,.F.); #280878=ORIENTED_EDGE('',*,*,#200855,.F.); #280879=ORIENTED_EDGE('',*,*,#200858,.F.); #280880=ORIENTED_EDGE('',*,*,#200861,.F.); #280881=ORIENTED_EDGE('',*,*,#200864,.F.); #280882=ORIENTED_EDGE('',*,*,#200867,.F.); #280883=ORIENTED_EDGE('',*,*,#200870,.F.); #280884=ORIENTED_EDGE('',*,*,#200873,.F.); #280885=ORIENTED_EDGE('',*,*,#200876,.F.); #280886=ORIENTED_EDGE('',*,*,#200879,.F.); #280887=ORIENTED_EDGE('',*,*,#200882,.F.); #280888=ORIENTED_EDGE('',*,*,#200885,.F.); #280889=ORIENTED_EDGE('',*,*,#200888,.F.); #280890=ORIENTED_EDGE('',*,*,#200891,.F.); #280891=ORIENTED_EDGE('',*,*,#200894,.F.); #280892=ORIENTED_EDGE('',*,*,#200897,.F.); #280893=ORIENTED_EDGE('',*,*,#200900,.F.); #280894=ORIENTED_EDGE('',*,*,#200903,.F.); #280895=ORIENTED_EDGE('',*,*,#200906,.F.); #280896=ORIENTED_EDGE('',*,*,#200909,.F.); #280897=ORIENTED_EDGE('',*,*,#200912,.F.); #280898=ORIENTED_EDGE('',*,*,#200915,.F.); #280899=ORIENTED_EDGE('',*,*,#200918,.F.); #280900=ORIENTED_EDGE('',*,*,#200921,.F.); #280901=ORIENTED_EDGE('',*,*,#200924,.F.); #280902=ORIENTED_EDGE('',*,*,#200927,.F.); #280903=ORIENTED_EDGE('',*,*,#200930,.F.); #280904=ORIENTED_EDGE('',*,*,#200933,.F.); #280905=ORIENTED_EDGE('',*,*,#200936,.F.); #280906=ORIENTED_EDGE('',*,*,#200939,.F.); #280907=ORIENTED_EDGE('',*,*,#200942,.F.); #280908=ORIENTED_EDGE('',*,*,#200945,.F.); #280909=ORIENTED_EDGE('',*,*,#200948,.F.); #280910=ORIENTED_EDGE('',*,*,#200951,.F.); #280911=ORIENTED_EDGE('',*,*,#200954,.F.); #280912=ORIENTED_EDGE('',*,*,#200957,.F.); #280913=ORIENTED_EDGE('',*,*,#200960,.F.); #280914=ORIENTED_EDGE('',*,*,#200963,.F.); #280915=ORIENTED_EDGE('',*,*,#200966,.F.); #280916=ORIENTED_EDGE('',*,*,#200969,.F.); #280917=ORIENTED_EDGE('',*,*,#200972,.F.); #280918=ORIENTED_EDGE('',*,*,#200975,.F.); #280919=ORIENTED_EDGE('',*,*,#200978,.F.); #280920=ORIENTED_EDGE('',*,*,#200981,.F.); #280921=ORIENTED_EDGE('',*,*,#200984,.F.); #280922=ORIENTED_EDGE('',*,*,#200987,.F.); #280923=ORIENTED_EDGE('',*,*,#200990,.F.); #280924=ORIENTED_EDGE('',*,*,#200993,.F.); #280925=ORIENTED_EDGE('',*,*,#200996,.F.); #280926=ORIENTED_EDGE('',*,*,#200999,.F.); #280927=ORIENTED_EDGE('',*,*,#201002,.F.); #280928=ORIENTED_EDGE('',*,*,#201005,.F.); #280929=ORIENTED_EDGE('',*,*,#201008,.F.); #280930=ORIENTED_EDGE('',*,*,#201011,.F.); #280931=ORIENTED_EDGE('',*,*,#201014,.F.); #280932=ORIENTED_EDGE('',*,*,#201017,.F.); #280933=ORIENTED_EDGE('',*,*,#201020,.F.); #280934=ORIENTED_EDGE('',*,*,#201023,.F.); #280935=ORIENTED_EDGE('',*,*,#201026,.F.); #280936=ORIENTED_EDGE('',*,*,#201029,.F.); #280937=ORIENTED_EDGE('',*,*,#201032,.F.); #280938=ORIENTED_EDGE('',*,*,#201035,.F.); #280939=ORIENTED_EDGE('',*,*,#201038,.F.); #280940=ORIENTED_EDGE('',*,*,#204973,.T.); #280941=ORIENTED_EDGE('',*,*,#204974,.T.); #280942=ORIENTED_EDGE('',*,*,#204975,.F.); #280943=ORIENTED_EDGE('',*,*,#204976,.F.); #280944=ORIENTED_EDGE('',*,*,#204977,.T.); #280945=ORIENTED_EDGE('',*,*,#204976,.T.); #280946=ORIENTED_EDGE('',*,*,#204978,.F.); #280947=ORIENTED_EDGE('',*,*,#204979,.F.); #280948=ORIENTED_EDGE('',*,*,#204980,.T.); #280949=ORIENTED_EDGE('',*,*,#204979,.T.); #280950=ORIENTED_EDGE('',*,*,#204981,.F.); #280951=ORIENTED_EDGE('',*,*,#204982,.F.); #280952=ORIENTED_EDGE('',*,*,#204983,.T.); #280953=ORIENTED_EDGE('',*,*,#204982,.T.); #280954=ORIENTED_EDGE('',*,*,#204984,.F.); #280955=ORIENTED_EDGE('',*,*,#204985,.F.); #280956=ORIENTED_EDGE('',*,*,#204986,.T.); #280957=ORIENTED_EDGE('',*,*,#204985,.T.); #280958=ORIENTED_EDGE('',*,*,#204987,.F.); #280959=ORIENTED_EDGE('',*,*,#204988,.F.); #280960=ORIENTED_EDGE('',*,*,#204989,.T.); #280961=ORIENTED_EDGE('',*,*,#204988,.T.); #280962=ORIENTED_EDGE('',*,*,#204990,.F.); #280963=ORIENTED_EDGE('',*,*,#204991,.F.); #280964=ORIENTED_EDGE('',*,*,#204992,.T.); #280965=ORIENTED_EDGE('',*,*,#204991,.T.); #280966=ORIENTED_EDGE('',*,*,#204993,.F.); #280967=ORIENTED_EDGE('',*,*,#204994,.F.); #280968=ORIENTED_EDGE('',*,*,#204995,.T.); #280969=ORIENTED_EDGE('',*,*,#204994,.T.); #280970=ORIENTED_EDGE('',*,*,#204996,.F.); #280971=ORIENTED_EDGE('',*,*,#204997,.F.); #280972=ORIENTED_EDGE('',*,*,#204998,.T.); #280973=ORIENTED_EDGE('',*,*,#204997,.T.); #280974=ORIENTED_EDGE('',*,*,#204999,.F.); #280975=ORIENTED_EDGE('',*,*,#205000,.F.); #280976=ORIENTED_EDGE('',*,*,#205001,.T.); #280977=ORIENTED_EDGE('',*,*,#205000,.T.); #280978=ORIENTED_EDGE('',*,*,#205002,.F.); #280979=ORIENTED_EDGE('',*,*,#205003,.F.); #280980=ORIENTED_EDGE('',*,*,#205004,.T.); #280981=ORIENTED_EDGE('',*,*,#205003,.T.); #280982=ORIENTED_EDGE('',*,*,#205005,.F.); #280983=ORIENTED_EDGE('',*,*,#205006,.F.); #280984=ORIENTED_EDGE('',*,*,#205007,.T.); #280985=ORIENTED_EDGE('',*,*,#205006,.T.); #280986=ORIENTED_EDGE('',*,*,#205008,.F.); #280987=ORIENTED_EDGE('',*,*,#205009,.F.); #280988=ORIENTED_EDGE('',*,*,#205010,.T.); #280989=ORIENTED_EDGE('',*,*,#205009,.T.); #280990=ORIENTED_EDGE('',*,*,#205011,.F.); #280991=ORIENTED_EDGE('',*,*,#205012,.F.); #280992=ORIENTED_EDGE('',*,*,#205013,.T.); #280993=ORIENTED_EDGE('',*,*,#205012,.T.); #280994=ORIENTED_EDGE('',*,*,#205014,.F.); #280995=ORIENTED_EDGE('',*,*,#205015,.F.); #280996=ORIENTED_EDGE('',*,*,#205016,.T.); #280997=ORIENTED_EDGE('',*,*,#205015,.T.); #280998=ORIENTED_EDGE('',*,*,#205017,.F.); #280999=ORIENTED_EDGE('',*,*,#205018,.F.); #281000=ORIENTED_EDGE('',*,*,#205019,.T.); #281001=ORIENTED_EDGE('',*,*,#205018,.T.); #281002=ORIENTED_EDGE('',*,*,#205020,.F.); #281003=ORIENTED_EDGE('',*,*,#205021,.F.); #281004=ORIENTED_EDGE('',*,*,#205022,.T.); #281005=ORIENTED_EDGE('',*,*,#205021,.T.); #281006=ORIENTED_EDGE('',*,*,#205023,.F.); #281007=ORIENTED_EDGE('',*,*,#205024,.F.); #281008=ORIENTED_EDGE('',*,*,#205025,.T.); #281009=ORIENTED_EDGE('',*,*,#205024,.T.); #281010=ORIENTED_EDGE('',*,*,#205026,.F.); #281011=ORIENTED_EDGE('',*,*,#205027,.F.); #281012=ORIENTED_EDGE('',*,*,#205028,.T.); #281013=ORIENTED_EDGE('',*,*,#205027,.T.); #281014=ORIENTED_EDGE('',*,*,#205029,.F.); #281015=ORIENTED_EDGE('',*,*,#205030,.F.); #281016=ORIENTED_EDGE('',*,*,#205031,.T.); #281017=ORIENTED_EDGE('',*,*,#205030,.T.); #281018=ORIENTED_EDGE('',*,*,#205032,.F.); #281019=ORIENTED_EDGE('',*,*,#205033,.F.); #281020=ORIENTED_EDGE('',*,*,#205034,.T.); #281021=ORIENTED_EDGE('',*,*,#205033,.T.); #281022=ORIENTED_EDGE('',*,*,#205035,.F.); #281023=ORIENTED_EDGE('',*,*,#205036,.F.); #281024=ORIENTED_EDGE('',*,*,#205037,.T.); #281025=ORIENTED_EDGE('',*,*,#205036,.T.); #281026=ORIENTED_EDGE('',*,*,#205038,.F.); #281027=ORIENTED_EDGE('',*,*,#205039,.F.); #281028=ORIENTED_EDGE('',*,*,#205040,.T.); #281029=ORIENTED_EDGE('',*,*,#205039,.T.); #281030=ORIENTED_EDGE('',*,*,#205041,.F.); #281031=ORIENTED_EDGE('',*,*,#205042,.F.); #281032=ORIENTED_EDGE('',*,*,#205043,.T.); #281033=ORIENTED_EDGE('',*,*,#205042,.T.); #281034=ORIENTED_EDGE('',*,*,#205044,.F.); #281035=ORIENTED_EDGE('',*,*,#205045,.F.); #281036=ORIENTED_EDGE('',*,*,#205046,.T.); #281037=ORIENTED_EDGE('',*,*,#205045,.T.); #281038=ORIENTED_EDGE('',*,*,#205047,.F.); #281039=ORIENTED_EDGE('',*,*,#205048,.F.); #281040=ORIENTED_EDGE('',*,*,#205049,.T.); #281041=ORIENTED_EDGE('',*,*,#205048,.T.); #281042=ORIENTED_EDGE('',*,*,#205050,.F.); #281043=ORIENTED_EDGE('',*,*,#205051,.F.); #281044=ORIENTED_EDGE('',*,*,#205052,.T.); #281045=ORIENTED_EDGE('',*,*,#205051,.T.); #281046=ORIENTED_EDGE('',*,*,#205053,.F.); #281047=ORIENTED_EDGE('',*,*,#205054,.F.); #281048=ORIENTED_EDGE('',*,*,#205055,.T.); #281049=ORIENTED_EDGE('',*,*,#205054,.T.); #281050=ORIENTED_EDGE('',*,*,#205056,.F.); #281051=ORIENTED_EDGE('',*,*,#205057,.F.); #281052=ORIENTED_EDGE('',*,*,#205058,.T.); #281053=ORIENTED_EDGE('',*,*,#205057,.T.); #281054=ORIENTED_EDGE('',*,*,#205059,.F.); #281055=ORIENTED_EDGE('',*,*,#205060,.F.); #281056=ORIENTED_EDGE('',*,*,#205061,.T.); #281057=ORIENTED_EDGE('',*,*,#205060,.T.); #281058=ORIENTED_EDGE('',*,*,#205062,.F.); #281059=ORIENTED_EDGE('',*,*,#205063,.F.); #281060=ORIENTED_EDGE('',*,*,#205064,.T.); #281061=ORIENTED_EDGE('',*,*,#205063,.T.); #281062=ORIENTED_EDGE('',*,*,#205065,.F.); #281063=ORIENTED_EDGE('',*,*,#205066,.F.); #281064=ORIENTED_EDGE('',*,*,#205067,.T.); #281065=ORIENTED_EDGE('',*,*,#205066,.T.); #281066=ORIENTED_EDGE('',*,*,#205068,.F.); #281067=ORIENTED_EDGE('',*,*,#204974,.F.); #281068=ORIENTED_EDGE('',*,*,#205068,.T.); #281069=ORIENTED_EDGE('',*,*,#205065,.T.); #281070=ORIENTED_EDGE('',*,*,#205062,.T.); #281071=ORIENTED_EDGE('',*,*,#205059,.T.); #281072=ORIENTED_EDGE('',*,*,#205056,.T.); #281073=ORIENTED_EDGE('',*,*,#205053,.T.); #281074=ORIENTED_EDGE('',*,*,#205050,.T.); #281075=ORIENTED_EDGE('',*,*,#205047,.T.); #281076=ORIENTED_EDGE('',*,*,#205044,.T.); #281077=ORIENTED_EDGE('',*,*,#205041,.T.); #281078=ORIENTED_EDGE('',*,*,#205038,.T.); #281079=ORIENTED_EDGE('',*,*,#205035,.T.); #281080=ORIENTED_EDGE('',*,*,#205032,.T.); #281081=ORIENTED_EDGE('',*,*,#205029,.T.); #281082=ORIENTED_EDGE('',*,*,#205026,.T.); #281083=ORIENTED_EDGE('',*,*,#205023,.T.); #281084=ORIENTED_EDGE('',*,*,#205020,.T.); #281085=ORIENTED_EDGE('',*,*,#205017,.T.); #281086=ORIENTED_EDGE('',*,*,#205014,.T.); #281087=ORIENTED_EDGE('',*,*,#205011,.T.); #281088=ORIENTED_EDGE('',*,*,#205008,.T.); #281089=ORIENTED_EDGE('',*,*,#205005,.T.); #281090=ORIENTED_EDGE('',*,*,#205002,.T.); #281091=ORIENTED_EDGE('',*,*,#204999,.T.); #281092=ORIENTED_EDGE('',*,*,#204996,.T.); #281093=ORIENTED_EDGE('',*,*,#204993,.T.); #281094=ORIENTED_EDGE('',*,*,#204990,.T.); #281095=ORIENTED_EDGE('',*,*,#204987,.T.); #281096=ORIENTED_EDGE('',*,*,#204984,.T.); #281097=ORIENTED_EDGE('',*,*,#204981,.T.); #281098=ORIENTED_EDGE('',*,*,#204978,.T.); #281099=ORIENTED_EDGE('',*,*,#204975,.T.); #281100=ORIENTED_EDGE('',*,*,#205067,.F.); #281101=ORIENTED_EDGE('',*,*,#204973,.F.); #281102=ORIENTED_EDGE('',*,*,#204977,.F.); #281103=ORIENTED_EDGE('',*,*,#204980,.F.); #281104=ORIENTED_EDGE('',*,*,#204983,.F.); #281105=ORIENTED_EDGE('',*,*,#204986,.F.); #281106=ORIENTED_EDGE('',*,*,#204989,.F.); #281107=ORIENTED_EDGE('',*,*,#204992,.F.); #281108=ORIENTED_EDGE('',*,*,#204995,.F.); #281109=ORIENTED_EDGE('',*,*,#204998,.F.); #281110=ORIENTED_EDGE('',*,*,#205001,.F.); #281111=ORIENTED_EDGE('',*,*,#205004,.F.); #281112=ORIENTED_EDGE('',*,*,#205007,.F.); #281113=ORIENTED_EDGE('',*,*,#205010,.F.); #281114=ORIENTED_EDGE('',*,*,#205013,.F.); #281115=ORIENTED_EDGE('',*,*,#205016,.F.); #281116=ORIENTED_EDGE('',*,*,#205019,.F.); #281117=ORIENTED_EDGE('',*,*,#205022,.F.); #281118=ORIENTED_EDGE('',*,*,#205025,.F.); #281119=ORIENTED_EDGE('',*,*,#205028,.F.); #281120=ORIENTED_EDGE('',*,*,#205031,.F.); #281121=ORIENTED_EDGE('',*,*,#205034,.F.); #281122=ORIENTED_EDGE('',*,*,#205037,.F.); #281123=ORIENTED_EDGE('',*,*,#205040,.F.); #281124=ORIENTED_EDGE('',*,*,#205043,.F.); #281125=ORIENTED_EDGE('',*,*,#205046,.F.); #281126=ORIENTED_EDGE('',*,*,#205049,.F.); #281127=ORIENTED_EDGE('',*,*,#205052,.F.); #281128=ORIENTED_EDGE('',*,*,#205055,.F.); #281129=ORIENTED_EDGE('',*,*,#205058,.F.); #281130=ORIENTED_EDGE('',*,*,#205061,.F.); #281131=ORIENTED_EDGE('',*,*,#205064,.F.); #281132=ORIENTED_EDGE('',*,*,#205069,.T.); #281133=ORIENTED_EDGE('',*,*,#205070,.T.); #281134=ORIENTED_EDGE('',*,*,#205071,.F.); #281135=ORIENTED_EDGE('',*,*,#205072,.F.); #281136=ORIENTED_EDGE('',*,*,#205073,.T.); #281137=ORIENTED_EDGE('',*,*,#205072,.T.); #281138=ORIENTED_EDGE('',*,*,#205074,.F.); #281139=ORIENTED_EDGE('',*,*,#205075,.F.); #281140=ORIENTED_EDGE('',*,*,#205076,.T.); #281141=ORIENTED_EDGE('',*,*,#205075,.T.); #281142=ORIENTED_EDGE('',*,*,#205077,.F.); #281143=ORIENTED_EDGE('',*,*,#205078,.F.); #281144=ORIENTED_EDGE('',*,*,#205079,.T.); #281145=ORIENTED_EDGE('',*,*,#205078,.T.); #281146=ORIENTED_EDGE('',*,*,#205080,.F.); #281147=ORIENTED_EDGE('',*,*,#205081,.F.); #281148=ORIENTED_EDGE('',*,*,#205082,.T.); #281149=ORIENTED_EDGE('',*,*,#205081,.T.); #281150=ORIENTED_EDGE('',*,*,#205083,.F.); #281151=ORIENTED_EDGE('',*,*,#205084,.F.); #281152=ORIENTED_EDGE('',*,*,#205085,.T.); #281153=ORIENTED_EDGE('',*,*,#205084,.T.); #281154=ORIENTED_EDGE('',*,*,#205086,.F.); #281155=ORIENTED_EDGE('',*,*,#205087,.F.); #281156=ORIENTED_EDGE('',*,*,#205088,.T.); #281157=ORIENTED_EDGE('',*,*,#205087,.T.); #281158=ORIENTED_EDGE('',*,*,#205089,.F.); #281159=ORIENTED_EDGE('',*,*,#205090,.F.); #281160=ORIENTED_EDGE('',*,*,#205091,.T.); #281161=ORIENTED_EDGE('',*,*,#205090,.T.); #281162=ORIENTED_EDGE('',*,*,#205092,.F.); #281163=ORIENTED_EDGE('',*,*,#205093,.F.); #281164=ORIENTED_EDGE('',*,*,#205094,.T.); #281165=ORIENTED_EDGE('',*,*,#205093,.T.); #281166=ORIENTED_EDGE('',*,*,#205095,.F.); #281167=ORIENTED_EDGE('',*,*,#205096,.F.); #281168=ORIENTED_EDGE('',*,*,#205097,.T.); #281169=ORIENTED_EDGE('',*,*,#205096,.T.); #281170=ORIENTED_EDGE('',*,*,#205098,.F.); #281171=ORIENTED_EDGE('',*,*,#205099,.F.); #281172=ORIENTED_EDGE('',*,*,#205100,.T.); #281173=ORIENTED_EDGE('',*,*,#205099,.T.); #281174=ORIENTED_EDGE('',*,*,#205101,.F.); #281175=ORIENTED_EDGE('',*,*,#205102,.F.); #281176=ORIENTED_EDGE('',*,*,#205103,.T.); #281177=ORIENTED_EDGE('',*,*,#205102,.T.); #281178=ORIENTED_EDGE('',*,*,#205104,.F.); #281179=ORIENTED_EDGE('',*,*,#205105,.F.); #281180=ORIENTED_EDGE('',*,*,#205106,.T.); #281181=ORIENTED_EDGE('',*,*,#205105,.T.); #281182=ORIENTED_EDGE('',*,*,#205107,.F.); #281183=ORIENTED_EDGE('',*,*,#205108,.F.); #281184=ORIENTED_EDGE('',*,*,#205109,.T.); #281185=ORIENTED_EDGE('',*,*,#205108,.T.); #281186=ORIENTED_EDGE('',*,*,#205110,.F.); #281187=ORIENTED_EDGE('',*,*,#205111,.F.); #281188=ORIENTED_EDGE('',*,*,#205112,.T.); #281189=ORIENTED_EDGE('',*,*,#205111,.T.); #281190=ORIENTED_EDGE('',*,*,#205113,.F.); #281191=ORIENTED_EDGE('',*,*,#205114,.F.); #281192=ORIENTED_EDGE('',*,*,#205115,.T.); #281193=ORIENTED_EDGE('',*,*,#205114,.T.); #281194=ORIENTED_EDGE('',*,*,#205116,.F.); #281195=ORIENTED_EDGE('',*,*,#205117,.F.); #281196=ORIENTED_EDGE('',*,*,#205118,.T.); #281197=ORIENTED_EDGE('',*,*,#205117,.T.); #281198=ORIENTED_EDGE('',*,*,#205119,.F.); #281199=ORIENTED_EDGE('',*,*,#205120,.F.); #281200=ORIENTED_EDGE('',*,*,#205121,.T.); #281201=ORIENTED_EDGE('',*,*,#205120,.T.); #281202=ORIENTED_EDGE('',*,*,#205122,.F.); #281203=ORIENTED_EDGE('',*,*,#205123,.F.); #281204=ORIENTED_EDGE('',*,*,#205124,.T.); #281205=ORIENTED_EDGE('',*,*,#205123,.T.); #281206=ORIENTED_EDGE('',*,*,#205125,.F.); #281207=ORIENTED_EDGE('',*,*,#205126,.F.); #281208=ORIENTED_EDGE('',*,*,#205127,.T.); #281209=ORIENTED_EDGE('',*,*,#205126,.T.); #281210=ORIENTED_EDGE('',*,*,#205128,.F.); #281211=ORIENTED_EDGE('',*,*,#205129,.F.); #281212=ORIENTED_EDGE('',*,*,#205130,.T.); #281213=ORIENTED_EDGE('',*,*,#205129,.T.); #281214=ORIENTED_EDGE('',*,*,#205131,.F.); #281215=ORIENTED_EDGE('',*,*,#205070,.F.); #281216=ORIENTED_EDGE('',*,*,#205131,.T.); #281217=ORIENTED_EDGE('',*,*,#205128,.T.); #281218=ORIENTED_EDGE('',*,*,#205125,.T.); #281219=ORIENTED_EDGE('',*,*,#205122,.T.); #281220=ORIENTED_EDGE('',*,*,#205119,.T.); #281221=ORIENTED_EDGE('',*,*,#205116,.T.); #281222=ORIENTED_EDGE('',*,*,#205113,.T.); #281223=ORIENTED_EDGE('',*,*,#205110,.T.); #281224=ORIENTED_EDGE('',*,*,#205107,.T.); #281225=ORIENTED_EDGE('',*,*,#205104,.T.); #281226=ORIENTED_EDGE('',*,*,#205101,.T.); #281227=ORIENTED_EDGE('',*,*,#205098,.T.); #281228=ORIENTED_EDGE('',*,*,#205095,.T.); #281229=ORIENTED_EDGE('',*,*,#205092,.T.); #281230=ORIENTED_EDGE('',*,*,#205089,.T.); #281231=ORIENTED_EDGE('',*,*,#205086,.T.); #281232=ORIENTED_EDGE('',*,*,#205083,.T.); #281233=ORIENTED_EDGE('',*,*,#205080,.T.); #281234=ORIENTED_EDGE('',*,*,#205077,.T.); #281235=ORIENTED_EDGE('',*,*,#205074,.T.); #281236=ORIENTED_EDGE('',*,*,#205071,.T.); #281237=ORIENTED_EDGE('',*,*,#205130,.F.); #281238=ORIENTED_EDGE('',*,*,#205069,.F.); #281239=ORIENTED_EDGE('',*,*,#205073,.F.); #281240=ORIENTED_EDGE('',*,*,#205076,.F.); #281241=ORIENTED_EDGE('',*,*,#205079,.F.); #281242=ORIENTED_EDGE('',*,*,#205082,.F.); #281243=ORIENTED_EDGE('',*,*,#205085,.F.); #281244=ORIENTED_EDGE('',*,*,#205088,.F.); #281245=ORIENTED_EDGE('',*,*,#205091,.F.); #281246=ORIENTED_EDGE('',*,*,#205094,.F.); #281247=ORIENTED_EDGE('',*,*,#205097,.F.); #281248=ORIENTED_EDGE('',*,*,#205100,.F.); #281249=ORIENTED_EDGE('',*,*,#205103,.F.); #281250=ORIENTED_EDGE('',*,*,#205106,.F.); #281251=ORIENTED_EDGE('',*,*,#205109,.F.); #281252=ORIENTED_EDGE('',*,*,#205112,.F.); #281253=ORIENTED_EDGE('',*,*,#205115,.F.); #281254=ORIENTED_EDGE('',*,*,#205118,.F.); #281255=ORIENTED_EDGE('',*,*,#205121,.F.); #281256=ORIENTED_EDGE('',*,*,#205124,.F.); #281257=ORIENTED_EDGE('',*,*,#205127,.F.); #281258=ORIENTED_EDGE('',*,*,#205132,.F.); #281259=ORIENTED_EDGE('',*,*,#205133,.F.); #281260=ORIENTED_EDGE('',*,*,#205134,.F.); #281261=ORIENTED_EDGE('',*,*,#205135,.T.); #281262=ORIENTED_EDGE('',*,*,#205136,.F.); #281263=ORIENTED_EDGE('',*,*,#205135,.F.); #281264=ORIENTED_EDGE('',*,*,#205137,.F.); #281265=ORIENTED_EDGE('',*,*,#205138,.T.); #281266=ORIENTED_EDGE('',*,*,#205139,.F.); #281267=ORIENTED_EDGE('',*,*,#205138,.F.); #281268=ORIENTED_EDGE('',*,*,#205140,.F.); #281269=ORIENTED_EDGE('',*,*,#205141,.T.); #281270=ORIENTED_EDGE('',*,*,#205142,.F.); #281271=ORIENTED_EDGE('',*,*,#205141,.F.); #281272=ORIENTED_EDGE('',*,*,#205143,.F.); #281273=ORIENTED_EDGE('',*,*,#205133,.T.); #281274=ORIENTED_EDGE('',*,*,#205144,.T.); #281275=ORIENTED_EDGE('',*,*,#205145,.T.); #281276=ORIENTED_EDGE('',*,*,#205146,.F.); #281277=ORIENTED_EDGE('',*,*,#205147,.F.); #281278=ORIENTED_EDGE('',*,*,#205148,.T.); #281279=ORIENTED_EDGE('',*,*,#205147,.T.); #281280=ORIENTED_EDGE('',*,*,#205149,.F.); #281281=ORIENTED_EDGE('',*,*,#205150,.F.); #281282=ORIENTED_EDGE('',*,*,#205151,.T.); #281283=ORIENTED_EDGE('',*,*,#205150,.T.); #281284=ORIENTED_EDGE('',*,*,#205152,.F.); #281285=ORIENTED_EDGE('',*,*,#205153,.F.); #281286=ORIENTED_EDGE('',*,*,#205154,.T.); #281287=ORIENTED_EDGE('',*,*,#205153,.T.); #281288=ORIENTED_EDGE('',*,*,#205155,.F.); #281289=ORIENTED_EDGE('',*,*,#205156,.F.); #281290=ORIENTED_EDGE('',*,*,#205157,.T.); #281291=ORIENTED_EDGE('',*,*,#205156,.T.); #281292=ORIENTED_EDGE('',*,*,#205158,.F.); #281293=ORIENTED_EDGE('',*,*,#205159,.F.); #281294=ORIENTED_EDGE('',*,*,#205160,.T.); #281295=ORIENTED_EDGE('',*,*,#205159,.T.); #281296=ORIENTED_EDGE('',*,*,#205161,.F.); #281297=ORIENTED_EDGE('',*,*,#205162,.F.); #281298=ORIENTED_EDGE('',*,*,#205163,.T.); #281299=ORIENTED_EDGE('',*,*,#205162,.T.); #281300=ORIENTED_EDGE('',*,*,#205164,.F.); #281301=ORIENTED_EDGE('',*,*,#205165,.F.); #281302=ORIENTED_EDGE('',*,*,#205166,.T.); #281303=ORIENTED_EDGE('',*,*,#205165,.T.); #281304=ORIENTED_EDGE('',*,*,#205167,.F.); #281305=ORIENTED_EDGE('',*,*,#205168,.F.); #281306=ORIENTED_EDGE('',*,*,#205169,.T.); #281307=ORIENTED_EDGE('',*,*,#205168,.T.); #281308=ORIENTED_EDGE('',*,*,#205170,.F.); #281309=ORIENTED_EDGE('',*,*,#205171,.F.); #281310=ORIENTED_EDGE('',*,*,#205172,.T.); #281311=ORIENTED_EDGE('',*,*,#205171,.T.); #281312=ORIENTED_EDGE('',*,*,#205173,.F.); #281313=ORIENTED_EDGE('',*,*,#205174,.F.); #281314=ORIENTED_EDGE('',*,*,#205175,.T.); #281315=ORIENTED_EDGE('',*,*,#205174,.T.); #281316=ORIENTED_EDGE('',*,*,#205176,.F.); #281317=ORIENTED_EDGE('',*,*,#205177,.F.); #281318=ORIENTED_EDGE('',*,*,#205178,.T.); #281319=ORIENTED_EDGE('',*,*,#205177,.T.); #281320=ORIENTED_EDGE('',*,*,#205179,.F.); #281321=ORIENTED_EDGE('',*,*,#205180,.F.); #281322=ORIENTED_EDGE('',*,*,#205181,.T.); #281323=ORIENTED_EDGE('',*,*,#205180,.T.); #281324=ORIENTED_EDGE('',*,*,#205182,.F.); #281325=ORIENTED_EDGE('',*,*,#205183,.F.); #281326=ORIENTED_EDGE('',*,*,#205184,.T.); #281327=ORIENTED_EDGE('',*,*,#205183,.T.); #281328=ORIENTED_EDGE('',*,*,#205185,.F.); #281329=ORIENTED_EDGE('',*,*,#205186,.F.); #281330=ORIENTED_EDGE('',*,*,#205187,.T.); #281331=ORIENTED_EDGE('',*,*,#205186,.T.); #281332=ORIENTED_EDGE('',*,*,#205188,.F.); #281333=ORIENTED_EDGE('',*,*,#205189,.F.); #281334=ORIENTED_EDGE('',*,*,#205190,.T.); #281335=ORIENTED_EDGE('',*,*,#205189,.T.); #281336=ORIENTED_EDGE('',*,*,#205191,.F.); #281337=ORIENTED_EDGE('',*,*,#205192,.F.); #281338=ORIENTED_EDGE('',*,*,#205193,.T.); #281339=ORIENTED_EDGE('',*,*,#205192,.T.); #281340=ORIENTED_EDGE('',*,*,#205194,.F.); #281341=ORIENTED_EDGE('',*,*,#205195,.F.); #281342=ORIENTED_EDGE('',*,*,#205196,.T.); #281343=ORIENTED_EDGE('',*,*,#205195,.T.); #281344=ORIENTED_EDGE('',*,*,#205197,.F.); #281345=ORIENTED_EDGE('',*,*,#205198,.F.); #281346=ORIENTED_EDGE('',*,*,#205199,.T.); #281347=ORIENTED_EDGE('',*,*,#205198,.T.); #281348=ORIENTED_EDGE('',*,*,#205200,.F.); #281349=ORIENTED_EDGE('',*,*,#205201,.F.); #281350=ORIENTED_EDGE('',*,*,#205202,.T.); #281351=ORIENTED_EDGE('',*,*,#205201,.T.); #281352=ORIENTED_EDGE('',*,*,#205203,.F.); #281353=ORIENTED_EDGE('',*,*,#205204,.F.); #281354=ORIENTED_EDGE('',*,*,#205205,.T.); #281355=ORIENTED_EDGE('',*,*,#205204,.T.); #281356=ORIENTED_EDGE('',*,*,#205206,.F.); #281357=ORIENTED_EDGE('',*,*,#205207,.F.); #281358=ORIENTED_EDGE('',*,*,#205208,.T.); #281359=ORIENTED_EDGE('',*,*,#205207,.T.); #281360=ORIENTED_EDGE('',*,*,#205209,.F.); #281361=ORIENTED_EDGE('',*,*,#205210,.F.); #281362=ORIENTED_EDGE('',*,*,#205211,.T.); #281363=ORIENTED_EDGE('',*,*,#205210,.T.); #281364=ORIENTED_EDGE('',*,*,#205212,.F.); #281365=ORIENTED_EDGE('',*,*,#205213,.F.); #281366=ORIENTED_EDGE('',*,*,#205214,.T.); #281367=ORIENTED_EDGE('',*,*,#205213,.T.); #281368=ORIENTED_EDGE('',*,*,#205215,.F.); #281369=ORIENTED_EDGE('',*,*,#205216,.F.); #281370=ORIENTED_EDGE('',*,*,#205217,.T.); #281371=ORIENTED_EDGE('',*,*,#205216,.T.); #281372=ORIENTED_EDGE('',*,*,#205218,.F.); #281373=ORIENTED_EDGE('',*,*,#205219,.F.); #281374=ORIENTED_EDGE('',*,*,#205220,.T.); #281375=ORIENTED_EDGE('',*,*,#205219,.T.); #281376=ORIENTED_EDGE('',*,*,#205221,.F.); #281377=ORIENTED_EDGE('',*,*,#205222,.F.); #281378=ORIENTED_EDGE('',*,*,#205223,.T.); #281379=ORIENTED_EDGE('',*,*,#205222,.T.); #281380=ORIENTED_EDGE('',*,*,#205224,.F.); #281381=ORIENTED_EDGE('',*,*,#205225,.F.); #281382=ORIENTED_EDGE('',*,*,#205226,.T.); #281383=ORIENTED_EDGE('',*,*,#205225,.T.); #281384=ORIENTED_EDGE('',*,*,#205227,.F.); #281385=ORIENTED_EDGE('',*,*,#205228,.F.); #281386=ORIENTED_EDGE('',*,*,#205229,.T.); #281387=ORIENTED_EDGE('',*,*,#205228,.T.); #281388=ORIENTED_EDGE('',*,*,#205230,.F.); #281389=ORIENTED_EDGE('',*,*,#205231,.F.); #281390=ORIENTED_EDGE('',*,*,#205232,.T.); #281391=ORIENTED_EDGE('',*,*,#205231,.T.); #281392=ORIENTED_EDGE('',*,*,#205233,.F.); #281393=ORIENTED_EDGE('',*,*,#205234,.F.); #281394=ORIENTED_EDGE('',*,*,#205235,.T.); #281395=ORIENTED_EDGE('',*,*,#205234,.T.); #281396=ORIENTED_EDGE('',*,*,#205236,.F.); #281397=ORIENTED_EDGE('',*,*,#205237,.F.); #281398=ORIENTED_EDGE('',*,*,#205238,.T.); #281399=ORIENTED_EDGE('',*,*,#205237,.T.); #281400=ORIENTED_EDGE('',*,*,#205239,.F.); #281401=ORIENTED_EDGE('',*,*,#205240,.F.); #281402=ORIENTED_EDGE('',*,*,#205241,.T.); #281403=ORIENTED_EDGE('',*,*,#205240,.T.); #281404=ORIENTED_EDGE('',*,*,#205242,.F.); #281405=ORIENTED_EDGE('',*,*,#205243,.F.); #281406=ORIENTED_EDGE('',*,*,#205244,.T.); #281407=ORIENTED_EDGE('',*,*,#205243,.T.); #281408=ORIENTED_EDGE('',*,*,#205245,.F.); #281409=ORIENTED_EDGE('',*,*,#205246,.F.); #281410=ORIENTED_EDGE('',*,*,#205247,.T.); #281411=ORIENTED_EDGE('',*,*,#205246,.T.); #281412=ORIENTED_EDGE('',*,*,#205248,.F.); #281413=ORIENTED_EDGE('',*,*,#205249,.F.); #281414=ORIENTED_EDGE('',*,*,#205250,.T.); #281415=ORIENTED_EDGE('',*,*,#205249,.T.); #281416=ORIENTED_EDGE('',*,*,#205251,.F.); #281417=ORIENTED_EDGE('',*,*,#205252,.F.); #281418=ORIENTED_EDGE('',*,*,#205253,.T.); #281419=ORIENTED_EDGE('',*,*,#205252,.T.); #281420=ORIENTED_EDGE('',*,*,#205254,.F.); #281421=ORIENTED_EDGE('',*,*,#205255,.F.); #281422=ORIENTED_EDGE('',*,*,#205256,.T.); #281423=ORIENTED_EDGE('',*,*,#205255,.T.); #281424=ORIENTED_EDGE('',*,*,#205257,.F.); #281425=ORIENTED_EDGE('',*,*,#205258,.F.); #281426=ORIENTED_EDGE('',*,*,#205259,.T.); #281427=ORIENTED_EDGE('',*,*,#205258,.T.); #281428=ORIENTED_EDGE('',*,*,#205260,.F.); #281429=ORIENTED_EDGE('',*,*,#205261,.F.); #281430=ORIENTED_EDGE('',*,*,#205262,.T.); #281431=ORIENTED_EDGE('',*,*,#205261,.T.); #281432=ORIENTED_EDGE('',*,*,#205263,.F.); #281433=ORIENTED_EDGE('',*,*,#205264,.F.); #281434=ORIENTED_EDGE('',*,*,#205265,.T.); #281435=ORIENTED_EDGE('',*,*,#205264,.T.); #281436=ORIENTED_EDGE('',*,*,#205266,.F.); #281437=ORIENTED_EDGE('',*,*,#205267,.F.); #281438=ORIENTED_EDGE('',*,*,#205268,.T.); #281439=ORIENTED_EDGE('',*,*,#205267,.T.); #281440=ORIENTED_EDGE('',*,*,#205269,.F.); #281441=ORIENTED_EDGE('',*,*,#205270,.F.); #281442=ORIENTED_EDGE('',*,*,#205271,.T.); #281443=ORIENTED_EDGE('',*,*,#205270,.T.); #281444=ORIENTED_EDGE('',*,*,#205272,.F.); #281445=ORIENTED_EDGE('',*,*,#205273,.F.); #281446=ORIENTED_EDGE('',*,*,#205274,.T.); #281447=ORIENTED_EDGE('',*,*,#205273,.T.); #281448=ORIENTED_EDGE('',*,*,#205275,.F.); #281449=ORIENTED_EDGE('',*,*,#205276,.F.); #281450=ORIENTED_EDGE('',*,*,#205277,.T.); #281451=ORIENTED_EDGE('',*,*,#205276,.T.); #281452=ORIENTED_EDGE('',*,*,#205278,.F.); #281453=ORIENTED_EDGE('',*,*,#205279,.F.); #281454=ORIENTED_EDGE('',*,*,#205280,.T.); #281455=ORIENTED_EDGE('',*,*,#205279,.T.); #281456=ORIENTED_EDGE('',*,*,#205281,.F.); #281457=ORIENTED_EDGE('',*,*,#205282,.F.); #281458=ORIENTED_EDGE('',*,*,#205283,.T.); #281459=ORIENTED_EDGE('',*,*,#205282,.T.); #281460=ORIENTED_EDGE('',*,*,#205284,.F.); #281461=ORIENTED_EDGE('',*,*,#205285,.F.); #281462=ORIENTED_EDGE('',*,*,#205286,.T.); #281463=ORIENTED_EDGE('',*,*,#205285,.T.); #281464=ORIENTED_EDGE('',*,*,#205287,.F.); #281465=ORIENTED_EDGE('',*,*,#205288,.F.); #281466=ORIENTED_EDGE('',*,*,#205289,.T.); #281467=ORIENTED_EDGE('',*,*,#205288,.T.); #281468=ORIENTED_EDGE('',*,*,#205290,.F.); #281469=ORIENTED_EDGE('',*,*,#205291,.F.); #281470=ORIENTED_EDGE('',*,*,#205292,.T.); #281471=ORIENTED_EDGE('',*,*,#205291,.T.); #281472=ORIENTED_EDGE('',*,*,#205293,.F.); #281473=ORIENTED_EDGE('',*,*,#205294,.F.); #281474=ORIENTED_EDGE('',*,*,#205295,.T.); #281475=ORIENTED_EDGE('',*,*,#205294,.T.); #281476=ORIENTED_EDGE('',*,*,#205296,.F.); #281477=ORIENTED_EDGE('',*,*,#205297,.F.); #281478=ORIENTED_EDGE('',*,*,#205298,.T.); #281479=ORIENTED_EDGE('',*,*,#205297,.T.); #281480=ORIENTED_EDGE('',*,*,#205299,.F.); #281481=ORIENTED_EDGE('',*,*,#205300,.F.); #281482=ORIENTED_EDGE('',*,*,#205301,.T.); #281483=ORIENTED_EDGE('',*,*,#205300,.T.); #281484=ORIENTED_EDGE('',*,*,#205302,.F.); #281485=ORIENTED_EDGE('',*,*,#205303,.F.); #281486=ORIENTED_EDGE('',*,*,#205304,.T.); #281487=ORIENTED_EDGE('',*,*,#205303,.T.); #281488=ORIENTED_EDGE('',*,*,#205305,.F.); #281489=ORIENTED_EDGE('',*,*,#205306,.F.); #281490=ORIENTED_EDGE('',*,*,#205307,.T.); #281491=ORIENTED_EDGE('',*,*,#205306,.T.); #281492=ORIENTED_EDGE('',*,*,#205308,.F.); #281493=ORIENTED_EDGE('',*,*,#205309,.F.); #281494=ORIENTED_EDGE('',*,*,#205310,.T.); #281495=ORIENTED_EDGE('',*,*,#205309,.T.); #281496=ORIENTED_EDGE('',*,*,#205311,.F.); #281497=ORIENTED_EDGE('',*,*,#205312,.F.); #281498=ORIENTED_EDGE('',*,*,#205313,.T.); #281499=ORIENTED_EDGE('',*,*,#205312,.T.); #281500=ORIENTED_EDGE('',*,*,#205314,.F.); #281501=ORIENTED_EDGE('',*,*,#205315,.F.); #281502=ORIENTED_EDGE('',*,*,#205316,.T.); #281503=ORIENTED_EDGE('',*,*,#205315,.T.); #281504=ORIENTED_EDGE('',*,*,#205317,.F.); #281505=ORIENTED_EDGE('',*,*,#205318,.F.); #281506=ORIENTED_EDGE('',*,*,#205319,.T.); #281507=ORIENTED_EDGE('',*,*,#205318,.T.); #281508=ORIENTED_EDGE('',*,*,#205320,.F.); #281509=ORIENTED_EDGE('',*,*,#205321,.F.); #281510=ORIENTED_EDGE('',*,*,#205322,.T.); #281511=ORIENTED_EDGE('',*,*,#205321,.T.); #281512=ORIENTED_EDGE('',*,*,#205323,.F.); #281513=ORIENTED_EDGE('',*,*,#205324,.F.); #281514=ORIENTED_EDGE('',*,*,#205325,.T.); #281515=ORIENTED_EDGE('',*,*,#205324,.T.); #281516=ORIENTED_EDGE('',*,*,#205326,.F.); #281517=ORIENTED_EDGE('',*,*,#205327,.F.); #281518=ORIENTED_EDGE('',*,*,#205328,.T.); #281519=ORIENTED_EDGE('',*,*,#205327,.T.); #281520=ORIENTED_EDGE('',*,*,#205329,.F.); #281521=ORIENTED_EDGE('',*,*,#205330,.F.); #281522=ORIENTED_EDGE('',*,*,#205331,.T.); #281523=ORIENTED_EDGE('',*,*,#205330,.T.); #281524=ORIENTED_EDGE('',*,*,#205332,.F.); #281525=ORIENTED_EDGE('',*,*,#205333,.F.); #281526=ORIENTED_EDGE('',*,*,#205334,.T.); #281527=ORIENTED_EDGE('',*,*,#205333,.T.); #281528=ORIENTED_EDGE('',*,*,#205335,.F.); #281529=ORIENTED_EDGE('',*,*,#205336,.F.); #281530=ORIENTED_EDGE('',*,*,#205337,.T.); #281531=ORIENTED_EDGE('',*,*,#205336,.T.); #281532=ORIENTED_EDGE('',*,*,#205338,.F.); #281533=ORIENTED_EDGE('',*,*,#205339,.F.); #281534=ORIENTED_EDGE('',*,*,#205340,.T.); #281535=ORIENTED_EDGE('',*,*,#205339,.T.); #281536=ORIENTED_EDGE('',*,*,#205341,.F.); #281537=ORIENTED_EDGE('',*,*,#205342,.F.); #281538=ORIENTED_EDGE('',*,*,#205343,.T.); #281539=ORIENTED_EDGE('',*,*,#205342,.T.); #281540=ORIENTED_EDGE('',*,*,#205344,.F.); #281541=ORIENTED_EDGE('',*,*,#205345,.F.); #281542=ORIENTED_EDGE('',*,*,#205346,.T.); #281543=ORIENTED_EDGE('',*,*,#205345,.T.); #281544=ORIENTED_EDGE('',*,*,#205347,.F.); #281545=ORIENTED_EDGE('',*,*,#205348,.F.); #281546=ORIENTED_EDGE('',*,*,#205349,.T.); #281547=ORIENTED_EDGE('',*,*,#205348,.T.); #281548=ORIENTED_EDGE('',*,*,#205350,.F.); #281549=ORIENTED_EDGE('',*,*,#205351,.F.); #281550=ORIENTED_EDGE('',*,*,#205352,.T.); #281551=ORIENTED_EDGE('',*,*,#205351,.T.); #281552=ORIENTED_EDGE('',*,*,#205353,.F.); #281553=ORIENTED_EDGE('',*,*,#205354,.F.); #281554=ORIENTED_EDGE('',*,*,#205355,.T.); #281555=ORIENTED_EDGE('',*,*,#205354,.T.); #281556=ORIENTED_EDGE('',*,*,#205356,.F.); #281557=ORIENTED_EDGE('',*,*,#205357,.F.); #281558=ORIENTED_EDGE('',*,*,#205358,.T.); #281559=ORIENTED_EDGE('',*,*,#205357,.T.); #281560=ORIENTED_EDGE('',*,*,#205359,.F.); #281561=ORIENTED_EDGE('',*,*,#205145,.F.); #281562=ORIENTED_EDGE('',*,*,#205359,.T.); #281563=ORIENTED_EDGE('',*,*,#205356,.T.); #281564=ORIENTED_EDGE('',*,*,#205353,.T.); #281565=ORIENTED_EDGE('',*,*,#205350,.T.); #281566=ORIENTED_EDGE('',*,*,#205347,.T.); #281567=ORIENTED_EDGE('',*,*,#205344,.T.); #281568=ORIENTED_EDGE('',*,*,#205341,.T.); #281569=ORIENTED_EDGE('',*,*,#205338,.T.); #281570=ORIENTED_EDGE('',*,*,#205335,.T.); #281571=ORIENTED_EDGE('',*,*,#205332,.T.); #281572=ORIENTED_EDGE('',*,*,#205329,.T.); #281573=ORIENTED_EDGE('',*,*,#205326,.T.); #281574=ORIENTED_EDGE('',*,*,#205323,.T.); #281575=ORIENTED_EDGE('',*,*,#205320,.T.); #281576=ORIENTED_EDGE('',*,*,#205317,.T.); #281577=ORIENTED_EDGE('',*,*,#205314,.T.); #281578=ORIENTED_EDGE('',*,*,#205311,.T.); #281579=ORIENTED_EDGE('',*,*,#205308,.T.); #281580=ORIENTED_EDGE('',*,*,#205305,.T.); #281581=ORIENTED_EDGE('',*,*,#205302,.T.); #281582=ORIENTED_EDGE('',*,*,#205299,.T.); #281583=ORIENTED_EDGE('',*,*,#205296,.T.); #281584=ORIENTED_EDGE('',*,*,#205293,.T.); #281585=ORIENTED_EDGE('',*,*,#205290,.T.); #281586=ORIENTED_EDGE('',*,*,#205287,.T.); #281587=ORIENTED_EDGE('',*,*,#205284,.T.); #281588=ORIENTED_EDGE('',*,*,#205281,.T.); #281589=ORIENTED_EDGE('',*,*,#205278,.T.); #281590=ORIENTED_EDGE('',*,*,#205275,.T.); #281591=ORIENTED_EDGE('',*,*,#205272,.T.); #281592=ORIENTED_EDGE('',*,*,#205269,.T.); #281593=ORIENTED_EDGE('',*,*,#205266,.T.); #281594=ORIENTED_EDGE('',*,*,#205263,.T.); #281595=ORIENTED_EDGE('',*,*,#205260,.T.); #281596=ORIENTED_EDGE('',*,*,#205257,.T.); #281597=ORIENTED_EDGE('',*,*,#205254,.T.); #281598=ORIENTED_EDGE('',*,*,#205251,.T.); #281599=ORIENTED_EDGE('',*,*,#205248,.T.); #281600=ORIENTED_EDGE('',*,*,#205245,.T.); #281601=ORIENTED_EDGE('',*,*,#205242,.T.); #281602=ORIENTED_EDGE('',*,*,#205239,.T.); #281603=ORIENTED_EDGE('',*,*,#205236,.T.); #281604=ORIENTED_EDGE('',*,*,#205233,.T.); #281605=ORIENTED_EDGE('',*,*,#205230,.T.); #281606=ORIENTED_EDGE('',*,*,#205227,.T.); #281607=ORIENTED_EDGE('',*,*,#205224,.T.); #281608=ORIENTED_EDGE('',*,*,#205221,.T.); #281609=ORIENTED_EDGE('',*,*,#205218,.T.); #281610=ORIENTED_EDGE('',*,*,#205215,.T.); #281611=ORIENTED_EDGE('',*,*,#205212,.T.); #281612=ORIENTED_EDGE('',*,*,#205209,.T.); #281613=ORIENTED_EDGE('',*,*,#205206,.T.); #281614=ORIENTED_EDGE('',*,*,#205203,.T.); #281615=ORIENTED_EDGE('',*,*,#205200,.T.); #281616=ORIENTED_EDGE('',*,*,#205197,.T.); #281617=ORIENTED_EDGE('',*,*,#205194,.T.); #281618=ORIENTED_EDGE('',*,*,#205191,.T.); #281619=ORIENTED_EDGE('',*,*,#205188,.T.); #281620=ORIENTED_EDGE('',*,*,#205185,.T.); #281621=ORIENTED_EDGE('',*,*,#205182,.T.); #281622=ORIENTED_EDGE('',*,*,#205179,.T.); #281623=ORIENTED_EDGE('',*,*,#205176,.T.); #281624=ORIENTED_EDGE('',*,*,#205173,.T.); #281625=ORIENTED_EDGE('',*,*,#205170,.T.); #281626=ORIENTED_EDGE('',*,*,#205167,.T.); #281627=ORIENTED_EDGE('',*,*,#205164,.T.); #281628=ORIENTED_EDGE('',*,*,#205161,.T.); #281629=ORIENTED_EDGE('',*,*,#205158,.T.); #281630=ORIENTED_EDGE('',*,*,#205155,.T.); #281631=ORIENTED_EDGE('',*,*,#205152,.T.); #281632=ORIENTED_EDGE('',*,*,#205149,.T.); #281633=ORIENTED_EDGE('',*,*,#205146,.T.); #281634=ORIENTED_EDGE('',*,*,#205139,.T.); #281635=ORIENTED_EDGE('',*,*,#205142,.T.); #281636=ORIENTED_EDGE('',*,*,#205132,.T.); #281637=ORIENTED_EDGE('',*,*,#205136,.T.); #281638=ORIENTED_EDGE('',*,*,#205358,.F.); #281639=ORIENTED_EDGE('',*,*,#205144,.F.); #281640=ORIENTED_EDGE('',*,*,#205148,.F.); #281641=ORIENTED_EDGE('',*,*,#205151,.F.); #281642=ORIENTED_EDGE('',*,*,#205154,.F.); #281643=ORIENTED_EDGE('',*,*,#205157,.F.); #281644=ORIENTED_EDGE('',*,*,#205160,.F.); #281645=ORIENTED_EDGE('',*,*,#205163,.F.); #281646=ORIENTED_EDGE('',*,*,#205166,.F.); #281647=ORIENTED_EDGE('',*,*,#205169,.F.); #281648=ORIENTED_EDGE('',*,*,#205172,.F.); #281649=ORIENTED_EDGE('',*,*,#205175,.F.); #281650=ORIENTED_EDGE('',*,*,#205178,.F.); #281651=ORIENTED_EDGE('',*,*,#205181,.F.); #281652=ORIENTED_EDGE('',*,*,#205184,.F.); #281653=ORIENTED_EDGE('',*,*,#205187,.F.); #281654=ORIENTED_EDGE('',*,*,#205190,.F.); #281655=ORIENTED_EDGE('',*,*,#205193,.F.); #281656=ORIENTED_EDGE('',*,*,#205196,.F.); #281657=ORIENTED_EDGE('',*,*,#205199,.F.); #281658=ORIENTED_EDGE('',*,*,#205202,.F.); #281659=ORIENTED_EDGE('',*,*,#205205,.F.); #281660=ORIENTED_EDGE('',*,*,#205208,.F.); #281661=ORIENTED_EDGE('',*,*,#205211,.F.); #281662=ORIENTED_EDGE('',*,*,#205214,.F.); #281663=ORIENTED_EDGE('',*,*,#205217,.F.); #281664=ORIENTED_EDGE('',*,*,#205220,.F.); #281665=ORIENTED_EDGE('',*,*,#205223,.F.); #281666=ORIENTED_EDGE('',*,*,#205226,.F.); #281667=ORIENTED_EDGE('',*,*,#205229,.F.); #281668=ORIENTED_EDGE('',*,*,#205232,.F.); #281669=ORIENTED_EDGE('',*,*,#205235,.F.); #281670=ORIENTED_EDGE('',*,*,#205238,.F.); #281671=ORIENTED_EDGE('',*,*,#205241,.F.); #281672=ORIENTED_EDGE('',*,*,#205244,.F.); #281673=ORIENTED_EDGE('',*,*,#205247,.F.); #281674=ORIENTED_EDGE('',*,*,#205250,.F.); #281675=ORIENTED_EDGE('',*,*,#205253,.F.); #281676=ORIENTED_EDGE('',*,*,#205256,.F.); #281677=ORIENTED_EDGE('',*,*,#205259,.F.); #281678=ORIENTED_EDGE('',*,*,#205262,.F.); #281679=ORIENTED_EDGE('',*,*,#205265,.F.); #281680=ORIENTED_EDGE('',*,*,#205268,.F.); #281681=ORIENTED_EDGE('',*,*,#205271,.F.); #281682=ORIENTED_EDGE('',*,*,#205274,.F.); #281683=ORIENTED_EDGE('',*,*,#205277,.F.); #281684=ORIENTED_EDGE('',*,*,#205280,.F.); #281685=ORIENTED_EDGE('',*,*,#205283,.F.); #281686=ORIENTED_EDGE('',*,*,#205286,.F.); #281687=ORIENTED_EDGE('',*,*,#205289,.F.); #281688=ORIENTED_EDGE('',*,*,#205292,.F.); #281689=ORIENTED_EDGE('',*,*,#205295,.F.); #281690=ORIENTED_EDGE('',*,*,#205298,.F.); #281691=ORIENTED_EDGE('',*,*,#205301,.F.); #281692=ORIENTED_EDGE('',*,*,#205304,.F.); #281693=ORIENTED_EDGE('',*,*,#205307,.F.); #281694=ORIENTED_EDGE('',*,*,#205310,.F.); #281695=ORIENTED_EDGE('',*,*,#205313,.F.); #281696=ORIENTED_EDGE('',*,*,#205316,.F.); #281697=ORIENTED_EDGE('',*,*,#205319,.F.); #281698=ORIENTED_EDGE('',*,*,#205322,.F.); #281699=ORIENTED_EDGE('',*,*,#205325,.F.); #281700=ORIENTED_EDGE('',*,*,#205328,.F.); #281701=ORIENTED_EDGE('',*,*,#205331,.F.); #281702=ORIENTED_EDGE('',*,*,#205334,.F.); #281703=ORIENTED_EDGE('',*,*,#205337,.F.); #281704=ORIENTED_EDGE('',*,*,#205340,.F.); #281705=ORIENTED_EDGE('',*,*,#205343,.F.); #281706=ORIENTED_EDGE('',*,*,#205346,.F.); #281707=ORIENTED_EDGE('',*,*,#205349,.F.); #281708=ORIENTED_EDGE('',*,*,#205352,.F.); #281709=ORIENTED_EDGE('',*,*,#205355,.F.); #281710=ORIENTED_EDGE('',*,*,#205140,.T.); #281711=ORIENTED_EDGE('',*,*,#205137,.T.); #281712=ORIENTED_EDGE('',*,*,#205134,.T.); #281713=ORIENTED_EDGE('',*,*,#205143,.T.); #281714=ORIENTED_EDGE('',*,*,#205360,.T.); #281715=ORIENTED_EDGE('',*,*,#205361,.T.); #281716=ORIENTED_EDGE('',*,*,#205362,.F.); #281717=ORIENTED_EDGE('',*,*,#205363,.F.); #281718=ORIENTED_EDGE('',*,*,#205364,.T.); #281719=ORIENTED_EDGE('',*,*,#205363,.T.); #281720=ORIENTED_EDGE('',*,*,#205365,.F.); #281721=ORIENTED_EDGE('',*,*,#205366,.F.); #281722=ORIENTED_EDGE('',*,*,#205367,.T.); #281723=ORIENTED_EDGE('',*,*,#205366,.T.); #281724=ORIENTED_EDGE('',*,*,#205368,.F.); #281725=ORIENTED_EDGE('',*,*,#205369,.F.); #281726=ORIENTED_EDGE('',*,*,#205370,.T.); #281727=ORIENTED_EDGE('',*,*,#205369,.T.); #281728=ORIENTED_EDGE('',*,*,#205371,.F.); #281729=ORIENTED_EDGE('',*,*,#205372,.F.); #281730=ORIENTED_EDGE('',*,*,#205373,.T.); #281731=ORIENTED_EDGE('',*,*,#205372,.T.); #281732=ORIENTED_EDGE('',*,*,#205374,.F.); #281733=ORIENTED_EDGE('',*,*,#205375,.F.); #281734=ORIENTED_EDGE('',*,*,#205376,.T.); #281735=ORIENTED_EDGE('',*,*,#205375,.T.); #281736=ORIENTED_EDGE('',*,*,#205377,.F.); #281737=ORIENTED_EDGE('',*,*,#205378,.F.); #281738=ORIENTED_EDGE('',*,*,#205379,.T.); #281739=ORIENTED_EDGE('',*,*,#205378,.T.); #281740=ORIENTED_EDGE('',*,*,#205380,.F.); #281741=ORIENTED_EDGE('',*,*,#205381,.F.); #281742=ORIENTED_EDGE('',*,*,#205382,.T.); #281743=ORIENTED_EDGE('',*,*,#205381,.T.); #281744=ORIENTED_EDGE('',*,*,#205383,.F.); #281745=ORIENTED_EDGE('',*,*,#205384,.F.); #281746=ORIENTED_EDGE('',*,*,#205385,.T.); #281747=ORIENTED_EDGE('',*,*,#205384,.T.); #281748=ORIENTED_EDGE('',*,*,#205386,.F.); #281749=ORIENTED_EDGE('',*,*,#205387,.F.); #281750=ORIENTED_EDGE('',*,*,#205388,.T.); #281751=ORIENTED_EDGE('',*,*,#205387,.T.); #281752=ORIENTED_EDGE('',*,*,#205389,.F.); #281753=ORIENTED_EDGE('',*,*,#205390,.F.); #281754=ORIENTED_EDGE('',*,*,#205391,.T.); #281755=ORIENTED_EDGE('',*,*,#205390,.T.); #281756=ORIENTED_EDGE('',*,*,#205392,.F.); #281757=ORIENTED_EDGE('',*,*,#205393,.F.); #281758=ORIENTED_EDGE('',*,*,#205394,.T.); #281759=ORIENTED_EDGE('',*,*,#205393,.T.); #281760=ORIENTED_EDGE('',*,*,#205395,.F.); #281761=ORIENTED_EDGE('',*,*,#205396,.F.); #281762=ORIENTED_EDGE('',*,*,#205397,.T.); #281763=ORIENTED_EDGE('',*,*,#205396,.T.); #281764=ORIENTED_EDGE('',*,*,#205398,.F.); #281765=ORIENTED_EDGE('',*,*,#205399,.F.); #281766=ORIENTED_EDGE('',*,*,#205400,.T.); #281767=ORIENTED_EDGE('',*,*,#205399,.T.); #281768=ORIENTED_EDGE('',*,*,#205401,.F.); #281769=ORIENTED_EDGE('',*,*,#205402,.F.); #281770=ORIENTED_EDGE('',*,*,#205403,.T.); #281771=ORIENTED_EDGE('',*,*,#205402,.T.); #281772=ORIENTED_EDGE('',*,*,#205404,.F.); #281773=ORIENTED_EDGE('',*,*,#205405,.F.); #281774=ORIENTED_EDGE('',*,*,#205406,.T.); #281775=ORIENTED_EDGE('',*,*,#205405,.T.); #281776=ORIENTED_EDGE('',*,*,#205407,.F.); #281777=ORIENTED_EDGE('',*,*,#205408,.F.); #281778=ORIENTED_EDGE('',*,*,#205409,.T.); #281779=ORIENTED_EDGE('',*,*,#205408,.T.); #281780=ORIENTED_EDGE('',*,*,#205410,.F.); #281781=ORIENTED_EDGE('',*,*,#205411,.F.); #281782=ORIENTED_EDGE('',*,*,#205412,.T.); #281783=ORIENTED_EDGE('',*,*,#205411,.T.); #281784=ORIENTED_EDGE('',*,*,#205413,.F.); #281785=ORIENTED_EDGE('',*,*,#205414,.F.); #281786=ORIENTED_EDGE('',*,*,#205415,.T.); #281787=ORIENTED_EDGE('',*,*,#205414,.T.); #281788=ORIENTED_EDGE('',*,*,#205416,.F.); #281789=ORIENTED_EDGE('',*,*,#205417,.F.); #281790=ORIENTED_EDGE('',*,*,#205418,.T.); #281791=ORIENTED_EDGE('',*,*,#205417,.T.); #281792=ORIENTED_EDGE('',*,*,#205419,.F.); #281793=ORIENTED_EDGE('',*,*,#205420,.F.); #281794=ORIENTED_EDGE('',*,*,#205421,.T.); #281795=ORIENTED_EDGE('',*,*,#205420,.T.); #281796=ORIENTED_EDGE('',*,*,#205422,.F.); #281797=ORIENTED_EDGE('',*,*,#205423,.F.); #281798=ORIENTED_EDGE('',*,*,#205424,.T.); #281799=ORIENTED_EDGE('',*,*,#205423,.T.); #281800=ORIENTED_EDGE('',*,*,#205425,.F.); #281801=ORIENTED_EDGE('',*,*,#205426,.F.); #281802=ORIENTED_EDGE('',*,*,#205427,.T.); #281803=ORIENTED_EDGE('',*,*,#205426,.T.); #281804=ORIENTED_EDGE('',*,*,#205428,.F.); #281805=ORIENTED_EDGE('',*,*,#205429,.F.); #281806=ORIENTED_EDGE('',*,*,#205430,.T.); #281807=ORIENTED_EDGE('',*,*,#205429,.T.); #281808=ORIENTED_EDGE('',*,*,#205431,.F.); #281809=ORIENTED_EDGE('',*,*,#205432,.F.); #281810=ORIENTED_EDGE('',*,*,#205433,.T.); #281811=ORIENTED_EDGE('',*,*,#205432,.T.); #281812=ORIENTED_EDGE('',*,*,#205434,.F.); #281813=ORIENTED_EDGE('',*,*,#205435,.F.); #281814=ORIENTED_EDGE('',*,*,#205436,.T.); #281815=ORIENTED_EDGE('',*,*,#205435,.T.); #281816=ORIENTED_EDGE('',*,*,#205437,.F.); #281817=ORIENTED_EDGE('',*,*,#205438,.F.); #281818=ORIENTED_EDGE('',*,*,#205439,.T.); #281819=ORIENTED_EDGE('',*,*,#205438,.T.); #281820=ORIENTED_EDGE('',*,*,#205440,.F.); #281821=ORIENTED_EDGE('',*,*,#205361,.F.); #281822=ORIENTED_EDGE('',*,*,#205440,.T.); #281823=ORIENTED_EDGE('',*,*,#205437,.T.); #281824=ORIENTED_EDGE('',*,*,#205434,.T.); #281825=ORIENTED_EDGE('',*,*,#205431,.T.); #281826=ORIENTED_EDGE('',*,*,#205428,.T.); #281827=ORIENTED_EDGE('',*,*,#205425,.T.); #281828=ORIENTED_EDGE('',*,*,#205422,.T.); #281829=ORIENTED_EDGE('',*,*,#205419,.T.); #281830=ORIENTED_EDGE('',*,*,#205416,.T.); #281831=ORIENTED_EDGE('',*,*,#205413,.T.); #281832=ORIENTED_EDGE('',*,*,#205410,.T.); #281833=ORIENTED_EDGE('',*,*,#205407,.T.); #281834=ORIENTED_EDGE('',*,*,#205404,.T.); #281835=ORIENTED_EDGE('',*,*,#205401,.T.); #281836=ORIENTED_EDGE('',*,*,#205398,.T.); #281837=ORIENTED_EDGE('',*,*,#205395,.T.); #281838=ORIENTED_EDGE('',*,*,#205392,.T.); #281839=ORIENTED_EDGE('',*,*,#205389,.T.); #281840=ORIENTED_EDGE('',*,*,#205386,.T.); #281841=ORIENTED_EDGE('',*,*,#205383,.T.); #281842=ORIENTED_EDGE('',*,*,#205380,.T.); #281843=ORIENTED_EDGE('',*,*,#205377,.T.); #281844=ORIENTED_EDGE('',*,*,#205374,.T.); #281845=ORIENTED_EDGE('',*,*,#205371,.T.); #281846=ORIENTED_EDGE('',*,*,#205368,.T.); #281847=ORIENTED_EDGE('',*,*,#205365,.T.); #281848=ORIENTED_EDGE('',*,*,#205362,.T.); #281849=ORIENTED_EDGE('',*,*,#205439,.F.); #281850=ORIENTED_EDGE('',*,*,#205360,.F.); #281851=ORIENTED_EDGE('',*,*,#205364,.F.); #281852=ORIENTED_EDGE('',*,*,#205367,.F.); #281853=ORIENTED_EDGE('',*,*,#205370,.F.); #281854=ORIENTED_EDGE('',*,*,#205373,.F.); #281855=ORIENTED_EDGE('',*,*,#205376,.F.); #281856=ORIENTED_EDGE('',*,*,#205379,.F.); #281857=ORIENTED_EDGE('',*,*,#205382,.F.); #281858=ORIENTED_EDGE('',*,*,#205385,.F.); #281859=ORIENTED_EDGE('',*,*,#205388,.F.); #281860=ORIENTED_EDGE('',*,*,#205391,.F.); #281861=ORIENTED_EDGE('',*,*,#205394,.F.); #281862=ORIENTED_EDGE('',*,*,#205397,.F.); #281863=ORIENTED_EDGE('',*,*,#205400,.F.); #281864=ORIENTED_EDGE('',*,*,#205403,.F.); #281865=ORIENTED_EDGE('',*,*,#205406,.F.); #281866=ORIENTED_EDGE('',*,*,#205409,.F.); #281867=ORIENTED_EDGE('',*,*,#205412,.F.); #281868=ORIENTED_EDGE('',*,*,#205415,.F.); #281869=ORIENTED_EDGE('',*,*,#205418,.F.); #281870=ORIENTED_EDGE('',*,*,#205421,.F.); #281871=ORIENTED_EDGE('',*,*,#205424,.F.); #281872=ORIENTED_EDGE('',*,*,#205427,.F.); #281873=ORIENTED_EDGE('',*,*,#205430,.F.); #281874=ORIENTED_EDGE('',*,*,#205433,.F.); #281875=ORIENTED_EDGE('',*,*,#205436,.F.); #281876=ORIENTED_EDGE('',*,*,#205441,.T.); #281877=ORIENTED_EDGE('',*,*,#205442,.T.); #281878=ORIENTED_EDGE('',*,*,#205443,.F.); #281879=ORIENTED_EDGE('',*,*,#205444,.F.); #281880=ORIENTED_EDGE('',*,*,#205445,.T.); #281881=ORIENTED_EDGE('',*,*,#205444,.T.); #281882=ORIENTED_EDGE('',*,*,#205446,.F.); #281883=ORIENTED_EDGE('',*,*,#205447,.F.); #281884=ORIENTED_EDGE('',*,*,#205448,.T.); #281885=ORIENTED_EDGE('',*,*,#205447,.T.); #281886=ORIENTED_EDGE('',*,*,#205449,.F.); #281887=ORIENTED_EDGE('',*,*,#205450,.F.); #281888=ORIENTED_EDGE('',*,*,#205451,.T.); #281889=ORIENTED_EDGE('',*,*,#205450,.T.); #281890=ORIENTED_EDGE('',*,*,#205452,.F.); #281891=ORIENTED_EDGE('',*,*,#205453,.F.); #281892=ORIENTED_EDGE('',*,*,#205454,.T.); #281893=ORIENTED_EDGE('',*,*,#205453,.T.); #281894=ORIENTED_EDGE('',*,*,#205455,.F.); #281895=ORIENTED_EDGE('',*,*,#205456,.F.); #281896=ORIENTED_EDGE('',*,*,#205457,.T.); #281897=ORIENTED_EDGE('',*,*,#205456,.T.); #281898=ORIENTED_EDGE('',*,*,#205458,.F.); #281899=ORIENTED_EDGE('',*,*,#205459,.F.); #281900=ORIENTED_EDGE('',*,*,#205460,.T.); #281901=ORIENTED_EDGE('',*,*,#205459,.T.); #281902=ORIENTED_EDGE('',*,*,#205461,.F.); #281903=ORIENTED_EDGE('',*,*,#205462,.F.); #281904=ORIENTED_EDGE('',*,*,#205463,.T.); #281905=ORIENTED_EDGE('',*,*,#205462,.T.); #281906=ORIENTED_EDGE('',*,*,#205464,.F.); #281907=ORIENTED_EDGE('',*,*,#205465,.F.); #281908=ORIENTED_EDGE('',*,*,#205466,.T.); #281909=ORIENTED_EDGE('',*,*,#205465,.T.); #281910=ORIENTED_EDGE('',*,*,#205467,.F.); #281911=ORIENTED_EDGE('',*,*,#205468,.F.); #281912=ORIENTED_EDGE('',*,*,#205469,.T.); #281913=ORIENTED_EDGE('',*,*,#205468,.T.); #281914=ORIENTED_EDGE('',*,*,#205470,.F.); #281915=ORIENTED_EDGE('',*,*,#205471,.F.); #281916=ORIENTED_EDGE('',*,*,#205472,.T.); #281917=ORIENTED_EDGE('',*,*,#205471,.T.); #281918=ORIENTED_EDGE('',*,*,#205473,.F.); #281919=ORIENTED_EDGE('',*,*,#205474,.F.); #281920=ORIENTED_EDGE('',*,*,#205475,.T.); #281921=ORIENTED_EDGE('',*,*,#205474,.T.); #281922=ORIENTED_EDGE('',*,*,#205476,.F.); #281923=ORIENTED_EDGE('',*,*,#205477,.F.); #281924=ORIENTED_EDGE('',*,*,#205478,.T.); #281925=ORIENTED_EDGE('',*,*,#205477,.T.); #281926=ORIENTED_EDGE('',*,*,#205479,.F.); #281927=ORIENTED_EDGE('',*,*,#205480,.F.); #281928=ORIENTED_EDGE('',*,*,#205481,.T.); #281929=ORIENTED_EDGE('',*,*,#205480,.T.); #281930=ORIENTED_EDGE('',*,*,#205482,.F.); #281931=ORIENTED_EDGE('',*,*,#205483,.F.); #281932=ORIENTED_EDGE('',*,*,#205484,.T.); #281933=ORIENTED_EDGE('',*,*,#205483,.T.); #281934=ORIENTED_EDGE('',*,*,#205485,.F.); #281935=ORIENTED_EDGE('',*,*,#205486,.F.); #281936=ORIENTED_EDGE('',*,*,#205487,.T.); #281937=ORIENTED_EDGE('',*,*,#205486,.T.); #281938=ORIENTED_EDGE('',*,*,#205488,.F.); #281939=ORIENTED_EDGE('',*,*,#205489,.F.); #281940=ORIENTED_EDGE('',*,*,#205490,.T.); #281941=ORIENTED_EDGE('',*,*,#205489,.T.); #281942=ORIENTED_EDGE('',*,*,#205491,.F.); #281943=ORIENTED_EDGE('',*,*,#205492,.F.); #281944=ORIENTED_EDGE('',*,*,#205493,.T.); #281945=ORIENTED_EDGE('',*,*,#205492,.T.); #281946=ORIENTED_EDGE('',*,*,#205494,.F.); #281947=ORIENTED_EDGE('',*,*,#205495,.F.); #281948=ORIENTED_EDGE('',*,*,#205496,.T.); #281949=ORIENTED_EDGE('',*,*,#205495,.T.); #281950=ORIENTED_EDGE('',*,*,#205497,.F.); #281951=ORIENTED_EDGE('',*,*,#205498,.F.); #281952=ORIENTED_EDGE('',*,*,#205499,.T.); #281953=ORIENTED_EDGE('',*,*,#205498,.T.); #281954=ORIENTED_EDGE('',*,*,#205500,.F.); #281955=ORIENTED_EDGE('',*,*,#205501,.F.); #281956=ORIENTED_EDGE('',*,*,#205502,.T.); #281957=ORIENTED_EDGE('',*,*,#205501,.T.); #281958=ORIENTED_EDGE('',*,*,#205503,.F.); #281959=ORIENTED_EDGE('',*,*,#205442,.F.); #281960=ORIENTED_EDGE('',*,*,#205503,.T.); #281961=ORIENTED_EDGE('',*,*,#205500,.T.); #281962=ORIENTED_EDGE('',*,*,#205497,.T.); #281963=ORIENTED_EDGE('',*,*,#205494,.T.); #281964=ORIENTED_EDGE('',*,*,#205491,.T.); #281965=ORIENTED_EDGE('',*,*,#205488,.T.); #281966=ORIENTED_EDGE('',*,*,#205485,.T.); #281967=ORIENTED_EDGE('',*,*,#205482,.T.); #281968=ORIENTED_EDGE('',*,*,#205479,.T.); #281969=ORIENTED_EDGE('',*,*,#205476,.T.); #281970=ORIENTED_EDGE('',*,*,#205473,.T.); #281971=ORIENTED_EDGE('',*,*,#205470,.T.); #281972=ORIENTED_EDGE('',*,*,#205467,.T.); #281973=ORIENTED_EDGE('',*,*,#205464,.T.); #281974=ORIENTED_EDGE('',*,*,#205461,.T.); #281975=ORIENTED_EDGE('',*,*,#205458,.T.); #281976=ORIENTED_EDGE('',*,*,#205455,.T.); #281977=ORIENTED_EDGE('',*,*,#205452,.T.); #281978=ORIENTED_EDGE('',*,*,#205449,.T.); #281979=ORIENTED_EDGE('',*,*,#205446,.T.); #281980=ORIENTED_EDGE('',*,*,#205443,.T.); #281981=ORIENTED_EDGE('',*,*,#205502,.F.); #281982=ORIENTED_EDGE('',*,*,#205441,.F.); #281983=ORIENTED_EDGE('',*,*,#205445,.F.); #281984=ORIENTED_EDGE('',*,*,#205448,.F.); #281985=ORIENTED_EDGE('',*,*,#205451,.F.); #281986=ORIENTED_EDGE('',*,*,#205454,.F.); #281987=ORIENTED_EDGE('',*,*,#205457,.F.); #281988=ORIENTED_EDGE('',*,*,#205460,.F.); #281989=ORIENTED_EDGE('',*,*,#205463,.F.); #281990=ORIENTED_EDGE('',*,*,#205466,.F.); #281991=ORIENTED_EDGE('',*,*,#205469,.F.); #281992=ORIENTED_EDGE('',*,*,#205472,.F.); #281993=ORIENTED_EDGE('',*,*,#205475,.F.); #281994=ORIENTED_EDGE('',*,*,#205478,.F.); #281995=ORIENTED_EDGE('',*,*,#205481,.F.); #281996=ORIENTED_EDGE('',*,*,#205484,.F.); #281997=ORIENTED_EDGE('',*,*,#205487,.F.); #281998=ORIENTED_EDGE('',*,*,#205490,.F.); #281999=ORIENTED_EDGE('',*,*,#205493,.F.); #282000=ORIENTED_EDGE('',*,*,#205496,.F.); #282001=ORIENTED_EDGE('',*,*,#205499,.F.); #282002=ORIENTED_EDGE('',*,*,#205504,.T.); #282003=ORIENTED_EDGE('',*,*,#205505,.T.); #282004=ORIENTED_EDGE('',*,*,#205506,.F.); #282005=ORIENTED_EDGE('',*,*,#205507,.F.); #282006=ORIENTED_EDGE('',*,*,#205508,.T.); #282007=ORIENTED_EDGE('',*,*,#205507,.T.); #282008=ORIENTED_EDGE('',*,*,#205509,.F.); #282009=ORIENTED_EDGE('',*,*,#205510,.F.); #282010=ORIENTED_EDGE('',*,*,#205511,.T.); #282011=ORIENTED_EDGE('',*,*,#205510,.T.); #282012=ORIENTED_EDGE('',*,*,#205512,.F.); #282013=ORIENTED_EDGE('',*,*,#205513,.F.); #282014=ORIENTED_EDGE('',*,*,#205514,.T.); #282015=ORIENTED_EDGE('',*,*,#205513,.T.); #282016=ORIENTED_EDGE('',*,*,#205515,.F.); #282017=ORIENTED_EDGE('',*,*,#205516,.F.); #282018=ORIENTED_EDGE('',*,*,#205517,.T.); #282019=ORIENTED_EDGE('',*,*,#205516,.T.); #282020=ORIENTED_EDGE('',*,*,#205518,.F.); #282021=ORIENTED_EDGE('',*,*,#205519,.F.); #282022=ORIENTED_EDGE('',*,*,#205520,.T.); #282023=ORIENTED_EDGE('',*,*,#205519,.T.); #282024=ORIENTED_EDGE('',*,*,#205521,.F.); #282025=ORIENTED_EDGE('',*,*,#205522,.F.); #282026=ORIENTED_EDGE('',*,*,#205523,.T.); #282027=ORIENTED_EDGE('',*,*,#205522,.T.); #282028=ORIENTED_EDGE('',*,*,#205524,.F.); #282029=ORIENTED_EDGE('',*,*,#205525,.F.); #282030=ORIENTED_EDGE('',*,*,#205526,.T.); #282031=ORIENTED_EDGE('',*,*,#205525,.T.); #282032=ORIENTED_EDGE('',*,*,#205527,.F.); #282033=ORIENTED_EDGE('',*,*,#205528,.F.); #282034=ORIENTED_EDGE('',*,*,#205529,.T.); #282035=ORIENTED_EDGE('',*,*,#205528,.T.); #282036=ORIENTED_EDGE('',*,*,#205530,.F.); #282037=ORIENTED_EDGE('',*,*,#205531,.F.); #282038=ORIENTED_EDGE('',*,*,#205532,.T.); #282039=ORIENTED_EDGE('',*,*,#205531,.T.); #282040=ORIENTED_EDGE('',*,*,#205533,.F.); #282041=ORIENTED_EDGE('',*,*,#205534,.F.); #282042=ORIENTED_EDGE('',*,*,#205535,.T.); #282043=ORIENTED_EDGE('',*,*,#205534,.T.); #282044=ORIENTED_EDGE('',*,*,#205536,.F.); #282045=ORIENTED_EDGE('',*,*,#205537,.F.); #282046=ORIENTED_EDGE('',*,*,#205538,.T.); #282047=ORIENTED_EDGE('',*,*,#205537,.T.); #282048=ORIENTED_EDGE('',*,*,#205539,.F.); #282049=ORIENTED_EDGE('',*,*,#205540,.F.); #282050=ORIENTED_EDGE('',*,*,#205541,.T.); #282051=ORIENTED_EDGE('',*,*,#205540,.T.); #282052=ORIENTED_EDGE('',*,*,#205542,.F.); #282053=ORIENTED_EDGE('',*,*,#205543,.F.); #282054=ORIENTED_EDGE('',*,*,#205544,.T.); #282055=ORIENTED_EDGE('',*,*,#205543,.T.); #282056=ORIENTED_EDGE('',*,*,#205545,.F.); #282057=ORIENTED_EDGE('',*,*,#205546,.F.); #282058=ORIENTED_EDGE('',*,*,#205547,.T.); #282059=ORIENTED_EDGE('',*,*,#205546,.T.); #282060=ORIENTED_EDGE('',*,*,#205548,.F.); #282061=ORIENTED_EDGE('',*,*,#205549,.F.); #282062=ORIENTED_EDGE('',*,*,#205550,.T.); #282063=ORIENTED_EDGE('',*,*,#205549,.T.); #282064=ORIENTED_EDGE('',*,*,#205551,.F.); #282065=ORIENTED_EDGE('',*,*,#205552,.F.); #282066=ORIENTED_EDGE('',*,*,#205553,.T.); #282067=ORIENTED_EDGE('',*,*,#205552,.T.); #282068=ORIENTED_EDGE('',*,*,#205554,.F.); #282069=ORIENTED_EDGE('',*,*,#205555,.F.); #282070=ORIENTED_EDGE('',*,*,#205556,.T.); #282071=ORIENTED_EDGE('',*,*,#205555,.T.); #282072=ORIENTED_EDGE('',*,*,#205557,.F.); #282073=ORIENTED_EDGE('',*,*,#205558,.F.); #282074=ORIENTED_EDGE('',*,*,#205559,.T.); #282075=ORIENTED_EDGE('',*,*,#205558,.T.); #282076=ORIENTED_EDGE('',*,*,#205560,.F.); #282077=ORIENTED_EDGE('',*,*,#205561,.F.); #282078=ORIENTED_EDGE('',*,*,#205562,.T.); #282079=ORIENTED_EDGE('',*,*,#205561,.T.); #282080=ORIENTED_EDGE('',*,*,#205563,.F.); #282081=ORIENTED_EDGE('',*,*,#205505,.F.); #282082=ORIENTED_EDGE('',*,*,#205563,.T.); #282083=ORIENTED_EDGE('',*,*,#205560,.T.); #282084=ORIENTED_EDGE('',*,*,#205557,.T.); #282085=ORIENTED_EDGE('',*,*,#205554,.T.); #282086=ORIENTED_EDGE('',*,*,#205551,.T.); #282087=ORIENTED_EDGE('',*,*,#205548,.T.); #282088=ORIENTED_EDGE('',*,*,#205545,.T.); #282089=ORIENTED_EDGE('',*,*,#205542,.T.); #282090=ORIENTED_EDGE('',*,*,#205539,.T.); #282091=ORIENTED_EDGE('',*,*,#205536,.T.); #282092=ORIENTED_EDGE('',*,*,#205533,.T.); #282093=ORIENTED_EDGE('',*,*,#205530,.T.); #282094=ORIENTED_EDGE('',*,*,#205527,.T.); #282095=ORIENTED_EDGE('',*,*,#205524,.T.); #282096=ORIENTED_EDGE('',*,*,#205521,.T.); #282097=ORIENTED_EDGE('',*,*,#205518,.T.); #282098=ORIENTED_EDGE('',*,*,#205515,.T.); #282099=ORIENTED_EDGE('',*,*,#205512,.T.); #282100=ORIENTED_EDGE('',*,*,#205509,.T.); #282101=ORIENTED_EDGE('',*,*,#205506,.T.); #282102=ORIENTED_EDGE('',*,*,#205562,.F.); #282103=ORIENTED_EDGE('',*,*,#205504,.F.); #282104=ORIENTED_EDGE('',*,*,#205508,.F.); #282105=ORIENTED_EDGE('',*,*,#205511,.F.); #282106=ORIENTED_EDGE('',*,*,#205514,.F.); #282107=ORIENTED_EDGE('',*,*,#205517,.F.); #282108=ORIENTED_EDGE('',*,*,#205520,.F.); #282109=ORIENTED_EDGE('',*,*,#205523,.F.); #282110=ORIENTED_EDGE('',*,*,#205526,.F.); #282111=ORIENTED_EDGE('',*,*,#205529,.F.); #282112=ORIENTED_EDGE('',*,*,#205532,.F.); #282113=ORIENTED_EDGE('',*,*,#205535,.F.); #282114=ORIENTED_EDGE('',*,*,#205538,.F.); #282115=ORIENTED_EDGE('',*,*,#205541,.F.); #282116=ORIENTED_EDGE('',*,*,#205544,.F.); #282117=ORIENTED_EDGE('',*,*,#205547,.F.); #282118=ORIENTED_EDGE('',*,*,#205550,.F.); #282119=ORIENTED_EDGE('',*,*,#205553,.F.); #282120=ORIENTED_EDGE('',*,*,#205556,.F.); #282121=ORIENTED_EDGE('',*,*,#205559,.F.); #282122=ORIENTED_EDGE('',*,*,#205564,.F.); #282123=ORIENTED_EDGE('',*,*,#205565,.T.); #282124=ORIENTED_EDGE('',*,*,#205566,.F.); #282125=ORIENTED_EDGE('',*,*,#205565,.F.); #282126=ORIENTED_EDGE('',*,*,#205567,.T.); #282127=ORIENTED_EDGE('',*,*,#205568,.T.); #282128=ORIENTED_EDGE('',*,*,#205569,.F.); #282129=ORIENTED_EDGE('',*,*,#205570,.F.); #282130=ORIENTED_EDGE('',*,*,#205571,.T.); #282131=ORIENTED_EDGE('',*,*,#205570,.T.); #282132=ORIENTED_EDGE('',*,*,#205572,.F.); #282133=ORIENTED_EDGE('',*,*,#205573,.F.); #282134=ORIENTED_EDGE('',*,*,#205574,.T.); #282135=ORIENTED_EDGE('',*,*,#205573,.T.); #282136=ORIENTED_EDGE('',*,*,#205575,.F.); #282137=ORIENTED_EDGE('',*,*,#205576,.F.); #282138=ORIENTED_EDGE('',*,*,#205577,.T.); #282139=ORIENTED_EDGE('',*,*,#205576,.T.); #282140=ORIENTED_EDGE('',*,*,#205578,.F.); #282141=ORIENTED_EDGE('',*,*,#205579,.F.); #282142=ORIENTED_EDGE('',*,*,#205580,.T.); #282143=ORIENTED_EDGE('',*,*,#205579,.T.); #282144=ORIENTED_EDGE('',*,*,#205581,.F.); #282145=ORIENTED_EDGE('',*,*,#205582,.F.); #282146=ORIENTED_EDGE('',*,*,#205583,.T.); #282147=ORIENTED_EDGE('',*,*,#205582,.T.); #282148=ORIENTED_EDGE('',*,*,#205584,.F.); #282149=ORIENTED_EDGE('',*,*,#205585,.F.); #282150=ORIENTED_EDGE('',*,*,#205586,.T.); #282151=ORIENTED_EDGE('',*,*,#205585,.T.); #282152=ORIENTED_EDGE('',*,*,#205587,.F.); #282153=ORIENTED_EDGE('',*,*,#205588,.F.); #282154=ORIENTED_EDGE('',*,*,#205589,.T.); #282155=ORIENTED_EDGE('',*,*,#205588,.T.); #282156=ORIENTED_EDGE('',*,*,#205590,.F.); #282157=ORIENTED_EDGE('',*,*,#205568,.F.); #282158=ORIENTED_EDGE('',*,*,#205590,.T.); #282159=ORIENTED_EDGE('',*,*,#205587,.T.); #282160=ORIENTED_EDGE('',*,*,#205584,.T.); #282161=ORIENTED_EDGE('',*,*,#205581,.T.); #282162=ORIENTED_EDGE('',*,*,#205578,.T.); #282163=ORIENTED_EDGE('',*,*,#205575,.T.); #282164=ORIENTED_EDGE('',*,*,#205572,.T.); #282165=ORIENTED_EDGE('',*,*,#205569,.T.); #282166=ORIENTED_EDGE('',*,*,#205566,.T.); #282167=ORIENTED_EDGE('',*,*,#205589,.F.); #282168=ORIENTED_EDGE('',*,*,#205567,.F.); #282169=ORIENTED_EDGE('',*,*,#205571,.F.); #282170=ORIENTED_EDGE('',*,*,#205574,.F.); #282171=ORIENTED_EDGE('',*,*,#205577,.F.); #282172=ORIENTED_EDGE('',*,*,#205580,.F.); #282173=ORIENTED_EDGE('',*,*,#205583,.F.); #282174=ORIENTED_EDGE('',*,*,#205586,.F.); #282175=ORIENTED_EDGE('',*,*,#205564,.T.); #282176=ORIENTED_EDGE('',*,*,#205591,.T.); #282177=ORIENTED_EDGE('',*,*,#205592,.T.); #282178=ORIENTED_EDGE('',*,*,#205593,.F.); #282179=ORIENTED_EDGE('',*,*,#205594,.F.); #282180=ORIENTED_EDGE('',*,*,#205595,.T.); #282181=ORIENTED_EDGE('',*,*,#205594,.T.); #282182=ORIENTED_EDGE('',*,*,#205596,.F.); #282183=ORIENTED_EDGE('',*,*,#205597,.F.); #282184=ORIENTED_EDGE('',*,*,#205598,.T.); #282185=ORIENTED_EDGE('',*,*,#205597,.T.); #282186=ORIENTED_EDGE('',*,*,#205599,.F.); #282187=ORIENTED_EDGE('',*,*,#205600,.F.); #282188=ORIENTED_EDGE('',*,*,#205601,.T.); #282189=ORIENTED_EDGE('',*,*,#205600,.T.); #282190=ORIENTED_EDGE('',*,*,#205602,.F.); #282191=ORIENTED_EDGE('',*,*,#205603,.F.); #282192=ORIENTED_EDGE('',*,*,#205604,.T.); #282193=ORIENTED_EDGE('',*,*,#205603,.T.); #282194=ORIENTED_EDGE('',*,*,#205605,.F.); #282195=ORIENTED_EDGE('',*,*,#205606,.F.); #282196=ORIENTED_EDGE('',*,*,#205607,.T.); #282197=ORIENTED_EDGE('',*,*,#205606,.T.); #282198=ORIENTED_EDGE('',*,*,#205608,.F.); #282199=ORIENTED_EDGE('',*,*,#205609,.F.); #282200=ORIENTED_EDGE('',*,*,#205610,.T.); #282201=ORIENTED_EDGE('',*,*,#205609,.T.); #282202=ORIENTED_EDGE('',*,*,#205611,.F.); #282203=ORIENTED_EDGE('',*,*,#205612,.F.); #282204=ORIENTED_EDGE('',*,*,#205613,.T.); #282205=ORIENTED_EDGE('',*,*,#205612,.T.); #282206=ORIENTED_EDGE('',*,*,#205614,.F.); #282207=ORIENTED_EDGE('',*,*,#205615,.F.); #282208=ORIENTED_EDGE('',*,*,#205616,.T.); #282209=ORIENTED_EDGE('',*,*,#205615,.T.); #282210=ORIENTED_EDGE('',*,*,#205617,.F.); #282211=ORIENTED_EDGE('',*,*,#205618,.F.); #282212=ORIENTED_EDGE('',*,*,#205619,.T.); #282213=ORIENTED_EDGE('',*,*,#205618,.T.); #282214=ORIENTED_EDGE('',*,*,#205620,.F.); #282215=ORIENTED_EDGE('',*,*,#205621,.F.); #282216=ORIENTED_EDGE('',*,*,#205622,.T.); #282217=ORIENTED_EDGE('',*,*,#205621,.T.); #282218=ORIENTED_EDGE('',*,*,#205623,.F.); #282219=ORIENTED_EDGE('',*,*,#205624,.F.); #282220=ORIENTED_EDGE('',*,*,#205625,.T.); #282221=ORIENTED_EDGE('',*,*,#205624,.T.); #282222=ORIENTED_EDGE('',*,*,#205626,.F.); #282223=ORIENTED_EDGE('',*,*,#205627,.F.); #282224=ORIENTED_EDGE('',*,*,#205628,.T.); #282225=ORIENTED_EDGE('',*,*,#205627,.T.); #282226=ORIENTED_EDGE('',*,*,#205629,.F.); #282227=ORIENTED_EDGE('',*,*,#205630,.F.); #282228=ORIENTED_EDGE('',*,*,#205631,.T.); #282229=ORIENTED_EDGE('',*,*,#205630,.T.); #282230=ORIENTED_EDGE('',*,*,#205632,.F.); #282231=ORIENTED_EDGE('',*,*,#205633,.F.); #282232=ORIENTED_EDGE('',*,*,#205634,.T.); #282233=ORIENTED_EDGE('',*,*,#205633,.T.); #282234=ORIENTED_EDGE('',*,*,#205635,.F.); #282235=ORIENTED_EDGE('',*,*,#205636,.F.); #282236=ORIENTED_EDGE('',*,*,#205637,.T.); #282237=ORIENTED_EDGE('',*,*,#205636,.T.); #282238=ORIENTED_EDGE('',*,*,#205638,.F.); #282239=ORIENTED_EDGE('',*,*,#205639,.F.); #282240=ORIENTED_EDGE('',*,*,#205640,.T.); #282241=ORIENTED_EDGE('',*,*,#205639,.T.); #282242=ORIENTED_EDGE('',*,*,#205641,.F.); #282243=ORIENTED_EDGE('',*,*,#205642,.F.); #282244=ORIENTED_EDGE('',*,*,#205643,.T.); #282245=ORIENTED_EDGE('',*,*,#205642,.T.); #282246=ORIENTED_EDGE('',*,*,#205644,.F.); #282247=ORIENTED_EDGE('',*,*,#205645,.F.); #282248=ORIENTED_EDGE('',*,*,#205646,.T.); #282249=ORIENTED_EDGE('',*,*,#205645,.T.); #282250=ORIENTED_EDGE('',*,*,#205647,.F.); #282251=ORIENTED_EDGE('',*,*,#205648,.F.); #282252=ORIENTED_EDGE('',*,*,#205649,.T.); #282253=ORIENTED_EDGE('',*,*,#205648,.T.); #282254=ORIENTED_EDGE('',*,*,#205650,.F.); #282255=ORIENTED_EDGE('',*,*,#205651,.F.); #282256=ORIENTED_EDGE('',*,*,#205652,.T.); #282257=ORIENTED_EDGE('',*,*,#205651,.T.); #282258=ORIENTED_EDGE('',*,*,#205653,.F.); #282259=ORIENTED_EDGE('',*,*,#205592,.F.); #282260=ORIENTED_EDGE('',*,*,#205653,.T.); #282261=ORIENTED_EDGE('',*,*,#205650,.T.); #282262=ORIENTED_EDGE('',*,*,#205647,.T.); #282263=ORIENTED_EDGE('',*,*,#205644,.T.); #282264=ORIENTED_EDGE('',*,*,#205641,.T.); #282265=ORIENTED_EDGE('',*,*,#205638,.T.); #282266=ORIENTED_EDGE('',*,*,#205635,.T.); #282267=ORIENTED_EDGE('',*,*,#205632,.T.); #282268=ORIENTED_EDGE('',*,*,#205629,.T.); #282269=ORIENTED_EDGE('',*,*,#205626,.T.); #282270=ORIENTED_EDGE('',*,*,#205623,.T.); #282271=ORIENTED_EDGE('',*,*,#205620,.T.); #282272=ORIENTED_EDGE('',*,*,#205617,.T.); #282273=ORIENTED_EDGE('',*,*,#205614,.T.); #282274=ORIENTED_EDGE('',*,*,#205611,.T.); #282275=ORIENTED_EDGE('',*,*,#205608,.T.); #282276=ORIENTED_EDGE('',*,*,#205605,.T.); #282277=ORIENTED_EDGE('',*,*,#205602,.T.); #282278=ORIENTED_EDGE('',*,*,#205599,.T.); #282279=ORIENTED_EDGE('',*,*,#205596,.T.); #282280=ORIENTED_EDGE('',*,*,#205593,.T.); #282281=ORIENTED_EDGE('',*,*,#205652,.F.); #282282=ORIENTED_EDGE('',*,*,#205591,.F.); #282283=ORIENTED_EDGE('',*,*,#205595,.F.); #282284=ORIENTED_EDGE('',*,*,#205598,.F.); #282285=ORIENTED_EDGE('',*,*,#205601,.F.); #282286=ORIENTED_EDGE('',*,*,#205604,.F.); #282287=ORIENTED_EDGE('',*,*,#205607,.F.); #282288=ORIENTED_EDGE('',*,*,#205610,.F.); #282289=ORIENTED_EDGE('',*,*,#205613,.F.); #282290=ORIENTED_EDGE('',*,*,#205616,.F.); #282291=ORIENTED_EDGE('',*,*,#205619,.F.); #282292=ORIENTED_EDGE('',*,*,#205622,.F.); #282293=ORIENTED_EDGE('',*,*,#205625,.F.); #282294=ORIENTED_EDGE('',*,*,#205628,.F.); #282295=ORIENTED_EDGE('',*,*,#205631,.F.); #282296=ORIENTED_EDGE('',*,*,#205634,.F.); #282297=ORIENTED_EDGE('',*,*,#205637,.F.); #282298=ORIENTED_EDGE('',*,*,#205640,.F.); #282299=ORIENTED_EDGE('',*,*,#205643,.F.); #282300=ORIENTED_EDGE('',*,*,#205646,.F.); #282301=ORIENTED_EDGE('',*,*,#205649,.F.); #282302=ORIENTED_EDGE('',*,*,#205654,.T.); #282303=ORIENTED_EDGE('',*,*,#205655,.T.); #282304=ORIENTED_EDGE('',*,*,#205656,.F.); #282305=ORIENTED_EDGE('',*,*,#205657,.F.); #282306=ORIENTED_EDGE('',*,*,#205658,.T.); #282307=ORIENTED_EDGE('',*,*,#205657,.T.); #282308=ORIENTED_EDGE('',*,*,#205659,.F.); #282309=ORIENTED_EDGE('',*,*,#205660,.F.); #282310=ORIENTED_EDGE('',*,*,#205661,.T.); #282311=ORIENTED_EDGE('',*,*,#205660,.T.); #282312=ORIENTED_EDGE('',*,*,#205662,.F.); #282313=ORIENTED_EDGE('',*,*,#205663,.F.); #282314=ORIENTED_EDGE('',*,*,#205664,.T.); #282315=ORIENTED_EDGE('',*,*,#205663,.T.); #282316=ORIENTED_EDGE('',*,*,#205665,.F.); #282317=ORIENTED_EDGE('',*,*,#205666,.F.); #282318=ORIENTED_EDGE('',*,*,#205667,.T.); #282319=ORIENTED_EDGE('',*,*,#205666,.T.); #282320=ORIENTED_EDGE('',*,*,#205668,.F.); #282321=ORIENTED_EDGE('',*,*,#205669,.F.); #282322=ORIENTED_EDGE('',*,*,#205670,.T.); #282323=ORIENTED_EDGE('',*,*,#205669,.T.); #282324=ORIENTED_EDGE('',*,*,#205671,.F.); #282325=ORIENTED_EDGE('',*,*,#205672,.F.); #282326=ORIENTED_EDGE('',*,*,#205673,.T.); #282327=ORIENTED_EDGE('',*,*,#205672,.T.); #282328=ORIENTED_EDGE('',*,*,#205674,.F.); #282329=ORIENTED_EDGE('',*,*,#205675,.F.); #282330=ORIENTED_EDGE('',*,*,#205676,.T.); #282331=ORIENTED_EDGE('',*,*,#205675,.T.); #282332=ORIENTED_EDGE('',*,*,#205677,.F.); #282333=ORIENTED_EDGE('',*,*,#205678,.F.); #282334=ORIENTED_EDGE('',*,*,#205679,.T.); #282335=ORIENTED_EDGE('',*,*,#205678,.T.); #282336=ORIENTED_EDGE('',*,*,#205680,.F.); #282337=ORIENTED_EDGE('',*,*,#205681,.F.); #282338=ORIENTED_EDGE('',*,*,#205682,.T.); #282339=ORIENTED_EDGE('',*,*,#205681,.T.); #282340=ORIENTED_EDGE('',*,*,#205683,.F.); #282341=ORIENTED_EDGE('',*,*,#205684,.F.); #282342=ORIENTED_EDGE('',*,*,#205685,.T.); #282343=ORIENTED_EDGE('',*,*,#205684,.T.); #282344=ORIENTED_EDGE('',*,*,#205686,.F.); #282345=ORIENTED_EDGE('',*,*,#205687,.F.); #282346=ORIENTED_EDGE('',*,*,#205688,.T.); #282347=ORIENTED_EDGE('',*,*,#205687,.T.); #282348=ORIENTED_EDGE('',*,*,#205689,.F.); #282349=ORIENTED_EDGE('',*,*,#205690,.F.); #282350=ORIENTED_EDGE('',*,*,#205691,.T.); #282351=ORIENTED_EDGE('',*,*,#205690,.T.); #282352=ORIENTED_EDGE('',*,*,#205692,.F.); #282353=ORIENTED_EDGE('',*,*,#205693,.F.); #282354=ORIENTED_EDGE('',*,*,#205694,.T.); #282355=ORIENTED_EDGE('',*,*,#205693,.T.); #282356=ORIENTED_EDGE('',*,*,#205695,.F.); #282357=ORIENTED_EDGE('',*,*,#205696,.F.); #282358=ORIENTED_EDGE('',*,*,#205697,.T.); #282359=ORIENTED_EDGE('',*,*,#205696,.T.); #282360=ORIENTED_EDGE('',*,*,#205698,.F.); #282361=ORIENTED_EDGE('',*,*,#205699,.F.); #282362=ORIENTED_EDGE('',*,*,#205700,.T.); #282363=ORIENTED_EDGE('',*,*,#205699,.T.); #282364=ORIENTED_EDGE('',*,*,#205701,.F.); #282365=ORIENTED_EDGE('',*,*,#205702,.F.); #282366=ORIENTED_EDGE('',*,*,#205703,.T.); #282367=ORIENTED_EDGE('',*,*,#205702,.T.); #282368=ORIENTED_EDGE('',*,*,#205704,.F.); #282369=ORIENTED_EDGE('',*,*,#205705,.F.); #282370=ORIENTED_EDGE('',*,*,#205706,.T.); #282371=ORIENTED_EDGE('',*,*,#205705,.T.); #282372=ORIENTED_EDGE('',*,*,#205707,.F.); #282373=ORIENTED_EDGE('',*,*,#205708,.F.); #282374=ORIENTED_EDGE('',*,*,#205709,.T.); #282375=ORIENTED_EDGE('',*,*,#205708,.T.); #282376=ORIENTED_EDGE('',*,*,#205710,.F.); #282377=ORIENTED_EDGE('',*,*,#205711,.F.); #282378=ORIENTED_EDGE('',*,*,#205712,.T.); #282379=ORIENTED_EDGE('',*,*,#205711,.T.); #282380=ORIENTED_EDGE('',*,*,#205713,.F.); #282381=ORIENTED_EDGE('',*,*,#205714,.F.); #282382=ORIENTED_EDGE('',*,*,#205715,.T.); #282383=ORIENTED_EDGE('',*,*,#205714,.T.); #282384=ORIENTED_EDGE('',*,*,#205716,.F.); #282385=ORIENTED_EDGE('',*,*,#205717,.F.); #282386=ORIENTED_EDGE('',*,*,#205718,.T.); #282387=ORIENTED_EDGE('',*,*,#205717,.T.); #282388=ORIENTED_EDGE('',*,*,#205719,.F.); #282389=ORIENTED_EDGE('',*,*,#205655,.F.); #282390=ORIENTED_EDGE('',*,*,#205719,.T.); #282391=ORIENTED_EDGE('',*,*,#205716,.T.); #282392=ORIENTED_EDGE('',*,*,#205713,.T.); #282393=ORIENTED_EDGE('',*,*,#205710,.T.); #282394=ORIENTED_EDGE('',*,*,#205707,.T.); #282395=ORIENTED_EDGE('',*,*,#205704,.T.); #282396=ORIENTED_EDGE('',*,*,#205701,.T.); #282397=ORIENTED_EDGE('',*,*,#205698,.T.); #282398=ORIENTED_EDGE('',*,*,#205695,.T.); #282399=ORIENTED_EDGE('',*,*,#205692,.T.); #282400=ORIENTED_EDGE('',*,*,#205689,.T.); #282401=ORIENTED_EDGE('',*,*,#205686,.T.); #282402=ORIENTED_EDGE('',*,*,#205683,.T.); #282403=ORIENTED_EDGE('',*,*,#205680,.T.); #282404=ORIENTED_EDGE('',*,*,#205677,.T.); #282405=ORIENTED_EDGE('',*,*,#205674,.T.); #282406=ORIENTED_EDGE('',*,*,#205671,.T.); #282407=ORIENTED_EDGE('',*,*,#205668,.T.); #282408=ORIENTED_EDGE('',*,*,#205665,.T.); #282409=ORIENTED_EDGE('',*,*,#205662,.T.); #282410=ORIENTED_EDGE('',*,*,#205659,.T.); #282411=ORIENTED_EDGE('',*,*,#205656,.T.); #282412=ORIENTED_EDGE('',*,*,#205718,.F.); #282413=ORIENTED_EDGE('',*,*,#205654,.F.); #282414=ORIENTED_EDGE('',*,*,#205658,.F.); #282415=ORIENTED_EDGE('',*,*,#205661,.F.); #282416=ORIENTED_EDGE('',*,*,#205664,.F.); #282417=ORIENTED_EDGE('',*,*,#205667,.F.); #282418=ORIENTED_EDGE('',*,*,#205670,.F.); #282419=ORIENTED_EDGE('',*,*,#205673,.F.); #282420=ORIENTED_EDGE('',*,*,#205676,.F.); #282421=ORIENTED_EDGE('',*,*,#205679,.F.); #282422=ORIENTED_EDGE('',*,*,#205682,.F.); #282423=ORIENTED_EDGE('',*,*,#205685,.F.); #282424=ORIENTED_EDGE('',*,*,#205688,.F.); #282425=ORIENTED_EDGE('',*,*,#205691,.F.); #282426=ORIENTED_EDGE('',*,*,#205694,.F.); #282427=ORIENTED_EDGE('',*,*,#205697,.F.); #282428=ORIENTED_EDGE('',*,*,#205700,.F.); #282429=ORIENTED_EDGE('',*,*,#205703,.F.); #282430=ORIENTED_EDGE('',*,*,#205706,.F.); #282431=ORIENTED_EDGE('',*,*,#205709,.F.); #282432=ORIENTED_EDGE('',*,*,#205712,.F.); #282433=ORIENTED_EDGE('',*,*,#205715,.F.); #282434=ORIENTED_EDGE('',*,*,#205720,.T.); #282435=ORIENTED_EDGE('',*,*,#205721,.T.); #282436=ORIENTED_EDGE('',*,*,#205722,.F.); #282437=ORIENTED_EDGE('',*,*,#205723,.F.); #282438=ORIENTED_EDGE('',*,*,#205724,.T.); #282439=ORIENTED_EDGE('',*,*,#205723,.T.); #282440=ORIENTED_EDGE('',*,*,#205725,.F.); #282441=ORIENTED_EDGE('',*,*,#205726,.F.); #282442=ORIENTED_EDGE('',*,*,#205727,.T.); #282443=ORIENTED_EDGE('',*,*,#205726,.T.); #282444=ORIENTED_EDGE('',*,*,#205728,.F.); #282445=ORIENTED_EDGE('',*,*,#205729,.F.); #282446=ORIENTED_EDGE('',*,*,#205730,.T.); #282447=ORIENTED_EDGE('',*,*,#205729,.T.); #282448=ORIENTED_EDGE('',*,*,#205731,.F.); #282449=ORIENTED_EDGE('',*,*,#205732,.F.); #282450=ORIENTED_EDGE('',*,*,#205733,.T.); #282451=ORIENTED_EDGE('',*,*,#205732,.T.); #282452=ORIENTED_EDGE('',*,*,#205734,.F.); #282453=ORIENTED_EDGE('',*,*,#205735,.F.); #282454=ORIENTED_EDGE('',*,*,#205736,.T.); #282455=ORIENTED_EDGE('',*,*,#205735,.T.); #282456=ORIENTED_EDGE('',*,*,#205737,.F.); #282457=ORIENTED_EDGE('',*,*,#205738,.F.); #282458=ORIENTED_EDGE('',*,*,#205739,.T.); #282459=ORIENTED_EDGE('',*,*,#205738,.T.); #282460=ORIENTED_EDGE('',*,*,#205740,.F.); #282461=ORIENTED_EDGE('',*,*,#205741,.F.); #282462=ORIENTED_EDGE('',*,*,#205742,.T.); #282463=ORIENTED_EDGE('',*,*,#205741,.T.); #282464=ORIENTED_EDGE('',*,*,#205743,.F.); #282465=ORIENTED_EDGE('',*,*,#205744,.F.); #282466=ORIENTED_EDGE('',*,*,#205745,.T.); #282467=ORIENTED_EDGE('',*,*,#205744,.T.); #282468=ORIENTED_EDGE('',*,*,#205746,.F.); #282469=ORIENTED_EDGE('',*,*,#205747,.F.); #282470=ORIENTED_EDGE('',*,*,#205748,.T.); #282471=ORIENTED_EDGE('',*,*,#205747,.T.); #282472=ORIENTED_EDGE('',*,*,#205749,.F.); #282473=ORIENTED_EDGE('',*,*,#205750,.F.); #282474=ORIENTED_EDGE('',*,*,#205751,.T.); #282475=ORIENTED_EDGE('',*,*,#205750,.T.); #282476=ORIENTED_EDGE('',*,*,#205752,.F.); #282477=ORIENTED_EDGE('',*,*,#205753,.F.); #282478=ORIENTED_EDGE('',*,*,#205754,.T.); #282479=ORIENTED_EDGE('',*,*,#205753,.T.); #282480=ORIENTED_EDGE('',*,*,#205755,.F.); #282481=ORIENTED_EDGE('',*,*,#205756,.F.); #282482=ORIENTED_EDGE('',*,*,#205757,.T.); #282483=ORIENTED_EDGE('',*,*,#205756,.T.); #282484=ORIENTED_EDGE('',*,*,#205758,.F.); #282485=ORIENTED_EDGE('',*,*,#205759,.F.); #282486=ORIENTED_EDGE('',*,*,#205760,.T.); #282487=ORIENTED_EDGE('',*,*,#205759,.T.); #282488=ORIENTED_EDGE('',*,*,#205761,.F.); #282489=ORIENTED_EDGE('',*,*,#205762,.F.); #282490=ORIENTED_EDGE('',*,*,#205763,.T.); #282491=ORIENTED_EDGE('',*,*,#205762,.T.); #282492=ORIENTED_EDGE('',*,*,#205764,.F.); #282493=ORIENTED_EDGE('',*,*,#205765,.F.); #282494=ORIENTED_EDGE('',*,*,#205766,.T.); #282495=ORIENTED_EDGE('',*,*,#205765,.T.); #282496=ORIENTED_EDGE('',*,*,#205767,.F.); #282497=ORIENTED_EDGE('',*,*,#205768,.F.); #282498=ORIENTED_EDGE('',*,*,#205769,.T.); #282499=ORIENTED_EDGE('',*,*,#205768,.T.); #282500=ORIENTED_EDGE('',*,*,#205770,.F.); #282501=ORIENTED_EDGE('',*,*,#205771,.F.); #282502=ORIENTED_EDGE('',*,*,#205772,.T.); #282503=ORIENTED_EDGE('',*,*,#205771,.T.); #282504=ORIENTED_EDGE('',*,*,#205773,.F.); #282505=ORIENTED_EDGE('',*,*,#205774,.F.); #282506=ORIENTED_EDGE('',*,*,#205775,.T.); #282507=ORIENTED_EDGE('',*,*,#205774,.T.); #282508=ORIENTED_EDGE('',*,*,#205776,.F.); #282509=ORIENTED_EDGE('',*,*,#205777,.F.); #282510=ORIENTED_EDGE('',*,*,#205778,.T.); #282511=ORIENTED_EDGE('',*,*,#205777,.T.); #282512=ORIENTED_EDGE('',*,*,#205779,.F.); #282513=ORIENTED_EDGE('',*,*,#205721,.F.); #282514=ORIENTED_EDGE('',*,*,#205779,.T.); #282515=ORIENTED_EDGE('',*,*,#205776,.T.); #282516=ORIENTED_EDGE('',*,*,#205773,.T.); #282517=ORIENTED_EDGE('',*,*,#205770,.T.); #282518=ORIENTED_EDGE('',*,*,#205767,.T.); #282519=ORIENTED_EDGE('',*,*,#205764,.T.); #282520=ORIENTED_EDGE('',*,*,#205761,.T.); #282521=ORIENTED_EDGE('',*,*,#205758,.T.); #282522=ORIENTED_EDGE('',*,*,#205755,.T.); #282523=ORIENTED_EDGE('',*,*,#205752,.T.); #282524=ORIENTED_EDGE('',*,*,#205749,.T.); #282525=ORIENTED_EDGE('',*,*,#205746,.T.); #282526=ORIENTED_EDGE('',*,*,#205743,.T.); #282527=ORIENTED_EDGE('',*,*,#205740,.T.); #282528=ORIENTED_EDGE('',*,*,#205737,.T.); #282529=ORIENTED_EDGE('',*,*,#205734,.T.); #282530=ORIENTED_EDGE('',*,*,#205731,.T.); #282531=ORIENTED_EDGE('',*,*,#205728,.T.); #282532=ORIENTED_EDGE('',*,*,#205725,.T.); #282533=ORIENTED_EDGE('',*,*,#205722,.T.); #282534=ORIENTED_EDGE('',*,*,#205778,.F.); #282535=ORIENTED_EDGE('',*,*,#205720,.F.); #282536=ORIENTED_EDGE('',*,*,#205724,.F.); #282537=ORIENTED_EDGE('',*,*,#205727,.F.); #282538=ORIENTED_EDGE('',*,*,#205730,.F.); #282539=ORIENTED_EDGE('',*,*,#205733,.F.); #282540=ORIENTED_EDGE('',*,*,#205736,.F.); #282541=ORIENTED_EDGE('',*,*,#205739,.F.); #282542=ORIENTED_EDGE('',*,*,#205742,.F.); #282543=ORIENTED_EDGE('',*,*,#205745,.F.); #282544=ORIENTED_EDGE('',*,*,#205748,.F.); #282545=ORIENTED_EDGE('',*,*,#205751,.F.); #282546=ORIENTED_EDGE('',*,*,#205754,.F.); #282547=ORIENTED_EDGE('',*,*,#205757,.F.); #282548=ORIENTED_EDGE('',*,*,#205760,.F.); #282549=ORIENTED_EDGE('',*,*,#205763,.F.); #282550=ORIENTED_EDGE('',*,*,#205766,.F.); #282551=ORIENTED_EDGE('',*,*,#205769,.F.); #282552=ORIENTED_EDGE('',*,*,#205772,.F.); #282553=ORIENTED_EDGE('',*,*,#205775,.F.); #282554=ORIENTED_EDGE('',*,*,#205780,.T.); #282555=ORIENTED_EDGE('',*,*,#205781,.T.); #282556=ORIENTED_EDGE('',*,*,#205782,.F.); #282557=ORIENTED_EDGE('',*,*,#205783,.F.); #282558=ORIENTED_EDGE('',*,*,#205784,.T.); #282559=ORIENTED_EDGE('',*,*,#205783,.T.); #282560=ORIENTED_EDGE('',*,*,#205785,.F.); #282561=ORIENTED_EDGE('',*,*,#205786,.F.); #282562=ORIENTED_EDGE('',*,*,#205787,.T.); #282563=ORIENTED_EDGE('',*,*,#205786,.T.); #282564=ORIENTED_EDGE('',*,*,#205788,.F.); #282565=ORIENTED_EDGE('',*,*,#205789,.F.); #282566=ORIENTED_EDGE('',*,*,#205790,.T.); #282567=ORIENTED_EDGE('',*,*,#205789,.T.); #282568=ORIENTED_EDGE('',*,*,#205791,.F.); #282569=ORIENTED_EDGE('',*,*,#205792,.F.); #282570=ORIENTED_EDGE('',*,*,#205793,.T.); #282571=ORIENTED_EDGE('',*,*,#205792,.T.); #282572=ORIENTED_EDGE('',*,*,#205794,.F.); #282573=ORIENTED_EDGE('',*,*,#205795,.F.); #282574=ORIENTED_EDGE('',*,*,#205796,.T.); #282575=ORIENTED_EDGE('',*,*,#205795,.T.); #282576=ORIENTED_EDGE('',*,*,#205797,.F.); #282577=ORIENTED_EDGE('',*,*,#205798,.F.); #282578=ORIENTED_EDGE('',*,*,#205799,.T.); #282579=ORIENTED_EDGE('',*,*,#205798,.T.); #282580=ORIENTED_EDGE('',*,*,#205800,.F.); #282581=ORIENTED_EDGE('',*,*,#205801,.F.); #282582=ORIENTED_EDGE('',*,*,#205802,.T.); #282583=ORIENTED_EDGE('',*,*,#205801,.T.); #282584=ORIENTED_EDGE('',*,*,#205803,.F.); #282585=ORIENTED_EDGE('',*,*,#205804,.F.); #282586=ORIENTED_EDGE('',*,*,#205805,.T.); #282587=ORIENTED_EDGE('',*,*,#205804,.T.); #282588=ORIENTED_EDGE('',*,*,#205806,.F.); #282589=ORIENTED_EDGE('',*,*,#205807,.F.); #282590=ORIENTED_EDGE('',*,*,#205808,.T.); #282591=ORIENTED_EDGE('',*,*,#205807,.T.); #282592=ORIENTED_EDGE('',*,*,#205809,.F.); #282593=ORIENTED_EDGE('',*,*,#205810,.F.); #282594=ORIENTED_EDGE('',*,*,#205811,.T.); #282595=ORIENTED_EDGE('',*,*,#205810,.T.); #282596=ORIENTED_EDGE('',*,*,#205812,.F.); #282597=ORIENTED_EDGE('',*,*,#205813,.F.); #282598=ORIENTED_EDGE('',*,*,#205814,.T.); #282599=ORIENTED_EDGE('',*,*,#205813,.T.); #282600=ORIENTED_EDGE('',*,*,#205815,.F.); #282601=ORIENTED_EDGE('',*,*,#205816,.F.); #282602=ORIENTED_EDGE('',*,*,#205817,.T.); #282603=ORIENTED_EDGE('',*,*,#205816,.T.); #282604=ORIENTED_EDGE('',*,*,#205818,.F.); #282605=ORIENTED_EDGE('',*,*,#205819,.F.); #282606=ORIENTED_EDGE('',*,*,#205820,.T.); #282607=ORIENTED_EDGE('',*,*,#205819,.T.); #282608=ORIENTED_EDGE('',*,*,#205821,.F.); #282609=ORIENTED_EDGE('',*,*,#205822,.F.); #282610=ORIENTED_EDGE('',*,*,#205823,.T.); #282611=ORIENTED_EDGE('',*,*,#205822,.T.); #282612=ORIENTED_EDGE('',*,*,#205824,.F.); #282613=ORIENTED_EDGE('',*,*,#205825,.F.); #282614=ORIENTED_EDGE('',*,*,#205826,.T.); #282615=ORIENTED_EDGE('',*,*,#205825,.T.); #282616=ORIENTED_EDGE('',*,*,#205827,.F.); #282617=ORIENTED_EDGE('',*,*,#205828,.F.); #282618=ORIENTED_EDGE('',*,*,#205829,.T.); #282619=ORIENTED_EDGE('',*,*,#205828,.T.); #282620=ORIENTED_EDGE('',*,*,#205830,.F.); #282621=ORIENTED_EDGE('',*,*,#205831,.F.); #282622=ORIENTED_EDGE('',*,*,#205832,.T.); #282623=ORIENTED_EDGE('',*,*,#205831,.T.); #282624=ORIENTED_EDGE('',*,*,#205833,.F.); #282625=ORIENTED_EDGE('',*,*,#205834,.F.); #282626=ORIENTED_EDGE('',*,*,#205835,.T.); #282627=ORIENTED_EDGE('',*,*,#205834,.T.); #282628=ORIENTED_EDGE('',*,*,#205836,.F.); #282629=ORIENTED_EDGE('',*,*,#205837,.F.); #282630=ORIENTED_EDGE('',*,*,#205838,.T.); #282631=ORIENTED_EDGE('',*,*,#205837,.T.); #282632=ORIENTED_EDGE('',*,*,#205839,.F.); #282633=ORIENTED_EDGE('',*,*,#205840,.F.); #282634=ORIENTED_EDGE('',*,*,#205841,.T.); #282635=ORIENTED_EDGE('',*,*,#205840,.T.); #282636=ORIENTED_EDGE('',*,*,#205842,.F.); #282637=ORIENTED_EDGE('',*,*,#205843,.F.); #282638=ORIENTED_EDGE('',*,*,#205844,.T.); #282639=ORIENTED_EDGE('',*,*,#205843,.T.); #282640=ORIENTED_EDGE('',*,*,#205845,.F.); #282641=ORIENTED_EDGE('',*,*,#205846,.F.); #282642=ORIENTED_EDGE('',*,*,#205847,.T.); #282643=ORIENTED_EDGE('',*,*,#205846,.T.); #282644=ORIENTED_EDGE('',*,*,#205848,.F.); #282645=ORIENTED_EDGE('',*,*,#205849,.F.); #282646=ORIENTED_EDGE('',*,*,#205850,.T.); #282647=ORIENTED_EDGE('',*,*,#205849,.T.); #282648=ORIENTED_EDGE('',*,*,#205851,.F.); #282649=ORIENTED_EDGE('',*,*,#205852,.F.); #282650=ORIENTED_EDGE('',*,*,#205853,.T.); #282651=ORIENTED_EDGE('',*,*,#205852,.T.); #282652=ORIENTED_EDGE('',*,*,#205854,.F.); #282653=ORIENTED_EDGE('',*,*,#205855,.F.); #282654=ORIENTED_EDGE('',*,*,#205856,.T.); #282655=ORIENTED_EDGE('',*,*,#205855,.T.); #282656=ORIENTED_EDGE('',*,*,#205857,.F.); #282657=ORIENTED_EDGE('',*,*,#205858,.F.); #282658=ORIENTED_EDGE('',*,*,#205859,.T.); #282659=ORIENTED_EDGE('',*,*,#205858,.T.); #282660=ORIENTED_EDGE('',*,*,#205860,.F.); #282661=ORIENTED_EDGE('',*,*,#205861,.F.); #282662=ORIENTED_EDGE('',*,*,#205862,.T.); #282663=ORIENTED_EDGE('',*,*,#205861,.T.); #282664=ORIENTED_EDGE('',*,*,#205863,.F.); #282665=ORIENTED_EDGE('',*,*,#205864,.F.); #282666=ORIENTED_EDGE('',*,*,#205865,.T.); #282667=ORIENTED_EDGE('',*,*,#205864,.T.); #282668=ORIENTED_EDGE('',*,*,#205866,.F.); #282669=ORIENTED_EDGE('',*,*,#205867,.F.); #282670=ORIENTED_EDGE('',*,*,#205868,.T.); #282671=ORIENTED_EDGE('',*,*,#205867,.T.); #282672=ORIENTED_EDGE('',*,*,#205869,.F.); #282673=ORIENTED_EDGE('',*,*,#205870,.F.); #282674=ORIENTED_EDGE('',*,*,#205871,.T.); #282675=ORIENTED_EDGE('',*,*,#205870,.T.); #282676=ORIENTED_EDGE('',*,*,#205872,.F.); #282677=ORIENTED_EDGE('',*,*,#205873,.F.); #282678=ORIENTED_EDGE('',*,*,#205874,.T.); #282679=ORIENTED_EDGE('',*,*,#205873,.T.); #282680=ORIENTED_EDGE('',*,*,#205875,.F.); #282681=ORIENTED_EDGE('',*,*,#205876,.F.); #282682=ORIENTED_EDGE('',*,*,#205877,.T.); #282683=ORIENTED_EDGE('',*,*,#205876,.T.); #282684=ORIENTED_EDGE('',*,*,#205878,.F.); #282685=ORIENTED_EDGE('',*,*,#205879,.F.); #282686=ORIENTED_EDGE('',*,*,#205880,.T.); #282687=ORIENTED_EDGE('',*,*,#205879,.T.); #282688=ORIENTED_EDGE('',*,*,#205881,.F.); #282689=ORIENTED_EDGE('',*,*,#205882,.F.); #282690=ORIENTED_EDGE('',*,*,#205883,.T.); #282691=ORIENTED_EDGE('',*,*,#205882,.T.); #282692=ORIENTED_EDGE('',*,*,#205884,.F.); #282693=ORIENTED_EDGE('',*,*,#205885,.F.); #282694=ORIENTED_EDGE('',*,*,#205886,.T.); #282695=ORIENTED_EDGE('',*,*,#205885,.T.); #282696=ORIENTED_EDGE('',*,*,#205887,.F.); #282697=ORIENTED_EDGE('',*,*,#205888,.F.); #282698=ORIENTED_EDGE('',*,*,#205889,.T.); #282699=ORIENTED_EDGE('',*,*,#205888,.T.); #282700=ORIENTED_EDGE('',*,*,#205890,.F.); #282701=ORIENTED_EDGE('',*,*,#205891,.F.); #282702=ORIENTED_EDGE('',*,*,#205892,.T.); #282703=ORIENTED_EDGE('',*,*,#205891,.T.); #282704=ORIENTED_EDGE('',*,*,#205893,.F.); #282705=ORIENTED_EDGE('',*,*,#205894,.F.); #282706=ORIENTED_EDGE('',*,*,#205895,.T.); #282707=ORIENTED_EDGE('',*,*,#205894,.T.); #282708=ORIENTED_EDGE('',*,*,#205896,.F.); #282709=ORIENTED_EDGE('',*,*,#205897,.F.); #282710=ORIENTED_EDGE('',*,*,#205898,.T.); #282711=ORIENTED_EDGE('',*,*,#205897,.T.); #282712=ORIENTED_EDGE('',*,*,#205899,.F.); #282713=ORIENTED_EDGE('',*,*,#205900,.F.); #282714=ORIENTED_EDGE('',*,*,#205901,.T.); #282715=ORIENTED_EDGE('',*,*,#205900,.T.); #282716=ORIENTED_EDGE('',*,*,#205902,.F.); #282717=ORIENTED_EDGE('',*,*,#205903,.F.); #282718=ORIENTED_EDGE('',*,*,#205904,.T.); #282719=ORIENTED_EDGE('',*,*,#205903,.T.); #282720=ORIENTED_EDGE('',*,*,#205905,.F.); #282721=ORIENTED_EDGE('',*,*,#205906,.F.); #282722=ORIENTED_EDGE('',*,*,#205907,.T.); #282723=ORIENTED_EDGE('',*,*,#205906,.T.); #282724=ORIENTED_EDGE('',*,*,#205908,.F.); #282725=ORIENTED_EDGE('',*,*,#205909,.F.); #282726=ORIENTED_EDGE('',*,*,#205910,.T.); #282727=ORIENTED_EDGE('',*,*,#205909,.T.); #282728=ORIENTED_EDGE('',*,*,#205911,.F.); #282729=ORIENTED_EDGE('',*,*,#205912,.F.); #282730=ORIENTED_EDGE('',*,*,#205913,.T.); #282731=ORIENTED_EDGE('',*,*,#205912,.T.); #282732=ORIENTED_EDGE('',*,*,#205914,.F.); #282733=ORIENTED_EDGE('',*,*,#205915,.F.); #282734=ORIENTED_EDGE('',*,*,#205916,.T.); #282735=ORIENTED_EDGE('',*,*,#205915,.T.); #282736=ORIENTED_EDGE('',*,*,#205917,.F.); #282737=ORIENTED_EDGE('',*,*,#205918,.F.); #282738=ORIENTED_EDGE('',*,*,#205919,.T.); #282739=ORIENTED_EDGE('',*,*,#205918,.T.); #282740=ORIENTED_EDGE('',*,*,#205920,.F.); #282741=ORIENTED_EDGE('',*,*,#205781,.F.); #282742=ORIENTED_EDGE('',*,*,#205920,.T.); #282743=ORIENTED_EDGE('',*,*,#205917,.T.); #282744=ORIENTED_EDGE('',*,*,#205914,.T.); #282745=ORIENTED_EDGE('',*,*,#205911,.T.); #282746=ORIENTED_EDGE('',*,*,#205908,.T.); #282747=ORIENTED_EDGE('',*,*,#205905,.T.); #282748=ORIENTED_EDGE('',*,*,#205902,.T.); #282749=ORIENTED_EDGE('',*,*,#205899,.T.); #282750=ORIENTED_EDGE('',*,*,#205896,.T.); #282751=ORIENTED_EDGE('',*,*,#205893,.T.); #282752=ORIENTED_EDGE('',*,*,#205890,.T.); #282753=ORIENTED_EDGE('',*,*,#205887,.T.); #282754=ORIENTED_EDGE('',*,*,#205884,.T.); #282755=ORIENTED_EDGE('',*,*,#205881,.T.); #282756=ORIENTED_EDGE('',*,*,#205878,.T.); #282757=ORIENTED_EDGE('',*,*,#205875,.T.); #282758=ORIENTED_EDGE('',*,*,#205872,.T.); #282759=ORIENTED_EDGE('',*,*,#205869,.T.); #282760=ORIENTED_EDGE('',*,*,#205866,.T.); #282761=ORIENTED_EDGE('',*,*,#205863,.T.); #282762=ORIENTED_EDGE('',*,*,#205860,.T.); #282763=ORIENTED_EDGE('',*,*,#205857,.T.); #282764=ORIENTED_EDGE('',*,*,#205854,.T.); #282765=ORIENTED_EDGE('',*,*,#205851,.T.); #282766=ORIENTED_EDGE('',*,*,#205848,.T.); #282767=ORIENTED_EDGE('',*,*,#205845,.T.); #282768=ORIENTED_EDGE('',*,*,#205842,.T.); #282769=ORIENTED_EDGE('',*,*,#205839,.T.); #282770=ORIENTED_EDGE('',*,*,#205836,.T.); #282771=ORIENTED_EDGE('',*,*,#205833,.T.); #282772=ORIENTED_EDGE('',*,*,#205830,.T.); #282773=ORIENTED_EDGE('',*,*,#205827,.T.); #282774=ORIENTED_EDGE('',*,*,#205824,.T.); #282775=ORIENTED_EDGE('',*,*,#205821,.T.); #282776=ORIENTED_EDGE('',*,*,#205818,.T.); #282777=ORIENTED_EDGE('',*,*,#205815,.T.); #282778=ORIENTED_EDGE('',*,*,#205812,.T.); #282779=ORIENTED_EDGE('',*,*,#205809,.T.); #282780=ORIENTED_EDGE('',*,*,#205806,.T.); #282781=ORIENTED_EDGE('',*,*,#205803,.T.); #282782=ORIENTED_EDGE('',*,*,#205800,.T.); #282783=ORIENTED_EDGE('',*,*,#205797,.T.); #282784=ORIENTED_EDGE('',*,*,#205794,.T.); #282785=ORIENTED_EDGE('',*,*,#205791,.T.); #282786=ORIENTED_EDGE('',*,*,#205788,.T.); #282787=ORIENTED_EDGE('',*,*,#205785,.T.); #282788=ORIENTED_EDGE('',*,*,#205782,.T.); #282789=ORIENTED_EDGE('',*,*,#205919,.F.); #282790=ORIENTED_EDGE('',*,*,#205780,.F.); #282791=ORIENTED_EDGE('',*,*,#205784,.F.); #282792=ORIENTED_EDGE('',*,*,#205787,.F.); #282793=ORIENTED_EDGE('',*,*,#205790,.F.); #282794=ORIENTED_EDGE('',*,*,#205793,.F.); #282795=ORIENTED_EDGE('',*,*,#205796,.F.); #282796=ORIENTED_EDGE('',*,*,#205799,.F.); #282797=ORIENTED_EDGE('',*,*,#205802,.F.); #282798=ORIENTED_EDGE('',*,*,#205805,.F.); #282799=ORIENTED_EDGE('',*,*,#205808,.F.); #282800=ORIENTED_EDGE('',*,*,#205811,.F.); #282801=ORIENTED_EDGE('',*,*,#205814,.F.); #282802=ORIENTED_EDGE('',*,*,#205817,.F.); #282803=ORIENTED_EDGE('',*,*,#205820,.F.); #282804=ORIENTED_EDGE('',*,*,#205823,.F.); #282805=ORIENTED_EDGE('',*,*,#205826,.F.); #282806=ORIENTED_EDGE('',*,*,#205829,.F.); #282807=ORIENTED_EDGE('',*,*,#205832,.F.); #282808=ORIENTED_EDGE('',*,*,#205835,.F.); #282809=ORIENTED_EDGE('',*,*,#205838,.F.); #282810=ORIENTED_EDGE('',*,*,#205841,.F.); #282811=ORIENTED_EDGE('',*,*,#205844,.F.); #282812=ORIENTED_EDGE('',*,*,#205847,.F.); #282813=ORIENTED_EDGE('',*,*,#205850,.F.); #282814=ORIENTED_EDGE('',*,*,#205853,.F.); #282815=ORIENTED_EDGE('',*,*,#205856,.F.); #282816=ORIENTED_EDGE('',*,*,#205859,.F.); #282817=ORIENTED_EDGE('',*,*,#205862,.F.); #282818=ORIENTED_EDGE('',*,*,#205865,.F.); #282819=ORIENTED_EDGE('',*,*,#205868,.F.); #282820=ORIENTED_EDGE('',*,*,#205871,.F.); #282821=ORIENTED_EDGE('',*,*,#205874,.F.); #282822=ORIENTED_EDGE('',*,*,#205877,.F.); #282823=ORIENTED_EDGE('',*,*,#205880,.F.); #282824=ORIENTED_EDGE('',*,*,#205883,.F.); #282825=ORIENTED_EDGE('',*,*,#205886,.F.); #282826=ORIENTED_EDGE('',*,*,#205889,.F.); #282827=ORIENTED_EDGE('',*,*,#205892,.F.); #282828=ORIENTED_EDGE('',*,*,#205895,.F.); #282829=ORIENTED_EDGE('',*,*,#205898,.F.); #282830=ORIENTED_EDGE('',*,*,#205901,.F.); #282831=ORIENTED_EDGE('',*,*,#205904,.F.); #282832=ORIENTED_EDGE('',*,*,#205907,.F.); #282833=ORIENTED_EDGE('',*,*,#205910,.F.); #282834=ORIENTED_EDGE('',*,*,#205913,.F.); #282835=ORIENTED_EDGE('',*,*,#205916,.F.); #282836=ORIENTED_EDGE('',*,*,#205921,.T.); #282837=ORIENTED_EDGE('',*,*,#205922,.T.); #282838=ORIENTED_EDGE('',*,*,#205923,.F.); #282839=ORIENTED_EDGE('',*,*,#205924,.F.); #282840=ORIENTED_EDGE('',*,*,#205925,.T.); #282841=ORIENTED_EDGE('',*,*,#205924,.T.); #282842=ORIENTED_EDGE('',*,*,#205926,.F.); #282843=ORIENTED_EDGE('',*,*,#205927,.F.); #282844=ORIENTED_EDGE('',*,*,#205928,.T.); #282845=ORIENTED_EDGE('',*,*,#205927,.T.); #282846=ORIENTED_EDGE('',*,*,#205929,.F.); #282847=ORIENTED_EDGE('',*,*,#205930,.F.); #282848=ORIENTED_EDGE('',*,*,#205931,.T.); #282849=ORIENTED_EDGE('',*,*,#205930,.T.); #282850=ORIENTED_EDGE('',*,*,#205932,.F.); #282851=ORIENTED_EDGE('',*,*,#205933,.F.); #282852=ORIENTED_EDGE('',*,*,#205934,.T.); #282853=ORIENTED_EDGE('',*,*,#205933,.T.); #282854=ORIENTED_EDGE('',*,*,#205935,.F.); #282855=ORIENTED_EDGE('',*,*,#205936,.F.); #282856=ORIENTED_EDGE('',*,*,#205937,.T.); #282857=ORIENTED_EDGE('',*,*,#205936,.T.); #282858=ORIENTED_EDGE('',*,*,#205938,.F.); #282859=ORIENTED_EDGE('',*,*,#205939,.F.); #282860=ORIENTED_EDGE('',*,*,#205940,.T.); #282861=ORIENTED_EDGE('',*,*,#205939,.T.); #282862=ORIENTED_EDGE('',*,*,#205941,.F.); #282863=ORIENTED_EDGE('',*,*,#205942,.F.); #282864=ORIENTED_EDGE('',*,*,#205943,.T.); #282865=ORIENTED_EDGE('',*,*,#205942,.T.); #282866=ORIENTED_EDGE('',*,*,#205944,.F.); #282867=ORIENTED_EDGE('',*,*,#205945,.F.); #282868=ORIENTED_EDGE('',*,*,#205946,.T.); #282869=ORIENTED_EDGE('',*,*,#205945,.T.); #282870=ORIENTED_EDGE('',*,*,#205947,.F.); #282871=ORIENTED_EDGE('',*,*,#205948,.F.); #282872=ORIENTED_EDGE('',*,*,#205949,.T.); #282873=ORIENTED_EDGE('',*,*,#205948,.T.); #282874=ORIENTED_EDGE('',*,*,#205950,.F.); #282875=ORIENTED_EDGE('',*,*,#205951,.F.); #282876=ORIENTED_EDGE('',*,*,#205952,.T.); #282877=ORIENTED_EDGE('',*,*,#205951,.T.); #282878=ORIENTED_EDGE('',*,*,#205953,.F.); #282879=ORIENTED_EDGE('',*,*,#205954,.F.); #282880=ORIENTED_EDGE('',*,*,#205955,.T.); #282881=ORIENTED_EDGE('',*,*,#205954,.T.); #282882=ORIENTED_EDGE('',*,*,#205956,.F.); #282883=ORIENTED_EDGE('',*,*,#205957,.F.); #282884=ORIENTED_EDGE('',*,*,#205958,.T.); #282885=ORIENTED_EDGE('',*,*,#205957,.T.); #282886=ORIENTED_EDGE('',*,*,#205959,.F.); #282887=ORIENTED_EDGE('',*,*,#205960,.F.); #282888=ORIENTED_EDGE('',*,*,#205961,.T.); #282889=ORIENTED_EDGE('',*,*,#205960,.T.); #282890=ORIENTED_EDGE('',*,*,#205962,.F.); #282891=ORIENTED_EDGE('',*,*,#205963,.F.); #282892=ORIENTED_EDGE('',*,*,#205964,.T.); #282893=ORIENTED_EDGE('',*,*,#205963,.T.); #282894=ORIENTED_EDGE('',*,*,#205965,.F.); #282895=ORIENTED_EDGE('',*,*,#205966,.F.); #282896=ORIENTED_EDGE('',*,*,#205967,.T.); #282897=ORIENTED_EDGE('',*,*,#205966,.T.); #282898=ORIENTED_EDGE('',*,*,#205968,.F.); #282899=ORIENTED_EDGE('',*,*,#205969,.F.); #282900=ORIENTED_EDGE('',*,*,#205970,.T.); #282901=ORIENTED_EDGE('',*,*,#205969,.T.); #282902=ORIENTED_EDGE('',*,*,#205971,.F.); #282903=ORIENTED_EDGE('',*,*,#205972,.F.); #282904=ORIENTED_EDGE('',*,*,#205973,.T.); #282905=ORIENTED_EDGE('',*,*,#205972,.T.); #282906=ORIENTED_EDGE('',*,*,#205974,.F.); #282907=ORIENTED_EDGE('',*,*,#205975,.F.); #282908=ORIENTED_EDGE('',*,*,#205976,.T.); #282909=ORIENTED_EDGE('',*,*,#205975,.T.); #282910=ORIENTED_EDGE('',*,*,#205977,.F.); #282911=ORIENTED_EDGE('',*,*,#205978,.F.); #282912=ORIENTED_EDGE('',*,*,#205979,.T.); #282913=ORIENTED_EDGE('',*,*,#205978,.T.); #282914=ORIENTED_EDGE('',*,*,#205980,.F.); #282915=ORIENTED_EDGE('',*,*,#205981,.F.); #282916=ORIENTED_EDGE('',*,*,#205982,.T.); #282917=ORIENTED_EDGE('',*,*,#205981,.T.); #282918=ORIENTED_EDGE('',*,*,#205983,.F.); #282919=ORIENTED_EDGE('',*,*,#205984,.F.); #282920=ORIENTED_EDGE('',*,*,#205985,.T.); #282921=ORIENTED_EDGE('',*,*,#205984,.T.); #282922=ORIENTED_EDGE('',*,*,#205986,.F.); #282923=ORIENTED_EDGE('',*,*,#205987,.F.); #282924=ORIENTED_EDGE('',*,*,#205988,.T.); #282925=ORIENTED_EDGE('',*,*,#205987,.T.); #282926=ORIENTED_EDGE('',*,*,#205989,.F.); #282927=ORIENTED_EDGE('',*,*,#205990,.F.); #282928=ORIENTED_EDGE('',*,*,#205991,.T.); #282929=ORIENTED_EDGE('',*,*,#205990,.T.); #282930=ORIENTED_EDGE('',*,*,#205992,.F.); #282931=ORIENTED_EDGE('',*,*,#205993,.F.); #282932=ORIENTED_EDGE('',*,*,#205994,.T.); #282933=ORIENTED_EDGE('',*,*,#205993,.T.); #282934=ORIENTED_EDGE('',*,*,#205995,.F.); #282935=ORIENTED_EDGE('',*,*,#205996,.F.); #282936=ORIENTED_EDGE('',*,*,#205997,.T.); #282937=ORIENTED_EDGE('',*,*,#205996,.T.); #282938=ORIENTED_EDGE('',*,*,#205998,.F.); #282939=ORIENTED_EDGE('',*,*,#205999,.F.); #282940=ORIENTED_EDGE('',*,*,#206000,.T.); #282941=ORIENTED_EDGE('',*,*,#205999,.T.); #282942=ORIENTED_EDGE('',*,*,#206001,.F.); #282943=ORIENTED_EDGE('',*,*,#206002,.F.); #282944=ORIENTED_EDGE('',*,*,#206003,.T.); #282945=ORIENTED_EDGE('',*,*,#206002,.T.); #282946=ORIENTED_EDGE('',*,*,#206004,.F.); #282947=ORIENTED_EDGE('',*,*,#205922,.F.); #282948=ORIENTED_EDGE('',*,*,#206004,.T.); #282949=ORIENTED_EDGE('',*,*,#206001,.T.); #282950=ORIENTED_EDGE('',*,*,#205998,.T.); #282951=ORIENTED_EDGE('',*,*,#205995,.T.); #282952=ORIENTED_EDGE('',*,*,#205992,.T.); #282953=ORIENTED_EDGE('',*,*,#205989,.T.); #282954=ORIENTED_EDGE('',*,*,#205986,.T.); #282955=ORIENTED_EDGE('',*,*,#205983,.T.); #282956=ORIENTED_EDGE('',*,*,#205980,.T.); #282957=ORIENTED_EDGE('',*,*,#205977,.T.); #282958=ORIENTED_EDGE('',*,*,#205974,.T.); #282959=ORIENTED_EDGE('',*,*,#205971,.T.); #282960=ORIENTED_EDGE('',*,*,#205968,.T.); #282961=ORIENTED_EDGE('',*,*,#205965,.T.); #282962=ORIENTED_EDGE('',*,*,#205962,.T.); #282963=ORIENTED_EDGE('',*,*,#205959,.T.); #282964=ORIENTED_EDGE('',*,*,#205956,.T.); #282965=ORIENTED_EDGE('',*,*,#205953,.T.); #282966=ORIENTED_EDGE('',*,*,#205950,.T.); #282967=ORIENTED_EDGE('',*,*,#205947,.T.); #282968=ORIENTED_EDGE('',*,*,#205944,.T.); #282969=ORIENTED_EDGE('',*,*,#205941,.T.); #282970=ORIENTED_EDGE('',*,*,#205938,.T.); #282971=ORIENTED_EDGE('',*,*,#205935,.T.); #282972=ORIENTED_EDGE('',*,*,#205932,.T.); #282973=ORIENTED_EDGE('',*,*,#205929,.T.); #282974=ORIENTED_EDGE('',*,*,#205926,.T.); #282975=ORIENTED_EDGE('',*,*,#205923,.T.); #282976=ORIENTED_EDGE('',*,*,#206003,.F.); #282977=ORIENTED_EDGE('',*,*,#205921,.F.); #282978=ORIENTED_EDGE('',*,*,#205925,.F.); #282979=ORIENTED_EDGE('',*,*,#205928,.F.); #282980=ORIENTED_EDGE('',*,*,#205931,.F.); #282981=ORIENTED_EDGE('',*,*,#205934,.F.); #282982=ORIENTED_EDGE('',*,*,#205937,.F.); #282983=ORIENTED_EDGE('',*,*,#205940,.F.); #282984=ORIENTED_EDGE('',*,*,#205943,.F.); #282985=ORIENTED_EDGE('',*,*,#205946,.F.); #282986=ORIENTED_EDGE('',*,*,#205949,.F.); #282987=ORIENTED_EDGE('',*,*,#205952,.F.); #282988=ORIENTED_EDGE('',*,*,#205955,.F.); #282989=ORIENTED_EDGE('',*,*,#205958,.F.); #282990=ORIENTED_EDGE('',*,*,#205961,.F.); #282991=ORIENTED_EDGE('',*,*,#205964,.F.); #282992=ORIENTED_EDGE('',*,*,#205967,.F.); #282993=ORIENTED_EDGE('',*,*,#205970,.F.); #282994=ORIENTED_EDGE('',*,*,#205973,.F.); #282995=ORIENTED_EDGE('',*,*,#205976,.F.); #282996=ORIENTED_EDGE('',*,*,#205979,.F.); #282997=ORIENTED_EDGE('',*,*,#205982,.F.); #282998=ORIENTED_EDGE('',*,*,#205985,.F.); #282999=ORIENTED_EDGE('',*,*,#205988,.F.); #283000=ORIENTED_EDGE('',*,*,#205991,.F.); #283001=ORIENTED_EDGE('',*,*,#205994,.F.); #283002=ORIENTED_EDGE('',*,*,#205997,.F.); #283003=ORIENTED_EDGE('',*,*,#206000,.F.); #283004=ORIENTED_EDGE('',*,*,#206005,.F.); #283005=ORIENTED_EDGE('',*,*,#206006,.T.); #283006=ORIENTED_EDGE('',*,*,#206007,.F.); #283007=ORIENTED_EDGE('',*,*,#206006,.F.); #283008=ORIENTED_EDGE('',*,*,#206008,.F.); #283009=ORIENTED_EDGE('',*,*,#206009,.T.); #283010=ORIENTED_EDGE('',*,*,#206010,.F.); #283011=ORIENTED_EDGE('',*,*,#206009,.F.); #283012=ORIENTED_EDGE('',*,*,#206011,.F.); #283013=ORIENTED_EDGE('',*,*,#206012,.T.); #283014=ORIENTED_EDGE('',*,*,#206013,.F.); #283015=ORIENTED_EDGE('',*,*,#206012,.F.); #283016=ORIENTED_EDGE('',*,*,#206014,.F.); #283017=ORIENTED_EDGE('',*,*,#206015,.T.); #283018=ORIENTED_EDGE('',*,*,#206016,.F.); #283019=ORIENTED_EDGE('',*,*,#206015,.F.); #283020=ORIENTED_EDGE('',*,*,#206017,.F.); #283021=ORIENTED_EDGE('',*,*,#206018,.T.); #283022=ORIENTED_EDGE('',*,*,#206019,.F.); #283023=ORIENTED_EDGE('',*,*,#206018,.F.); #283024=ORIENTED_EDGE('',*,*,#206020,.F.); #283025=ORIENTED_EDGE('',*,*,#206021,.T.); #283026=ORIENTED_EDGE('',*,*,#206022,.F.); #283027=ORIENTED_EDGE('',*,*,#206021,.F.); #283028=ORIENTED_EDGE('',*,*,#206023,.F.); #283029=ORIENTED_EDGE('',*,*,#206024,.T.); #283030=ORIENTED_EDGE('',*,*,#206025,.F.); #283031=ORIENTED_EDGE('',*,*,#206024,.F.); #283032=ORIENTED_EDGE('',*,*,#206026,.F.); #283033=ORIENTED_EDGE('',*,*,#206027,.T.); #283034=ORIENTED_EDGE('',*,*,#206028,.F.); #283035=ORIENTED_EDGE('',*,*,#206027,.F.); #283036=ORIENTED_EDGE('',*,*,#206029,.F.); #283037=ORIENTED_EDGE('',*,*,#206030,.T.); #283038=ORIENTED_EDGE('',*,*,#206031,.F.); #283039=ORIENTED_EDGE('',*,*,#206030,.F.); #283040=ORIENTED_EDGE('',*,*,#206032,.F.); #283041=ORIENTED_EDGE('',*,*,#206033,.T.); #283042=ORIENTED_EDGE('',*,*,#206034,.F.); #283043=ORIENTED_EDGE('',*,*,#206033,.F.); #283044=ORIENTED_EDGE('',*,*,#206035,.F.); #283045=ORIENTED_EDGE('',*,*,#206036,.T.); #283046=ORIENTED_EDGE('',*,*,#206037,.F.); #283047=ORIENTED_EDGE('',*,*,#206036,.F.); #283048=ORIENTED_EDGE('',*,*,#206038,.F.); #283049=ORIENTED_EDGE('',*,*,#206039,.T.); #283050=ORIENTED_EDGE('',*,*,#206040,.F.); #283051=ORIENTED_EDGE('',*,*,#206039,.F.); #283052=ORIENTED_EDGE('',*,*,#206041,.F.); #283053=ORIENTED_EDGE('',*,*,#206042,.T.); #283054=ORIENTED_EDGE('',*,*,#206043,.F.); #283055=ORIENTED_EDGE('',*,*,#206042,.F.); #283056=ORIENTED_EDGE('',*,*,#206044,.F.); #283057=ORIENTED_EDGE('',*,*,#206045,.T.); #283058=ORIENTED_EDGE('',*,*,#206046,.F.); #283059=ORIENTED_EDGE('',*,*,#206045,.F.); #283060=ORIENTED_EDGE('',*,*,#206047,.F.); #283061=ORIENTED_EDGE('',*,*,#206048,.T.); #283062=ORIENTED_EDGE('',*,*,#206049,.F.); #283063=ORIENTED_EDGE('',*,*,#206048,.F.); #283064=ORIENTED_EDGE('',*,*,#206050,.F.); #283065=ORIENTED_EDGE('',*,*,#206051,.T.); #283066=ORIENTED_EDGE('',*,*,#206052,.F.); #283067=ORIENTED_EDGE('',*,*,#206051,.F.); #283068=ORIENTED_EDGE('',*,*,#206053,.T.); #283069=ORIENTED_EDGE('',*,*,#206054,.T.); #283070=ORIENTED_EDGE('',*,*,#206055,.F.); #283071=ORIENTED_EDGE('',*,*,#206056,.F.); #283072=ORIENTED_EDGE('',*,*,#206057,.T.); #283073=ORIENTED_EDGE('',*,*,#206056,.T.); #283074=ORIENTED_EDGE('',*,*,#206058,.F.); #283075=ORIENTED_EDGE('',*,*,#206059,.F.); #283076=ORIENTED_EDGE('',*,*,#206060,.T.); #283077=ORIENTED_EDGE('',*,*,#206059,.T.); #283078=ORIENTED_EDGE('',*,*,#206061,.F.); #283079=ORIENTED_EDGE('',*,*,#206062,.F.); #283080=ORIENTED_EDGE('',*,*,#206063,.T.); #283081=ORIENTED_EDGE('',*,*,#206062,.T.); #283082=ORIENTED_EDGE('',*,*,#206064,.F.); #283083=ORIENTED_EDGE('',*,*,#206065,.F.); #283084=ORIENTED_EDGE('',*,*,#206066,.T.); #283085=ORIENTED_EDGE('',*,*,#206065,.T.); #283086=ORIENTED_EDGE('',*,*,#206067,.F.); #283087=ORIENTED_EDGE('',*,*,#206068,.F.); #283088=ORIENTED_EDGE('',*,*,#206069,.T.); #283089=ORIENTED_EDGE('',*,*,#206068,.T.); #283090=ORIENTED_EDGE('',*,*,#206070,.F.); #283091=ORIENTED_EDGE('',*,*,#206071,.F.); #283092=ORIENTED_EDGE('',*,*,#206072,.T.); #283093=ORIENTED_EDGE('',*,*,#206071,.T.); #283094=ORIENTED_EDGE('',*,*,#206073,.F.); #283095=ORIENTED_EDGE('',*,*,#206074,.F.); #283096=ORIENTED_EDGE('',*,*,#206075,.T.); #283097=ORIENTED_EDGE('',*,*,#206074,.T.); #283098=ORIENTED_EDGE('',*,*,#206076,.F.); #283099=ORIENTED_EDGE('',*,*,#206077,.F.); #283100=ORIENTED_EDGE('',*,*,#206078,.T.); #283101=ORIENTED_EDGE('',*,*,#206077,.T.); #283102=ORIENTED_EDGE('',*,*,#206079,.F.); #283103=ORIENTED_EDGE('',*,*,#206080,.F.); #283104=ORIENTED_EDGE('',*,*,#206081,.T.); #283105=ORIENTED_EDGE('',*,*,#206080,.T.); #283106=ORIENTED_EDGE('',*,*,#206082,.F.); #283107=ORIENTED_EDGE('',*,*,#206083,.F.); #283108=ORIENTED_EDGE('',*,*,#206084,.T.); #283109=ORIENTED_EDGE('',*,*,#206083,.T.); #283110=ORIENTED_EDGE('',*,*,#206085,.F.); #283111=ORIENTED_EDGE('',*,*,#206086,.F.); #283112=ORIENTED_EDGE('',*,*,#206087,.T.); #283113=ORIENTED_EDGE('',*,*,#206086,.T.); #283114=ORIENTED_EDGE('',*,*,#206088,.F.); #283115=ORIENTED_EDGE('',*,*,#206089,.F.); #283116=ORIENTED_EDGE('',*,*,#206090,.T.); #283117=ORIENTED_EDGE('',*,*,#206089,.T.); #283118=ORIENTED_EDGE('',*,*,#206091,.F.); #283119=ORIENTED_EDGE('',*,*,#206092,.F.); #283120=ORIENTED_EDGE('',*,*,#206093,.T.); #283121=ORIENTED_EDGE('',*,*,#206092,.T.); #283122=ORIENTED_EDGE('',*,*,#206094,.F.); #283123=ORIENTED_EDGE('',*,*,#206095,.F.); #283124=ORIENTED_EDGE('',*,*,#206096,.T.); #283125=ORIENTED_EDGE('',*,*,#206095,.T.); #283126=ORIENTED_EDGE('',*,*,#206097,.F.); #283127=ORIENTED_EDGE('',*,*,#206098,.F.); #283128=ORIENTED_EDGE('',*,*,#206099,.T.); #283129=ORIENTED_EDGE('',*,*,#206098,.T.); #283130=ORIENTED_EDGE('',*,*,#206100,.F.); #283131=ORIENTED_EDGE('',*,*,#206101,.F.); #283132=ORIENTED_EDGE('',*,*,#206102,.T.); #283133=ORIENTED_EDGE('',*,*,#206101,.T.); #283134=ORIENTED_EDGE('',*,*,#206103,.F.); #283135=ORIENTED_EDGE('',*,*,#206104,.F.); #283136=ORIENTED_EDGE('',*,*,#206105,.T.); #283137=ORIENTED_EDGE('',*,*,#206104,.T.); #283138=ORIENTED_EDGE('',*,*,#206106,.F.); #283139=ORIENTED_EDGE('',*,*,#206107,.F.); #283140=ORIENTED_EDGE('',*,*,#206108,.T.); #283141=ORIENTED_EDGE('',*,*,#206107,.T.); #283142=ORIENTED_EDGE('',*,*,#206109,.F.); #283143=ORIENTED_EDGE('',*,*,#206110,.F.); #283144=ORIENTED_EDGE('',*,*,#206111,.T.); #283145=ORIENTED_EDGE('',*,*,#206110,.T.); #283146=ORIENTED_EDGE('',*,*,#206112,.F.); #283147=ORIENTED_EDGE('',*,*,#206113,.F.); #283148=ORIENTED_EDGE('',*,*,#206114,.T.); #283149=ORIENTED_EDGE('',*,*,#206113,.T.); #283150=ORIENTED_EDGE('',*,*,#206115,.F.); #283151=ORIENTED_EDGE('',*,*,#206116,.F.); #283152=ORIENTED_EDGE('',*,*,#206117,.T.); #283153=ORIENTED_EDGE('',*,*,#206116,.T.); #283154=ORIENTED_EDGE('',*,*,#206118,.F.); #283155=ORIENTED_EDGE('',*,*,#206119,.F.); #283156=ORIENTED_EDGE('',*,*,#206120,.T.); #283157=ORIENTED_EDGE('',*,*,#206119,.T.); #283158=ORIENTED_EDGE('',*,*,#206121,.F.); #283159=ORIENTED_EDGE('',*,*,#206122,.F.); #283160=ORIENTED_EDGE('',*,*,#206123,.T.); #283161=ORIENTED_EDGE('',*,*,#206122,.T.); #283162=ORIENTED_EDGE('',*,*,#206124,.F.); #283163=ORIENTED_EDGE('',*,*,#206125,.F.); #283164=ORIENTED_EDGE('',*,*,#206126,.T.); #283165=ORIENTED_EDGE('',*,*,#206125,.T.); #283166=ORIENTED_EDGE('',*,*,#206127,.F.); #283167=ORIENTED_EDGE('',*,*,#206128,.F.); #283168=ORIENTED_EDGE('',*,*,#206129,.T.); #283169=ORIENTED_EDGE('',*,*,#206128,.T.); #283170=ORIENTED_EDGE('',*,*,#206130,.F.); #283171=ORIENTED_EDGE('',*,*,#206131,.F.); #283172=ORIENTED_EDGE('',*,*,#206132,.T.); #283173=ORIENTED_EDGE('',*,*,#206131,.T.); #283174=ORIENTED_EDGE('',*,*,#206133,.F.); #283175=ORIENTED_EDGE('',*,*,#206134,.F.); #283176=ORIENTED_EDGE('',*,*,#206135,.T.); #283177=ORIENTED_EDGE('',*,*,#206134,.T.); #283178=ORIENTED_EDGE('',*,*,#206136,.F.); #283179=ORIENTED_EDGE('',*,*,#206137,.F.); #283180=ORIENTED_EDGE('',*,*,#206138,.T.); #283181=ORIENTED_EDGE('',*,*,#206137,.T.); #283182=ORIENTED_EDGE('',*,*,#206139,.F.); #283183=ORIENTED_EDGE('',*,*,#206140,.F.); #283184=ORIENTED_EDGE('',*,*,#206141,.T.); #283185=ORIENTED_EDGE('',*,*,#206140,.T.); #283186=ORIENTED_EDGE('',*,*,#206142,.F.); #283187=ORIENTED_EDGE('',*,*,#206143,.F.); #283188=ORIENTED_EDGE('',*,*,#206144,.T.); #283189=ORIENTED_EDGE('',*,*,#206143,.T.); #283190=ORIENTED_EDGE('',*,*,#206145,.F.); #283191=ORIENTED_EDGE('',*,*,#206146,.F.); #283192=ORIENTED_EDGE('',*,*,#206147,.T.); #283193=ORIENTED_EDGE('',*,*,#206146,.T.); #283194=ORIENTED_EDGE('',*,*,#206148,.F.); #283195=ORIENTED_EDGE('',*,*,#206149,.F.); #283196=ORIENTED_EDGE('',*,*,#206150,.T.); #283197=ORIENTED_EDGE('',*,*,#206149,.T.); #283198=ORIENTED_EDGE('',*,*,#206151,.F.); #283199=ORIENTED_EDGE('',*,*,#206152,.F.); #283200=ORIENTED_EDGE('',*,*,#206153,.T.); #283201=ORIENTED_EDGE('',*,*,#206152,.T.); #283202=ORIENTED_EDGE('',*,*,#206154,.F.); #283203=ORIENTED_EDGE('',*,*,#206155,.F.); #283204=ORIENTED_EDGE('',*,*,#206156,.T.); #283205=ORIENTED_EDGE('',*,*,#206155,.T.); #283206=ORIENTED_EDGE('',*,*,#206157,.F.); #283207=ORIENTED_EDGE('',*,*,#206158,.F.); #283208=ORIENTED_EDGE('',*,*,#206159,.T.); #283209=ORIENTED_EDGE('',*,*,#206158,.T.); #283210=ORIENTED_EDGE('',*,*,#206160,.F.); #283211=ORIENTED_EDGE('',*,*,#206161,.F.); #283212=ORIENTED_EDGE('',*,*,#206162,.T.); #283213=ORIENTED_EDGE('',*,*,#206161,.T.); #283214=ORIENTED_EDGE('',*,*,#206163,.F.); #283215=ORIENTED_EDGE('',*,*,#206164,.F.); #283216=ORIENTED_EDGE('',*,*,#206165,.T.); #283217=ORIENTED_EDGE('',*,*,#206164,.T.); #283218=ORIENTED_EDGE('',*,*,#206166,.F.); #283219=ORIENTED_EDGE('',*,*,#206167,.F.); #283220=ORIENTED_EDGE('',*,*,#206168,.T.); #283221=ORIENTED_EDGE('',*,*,#206167,.T.); #283222=ORIENTED_EDGE('',*,*,#206169,.F.); #283223=ORIENTED_EDGE('',*,*,#206170,.F.); #283224=ORIENTED_EDGE('',*,*,#206171,.T.); #283225=ORIENTED_EDGE('',*,*,#206170,.T.); #283226=ORIENTED_EDGE('',*,*,#206172,.F.); #283227=ORIENTED_EDGE('',*,*,#206173,.F.); #283228=ORIENTED_EDGE('',*,*,#206174,.T.); #283229=ORIENTED_EDGE('',*,*,#206173,.T.); #283230=ORIENTED_EDGE('',*,*,#206175,.F.); #283231=ORIENTED_EDGE('',*,*,#206176,.F.); #283232=ORIENTED_EDGE('',*,*,#206177,.T.); #283233=ORIENTED_EDGE('',*,*,#206176,.T.); #283234=ORIENTED_EDGE('',*,*,#206178,.F.); #283235=ORIENTED_EDGE('',*,*,#206179,.F.); #283236=ORIENTED_EDGE('',*,*,#206180,.T.); #283237=ORIENTED_EDGE('',*,*,#206179,.T.); #283238=ORIENTED_EDGE('',*,*,#206181,.F.); #283239=ORIENTED_EDGE('',*,*,#206182,.F.); #283240=ORIENTED_EDGE('',*,*,#206183,.T.); #283241=ORIENTED_EDGE('',*,*,#206182,.T.); #283242=ORIENTED_EDGE('',*,*,#206184,.F.); #283243=ORIENTED_EDGE('',*,*,#206185,.F.); #283244=ORIENTED_EDGE('',*,*,#206186,.T.); #283245=ORIENTED_EDGE('',*,*,#206185,.T.); #283246=ORIENTED_EDGE('',*,*,#206187,.F.); #283247=ORIENTED_EDGE('',*,*,#206188,.F.); #283248=ORIENTED_EDGE('',*,*,#206189,.T.); #283249=ORIENTED_EDGE('',*,*,#206188,.T.); #283250=ORIENTED_EDGE('',*,*,#206190,.F.); #283251=ORIENTED_EDGE('',*,*,#206191,.F.); #283252=ORIENTED_EDGE('',*,*,#206192,.T.); #283253=ORIENTED_EDGE('',*,*,#206191,.T.); #283254=ORIENTED_EDGE('',*,*,#206193,.F.); #283255=ORIENTED_EDGE('',*,*,#206194,.F.); #283256=ORIENTED_EDGE('',*,*,#206195,.T.); #283257=ORIENTED_EDGE('',*,*,#206194,.T.); #283258=ORIENTED_EDGE('',*,*,#206196,.F.); #283259=ORIENTED_EDGE('',*,*,#206197,.F.); #283260=ORIENTED_EDGE('',*,*,#206198,.T.); #283261=ORIENTED_EDGE('',*,*,#206197,.T.); #283262=ORIENTED_EDGE('',*,*,#206199,.F.); #283263=ORIENTED_EDGE('',*,*,#206200,.F.); #283264=ORIENTED_EDGE('',*,*,#206201,.T.); #283265=ORIENTED_EDGE('',*,*,#206200,.T.); #283266=ORIENTED_EDGE('',*,*,#206202,.F.); #283267=ORIENTED_EDGE('',*,*,#206203,.F.); #283268=ORIENTED_EDGE('',*,*,#206204,.T.); #283269=ORIENTED_EDGE('',*,*,#206203,.T.); #283270=ORIENTED_EDGE('',*,*,#206205,.F.); #283271=ORIENTED_EDGE('',*,*,#206206,.F.); #283272=ORIENTED_EDGE('',*,*,#206207,.T.); #283273=ORIENTED_EDGE('',*,*,#206206,.T.); #283274=ORIENTED_EDGE('',*,*,#206208,.F.); #283275=ORIENTED_EDGE('',*,*,#206209,.F.); #283276=ORIENTED_EDGE('',*,*,#206210,.T.); #283277=ORIENTED_EDGE('',*,*,#206209,.T.); #283278=ORIENTED_EDGE('',*,*,#206211,.F.); #283279=ORIENTED_EDGE('',*,*,#206212,.F.); #283280=ORIENTED_EDGE('',*,*,#206213,.T.); #283281=ORIENTED_EDGE('',*,*,#206212,.T.); #283282=ORIENTED_EDGE('',*,*,#206214,.F.); #283283=ORIENTED_EDGE('',*,*,#206215,.F.); #283284=ORIENTED_EDGE('',*,*,#206216,.T.); #283285=ORIENTED_EDGE('',*,*,#206215,.T.); #283286=ORIENTED_EDGE('',*,*,#206217,.F.); #283287=ORIENTED_EDGE('',*,*,#206218,.F.); #283288=ORIENTED_EDGE('',*,*,#206219,.T.); #283289=ORIENTED_EDGE('',*,*,#206218,.T.); #283290=ORIENTED_EDGE('',*,*,#206220,.F.); #283291=ORIENTED_EDGE('',*,*,#206221,.F.); #283292=ORIENTED_EDGE('',*,*,#206222,.T.); #283293=ORIENTED_EDGE('',*,*,#206221,.T.); #283294=ORIENTED_EDGE('',*,*,#206223,.F.); #283295=ORIENTED_EDGE('',*,*,#206224,.F.); #283296=ORIENTED_EDGE('',*,*,#206225,.T.); #283297=ORIENTED_EDGE('',*,*,#206224,.T.); #283298=ORIENTED_EDGE('',*,*,#206226,.F.); #283299=ORIENTED_EDGE('',*,*,#206227,.F.); #283300=ORIENTED_EDGE('',*,*,#206228,.T.); #283301=ORIENTED_EDGE('',*,*,#206227,.T.); #283302=ORIENTED_EDGE('',*,*,#206229,.F.); #283303=ORIENTED_EDGE('',*,*,#206230,.F.); #283304=ORIENTED_EDGE('',*,*,#206231,.T.); #283305=ORIENTED_EDGE('',*,*,#206230,.T.); #283306=ORIENTED_EDGE('',*,*,#206232,.F.); #283307=ORIENTED_EDGE('',*,*,#206233,.F.); #283308=ORIENTED_EDGE('',*,*,#206234,.T.); #283309=ORIENTED_EDGE('',*,*,#206233,.T.); #283310=ORIENTED_EDGE('',*,*,#206235,.F.); #283311=ORIENTED_EDGE('',*,*,#206236,.F.); #283312=ORIENTED_EDGE('',*,*,#206237,.T.); #283313=ORIENTED_EDGE('',*,*,#206236,.T.); #283314=ORIENTED_EDGE('',*,*,#206238,.F.); #283315=ORIENTED_EDGE('',*,*,#206239,.F.); #283316=ORIENTED_EDGE('',*,*,#206240,.T.); #283317=ORIENTED_EDGE('',*,*,#206239,.T.); #283318=ORIENTED_EDGE('',*,*,#206241,.F.); #283319=ORIENTED_EDGE('',*,*,#206242,.F.); #283320=ORIENTED_EDGE('',*,*,#206243,.T.); #283321=ORIENTED_EDGE('',*,*,#206242,.T.); #283322=ORIENTED_EDGE('',*,*,#206244,.F.); #283323=ORIENTED_EDGE('',*,*,#206245,.F.); #283324=ORIENTED_EDGE('',*,*,#206246,.T.); #283325=ORIENTED_EDGE('',*,*,#206245,.T.); #283326=ORIENTED_EDGE('',*,*,#206247,.F.); #283327=ORIENTED_EDGE('',*,*,#206248,.F.); #283328=ORIENTED_EDGE('',*,*,#206249,.T.); #283329=ORIENTED_EDGE('',*,*,#206248,.T.); #283330=ORIENTED_EDGE('',*,*,#206250,.F.); #283331=ORIENTED_EDGE('',*,*,#206251,.F.); #283332=ORIENTED_EDGE('',*,*,#206252,.T.); #283333=ORIENTED_EDGE('',*,*,#206251,.T.); #283334=ORIENTED_EDGE('',*,*,#206253,.F.); #283335=ORIENTED_EDGE('',*,*,#206254,.F.); #283336=ORIENTED_EDGE('',*,*,#206255,.T.); #283337=ORIENTED_EDGE('',*,*,#206254,.T.); #283338=ORIENTED_EDGE('',*,*,#206256,.F.); #283339=ORIENTED_EDGE('',*,*,#206257,.F.); #283340=ORIENTED_EDGE('',*,*,#206258,.T.); #283341=ORIENTED_EDGE('',*,*,#206257,.T.); #283342=ORIENTED_EDGE('',*,*,#206259,.F.); #283343=ORIENTED_EDGE('',*,*,#206260,.F.); #283344=ORIENTED_EDGE('',*,*,#206261,.T.); #283345=ORIENTED_EDGE('',*,*,#206260,.T.); #283346=ORIENTED_EDGE('',*,*,#206262,.F.); #283347=ORIENTED_EDGE('',*,*,#206263,.F.); #283348=ORIENTED_EDGE('',*,*,#206264,.T.); #283349=ORIENTED_EDGE('',*,*,#206263,.T.); #283350=ORIENTED_EDGE('',*,*,#206265,.F.); #283351=ORIENTED_EDGE('',*,*,#206266,.F.); #283352=ORIENTED_EDGE('',*,*,#206267,.T.); #283353=ORIENTED_EDGE('',*,*,#206266,.T.); #283354=ORIENTED_EDGE('',*,*,#206268,.F.); #283355=ORIENTED_EDGE('',*,*,#206269,.F.); #283356=ORIENTED_EDGE('',*,*,#206270,.T.); #283357=ORIENTED_EDGE('',*,*,#206269,.T.); #283358=ORIENTED_EDGE('',*,*,#206271,.F.); #283359=ORIENTED_EDGE('',*,*,#206272,.F.); #283360=ORIENTED_EDGE('',*,*,#206273,.T.); #283361=ORIENTED_EDGE('',*,*,#206272,.T.); #283362=ORIENTED_EDGE('',*,*,#206274,.F.); #283363=ORIENTED_EDGE('',*,*,#206054,.F.); #283364=ORIENTED_EDGE('',*,*,#206274,.T.); #283365=ORIENTED_EDGE('',*,*,#206271,.T.); #283366=ORIENTED_EDGE('',*,*,#206268,.T.); #283367=ORIENTED_EDGE('',*,*,#206265,.T.); #283368=ORIENTED_EDGE('',*,*,#206262,.T.); #283369=ORIENTED_EDGE('',*,*,#206259,.T.); #283370=ORIENTED_EDGE('',*,*,#206256,.T.); #283371=ORIENTED_EDGE('',*,*,#206253,.T.); #283372=ORIENTED_EDGE('',*,*,#206250,.T.); #283373=ORIENTED_EDGE('',*,*,#206247,.T.); #283374=ORIENTED_EDGE('',*,*,#206244,.T.); #283375=ORIENTED_EDGE('',*,*,#206241,.T.); #283376=ORIENTED_EDGE('',*,*,#206238,.T.); #283377=ORIENTED_EDGE('',*,*,#206235,.T.); #283378=ORIENTED_EDGE('',*,*,#206232,.T.); #283379=ORIENTED_EDGE('',*,*,#206229,.T.); #283380=ORIENTED_EDGE('',*,*,#206226,.T.); #283381=ORIENTED_EDGE('',*,*,#206223,.T.); #283382=ORIENTED_EDGE('',*,*,#206220,.T.); #283383=ORIENTED_EDGE('',*,*,#206217,.T.); #283384=ORIENTED_EDGE('',*,*,#206214,.T.); #283385=ORIENTED_EDGE('',*,*,#206211,.T.); #283386=ORIENTED_EDGE('',*,*,#206208,.T.); #283387=ORIENTED_EDGE('',*,*,#206205,.T.); #283388=ORIENTED_EDGE('',*,*,#206202,.T.); #283389=ORIENTED_EDGE('',*,*,#206199,.T.); #283390=ORIENTED_EDGE('',*,*,#206196,.T.); #283391=ORIENTED_EDGE('',*,*,#206193,.T.); #283392=ORIENTED_EDGE('',*,*,#206190,.T.); #283393=ORIENTED_EDGE('',*,*,#206187,.T.); #283394=ORIENTED_EDGE('',*,*,#206184,.T.); #283395=ORIENTED_EDGE('',*,*,#206181,.T.); #283396=ORIENTED_EDGE('',*,*,#206178,.T.); #283397=ORIENTED_EDGE('',*,*,#206175,.T.); #283398=ORIENTED_EDGE('',*,*,#206172,.T.); #283399=ORIENTED_EDGE('',*,*,#206169,.T.); #283400=ORIENTED_EDGE('',*,*,#206166,.T.); #283401=ORIENTED_EDGE('',*,*,#206163,.T.); #283402=ORIENTED_EDGE('',*,*,#206160,.T.); #283403=ORIENTED_EDGE('',*,*,#206157,.T.); #283404=ORIENTED_EDGE('',*,*,#206154,.T.); #283405=ORIENTED_EDGE('',*,*,#206151,.T.); #283406=ORIENTED_EDGE('',*,*,#206148,.T.); #283407=ORIENTED_EDGE('',*,*,#206145,.T.); #283408=ORIENTED_EDGE('',*,*,#206142,.T.); #283409=ORIENTED_EDGE('',*,*,#206139,.T.); #283410=ORIENTED_EDGE('',*,*,#206136,.T.); #283411=ORIENTED_EDGE('',*,*,#206133,.T.); #283412=ORIENTED_EDGE('',*,*,#206130,.T.); #283413=ORIENTED_EDGE('',*,*,#206127,.T.); #283414=ORIENTED_EDGE('',*,*,#206124,.T.); #283415=ORIENTED_EDGE('',*,*,#206121,.T.); #283416=ORIENTED_EDGE('',*,*,#206118,.T.); #283417=ORIENTED_EDGE('',*,*,#206115,.T.); #283418=ORIENTED_EDGE('',*,*,#206112,.T.); #283419=ORIENTED_EDGE('',*,*,#206109,.T.); #283420=ORIENTED_EDGE('',*,*,#206106,.T.); #283421=ORIENTED_EDGE('',*,*,#206103,.T.); #283422=ORIENTED_EDGE('',*,*,#206100,.T.); #283423=ORIENTED_EDGE('',*,*,#206097,.T.); #283424=ORIENTED_EDGE('',*,*,#206094,.T.); #283425=ORIENTED_EDGE('',*,*,#206091,.T.); #283426=ORIENTED_EDGE('',*,*,#206088,.T.); #283427=ORIENTED_EDGE('',*,*,#206085,.T.); #283428=ORIENTED_EDGE('',*,*,#206082,.T.); #283429=ORIENTED_EDGE('',*,*,#206079,.T.); #283430=ORIENTED_EDGE('',*,*,#206076,.T.); #283431=ORIENTED_EDGE('',*,*,#206073,.T.); #283432=ORIENTED_EDGE('',*,*,#206070,.T.); #283433=ORIENTED_EDGE('',*,*,#206067,.T.); #283434=ORIENTED_EDGE('',*,*,#206064,.T.); #283435=ORIENTED_EDGE('',*,*,#206061,.T.); #283436=ORIENTED_EDGE('',*,*,#206058,.T.); #283437=ORIENTED_EDGE('',*,*,#206055,.T.); #283438=ORIENTED_EDGE('',*,*,#206007,.T.); #283439=ORIENTED_EDGE('',*,*,#206010,.T.); #283440=ORIENTED_EDGE('',*,*,#206013,.T.); #283441=ORIENTED_EDGE('',*,*,#206016,.T.); #283442=ORIENTED_EDGE('',*,*,#206019,.T.); #283443=ORIENTED_EDGE('',*,*,#206022,.T.); #283444=ORIENTED_EDGE('',*,*,#206025,.T.); #283445=ORIENTED_EDGE('',*,*,#206028,.T.); #283446=ORIENTED_EDGE('',*,*,#206031,.T.); #283447=ORIENTED_EDGE('',*,*,#206034,.T.); #283448=ORIENTED_EDGE('',*,*,#206037,.T.); #283449=ORIENTED_EDGE('',*,*,#206040,.T.); #283450=ORIENTED_EDGE('',*,*,#206043,.T.); #283451=ORIENTED_EDGE('',*,*,#206046,.T.); #283452=ORIENTED_EDGE('',*,*,#206049,.T.); #283453=ORIENTED_EDGE('',*,*,#206052,.T.); #283454=ORIENTED_EDGE('',*,*,#206273,.F.); #283455=ORIENTED_EDGE('',*,*,#206053,.F.); #283456=ORIENTED_EDGE('',*,*,#206057,.F.); #283457=ORIENTED_EDGE('',*,*,#206060,.F.); #283458=ORIENTED_EDGE('',*,*,#206063,.F.); #283459=ORIENTED_EDGE('',*,*,#206066,.F.); #283460=ORIENTED_EDGE('',*,*,#206069,.F.); #283461=ORIENTED_EDGE('',*,*,#206072,.F.); #283462=ORIENTED_EDGE('',*,*,#206075,.F.); #283463=ORIENTED_EDGE('',*,*,#206078,.F.); #283464=ORIENTED_EDGE('',*,*,#206081,.F.); #283465=ORIENTED_EDGE('',*,*,#206084,.F.); #283466=ORIENTED_EDGE('',*,*,#206087,.F.); #283467=ORIENTED_EDGE('',*,*,#206090,.F.); #283468=ORIENTED_EDGE('',*,*,#206093,.F.); #283469=ORIENTED_EDGE('',*,*,#206096,.F.); #283470=ORIENTED_EDGE('',*,*,#206099,.F.); #283471=ORIENTED_EDGE('',*,*,#206102,.F.); #283472=ORIENTED_EDGE('',*,*,#206105,.F.); #283473=ORIENTED_EDGE('',*,*,#206108,.F.); #283474=ORIENTED_EDGE('',*,*,#206111,.F.); #283475=ORIENTED_EDGE('',*,*,#206114,.F.); #283476=ORIENTED_EDGE('',*,*,#206117,.F.); #283477=ORIENTED_EDGE('',*,*,#206120,.F.); #283478=ORIENTED_EDGE('',*,*,#206123,.F.); #283479=ORIENTED_EDGE('',*,*,#206126,.F.); #283480=ORIENTED_EDGE('',*,*,#206129,.F.); #283481=ORIENTED_EDGE('',*,*,#206132,.F.); #283482=ORIENTED_EDGE('',*,*,#206135,.F.); #283483=ORIENTED_EDGE('',*,*,#206138,.F.); #283484=ORIENTED_EDGE('',*,*,#206141,.F.); #283485=ORIENTED_EDGE('',*,*,#206144,.F.); #283486=ORIENTED_EDGE('',*,*,#206147,.F.); #283487=ORIENTED_EDGE('',*,*,#206150,.F.); #283488=ORIENTED_EDGE('',*,*,#206153,.F.); #283489=ORIENTED_EDGE('',*,*,#206156,.F.); #283490=ORIENTED_EDGE('',*,*,#206159,.F.); #283491=ORIENTED_EDGE('',*,*,#206162,.F.); #283492=ORIENTED_EDGE('',*,*,#206165,.F.); #283493=ORIENTED_EDGE('',*,*,#206168,.F.); #283494=ORIENTED_EDGE('',*,*,#206171,.F.); #283495=ORIENTED_EDGE('',*,*,#206174,.F.); #283496=ORIENTED_EDGE('',*,*,#206177,.F.); #283497=ORIENTED_EDGE('',*,*,#206180,.F.); #283498=ORIENTED_EDGE('',*,*,#206183,.F.); #283499=ORIENTED_EDGE('',*,*,#206186,.F.); #283500=ORIENTED_EDGE('',*,*,#206189,.F.); #283501=ORIENTED_EDGE('',*,*,#206192,.F.); #283502=ORIENTED_EDGE('',*,*,#206195,.F.); #283503=ORIENTED_EDGE('',*,*,#206198,.F.); #283504=ORIENTED_EDGE('',*,*,#206201,.F.); #283505=ORIENTED_EDGE('',*,*,#206204,.F.); #283506=ORIENTED_EDGE('',*,*,#206207,.F.); #283507=ORIENTED_EDGE('',*,*,#206210,.F.); #283508=ORIENTED_EDGE('',*,*,#206213,.F.); #283509=ORIENTED_EDGE('',*,*,#206216,.F.); #283510=ORIENTED_EDGE('',*,*,#206219,.F.); #283511=ORIENTED_EDGE('',*,*,#206222,.F.); #283512=ORIENTED_EDGE('',*,*,#206225,.F.); #283513=ORIENTED_EDGE('',*,*,#206228,.F.); #283514=ORIENTED_EDGE('',*,*,#206231,.F.); #283515=ORIENTED_EDGE('',*,*,#206234,.F.); #283516=ORIENTED_EDGE('',*,*,#206237,.F.); #283517=ORIENTED_EDGE('',*,*,#206240,.F.); #283518=ORIENTED_EDGE('',*,*,#206243,.F.); #283519=ORIENTED_EDGE('',*,*,#206246,.F.); #283520=ORIENTED_EDGE('',*,*,#206249,.F.); #283521=ORIENTED_EDGE('',*,*,#206252,.F.); #283522=ORIENTED_EDGE('',*,*,#206255,.F.); #283523=ORIENTED_EDGE('',*,*,#206258,.F.); #283524=ORIENTED_EDGE('',*,*,#206261,.F.); #283525=ORIENTED_EDGE('',*,*,#206264,.F.); #283526=ORIENTED_EDGE('',*,*,#206267,.F.); #283527=ORIENTED_EDGE('',*,*,#206270,.F.); #283528=ORIENTED_EDGE('',*,*,#206005,.T.); #283529=ORIENTED_EDGE('',*,*,#206008,.T.); #283530=ORIENTED_EDGE('',*,*,#206011,.T.); #283531=ORIENTED_EDGE('',*,*,#206014,.T.); #283532=ORIENTED_EDGE('',*,*,#206017,.T.); #283533=ORIENTED_EDGE('',*,*,#206020,.T.); #283534=ORIENTED_EDGE('',*,*,#206023,.T.); #283535=ORIENTED_EDGE('',*,*,#206026,.T.); #283536=ORIENTED_EDGE('',*,*,#206029,.T.); #283537=ORIENTED_EDGE('',*,*,#206032,.T.); #283538=ORIENTED_EDGE('',*,*,#206035,.T.); #283539=ORIENTED_EDGE('',*,*,#206038,.T.); #283540=ORIENTED_EDGE('',*,*,#206041,.T.); #283541=ORIENTED_EDGE('',*,*,#206044,.T.); #283542=ORIENTED_EDGE('',*,*,#206047,.T.); #283543=ORIENTED_EDGE('',*,*,#206050,.T.); #283544=ORIENTED_EDGE('',*,*,#206275,.F.); #283545=ORIENTED_EDGE('',*,*,#206276,.T.); #283546=ORIENTED_EDGE('',*,*,#206277,.F.); #283547=ORIENTED_EDGE('',*,*,#206276,.F.); #283548=ORIENTED_EDGE('',*,*,#206278,.F.); #283549=ORIENTED_EDGE('',*,*,#206279,.T.); #283550=ORIENTED_EDGE('',*,*,#206280,.F.); #283551=ORIENTED_EDGE('',*,*,#206279,.F.); #283552=ORIENTED_EDGE('',*,*,#206281,.F.); #283553=ORIENTED_EDGE('',*,*,#206282,.T.); #283554=ORIENTED_EDGE('',*,*,#206283,.F.); #283555=ORIENTED_EDGE('',*,*,#206282,.F.); #283556=ORIENTED_EDGE('',*,*,#206284,.F.); #283557=ORIENTED_EDGE('',*,*,#206285,.T.); #283558=ORIENTED_EDGE('',*,*,#206286,.F.); #283559=ORIENTED_EDGE('',*,*,#206285,.F.); #283560=ORIENTED_EDGE('',*,*,#206287,.F.); #283561=ORIENTED_EDGE('',*,*,#206288,.T.); #283562=ORIENTED_EDGE('',*,*,#206289,.F.); #283563=ORIENTED_EDGE('',*,*,#206288,.F.); #283564=ORIENTED_EDGE('',*,*,#206290,.F.); #283565=ORIENTED_EDGE('',*,*,#206291,.T.); #283566=ORIENTED_EDGE('',*,*,#206292,.F.); #283567=ORIENTED_EDGE('',*,*,#206291,.F.); #283568=ORIENTED_EDGE('',*,*,#206293,.F.); #283569=ORIENTED_EDGE('',*,*,#206294,.T.); #283570=ORIENTED_EDGE('',*,*,#206295,.F.); #283571=ORIENTED_EDGE('',*,*,#206294,.F.); #283572=ORIENTED_EDGE('',*,*,#206296,.F.); #283573=ORIENTED_EDGE('',*,*,#206297,.T.); #283574=ORIENTED_EDGE('',*,*,#206298,.F.); #283575=ORIENTED_EDGE('',*,*,#206297,.F.); #283576=ORIENTED_EDGE('',*,*,#206299,.F.); #283577=ORIENTED_EDGE('',*,*,#206300,.T.); #283578=ORIENTED_EDGE('',*,*,#206301,.F.); #283579=ORIENTED_EDGE('',*,*,#206300,.F.); #283580=ORIENTED_EDGE('',*,*,#206302,.F.); #283581=ORIENTED_EDGE('',*,*,#206303,.T.); #283582=ORIENTED_EDGE('',*,*,#206304,.F.); #283583=ORIENTED_EDGE('',*,*,#206303,.F.); #283584=ORIENTED_EDGE('',*,*,#206305,.F.); #283585=ORIENTED_EDGE('',*,*,#206306,.T.); #283586=ORIENTED_EDGE('',*,*,#206307,.F.); #283587=ORIENTED_EDGE('',*,*,#206306,.F.); #283588=ORIENTED_EDGE('',*,*,#206308,.F.); #283589=ORIENTED_EDGE('',*,*,#206309,.T.); #283590=ORIENTED_EDGE('',*,*,#206310,.F.); #283591=ORIENTED_EDGE('',*,*,#206309,.F.); #283592=ORIENTED_EDGE('',*,*,#206311,.F.); #283593=ORIENTED_EDGE('',*,*,#206312,.T.); #283594=ORIENTED_EDGE('',*,*,#206313,.F.); #283595=ORIENTED_EDGE('',*,*,#206312,.F.); #283596=ORIENTED_EDGE('',*,*,#206314,.F.); #283597=ORIENTED_EDGE('',*,*,#206315,.T.); #283598=ORIENTED_EDGE('',*,*,#206316,.F.); #283599=ORIENTED_EDGE('',*,*,#206315,.F.); #283600=ORIENTED_EDGE('',*,*,#206317,.F.); #283601=ORIENTED_EDGE('',*,*,#206318,.T.); #283602=ORIENTED_EDGE('',*,*,#206319,.F.); #283603=ORIENTED_EDGE('',*,*,#206318,.F.); #283604=ORIENTED_EDGE('',*,*,#206320,.F.); #283605=ORIENTED_EDGE('',*,*,#206321,.T.); #283606=ORIENTED_EDGE('',*,*,#206322,.F.); #283607=ORIENTED_EDGE('',*,*,#206321,.F.); #283608=ORIENTED_EDGE('',*,*,#206323,.T.); #283609=ORIENTED_EDGE('',*,*,#206324,.T.); #283610=ORIENTED_EDGE('',*,*,#206325,.F.); #283611=ORIENTED_EDGE('',*,*,#206326,.F.); #283612=ORIENTED_EDGE('',*,*,#206327,.T.); #283613=ORIENTED_EDGE('',*,*,#206326,.T.); #283614=ORIENTED_EDGE('',*,*,#206328,.F.); #283615=ORIENTED_EDGE('',*,*,#206329,.F.); #283616=ORIENTED_EDGE('',*,*,#206330,.T.); #283617=ORIENTED_EDGE('',*,*,#206329,.T.); #283618=ORIENTED_EDGE('',*,*,#206331,.F.); #283619=ORIENTED_EDGE('',*,*,#206332,.F.); #283620=ORIENTED_EDGE('',*,*,#206333,.T.); #283621=ORIENTED_EDGE('',*,*,#206332,.T.); #283622=ORIENTED_EDGE('',*,*,#206334,.F.); #283623=ORIENTED_EDGE('',*,*,#206335,.F.); #283624=ORIENTED_EDGE('',*,*,#206336,.T.); #283625=ORIENTED_EDGE('',*,*,#206335,.T.); #283626=ORIENTED_EDGE('',*,*,#206337,.F.); #283627=ORIENTED_EDGE('',*,*,#206338,.F.); #283628=ORIENTED_EDGE('',*,*,#206339,.T.); #283629=ORIENTED_EDGE('',*,*,#206338,.T.); #283630=ORIENTED_EDGE('',*,*,#206340,.F.); #283631=ORIENTED_EDGE('',*,*,#206341,.F.); #283632=ORIENTED_EDGE('',*,*,#206342,.T.); #283633=ORIENTED_EDGE('',*,*,#206341,.T.); #283634=ORIENTED_EDGE('',*,*,#206343,.F.); #283635=ORIENTED_EDGE('',*,*,#206344,.F.); #283636=ORIENTED_EDGE('',*,*,#206345,.T.); #283637=ORIENTED_EDGE('',*,*,#206344,.T.); #283638=ORIENTED_EDGE('',*,*,#206346,.F.); #283639=ORIENTED_EDGE('',*,*,#206347,.F.); #283640=ORIENTED_EDGE('',*,*,#206348,.T.); #283641=ORIENTED_EDGE('',*,*,#206347,.T.); #283642=ORIENTED_EDGE('',*,*,#206349,.F.); #283643=ORIENTED_EDGE('',*,*,#206350,.F.); #283644=ORIENTED_EDGE('',*,*,#206351,.T.); #283645=ORIENTED_EDGE('',*,*,#206350,.T.); #283646=ORIENTED_EDGE('',*,*,#206352,.F.); #283647=ORIENTED_EDGE('',*,*,#206353,.F.); #283648=ORIENTED_EDGE('',*,*,#206354,.T.); #283649=ORIENTED_EDGE('',*,*,#206353,.T.); #283650=ORIENTED_EDGE('',*,*,#206355,.F.); #283651=ORIENTED_EDGE('',*,*,#206356,.F.); #283652=ORIENTED_EDGE('',*,*,#206357,.T.); #283653=ORIENTED_EDGE('',*,*,#206356,.T.); #283654=ORIENTED_EDGE('',*,*,#206358,.F.); #283655=ORIENTED_EDGE('',*,*,#206359,.F.); #283656=ORIENTED_EDGE('',*,*,#206360,.T.); #283657=ORIENTED_EDGE('',*,*,#206359,.T.); #283658=ORIENTED_EDGE('',*,*,#206361,.F.); #283659=ORIENTED_EDGE('',*,*,#206362,.F.); #283660=ORIENTED_EDGE('',*,*,#206363,.T.); #283661=ORIENTED_EDGE('',*,*,#206362,.T.); #283662=ORIENTED_EDGE('',*,*,#206364,.F.); #283663=ORIENTED_EDGE('',*,*,#206365,.F.); #283664=ORIENTED_EDGE('',*,*,#206366,.T.); #283665=ORIENTED_EDGE('',*,*,#206365,.T.); #283666=ORIENTED_EDGE('',*,*,#206367,.F.); #283667=ORIENTED_EDGE('',*,*,#206368,.F.); #283668=ORIENTED_EDGE('',*,*,#206369,.T.); #283669=ORIENTED_EDGE('',*,*,#206368,.T.); #283670=ORIENTED_EDGE('',*,*,#206370,.F.); #283671=ORIENTED_EDGE('',*,*,#206371,.F.); #283672=ORIENTED_EDGE('',*,*,#206372,.T.); #283673=ORIENTED_EDGE('',*,*,#206371,.T.); #283674=ORIENTED_EDGE('',*,*,#206373,.F.); #283675=ORIENTED_EDGE('',*,*,#206374,.F.); #283676=ORIENTED_EDGE('',*,*,#206375,.T.); #283677=ORIENTED_EDGE('',*,*,#206374,.T.); #283678=ORIENTED_EDGE('',*,*,#206376,.F.); #283679=ORIENTED_EDGE('',*,*,#206377,.F.); #283680=ORIENTED_EDGE('',*,*,#206378,.T.); #283681=ORIENTED_EDGE('',*,*,#206377,.T.); #283682=ORIENTED_EDGE('',*,*,#206379,.F.); #283683=ORIENTED_EDGE('',*,*,#206380,.F.); #283684=ORIENTED_EDGE('',*,*,#206381,.T.); #283685=ORIENTED_EDGE('',*,*,#206380,.T.); #283686=ORIENTED_EDGE('',*,*,#206382,.F.); #283687=ORIENTED_EDGE('',*,*,#206383,.F.); #283688=ORIENTED_EDGE('',*,*,#206384,.T.); #283689=ORIENTED_EDGE('',*,*,#206383,.T.); #283690=ORIENTED_EDGE('',*,*,#206385,.F.); #283691=ORIENTED_EDGE('',*,*,#206386,.F.); #283692=ORIENTED_EDGE('',*,*,#206387,.T.); #283693=ORIENTED_EDGE('',*,*,#206386,.T.); #283694=ORIENTED_EDGE('',*,*,#206388,.F.); #283695=ORIENTED_EDGE('',*,*,#206389,.F.); #283696=ORIENTED_EDGE('',*,*,#206390,.T.); #283697=ORIENTED_EDGE('',*,*,#206389,.T.); #283698=ORIENTED_EDGE('',*,*,#206391,.F.); #283699=ORIENTED_EDGE('',*,*,#206392,.F.); #283700=ORIENTED_EDGE('',*,*,#206393,.T.); #283701=ORIENTED_EDGE('',*,*,#206392,.T.); #283702=ORIENTED_EDGE('',*,*,#206394,.F.); #283703=ORIENTED_EDGE('',*,*,#206395,.F.); #283704=ORIENTED_EDGE('',*,*,#206396,.T.); #283705=ORIENTED_EDGE('',*,*,#206395,.T.); #283706=ORIENTED_EDGE('',*,*,#206397,.F.); #283707=ORIENTED_EDGE('',*,*,#206398,.F.); #283708=ORIENTED_EDGE('',*,*,#206399,.T.); #283709=ORIENTED_EDGE('',*,*,#206398,.T.); #283710=ORIENTED_EDGE('',*,*,#206400,.F.); #283711=ORIENTED_EDGE('',*,*,#206401,.F.); #283712=ORIENTED_EDGE('',*,*,#206402,.T.); #283713=ORIENTED_EDGE('',*,*,#206401,.T.); #283714=ORIENTED_EDGE('',*,*,#206403,.F.); #283715=ORIENTED_EDGE('',*,*,#206404,.F.); #283716=ORIENTED_EDGE('',*,*,#206405,.T.); #283717=ORIENTED_EDGE('',*,*,#206404,.T.); #283718=ORIENTED_EDGE('',*,*,#206406,.F.); #283719=ORIENTED_EDGE('',*,*,#206407,.F.); #283720=ORIENTED_EDGE('',*,*,#206408,.T.); #283721=ORIENTED_EDGE('',*,*,#206407,.T.); #283722=ORIENTED_EDGE('',*,*,#206409,.F.); #283723=ORIENTED_EDGE('',*,*,#206410,.F.); #283724=ORIENTED_EDGE('',*,*,#206411,.T.); #283725=ORIENTED_EDGE('',*,*,#206410,.T.); #283726=ORIENTED_EDGE('',*,*,#206412,.F.); #283727=ORIENTED_EDGE('',*,*,#206413,.F.); #283728=ORIENTED_EDGE('',*,*,#206414,.T.); #283729=ORIENTED_EDGE('',*,*,#206413,.T.); #283730=ORIENTED_EDGE('',*,*,#206415,.F.); #283731=ORIENTED_EDGE('',*,*,#206416,.F.); #283732=ORIENTED_EDGE('',*,*,#206417,.T.); #283733=ORIENTED_EDGE('',*,*,#206416,.T.); #283734=ORIENTED_EDGE('',*,*,#206418,.F.); #283735=ORIENTED_EDGE('',*,*,#206419,.F.); #283736=ORIENTED_EDGE('',*,*,#206420,.T.); #283737=ORIENTED_EDGE('',*,*,#206419,.T.); #283738=ORIENTED_EDGE('',*,*,#206421,.F.); #283739=ORIENTED_EDGE('',*,*,#206422,.F.); #283740=ORIENTED_EDGE('',*,*,#206423,.T.); #283741=ORIENTED_EDGE('',*,*,#206422,.T.); #283742=ORIENTED_EDGE('',*,*,#206424,.F.); #283743=ORIENTED_EDGE('',*,*,#206425,.F.); #283744=ORIENTED_EDGE('',*,*,#206426,.T.); #283745=ORIENTED_EDGE('',*,*,#206425,.T.); #283746=ORIENTED_EDGE('',*,*,#206427,.F.); #283747=ORIENTED_EDGE('',*,*,#206428,.F.); #283748=ORIENTED_EDGE('',*,*,#206429,.T.); #283749=ORIENTED_EDGE('',*,*,#206428,.T.); #283750=ORIENTED_EDGE('',*,*,#206430,.F.); #283751=ORIENTED_EDGE('',*,*,#206431,.F.); #283752=ORIENTED_EDGE('',*,*,#206432,.T.); #283753=ORIENTED_EDGE('',*,*,#206431,.T.); #283754=ORIENTED_EDGE('',*,*,#206433,.F.); #283755=ORIENTED_EDGE('',*,*,#206434,.F.); #283756=ORIENTED_EDGE('',*,*,#206435,.T.); #283757=ORIENTED_EDGE('',*,*,#206434,.T.); #283758=ORIENTED_EDGE('',*,*,#206436,.F.); #283759=ORIENTED_EDGE('',*,*,#206437,.F.); #283760=ORIENTED_EDGE('',*,*,#206438,.T.); #283761=ORIENTED_EDGE('',*,*,#206437,.T.); #283762=ORIENTED_EDGE('',*,*,#206439,.F.); #283763=ORIENTED_EDGE('',*,*,#206440,.F.); #283764=ORIENTED_EDGE('',*,*,#206441,.T.); #283765=ORIENTED_EDGE('',*,*,#206440,.T.); #283766=ORIENTED_EDGE('',*,*,#206442,.F.); #283767=ORIENTED_EDGE('',*,*,#206443,.F.); #283768=ORIENTED_EDGE('',*,*,#206444,.T.); #283769=ORIENTED_EDGE('',*,*,#206443,.T.); #283770=ORIENTED_EDGE('',*,*,#206445,.F.); #283771=ORIENTED_EDGE('',*,*,#206446,.F.); #283772=ORIENTED_EDGE('',*,*,#206447,.T.); #283773=ORIENTED_EDGE('',*,*,#206446,.T.); #283774=ORIENTED_EDGE('',*,*,#206448,.F.); #283775=ORIENTED_EDGE('',*,*,#206449,.F.); #283776=ORIENTED_EDGE('',*,*,#206450,.T.); #283777=ORIENTED_EDGE('',*,*,#206449,.T.); #283778=ORIENTED_EDGE('',*,*,#206451,.F.); #283779=ORIENTED_EDGE('',*,*,#206452,.F.); #283780=ORIENTED_EDGE('',*,*,#206453,.T.); #283781=ORIENTED_EDGE('',*,*,#206452,.T.); #283782=ORIENTED_EDGE('',*,*,#206454,.F.); #283783=ORIENTED_EDGE('',*,*,#206455,.F.); #283784=ORIENTED_EDGE('',*,*,#206456,.T.); #283785=ORIENTED_EDGE('',*,*,#206455,.T.); #283786=ORIENTED_EDGE('',*,*,#206457,.F.); #283787=ORIENTED_EDGE('',*,*,#206458,.F.); #283788=ORIENTED_EDGE('',*,*,#206459,.T.); #283789=ORIENTED_EDGE('',*,*,#206458,.T.); #283790=ORIENTED_EDGE('',*,*,#206460,.F.); #283791=ORIENTED_EDGE('',*,*,#206461,.F.); #283792=ORIENTED_EDGE('',*,*,#206462,.T.); #283793=ORIENTED_EDGE('',*,*,#206461,.T.); #283794=ORIENTED_EDGE('',*,*,#206463,.F.); #283795=ORIENTED_EDGE('',*,*,#206464,.F.); #283796=ORIENTED_EDGE('',*,*,#206465,.T.); #283797=ORIENTED_EDGE('',*,*,#206464,.T.); #283798=ORIENTED_EDGE('',*,*,#206466,.F.); #283799=ORIENTED_EDGE('',*,*,#206467,.F.); #283800=ORIENTED_EDGE('',*,*,#206468,.T.); #283801=ORIENTED_EDGE('',*,*,#206467,.T.); #283802=ORIENTED_EDGE('',*,*,#206469,.F.); #283803=ORIENTED_EDGE('',*,*,#206470,.F.); #283804=ORIENTED_EDGE('',*,*,#206471,.T.); #283805=ORIENTED_EDGE('',*,*,#206470,.T.); #283806=ORIENTED_EDGE('',*,*,#206472,.F.); #283807=ORIENTED_EDGE('',*,*,#206473,.F.); #283808=ORIENTED_EDGE('',*,*,#206474,.T.); #283809=ORIENTED_EDGE('',*,*,#206473,.T.); #283810=ORIENTED_EDGE('',*,*,#206475,.F.); #283811=ORIENTED_EDGE('',*,*,#206476,.F.); #283812=ORIENTED_EDGE('',*,*,#206477,.T.); #283813=ORIENTED_EDGE('',*,*,#206476,.T.); #283814=ORIENTED_EDGE('',*,*,#206478,.F.); #283815=ORIENTED_EDGE('',*,*,#206479,.F.); #283816=ORIENTED_EDGE('',*,*,#206480,.T.); #283817=ORIENTED_EDGE('',*,*,#206479,.T.); #283818=ORIENTED_EDGE('',*,*,#206481,.F.); #283819=ORIENTED_EDGE('',*,*,#206482,.F.); #283820=ORIENTED_EDGE('',*,*,#206483,.T.); #283821=ORIENTED_EDGE('',*,*,#206482,.T.); #283822=ORIENTED_EDGE('',*,*,#206484,.F.); #283823=ORIENTED_EDGE('',*,*,#206485,.F.); #283824=ORIENTED_EDGE('',*,*,#206486,.T.); #283825=ORIENTED_EDGE('',*,*,#206485,.T.); #283826=ORIENTED_EDGE('',*,*,#206487,.F.); #283827=ORIENTED_EDGE('',*,*,#206488,.F.); #283828=ORIENTED_EDGE('',*,*,#206489,.T.); #283829=ORIENTED_EDGE('',*,*,#206488,.T.); #283830=ORIENTED_EDGE('',*,*,#206490,.F.); #283831=ORIENTED_EDGE('',*,*,#206491,.F.); #283832=ORIENTED_EDGE('',*,*,#206492,.T.); #283833=ORIENTED_EDGE('',*,*,#206491,.T.); #283834=ORIENTED_EDGE('',*,*,#206493,.F.); #283835=ORIENTED_EDGE('',*,*,#206494,.F.); #283836=ORIENTED_EDGE('',*,*,#206495,.T.); #283837=ORIENTED_EDGE('',*,*,#206494,.T.); #283838=ORIENTED_EDGE('',*,*,#206496,.F.); #283839=ORIENTED_EDGE('',*,*,#206497,.F.); #283840=ORIENTED_EDGE('',*,*,#206498,.T.); #283841=ORIENTED_EDGE('',*,*,#206497,.T.); #283842=ORIENTED_EDGE('',*,*,#206499,.F.); #283843=ORIENTED_EDGE('',*,*,#206500,.F.); #283844=ORIENTED_EDGE('',*,*,#206501,.T.); #283845=ORIENTED_EDGE('',*,*,#206500,.T.); #283846=ORIENTED_EDGE('',*,*,#206502,.F.); #283847=ORIENTED_EDGE('',*,*,#206503,.F.); #283848=ORIENTED_EDGE('',*,*,#206504,.T.); #283849=ORIENTED_EDGE('',*,*,#206503,.T.); #283850=ORIENTED_EDGE('',*,*,#206505,.F.); #283851=ORIENTED_EDGE('',*,*,#206506,.F.); #283852=ORIENTED_EDGE('',*,*,#206507,.T.); #283853=ORIENTED_EDGE('',*,*,#206506,.T.); #283854=ORIENTED_EDGE('',*,*,#206508,.F.); #283855=ORIENTED_EDGE('',*,*,#206509,.F.); #283856=ORIENTED_EDGE('',*,*,#206510,.T.); #283857=ORIENTED_EDGE('',*,*,#206509,.T.); #283858=ORIENTED_EDGE('',*,*,#206511,.F.); #283859=ORIENTED_EDGE('',*,*,#206512,.F.); #283860=ORIENTED_EDGE('',*,*,#206513,.T.); #283861=ORIENTED_EDGE('',*,*,#206512,.T.); #283862=ORIENTED_EDGE('',*,*,#206514,.F.); #283863=ORIENTED_EDGE('',*,*,#206515,.F.); #283864=ORIENTED_EDGE('',*,*,#206516,.T.); #283865=ORIENTED_EDGE('',*,*,#206515,.T.); #283866=ORIENTED_EDGE('',*,*,#206517,.F.); #283867=ORIENTED_EDGE('',*,*,#206518,.F.); #283868=ORIENTED_EDGE('',*,*,#206519,.T.); #283869=ORIENTED_EDGE('',*,*,#206518,.T.); #283870=ORIENTED_EDGE('',*,*,#206520,.F.); #283871=ORIENTED_EDGE('',*,*,#206521,.F.); #283872=ORIENTED_EDGE('',*,*,#206522,.T.); #283873=ORIENTED_EDGE('',*,*,#206521,.T.); #283874=ORIENTED_EDGE('',*,*,#206523,.F.); #283875=ORIENTED_EDGE('',*,*,#206524,.F.); #283876=ORIENTED_EDGE('',*,*,#206525,.T.); #283877=ORIENTED_EDGE('',*,*,#206524,.T.); #283878=ORIENTED_EDGE('',*,*,#206526,.F.); #283879=ORIENTED_EDGE('',*,*,#206527,.F.); #283880=ORIENTED_EDGE('',*,*,#206528,.T.); #283881=ORIENTED_EDGE('',*,*,#206527,.T.); #283882=ORIENTED_EDGE('',*,*,#206529,.F.); #283883=ORIENTED_EDGE('',*,*,#206530,.F.); #283884=ORIENTED_EDGE('',*,*,#206531,.T.); #283885=ORIENTED_EDGE('',*,*,#206530,.T.); #283886=ORIENTED_EDGE('',*,*,#206532,.F.); #283887=ORIENTED_EDGE('',*,*,#206324,.F.); #283888=ORIENTED_EDGE('',*,*,#206532,.T.); #283889=ORIENTED_EDGE('',*,*,#206529,.T.); #283890=ORIENTED_EDGE('',*,*,#206526,.T.); #283891=ORIENTED_EDGE('',*,*,#206523,.T.); #283892=ORIENTED_EDGE('',*,*,#206520,.T.); #283893=ORIENTED_EDGE('',*,*,#206517,.T.); #283894=ORIENTED_EDGE('',*,*,#206514,.T.); #283895=ORIENTED_EDGE('',*,*,#206511,.T.); #283896=ORIENTED_EDGE('',*,*,#206508,.T.); #283897=ORIENTED_EDGE('',*,*,#206505,.T.); #283898=ORIENTED_EDGE('',*,*,#206502,.T.); #283899=ORIENTED_EDGE('',*,*,#206499,.T.); #283900=ORIENTED_EDGE('',*,*,#206496,.T.); #283901=ORIENTED_EDGE('',*,*,#206493,.T.); #283902=ORIENTED_EDGE('',*,*,#206490,.T.); #283903=ORIENTED_EDGE('',*,*,#206487,.T.); #283904=ORIENTED_EDGE('',*,*,#206484,.T.); #283905=ORIENTED_EDGE('',*,*,#206481,.T.); #283906=ORIENTED_EDGE('',*,*,#206478,.T.); #283907=ORIENTED_EDGE('',*,*,#206475,.T.); #283908=ORIENTED_EDGE('',*,*,#206472,.T.); #283909=ORIENTED_EDGE('',*,*,#206469,.T.); #283910=ORIENTED_EDGE('',*,*,#206466,.T.); #283911=ORIENTED_EDGE('',*,*,#206463,.T.); #283912=ORIENTED_EDGE('',*,*,#206460,.T.); #283913=ORIENTED_EDGE('',*,*,#206457,.T.); #283914=ORIENTED_EDGE('',*,*,#206454,.T.); #283915=ORIENTED_EDGE('',*,*,#206451,.T.); #283916=ORIENTED_EDGE('',*,*,#206448,.T.); #283917=ORIENTED_EDGE('',*,*,#206445,.T.); #283918=ORIENTED_EDGE('',*,*,#206442,.T.); #283919=ORIENTED_EDGE('',*,*,#206439,.T.); #283920=ORIENTED_EDGE('',*,*,#206436,.T.); #283921=ORIENTED_EDGE('',*,*,#206433,.T.); #283922=ORIENTED_EDGE('',*,*,#206430,.T.); #283923=ORIENTED_EDGE('',*,*,#206427,.T.); #283924=ORIENTED_EDGE('',*,*,#206424,.T.); #283925=ORIENTED_EDGE('',*,*,#206421,.T.); #283926=ORIENTED_EDGE('',*,*,#206418,.T.); #283927=ORIENTED_EDGE('',*,*,#206415,.T.); #283928=ORIENTED_EDGE('',*,*,#206412,.T.); #283929=ORIENTED_EDGE('',*,*,#206409,.T.); #283930=ORIENTED_EDGE('',*,*,#206406,.T.); #283931=ORIENTED_EDGE('',*,*,#206403,.T.); #283932=ORIENTED_EDGE('',*,*,#206400,.T.); #283933=ORIENTED_EDGE('',*,*,#206397,.T.); #283934=ORIENTED_EDGE('',*,*,#206394,.T.); #283935=ORIENTED_EDGE('',*,*,#206391,.T.); #283936=ORIENTED_EDGE('',*,*,#206388,.T.); #283937=ORIENTED_EDGE('',*,*,#206385,.T.); #283938=ORIENTED_EDGE('',*,*,#206382,.T.); #283939=ORIENTED_EDGE('',*,*,#206379,.T.); #283940=ORIENTED_EDGE('',*,*,#206376,.T.); #283941=ORIENTED_EDGE('',*,*,#206373,.T.); #283942=ORIENTED_EDGE('',*,*,#206370,.T.); #283943=ORIENTED_EDGE('',*,*,#206367,.T.); #283944=ORIENTED_EDGE('',*,*,#206364,.T.); #283945=ORIENTED_EDGE('',*,*,#206361,.T.); #283946=ORIENTED_EDGE('',*,*,#206358,.T.); #283947=ORIENTED_EDGE('',*,*,#206355,.T.); #283948=ORIENTED_EDGE('',*,*,#206352,.T.); #283949=ORIENTED_EDGE('',*,*,#206349,.T.); #283950=ORIENTED_EDGE('',*,*,#206346,.T.); #283951=ORIENTED_EDGE('',*,*,#206343,.T.); #283952=ORIENTED_EDGE('',*,*,#206340,.T.); #283953=ORIENTED_EDGE('',*,*,#206337,.T.); #283954=ORIENTED_EDGE('',*,*,#206334,.T.); #283955=ORIENTED_EDGE('',*,*,#206331,.T.); #283956=ORIENTED_EDGE('',*,*,#206328,.T.); #283957=ORIENTED_EDGE('',*,*,#206325,.T.); #283958=ORIENTED_EDGE('',*,*,#206277,.T.); #283959=ORIENTED_EDGE('',*,*,#206280,.T.); #283960=ORIENTED_EDGE('',*,*,#206283,.T.); #283961=ORIENTED_EDGE('',*,*,#206286,.T.); #283962=ORIENTED_EDGE('',*,*,#206289,.T.); #283963=ORIENTED_EDGE('',*,*,#206292,.T.); #283964=ORIENTED_EDGE('',*,*,#206295,.T.); #283965=ORIENTED_EDGE('',*,*,#206298,.T.); #283966=ORIENTED_EDGE('',*,*,#206301,.T.); #283967=ORIENTED_EDGE('',*,*,#206304,.T.); #283968=ORIENTED_EDGE('',*,*,#206307,.T.); #283969=ORIENTED_EDGE('',*,*,#206310,.T.); #283970=ORIENTED_EDGE('',*,*,#206313,.T.); #283971=ORIENTED_EDGE('',*,*,#206316,.T.); #283972=ORIENTED_EDGE('',*,*,#206319,.T.); #283973=ORIENTED_EDGE('',*,*,#206322,.T.); #283974=ORIENTED_EDGE('',*,*,#206531,.F.); #283975=ORIENTED_EDGE('',*,*,#206323,.F.); #283976=ORIENTED_EDGE('',*,*,#206327,.F.); #283977=ORIENTED_EDGE('',*,*,#206330,.F.); #283978=ORIENTED_EDGE('',*,*,#206333,.F.); #283979=ORIENTED_EDGE('',*,*,#206336,.F.); #283980=ORIENTED_EDGE('',*,*,#206339,.F.); #283981=ORIENTED_EDGE('',*,*,#206342,.F.); #283982=ORIENTED_EDGE('',*,*,#206345,.F.); #283983=ORIENTED_EDGE('',*,*,#206348,.F.); #283984=ORIENTED_EDGE('',*,*,#206351,.F.); #283985=ORIENTED_EDGE('',*,*,#206354,.F.); #283986=ORIENTED_EDGE('',*,*,#206357,.F.); #283987=ORIENTED_EDGE('',*,*,#206360,.F.); #283988=ORIENTED_EDGE('',*,*,#206363,.F.); #283989=ORIENTED_EDGE('',*,*,#206366,.F.); #283990=ORIENTED_EDGE('',*,*,#206369,.F.); #283991=ORIENTED_EDGE('',*,*,#206372,.F.); #283992=ORIENTED_EDGE('',*,*,#206375,.F.); #283993=ORIENTED_EDGE('',*,*,#206378,.F.); #283994=ORIENTED_EDGE('',*,*,#206381,.F.); #283995=ORIENTED_EDGE('',*,*,#206384,.F.); #283996=ORIENTED_EDGE('',*,*,#206387,.F.); #283997=ORIENTED_EDGE('',*,*,#206390,.F.); #283998=ORIENTED_EDGE('',*,*,#206393,.F.); #283999=ORIENTED_EDGE('',*,*,#206396,.F.); #284000=ORIENTED_EDGE('',*,*,#206399,.F.); #284001=ORIENTED_EDGE('',*,*,#206402,.F.); #284002=ORIENTED_EDGE('',*,*,#206405,.F.); #284003=ORIENTED_EDGE('',*,*,#206408,.F.); #284004=ORIENTED_EDGE('',*,*,#206411,.F.); #284005=ORIENTED_EDGE('',*,*,#206414,.F.); #284006=ORIENTED_EDGE('',*,*,#206417,.F.); #284007=ORIENTED_EDGE('',*,*,#206420,.F.); #284008=ORIENTED_EDGE('',*,*,#206423,.F.); #284009=ORIENTED_EDGE('',*,*,#206426,.F.); #284010=ORIENTED_EDGE('',*,*,#206429,.F.); #284011=ORIENTED_EDGE('',*,*,#206432,.F.); #284012=ORIENTED_EDGE('',*,*,#206435,.F.); #284013=ORIENTED_EDGE('',*,*,#206438,.F.); #284014=ORIENTED_EDGE('',*,*,#206441,.F.); #284015=ORIENTED_EDGE('',*,*,#206444,.F.); #284016=ORIENTED_EDGE('',*,*,#206447,.F.); #284017=ORIENTED_EDGE('',*,*,#206450,.F.); #284018=ORIENTED_EDGE('',*,*,#206453,.F.); #284019=ORIENTED_EDGE('',*,*,#206456,.F.); #284020=ORIENTED_EDGE('',*,*,#206459,.F.); #284021=ORIENTED_EDGE('',*,*,#206462,.F.); #284022=ORIENTED_EDGE('',*,*,#206465,.F.); #284023=ORIENTED_EDGE('',*,*,#206468,.F.); #284024=ORIENTED_EDGE('',*,*,#206471,.F.); #284025=ORIENTED_EDGE('',*,*,#206474,.F.); #284026=ORIENTED_EDGE('',*,*,#206477,.F.); #284027=ORIENTED_EDGE('',*,*,#206480,.F.); #284028=ORIENTED_EDGE('',*,*,#206483,.F.); #284029=ORIENTED_EDGE('',*,*,#206486,.F.); #284030=ORIENTED_EDGE('',*,*,#206489,.F.); #284031=ORIENTED_EDGE('',*,*,#206492,.F.); #284032=ORIENTED_EDGE('',*,*,#206495,.F.); #284033=ORIENTED_EDGE('',*,*,#206498,.F.); #284034=ORIENTED_EDGE('',*,*,#206501,.F.); #284035=ORIENTED_EDGE('',*,*,#206504,.F.); #284036=ORIENTED_EDGE('',*,*,#206507,.F.); #284037=ORIENTED_EDGE('',*,*,#206510,.F.); #284038=ORIENTED_EDGE('',*,*,#206513,.F.); #284039=ORIENTED_EDGE('',*,*,#206516,.F.); #284040=ORIENTED_EDGE('',*,*,#206519,.F.); #284041=ORIENTED_EDGE('',*,*,#206522,.F.); #284042=ORIENTED_EDGE('',*,*,#206525,.F.); #284043=ORIENTED_EDGE('',*,*,#206528,.F.); #284044=ORIENTED_EDGE('',*,*,#206275,.T.); #284045=ORIENTED_EDGE('',*,*,#206278,.T.); #284046=ORIENTED_EDGE('',*,*,#206281,.T.); #284047=ORIENTED_EDGE('',*,*,#206284,.T.); #284048=ORIENTED_EDGE('',*,*,#206287,.T.); #284049=ORIENTED_EDGE('',*,*,#206290,.T.); #284050=ORIENTED_EDGE('',*,*,#206293,.T.); #284051=ORIENTED_EDGE('',*,*,#206296,.T.); #284052=ORIENTED_EDGE('',*,*,#206299,.T.); #284053=ORIENTED_EDGE('',*,*,#206302,.T.); #284054=ORIENTED_EDGE('',*,*,#206305,.T.); #284055=ORIENTED_EDGE('',*,*,#206308,.T.); #284056=ORIENTED_EDGE('',*,*,#206311,.T.); #284057=ORIENTED_EDGE('',*,*,#206314,.T.); #284058=ORIENTED_EDGE('',*,*,#206317,.T.); #284059=ORIENTED_EDGE('',*,*,#206320,.T.); #284060=ORIENTED_EDGE('',*,*,#206533,.F.); #284061=ORIENTED_EDGE('',*,*,#206534,.T.); #284062=ORIENTED_EDGE('',*,*,#206535,.F.); #284063=ORIENTED_EDGE('',*,*,#206534,.F.); #284064=ORIENTED_EDGE('',*,*,#206536,.F.); #284065=ORIENTED_EDGE('',*,*,#206537,.T.); #284066=ORIENTED_EDGE('',*,*,#206538,.F.); #284067=ORIENTED_EDGE('',*,*,#206537,.F.); #284068=ORIENTED_EDGE('',*,*,#206539,.F.); #284069=ORIENTED_EDGE('',*,*,#206540,.T.); #284070=ORIENTED_EDGE('',*,*,#206541,.F.); #284071=ORIENTED_EDGE('',*,*,#206540,.F.); #284072=ORIENTED_EDGE('',*,*,#206542,.F.); #284073=ORIENTED_EDGE('',*,*,#206543,.T.); #284074=ORIENTED_EDGE('',*,*,#206544,.F.); #284075=ORIENTED_EDGE('',*,*,#206543,.F.); #284076=ORIENTED_EDGE('',*,*,#206545,.F.); #284077=ORIENTED_EDGE('',*,*,#206546,.T.); #284078=ORIENTED_EDGE('',*,*,#206547,.F.); #284079=ORIENTED_EDGE('',*,*,#206546,.F.); #284080=ORIENTED_EDGE('',*,*,#206548,.F.); #284081=ORIENTED_EDGE('',*,*,#206549,.T.); #284082=ORIENTED_EDGE('',*,*,#206550,.F.); #284083=ORIENTED_EDGE('',*,*,#206549,.F.); #284084=ORIENTED_EDGE('',*,*,#206551,.F.); #284085=ORIENTED_EDGE('',*,*,#206552,.T.); #284086=ORIENTED_EDGE('',*,*,#206553,.F.); #284087=ORIENTED_EDGE('',*,*,#206552,.F.); #284088=ORIENTED_EDGE('',*,*,#206554,.F.); #284089=ORIENTED_EDGE('',*,*,#206555,.T.); #284090=ORIENTED_EDGE('',*,*,#206556,.F.); #284091=ORIENTED_EDGE('',*,*,#206555,.F.); #284092=ORIENTED_EDGE('',*,*,#206557,.F.); #284093=ORIENTED_EDGE('',*,*,#206558,.T.); #284094=ORIENTED_EDGE('',*,*,#206559,.F.); #284095=ORIENTED_EDGE('',*,*,#206558,.F.); #284096=ORIENTED_EDGE('',*,*,#206560,.F.); #284097=ORIENTED_EDGE('',*,*,#206561,.T.); #284098=ORIENTED_EDGE('',*,*,#206562,.F.); #284099=ORIENTED_EDGE('',*,*,#206561,.F.); #284100=ORIENTED_EDGE('',*,*,#206563,.F.); #284101=ORIENTED_EDGE('',*,*,#206564,.T.); #284102=ORIENTED_EDGE('',*,*,#206565,.F.); #284103=ORIENTED_EDGE('',*,*,#206564,.F.); #284104=ORIENTED_EDGE('',*,*,#206566,.F.); #284105=ORIENTED_EDGE('',*,*,#206567,.T.); #284106=ORIENTED_EDGE('',*,*,#206568,.F.); #284107=ORIENTED_EDGE('',*,*,#206567,.F.); #284108=ORIENTED_EDGE('',*,*,#206569,.F.); #284109=ORIENTED_EDGE('',*,*,#206570,.T.); #284110=ORIENTED_EDGE('',*,*,#206571,.F.); #284111=ORIENTED_EDGE('',*,*,#206570,.F.); #284112=ORIENTED_EDGE('',*,*,#206572,.F.); #284113=ORIENTED_EDGE('',*,*,#206573,.T.); #284114=ORIENTED_EDGE('',*,*,#206574,.F.); #284115=ORIENTED_EDGE('',*,*,#206573,.F.); #284116=ORIENTED_EDGE('',*,*,#206575,.F.); #284117=ORIENTED_EDGE('',*,*,#206576,.T.); #284118=ORIENTED_EDGE('',*,*,#206577,.F.); #284119=ORIENTED_EDGE('',*,*,#206576,.F.); #284120=ORIENTED_EDGE('',*,*,#206578,.F.); #284121=ORIENTED_EDGE('',*,*,#206579,.T.); #284122=ORIENTED_EDGE('',*,*,#206580,.F.); #284123=ORIENTED_EDGE('',*,*,#206579,.F.); #284124=ORIENTED_EDGE('',*,*,#206581,.F.); #284125=ORIENTED_EDGE('',*,*,#206582,.T.); #284126=ORIENTED_EDGE('',*,*,#206583,.F.); #284127=ORIENTED_EDGE('',*,*,#206582,.F.); #284128=ORIENTED_EDGE('',*,*,#206584,.F.); #284129=ORIENTED_EDGE('',*,*,#206585,.T.); #284130=ORIENTED_EDGE('',*,*,#206586,.F.); #284131=ORIENTED_EDGE('',*,*,#206585,.F.); #284132=ORIENTED_EDGE('',*,*,#206587,.F.); #284133=ORIENTED_EDGE('',*,*,#206588,.T.); #284134=ORIENTED_EDGE('',*,*,#206589,.F.); #284135=ORIENTED_EDGE('',*,*,#206588,.F.); #284136=ORIENTED_EDGE('',*,*,#206590,.F.); #284137=ORIENTED_EDGE('',*,*,#206591,.T.); #284138=ORIENTED_EDGE('',*,*,#206592,.F.); #284139=ORIENTED_EDGE('',*,*,#206591,.F.); #284140=ORIENTED_EDGE('',*,*,#206593,.F.); #284141=ORIENTED_EDGE('',*,*,#206594,.T.); #284142=ORIENTED_EDGE('',*,*,#206595,.F.); #284143=ORIENTED_EDGE('',*,*,#206594,.F.); #284144=ORIENTED_EDGE('',*,*,#206596,.F.); #284145=ORIENTED_EDGE('',*,*,#206597,.T.); #284146=ORIENTED_EDGE('',*,*,#206598,.F.); #284147=ORIENTED_EDGE('',*,*,#206597,.F.); #284148=ORIENTED_EDGE('',*,*,#206599,.F.); #284149=ORIENTED_EDGE('',*,*,#206600,.T.); #284150=ORIENTED_EDGE('',*,*,#206601,.F.); #284151=ORIENTED_EDGE('',*,*,#206600,.F.); #284152=ORIENTED_EDGE('',*,*,#206602,.F.); #284153=ORIENTED_EDGE('',*,*,#206603,.T.); #284154=ORIENTED_EDGE('',*,*,#206604,.F.); #284155=ORIENTED_EDGE('',*,*,#206603,.F.); #284156=ORIENTED_EDGE('',*,*,#206605,.F.); #284157=ORIENTED_EDGE('',*,*,#206606,.T.); #284158=ORIENTED_EDGE('',*,*,#206607,.F.); #284159=ORIENTED_EDGE('',*,*,#206606,.F.); #284160=ORIENTED_EDGE('',*,*,#206608,.F.); #284161=ORIENTED_EDGE('',*,*,#206609,.T.); #284162=ORIENTED_EDGE('',*,*,#206610,.F.); #284163=ORIENTED_EDGE('',*,*,#206609,.F.); #284164=ORIENTED_EDGE('',*,*,#206611,.F.); #284165=ORIENTED_EDGE('',*,*,#206612,.T.); #284166=ORIENTED_EDGE('',*,*,#206613,.F.); #284167=ORIENTED_EDGE('',*,*,#206612,.F.); #284168=ORIENTED_EDGE('',*,*,#206614,.F.); #284169=ORIENTED_EDGE('',*,*,#206615,.T.); #284170=ORIENTED_EDGE('',*,*,#206616,.F.); #284171=ORIENTED_EDGE('',*,*,#206615,.F.); #284172=ORIENTED_EDGE('',*,*,#206617,.F.); #284173=ORIENTED_EDGE('',*,*,#206618,.T.); #284174=ORIENTED_EDGE('',*,*,#206619,.F.); #284175=ORIENTED_EDGE('',*,*,#206618,.F.); #284176=ORIENTED_EDGE('',*,*,#206620,.F.); #284177=ORIENTED_EDGE('',*,*,#206621,.T.); #284178=ORIENTED_EDGE('',*,*,#206622,.F.); #284179=ORIENTED_EDGE('',*,*,#206621,.F.); #284180=ORIENTED_EDGE('',*,*,#206623,.T.); #284181=ORIENTED_EDGE('',*,*,#206624,.T.); #284182=ORIENTED_EDGE('',*,*,#206625,.F.); #284183=ORIENTED_EDGE('',*,*,#206626,.F.); #284184=ORIENTED_EDGE('',*,*,#206627,.T.); #284185=ORIENTED_EDGE('',*,*,#206626,.T.); #284186=ORIENTED_EDGE('',*,*,#206628,.F.); #284187=ORIENTED_EDGE('',*,*,#206629,.F.); #284188=ORIENTED_EDGE('',*,*,#206630,.T.); #284189=ORIENTED_EDGE('',*,*,#206629,.T.); #284190=ORIENTED_EDGE('',*,*,#206631,.F.); #284191=ORIENTED_EDGE('',*,*,#206632,.F.); #284192=ORIENTED_EDGE('',*,*,#206633,.T.); #284193=ORIENTED_EDGE('',*,*,#206632,.T.); #284194=ORIENTED_EDGE('',*,*,#206634,.F.); #284195=ORIENTED_EDGE('',*,*,#206635,.F.); #284196=ORIENTED_EDGE('',*,*,#206636,.T.); #284197=ORIENTED_EDGE('',*,*,#206635,.T.); #284198=ORIENTED_EDGE('',*,*,#206637,.F.); #284199=ORIENTED_EDGE('',*,*,#206638,.F.); #284200=ORIENTED_EDGE('',*,*,#206639,.T.); #284201=ORIENTED_EDGE('',*,*,#206638,.T.); #284202=ORIENTED_EDGE('',*,*,#206640,.F.); #284203=ORIENTED_EDGE('',*,*,#206641,.F.); #284204=ORIENTED_EDGE('',*,*,#206642,.T.); #284205=ORIENTED_EDGE('',*,*,#206641,.T.); #284206=ORIENTED_EDGE('',*,*,#206643,.F.); #284207=ORIENTED_EDGE('',*,*,#206644,.F.); #284208=ORIENTED_EDGE('',*,*,#206645,.T.); #284209=ORIENTED_EDGE('',*,*,#206644,.T.); #284210=ORIENTED_EDGE('',*,*,#206646,.F.); #284211=ORIENTED_EDGE('',*,*,#206647,.F.); #284212=ORIENTED_EDGE('',*,*,#206648,.T.); #284213=ORIENTED_EDGE('',*,*,#206647,.T.); #284214=ORIENTED_EDGE('',*,*,#206649,.F.); #284215=ORIENTED_EDGE('',*,*,#206650,.F.); #284216=ORIENTED_EDGE('',*,*,#206651,.T.); #284217=ORIENTED_EDGE('',*,*,#206650,.T.); #284218=ORIENTED_EDGE('',*,*,#206652,.F.); #284219=ORIENTED_EDGE('',*,*,#206653,.F.); #284220=ORIENTED_EDGE('',*,*,#206654,.T.); #284221=ORIENTED_EDGE('',*,*,#206653,.T.); #284222=ORIENTED_EDGE('',*,*,#206655,.F.); #284223=ORIENTED_EDGE('',*,*,#206656,.F.); #284224=ORIENTED_EDGE('',*,*,#206657,.T.); #284225=ORIENTED_EDGE('',*,*,#206656,.T.); #284226=ORIENTED_EDGE('',*,*,#206658,.F.); #284227=ORIENTED_EDGE('',*,*,#206659,.F.); #284228=ORIENTED_EDGE('',*,*,#206660,.T.); #284229=ORIENTED_EDGE('',*,*,#206659,.T.); #284230=ORIENTED_EDGE('',*,*,#206661,.F.); #284231=ORIENTED_EDGE('',*,*,#206662,.F.); #284232=ORIENTED_EDGE('',*,*,#206663,.T.); #284233=ORIENTED_EDGE('',*,*,#206662,.T.); #284234=ORIENTED_EDGE('',*,*,#206664,.F.); #284235=ORIENTED_EDGE('',*,*,#206665,.F.); #284236=ORIENTED_EDGE('',*,*,#206666,.T.); #284237=ORIENTED_EDGE('',*,*,#206665,.T.); #284238=ORIENTED_EDGE('',*,*,#206667,.F.); #284239=ORIENTED_EDGE('',*,*,#206668,.F.); #284240=ORIENTED_EDGE('',*,*,#206669,.T.); #284241=ORIENTED_EDGE('',*,*,#206668,.T.); #284242=ORIENTED_EDGE('',*,*,#206670,.F.); #284243=ORIENTED_EDGE('',*,*,#206671,.F.); #284244=ORIENTED_EDGE('',*,*,#206672,.T.); #284245=ORIENTED_EDGE('',*,*,#206671,.T.); #284246=ORIENTED_EDGE('',*,*,#206673,.F.); #284247=ORIENTED_EDGE('',*,*,#206674,.F.); #284248=ORIENTED_EDGE('',*,*,#206675,.T.); #284249=ORIENTED_EDGE('',*,*,#206674,.T.); #284250=ORIENTED_EDGE('',*,*,#206676,.F.); #284251=ORIENTED_EDGE('',*,*,#206677,.F.); #284252=ORIENTED_EDGE('',*,*,#206678,.T.); #284253=ORIENTED_EDGE('',*,*,#206677,.T.); #284254=ORIENTED_EDGE('',*,*,#206679,.F.); #284255=ORIENTED_EDGE('',*,*,#206680,.F.); #284256=ORIENTED_EDGE('',*,*,#206681,.T.); #284257=ORIENTED_EDGE('',*,*,#206680,.T.); #284258=ORIENTED_EDGE('',*,*,#206682,.F.); #284259=ORIENTED_EDGE('',*,*,#206683,.F.); #284260=ORIENTED_EDGE('',*,*,#206684,.T.); #284261=ORIENTED_EDGE('',*,*,#206683,.T.); #284262=ORIENTED_EDGE('',*,*,#206685,.F.); #284263=ORIENTED_EDGE('',*,*,#206686,.F.); #284264=ORIENTED_EDGE('',*,*,#206687,.T.); #284265=ORIENTED_EDGE('',*,*,#206686,.T.); #284266=ORIENTED_EDGE('',*,*,#206688,.F.); #284267=ORIENTED_EDGE('',*,*,#206689,.F.); #284268=ORIENTED_EDGE('',*,*,#206690,.T.); #284269=ORIENTED_EDGE('',*,*,#206689,.T.); #284270=ORIENTED_EDGE('',*,*,#206691,.F.); #284271=ORIENTED_EDGE('',*,*,#206692,.F.); #284272=ORIENTED_EDGE('',*,*,#206693,.T.); #284273=ORIENTED_EDGE('',*,*,#206692,.T.); #284274=ORIENTED_EDGE('',*,*,#206694,.F.); #284275=ORIENTED_EDGE('',*,*,#206695,.F.); #284276=ORIENTED_EDGE('',*,*,#206696,.T.); #284277=ORIENTED_EDGE('',*,*,#206695,.T.); #284278=ORIENTED_EDGE('',*,*,#206697,.F.); #284279=ORIENTED_EDGE('',*,*,#206698,.F.); #284280=ORIENTED_EDGE('',*,*,#206699,.T.); #284281=ORIENTED_EDGE('',*,*,#206698,.T.); #284282=ORIENTED_EDGE('',*,*,#206700,.F.); #284283=ORIENTED_EDGE('',*,*,#206701,.F.); #284284=ORIENTED_EDGE('',*,*,#206702,.T.); #284285=ORIENTED_EDGE('',*,*,#206701,.T.); #284286=ORIENTED_EDGE('',*,*,#206703,.F.); #284287=ORIENTED_EDGE('',*,*,#206704,.F.); #284288=ORIENTED_EDGE('',*,*,#206705,.T.); #284289=ORIENTED_EDGE('',*,*,#206704,.T.); #284290=ORIENTED_EDGE('',*,*,#206706,.F.); #284291=ORIENTED_EDGE('',*,*,#206707,.F.); #284292=ORIENTED_EDGE('',*,*,#206708,.T.); #284293=ORIENTED_EDGE('',*,*,#206707,.T.); #284294=ORIENTED_EDGE('',*,*,#206709,.F.); #284295=ORIENTED_EDGE('',*,*,#206710,.F.); #284296=ORIENTED_EDGE('',*,*,#206711,.T.); #284297=ORIENTED_EDGE('',*,*,#206710,.T.); #284298=ORIENTED_EDGE('',*,*,#206712,.F.); #284299=ORIENTED_EDGE('',*,*,#206713,.F.); #284300=ORIENTED_EDGE('',*,*,#206714,.T.); #284301=ORIENTED_EDGE('',*,*,#206713,.T.); #284302=ORIENTED_EDGE('',*,*,#206715,.F.); #284303=ORIENTED_EDGE('',*,*,#206716,.F.); #284304=ORIENTED_EDGE('',*,*,#206717,.T.); #284305=ORIENTED_EDGE('',*,*,#206716,.T.); #284306=ORIENTED_EDGE('',*,*,#206718,.F.); #284307=ORIENTED_EDGE('',*,*,#206719,.F.); #284308=ORIENTED_EDGE('',*,*,#206720,.T.); #284309=ORIENTED_EDGE('',*,*,#206719,.T.); #284310=ORIENTED_EDGE('',*,*,#206721,.F.); #284311=ORIENTED_EDGE('',*,*,#206722,.F.); #284312=ORIENTED_EDGE('',*,*,#206723,.T.); #284313=ORIENTED_EDGE('',*,*,#206722,.T.); #284314=ORIENTED_EDGE('',*,*,#206724,.F.); #284315=ORIENTED_EDGE('',*,*,#206725,.F.); #284316=ORIENTED_EDGE('',*,*,#206726,.T.); #284317=ORIENTED_EDGE('',*,*,#206725,.T.); #284318=ORIENTED_EDGE('',*,*,#206727,.F.); #284319=ORIENTED_EDGE('',*,*,#206728,.F.); #284320=ORIENTED_EDGE('',*,*,#206729,.T.); #284321=ORIENTED_EDGE('',*,*,#206728,.T.); #284322=ORIENTED_EDGE('',*,*,#206730,.F.); #284323=ORIENTED_EDGE('',*,*,#206731,.F.); #284324=ORIENTED_EDGE('',*,*,#206732,.T.); #284325=ORIENTED_EDGE('',*,*,#206731,.T.); #284326=ORIENTED_EDGE('',*,*,#206733,.F.); #284327=ORIENTED_EDGE('',*,*,#206734,.F.); #284328=ORIENTED_EDGE('',*,*,#206735,.T.); #284329=ORIENTED_EDGE('',*,*,#206734,.T.); #284330=ORIENTED_EDGE('',*,*,#206736,.F.); #284331=ORIENTED_EDGE('',*,*,#206737,.F.); #284332=ORIENTED_EDGE('',*,*,#206738,.T.); #284333=ORIENTED_EDGE('',*,*,#206737,.T.); #284334=ORIENTED_EDGE('',*,*,#206739,.F.); #284335=ORIENTED_EDGE('',*,*,#206740,.F.); #284336=ORIENTED_EDGE('',*,*,#206741,.T.); #284337=ORIENTED_EDGE('',*,*,#206740,.T.); #284338=ORIENTED_EDGE('',*,*,#206742,.F.); #284339=ORIENTED_EDGE('',*,*,#206743,.F.); #284340=ORIENTED_EDGE('',*,*,#206744,.T.); #284341=ORIENTED_EDGE('',*,*,#206743,.T.); #284342=ORIENTED_EDGE('',*,*,#206745,.F.); #284343=ORIENTED_EDGE('',*,*,#206746,.F.); #284344=ORIENTED_EDGE('',*,*,#206747,.T.); #284345=ORIENTED_EDGE('',*,*,#206746,.T.); #284346=ORIENTED_EDGE('',*,*,#206748,.F.); #284347=ORIENTED_EDGE('',*,*,#206749,.F.); #284348=ORIENTED_EDGE('',*,*,#206750,.T.); #284349=ORIENTED_EDGE('',*,*,#206749,.T.); #284350=ORIENTED_EDGE('',*,*,#206751,.F.); #284351=ORIENTED_EDGE('',*,*,#206752,.F.); #284352=ORIENTED_EDGE('',*,*,#206753,.T.); #284353=ORIENTED_EDGE('',*,*,#206752,.T.); #284354=ORIENTED_EDGE('',*,*,#206754,.F.); #284355=ORIENTED_EDGE('',*,*,#206755,.F.); #284356=ORIENTED_EDGE('',*,*,#206756,.T.); #284357=ORIENTED_EDGE('',*,*,#206755,.T.); #284358=ORIENTED_EDGE('',*,*,#206757,.F.); #284359=ORIENTED_EDGE('',*,*,#206624,.F.); #284360=ORIENTED_EDGE('',*,*,#206757,.T.); #284361=ORIENTED_EDGE('',*,*,#206754,.T.); #284362=ORIENTED_EDGE('',*,*,#206751,.T.); #284363=ORIENTED_EDGE('',*,*,#206748,.T.); #284364=ORIENTED_EDGE('',*,*,#206745,.T.); #284365=ORIENTED_EDGE('',*,*,#206742,.T.); #284366=ORIENTED_EDGE('',*,*,#206739,.T.); #284367=ORIENTED_EDGE('',*,*,#206736,.T.); #284368=ORIENTED_EDGE('',*,*,#206733,.T.); #284369=ORIENTED_EDGE('',*,*,#206730,.T.); #284370=ORIENTED_EDGE('',*,*,#206727,.T.); #284371=ORIENTED_EDGE('',*,*,#206724,.T.); #284372=ORIENTED_EDGE('',*,*,#206721,.T.); #284373=ORIENTED_EDGE('',*,*,#206718,.T.); #284374=ORIENTED_EDGE('',*,*,#206715,.T.); #284375=ORIENTED_EDGE('',*,*,#206712,.T.); #284376=ORIENTED_EDGE('',*,*,#206709,.T.); #284377=ORIENTED_EDGE('',*,*,#206706,.T.); #284378=ORIENTED_EDGE('',*,*,#206703,.T.); #284379=ORIENTED_EDGE('',*,*,#206700,.T.); #284380=ORIENTED_EDGE('',*,*,#206697,.T.); #284381=ORIENTED_EDGE('',*,*,#206694,.T.); #284382=ORIENTED_EDGE('',*,*,#206691,.T.); #284383=ORIENTED_EDGE('',*,*,#206688,.T.); #284384=ORIENTED_EDGE('',*,*,#206685,.T.); #284385=ORIENTED_EDGE('',*,*,#206682,.T.); #284386=ORIENTED_EDGE('',*,*,#206679,.T.); #284387=ORIENTED_EDGE('',*,*,#206676,.T.); #284388=ORIENTED_EDGE('',*,*,#206673,.T.); #284389=ORIENTED_EDGE('',*,*,#206670,.T.); #284390=ORIENTED_EDGE('',*,*,#206667,.T.); #284391=ORIENTED_EDGE('',*,*,#206664,.T.); #284392=ORIENTED_EDGE('',*,*,#206661,.T.); #284393=ORIENTED_EDGE('',*,*,#206658,.T.); #284394=ORIENTED_EDGE('',*,*,#206655,.T.); #284395=ORIENTED_EDGE('',*,*,#206652,.T.); #284396=ORIENTED_EDGE('',*,*,#206649,.T.); #284397=ORIENTED_EDGE('',*,*,#206646,.T.); #284398=ORIENTED_EDGE('',*,*,#206643,.T.); #284399=ORIENTED_EDGE('',*,*,#206640,.T.); #284400=ORIENTED_EDGE('',*,*,#206637,.T.); #284401=ORIENTED_EDGE('',*,*,#206634,.T.); #284402=ORIENTED_EDGE('',*,*,#206631,.T.); #284403=ORIENTED_EDGE('',*,*,#206628,.T.); #284404=ORIENTED_EDGE('',*,*,#206625,.T.); #284405=ORIENTED_EDGE('',*,*,#206535,.T.); #284406=ORIENTED_EDGE('',*,*,#206538,.T.); #284407=ORIENTED_EDGE('',*,*,#206541,.T.); #284408=ORIENTED_EDGE('',*,*,#206544,.T.); #284409=ORIENTED_EDGE('',*,*,#206547,.T.); #284410=ORIENTED_EDGE('',*,*,#206550,.T.); #284411=ORIENTED_EDGE('',*,*,#206553,.T.); #284412=ORIENTED_EDGE('',*,*,#206556,.T.); #284413=ORIENTED_EDGE('',*,*,#206559,.T.); #284414=ORIENTED_EDGE('',*,*,#206562,.T.); #284415=ORIENTED_EDGE('',*,*,#206565,.T.); #284416=ORIENTED_EDGE('',*,*,#206568,.T.); #284417=ORIENTED_EDGE('',*,*,#206571,.T.); #284418=ORIENTED_EDGE('',*,*,#206574,.T.); #284419=ORIENTED_EDGE('',*,*,#206577,.T.); #284420=ORIENTED_EDGE('',*,*,#206580,.T.); #284421=ORIENTED_EDGE('',*,*,#206583,.T.); #284422=ORIENTED_EDGE('',*,*,#206586,.T.); #284423=ORIENTED_EDGE('',*,*,#206589,.T.); #284424=ORIENTED_EDGE('',*,*,#206592,.T.); #284425=ORIENTED_EDGE('',*,*,#206595,.T.); #284426=ORIENTED_EDGE('',*,*,#206598,.T.); #284427=ORIENTED_EDGE('',*,*,#206601,.T.); #284428=ORIENTED_EDGE('',*,*,#206604,.T.); #284429=ORIENTED_EDGE('',*,*,#206607,.T.); #284430=ORIENTED_EDGE('',*,*,#206610,.T.); #284431=ORIENTED_EDGE('',*,*,#206613,.T.); #284432=ORIENTED_EDGE('',*,*,#206616,.T.); #284433=ORIENTED_EDGE('',*,*,#206619,.T.); #284434=ORIENTED_EDGE('',*,*,#206622,.T.); #284435=ORIENTED_EDGE('',*,*,#206756,.F.); #284436=ORIENTED_EDGE('',*,*,#206623,.F.); #284437=ORIENTED_EDGE('',*,*,#206627,.F.); #284438=ORIENTED_EDGE('',*,*,#206630,.F.); #284439=ORIENTED_EDGE('',*,*,#206633,.F.); #284440=ORIENTED_EDGE('',*,*,#206636,.F.); #284441=ORIENTED_EDGE('',*,*,#206639,.F.); #284442=ORIENTED_EDGE('',*,*,#206642,.F.); #284443=ORIENTED_EDGE('',*,*,#206645,.F.); #284444=ORIENTED_EDGE('',*,*,#206648,.F.); #284445=ORIENTED_EDGE('',*,*,#206651,.F.); #284446=ORIENTED_EDGE('',*,*,#206654,.F.); #284447=ORIENTED_EDGE('',*,*,#206657,.F.); #284448=ORIENTED_EDGE('',*,*,#206660,.F.); #284449=ORIENTED_EDGE('',*,*,#206663,.F.); #284450=ORIENTED_EDGE('',*,*,#206666,.F.); #284451=ORIENTED_EDGE('',*,*,#206669,.F.); #284452=ORIENTED_EDGE('',*,*,#206672,.F.); #284453=ORIENTED_EDGE('',*,*,#206675,.F.); #284454=ORIENTED_EDGE('',*,*,#206678,.F.); #284455=ORIENTED_EDGE('',*,*,#206681,.F.); #284456=ORIENTED_EDGE('',*,*,#206684,.F.); #284457=ORIENTED_EDGE('',*,*,#206687,.F.); #284458=ORIENTED_EDGE('',*,*,#206690,.F.); #284459=ORIENTED_EDGE('',*,*,#206693,.F.); #284460=ORIENTED_EDGE('',*,*,#206696,.F.); #284461=ORIENTED_EDGE('',*,*,#206699,.F.); #284462=ORIENTED_EDGE('',*,*,#206702,.F.); #284463=ORIENTED_EDGE('',*,*,#206705,.F.); #284464=ORIENTED_EDGE('',*,*,#206708,.F.); #284465=ORIENTED_EDGE('',*,*,#206711,.F.); #284466=ORIENTED_EDGE('',*,*,#206714,.F.); #284467=ORIENTED_EDGE('',*,*,#206717,.F.); #284468=ORIENTED_EDGE('',*,*,#206720,.F.); #284469=ORIENTED_EDGE('',*,*,#206723,.F.); #284470=ORIENTED_EDGE('',*,*,#206726,.F.); #284471=ORIENTED_EDGE('',*,*,#206729,.F.); #284472=ORIENTED_EDGE('',*,*,#206732,.F.); #284473=ORIENTED_EDGE('',*,*,#206735,.F.); #284474=ORIENTED_EDGE('',*,*,#206738,.F.); #284475=ORIENTED_EDGE('',*,*,#206741,.F.); #284476=ORIENTED_EDGE('',*,*,#206744,.F.); #284477=ORIENTED_EDGE('',*,*,#206747,.F.); #284478=ORIENTED_EDGE('',*,*,#206750,.F.); #284479=ORIENTED_EDGE('',*,*,#206753,.F.); #284480=ORIENTED_EDGE('',*,*,#206533,.T.); #284481=ORIENTED_EDGE('',*,*,#206536,.T.); #284482=ORIENTED_EDGE('',*,*,#206539,.T.); #284483=ORIENTED_EDGE('',*,*,#206542,.T.); #284484=ORIENTED_EDGE('',*,*,#206545,.T.); #284485=ORIENTED_EDGE('',*,*,#206548,.T.); #284486=ORIENTED_EDGE('',*,*,#206551,.T.); #284487=ORIENTED_EDGE('',*,*,#206554,.T.); #284488=ORIENTED_EDGE('',*,*,#206557,.T.); #284489=ORIENTED_EDGE('',*,*,#206560,.T.); #284490=ORIENTED_EDGE('',*,*,#206563,.T.); #284491=ORIENTED_EDGE('',*,*,#206566,.T.); #284492=ORIENTED_EDGE('',*,*,#206569,.T.); #284493=ORIENTED_EDGE('',*,*,#206572,.T.); #284494=ORIENTED_EDGE('',*,*,#206575,.T.); #284495=ORIENTED_EDGE('',*,*,#206578,.T.); #284496=ORIENTED_EDGE('',*,*,#206581,.T.); #284497=ORIENTED_EDGE('',*,*,#206584,.T.); #284498=ORIENTED_EDGE('',*,*,#206587,.T.); #284499=ORIENTED_EDGE('',*,*,#206590,.T.); #284500=ORIENTED_EDGE('',*,*,#206593,.T.); #284501=ORIENTED_EDGE('',*,*,#206596,.T.); #284502=ORIENTED_EDGE('',*,*,#206599,.T.); #284503=ORIENTED_EDGE('',*,*,#206602,.T.); #284504=ORIENTED_EDGE('',*,*,#206605,.T.); #284505=ORIENTED_EDGE('',*,*,#206608,.T.); #284506=ORIENTED_EDGE('',*,*,#206611,.T.); #284507=ORIENTED_EDGE('',*,*,#206614,.T.); #284508=ORIENTED_EDGE('',*,*,#206617,.T.); #284509=ORIENTED_EDGE('',*,*,#206620,.T.); #284510=ORIENTED_EDGE('',*,*,#206758,.F.); #284511=ORIENTED_EDGE('',*,*,#206759,.T.); #284512=ORIENTED_EDGE('',*,*,#206760,.F.); #284513=ORIENTED_EDGE('',*,*,#206759,.F.); #284514=ORIENTED_EDGE('',*,*,#206761,.F.); #284515=ORIENTED_EDGE('',*,*,#206762,.T.); #284516=ORIENTED_EDGE('',*,*,#206763,.F.); #284517=ORIENTED_EDGE('',*,*,#206762,.F.); #284518=ORIENTED_EDGE('',*,*,#206764,.T.); #284519=ORIENTED_EDGE('',*,*,#206765,.T.); #284520=ORIENTED_EDGE('',*,*,#206766,.F.); #284521=ORIENTED_EDGE('',*,*,#206767,.F.); #284522=ORIENTED_EDGE('',*,*,#206768,.T.); #284523=ORIENTED_EDGE('',*,*,#206767,.T.); #284524=ORIENTED_EDGE('',*,*,#206769,.F.); #284525=ORIENTED_EDGE('',*,*,#206770,.F.); #284526=ORIENTED_EDGE('',*,*,#206771,.T.); #284527=ORIENTED_EDGE('',*,*,#206770,.T.); #284528=ORIENTED_EDGE('',*,*,#206772,.F.); #284529=ORIENTED_EDGE('',*,*,#206773,.F.); #284530=ORIENTED_EDGE('',*,*,#206774,.T.); #284531=ORIENTED_EDGE('',*,*,#206773,.T.); #284532=ORIENTED_EDGE('',*,*,#206775,.F.); #284533=ORIENTED_EDGE('',*,*,#206776,.F.); #284534=ORIENTED_EDGE('',*,*,#206777,.T.); #284535=ORIENTED_EDGE('',*,*,#206776,.T.); #284536=ORIENTED_EDGE('',*,*,#206778,.F.); #284537=ORIENTED_EDGE('',*,*,#206779,.F.); #284538=ORIENTED_EDGE('',*,*,#206780,.T.); #284539=ORIENTED_EDGE('',*,*,#206779,.T.); #284540=ORIENTED_EDGE('',*,*,#206781,.F.); #284541=ORIENTED_EDGE('',*,*,#206782,.F.); #284542=ORIENTED_EDGE('',*,*,#206783,.T.); #284543=ORIENTED_EDGE('',*,*,#206782,.T.); #284544=ORIENTED_EDGE('',*,*,#206784,.F.); #284545=ORIENTED_EDGE('',*,*,#206765,.F.); #284546=ORIENTED_EDGE('',*,*,#206784,.T.); #284547=ORIENTED_EDGE('',*,*,#206781,.T.); #284548=ORIENTED_EDGE('',*,*,#206778,.T.); #284549=ORIENTED_EDGE('',*,*,#206775,.T.); #284550=ORIENTED_EDGE('',*,*,#206772,.T.); #284551=ORIENTED_EDGE('',*,*,#206769,.T.); #284552=ORIENTED_EDGE('',*,*,#206766,.T.); #284553=ORIENTED_EDGE('',*,*,#206760,.T.); #284554=ORIENTED_EDGE('',*,*,#206763,.T.); #284555=ORIENTED_EDGE('',*,*,#206783,.F.); #284556=ORIENTED_EDGE('',*,*,#206764,.F.); #284557=ORIENTED_EDGE('',*,*,#206768,.F.); #284558=ORIENTED_EDGE('',*,*,#206771,.F.); #284559=ORIENTED_EDGE('',*,*,#206774,.F.); #284560=ORIENTED_EDGE('',*,*,#206777,.F.); #284561=ORIENTED_EDGE('',*,*,#206780,.F.); #284562=ORIENTED_EDGE('',*,*,#206758,.T.); #284563=ORIENTED_EDGE('',*,*,#206761,.T.); #284564=ORIENTED_EDGE('',*,*,#206785,.F.); #284565=ORIENTED_EDGE('',*,*,#206786,.T.); #284566=ORIENTED_EDGE('',*,*,#206787,.F.); #284567=ORIENTED_EDGE('',*,*,#206786,.F.); #284568=ORIENTED_EDGE('',*,*,#206788,.F.); #284569=ORIENTED_EDGE('',*,*,#206789,.T.); #284570=ORIENTED_EDGE('',*,*,#206790,.F.); #284571=ORIENTED_EDGE('',*,*,#206789,.F.); #284572=ORIENTED_EDGE('',*,*,#206791,.F.); #284573=ORIENTED_EDGE('',*,*,#206792,.T.); #284574=ORIENTED_EDGE('',*,*,#206793,.F.); #284575=ORIENTED_EDGE('',*,*,#206792,.F.); #284576=ORIENTED_EDGE('',*,*,#206794,.F.); #284577=ORIENTED_EDGE('',*,*,#206795,.T.); #284578=ORIENTED_EDGE('',*,*,#206796,.F.); #284579=ORIENTED_EDGE('',*,*,#206795,.F.); #284580=ORIENTED_EDGE('',*,*,#206797,.F.); #284581=ORIENTED_EDGE('',*,*,#206798,.T.); #284582=ORIENTED_EDGE('',*,*,#206799,.F.); #284583=ORIENTED_EDGE('',*,*,#206798,.F.); #284584=ORIENTED_EDGE('',*,*,#206800,.F.); #284585=ORIENTED_EDGE('',*,*,#206801,.T.); #284586=ORIENTED_EDGE('',*,*,#206802,.F.); #284587=ORIENTED_EDGE('',*,*,#206801,.F.); #284588=ORIENTED_EDGE('',*,*,#206803,.F.); #284589=ORIENTED_EDGE('',*,*,#206804,.T.); #284590=ORIENTED_EDGE('',*,*,#206805,.F.); #284591=ORIENTED_EDGE('',*,*,#206804,.F.); #284592=ORIENTED_EDGE('',*,*,#206806,.F.); #284593=ORIENTED_EDGE('',*,*,#206807,.T.); #284594=ORIENTED_EDGE('',*,*,#206808,.F.); #284595=ORIENTED_EDGE('',*,*,#206807,.F.); #284596=ORIENTED_EDGE('',*,*,#206809,.F.); #284597=ORIENTED_EDGE('',*,*,#206810,.T.); #284598=ORIENTED_EDGE('',*,*,#206811,.F.); #284599=ORIENTED_EDGE('',*,*,#206810,.F.); #284600=ORIENTED_EDGE('',*,*,#206812,.F.); #284601=ORIENTED_EDGE('',*,*,#206813,.T.); #284602=ORIENTED_EDGE('',*,*,#206814,.F.); #284603=ORIENTED_EDGE('',*,*,#206813,.F.); #284604=ORIENTED_EDGE('',*,*,#206815,.F.); #284605=ORIENTED_EDGE('',*,*,#206816,.T.); #284606=ORIENTED_EDGE('',*,*,#206817,.F.); #284607=ORIENTED_EDGE('',*,*,#206816,.F.); #284608=ORIENTED_EDGE('',*,*,#206818,.F.); #284609=ORIENTED_EDGE('',*,*,#206819,.T.); #284610=ORIENTED_EDGE('',*,*,#206820,.F.); #284611=ORIENTED_EDGE('',*,*,#206819,.F.); #284612=ORIENTED_EDGE('',*,*,#206821,.F.); #284613=ORIENTED_EDGE('',*,*,#206822,.T.); #284614=ORIENTED_EDGE('',*,*,#206823,.F.); #284615=ORIENTED_EDGE('',*,*,#206822,.F.); #284616=ORIENTED_EDGE('',*,*,#206824,.F.); #284617=ORIENTED_EDGE('',*,*,#206825,.T.); #284618=ORIENTED_EDGE('',*,*,#206826,.F.); #284619=ORIENTED_EDGE('',*,*,#206825,.F.); #284620=ORIENTED_EDGE('',*,*,#206827,.F.); #284621=ORIENTED_EDGE('',*,*,#206828,.T.); #284622=ORIENTED_EDGE('',*,*,#206829,.F.); #284623=ORIENTED_EDGE('',*,*,#206828,.F.); #284624=ORIENTED_EDGE('',*,*,#206830,.F.); #284625=ORIENTED_EDGE('',*,*,#206831,.T.); #284626=ORIENTED_EDGE('',*,*,#206832,.F.); #284627=ORIENTED_EDGE('',*,*,#206831,.F.); #284628=ORIENTED_EDGE('',*,*,#206833,.F.); #284629=ORIENTED_EDGE('',*,*,#206834,.T.); #284630=ORIENTED_EDGE('',*,*,#206835,.F.); #284631=ORIENTED_EDGE('',*,*,#206834,.F.); #284632=ORIENTED_EDGE('',*,*,#206836,.F.); #284633=ORIENTED_EDGE('',*,*,#206837,.T.); #284634=ORIENTED_EDGE('',*,*,#206838,.F.); #284635=ORIENTED_EDGE('',*,*,#206837,.F.); #284636=ORIENTED_EDGE('',*,*,#206839,.F.); #284637=ORIENTED_EDGE('',*,*,#206840,.T.); #284638=ORIENTED_EDGE('',*,*,#206841,.F.); #284639=ORIENTED_EDGE('',*,*,#206840,.F.); #284640=ORIENTED_EDGE('',*,*,#206842,.F.); #284641=ORIENTED_EDGE('',*,*,#206843,.T.); #284642=ORIENTED_EDGE('',*,*,#206844,.F.); #284643=ORIENTED_EDGE('',*,*,#206843,.F.); #284644=ORIENTED_EDGE('',*,*,#206845,.F.); #284645=ORIENTED_EDGE('',*,*,#206846,.T.); #284646=ORIENTED_EDGE('',*,*,#206847,.F.); #284647=ORIENTED_EDGE('',*,*,#206846,.F.); #284648=ORIENTED_EDGE('',*,*,#206848,.F.); #284649=ORIENTED_EDGE('',*,*,#206849,.T.); #284650=ORIENTED_EDGE('',*,*,#206850,.F.); #284651=ORIENTED_EDGE('',*,*,#206849,.F.); #284652=ORIENTED_EDGE('',*,*,#206851,.F.); #284653=ORIENTED_EDGE('',*,*,#206852,.T.); #284654=ORIENTED_EDGE('',*,*,#206853,.F.); #284655=ORIENTED_EDGE('',*,*,#206852,.F.); #284656=ORIENTED_EDGE('',*,*,#206854,.F.); #284657=ORIENTED_EDGE('',*,*,#206855,.T.); #284658=ORIENTED_EDGE('',*,*,#206856,.F.); #284659=ORIENTED_EDGE('',*,*,#206855,.F.); #284660=ORIENTED_EDGE('',*,*,#206857,.F.); #284661=ORIENTED_EDGE('',*,*,#206858,.T.); #284662=ORIENTED_EDGE('',*,*,#206859,.F.); #284663=ORIENTED_EDGE('',*,*,#206858,.F.); #284664=ORIENTED_EDGE('',*,*,#206860,.F.); #284665=ORIENTED_EDGE('',*,*,#206861,.T.); #284666=ORIENTED_EDGE('',*,*,#206862,.F.); #284667=ORIENTED_EDGE('',*,*,#206861,.F.); #284668=ORIENTED_EDGE('',*,*,#206863,.F.); #284669=ORIENTED_EDGE('',*,*,#206864,.T.); #284670=ORIENTED_EDGE('',*,*,#206865,.F.); #284671=ORIENTED_EDGE('',*,*,#206864,.F.); #284672=ORIENTED_EDGE('',*,*,#206866,.F.); #284673=ORIENTED_EDGE('',*,*,#206867,.T.); #284674=ORIENTED_EDGE('',*,*,#206868,.F.); #284675=ORIENTED_EDGE('',*,*,#206867,.F.); #284676=ORIENTED_EDGE('',*,*,#206869,.F.); #284677=ORIENTED_EDGE('',*,*,#206870,.T.); #284678=ORIENTED_EDGE('',*,*,#206871,.F.); #284679=ORIENTED_EDGE('',*,*,#206870,.F.); #284680=ORIENTED_EDGE('',*,*,#206872,.F.); #284681=ORIENTED_EDGE('',*,*,#206873,.T.); #284682=ORIENTED_EDGE('',*,*,#206874,.F.); #284683=ORIENTED_EDGE('',*,*,#206873,.F.); #284684=ORIENTED_EDGE('',*,*,#206875,.T.); #284685=ORIENTED_EDGE('',*,*,#206876,.T.); #284686=ORIENTED_EDGE('',*,*,#206877,.F.); #284687=ORIENTED_EDGE('',*,*,#206878,.F.); #284688=ORIENTED_EDGE('',*,*,#206879,.T.); #284689=ORIENTED_EDGE('',*,*,#206878,.T.); #284690=ORIENTED_EDGE('',*,*,#206880,.F.); #284691=ORIENTED_EDGE('',*,*,#206881,.F.); #284692=ORIENTED_EDGE('',*,*,#206882,.T.); #284693=ORIENTED_EDGE('',*,*,#206881,.T.); #284694=ORIENTED_EDGE('',*,*,#206883,.F.); #284695=ORIENTED_EDGE('',*,*,#206884,.F.); #284696=ORIENTED_EDGE('',*,*,#206885,.T.); #284697=ORIENTED_EDGE('',*,*,#206884,.T.); #284698=ORIENTED_EDGE('',*,*,#206886,.F.); #284699=ORIENTED_EDGE('',*,*,#206887,.F.); #284700=ORIENTED_EDGE('',*,*,#206888,.T.); #284701=ORIENTED_EDGE('',*,*,#206887,.T.); #284702=ORIENTED_EDGE('',*,*,#206889,.F.); #284703=ORIENTED_EDGE('',*,*,#206890,.F.); #284704=ORIENTED_EDGE('',*,*,#206891,.T.); #284705=ORIENTED_EDGE('',*,*,#206890,.T.); #284706=ORIENTED_EDGE('',*,*,#206892,.F.); #284707=ORIENTED_EDGE('',*,*,#206893,.F.); #284708=ORIENTED_EDGE('',*,*,#206894,.T.); #284709=ORIENTED_EDGE('',*,*,#206893,.T.); #284710=ORIENTED_EDGE('',*,*,#206895,.F.); #284711=ORIENTED_EDGE('',*,*,#206896,.F.); #284712=ORIENTED_EDGE('',*,*,#206897,.T.); #284713=ORIENTED_EDGE('',*,*,#206896,.T.); #284714=ORIENTED_EDGE('',*,*,#206898,.F.); #284715=ORIENTED_EDGE('',*,*,#206899,.F.); #284716=ORIENTED_EDGE('',*,*,#206900,.T.); #284717=ORIENTED_EDGE('',*,*,#206899,.T.); #284718=ORIENTED_EDGE('',*,*,#206901,.F.); #284719=ORIENTED_EDGE('',*,*,#206902,.F.); #284720=ORIENTED_EDGE('',*,*,#206903,.T.); #284721=ORIENTED_EDGE('',*,*,#206902,.T.); #284722=ORIENTED_EDGE('',*,*,#206904,.F.); #284723=ORIENTED_EDGE('',*,*,#206905,.F.); #284724=ORIENTED_EDGE('',*,*,#206906,.T.); #284725=ORIENTED_EDGE('',*,*,#206905,.T.); #284726=ORIENTED_EDGE('',*,*,#206907,.F.); #284727=ORIENTED_EDGE('',*,*,#206908,.F.); #284728=ORIENTED_EDGE('',*,*,#206909,.T.); #284729=ORIENTED_EDGE('',*,*,#206908,.T.); #284730=ORIENTED_EDGE('',*,*,#206910,.F.); #284731=ORIENTED_EDGE('',*,*,#206911,.F.); #284732=ORIENTED_EDGE('',*,*,#206912,.T.); #284733=ORIENTED_EDGE('',*,*,#206911,.T.); #284734=ORIENTED_EDGE('',*,*,#206913,.F.); #284735=ORIENTED_EDGE('',*,*,#206914,.F.); #284736=ORIENTED_EDGE('',*,*,#206915,.T.); #284737=ORIENTED_EDGE('',*,*,#206914,.T.); #284738=ORIENTED_EDGE('',*,*,#206916,.F.); #284739=ORIENTED_EDGE('',*,*,#206917,.F.); #284740=ORIENTED_EDGE('',*,*,#206918,.T.); #284741=ORIENTED_EDGE('',*,*,#206917,.T.); #284742=ORIENTED_EDGE('',*,*,#206919,.F.); #284743=ORIENTED_EDGE('',*,*,#206920,.F.); #284744=ORIENTED_EDGE('',*,*,#206921,.T.); #284745=ORIENTED_EDGE('',*,*,#206920,.T.); #284746=ORIENTED_EDGE('',*,*,#206922,.F.); #284747=ORIENTED_EDGE('',*,*,#206923,.F.); #284748=ORIENTED_EDGE('',*,*,#206924,.T.); #284749=ORIENTED_EDGE('',*,*,#206923,.T.); #284750=ORIENTED_EDGE('',*,*,#206925,.F.); #284751=ORIENTED_EDGE('',*,*,#206926,.F.); #284752=ORIENTED_EDGE('',*,*,#206927,.T.); #284753=ORIENTED_EDGE('',*,*,#206926,.T.); #284754=ORIENTED_EDGE('',*,*,#206928,.F.); #284755=ORIENTED_EDGE('',*,*,#206929,.F.); #284756=ORIENTED_EDGE('',*,*,#206930,.T.); #284757=ORIENTED_EDGE('',*,*,#206929,.T.); #284758=ORIENTED_EDGE('',*,*,#206931,.F.); #284759=ORIENTED_EDGE('',*,*,#206932,.F.); #284760=ORIENTED_EDGE('',*,*,#206933,.T.); #284761=ORIENTED_EDGE('',*,*,#206932,.T.); #284762=ORIENTED_EDGE('',*,*,#206934,.F.); #284763=ORIENTED_EDGE('',*,*,#206935,.F.); #284764=ORIENTED_EDGE('',*,*,#206936,.T.); #284765=ORIENTED_EDGE('',*,*,#206935,.T.); #284766=ORIENTED_EDGE('',*,*,#206937,.F.); #284767=ORIENTED_EDGE('',*,*,#206938,.F.); #284768=ORIENTED_EDGE('',*,*,#206939,.T.); #284769=ORIENTED_EDGE('',*,*,#206938,.T.); #284770=ORIENTED_EDGE('',*,*,#206940,.F.); #284771=ORIENTED_EDGE('',*,*,#206941,.F.); #284772=ORIENTED_EDGE('',*,*,#206942,.T.); #284773=ORIENTED_EDGE('',*,*,#206941,.T.); #284774=ORIENTED_EDGE('',*,*,#206943,.F.); #284775=ORIENTED_EDGE('',*,*,#206944,.F.); #284776=ORIENTED_EDGE('',*,*,#206945,.T.); #284777=ORIENTED_EDGE('',*,*,#206944,.T.); #284778=ORIENTED_EDGE('',*,*,#206946,.F.); #284779=ORIENTED_EDGE('',*,*,#206947,.F.); #284780=ORIENTED_EDGE('',*,*,#206948,.T.); #284781=ORIENTED_EDGE('',*,*,#206947,.T.); #284782=ORIENTED_EDGE('',*,*,#206949,.F.); #284783=ORIENTED_EDGE('',*,*,#206950,.F.); #284784=ORIENTED_EDGE('',*,*,#206951,.T.); #284785=ORIENTED_EDGE('',*,*,#206950,.T.); #284786=ORIENTED_EDGE('',*,*,#206952,.F.); #284787=ORIENTED_EDGE('',*,*,#206953,.F.); #284788=ORIENTED_EDGE('',*,*,#206954,.T.); #284789=ORIENTED_EDGE('',*,*,#206953,.T.); #284790=ORIENTED_EDGE('',*,*,#206955,.F.); #284791=ORIENTED_EDGE('',*,*,#206956,.F.); #284792=ORIENTED_EDGE('',*,*,#206957,.T.); #284793=ORIENTED_EDGE('',*,*,#206956,.T.); #284794=ORIENTED_EDGE('',*,*,#206958,.F.); #284795=ORIENTED_EDGE('',*,*,#206959,.F.); #284796=ORIENTED_EDGE('',*,*,#206960,.T.); #284797=ORIENTED_EDGE('',*,*,#206959,.T.); #284798=ORIENTED_EDGE('',*,*,#206961,.F.); #284799=ORIENTED_EDGE('',*,*,#206962,.F.); #284800=ORIENTED_EDGE('',*,*,#206963,.T.); #284801=ORIENTED_EDGE('',*,*,#206962,.T.); #284802=ORIENTED_EDGE('',*,*,#206964,.F.); #284803=ORIENTED_EDGE('',*,*,#206965,.F.); #284804=ORIENTED_EDGE('',*,*,#206966,.T.); #284805=ORIENTED_EDGE('',*,*,#206965,.T.); #284806=ORIENTED_EDGE('',*,*,#206967,.F.); #284807=ORIENTED_EDGE('',*,*,#206968,.F.); #284808=ORIENTED_EDGE('',*,*,#206969,.T.); #284809=ORIENTED_EDGE('',*,*,#206968,.T.); #284810=ORIENTED_EDGE('',*,*,#206970,.F.); #284811=ORIENTED_EDGE('',*,*,#206971,.F.); #284812=ORIENTED_EDGE('',*,*,#206972,.T.); #284813=ORIENTED_EDGE('',*,*,#206971,.T.); #284814=ORIENTED_EDGE('',*,*,#206973,.F.); #284815=ORIENTED_EDGE('',*,*,#206974,.F.); #284816=ORIENTED_EDGE('',*,*,#206975,.T.); #284817=ORIENTED_EDGE('',*,*,#206974,.T.); #284818=ORIENTED_EDGE('',*,*,#206976,.F.); #284819=ORIENTED_EDGE('',*,*,#206977,.F.); #284820=ORIENTED_EDGE('',*,*,#206978,.T.); #284821=ORIENTED_EDGE('',*,*,#206977,.T.); #284822=ORIENTED_EDGE('',*,*,#206979,.F.); #284823=ORIENTED_EDGE('',*,*,#206980,.F.); #284824=ORIENTED_EDGE('',*,*,#206981,.T.); #284825=ORIENTED_EDGE('',*,*,#206980,.T.); #284826=ORIENTED_EDGE('',*,*,#206982,.F.); #284827=ORIENTED_EDGE('',*,*,#206983,.F.); #284828=ORIENTED_EDGE('',*,*,#206984,.T.); #284829=ORIENTED_EDGE('',*,*,#206983,.T.); #284830=ORIENTED_EDGE('',*,*,#206985,.F.); #284831=ORIENTED_EDGE('',*,*,#206986,.F.); #284832=ORIENTED_EDGE('',*,*,#206987,.T.); #284833=ORIENTED_EDGE('',*,*,#206986,.T.); #284834=ORIENTED_EDGE('',*,*,#206988,.F.); #284835=ORIENTED_EDGE('',*,*,#206989,.F.); #284836=ORIENTED_EDGE('',*,*,#206990,.T.); #284837=ORIENTED_EDGE('',*,*,#206989,.T.); #284838=ORIENTED_EDGE('',*,*,#206991,.F.); #284839=ORIENTED_EDGE('',*,*,#206992,.F.); #284840=ORIENTED_EDGE('',*,*,#206993,.T.); #284841=ORIENTED_EDGE('',*,*,#206992,.T.); #284842=ORIENTED_EDGE('',*,*,#206994,.F.); #284843=ORIENTED_EDGE('',*,*,#206995,.F.); #284844=ORIENTED_EDGE('',*,*,#206996,.T.); #284845=ORIENTED_EDGE('',*,*,#206995,.T.); #284846=ORIENTED_EDGE('',*,*,#206997,.F.); #284847=ORIENTED_EDGE('',*,*,#206998,.F.); #284848=ORIENTED_EDGE('',*,*,#206999,.T.); #284849=ORIENTED_EDGE('',*,*,#206998,.T.); #284850=ORIENTED_EDGE('',*,*,#207000,.F.); #284851=ORIENTED_EDGE('',*,*,#207001,.F.); #284852=ORIENTED_EDGE('',*,*,#207002,.T.); #284853=ORIENTED_EDGE('',*,*,#207001,.T.); #284854=ORIENTED_EDGE('',*,*,#207003,.F.); #284855=ORIENTED_EDGE('',*,*,#207004,.F.); #284856=ORIENTED_EDGE('',*,*,#207005,.T.); #284857=ORIENTED_EDGE('',*,*,#207004,.T.); #284858=ORIENTED_EDGE('',*,*,#207006,.F.); #284859=ORIENTED_EDGE('',*,*,#207007,.F.); #284860=ORIENTED_EDGE('',*,*,#207008,.T.); #284861=ORIENTED_EDGE('',*,*,#207007,.T.); #284862=ORIENTED_EDGE('',*,*,#207009,.F.); #284863=ORIENTED_EDGE('',*,*,#206876,.F.); #284864=ORIENTED_EDGE('',*,*,#207009,.T.); #284865=ORIENTED_EDGE('',*,*,#207006,.T.); #284866=ORIENTED_EDGE('',*,*,#207003,.T.); #284867=ORIENTED_EDGE('',*,*,#207000,.T.); #284868=ORIENTED_EDGE('',*,*,#206997,.T.); #284869=ORIENTED_EDGE('',*,*,#206994,.T.); #284870=ORIENTED_EDGE('',*,*,#206991,.T.); #284871=ORIENTED_EDGE('',*,*,#206988,.T.); #284872=ORIENTED_EDGE('',*,*,#206985,.T.); #284873=ORIENTED_EDGE('',*,*,#206982,.T.); #284874=ORIENTED_EDGE('',*,*,#206979,.T.); #284875=ORIENTED_EDGE('',*,*,#206976,.T.); #284876=ORIENTED_EDGE('',*,*,#206973,.T.); #284877=ORIENTED_EDGE('',*,*,#206970,.T.); #284878=ORIENTED_EDGE('',*,*,#206967,.T.); #284879=ORIENTED_EDGE('',*,*,#206964,.T.); #284880=ORIENTED_EDGE('',*,*,#206961,.T.); #284881=ORIENTED_EDGE('',*,*,#206958,.T.); #284882=ORIENTED_EDGE('',*,*,#206955,.T.); #284883=ORIENTED_EDGE('',*,*,#206952,.T.); #284884=ORIENTED_EDGE('',*,*,#206949,.T.); #284885=ORIENTED_EDGE('',*,*,#206946,.T.); #284886=ORIENTED_EDGE('',*,*,#206943,.T.); #284887=ORIENTED_EDGE('',*,*,#206940,.T.); #284888=ORIENTED_EDGE('',*,*,#206937,.T.); #284889=ORIENTED_EDGE('',*,*,#206934,.T.); #284890=ORIENTED_EDGE('',*,*,#206931,.T.); #284891=ORIENTED_EDGE('',*,*,#206928,.T.); #284892=ORIENTED_EDGE('',*,*,#206925,.T.); #284893=ORIENTED_EDGE('',*,*,#206922,.T.); #284894=ORIENTED_EDGE('',*,*,#206919,.T.); #284895=ORIENTED_EDGE('',*,*,#206916,.T.); #284896=ORIENTED_EDGE('',*,*,#206913,.T.); #284897=ORIENTED_EDGE('',*,*,#206910,.T.); #284898=ORIENTED_EDGE('',*,*,#206907,.T.); #284899=ORIENTED_EDGE('',*,*,#206904,.T.); #284900=ORIENTED_EDGE('',*,*,#206901,.T.); #284901=ORIENTED_EDGE('',*,*,#206898,.T.); #284902=ORIENTED_EDGE('',*,*,#206895,.T.); #284903=ORIENTED_EDGE('',*,*,#206892,.T.); #284904=ORIENTED_EDGE('',*,*,#206889,.T.); #284905=ORIENTED_EDGE('',*,*,#206886,.T.); #284906=ORIENTED_EDGE('',*,*,#206883,.T.); #284907=ORIENTED_EDGE('',*,*,#206880,.T.); #284908=ORIENTED_EDGE('',*,*,#206877,.T.); #284909=ORIENTED_EDGE('',*,*,#206787,.T.); #284910=ORIENTED_EDGE('',*,*,#206790,.T.); #284911=ORIENTED_EDGE('',*,*,#206793,.T.); #284912=ORIENTED_EDGE('',*,*,#206796,.T.); #284913=ORIENTED_EDGE('',*,*,#206799,.T.); #284914=ORIENTED_EDGE('',*,*,#206802,.T.); #284915=ORIENTED_EDGE('',*,*,#206805,.T.); #284916=ORIENTED_EDGE('',*,*,#206808,.T.); #284917=ORIENTED_EDGE('',*,*,#206811,.T.); #284918=ORIENTED_EDGE('',*,*,#206814,.T.); #284919=ORIENTED_EDGE('',*,*,#206817,.T.); #284920=ORIENTED_EDGE('',*,*,#206820,.T.); #284921=ORIENTED_EDGE('',*,*,#206823,.T.); #284922=ORIENTED_EDGE('',*,*,#206826,.T.); #284923=ORIENTED_EDGE('',*,*,#206829,.T.); #284924=ORIENTED_EDGE('',*,*,#206832,.T.); #284925=ORIENTED_EDGE('',*,*,#206835,.T.); #284926=ORIENTED_EDGE('',*,*,#206838,.T.); #284927=ORIENTED_EDGE('',*,*,#206841,.T.); #284928=ORIENTED_EDGE('',*,*,#206844,.T.); #284929=ORIENTED_EDGE('',*,*,#206847,.T.); #284930=ORIENTED_EDGE('',*,*,#206850,.T.); #284931=ORIENTED_EDGE('',*,*,#206853,.T.); #284932=ORIENTED_EDGE('',*,*,#206856,.T.); #284933=ORIENTED_EDGE('',*,*,#206859,.T.); #284934=ORIENTED_EDGE('',*,*,#206862,.T.); #284935=ORIENTED_EDGE('',*,*,#206865,.T.); #284936=ORIENTED_EDGE('',*,*,#206868,.T.); #284937=ORIENTED_EDGE('',*,*,#206871,.T.); #284938=ORIENTED_EDGE('',*,*,#206874,.T.); #284939=ORIENTED_EDGE('',*,*,#207008,.F.); #284940=ORIENTED_EDGE('',*,*,#206875,.F.); #284941=ORIENTED_EDGE('',*,*,#206879,.F.); #284942=ORIENTED_EDGE('',*,*,#206882,.F.); #284943=ORIENTED_EDGE('',*,*,#206885,.F.); #284944=ORIENTED_EDGE('',*,*,#206888,.F.); #284945=ORIENTED_EDGE('',*,*,#206891,.F.); #284946=ORIENTED_EDGE('',*,*,#206894,.F.); #284947=ORIENTED_EDGE('',*,*,#206897,.F.); #284948=ORIENTED_EDGE('',*,*,#206900,.F.); #284949=ORIENTED_EDGE('',*,*,#206903,.F.); #284950=ORIENTED_EDGE('',*,*,#206906,.F.); #284951=ORIENTED_EDGE('',*,*,#206909,.F.); #284952=ORIENTED_EDGE('',*,*,#206912,.F.); #284953=ORIENTED_EDGE('',*,*,#206915,.F.); #284954=ORIENTED_EDGE('',*,*,#206918,.F.); #284955=ORIENTED_EDGE('',*,*,#206921,.F.); #284956=ORIENTED_EDGE('',*,*,#206924,.F.); #284957=ORIENTED_EDGE('',*,*,#206927,.F.); #284958=ORIENTED_EDGE('',*,*,#206930,.F.); #284959=ORIENTED_EDGE('',*,*,#206933,.F.); #284960=ORIENTED_EDGE('',*,*,#206936,.F.); #284961=ORIENTED_EDGE('',*,*,#206939,.F.); #284962=ORIENTED_EDGE('',*,*,#206942,.F.); #284963=ORIENTED_EDGE('',*,*,#206945,.F.); #284964=ORIENTED_EDGE('',*,*,#206948,.F.); #284965=ORIENTED_EDGE('',*,*,#206951,.F.); #284966=ORIENTED_EDGE('',*,*,#206954,.F.); #284967=ORIENTED_EDGE('',*,*,#206957,.F.); #284968=ORIENTED_EDGE('',*,*,#206960,.F.); #284969=ORIENTED_EDGE('',*,*,#206963,.F.); #284970=ORIENTED_EDGE('',*,*,#206966,.F.); #284971=ORIENTED_EDGE('',*,*,#206969,.F.); #284972=ORIENTED_EDGE('',*,*,#206972,.F.); #284973=ORIENTED_EDGE('',*,*,#206975,.F.); #284974=ORIENTED_EDGE('',*,*,#206978,.F.); #284975=ORIENTED_EDGE('',*,*,#206981,.F.); #284976=ORIENTED_EDGE('',*,*,#206984,.F.); #284977=ORIENTED_EDGE('',*,*,#206987,.F.); #284978=ORIENTED_EDGE('',*,*,#206990,.F.); #284979=ORIENTED_EDGE('',*,*,#206993,.F.); #284980=ORIENTED_EDGE('',*,*,#206996,.F.); #284981=ORIENTED_EDGE('',*,*,#206999,.F.); #284982=ORIENTED_EDGE('',*,*,#207002,.F.); #284983=ORIENTED_EDGE('',*,*,#207005,.F.); #284984=ORIENTED_EDGE('',*,*,#206785,.T.); #284985=ORIENTED_EDGE('',*,*,#206788,.T.); #284986=ORIENTED_EDGE('',*,*,#206791,.T.); #284987=ORIENTED_EDGE('',*,*,#206794,.T.); #284988=ORIENTED_EDGE('',*,*,#206797,.T.); #284989=ORIENTED_EDGE('',*,*,#206800,.T.); #284990=ORIENTED_EDGE('',*,*,#206803,.T.); #284991=ORIENTED_EDGE('',*,*,#206806,.T.); #284992=ORIENTED_EDGE('',*,*,#206809,.T.); #284993=ORIENTED_EDGE('',*,*,#206812,.T.); #284994=ORIENTED_EDGE('',*,*,#206815,.T.); #284995=ORIENTED_EDGE('',*,*,#206818,.T.); #284996=ORIENTED_EDGE('',*,*,#206821,.T.); #284997=ORIENTED_EDGE('',*,*,#206824,.T.); #284998=ORIENTED_EDGE('',*,*,#206827,.T.); #284999=ORIENTED_EDGE('',*,*,#206830,.T.); #285000=ORIENTED_EDGE('',*,*,#206833,.T.); #285001=ORIENTED_EDGE('',*,*,#206836,.T.); #285002=ORIENTED_EDGE('',*,*,#206839,.T.); #285003=ORIENTED_EDGE('',*,*,#206842,.T.); #285004=ORIENTED_EDGE('',*,*,#206845,.T.); #285005=ORIENTED_EDGE('',*,*,#206848,.T.); #285006=ORIENTED_EDGE('',*,*,#206851,.T.); #285007=ORIENTED_EDGE('',*,*,#206854,.T.); #285008=ORIENTED_EDGE('',*,*,#206857,.T.); #285009=ORIENTED_EDGE('',*,*,#206860,.T.); #285010=ORIENTED_EDGE('',*,*,#206863,.T.); #285011=ORIENTED_EDGE('',*,*,#206866,.T.); #285012=ORIENTED_EDGE('',*,*,#206869,.T.); #285013=ORIENTED_EDGE('',*,*,#206872,.T.); #285014=ORIENTED_EDGE('',*,*,#207010,.F.); #285015=ORIENTED_EDGE('',*,*,#207011,.T.); #285016=ORIENTED_EDGE('',*,*,#207012,.F.); #285017=ORIENTED_EDGE('',*,*,#207011,.F.); #285018=ORIENTED_EDGE('',*,*,#207013,.F.); #285019=ORIENTED_EDGE('',*,*,#207014,.T.); #285020=ORIENTED_EDGE('',*,*,#207015,.F.); #285021=ORIENTED_EDGE('',*,*,#207014,.F.); #285022=ORIENTED_EDGE('',*,*,#207016,.T.); #285023=ORIENTED_EDGE('',*,*,#207017,.T.); #285024=ORIENTED_EDGE('',*,*,#207018,.F.); #285025=ORIENTED_EDGE('',*,*,#207019,.F.); #285026=ORIENTED_EDGE('',*,*,#207020,.T.); #285027=ORIENTED_EDGE('',*,*,#207019,.T.); #285028=ORIENTED_EDGE('',*,*,#207021,.F.); #285029=ORIENTED_EDGE('',*,*,#207022,.F.); #285030=ORIENTED_EDGE('',*,*,#207023,.T.); #285031=ORIENTED_EDGE('',*,*,#207022,.T.); #285032=ORIENTED_EDGE('',*,*,#207024,.F.); #285033=ORIENTED_EDGE('',*,*,#207025,.F.); #285034=ORIENTED_EDGE('',*,*,#207026,.T.); #285035=ORIENTED_EDGE('',*,*,#207025,.T.); #285036=ORIENTED_EDGE('',*,*,#207027,.F.); #285037=ORIENTED_EDGE('',*,*,#207028,.F.); #285038=ORIENTED_EDGE('',*,*,#207029,.T.); #285039=ORIENTED_EDGE('',*,*,#207028,.T.); #285040=ORIENTED_EDGE('',*,*,#207030,.F.); #285041=ORIENTED_EDGE('',*,*,#207031,.F.); #285042=ORIENTED_EDGE('',*,*,#207032,.T.); #285043=ORIENTED_EDGE('',*,*,#207031,.T.); #285044=ORIENTED_EDGE('',*,*,#207033,.F.); #285045=ORIENTED_EDGE('',*,*,#207034,.F.); #285046=ORIENTED_EDGE('',*,*,#207035,.T.); #285047=ORIENTED_EDGE('',*,*,#207034,.T.); #285048=ORIENTED_EDGE('',*,*,#207036,.F.); #285049=ORIENTED_EDGE('',*,*,#207017,.F.); #285050=ORIENTED_EDGE('',*,*,#207036,.T.); #285051=ORIENTED_EDGE('',*,*,#207033,.T.); #285052=ORIENTED_EDGE('',*,*,#207030,.T.); #285053=ORIENTED_EDGE('',*,*,#207027,.T.); #285054=ORIENTED_EDGE('',*,*,#207024,.T.); #285055=ORIENTED_EDGE('',*,*,#207021,.T.); #285056=ORIENTED_EDGE('',*,*,#207018,.T.); #285057=ORIENTED_EDGE('',*,*,#207012,.T.); #285058=ORIENTED_EDGE('',*,*,#207015,.T.); #285059=ORIENTED_EDGE('',*,*,#207035,.F.); #285060=ORIENTED_EDGE('',*,*,#207016,.F.); #285061=ORIENTED_EDGE('',*,*,#207020,.F.); #285062=ORIENTED_EDGE('',*,*,#207023,.F.); #285063=ORIENTED_EDGE('',*,*,#207026,.F.); #285064=ORIENTED_EDGE('',*,*,#207029,.F.); #285065=ORIENTED_EDGE('',*,*,#207032,.F.); #285066=ORIENTED_EDGE('',*,*,#207010,.T.); #285067=ORIENTED_EDGE('',*,*,#207013,.T.); #285068=ORIENTED_EDGE('',*,*,#207037,.F.); #285069=ORIENTED_EDGE('',*,*,#207038,.T.); #285070=ORIENTED_EDGE('',*,*,#207039,.F.); #285071=ORIENTED_EDGE('',*,*,#207038,.F.); #285072=ORIENTED_EDGE('',*,*,#207040,.F.); #285073=ORIENTED_EDGE('',*,*,#207041,.T.); #285074=ORIENTED_EDGE('',*,*,#207042,.F.); #285075=ORIENTED_EDGE('',*,*,#207041,.F.); #285076=ORIENTED_EDGE('',*,*,#207043,.T.); #285077=ORIENTED_EDGE('',*,*,#207044,.T.); #285078=ORIENTED_EDGE('',*,*,#207045,.F.); #285079=ORIENTED_EDGE('',*,*,#207046,.F.); #285080=ORIENTED_EDGE('',*,*,#207047,.T.); #285081=ORIENTED_EDGE('',*,*,#207046,.T.); #285082=ORIENTED_EDGE('',*,*,#207048,.F.); #285083=ORIENTED_EDGE('',*,*,#207049,.F.); #285084=ORIENTED_EDGE('',*,*,#207050,.T.); #285085=ORIENTED_EDGE('',*,*,#207049,.T.); #285086=ORIENTED_EDGE('',*,*,#207051,.F.); #285087=ORIENTED_EDGE('',*,*,#207052,.F.); #285088=ORIENTED_EDGE('',*,*,#207053,.T.); #285089=ORIENTED_EDGE('',*,*,#207052,.T.); #285090=ORIENTED_EDGE('',*,*,#207054,.F.); #285091=ORIENTED_EDGE('',*,*,#207055,.F.); #285092=ORIENTED_EDGE('',*,*,#207056,.T.); #285093=ORIENTED_EDGE('',*,*,#207055,.T.); #285094=ORIENTED_EDGE('',*,*,#207057,.F.); #285095=ORIENTED_EDGE('',*,*,#207058,.F.); #285096=ORIENTED_EDGE('',*,*,#207059,.T.); #285097=ORIENTED_EDGE('',*,*,#207058,.T.); #285098=ORIENTED_EDGE('',*,*,#207060,.F.); #285099=ORIENTED_EDGE('',*,*,#207061,.F.); #285100=ORIENTED_EDGE('',*,*,#207062,.T.); #285101=ORIENTED_EDGE('',*,*,#207061,.T.); #285102=ORIENTED_EDGE('',*,*,#207063,.F.); #285103=ORIENTED_EDGE('',*,*,#207064,.F.); #285104=ORIENTED_EDGE('',*,*,#207065,.T.); #285105=ORIENTED_EDGE('',*,*,#207064,.T.); #285106=ORIENTED_EDGE('',*,*,#207066,.F.); #285107=ORIENTED_EDGE('',*,*,#207044,.F.); #285108=ORIENTED_EDGE('',*,*,#207067,.T.); #285109=ORIENTED_EDGE('',*,*,#207068,.T.); #285110=ORIENTED_EDGE('',*,*,#207069,.F.); #285111=ORIENTED_EDGE('',*,*,#207070,.F.); #285112=ORIENTED_EDGE('',*,*,#207071,.T.); #285113=ORIENTED_EDGE('',*,*,#207070,.T.); #285114=ORIENTED_EDGE('',*,*,#207072,.F.); #285115=ORIENTED_EDGE('',*,*,#207073,.F.); #285116=ORIENTED_EDGE('',*,*,#207074,.T.); #285117=ORIENTED_EDGE('',*,*,#207073,.T.); #285118=ORIENTED_EDGE('',*,*,#207075,.F.); #285119=ORIENTED_EDGE('',*,*,#207076,.F.); #285120=ORIENTED_EDGE('',*,*,#207077,.T.); #285121=ORIENTED_EDGE('',*,*,#207076,.T.); #285122=ORIENTED_EDGE('',*,*,#207078,.F.); #285123=ORIENTED_EDGE('',*,*,#207079,.F.); #285124=ORIENTED_EDGE('',*,*,#207080,.T.); #285125=ORIENTED_EDGE('',*,*,#207079,.T.); #285126=ORIENTED_EDGE('',*,*,#207081,.F.); #285127=ORIENTED_EDGE('',*,*,#207082,.F.); #285128=ORIENTED_EDGE('',*,*,#207083,.T.); #285129=ORIENTED_EDGE('',*,*,#207082,.T.); #285130=ORIENTED_EDGE('',*,*,#207084,.F.); #285131=ORIENTED_EDGE('',*,*,#207085,.F.); #285132=ORIENTED_EDGE('',*,*,#207086,.T.); #285133=ORIENTED_EDGE('',*,*,#207085,.T.); #285134=ORIENTED_EDGE('',*,*,#207087,.F.); #285135=ORIENTED_EDGE('',*,*,#207088,.F.); #285136=ORIENTED_EDGE('',*,*,#207089,.T.); #285137=ORIENTED_EDGE('',*,*,#207088,.T.); #285138=ORIENTED_EDGE('',*,*,#207090,.F.); #285139=ORIENTED_EDGE('',*,*,#207091,.F.); #285140=ORIENTED_EDGE('',*,*,#207092,.T.); #285141=ORIENTED_EDGE('',*,*,#207091,.T.); #285142=ORIENTED_EDGE('',*,*,#207093,.F.); #285143=ORIENTED_EDGE('',*,*,#207094,.F.); #285144=ORIENTED_EDGE('',*,*,#207095,.T.); #285145=ORIENTED_EDGE('',*,*,#207094,.T.); #285146=ORIENTED_EDGE('',*,*,#207096,.F.); #285147=ORIENTED_EDGE('',*,*,#207097,.F.); #285148=ORIENTED_EDGE('',*,*,#207098,.T.); #285149=ORIENTED_EDGE('',*,*,#207097,.T.); #285150=ORIENTED_EDGE('',*,*,#207099,.F.); #285151=ORIENTED_EDGE('',*,*,#207100,.F.); #285152=ORIENTED_EDGE('',*,*,#207101,.T.); #285153=ORIENTED_EDGE('',*,*,#207100,.T.); #285154=ORIENTED_EDGE('',*,*,#207102,.F.); #285155=ORIENTED_EDGE('',*,*,#207103,.F.); #285156=ORIENTED_EDGE('',*,*,#207104,.T.); #285157=ORIENTED_EDGE('',*,*,#207103,.T.); #285158=ORIENTED_EDGE('',*,*,#207105,.F.); #285159=ORIENTED_EDGE('',*,*,#207106,.F.); #285160=ORIENTED_EDGE('',*,*,#207107,.T.); #285161=ORIENTED_EDGE('',*,*,#207106,.T.); #285162=ORIENTED_EDGE('',*,*,#207108,.F.); #285163=ORIENTED_EDGE('',*,*,#207109,.F.); #285164=ORIENTED_EDGE('',*,*,#207110,.T.); #285165=ORIENTED_EDGE('',*,*,#207109,.T.); #285166=ORIENTED_EDGE('',*,*,#207111,.F.); #285167=ORIENTED_EDGE('',*,*,#207112,.F.); #285168=ORIENTED_EDGE('',*,*,#207113,.T.); #285169=ORIENTED_EDGE('',*,*,#207112,.T.); #285170=ORIENTED_EDGE('',*,*,#207114,.F.); #285171=ORIENTED_EDGE('',*,*,#207115,.F.); #285172=ORIENTED_EDGE('',*,*,#207116,.T.); #285173=ORIENTED_EDGE('',*,*,#207115,.T.); #285174=ORIENTED_EDGE('',*,*,#207117,.F.); #285175=ORIENTED_EDGE('',*,*,#207118,.F.); #285176=ORIENTED_EDGE('',*,*,#207119,.T.); #285177=ORIENTED_EDGE('',*,*,#207118,.T.); #285178=ORIENTED_EDGE('',*,*,#207120,.F.); #285179=ORIENTED_EDGE('',*,*,#207121,.F.); #285180=ORIENTED_EDGE('',*,*,#207122,.T.); #285181=ORIENTED_EDGE('',*,*,#207121,.T.); #285182=ORIENTED_EDGE('',*,*,#207123,.F.); #285183=ORIENTED_EDGE('',*,*,#207124,.F.); #285184=ORIENTED_EDGE('',*,*,#207125,.T.); #285185=ORIENTED_EDGE('',*,*,#207124,.T.); #285186=ORIENTED_EDGE('',*,*,#207126,.F.); #285187=ORIENTED_EDGE('',*,*,#207127,.F.); #285188=ORIENTED_EDGE('',*,*,#207128,.T.); #285189=ORIENTED_EDGE('',*,*,#207127,.T.); #285190=ORIENTED_EDGE('',*,*,#207129,.F.); #285191=ORIENTED_EDGE('',*,*,#207130,.F.); #285192=ORIENTED_EDGE('',*,*,#207131,.T.); #285193=ORIENTED_EDGE('',*,*,#207130,.T.); #285194=ORIENTED_EDGE('',*,*,#207132,.F.); #285195=ORIENTED_EDGE('',*,*,#207133,.F.); #285196=ORIENTED_EDGE('',*,*,#207134,.T.); #285197=ORIENTED_EDGE('',*,*,#207133,.T.); #285198=ORIENTED_EDGE('',*,*,#207135,.F.); #285199=ORIENTED_EDGE('',*,*,#207136,.F.); #285200=ORIENTED_EDGE('',*,*,#207137,.T.); #285201=ORIENTED_EDGE('',*,*,#207136,.T.); #285202=ORIENTED_EDGE('',*,*,#207138,.F.); #285203=ORIENTED_EDGE('',*,*,#207139,.F.); #285204=ORIENTED_EDGE('',*,*,#207140,.T.); #285205=ORIENTED_EDGE('',*,*,#207139,.T.); #285206=ORIENTED_EDGE('',*,*,#207141,.F.); #285207=ORIENTED_EDGE('',*,*,#207142,.F.); #285208=ORIENTED_EDGE('',*,*,#207143,.T.); #285209=ORIENTED_EDGE('',*,*,#207142,.T.); #285210=ORIENTED_EDGE('',*,*,#207144,.F.); #285211=ORIENTED_EDGE('',*,*,#207145,.F.); #285212=ORIENTED_EDGE('',*,*,#207146,.T.); #285213=ORIENTED_EDGE('',*,*,#207145,.T.); #285214=ORIENTED_EDGE('',*,*,#207147,.F.); #285215=ORIENTED_EDGE('',*,*,#207148,.F.); #285216=ORIENTED_EDGE('',*,*,#207149,.T.); #285217=ORIENTED_EDGE('',*,*,#207148,.T.); #285218=ORIENTED_EDGE('',*,*,#207150,.F.); #285219=ORIENTED_EDGE('',*,*,#207151,.F.); #285220=ORIENTED_EDGE('',*,*,#207152,.T.); #285221=ORIENTED_EDGE('',*,*,#207151,.T.); #285222=ORIENTED_EDGE('',*,*,#207153,.F.); #285223=ORIENTED_EDGE('',*,*,#207154,.F.); #285224=ORIENTED_EDGE('',*,*,#207155,.T.); #285225=ORIENTED_EDGE('',*,*,#207154,.T.); #285226=ORIENTED_EDGE('',*,*,#207156,.F.); #285227=ORIENTED_EDGE('',*,*,#207157,.F.); #285228=ORIENTED_EDGE('',*,*,#207158,.T.); #285229=ORIENTED_EDGE('',*,*,#207157,.T.); #285230=ORIENTED_EDGE('',*,*,#207159,.F.); #285231=ORIENTED_EDGE('',*,*,#207160,.F.); #285232=ORIENTED_EDGE('',*,*,#207161,.T.); #285233=ORIENTED_EDGE('',*,*,#207160,.T.); #285234=ORIENTED_EDGE('',*,*,#207162,.F.); #285235=ORIENTED_EDGE('',*,*,#207163,.F.); #285236=ORIENTED_EDGE('',*,*,#207164,.T.); #285237=ORIENTED_EDGE('',*,*,#207163,.T.); #285238=ORIENTED_EDGE('',*,*,#207165,.F.); #285239=ORIENTED_EDGE('',*,*,#207166,.F.); #285240=ORIENTED_EDGE('',*,*,#207167,.T.); #285241=ORIENTED_EDGE('',*,*,#207166,.T.); #285242=ORIENTED_EDGE('',*,*,#207168,.F.); #285243=ORIENTED_EDGE('',*,*,#207169,.F.); #285244=ORIENTED_EDGE('',*,*,#207170,.T.); #285245=ORIENTED_EDGE('',*,*,#207169,.T.); #285246=ORIENTED_EDGE('',*,*,#207171,.F.); #285247=ORIENTED_EDGE('',*,*,#207172,.F.); #285248=ORIENTED_EDGE('',*,*,#207173,.T.); #285249=ORIENTED_EDGE('',*,*,#207172,.T.); #285250=ORIENTED_EDGE('',*,*,#207174,.F.); #285251=ORIENTED_EDGE('',*,*,#207175,.F.); #285252=ORIENTED_EDGE('',*,*,#207176,.T.); #285253=ORIENTED_EDGE('',*,*,#207175,.T.); #285254=ORIENTED_EDGE('',*,*,#207177,.F.); #285255=ORIENTED_EDGE('',*,*,#207178,.F.); #285256=ORIENTED_EDGE('',*,*,#207179,.T.); #285257=ORIENTED_EDGE('',*,*,#207178,.T.); #285258=ORIENTED_EDGE('',*,*,#207180,.F.); #285259=ORIENTED_EDGE('',*,*,#207181,.F.); #285260=ORIENTED_EDGE('',*,*,#207182,.T.); #285261=ORIENTED_EDGE('',*,*,#207181,.T.); #285262=ORIENTED_EDGE('',*,*,#207183,.F.); #285263=ORIENTED_EDGE('',*,*,#207184,.F.); #285264=ORIENTED_EDGE('',*,*,#207185,.T.); #285265=ORIENTED_EDGE('',*,*,#207184,.T.); #285266=ORIENTED_EDGE('',*,*,#207186,.F.); #285267=ORIENTED_EDGE('',*,*,#207187,.F.); #285268=ORIENTED_EDGE('',*,*,#207188,.T.); #285269=ORIENTED_EDGE('',*,*,#207187,.T.); #285270=ORIENTED_EDGE('',*,*,#207189,.F.); #285271=ORIENTED_EDGE('',*,*,#207190,.F.); #285272=ORIENTED_EDGE('',*,*,#207191,.T.); #285273=ORIENTED_EDGE('',*,*,#207190,.T.); #285274=ORIENTED_EDGE('',*,*,#207192,.F.); #285275=ORIENTED_EDGE('',*,*,#207193,.F.); #285276=ORIENTED_EDGE('',*,*,#207194,.T.); #285277=ORIENTED_EDGE('',*,*,#207193,.T.); #285278=ORIENTED_EDGE('',*,*,#207195,.F.); #285279=ORIENTED_EDGE('',*,*,#207196,.F.); #285280=ORIENTED_EDGE('',*,*,#207197,.T.); #285281=ORIENTED_EDGE('',*,*,#207196,.T.); #285282=ORIENTED_EDGE('',*,*,#207198,.F.); #285283=ORIENTED_EDGE('',*,*,#207199,.F.); #285284=ORIENTED_EDGE('',*,*,#207200,.T.); #285285=ORIENTED_EDGE('',*,*,#207199,.T.); #285286=ORIENTED_EDGE('',*,*,#207201,.F.); #285287=ORIENTED_EDGE('',*,*,#207202,.F.); #285288=ORIENTED_EDGE('',*,*,#207203,.T.); #285289=ORIENTED_EDGE('',*,*,#207202,.T.); #285290=ORIENTED_EDGE('',*,*,#207204,.F.); #285291=ORIENTED_EDGE('',*,*,#207205,.F.); #285292=ORIENTED_EDGE('',*,*,#207206,.T.); #285293=ORIENTED_EDGE('',*,*,#207205,.T.); #285294=ORIENTED_EDGE('',*,*,#207207,.F.); #285295=ORIENTED_EDGE('',*,*,#207208,.F.); #285296=ORIENTED_EDGE('',*,*,#207209,.T.); #285297=ORIENTED_EDGE('',*,*,#207208,.T.); #285298=ORIENTED_EDGE('',*,*,#207210,.F.); #285299=ORIENTED_EDGE('',*,*,#207211,.F.); #285300=ORIENTED_EDGE('',*,*,#207212,.T.); #285301=ORIENTED_EDGE('',*,*,#207211,.T.); #285302=ORIENTED_EDGE('',*,*,#207213,.F.); #285303=ORIENTED_EDGE('',*,*,#207214,.F.); #285304=ORIENTED_EDGE('',*,*,#207215,.T.); #285305=ORIENTED_EDGE('',*,*,#207214,.T.); #285306=ORIENTED_EDGE('',*,*,#207216,.F.); #285307=ORIENTED_EDGE('',*,*,#207217,.F.); #285308=ORIENTED_EDGE('',*,*,#207218,.T.); #285309=ORIENTED_EDGE('',*,*,#207217,.T.); #285310=ORIENTED_EDGE('',*,*,#207219,.F.); #285311=ORIENTED_EDGE('',*,*,#207220,.F.); #285312=ORIENTED_EDGE('',*,*,#207221,.T.); #285313=ORIENTED_EDGE('',*,*,#207220,.T.); #285314=ORIENTED_EDGE('',*,*,#207222,.F.); #285315=ORIENTED_EDGE('',*,*,#207223,.F.); #285316=ORIENTED_EDGE('',*,*,#207224,.T.); #285317=ORIENTED_EDGE('',*,*,#207223,.T.); #285318=ORIENTED_EDGE('',*,*,#207225,.F.); #285319=ORIENTED_EDGE('',*,*,#207226,.F.); #285320=ORIENTED_EDGE('',*,*,#207227,.T.); #285321=ORIENTED_EDGE('',*,*,#207226,.T.); #285322=ORIENTED_EDGE('',*,*,#207228,.F.); #285323=ORIENTED_EDGE('',*,*,#207229,.F.); #285324=ORIENTED_EDGE('',*,*,#207230,.T.); #285325=ORIENTED_EDGE('',*,*,#207229,.T.); #285326=ORIENTED_EDGE('',*,*,#207231,.F.); #285327=ORIENTED_EDGE('',*,*,#207232,.F.); #285328=ORIENTED_EDGE('',*,*,#207233,.T.); #285329=ORIENTED_EDGE('',*,*,#207232,.T.); #285330=ORIENTED_EDGE('',*,*,#207234,.F.); #285331=ORIENTED_EDGE('',*,*,#207235,.F.); #285332=ORIENTED_EDGE('',*,*,#207236,.T.); #285333=ORIENTED_EDGE('',*,*,#207235,.T.); #285334=ORIENTED_EDGE('',*,*,#207237,.F.); #285335=ORIENTED_EDGE('',*,*,#207238,.F.); #285336=ORIENTED_EDGE('',*,*,#207239,.T.); #285337=ORIENTED_EDGE('',*,*,#207238,.T.); #285338=ORIENTED_EDGE('',*,*,#207240,.F.); #285339=ORIENTED_EDGE('',*,*,#207241,.F.); #285340=ORIENTED_EDGE('',*,*,#207242,.T.); #285341=ORIENTED_EDGE('',*,*,#207241,.T.); #285342=ORIENTED_EDGE('',*,*,#207243,.F.); #285343=ORIENTED_EDGE('',*,*,#207244,.F.); #285344=ORIENTED_EDGE('',*,*,#207245,.T.); #285345=ORIENTED_EDGE('',*,*,#207244,.T.); #285346=ORIENTED_EDGE('',*,*,#207246,.F.); #285347=ORIENTED_EDGE('',*,*,#207247,.F.); #285348=ORIENTED_EDGE('',*,*,#207248,.T.); #285349=ORIENTED_EDGE('',*,*,#207247,.T.); #285350=ORIENTED_EDGE('',*,*,#207249,.F.); #285351=ORIENTED_EDGE('',*,*,#207250,.F.); #285352=ORIENTED_EDGE('',*,*,#207251,.T.); #285353=ORIENTED_EDGE('',*,*,#207250,.T.); #285354=ORIENTED_EDGE('',*,*,#207252,.F.); #285355=ORIENTED_EDGE('',*,*,#207253,.F.); #285356=ORIENTED_EDGE('',*,*,#207254,.T.); #285357=ORIENTED_EDGE('',*,*,#207253,.T.); #285358=ORIENTED_EDGE('',*,*,#207255,.F.); #285359=ORIENTED_EDGE('',*,*,#207256,.F.); #285360=ORIENTED_EDGE('',*,*,#207257,.T.); #285361=ORIENTED_EDGE('',*,*,#207256,.T.); #285362=ORIENTED_EDGE('',*,*,#207258,.F.); #285363=ORIENTED_EDGE('',*,*,#207259,.F.); #285364=ORIENTED_EDGE('',*,*,#207260,.T.); #285365=ORIENTED_EDGE('',*,*,#207259,.T.); #285366=ORIENTED_EDGE('',*,*,#207261,.F.); #285367=ORIENTED_EDGE('',*,*,#207262,.F.); #285368=ORIENTED_EDGE('',*,*,#207263,.T.); #285369=ORIENTED_EDGE('',*,*,#207262,.T.); #285370=ORIENTED_EDGE('',*,*,#207264,.F.); #285371=ORIENTED_EDGE('',*,*,#207265,.F.); #285372=ORIENTED_EDGE('',*,*,#207266,.T.); #285373=ORIENTED_EDGE('',*,*,#207265,.T.); #285374=ORIENTED_EDGE('',*,*,#207267,.F.); #285375=ORIENTED_EDGE('',*,*,#207268,.F.); #285376=ORIENTED_EDGE('',*,*,#207269,.T.); #285377=ORIENTED_EDGE('',*,*,#207268,.T.); #285378=ORIENTED_EDGE('',*,*,#207270,.F.); #285379=ORIENTED_EDGE('',*,*,#207271,.F.); #285380=ORIENTED_EDGE('',*,*,#207272,.T.); #285381=ORIENTED_EDGE('',*,*,#207271,.T.); #285382=ORIENTED_EDGE('',*,*,#207273,.F.); #285383=ORIENTED_EDGE('',*,*,#207274,.F.); #285384=ORIENTED_EDGE('',*,*,#207275,.T.); #285385=ORIENTED_EDGE('',*,*,#207274,.T.); #285386=ORIENTED_EDGE('',*,*,#207276,.F.); #285387=ORIENTED_EDGE('',*,*,#207277,.F.); #285388=ORIENTED_EDGE('',*,*,#207278,.T.); #285389=ORIENTED_EDGE('',*,*,#207277,.T.); #285390=ORIENTED_EDGE('',*,*,#207279,.F.); #285391=ORIENTED_EDGE('',*,*,#207280,.F.); #285392=ORIENTED_EDGE('',*,*,#207281,.T.); #285393=ORIENTED_EDGE('',*,*,#207280,.T.); #285394=ORIENTED_EDGE('',*,*,#207282,.F.); #285395=ORIENTED_EDGE('',*,*,#207283,.F.); #285396=ORIENTED_EDGE('',*,*,#207284,.T.); #285397=ORIENTED_EDGE('',*,*,#207283,.T.); #285398=ORIENTED_EDGE('',*,*,#207285,.F.); #285399=ORIENTED_EDGE('',*,*,#207286,.F.); #285400=ORIENTED_EDGE('',*,*,#207287,.T.); #285401=ORIENTED_EDGE('',*,*,#207286,.T.); #285402=ORIENTED_EDGE('',*,*,#207288,.F.); #285403=ORIENTED_EDGE('',*,*,#207289,.F.); #285404=ORIENTED_EDGE('',*,*,#207290,.T.); #285405=ORIENTED_EDGE('',*,*,#207289,.T.); #285406=ORIENTED_EDGE('',*,*,#207291,.F.); #285407=ORIENTED_EDGE('',*,*,#207292,.F.); #285408=ORIENTED_EDGE('',*,*,#207293,.T.); #285409=ORIENTED_EDGE('',*,*,#207292,.T.); #285410=ORIENTED_EDGE('',*,*,#207294,.F.); #285411=ORIENTED_EDGE('',*,*,#207295,.F.); #285412=ORIENTED_EDGE('',*,*,#207296,.T.); #285413=ORIENTED_EDGE('',*,*,#207295,.T.); #285414=ORIENTED_EDGE('',*,*,#207297,.F.); #285415=ORIENTED_EDGE('',*,*,#207298,.F.); #285416=ORIENTED_EDGE('',*,*,#207299,.T.); #285417=ORIENTED_EDGE('',*,*,#207298,.T.); #285418=ORIENTED_EDGE('',*,*,#207300,.F.); #285419=ORIENTED_EDGE('',*,*,#207301,.F.); #285420=ORIENTED_EDGE('',*,*,#207302,.T.); #285421=ORIENTED_EDGE('',*,*,#207301,.T.); #285422=ORIENTED_EDGE('',*,*,#207303,.F.); #285423=ORIENTED_EDGE('',*,*,#207304,.F.); #285424=ORIENTED_EDGE('',*,*,#207305,.T.); #285425=ORIENTED_EDGE('',*,*,#207304,.T.); #285426=ORIENTED_EDGE('',*,*,#207306,.F.); #285427=ORIENTED_EDGE('',*,*,#207307,.F.); #285428=ORIENTED_EDGE('',*,*,#207308,.T.); #285429=ORIENTED_EDGE('',*,*,#207307,.T.); #285430=ORIENTED_EDGE('',*,*,#207309,.F.); #285431=ORIENTED_EDGE('',*,*,#207310,.F.); #285432=ORIENTED_EDGE('',*,*,#207311,.T.); #285433=ORIENTED_EDGE('',*,*,#207310,.T.); #285434=ORIENTED_EDGE('',*,*,#207312,.F.); #285435=ORIENTED_EDGE('',*,*,#207313,.F.); #285436=ORIENTED_EDGE('',*,*,#207314,.T.); #285437=ORIENTED_EDGE('',*,*,#207313,.T.); #285438=ORIENTED_EDGE('',*,*,#207315,.F.); #285439=ORIENTED_EDGE('',*,*,#207316,.F.); #285440=ORIENTED_EDGE('',*,*,#207317,.T.); #285441=ORIENTED_EDGE('',*,*,#207316,.T.); #285442=ORIENTED_EDGE('',*,*,#207318,.F.); #285443=ORIENTED_EDGE('',*,*,#207319,.F.); #285444=ORIENTED_EDGE('',*,*,#207320,.T.); #285445=ORIENTED_EDGE('',*,*,#207319,.T.); #285446=ORIENTED_EDGE('',*,*,#207321,.F.); #285447=ORIENTED_EDGE('',*,*,#207322,.F.); #285448=ORIENTED_EDGE('',*,*,#207323,.T.); #285449=ORIENTED_EDGE('',*,*,#207322,.T.); #285450=ORIENTED_EDGE('',*,*,#207324,.F.); #285451=ORIENTED_EDGE('',*,*,#207325,.F.); #285452=ORIENTED_EDGE('',*,*,#207326,.T.); #285453=ORIENTED_EDGE('',*,*,#207325,.T.); #285454=ORIENTED_EDGE('',*,*,#207327,.F.); #285455=ORIENTED_EDGE('',*,*,#207328,.F.); #285456=ORIENTED_EDGE('',*,*,#207329,.T.); #285457=ORIENTED_EDGE('',*,*,#207328,.T.); #285458=ORIENTED_EDGE('',*,*,#207330,.F.); #285459=ORIENTED_EDGE('',*,*,#207331,.F.); #285460=ORIENTED_EDGE('',*,*,#207332,.T.); #285461=ORIENTED_EDGE('',*,*,#207331,.T.); #285462=ORIENTED_EDGE('',*,*,#207333,.F.); #285463=ORIENTED_EDGE('',*,*,#207334,.F.); #285464=ORIENTED_EDGE('',*,*,#207335,.T.); #285465=ORIENTED_EDGE('',*,*,#207334,.T.); #285466=ORIENTED_EDGE('',*,*,#207336,.F.); #285467=ORIENTED_EDGE('',*,*,#207337,.F.); #285468=ORIENTED_EDGE('',*,*,#207338,.T.); #285469=ORIENTED_EDGE('',*,*,#207337,.T.); #285470=ORIENTED_EDGE('',*,*,#207339,.F.); #285471=ORIENTED_EDGE('',*,*,#207340,.F.); #285472=ORIENTED_EDGE('',*,*,#207341,.T.); #285473=ORIENTED_EDGE('',*,*,#207340,.T.); #285474=ORIENTED_EDGE('',*,*,#207342,.F.); #285475=ORIENTED_EDGE('',*,*,#207343,.F.); #285476=ORIENTED_EDGE('',*,*,#207344,.T.); #285477=ORIENTED_EDGE('',*,*,#207343,.T.); #285478=ORIENTED_EDGE('',*,*,#207345,.F.); #285479=ORIENTED_EDGE('',*,*,#207068,.F.); #285480=ORIENTED_EDGE('',*,*,#207345,.T.); #285481=ORIENTED_EDGE('',*,*,#207342,.T.); #285482=ORIENTED_EDGE('',*,*,#207339,.T.); #285483=ORIENTED_EDGE('',*,*,#207336,.T.); #285484=ORIENTED_EDGE('',*,*,#207333,.T.); #285485=ORIENTED_EDGE('',*,*,#207330,.T.); #285486=ORIENTED_EDGE('',*,*,#207327,.T.); #285487=ORIENTED_EDGE('',*,*,#207324,.T.); #285488=ORIENTED_EDGE('',*,*,#207321,.T.); #285489=ORIENTED_EDGE('',*,*,#207318,.T.); #285490=ORIENTED_EDGE('',*,*,#207315,.T.); #285491=ORIENTED_EDGE('',*,*,#207312,.T.); #285492=ORIENTED_EDGE('',*,*,#207309,.T.); #285493=ORIENTED_EDGE('',*,*,#207306,.T.); #285494=ORIENTED_EDGE('',*,*,#207303,.T.); #285495=ORIENTED_EDGE('',*,*,#207300,.T.); #285496=ORIENTED_EDGE('',*,*,#207297,.T.); #285497=ORIENTED_EDGE('',*,*,#207294,.T.); #285498=ORIENTED_EDGE('',*,*,#207291,.T.); #285499=ORIENTED_EDGE('',*,*,#207288,.T.); #285500=ORIENTED_EDGE('',*,*,#207285,.T.); #285501=ORIENTED_EDGE('',*,*,#207282,.T.); #285502=ORIENTED_EDGE('',*,*,#207279,.T.); #285503=ORIENTED_EDGE('',*,*,#207276,.T.); #285504=ORIENTED_EDGE('',*,*,#207273,.T.); #285505=ORIENTED_EDGE('',*,*,#207270,.T.); #285506=ORIENTED_EDGE('',*,*,#207267,.T.); #285507=ORIENTED_EDGE('',*,*,#207264,.T.); #285508=ORIENTED_EDGE('',*,*,#207261,.T.); #285509=ORIENTED_EDGE('',*,*,#207258,.T.); #285510=ORIENTED_EDGE('',*,*,#207255,.T.); #285511=ORIENTED_EDGE('',*,*,#207252,.T.); #285512=ORIENTED_EDGE('',*,*,#207249,.T.); #285513=ORIENTED_EDGE('',*,*,#207246,.T.); #285514=ORIENTED_EDGE('',*,*,#207243,.T.); #285515=ORIENTED_EDGE('',*,*,#207240,.T.); #285516=ORIENTED_EDGE('',*,*,#207237,.T.); #285517=ORIENTED_EDGE('',*,*,#207234,.T.); #285518=ORIENTED_EDGE('',*,*,#207231,.T.); #285519=ORIENTED_EDGE('',*,*,#207228,.T.); #285520=ORIENTED_EDGE('',*,*,#207225,.T.); #285521=ORIENTED_EDGE('',*,*,#207222,.T.); #285522=ORIENTED_EDGE('',*,*,#207219,.T.); #285523=ORIENTED_EDGE('',*,*,#207216,.T.); #285524=ORIENTED_EDGE('',*,*,#207213,.T.); #285525=ORIENTED_EDGE('',*,*,#207210,.T.); #285526=ORIENTED_EDGE('',*,*,#207207,.T.); #285527=ORIENTED_EDGE('',*,*,#207204,.T.); #285528=ORIENTED_EDGE('',*,*,#207201,.T.); #285529=ORIENTED_EDGE('',*,*,#207198,.T.); #285530=ORIENTED_EDGE('',*,*,#207195,.T.); #285531=ORIENTED_EDGE('',*,*,#207192,.T.); #285532=ORIENTED_EDGE('',*,*,#207189,.T.); #285533=ORIENTED_EDGE('',*,*,#207186,.T.); #285534=ORIENTED_EDGE('',*,*,#207183,.T.); #285535=ORIENTED_EDGE('',*,*,#207180,.T.); #285536=ORIENTED_EDGE('',*,*,#207177,.T.); #285537=ORIENTED_EDGE('',*,*,#207174,.T.); #285538=ORIENTED_EDGE('',*,*,#207171,.T.); #285539=ORIENTED_EDGE('',*,*,#207168,.T.); #285540=ORIENTED_EDGE('',*,*,#207165,.T.); #285541=ORIENTED_EDGE('',*,*,#207162,.T.); #285542=ORIENTED_EDGE('',*,*,#207159,.T.); #285543=ORIENTED_EDGE('',*,*,#207156,.T.); #285544=ORIENTED_EDGE('',*,*,#207153,.T.); #285545=ORIENTED_EDGE('',*,*,#207150,.T.); #285546=ORIENTED_EDGE('',*,*,#207147,.T.); #285547=ORIENTED_EDGE('',*,*,#207144,.T.); #285548=ORIENTED_EDGE('',*,*,#207141,.T.); #285549=ORIENTED_EDGE('',*,*,#207138,.T.); #285550=ORIENTED_EDGE('',*,*,#207135,.T.); #285551=ORIENTED_EDGE('',*,*,#207132,.T.); #285552=ORIENTED_EDGE('',*,*,#207129,.T.); #285553=ORIENTED_EDGE('',*,*,#207126,.T.); #285554=ORIENTED_EDGE('',*,*,#207123,.T.); #285555=ORIENTED_EDGE('',*,*,#207120,.T.); #285556=ORIENTED_EDGE('',*,*,#207117,.T.); #285557=ORIENTED_EDGE('',*,*,#207114,.T.); #285558=ORIENTED_EDGE('',*,*,#207111,.T.); #285559=ORIENTED_EDGE('',*,*,#207108,.T.); #285560=ORIENTED_EDGE('',*,*,#207105,.T.); #285561=ORIENTED_EDGE('',*,*,#207102,.T.); #285562=ORIENTED_EDGE('',*,*,#207099,.T.); #285563=ORIENTED_EDGE('',*,*,#207096,.T.); #285564=ORIENTED_EDGE('',*,*,#207093,.T.); #285565=ORIENTED_EDGE('',*,*,#207090,.T.); #285566=ORIENTED_EDGE('',*,*,#207087,.T.); #285567=ORIENTED_EDGE('',*,*,#207084,.T.); #285568=ORIENTED_EDGE('',*,*,#207081,.T.); #285569=ORIENTED_EDGE('',*,*,#207078,.T.); #285570=ORIENTED_EDGE('',*,*,#207075,.T.); #285571=ORIENTED_EDGE('',*,*,#207072,.T.); #285572=ORIENTED_EDGE('',*,*,#207069,.T.); #285573=ORIENTED_EDGE('',*,*,#207039,.T.); #285574=ORIENTED_EDGE('',*,*,#207042,.T.); #285575=ORIENTED_EDGE('',*,*,#207066,.T.); #285576=ORIENTED_EDGE('',*,*,#207063,.T.); #285577=ORIENTED_EDGE('',*,*,#207060,.T.); #285578=ORIENTED_EDGE('',*,*,#207057,.T.); #285579=ORIENTED_EDGE('',*,*,#207054,.T.); #285580=ORIENTED_EDGE('',*,*,#207051,.T.); #285581=ORIENTED_EDGE('',*,*,#207048,.T.); #285582=ORIENTED_EDGE('',*,*,#207045,.T.); #285583=ORIENTED_EDGE('',*,*,#207344,.F.); #285584=ORIENTED_EDGE('',*,*,#207067,.F.); #285585=ORIENTED_EDGE('',*,*,#207071,.F.); #285586=ORIENTED_EDGE('',*,*,#207074,.F.); #285587=ORIENTED_EDGE('',*,*,#207077,.F.); #285588=ORIENTED_EDGE('',*,*,#207080,.F.); #285589=ORIENTED_EDGE('',*,*,#207083,.F.); #285590=ORIENTED_EDGE('',*,*,#207086,.F.); #285591=ORIENTED_EDGE('',*,*,#207089,.F.); #285592=ORIENTED_EDGE('',*,*,#207092,.F.); #285593=ORIENTED_EDGE('',*,*,#207095,.F.); #285594=ORIENTED_EDGE('',*,*,#207098,.F.); #285595=ORIENTED_EDGE('',*,*,#207101,.F.); #285596=ORIENTED_EDGE('',*,*,#207104,.F.); #285597=ORIENTED_EDGE('',*,*,#207107,.F.); #285598=ORIENTED_EDGE('',*,*,#207110,.F.); #285599=ORIENTED_EDGE('',*,*,#207113,.F.); #285600=ORIENTED_EDGE('',*,*,#207116,.F.); #285601=ORIENTED_EDGE('',*,*,#207119,.F.); #285602=ORIENTED_EDGE('',*,*,#207122,.F.); #285603=ORIENTED_EDGE('',*,*,#207125,.F.); #285604=ORIENTED_EDGE('',*,*,#207128,.F.); #285605=ORIENTED_EDGE('',*,*,#207131,.F.); #285606=ORIENTED_EDGE('',*,*,#207134,.F.); #285607=ORIENTED_EDGE('',*,*,#207137,.F.); #285608=ORIENTED_EDGE('',*,*,#207140,.F.); #285609=ORIENTED_EDGE('',*,*,#207143,.F.); #285610=ORIENTED_EDGE('',*,*,#207146,.F.); #285611=ORIENTED_EDGE('',*,*,#207149,.F.); #285612=ORIENTED_EDGE('',*,*,#207152,.F.); #285613=ORIENTED_EDGE('',*,*,#207155,.F.); #285614=ORIENTED_EDGE('',*,*,#207158,.F.); #285615=ORIENTED_EDGE('',*,*,#207161,.F.); #285616=ORIENTED_EDGE('',*,*,#207164,.F.); #285617=ORIENTED_EDGE('',*,*,#207167,.F.); #285618=ORIENTED_EDGE('',*,*,#207170,.F.); #285619=ORIENTED_EDGE('',*,*,#207173,.F.); #285620=ORIENTED_EDGE('',*,*,#207176,.F.); #285621=ORIENTED_EDGE('',*,*,#207179,.F.); #285622=ORIENTED_EDGE('',*,*,#207182,.F.); #285623=ORIENTED_EDGE('',*,*,#207185,.F.); #285624=ORIENTED_EDGE('',*,*,#207188,.F.); #285625=ORIENTED_EDGE('',*,*,#207191,.F.); #285626=ORIENTED_EDGE('',*,*,#207194,.F.); #285627=ORIENTED_EDGE('',*,*,#207197,.F.); #285628=ORIENTED_EDGE('',*,*,#207200,.F.); #285629=ORIENTED_EDGE('',*,*,#207203,.F.); #285630=ORIENTED_EDGE('',*,*,#207206,.F.); #285631=ORIENTED_EDGE('',*,*,#207209,.F.); #285632=ORIENTED_EDGE('',*,*,#207212,.F.); #285633=ORIENTED_EDGE('',*,*,#207215,.F.); #285634=ORIENTED_EDGE('',*,*,#207218,.F.); #285635=ORIENTED_EDGE('',*,*,#207221,.F.); #285636=ORIENTED_EDGE('',*,*,#207224,.F.); #285637=ORIENTED_EDGE('',*,*,#207227,.F.); #285638=ORIENTED_EDGE('',*,*,#207230,.F.); #285639=ORIENTED_EDGE('',*,*,#207233,.F.); #285640=ORIENTED_EDGE('',*,*,#207236,.F.); #285641=ORIENTED_EDGE('',*,*,#207239,.F.); #285642=ORIENTED_EDGE('',*,*,#207242,.F.); #285643=ORIENTED_EDGE('',*,*,#207245,.F.); #285644=ORIENTED_EDGE('',*,*,#207248,.F.); #285645=ORIENTED_EDGE('',*,*,#207251,.F.); #285646=ORIENTED_EDGE('',*,*,#207254,.F.); #285647=ORIENTED_EDGE('',*,*,#207257,.F.); #285648=ORIENTED_EDGE('',*,*,#207260,.F.); #285649=ORIENTED_EDGE('',*,*,#207263,.F.); #285650=ORIENTED_EDGE('',*,*,#207266,.F.); #285651=ORIENTED_EDGE('',*,*,#207269,.F.); #285652=ORIENTED_EDGE('',*,*,#207272,.F.); #285653=ORIENTED_EDGE('',*,*,#207275,.F.); #285654=ORIENTED_EDGE('',*,*,#207278,.F.); #285655=ORIENTED_EDGE('',*,*,#207281,.F.); #285656=ORIENTED_EDGE('',*,*,#207284,.F.); #285657=ORIENTED_EDGE('',*,*,#207287,.F.); #285658=ORIENTED_EDGE('',*,*,#207290,.F.); #285659=ORIENTED_EDGE('',*,*,#207293,.F.); #285660=ORIENTED_EDGE('',*,*,#207296,.F.); #285661=ORIENTED_EDGE('',*,*,#207299,.F.); #285662=ORIENTED_EDGE('',*,*,#207302,.F.); #285663=ORIENTED_EDGE('',*,*,#207305,.F.); #285664=ORIENTED_EDGE('',*,*,#207308,.F.); #285665=ORIENTED_EDGE('',*,*,#207311,.F.); #285666=ORIENTED_EDGE('',*,*,#207314,.F.); #285667=ORIENTED_EDGE('',*,*,#207317,.F.); #285668=ORIENTED_EDGE('',*,*,#207320,.F.); #285669=ORIENTED_EDGE('',*,*,#207323,.F.); #285670=ORIENTED_EDGE('',*,*,#207326,.F.); #285671=ORIENTED_EDGE('',*,*,#207329,.F.); #285672=ORIENTED_EDGE('',*,*,#207332,.F.); #285673=ORIENTED_EDGE('',*,*,#207335,.F.); #285674=ORIENTED_EDGE('',*,*,#207338,.F.); #285675=ORIENTED_EDGE('',*,*,#207341,.F.); #285676=ORIENTED_EDGE('',*,*,#207037,.T.); #285677=ORIENTED_EDGE('',*,*,#207040,.T.); #285678=ORIENTED_EDGE('',*,*,#207065,.F.); #285679=ORIENTED_EDGE('',*,*,#207043,.F.); #285680=ORIENTED_EDGE('',*,*,#207047,.F.); #285681=ORIENTED_EDGE('',*,*,#207050,.F.); #285682=ORIENTED_EDGE('',*,*,#207053,.F.); #285683=ORIENTED_EDGE('',*,*,#207056,.F.); #285684=ORIENTED_EDGE('',*,*,#207059,.F.); #285685=ORIENTED_EDGE('',*,*,#207062,.F.); #285686=ORIENTED_EDGE('',*,*,#207346,.F.); #285687=ORIENTED_EDGE('',*,*,#207347,.T.); #285688=ORIENTED_EDGE('',*,*,#207348,.F.); #285689=ORIENTED_EDGE('',*,*,#207347,.F.); #285690=ORIENTED_EDGE('',*,*,#207349,.T.); #285691=ORIENTED_EDGE('',*,*,#207350,.T.); #285692=ORIENTED_EDGE('',*,*,#207351,.F.); #285693=ORIENTED_EDGE('',*,*,#207352,.F.); #285694=ORIENTED_EDGE('',*,*,#207353,.T.); #285695=ORIENTED_EDGE('',*,*,#207352,.T.); #285696=ORIENTED_EDGE('',*,*,#207354,.F.); #285697=ORIENTED_EDGE('',*,*,#207355,.F.); #285698=ORIENTED_EDGE('',*,*,#207356,.T.); #285699=ORIENTED_EDGE('',*,*,#207355,.T.); #285700=ORIENTED_EDGE('',*,*,#207357,.F.); #285701=ORIENTED_EDGE('',*,*,#207350,.F.); #285702=ORIENTED_EDGE('',*,*,#207357,.T.); #285703=ORIENTED_EDGE('',*,*,#207354,.T.); #285704=ORIENTED_EDGE('',*,*,#207351,.T.); #285705=ORIENTED_EDGE('',*,*,#207348,.T.); #285706=ORIENTED_EDGE('',*,*,#207356,.F.); #285707=ORIENTED_EDGE('',*,*,#207349,.F.); #285708=ORIENTED_EDGE('',*,*,#207353,.F.); #285709=ORIENTED_EDGE('',*,*,#207346,.T.); #285710=ORIENTED_EDGE('',*,*,#207358,.F.); #285711=ORIENTED_EDGE('',*,*,#207359,.T.); #285712=ORIENTED_EDGE('',*,*,#207360,.F.); #285713=ORIENTED_EDGE('',*,*,#207359,.F.); #285714=ORIENTED_EDGE('',*,*,#207361,.F.); #285715=ORIENTED_EDGE('',*,*,#207362,.T.); #285716=ORIENTED_EDGE('',*,*,#207363,.F.); #285717=ORIENTED_EDGE('',*,*,#207362,.F.); #285718=ORIENTED_EDGE('',*,*,#207364,.F.); #285719=ORIENTED_EDGE('',*,*,#207365,.T.); #285720=ORIENTED_EDGE('',*,*,#207366,.F.); #285721=ORIENTED_EDGE('',*,*,#207365,.F.); #285722=ORIENTED_EDGE('',*,*,#207367,.F.); #285723=ORIENTED_EDGE('',*,*,#207368,.T.); #285724=ORIENTED_EDGE('',*,*,#207369,.F.); #285725=ORIENTED_EDGE('',*,*,#207368,.F.); #285726=ORIENTED_EDGE('',*,*,#207370,.F.); #285727=ORIENTED_EDGE('',*,*,#207371,.T.); #285728=ORIENTED_EDGE('',*,*,#207372,.F.); #285729=ORIENTED_EDGE('',*,*,#207371,.F.); #285730=ORIENTED_EDGE('',*,*,#207373,.F.); #285731=ORIENTED_EDGE('',*,*,#207374,.T.); #285732=ORIENTED_EDGE('',*,*,#207375,.F.); #285733=ORIENTED_EDGE('',*,*,#207374,.F.); #285734=ORIENTED_EDGE('',*,*,#207376,.F.); #285735=ORIENTED_EDGE('',*,*,#207377,.T.); #285736=ORIENTED_EDGE('',*,*,#207378,.F.); #285737=ORIENTED_EDGE('',*,*,#207377,.F.); #285738=ORIENTED_EDGE('',*,*,#207379,.F.); #285739=ORIENTED_EDGE('',*,*,#207380,.T.); #285740=ORIENTED_EDGE('',*,*,#207381,.F.); #285741=ORIENTED_EDGE('',*,*,#207380,.F.); #285742=ORIENTED_EDGE('',*,*,#207382,.F.); #285743=ORIENTED_EDGE('',*,*,#207383,.T.); #285744=ORIENTED_EDGE('',*,*,#207384,.F.); #285745=ORIENTED_EDGE('',*,*,#207383,.F.); #285746=ORIENTED_EDGE('',*,*,#207385,.F.); #285747=ORIENTED_EDGE('',*,*,#207386,.T.); #285748=ORIENTED_EDGE('',*,*,#207387,.F.); #285749=ORIENTED_EDGE('',*,*,#207386,.F.); #285750=ORIENTED_EDGE('',*,*,#207388,.F.); #285751=ORIENTED_EDGE('',*,*,#207389,.T.); #285752=ORIENTED_EDGE('',*,*,#207390,.F.); #285753=ORIENTED_EDGE('',*,*,#207389,.F.); #285754=ORIENTED_EDGE('',*,*,#207391,.F.); #285755=ORIENTED_EDGE('',*,*,#207392,.T.); #285756=ORIENTED_EDGE('',*,*,#207393,.F.); #285757=ORIENTED_EDGE('',*,*,#207392,.F.); #285758=ORIENTED_EDGE('',*,*,#207394,.F.); #285759=ORIENTED_EDGE('',*,*,#207395,.T.); #285760=ORIENTED_EDGE('',*,*,#207396,.F.); #285761=ORIENTED_EDGE('',*,*,#207395,.F.); #285762=ORIENTED_EDGE('',*,*,#207397,.F.); #285763=ORIENTED_EDGE('',*,*,#207398,.T.); #285764=ORIENTED_EDGE('',*,*,#207399,.F.); #285765=ORIENTED_EDGE('',*,*,#207398,.F.); #285766=ORIENTED_EDGE('',*,*,#207400,.F.); #285767=ORIENTED_EDGE('',*,*,#207401,.T.); #285768=ORIENTED_EDGE('',*,*,#207402,.F.); #285769=ORIENTED_EDGE('',*,*,#207401,.F.); #285770=ORIENTED_EDGE('',*,*,#207403,.T.); #285771=ORIENTED_EDGE('',*,*,#207404,.T.); #285772=ORIENTED_EDGE('',*,*,#207405,.F.); #285773=ORIENTED_EDGE('',*,*,#207406,.F.); #285774=ORIENTED_EDGE('',*,*,#207407,.T.); #285775=ORIENTED_EDGE('',*,*,#207406,.T.); #285776=ORIENTED_EDGE('',*,*,#207408,.F.); #285777=ORIENTED_EDGE('',*,*,#207409,.F.); #285778=ORIENTED_EDGE('',*,*,#207410,.T.); #285779=ORIENTED_EDGE('',*,*,#207409,.T.); #285780=ORIENTED_EDGE('',*,*,#207411,.F.); #285781=ORIENTED_EDGE('',*,*,#207412,.F.); #285782=ORIENTED_EDGE('',*,*,#207413,.T.); #285783=ORIENTED_EDGE('',*,*,#207412,.T.); #285784=ORIENTED_EDGE('',*,*,#207414,.F.); #285785=ORIENTED_EDGE('',*,*,#207415,.F.); #285786=ORIENTED_EDGE('',*,*,#207416,.T.); #285787=ORIENTED_EDGE('',*,*,#207415,.T.); #285788=ORIENTED_EDGE('',*,*,#207417,.F.); #285789=ORIENTED_EDGE('',*,*,#207418,.F.); #285790=ORIENTED_EDGE('',*,*,#207419,.T.); #285791=ORIENTED_EDGE('',*,*,#207418,.T.); #285792=ORIENTED_EDGE('',*,*,#207420,.F.); #285793=ORIENTED_EDGE('',*,*,#207421,.F.); #285794=ORIENTED_EDGE('',*,*,#207422,.T.); #285795=ORIENTED_EDGE('',*,*,#207421,.T.); #285796=ORIENTED_EDGE('',*,*,#207423,.F.); #285797=ORIENTED_EDGE('',*,*,#207424,.F.); #285798=ORIENTED_EDGE('',*,*,#207425,.T.); #285799=ORIENTED_EDGE('',*,*,#207424,.T.); #285800=ORIENTED_EDGE('',*,*,#207426,.F.); #285801=ORIENTED_EDGE('',*,*,#207427,.F.); #285802=ORIENTED_EDGE('',*,*,#207428,.T.); #285803=ORIENTED_EDGE('',*,*,#207427,.T.); #285804=ORIENTED_EDGE('',*,*,#207429,.F.); #285805=ORIENTED_EDGE('',*,*,#207430,.F.); #285806=ORIENTED_EDGE('',*,*,#207431,.T.); #285807=ORIENTED_EDGE('',*,*,#207430,.T.); #285808=ORIENTED_EDGE('',*,*,#207432,.F.); #285809=ORIENTED_EDGE('',*,*,#207433,.F.); #285810=ORIENTED_EDGE('',*,*,#207434,.T.); #285811=ORIENTED_EDGE('',*,*,#207433,.T.); #285812=ORIENTED_EDGE('',*,*,#207435,.F.); #285813=ORIENTED_EDGE('',*,*,#207436,.F.); #285814=ORIENTED_EDGE('',*,*,#207437,.T.); #285815=ORIENTED_EDGE('',*,*,#207436,.T.); #285816=ORIENTED_EDGE('',*,*,#207438,.F.); #285817=ORIENTED_EDGE('',*,*,#207439,.F.); #285818=ORIENTED_EDGE('',*,*,#207440,.T.); #285819=ORIENTED_EDGE('',*,*,#207439,.T.); #285820=ORIENTED_EDGE('',*,*,#207441,.F.); #285821=ORIENTED_EDGE('',*,*,#207442,.F.); #285822=ORIENTED_EDGE('',*,*,#207443,.T.); #285823=ORIENTED_EDGE('',*,*,#207442,.T.); #285824=ORIENTED_EDGE('',*,*,#207444,.F.); #285825=ORIENTED_EDGE('',*,*,#207445,.F.); #285826=ORIENTED_EDGE('',*,*,#207446,.T.); #285827=ORIENTED_EDGE('',*,*,#207445,.T.); #285828=ORIENTED_EDGE('',*,*,#207447,.F.); #285829=ORIENTED_EDGE('',*,*,#207448,.F.); #285830=ORIENTED_EDGE('',*,*,#207449,.T.); #285831=ORIENTED_EDGE('',*,*,#207448,.T.); #285832=ORIENTED_EDGE('',*,*,#207450,.F.); #285833=ORIENTED_EDGE('',*,*,#207451,.F.); #285834=ORIENTED_EDGE('',*,*,#207452,.T.); #285835=ORIENTED_EDGE('',*,*,#207451,.T.); #285836=ORIENTED_EDGE('',*,*,#207453,.F.); #285837=ORIENTED_EDGE('',*,*,#207454,.F.); #285838=ORIENTED_EDGE('',*,*,#207455,.T.); #285839=ORIENTED_EDGE('',*,*,#207454,.T.); #285840=ORIENTED_EDGE('',*,*,#207456,.F.); #285841=ORIENTED_EDGE('',*,*,#207457,.F.); #285842=ORIENTED_EDGE('',*,*,#207458,.T.); #285843=ORIENTED_EDGE('',*,*,#207457,.T.); #285844=ORIENTED_EDGE('',*,*,#207459,.F.); #285845=ORIENTED_EDGE('',*,*,#207460,.F.); #285846=ORIENTED_EDGE('',*,*,#207461,.T.); #285847=ORIENTED_EDGE('',*,*,#207460,.T.); #285848=ORIENTED_EDGE('',*,*,#207462,.F.); #285849=ORIENTED_EDGE('',*,*,#207463,.F.); #285850=ORIENTED_EDGE('',*,*,#207464,.T.); #285851=ORIENTED_EDGE('',*,*,#207463,.T.); #285852=ORIENTED_EDGE('',*,*,#207465,.F.); #285853=ORIENTED_EDGE('',*,*,#207466,.F.); #285854=ORIENTED_EDGE('',*,*,#207467,.T.); #285855=ORIENTED_EDGE('',*,*,#207466,.T.); #285856=ORIENTED_EDGE('',*,*,#207468,.F.); #285857=ORIENTED_EDGE('',*,*,#207469,.F.); #285858=ORIENTED_EDGE('',*,*,#207470,.T.); #285859=ORIENTED_EDGE('',*,*,#207469,.T.); #285860=ORIENTED_EDGE('',*,*,#207471,.F.); #285861=ORIENTED_EDGE('',*,*,#207472,.F.); #285862=ORIENTED_EDGE('',*,*,#207473,.T.); #285863=ORIENTED_EDGE('',*,*,#207472,.T.); #285864=ORIENTED_EDGE('',*,*,#207474,.F.); #285865=ORIENTED_EDGE('',*,*,#207475,.F.); #285866=ORIENTED_EDGE('',*,*,#207476,.T.); #285867=ORIENTED_EDGE('',*,*,#207475,.T.); #285868=ORIENTED_EDGE('',*,*,#207477,.F.); #285869=ORIENTED_EDGE('',*,*,#207478,.F.); #285870=ORIENTED_EDGE('',*,*,#207479,.T.); #285871=ORIENTED_EDGE('',*,*,#207478,.T.); #285872=ORIENTED_EDGE('',*,*,#207480,.F.); #285873=ORIENTED_EDGE('',*,*,#207481,.F.); #285874=ORIENTED_EDGE('',*,*,#207482,.T.); #285875=ORIENTED_EDGE('',*,*,#207481,.T.); #285876=ORIENTED_EDGE('',*,*,#207483,.F.); #285877=ORIENTED_EDGE('',*,*,#207484,.F.); #285878=ORIENTED_EDGE('',*,*,#207485,.T.); #285879=ORIENTED_EDGE('',*,*,#207484,.T.); #285880=ORIENTED_EDGE('',*,*,#207486,.F.); #285881=ORIENTED_EDGE('',*,*,#207487,.F.); #285882=ORIENTED_EDGE('',*,*,#207488,.T.); #285883=ORIENTED_EDGE('',*,*,#207487,.T.); #285884=ORIENTED_EDGE('',*,*,#207489,.F.); #285885=ORIENTED_EDGE('',*,*,#207490,.F.); #285886=ORIENTED_EDGE('',*,*,#207491,.T.); #285887=ORIENTED_EDGE('',*,*,#207490,.T.); #285888=ORIENTED_EDGE('',*,*,#207492,.F.); #285889=ORIENTED_EDGE('',*,*,#207493,.F.); #285890=ORIENTED_EDGE('',*,*,#207494,.T.); #285891=ORIENTED_EDGE('',*,*,#207493,.T.); #285892=ORIENTED_EDGE('',*,*,#207495,.F.); #285893=ORIENTED_EDGE('',*,*,#207496,.F.); #285894=ORIENTED_EDGE('',*,*,#207497,.T.); #285895=ORIENTED_EDGE('',*,*,#207496,.T.); #285896=ORIENTED_EDGE('',*,*,#207498,.F.); #285897=ORIENTED_EDGE('',*,*,#207499,.F.); #285898=ORIENTED_EDGE('',*,*,#207500,.T.); #285899=ORIENTED_EDGE('',*,*,#207499,.T.); #285900=ORIENTED_EDGE('',*,*,#207501,.F.); #285901=ORIENTED_EDGE('',*,*,#207502,.F.); #285902=ORIENTED_EDGE('',*,*,#207503,.T.); #285903=ORIENTED_EDGE('',*,*,#207502,.T.); #285904=ORIENTED_EDGE('',*,*,#207504,.F.); #285905=ORIENTED_EDGE('',*,*,#207505,.F.); #285906=ORIENTED_EDGE('',*,*,#207506,.T.); #285907=ORIENTED_EDGE('',*,*,#207505,.T.); #285908=ORIENTED_EDGE('',*,*,#207507,.F.); #285909=ORIENTED_EDGE('',*,*,#207508,.F.); #285910=ORIENTED_EDGE('',*,*,#207509,.T.); #285911=ORIENTED_EDGE('',*,*,#207508,.T.); #285912=ORIENTED_EDGE('',*,*,#207510,.F.); #285913=ORIENTED_EDGE('',*,*,#207511,.F.); #285914=ORIENTED_EDGE('',*,*,#207512,.T.); #285915=ORIENTED_EDGE('',*,*,#207511,.T.); #285916=ORIENTED_EDGE('',*,*,#207513,.F.); #285917=ORIENTED_EDGE('',*,*,#207514,.F.); #285918=ORIENTED_EDGE('',*,*,#207515,.T.); #285919=ORIENTED_EDGE('',*,*,#207514,.T.); #285920=ORIENTED_EDGE('',*,*,#207516,.F.); #285921=ORIENTED_EDGE('',*,*,#207517,.F.); #285922=ORIENTED_EDGE('',*,*,#207518,.T.); #285923=ORIENTED_EDGE('',*,*,#207517,.T.); #285924=ORIENTED_EDGE('',*,*,#207519,.F.); #285925=ORIENTED_EDGE('',*,*,#207520,.F.); #285926=ORIENTED_EDGE('',*,*,#207521,.T.); #285927=ORIENTED_EDGE('',*,*,#207520,.T.); #285928=ORIENTED_EDGE('',*,*,#207522,.F.); #285929=ORIENTED_EDGE('',*,*,#207523,.F.); #285930=ORIENTED_EDGE('',*,*,#207524,.T.); #285931=ORIENTED_EDGE('',*,*,#207523,.T.); #285932=ORIENTED_EDGE('',*,*,#207525,.F.); #285933=ORIENTED_EDGE('',*,*,#207526,.F.); #285934=ORIENTED_EDGE('',*,*,#207527,.T.); #285935=ORIENTED_EDGE('',*,*,#207526,.T.); #285936=ORIENTED_EDGE('',*,*,#207528,.F.); #285937=ORIENTED_EDGE('',*,*,#207529,.F.); #285938=ORIENTED_EDGE('',*,*,#207530,.T.); #285939=ORIENTED_EDGE('',*,*,#207529,.T.); #285940=ORIENTED_EDGE('',*,*,#207531,.F.); #285941=ORIENTED_EDGE('',*,*,#207532,.F.); #285942=ORIENTED_EDGE('',*,*,#207533,.T.); #285943=ORIENTED_EDGE('',*,*,#207532,.T.); #285944=ORIENTED_EDGE('',*,*,#207534,.F.); #285945=ORIENTED_EDGE('',*,*,#207535,.F.); #285946=ORIENTED_EDGE('',*,*,#207536,.T.); #285947=ORIENTED_EDGE('',*,*,#207535,.T.); #285948=ORIENTED_EDGE('',*,*,#207537,.F.); #285949=ORIENTED_EDGE('',*,*,#207538,.F.); #285950=ORIENTED_EDGE('',*,*,#207539,.T.); #285951=ORIENTED_EDGE('',*,*,#207538,.T.); #285952=ORIENTED_EDGE('',*,*,#207540,.F.); #285953=ORIENTED_EDGE('',*,*,#207541,.F.); #285954=ORIENTED_EDGE('',*,*,#207542,.T.); #285955=ORIENTED_EDGE('',*,*,#207541,.T.); #285956=ORIENTED_EDGE('',*,*,#207543,.F.); #285957=ORIENTED_EDGE('',*,*,#207544,.F.); #285958=ORIENTED_EDGE('',*,*,#207545,.T.); #285959=ORIENTED_EDGE('',*,*,#207544,.T.); #285960=ORIENTED_EDGE('',*,*,#207546,.F.); #285961=ORIENTED_EDGE('',*,*,#207547,.F.); #285962=ORIENTED_EDGE('',*,*,#207548,.T.); #285963=ORIENTED_EDGE('',*,*,#207547,.T.); #285964=ORIENTED_EDGE('',*,*,#207549,.F.); #285965=ORIENTED_EDGE('',*,*,#207550,.F.); #285966=ORIENTED_EDGE('',*,*,#207551,.T.); #285967=ORIENTED_EDGE('',*,*,#207550,.T.); #285968=ORIENTED_EDGE('',*,*,#207552,.F.); #285969=ORIENTED_EDGE('',*,*,#207553,.F.); #285970=ORIENTED_EDGE('',*,*,#207554,.T.); #285971=ORIENTED_EDGE('',*,*,#207553,.T.); #285972=ORIENTED_EDGE('',*,*,#207555,.F.); #285973=ORIENTED_EDGE('',*,*,#207556,.F.); #285974=ORIENTED_EDGE('',*,*,#207557,.T.); #285975=ORIENTED_EDGE('',*,*,#207556,.T.); #285976=ORIENTED_EDGE('',*,*,#207558,.F.); #285977=ORIENTED_EDGE('',*,*,#207559,.F.); #285978=ORIENTED_EDGE('',*,*,#207560,.T.); #285979=ORIENTED_EDGE('',*,*,#207559,.T.); #285980=ORIENTED_EDGE('',*,*,#207561,.F.); #285981=ORIENTED_EDGE('',*,*,#207562,.F.); #285982=ORIENTED_EDGE('',*,*,#207563,.T.); #285983=ORIENTED_EDGE('',*,*,#207562,.T.); #285984=ORIENTED_EDGE('',*,*,#207564,.F.); #285985=ORIENTED_EDGE('',*,*,#207565,.F.); #285986=ORIENTED_EDGE('',*,*,#207566,.T.); #285987=ORIENTED_EDGE('',*,*,#207565,.T.); #285988=ORIENTED_EDGE('',*,*,#207567,.F.); #285989=ORIENTED_EDGE('',*,*,#207568,.F.); #285990=ORIENTED_EDGE('',*,*,#207569,.T.); #285991=ORIENTED_EDGE('',*,*,#207568,.T.); #285992=ORIENTED_EDGE('',*,*,#207570,.F.); #285993=ORIENTED_EDGE('',*,*,#207571,.F.); #285994=ORIENTED_EDGE('',*,*,#207572,.T.); #285995=ORIENTED_EDGE('',*,*,#207571,.T.); #285996=ORIENTED_EDGE('',*,*,#207573,.F.); #285997=ORIENTED_EDGE('',*,*,#207574,.F.); #285998=ORIENTED_EDGE('',*,*,#207575,.T.); #285999=ORIENTED_EDGE('',*,*,#207574,.T.); #286000=ORIENTED_EDGE('',*,*,#207576,.F.); #286001=ORIENTED_EDGE('',*,*,#207577,.F.); #286002=ORIENTED_EDGE('',*,*,#207578,.T.); #286003=ORIENTED_EDGE('',*,*,#207577,.T.); #286004=ORIENTED_EDGE('',*,*,#207579,.F.); #286005=ORIENTED_EDGE('',*,*,#207580,.F.); #286006=ORIENTED_EDGE('',*,*,#207581,.T.); #286007=ORIENTED_EDGE('',*,*,#207580,.T.); #286008=ORIENTED_EDGE('',*,*,#207582,.F.); #286009=ORIENTED_EDGE('',*,*,#207583,.F.); #286010=ORIENTED_EDGE('',*,*,#207584,.T.); #286011=ORIENTED_EDGE('',*,*,#207583,.T.); #286012=ORIENTED_EDGE('',*,*,#207585,.F.); #286013=ORIENTED_EDGE('',*,*,#207586,.F.); #286014=ORIENTED_EDGE('',*,*,#207587,.T.); #286015=ORIENTED_EDGE('',*,*,#207586,.T.); #286016=ORIENTED_EDGE('',*,*,#207588,.F.); #286017=ORIENTED_EDGE('',*,*,#207589,.F.); #286018=ORIENTED_EDGE('',*,*,#207590,.T.); #286019=ORIENTED_EDGE('',*,*,#207589,.T.); #286020=ORIENTED_EDGE('',*,*,#207591,.F.); #286021=ORIENTED_EDGE('',*,*,#207592,.F.); #286022=ORIENTED_EDGE('',*,*,#207593,.T.); #286023=ORIENTED_EDGE('',*,*,#207592,.T.); #286024=ORIENTED_EDGE('',*,*,#207594,.F.); #286025=ORIENTED_EDGE('',*,*,#207595,.F.); #286026=ORIENTED_EDGE('',*,*,#207596,.T.); #286027=ORIENTED_EDGE('',*,*,#207595,.T.); #286028=ORIENTED_EDGE('',*,*,#207597,.F.); #286029=ORIENTED_EDGE('',*,*,#207598,.F.); #286030=ORIENTED_EDGE('',*,*,#207599,.T.); #286031=ORIENTED_EDGE('',*,*,#207598,.T.); #286032=ORIENTED_EDGE('',*,*,#207600,.F.); #286033=ORIENTED_EDGE('',*,*,#207601,.F.); #286034=ORIENTED_EDGE('',*,*,#207602,.T.); #286035=ORIENTED_EDGE('',*,*,#207601,.T.); #286036=ORIENTED_EDGE('',*,*,#207603,.F.); #286037=ORIENTED_EDGE('',*,*,#207604,.F.); #286038=ORIENTED_EDGE('',*,*,#207605,.T.); #286039=ORIENTED_EDGE('',*,*,#207604,.T.); #286040=ORIENTED_EDGE('',*,*,#207606,.F.); #286041=ORIENTED_EDGE('',*,*,#207607,.F.); #286042=ORIENTED_EDGE('',*,*,#207608,.T.); #286043=ORIENTED_EDGE('',*,*,#207607,.T.); #286044=ORIENTED_EDGE('',*,*,#207609,.F.); #286045=ORIENTED_EDGE('',*,*,#207610,.F.); #286046=ORIENTED_EDGE('',*,*,#207611,.T.); #286047=ORIENTED_EDGE('',*,*,#207610,.T.); #286048=ORIENTED_EDGE('',*,*,#207612,.F.); #286049=ORIENTED_EDGE('',*,*,#207613,.F.); #286050=ORIENTED_EDGE('',*,*,#207614,.T.); #286051=ORIENTED_EDGE('',*,*,#207613,.T.); #286052=ORIENTED_EDGE('',*,*,#207615,.F.); #286053=ORIENTED_EDGE('',*,*,#207616,.F.); #286054=ORIENTED_EDGE('',*,*,#207617,.T.); #286055=ORIENTED_EDGE('',*,*,#207616,.T.); #286056=ORIENTED_EDGE('',*,*,#207618,.F.); #286057=ORIENTED_EDGE('',*,*,#207404,.F.); #286058=ORIENTED_EDGE('',*,*,#207619,.T.); #286059=ORIENTED_EDGE('',*,*,#207620,.T.); #286060=ORIENTED_EDGE('',*,*,#207621,.F.); #286061=ORIENTED_EDGE('',*,*,#207622,.F.); #286062=ORIENTED_EDGE('',*,*,#207623,.T.); #286063=ORIENTED_EDGE('',*,*,#207622,.T.); #286064=ORIENTED_EDGE('',*,*,#207624,.F.); #286065=ORIENTED_EDGE('',*,*,#207625,.F.); #286066=ORIENTED_EDGE('',*,*,#207626,.T.); #286067=ORIENTED_EDGE('',*,*,#207625,.T.); #286068=ORIENTED_EDGE('',*,*,#207627,.F.); #286069=ORIENTED_EDGE('',*,*,#207628,.F.); #286070=ORIENTED_EDGE('',*,*,#207629,.T.); #286071=ORIENTED_EDGE('',*,*,#207628,.T.); #286072=ORIENTED_EDGE('',*,*,#207630,.F.); #286073=ORIENTED_EDGE('',*,*,#207631,.F.); #286074=ORIENTED_EDGE('',*,*,#207632,.T.); #286075=ORIENTED_EDGE('',*,*,#207631,.T.); #286076=ORIENTED_EDGE('',*,*,#207633,.F.); #286077=ORIENTED_EDGE('',*,*,#207634,.F.); #286078=ORIENTED_EDGE('',*,*,#207635,.T.); #286079=ORIENTED_EDGE('',*,*,#207634,.T.); #286080=ORIENTED_EDGE('',*,*,#207636,.F.); #286081=ORIENTED_EDGE('',*,*,#207637,.F.); #286082=ORIENTED_EDGE('',*,*,#207638,.T.); #286083=ORIENTED_EDGE('',*,*,#207637,.T.); #286084=ORIENTED_EDGE('',*,*,#207639,.F.); #286085=ORIENTED_EDGE('',*,*,#207640,.F.); #286086=ORIENTED_EDGE('',*,*,#207641,.T.); #286087=ORIENTED_EDGE('',*,*,#207640,.T.); #286088=ORIENTED_EDGE('',*,*,#207642,.F.); #286089=ORIENTED_EDGE('',*,*,#207643,.F.); #286090=ORIENTED_EDGE('',*,*,#207644,.T.); #286091=ORIENTED_EDGE('',*,*,#207643,.T.); #286092=ORIENTED_EDGE('',*,*,#207645,.F.); #286093=ORIENTED_EDGE('',*,*,#207646,.F.); #286094=ORIENTED_EDGE('',*,*,#207647,.T.); #286095=ORIENTED_EDGE('',*,*,#207646,.T.); #286096=ORIENTED_EDGE('',*,*,#207648,.F.); #286097=ORIENTED_EDGE('',*,*,#207649,.F.); #286098=ORIENTED_EDGE('',*,*,#207650,.T.); #286099=ORIENTED_EDGE('',*,*,#207649,.T.); #286100=ORIENTED_EDGE('',*,*,#207651,.F.); #286101=ORIENTED_EDGE('',*,*,#207652,.F.); #286102=ORIENTED_EDGE('',*,*,#207653,.T.); #286103=ORIENTED_EDGE('',*,*,#207652,.T.); #286104=ORIENTED_EDGE('',*,*,#207654,.F.); #286105=ORIENTED_EDGE('',*,*,#207655,.F.); #286106=ORIENTED_EDGE('',*,*,#207656,.T.); #286107=ORIENTED_EDGE('',*,*,#207655,.T.); #286108=ORIENTED_EDGE('',*,*,#207657,.F.); #286109=ORIENTED_EDGE('',*,*,#207658,.F.); #286110=ORIENTED_EDGE('',*,*,#207659,.T.); #286111=ORIENTED_EDGE('',*,*,#207658,.T.); #286112=ORIENTED_EDGE('',*,*,#207660,.F.); #286113=ORIENTED_EDGE('',*,*,#207661,.F.); #286114=ORIENTED_EDGE('',*,*,#207662,.T.); #286115=ORIENTED_EDGE('',*,*,#207661,.T.); #286116=ORIENTED_EDGE('',*,*,#207663,.F.); #286117=ORIENTED_EDGE('',*,*,#207664,.F.); #286118=ORIENTED_EDGE('',*,*,#207665,.T.); #286119=ORIENTED_EDGE('',*,*,#207664,.T.); #286120=ORIENTED_EDGE('',*,*,#207666,.F.); #286121=ORIENTED_EDGE('',*,*,#207667,.F.); #286122=ORIENTED_EDGE('',*,*,#207668,.T.); #286123=ORIENTED_EDGE('',*,*,#207667,.T.); #286124=ORIENTED_EDGE('',*,*,#207669,.F.); #286125=ORIENTED_EDGE('',*,*,#207670,.F.); #286126=ORIENTED_EDGE('',*,*,#207671,.T.); #286127=ORIENTED_EDGE('',*,*,#207670,.T.); #286128=ORIENTED_EDGE('',*,*,#207672,.F.); #286129=ORIENTED_EDGE('',*,*,#207673,.F.); #286130=ORIENTED_EDGE('',*,*,#207674,.T.); #286131=ORIENTED_EDGE('',*,*,#207673,.T.); #286132=ORIENTED_EDGE('',*,*,#207675,.F.); #286133=ORIENTED_EDGE('',*,*,#207676,.F.); #286134=ORIENTED_EDGE('',*,*,#207677,.T.); #286135=ORIENTED_EDGE('',*,*,#207676,.T.); #286136=ORIENTED_EDGE('',*,*,#207678,.F.); #286137=ORIENTED_EDGE('',*,*,#207679,.F.); #286138=ORIENTED_EDGE('',*,*,#207680,.T.); #286139=ORIENTED_EDGE('',*,*,#207679,.T.); #286140=ORIENTED_EDGE('',*,*,#207681,.F.); #286141=ORIENTED_EDGE('',*,*,#207682,.F.); #286142=ORIENTED_EDGE('',*,*,#207683,.T.); #286143=ORIENTED_EDGE('',*,*,#207682,.T.); #286144=ORIENTED_EDGE('',*,*,#207684,.F.); #286145=ORIENTED_EDGE('',*,*,#207685,.F.); #286146=ORIENTED_EDGE('',*,*,#207686,.T.); #286147=ORIENTED_EDGE('',*,*,#207685,.T.); #286148=ORIENTED_EDGE('',*,*,#207687,.F.); #286149=ORIENTED_EDGE('',*,*,#207688,.F.); #286150=ORIENTED_EDGE('',*,*,#207689,.T.); #286151=ORIENTED_EDGE('',*,*,#207688,.T.); #286152=ORIENTED_EDGE('',*,*,#207690,.F.); #286153=ORIENTED_EDGE('',*,*,#207691,.F.); #286154=ORIENTED_EDGE('',*,*,#207692,.T.); #286155=ORIENTED_EDGE('',*,*,#207691,.T.); #286156=ORIENTED_EDGE('',*,*,#207693,.F.); #286157=ORIENTED_EDGE('',*,*,#207694,.F.); #286158=ORIENTED_EDGE('',*,*,#207695,.T.); #286159=ORIENTED_EDGE('',*,*,#207694,.T.); #286160=ORIENTED_EDGE('',*,*,#207696,.F.); #286161=ORIENTED_EDGE('',*,*,#207697,.F.); #286162=ORIENTED_EDGE('',*,*,#207698,.T.); #286163=ORIENTED_EDGE('',*,*,#207697,.T.); #286164=ORIENTED_EDGE('',*,*,#207699,.F.); #286165=ORIENTED_EDGE('',*,*,#207700,.F.); #286166=ORIENTED_EDGE('',*,*,#207701,.T.); #286167=ORIENTED_EDGE('',*,*,#207700,.T.); #286168=ORIENTED_EDGE('',*,*,#207702,.F.); #286169=ORIENTED_EDGE('',*,*,#207703,.F.); #286170=ORIENTED_EDGE('',*,*,#207704,.T.); #286171=ORIENTED_EDGE('',*,*,#207703,.T.); #286172=ORIENTED_EDGE('',*,*,#207705,.F.); #286173=ORIENTED_EDGE('',*,*,#207706,.F.); #286174=ORIENTED_EDGE('',*,*,#207707,.T.); #286175=ORIENTED_EDGE('',*,*,#207706,.T.); #286176=ORIENTED_EDGE('',*,*,#207708,.F.); #286177=ORIENTED_EDGE('',*,*,#207709,.F.); #286178=ORIENTED_EDGE('',*,*,#207710,.T.); #286179=ORIENTED_EDGE('',*,*,#207709,.T.); #286180=ORIENTED_EDGE('',*,*,#207711,.F.); #286181=ORIENTED_EDGE('',*,*,#207712,.F.); #286182=ORIENTED_EDGE('',*,*,#207713,.T.); #286183=ORIENTED_EDGE('',*,*,#207712,.T.); #286184=ORIENTED_EDGE('',*,*,#207714,.F.); #286185=ORIENTED_EDGE('',*,*,#207715,.F.); #286186=ORIENTED_EDGE('',*,*,#207716,.T.); #286187=ORIENTED_EDGE('',*,*,#207715,.T.); #286188=ORIENTED_EDGE('',*,*,#207717,.F.); #286189=ORIENTED_EDGE('',*,*,#207718,.F.); #286190=ORIENTED_EDGE('',*,*,#207719,.T.); #286191=ORIENTED_EDGE('',*,*,#207718,.T.); #286192=ORIENTED_EDGE('',*,*,#207720,.F.); #286193=ORIENTED_EDGE('',*,*,#207721,.F.); #286194=ORIENTED_EDGE('',*,*,#207722,.T.); #286195=ORIENTED_EDGE('',*,*,#207721,.T.); #286196=ORIENTED_EDGE('',*,*,#207723,.F.); #286197=ORIENTED_EDGE('',*,*,#207724,.F.); #286198=ORIENTED_EDGE('',*,*,#207725,.T.); #286199=ORIENTED_EDGE('',*,*,#207724,.T.); #286200=ORIENTED_EDGE('',*,*,#207726,.F.); #286201=ORIENTED_EDGE('',*,*,#207727,.F.); #286202=ORIENTED_EDGE('',*,*,#207728,.T.); #286203=ORIENTED_EDGE('',*,*,#207727,.T.); #286204=ORIENTED_EDGE('',*,*,#207729,.F.); #286205=ORIENTED_EDGE('',*,*,#207730,.F.); #286206=ORIENTED_EDGE('',*,*,#207731,.T.); #286207=ORIENTED_EDGE('',*,*,#207730,.T.); #286208=ORIENTED_EDGE('',*,*,#207732,.F.); #286209=ORIENTED_EDGE('',*,*,#207733,.F.); #286210=ORIENTED_EDGE('',*,*,#207734,.T.); #286211=ORIENTED_EDGE('',*,*,#207733,.T.); #286212=ORIENTED_EDGE('',*,*,#207735,.F.); #286213=ORIENTED_EDGE('',*,*,#207736,.F.); #286214=ORIENTED_EDGE('',*,*,#207737,.T.); #286215=ORIENTED_EDGE('',*,*,#207736,.T.); #286216=ORIENTED_EDGE('',*,*,#207738,.F.); #286217=ORIENTED_EDGE('',*,*,#207739,.F.); #286218=ORIENTED_EDGE('',*,*,#207740,.T.); #286219=ORIENTED_EDGE('',*,*,#207739,.T.); #286220=ORIENTED_EDGE('',*,*,#207741,.F.); #286221=ORIENTED_EDGE('',*,*,#207742,.F.); #286222=ORIENTED_EDGE('',*,*,#207743,.T.); #286223=ORIENTED_EDGE('',*,*,#207742,.T.); #286224=ORIENTED_EDGE('',*,*,#207744,.F.); #286225=ORIENTED_EDGE('',*,*,#207745,.F.); #286226=ORIENTED_EDGE('',*,*,#207746,.T.); #286227=ORIENTED_EDGE('',*,*,#207745,.T.); #286228=ORIENTED_EDGE('',*,*,#207747,.F.); #286229=ORIENTED_EDGE('',*,*,#207748,.F.); #286230=ORIENTED_EDGE('',*,*,#207749,.T.); #286231=ORIENTED_EDGE('',*,*,#207748,.T.); #286232=ORIENTED_EDGE('',*,*,#207750,.F.); #286233=ORIENTED_EDGE('',*,*,#207751,.F.); #286234=ORIENTED_EDGE('',*,*,#207752,.T.); #286235=ORIENTED_EDGE('',*,*,#207751,.T.); #286236=ORIENTED_EDGE('',*,*,#207753,.F.); #286237=ORIENTED_EDGE('',*,*,#207754,.F.); #286238=ORIENTED_EDGE('',*,*,#207755,.T.); #286239=ORIENTED_EDGE('',*,*,#207754,.T.); #286240=ORIENTED_EDGE('',*,*,#207756,.F.); #286241=ORIENTED_EDGE('',*,*,#207757,.F.); #286242=ORIENTED_EDGE('',*,*,#207758,.T.); #286243=ORIENTED_EDGE('',*,*,#207757,.T.); #286244=ORIENTED_EDGE('',*,*,#207759,.F.); #286245=ORIENTED_EDGE('',*,*,#207760,.F.); #286246=ORIENTED_EDGE('',*,*,#207761,.T.); #286247=ORIENTED_EDGE('',*,*,#207760,.T.); #286248=ORIENTED_EDGE('',*,*,#207762,.F.); #286249=ORIENTED_EDGE('',*,*,#207763,.F.); #286250=ORIENTED_EDGE('',*,*,#207764,.T.); #286251=ORIENTED_EDGE('',*,*,#207763,.T.); #286252=ORIENTED_EDGE('',*,*,#207765,.F.); #286253=ORIENTED_EDGE('',*,*,#207766,.F.); #286254=ORIENTED_EDGE('',*,*,#207767,.T.); #286255=ORIENTED_EDGE('',*,*,#207766,.T.); #286256=ORIENTED_EDGE('',*,*,#207768,.F.); #286257=ORIENTED_EDGE('',*,*,#207769,.F.); #286258=ORIENTED_EDGE('',*,*,#207770,.T.); #286259=ORIENTED_EDGE('',*,*,#207769,.T.); #286260=ORIENTED_EDGE('',*,*,#207771,.F.); #286261=ORIENTED_EDGE('',*,*,#207772,.F.); #286262=ORIENTED_EDGE('',*,*,#207773,.T.); #286263=ORIENTED_EDGE('',*,*,#207772,.T.); #286264=ORIENTED_EDGE('',*,*,#207774,.F.); #286265=ORIENTED_EDGE('',*,*,#207775,.F.); #286266=ORIENTED_EDGE('',*,*,#207776,.T.); #286267=ORIENTED_EDGE('',*,*,#207775,.T.); #286268=ORIENTED_EDGE('',*,*,#207777,.F.); #286269=ORIENTED_EDGE('',*,*,#207778,.F.); #286270=ORIENTED_EDGE('',*,*,#207779,.T.); #286271=ORIENTED_EDGE('',*,*,#207778,.T.); #286272=ORIENTED_EDGE('',*,*,#207780,.F.); #286273=ORIENTED_EDGE('',*,*,#207781,.F.); #286274=ORIENTED_EDGE('',*,*,#207782,.T.); #286275=ORIENTED_EDGE('',*,*,#207781,.T.); #286276=ORIENTED_EDGE('',*,*,#207783,.F.); #286277=ORIENTED_EDGE('',*,*,#207784,.F.); #286278=ORIENTED_EDGE('',*,*,#207785,.T.); #286279=ORIENTED_EDGE('',*,*,#207784,.T.); #286280=ORIENTED_EDGE('',*,*,#207786,.F.); #286281=ORIENTED_EDGE('',*,*,#207787,.F.); #286282=ORIENTED_EDGE('',*,*,#207788,.T.); #286283=ORIENTED_EDGE('',*,*,#207787,.T.); #286284=ORIENTED_EDGE('',*,*,#207789,.F.); #286285=ORIENTED_EDGE('',*,*,#207790,.F.); #286286=ORIENTED_EDGE('',*,*,#207791,.T.); #286287=ORIENTED_EDGE('',*,*,#207790,.T.); #286288=ORIENTED_EDGE('',*,*,#207792,.F.); #286289=ORIENTED_EDGE('',*,*,#207793,.F.); #286290=ORIENTED_EDGE('',*,*,#207794,.T.); #286291=ORIENTED_EDGE('',*,*,#207793,.T.); #286292=ORIENTED_EDGE('',*,*,#207795,.F.); #286293=ORIENTED_EDGE('',*,*,#207796,.F.); #286294=ORIENTED_EDGE('',*,*,#207797,.T.); #286295=ORIENTED_EDGE('',*,*,#207796,.T.); #286296=ORIENTED_EDGE('',*,*,#207798,.F.); #286297=ORIENTED_EDGE('',*,*,#207799,.F.); #286298=ORIENTED_EDGE('',*,*,#207800,.T.); #286299=ORIENTED_EDGE('',*,*,#207799,.T.); #286300=ORIENTED_EDGE('',*,*,#207801,.F.); #286301=ORIENTED_EDGE('',*,*,#207802,.F.); #286302=ORIENTED_EDGE('',*,*,#207803,.T.); #286303=ORIENTED_EDGE('',*,*,#207802,.T.); #286304=ORIENTED_EDGE('',*,*,#207804,.F.); #286305=ORIENTED_EDGE('',*,*,#207805,.F.); #286306=ORIENTED_EDGE('',*,*,#207806,.T.); #286307=ORIENTED_EDGE('',*,*,#207805,.T.); #286308=ORIENTED_EDGE('',*,*,#207807,.F.); #286309=ORIENTED_EDGE('',*,*,#207808,.F.); #286310=ORIENTED_EDGE('',*,*,#207809,.T.); #286311=ORIENTED_EDGE('',*,*,#207808,.T.); #286312=ORIENTED_EDGE('',*,*,#207810,.F.); #286313=ORIENTED_EDGE('',*,*,#207811,.F.); #286314=ORIENTED_EDGE('',*,*,#207812,.T.); #286315=ORIENTED_EDGE('',*,*,#207811,.T.); #286316=ORIENTED_EDGE('',*,*,#207813,.F.); #286317=ORIENTED_EDGE('',*,*,#207814,.F.); #286318=ORIENTED_EDGE('',*,*,#207815,.T.); #286319=ORIENTED_EDGE('',*,*,#207814,.T.); #286320=ORIENTED_EDGE('',*,*,#207816,.F.); #286321=ORIENTED_EDGE('',*,*,#207817,.F.); #286322=ORIENTED_EDGE('',*,*,#207818,.T.); #286323=ORIENTED_EDGE('',*,*,#207817,.T.); #286324=ORIENTED_EDGE('',*,*,#207819,.F.); #286325=ORIENTED_EDGE('',*,*,#207820,.F.); #286326=ORIENTED_EDGE('',*,*,#207821,.T.); #286327=ORIENTED_EDGE('',*,*,#207820,.T.); #286328=ORIENTED_EDGE('',*,*,#207822,.F.); #286329=ORIENTED_EDGE('',*,*,#207823,.F.); #286330=ORIENTED_EDGE('',*,*,#207824,.T.); #286331=ORIENTED_EDGE('',*,*,#207823,.T.); #286332=ORIENTED_EDGE('',*,*,#207825,.F.); #286333=ORIENTED_EDGE('',*,*,#207826,.F.); #286334=ORIENTED_EDGE('',*,*,#207827,.T.); #286335=ORIENTED_EDGE('',*,*,#207826,.T.); #286336=ORIENTED_EDGE('',*,*,#207828,.F.); #286337=ORIENTED_EDGE('',*,*,#207829,.F.); #286338=ORIENTED_EDGE('',*,*,#207830,.T.); #286339=ORIENTED_EDGE('',*,*,#207829,.T.); #286340=ORIENTED_EDGE('',*,*,#207831,.F.); #286341=ORIENTED_EDGE('',*,*,#207832,.F.); #286342=ORIENTED_EDGE('',*,*,#207833,.T.); #286343=ORIENTED_EDGE('',*,*,#207832,.T.); #286344=ORIENTED_EDGE('',*,*,#207834,.F.); #286345=ORIENTED_EDGE('',*,*,#207835,.F.); #286346=ORIENTED_EDGE('',*,*,#207836,.T.); #286347=ORIENTED_EDGE('',*,*,#207835,.T.); #286348=ORIENTED_EDGE('',*,*,#207837,.F.); #286349=ORIENTED_EDGE('',*,*,#207838,.F.); #286350=ORIENTED_EDGE('',*,*,#207839,.T.); #286351=ORIENTED_EDGE('',*,*,#207838,.T.); #286352=ORIENTED_EDGE('',*,*,#207840,.F.); #286353=ORIENTED_EDGE('',*,*,#207841,.F.); #286354=ORIENTED_EDGE('',*,*,#207842,.T.); #286355=ORIENTED_EDGE('',*,*,#207841,.T.); #286356=ORIENTED_EDGE('',*,*,#207843,.F.); #286357=ORIENTED_EDGE('',*,*,#207844,.F.); #286358=ORIENTED_EDGE('',*,*,#207845,.T.); #286359=ORIENTED_EDGE('',*,*,#207844,.T.); #286360=ORIENTED_EDGE('',*,*,#207846,.F.); #286361=ORIENTED_EDGE('',*,*,#207847,.F.); #286362=ORIENTED_EDGE('',*,*,#207848,.T.); #286363=ORIENTED_EDGE('',*,*,#207847,.T.); #286364=ORIENTED_EDGE('',*,*,#207849,.F.); #286365=ORIENTED_EDGE('',*,*,#207850,.F.); #286366=ORIENTED_EDGE('',*,*,#207851,.T.); #286367=ORIENTED_EDGE('',*,*,#207850,.T.); #286368=ORIENTED_EDGE('',*,*,#207852,.F.); #286369=ORIENTED_EDGE('',*,*,#207853,.F.); #286370=ORIENTED_EDGE('',*,*,#207854,.T.); #286371=ORIENTED_EDGE('',*,*,#207853,.T.); #286372=ORIENTED_EDGE('',*,*,#207855,.F.); #286373=ORIENTED_EDGE('',*,*,#207856,.F.); #286374=ORIENTED_EDGE('',*,*,#207857,.T.); #286375=ORIENTED_EDGE('',*,*,#207856,.T.); #286376=ORIENTED_EDGE('',*,*,#207858,.F.); #286377=ORIENTED_EDGE('',*,*,#207859,.F.); #286378=ORIENTED_EDGE('',*,*,#207860,.T.); #286379=ORIENTED_EDGE('',*,*,#207859,.T.); #286380=ORIENTED_EDGE('',*,*,#207861,.F.); #286381=ORIENTED_EDGE('',*,*,#207862,.F.); #286382=ORIENTED_EDGE('',*,*,#207863,.T.); #286383=ORIENTED_EDGE('',*,*,#207862,.T.); #286384=ORIENTED_EDGE('',*,*,#207864,.F.); #286385=ORIENTED_EDGE('',*,*,#207865,.F.); #286386=ORIENTED_EDGE('',*,*,#207866,.T.); #286387=ORIENTED_EDGE('',*,*,#207865,.T.); #286388=ORIENTED_EDGE('',*,*,#207867,.F.); #286389=ORIENTED_EDGE('',*,*,#207868,.F.); #286390=ORIENTED_EDGE('',*,*,#207869,.T.); #286391=ORIENTED_EDGE('',*,*,#207868,.T.); #286392=ORIENTED_EDGE('',*,*,#207870,.F.); #286393=ORIENTED_EDGE('',*,*,#207871,.F.); #286394=ORIENTED_EDGE('',*,*,#207872,.T.); #286395=ORIENTED_EDGE('',*,*,#207871,.T.); #286396=ORIENTED_EDGE('',*,*,#207873,.F.); #286397=ORIENTED_EDGE('',*,*,#207874,.F.); #286398=ORIENTED_EDGE('',*,*,#207875,.T.); #286399=ORIENTED_EDGE('',*,*,#207874,.T.); #286400=ORIENTED_EDGE('',*,*,#207876,.F.); #286401=ORIENTED_EDGE('',*,*,#207877,.F.); #286402=ORIENTED_EDGE('',*,*,#207878,.T.); #286403=ORIENTED_EDGE('',*,*,#207877,.T.); #286404=ORIENTED_EDGE('',*,*,#207879,.F.); #286405=ORIENTED_EDGE('',*,*,#207880,.F.); #286406=ORIENTED_EDGE('',*,*,#207881,.T.); #286407=ORIENTED_EDGE('',*,*,#207880,.T.); #286408=ORIENTED_EDGE('',*,*,#207882,.F.); #286409=ORIENTED_EDGE('',*,*,#207883,.F.); #286410=ORIENTED_EDGE('',*,*,#207884,.T.); #286411=ORIENTED_EDGE('',*,*,#207883,.T.); #286412=ORIENTED_EDGE('',*,*,#207885,.F.); #286413=ORIENTED_EDGE('',*,*,#207886,.F.); #286414=ORIENTED_EDGE('',*,*,#207887,.T.); #286415=ORIENTED_EDGE('',*,*,#207886,.T.); #286416=ORIENTED_EDGE('',*,*,#207888,.F.); #286417=ORIENTED_EDGE('',*,*,#207889,.F.); #286418=ORIENTED_EDGE('',*,*,#207890,.T.); #286419=ORIENTED_EDGE('',*,*,#207889,.T.); #286420=ORIENTED_EDGE('',*,*,#207891,.F.); #286421=ORIENTED_EDGE('',*,*,#207892,.F.); #286422=ORIENTED_EDGE('',*,*,#207893,.T.); #286423=ORIENTED_EDGE('',*,*,#207892,.T.); #286424=ORIENTED_EDGE('',*,*,#207894,.F.); #286425=ORIENTED_EDGE('',*,*,#207895,.F.); #286426=ORIENTED_EDGE('',*,*,#207896,.T.); #286427=ORIENTED_EDGE('',*,*,#207895,.T.); #286428=ORIENTED_EDGE('',*,*,#207897,.F.); #286429=ORIENTED_EDGE('',*,*,#207898,.F.); #286430=ORIENTED_EDGE('',*,*,#207899,.T.); #286431=ORIENTED_EDGE('',*,*,#207898,.T.); #286432=ORIENTED_EDGE('',*,*,#207900,.F.); #286433=ORIENTED_EDGE('',*,*,#207901,.F.); #286434=ORIENTED_EDGE('',*,*,#207902,.T.); #286435=ORIENTED_EDGE('',*,*,#207901,.T.); #286436=ORIENTED_EDGE('',*,*,#207903,.F.); #286437=ORIENTED_EDGE('',*,*,#207620,.F.); #286438=ORIENTED_EDGE('',*,*,#207903,.T.); #286439=ORIENTED_EDGE('',*,*,#207900,.T.); #286440=ORIENTED_EDGE('',*,*,#207897,.T.); #286441=ORIENTED_EDGE('',*,*,#207894,.T.); #286442=ORIENTED_EDGE('',*,*,#207891,.T.); #286443=ORIENTED_EDGE('',*,*,#207888,.T.); #286444=ORIENTED_EDGE('',*,*,#207885,.T.); #286445=ORIENTED_EDGE('',*,*,#207882,.T.); #286446=ORIENTED_EDGE('',*,*,#207879,.T.); #286447=ORIENTED_EDGE('',*,*,#207876,.T.); #286448=ORIENTED_EDGE('',*,*,#207873,.T.); #286449=ORIENTED_EDGE('',*,*,#207870,.T.); #286450=ORIENTED_EDGE('',*,*,#207867,.T.); #286451=ORIENTED_EDGE('',*,*,#207864,.T.); #286452=ORIENTED_EDGE('',*,*,#207861,.T.); #286453=ORIENTED_EDGE('',*,*,#207858,.T.); #286454=ORIENTED_EDGE('',*,*,#207855,.T.); #286455=ORIENTED_EDGE('',*,*,#207852,.T.); #286456=ORIENTED_EDGE('',*,*,#207849,.T.); #286457=ORIENTED_EDGE('',*,*,#207846,.T.); #286458=ORIENTED_EDGE('',*,*,#207843,.T.); #286459=ORIENTED_EDGE('',*,*,#207840,.T.); #286460=ORIENTED_EDGE('',*,*,#207837,.T.); #286461=ORIENTED_EDGE('',*,*,#207834,.T.); #286462=ORIENTED_EDGE('',*,*,#207831,.T.); #286463=ORIENTED_EDGE('',*,*,#207828,.T.); #286464=ORIENTED_EDGE('',*,*,#207825,.T.); #286465=ORIENTED_EDGE('',*,*,#207822,.T.); #286466=ORIENTED_EDGE('',*,*,#207819,.T.); #286467=ORIENTED_EDGE('',*,*,#207816,.T.); #286468=ORIENTED_EDGE('',*,*,#207813,.T.); #286469=ORIENTED_EDGE('',*,*,#207810,.T.); #286470=ORIENTED_EDGE('',*,*,#207807,.T.); #286471=ORIENTED_EDGE('',*,*,#207804,.T.); #286472=ORIENTED_EDGE('',*,*,#207801,.T.); #286473=ORIENTED_EDGE('',*,*,#207798,.T.); #286474=ORIENTED_EDGE('',*,*,#207795,.T.); #286475=ORIENTED_EDGE('',*,*,#207792,.T.); #286476=ORIENTED_EDGE('',*,*,#207789,.T.); #286477=ORIENTED_EDGE('',*,*,#207786,.T.); #286478=ORIENTED_EDGE('',*,*,#207783,.T.); #286479=ORIENTED_EDGE('',*,*,#207780,.T.); #286480=ORIENTED_EDGE('',*,*,#207777,.T.); #286481=ORIENTED_EDGE('',*,*,#207774,.T.); #286482=ORIENTED_EDGE('',*,*,#207771,.T.); #286483=ORIENTED_EDGE('',*,*,#207768,.T.); #286484=ORIENTED_EDGE('',*,*,#207765,.T.); #286485=ORIENTED_EDGE('',*,*,#207762,.T.); #286486=ORIENTED_EDGE('',*,*,#207759,.T.); #286487=ORIENTED_EDGE('',*,*,#207756,.T.); #286488=ORIENTED_EDGE('',*,*,#207753,.T.); #286489=ORIENTED_EDGE('',*,*,#207750,.T.); #286490=ORIENTED_EDGE('',*,*,#207747,.T.); #286491=ORIENTED_EDGE('',*,*,#207744,.T.); #286492=ORIENTED_EDGE('',*,*,#207741,.T.); #286493=ORIENTED_EDGE('',*,*,#207738,.T.); #286494=ORIENTED_EDGE('',*,*,#207735,.T.); #286495=ORIENTED_EDGE('',*,*,#207732,.T.); #286496=ORIENTED_EDGE('',*,*,#207729,.T.); #286497=ORIENTED_EDGE('',*,*,#207726,.T.); #286498=ORIENTED_EDGE('',*,*,#207723,.T.); #286499=ORIENTED_EDGE('',*,*,#207720,.T.); #286500=ORIENTED_EDGE('',*,*,#207717,.T.); #286501=ORIENTED_EDGE('',*,*,#207714,.T.); #286502=ORIENTED_EDGE('',*,*,#207711,.T.); #286503=ORIENTED_EDGE('',*,*,#207708,.T.); #286504=ORIENTED_EDGE('',*,*,#207705,.T.); #286505=ORIENTED_EDGE('',*,*,#207702,.T.); #286506=ORIENTED_EDGE('',*,*,#207699,.T.); #286507=ORIENTED_EDGE('',*,*,#207696,.T.); #286508=ORIENTED_EDGE('',*,*,#207693,.T.); #286509=ORIENTED_EDGE('',*,*,#207690,.T.); #286510=ORIENTED_EDGE('',*,*,#207687,.T.); #286511=ORIENTED_EDGE('',*,*,#207684,.T.); #286512=ORIENTED_EDGE('',*,*,#207681,.T.); #286513=ORIENTED_EDGE('',*,*,#207678,.T.); #286514=ORIENTED_EDGE('',*,*,#207675,.T.); #286515=ORIENTED_EDGE('',*,*,#207672,.T.); #286516=ORIENTED_EDGE('',*,*,#207669,.T.); #286517=ORIENTED_EDGE('',*,*,#207666,.T.); #286518=ORIENTED_EDGE('',*,*,#207663,.T.); #286519=ORIENTED_EDGE('',*,*,#207660,.T.); #286520=ORIENTED_EDGE('',*,*,#207657,.T.); #286521=ORIENTED_EDGE('',*,*,#207654,.T.); #286522=ORIENTED_EDGE('',*,*,#207651,.T.); #286523=ORIENTED_EDGE('',*,*,#207648,.T.); #286524=ORIENTED_EDGE('',*,*,#207645,.T.); #286525=ORIENTED_EDGE('',*,*,#207642,.T.); #286526=ORIENTED_EDGE('',*,*,#207639,.T.); #286527=ORIENTED_EDGE('',*,*,#207636,.T.); #286528=ORIENTED_EDGE('',*,*,#207633,.T.); #286529=ORIENTED_EDGE('',*,*,#207630,.T.); #286530=ORIENTED_EDGE('',*,*,#207627,.T.); #286531=ORIENTED_EDGE('',*,*,#207624,.T.); #286532=ORIENTED_EDGE('',*,*,#207621,.T.); #286533=ORIENTED_EDGE('',*,*,#207360,.T.); #286534=ORIENTED_EDGE('',*,*,#207363,.T.); #286535=ORIENTED_EDGE('',*,*,#207366,.T.); #286536=ORIENTED_EDGE('',*,*,#207369,.T.); #286537=ORIENTED_EDGE('',*,*,#207372,.T.); #286538=ORIENTED_EDGE('',*,*,#207375,.T.); #286539=ORIENTED_EDGE('',*,*,#207378,.T.); #286540=ORIENTED_EDGE('',*,*,#207381,.T.); #286541=ORIENTED_EDGE('',*,*,#207384,.T.); #286542=ORIENTED_EDGE('',*,*,#207387,.T.); #286543=ORIENTED_EDGE('',*,*,#207390,.T.); #286544=ORIENTED_EDGE('',*,*,#207393,.T.); #286545=ORIENTED_EDGE('',*,*,#207396,.T.); #286546=ORIENTED_EDGE('',*,*,#207399,.T.); #286547=ORIENTED_EDGE('',*,*,#207402,.T.); #286548=ORIENTED_EDGE('',*,*,#207618,.T.); #286549=ORIENTED_EDGE('',*,*,#207615,.T.); #286550=ORIENTED_EDGE('',*,*,#207612,.T.); #286551=ORIENTED_EDGE('',*,*,#207609,.T.); #286552=ORIENTED_EDGE('',*,*,#207606,.T.); #286553=ORIENTED_EDGE('',*,*,#207603,.T.); #286554=ORIENTED_EDGE('',*,*,#207600,.T.); #286555=ORIENTED_EDGE('',*,*,#207597,.T.); #286556=ORIENTED_EDGE('',*,*,#207594,.T.); #286557=ORIENTED_EDGE('',*,*,#207591,.T.); #286558=ORIENTED_EDGE('',*,*,#207588,.T.); #286559=ORIENTED_EDGE('',*,*,#207585,.T.); #286560=ORIENTED_EDGE('',*,*,#207582,.T.); #286561=ORIENTED_EDGE('',*,*,#207579,.T.); #286562=ORIENTED_EDGE('',*,*,#207576,.T.); #286563=ORIENTED_EDGE('',*,*,#207573,.T.); #286564=ORIENTED_EDGE('',*,*,#207570,.T.); #286565=ORIENTED_EDGE('',*,*,#207567,.T.); #286566=ORIENTED_EDGE('',*,*,#207564,.T.); #286567=ORIENTED_EDGE('',*,*,#207561,.T.); #286568=ORIENTED_EDGE('',*,*,#207558,.T.); #286569=ORIENTED_EDGE('',*,*,#207555,.T.); #286570=ORIENTED_EDGE('',*,*,#207552,.T.); #286571=ORIENTED_EDGE('',*,*,#207549,.T.); #286572=ORIENTED_EDGE('',*,*,#207546,.T.); #286573=ORIENTED_EDGE('',*,*,#207543,.T.); #286574=ORIENTED_EDGE('',*,*,#207540,.T.); #286575=ORIENTED_EDGE('',*,*,#207537,.T.); #286576=ORIENTED_EDGE('',*,*,#207534,.T.); #286577=ORIENTED_EDGE('',*,*,#207531,.T.); #286578=ORIENTED_EDGE('',*,*,#207528,.T.); #286579=ORIENTED_EDGE('',*,*,#207525,.T.); #286580=ORIENTED_EDGE('',*,*,#207522,.T.); #286581=ORIENTED_EDGE('',*,*,#207519,.T.); #286582=ORIENTED_EDGE('',*,*,#207516,.T.); #286583=ORIENTED_EDGE('',*,*,#207513,.T.); #286584=ORIENTED_EDGE('',*,*,#207510,.T.); #286585=ORIENTED_EDGE('',*,*,#207507,.T.); #286586=ORIENTED_EDGE('',*,*,#207504,.T.); #286587=ORIENTED_EDGE('',*,*,#207501,.T.); #286588=ORIENTED_EDGE('',*,*,#207498,.T.); #286589=ORIENTED_EDGE('',*,*,#207495,.T.); #286590=ORIENTED_EDGE('',*,*,#207492,.T.); #286591=ORIENTED_EDGE('',*,*,#207489,.T.); #286592=ORIENTED_EDGE('',*,*,#207486,.T.); #286593=ORIENTED_EDGE('',*,*,#207483,.T.); #286594=ORIENTED_EDGE('',*,*,#207480,.T.); #286595=ORIENTED_EDGE('',*,*,#207477,.T.); #286596=ORIENTED_EDGE('',*,*,#207474,.T.); #286597=ORIENTED_EDGE('',*,*,#207471,.T.); #286598=ORIENTED_EDGE('',*,*,#207468,.T.); #286599=ORIENTED_EDGE('',*,*,#207465,.T.); #286600=ORIENTED_EDGE('',*,*,#207462,.T.); #286601=ORIENTED_EDGE('',*,*,#207459,.T.); #286602=ORIENTED_EDGE('',*,*,#207456,.T.); #286603=ORIENTED_EDGE('',*,*,#207453,.T.); #286604=ORIENTED_EDGE('',*,*,#207450,.T.); #286605=ORIENTED_EDGE('',*,*,#207447,.T.); #286606=ORIENTED_EDGE('',*,*,#207444,.T.); #286607=ORIENTED_EDGE('',*,*,#207441,.T.); #286608=ORIENTED_EDGE('',*,*,#207438,.T.); #286609=ORIENTED_EDGE('',*,*,#207435,.T.); #286610=ORIENTED_EDGE('',*,*,#207432,.T.); #286611=ORIENTED_EDGE('',*,*,#207429,.T.); #286612=ORIENTED_EDGE('',*,*,#207426,.T.); #286613=ORIENTED_EDGE('',*,*,#207423,.T.); #286614=ORIENTED_EDGE('',*,*,#207420,.T.); #286615=ORIENTED_EDGE('',*,*,#207417,.T.); #286616=ORIENTED_EDGE('',*,*,#207414,.T.); #286617=ORIENTED_EDGE('',*,*,#207411,.T.); #286618=ORIENTED_EDGE('',*,*,#207408,.T.); #286619=ORIENTED_EDGE('',*,*,#207405,.T.); #286620=ORIENTED_EDGE('',*,*,#207902,.F.); #286621=ORIENTED_EDGE('',*,*,#207619,.F.); #286622=ORIENTED_EDGE('',*,*,#207623,.F.); #286623=ORIENTED_EDGE('',*,*,#207626,.F.); #286624=ORIENTED_EDGE('',*,*,#207629,.F.); #286625=ORIENTED_EDGE('',*,*,#207632,.F.); #286626=ORIENTED_EDGE('',*,*,#207635,.F.); #286627=ORIENTED_EDGE('',*,*,#207638,.F.); #286628=ORIENTED_EDGE('',*,*,#207641,.F.); #286629=ORIENTED_EDGE('',*,*,#207644,.F.); #286630=ORIENTED_EDGE('',*,*,#207647,.F.); #286631=ORIENTED_EDGE('',*,*,#207650,.F.); #286632=ORIENTED_EDGE('',*,*,#207653,.F.); #286633=ORIENTED_EDGE('',*,*,#207656,.F.); #286634=ORIENTED_EDGE('',*,*,#207659,.F.); #286635=ORIENTED_EDGE('',*,*,#207662,.F.); #286636=ORIENTED_EDGE('',*,*,#207665,.F.); #286637=ORIENTED_EDGE('',*,*,#207668,.F.); #286638=ORIENTED_EDGE('',*,*,#207671,.F.); #286639=ORIENTED_EDGE('',*,*,#207674,.F.); #286640=ORIENTED_EDGE('',*,*,#207677,.F.); #286641=ORIENTED_EDGE('',*,*,#207680,.F.); #286642=ORIENTED_EDGE('',*,*,#207683,.F.); #286643=ORIENTED_EDGE('',*,*,#207686,.F.); #286644=ORIENTED_EDGE('',*,*,#207689,.F.); #286645=ORIENTED_EDGE('',*,*,#207692,.F.); #286646=ORIENTED_EDGE('',*,*,#207695,.F.); #286647=ORIENTED_EDGE('',*,*,#207698,.F.); #286648=ORIENTED_EDGE('',*,*,#207701,.F.); #286649=ORIENTED_EDGE('',*,*,#207704,.F.); #286650=ORIENTED_EDGE('',*,*,#207707,.F.); #286651=ORIENTED_EDGE('',*,*,#207710,.F.); #286652=ORIENTED_EDGE('',*,*,#207713,.F.); #286653=ORIENTED_EDGE('',*,*,#207716,.F.); #286654=ORIENTED_EDGE('',*,*,#207719,.F.); #286655=ORIENTED_EDGE('',*,*,#207722,.F.); #286656=ORIENTED_EDGE('',*,*,#207725,.F.); #286657=ORIENTED_EDGE('',*,*,#207728,.F.); #286658=ORIENTED_EDGE('',*,*,#207731,.F.); #286659=ORIENTED_EDGE('',*,*,#207734,.F.); #286660=ORIENTED_EDGE('',*,*,#207737,.F.); #286661=ORIENTED_EDGE('',*,*,#207740,.F.); #286662=ORIENTED_EDGE('',*,*,#207743,.F.); #286663=ORIENTED_EDGE('',*,*,#207746,.F.); #286664=ORIENTED_EDGE('',*,*,#207749,.F.); #286665=ORIENTED_EDGE('',*,*,#207752,.F.); #286666=ORIENTED_EDGE('',*,*,#207755,.F.); #286667=ORIENTED_EDGE('',*,*,#207758,.F.); #286668=ORIENTED_EDGE('',*,*,#207761,.F.); #286669=ORIENTED_EDGE('',*,*,#207764,.F.); #286670=ORIENTED_EDGE('',*,*,#207767,.F.); #286671=ORIENTED_EDGE('',*,*,#207770,.F.); #286672=ORIENTED_EDGE('',*,*,#207773,.F.); #286673=ORIENTED_EDGE('',*,*,#207776,.F.); #286674=ORIENTED_EDGE('',*,*,#207779,.F.); #286675=ORIENTED_EDGE('',*,*,#207782,.F.); #286676=ORIENTED_EDGE('',*,*,#207785,.F.); #286677=ORIENTED_EDGE('',*,*,#207788,.F.); #286678=ORIENTED_EDGE('',*,*,#207791,.F.); #286679=ORIENTED_EDGE('',*,*,#207794,.F.); #286680=ORIENTED_EDGE('',*,*,#207797,.F.); #286681=ORIENTED_EDGE('',*,*,#207800,.F.); #286682=ORIENTED_EDGE('',*,*,#207803,.F.); #286683=ORIENTED_EDGE('',*,*,#207806,.F.); #286684=ORIENTED_EDGE('',*,*,#207809,.F.); #286685=ORIENTED_EDGE('',*,*,#207812,.F.); #286686=ORIENTED_EDGE('',*,*,#207815,.F.); #286687=ORIENTED_EDGE('',*,*,#207818,.F.); #286688=ORIENTED_EDGE('',*,*,#207821,.F.); #286689=ORIENTED_EDGE('',*,*,#207824,.F.); #286690=ORIENTED_EDGE('',*,*,#207827,.F.); #286691=ORIENTED_EDGE('',*,*,#207830,.F.); #286692=ORIENTED_EDGE('',*,*,#207833,.F.); #286693=ORIENTED_EDGE('',*,*,#207836,.F.); #286694=ORIENTED_EDGE('',*,*,#207839,.F.); #286695=ORIENTED_EDGE('',*,*,#207842,.F.); #286696=ORIENTED_EDGE('',*,*,#207845,.F.); #286697=ORIENTED_EDGE('',*,*,#207848,.F.); #286698=ORIENTED_EDGE('',*,*,#207851,.F.); #286699=ORIENTED_EDGE('',*,*,#207854,.F.); #286700=ORIENTED_EDGE('',*,*,#207857,.F.); #286701=ORIENTED_EDGE('',*,*,#207860,.F.); #286702=ORIENTED_EDGE('',*,*,#207863,.F.); #286703=ORIENTED_EDGE('',*,*,#207866,.F.); #286704=ORIENTED_EDGE('',*,*,#207869,.F.); #286705=ORIENTED_EDGE('',*,*,#207872,.F.); #286706=ORIENTED_EDGE('',*,*,#207875,.F.); #286707=ORIENTED_EDGE('',*,*,#207878,.F.); #286708=ORIENTED_EDGE('',*,*,#207881,.F.); #286709=ORIENTED_EDGE('',*,*,#207884,.F.); #286710=ORIENTED_EDGE('',*,*,#207887,.F.); #286711=ORIENTED_EDGE('',*,*,#207890,.F.); #286712=ORIENTED_EDGE('',*,*,#207893,.F.); #286713=ORIENTED_EDGE('',*,*,#207896,.F.); #286714=ORIENTED_EDGE('',*,*,#207899,.F.); #286715=ORIENTED_EDGE('',*,*,#207358,.T.); #286716=ORIENTED_EDGE('',*,*,#207361,.T.); #286717=ORIENTED_EDGE('',*,*,#207364,.T.); #286718=ORIENTED_EDGE('',*,*,#207367,.T.); #286719=ORIENTED_EDGE('',*,*,#207370,.T.); #286720=ORIENTED_EDGE('',*,*,#207373,.T.); #286721=ORIENTED_EDGE('',*,*,#207376,.T.); #286722=ORIENTED_EDGE('',*,*,#207379,.T.); #286723=ORIENTED_EDGE('',*,*,#207382,.T.); #286724=ORIENTED_EDGE('',*,*,#207385,.T.); #286725=ORIENTED_EDGE('',*,*,#207388,.T.); #286726=ORIENTED_EDGE('',*,*,#207391,.T.); #286727=ORIENTED_EDGE('',*,*,#207394,.T.); #286728=ORIENTED_EDGE('',*,*,#207397,.T.); #286729=ORIENTED_EDGE('',*,*,#207400,.T.); #286730=ORIENTED_EDGE('',*,*,#207617,.F.); #286731=ORIENTED_EDGE('',*,*,#207403,.F.); #286732=ORIENTED_EDGE('',*,*,#207407,.F.); #286733=ORIENTED_EDGE('',*,*,#207410,.F.); #286734=ORIENTED_EDGE('',*,*,#207413,.F.); #286735=ORIENTED_EDGE('',*,*,#207416,.F.); #286736=ORIENTED_EDGE('',*,*,#207419,.F.); #286737=ORIENTED_EDGE('',*,*,#207422,.F.); #286738=ORIENTED_EDGE('',*,*,#207425,.F.); #286739=ORIENTED_EDGE('',*,*,#207428,.F.); #286740=ORIENTED_EDGE('',*,*,#207431,.F.); #286741=ORIENTED_EDGE('',*,*,#207434,.F.); #286742=ORIENTED_EDGE('',*,*,#207437,.F.); #286743=ORIENTED_EDGE('',*,*,#207440,.F.); #286744=ORIENTED_EDGE('',*,*,#207443,.F.); #286745=ORIENTED_EDGE('',*,*,#207446,.F.); #286746=ORIENTED_EDGE('',*,*,#207449,.F.); #286747=ORIENTED_EDGE('',*,*,#207452,.F.); #286748=ORIENTED_EDGE('',*,*,#207455,.F.); #286749=ORIENTED_EDGE('',*,*,#207458,.F.); #286750=ORIENTED_EDGE('',*,*,#207461,.F.); #286751=ORIENTED_EDGE('',*,*,#207464,.F.); #286752=ORIENTED_EDGE('',*,*,#207467,.F.); #286753=ORIENTED_EDGE('',*,*,#207470,.F.); #286754=ORIENTED_EDGE('',*,*,#207473,.F.); #286755=ORIENTED_EDGE('',*,*,#207476,.F.); #286756=ORIENTED_EDGE('',*,*,#207479,.F.); #286757=ORIENTED_EDGE('',*,*,#207482,.F.); #286758=ORIENTED_EDGE('',*,*,#207485,.F.); #286759=ORIENTED_EDGE('',*,*,#207488,.F.); #286760=ORIENTED_EDGE('',*,*,#207491,.F.); #286761=ORIENTED_EDGE('',*,*,#207494,.F.); #286762=ORIENTED_EDGE('',*,*,#207497,.F.); #286763=ORIENTED_EDGE('',*,*,#207500,.F.); #286764=ORIENTED_EDGE('',*,*,#207503,.F.); #286765=ORIENTED_EDGE('',*,*,#207506,.F.); #286766=ORIENTED_EDGE('',*,*,#207509,.F.); #286767=ORIENTED_EDGE('',*,*,#207512,.F.); #286768=ORIENTED_EDGE('',*,*,#207515,.F.); #286769=ORIENTED_EDGE('',*,*,#207518,.F.); #286770=ORIENTED_EDGE('',*,*,#207521,.F.); #286771=ORIENTED_EDGE('',*,*,#207524,.F.); #286772=ORIENTED_EDGE('',*,*,#207527,.F.); #286773=ORIENTED_EDGE('',*,*,#207530,.F.); #286774=ORIENTED_EDGE('',*,*,#207533,.F.); #286775=ORIENTED_EDGE('',*,*,#207536,.F.); #286776=ORIENTED_EDGE('',*,*,#207539,.F.); #286777=ORIENTED_EDGE('',*,*,#207542,.F.); #286778=ORIENTED_EDGE('',*,*,#207545,.F.); #286779=ORIENTED_EDGE('',*,*,#207548,.F.); #286780=ORIENTED_EDGE('',*,*,#207551,.F.); #286781=ORIENTED_EDGE('',*,*,#207554,.F.); #286782=ORIENTED_EDGE('',*,*,#207557,.F.); #286783=ORIENTED_EDGE('',*,*,#207560,.F.); #286784=ORIENTED_EDGE('',*,*,#207563,.F.); #286785=ORIENTED_EDGE('',*,*,#207566,.F.); #286786=ORIENTED_EDGE('',*,*,#207569,.F.); #286787=ORIENTED_EDGE('',*,*,#207572,.F.); #286788=ORIENTED_EDGE('',*,*,#207575,.F.); #286789=ORIENTED_EDGE('',*,*,#207578,.F.); #286790=ORIENTED_EDGE('',*,*,#207581,.F.); #286791=ORIENTED_EDGE('',*,*,#207584,.F.); #286792=ORIENTED_EDGE('',*,*,#207587,.F.); #286793=ORIENTED_EDGE('',*,*,#207590,.F.); #286794=ORIENTED_EDGE('',*,*,#207593,.F.); #286795=ORIENTED_EDGE('',*,*,#207596,.F.); #286796=ORIENTED_EDGE('',*,*,#207599,.F.); #286797=ORIENTED_EDGE('',*,*,#207602,.F.); #286798=ORIENTED_EDGE('',*,*,#207605,.F.); #286799=ORIENTED_EDGE('',*,*,#207608,.F.); #286800=ORIENTED_EDGE('',*,*,#207611,.F.); #286801=ORIENTED_EDGE('',*,*,#207614,.F.); #286802=ORIENTED_EDGE('',*,*,#207904,.F.); #286803=ORIENTED_EDGE('',*,*,#207905,.T.); #286804=ORIENTED_EDGE('',*,*,#207906,.F.); #286805=ORIENTED_EDGE('',*,*,#207905,.F.); #286806=ORIENTED_EDGE('',*,*,#207907,.F.); #286807=ORIENTED_EDGE('',*,*,#207908,.T.); #286808=ORIENTED_EDGE('',*,*,#207909,.F.); #286809=ORIENTED_EDGE('',*,*,#207908,.F.); #286810=ORIENTED_EDGE('',*,*,#207910,.T.); #286811=ORIENTED_EDGE('',*,*,#207911,.T.); #286812=ORIENTED_EDGE('',*,*,#207912,.F.); #286813=ORIENTED_EDGE('',*,*,#207913,.F.); #286814=ORIENTED_EDGE('',*,*,#207914,.T.); #286815=ORIENTED_EDGE('',*,*,#207913,.T.); #286816=ORIENTED_EDGE('',*,*,#207915,.F.); #286817=ORIENTED_EDGE('',*,*,#207916,.F.); #286818=ORIENTED_EDGE('',*,*,#207917,.T.); #286819=ORIENTED_EDGE('',*,*,#207916,.T.); #286820=ORIENTED_EDGE('',*,*,#207918,.F.); #286821=ORIENTED_EDGE('',*,*,#207919,.F.); #286822=ORIENTED_EDGE('',*,*,#207920,.T.); #286823=ORIENTED_EDGE('',*,*,#207919,.T.); #286824=ORIENTED_EDGE('',*,*,#207921,.F.); #286825=ORIENTED_EDGE('',*,*,#207922,.F.); #286826=ORIENTED_EDGE('',*,*,#207923,.T.); #286827=ORIENTED_EDGE('',*,*,#207922,.T.); #286828=ORIENTED_EDGE('',*,*,#207924,.F.); #286829=ORIENTED_EDGE('',*,*,#207925,.F.); #286830=ORIENTED_EDGE('',*,*,#207926,.T.); #286831=ORIENTED_EDGE('',*,*,#207925,.T.); #286832=ORIENTED_EDGE('',*,*,#207927,.F.); #286833=ORIENTED_EDGE('',*,*,#207928,.F.); #286834=ORIENTED_EDGE('',*,*,#207929,.T.); #286835=ORIENTED_EDGE('',*,*,#207928,.T.); #286836=ORIENTED_EDGE('',*,*,#207930,.F.); #286837=ORIENTED_EDGE('',*,*,#207931,.F.); #286838=ORIENTED_EDGE('',*,*,#207932,.T.); #286839=ORIENTED_EDGE('',*,*,#207931,.T.); #286840=ORIENTED_EDGE('',*,*,#207933,.F.); #286841=ORIENTED_EDGE('',*,*,#207934,.F.); #286842=ORIENTED_EDGE('',*,*,#207935,.T.); #286843=ORIENTED_EDGE('',*,*,#207934,.T.); #286844=ORIENTED_EDGE('',*,*,#207936,.F.); #286845=ORIENTED_EDGE('',*,*,#207937,.F.); #286846=ORIENTED_EDGE('',*,*,#207938,.T.); #286847=ORIENTED_EDGE('',*,*,#207937,.T.); #286848=ORIENTED_EDGE('',*,*,#207939,.F.); #286849=ORIENTED_EDGE('',*,*,#207940,.F.); #286850=ORIENTED_EDGE('',*,*,#207941,.T.); #286851=ORIENTED_EDGE('',*,*,#207940,.T.); #286852=ORIENTED_EDGE('',*,*,#207942,.F.); #286853=ORIENTED_EDGE('',*,*,#207943,.F.); #286854=ORIENTED_EDGE('',*,*,#207944,.T.); #286855=ORIENTED_EDGE('',*,*,#207943,.T.); #286856=ORIENTED_EDGE('',*,*,#207945,.F.); #286857=ORIENTED_EDGE('',*,*,#207946,.F.); #286858=ORIENTED_EDGE('',*,*,#207947,.T.); #286859=ORIENTED_EDGE('',*,*,#207946,.T.); #286860=ORIENTED_EDGE('',*,*,#207948,.F.); #286861=ORIENTED_EDGE('',*,*,#207949,.F.); #286862=ORIENTED_EDGE('',*,*,#207950,.T.); #286863=ORIENTED_EDGE('',*,*,#207949,.T.); #286864=ORIENTED_EDGE('',*,*,#207951,.F.); #286865=ORIENTED_EDGE('',*,*,#207952,.F.); #286866=ORIENTED_EDGE('',*,*,#207953,.T.); #286867=ORIENTED_EDGE('',*,*,#207952,.T.); #286868=ORIENTED_EDGE('',*,*,#207954,.F.); #286869=ORIENTED_EDGE('',*,*,#207955,.F.); #286870=ORIENTED_EDGE('',*,*,#207956,.T.); #286871=ORIENTED_EDGE('',*,*,#207955,.T.); #286872=ORIENTED_EDGE('',*,*,#207957,.F.); #286873=ORIENTED_EDGE('',*,*,#207958,.F.); #286874=ORIENTED_EDGE('',*,*,#207959,.T.); #286875=ORIENTED_EDGE('',*,*,#207958,.T.); #286876=ORIENTED_EDGE('',*,*,#207960,.F.); #286877=ORIENTED_EDGE('',*,*,#207961,.F.); #286878=ORIENTED_EDGE('',*,*,#207962,.T.); #286879=ORIENTED_EDGE('',*,*,#207961,.T.); #286880=ORIENTED_EDGE('',*,*,#207963,.F.); #286881=ORIENTED_EDGE('',*,*,#207964,.F.); #286882=ORIENTED_EDGE('',*,*,#207965,.T.); #286883=ORIENTED_EDGE('',*,*,#207964,.T.); #286884=ORIENTED_EDGE('',*,*,#207966,.F.); #286885=ORIENTED_EDGE('',*,*,#207967,.F.); #286886=ORIENTED_EDGE('',*,*,#207968,.T.); #286887=ORIENTED_EDGE('',*,*,#207967,.T.); #286888=ORIENTED_EDGE('',*,*,#207969,.F.); #286889=ORIENTED_EDGE('',*,*,#207970,.F.); #286890=ORIENTED_EDGE('',*,*,#207971,.T.); #286891=ORIENTED_EDGE('',*,*,#207970,.T.); #286892=ORIENTED_EDGE('',*,*,#207972,.F.); #286893=ORIENTED_EDGE('',*,*,#207973,.F.); #286894=ORIENTED_EDGE('',*,*,#207974,.T.); #286895=ORIENTED_EDGE('',*,*,#207973,.T.); #286896=ORIENTED_EDGE('',*,*,#207975,.F.); #286897=ORIENTED_EDGE('',*,*,#207976,.F.); #286898=ORIENTED_EDGE('',*,*,#207977,.T.); #286899=ORIENTED_EDGE('',*,*,#207976,.T.); #286900=ORIENTED_EDGE('',*,*,#207978,.F.); #286901=ORIENTED_EDGE('',*,*,#207979,.F.); #286902=ORIENTED_EDGE('',*,*,#207980,.T.); #286903=ORIENTED_EDGE('',*,*,#207979,.T.); #286904=ORIENTED_EDGE('',*,*,#207981,.F.); #286905=ORIENTED_EDGE('',*,*,#207982,.F.); #286906=ORIENTED_EDGE('',*,*,#207983,.T.); #286907=ORIENTED_EDGE('',*,*,#207982,.T.); #286908=ORIENTED_EDGE('',*,*,#207984,.F.); #286909=ORIENTED_EDGE('',*,*,#207985,.F.); #286910=ORIENTED_EDGE('',*,*,#207986,.T.); #286911=ORIENTED_EDGE('',*,*,#207985,.T.); #286912=ORIENTED_EDGE('',*,*,#207987,.F.); #286913=ORIENTED_EDGE('',*,*,#207988,.F.); #286914=ORIENTED_EDGE('',*,*,#207989,.T.); #286915=ORIENTED_EDGE('',*,*,#207988,.T.); #286916=ORIENTED_EDGE('',*,*,#207990,.F.); #286917=ORIENTED_EDGE('',*,*,#207991,.F.); #286918=ORIENTED_EDGE('',*,*,#207992,.T.); #286919=ORIENTED_EDGE('',*,*,#207991,.T.); #286920=ORIENTED_EDGE('',*,*,#207993,.F.); #286921=ORIENTED_EDGE('',*,*,#207994,.F.); #286922=ORIENTED_EDGE('',*,*,#207995,.T.); #286923=ORIENTED_EDGE('',*,*,#207994,.T.); #286924=ORIENTED_EDGE('',*,*,#207996,.F.); #286925=ORIENTED_EDGE('',*,*,#207997,.F.); #286926=ORIENTED_EDGE('',*,*,#207998,.T.); #286927=ORIENTED_EDGE('',*,*,#207997,.T.); #286928=ORIENTED_EDGE('',*,*,#207999,.F.); #286929=ORIENTED_EDGE('',*,*,#208000,.F.); #286930=ORIENTED_EDGE('',*,*,#208001,.T.); #286931=ORIENTED_EDGE('',*,*,#208000,.T.); #286932=ORIENTED_EDGE('',*,*,#208002,.F.); #286933=ORIENTED_EDGE('',*,*,#208003,.F.); #286934=ORIENTED_EDGE('',*,*,#208004,.T.); #286935=ORIENTED_EDGE('',*,*,#208003,.T.); #286936=ORIENTED_EDGE('',*,*,#208005,.F.); #286937=ORIENTED_EDGE('',*,*,#208006,.F.); #286938=ORIENTED_EDGE('',*,*,#208007,.T.); #286939=ORIENTED_EDGE('',*,*,#208006,.T.); #286940=ORIENTED_EDGE('',*,*,#208008,.F.); #286941=ORIENTED_EDGE('',*,*,#208009,.F.); #286942=ORIENTED_EDGE('',*,*,#208010,.T.); #286943=ORIENTED_EDGE('',*,*,#208009,.T.); #286944=ORIENTED_EDGE('',*,*,#208011,.F.); #286945=ORIENTED_EDGE('',*,*,#208012,.F.); #286946=ORIENTED_EDGE('',*,*,#208013,.T.); #286947=ORIENTED_EDGE('',*,*,#208012,.T.); #286948=ORIENTED_EDGE('',*,*,#208014,.F.); #286949=ORIENTED_EDGE('',*,*,#208015,.F.); #286950=ORIENTED_EDGE('',*,*,#208016,.T.); #286951=ORIENTED_EDGE('',*,*,#208015,.T.); #286952=ORIENTED_EDGE('',*,*,#208017,.F.); #286953=ORIENTED_EDGE('',*,*,#208018,.F.); #286954=ORIENTED_EDGE('',*,*,#208019,.T.); #286955=ORIENTED_EDGE('',*,*,#208018,.T.); #286956=ORIENTED_EDGE('',*,*,#208020,.F.); #286957=ORIENTED_EDGE('',*,*,#208021,.F.); #286958=ORIENTED_EDGE('',*,*,#208022,.T.); #286959=ORIENTED_EDGE('',*,*,#208021,.T.); #286960=ORIENTED_EDGE('',*,*,#208023,.F.); #286961=ORIENTED_EDGE('',*,*,#208024,.F.); #286962=ORIENTED_EDGE('',*,*,#208025,.T.); #286963=ORIENTED_EDGE('',*,*,#208024,.T.); #286964=ORIENTED_EDGE('',*,*,#208026,.F.); #286965=ORIENTED_EDGE('',*,*,#208027,.F.); #286966=ORIENTED_EDGE('',*,*,#208028,.T.); #286967=ORIENTED_EDGE('',*,*,#208027,.T.); #286968=ORIENTED_EDGE('',*,*,#208029,.F.); #286969=ORIENTED_EDGE('',*,*,#208030,.F.); #286970=ORIENTED_EDGE('',*,*,#208031,.T.); #286971=ORIENTED_EDGE('',*,*,#208030,.T.); #286972=ORIENTED_EDGE('',*,*,#208032,.F.); #286973=ORIENTED_EDGE('',*,*,#207911,.F.); #286974=ORIENTED_EDGE('',*,*,#208032,.T.); #286975=ORIENTED_EDGE('',*,*,#208029,.T.); #286976=ORIENTED_EDGE('',*,*,#208026,.T.); #286977=ORIENTED_EDGE('',*,*,#208023,.T.); #286978=ORIENTED_EDGE('',*,*,#208020,.T.); #286979=ORIENTED_EDGE('',*,*,#208017,.T.); #286980=ORIENTED_EDGE('',*,*,#208014,.T.); #286981=ORIENTED_EDGE('',*,*,#208011,.T.); #286982=ORIENTED_EDGE('',*,*,#208008,.T.); #286983=ORIENTED_EDGE('',*,*,#208005,.T.); #286984=ORIENTED_EDGE('',*,*,#208002,.T.); #286985=ORIENTED_EDGE('',*,*,#207999,.T.); #286986=ORIENTED_EDGE('',*,*,#207996,.T.); #286987=ORIENTED_EDGE('',*,*,#207993,.T.); #286988=ORIENTED_EDGE('',*,*,#207990,.T.); #286989=ORIENTED_EDGE('',*,*,#207987,.T.); #286990=ORIENTED_EDGE('',*,*,#207984,.T.); #286991=ORIENTED_EDGE('',*,*,#207981,.T.); #286992=ORIENTED_EDGE('',*,*,#207978,.T.); #286993=ORIENTED_EDGE('',*,*,#207975,.T.); #286994=ORIENTED_EDGE('',*,*,#207972,.T.); #286995=ORIENTED_EDGE('',*,*,#207969,.T.); #286996=ORIENTED_EDGE('',*,*,#207966,.T.); #286997=ORIENTED_EDGE('',*,*,#207963,.T.); #286998=ORIENTED_EDGE('',*,*,#207960,.T.); #286999=ORIENTED_EDGE('',*,*,#207957,.T.); #287000=ORIENTED_EDGE('',*,*,#207954,.T.); #287001=ORIENTED_EDGE('',*,*,#207951,.T.); #287002=ORIENTED_EDGE('',*,*,#207948,.T.); #287003=ORIENTED_EDGE('',*,*,#207945,.T.); #287004=ORIENTED_EDGE('',*,*,#207942,.T.); #287005=ORIENTED_EDGE('',*,*,#207939,.T.); #287006=ORIENTED_EDGE('',*,*,#207936,.T.); #287007=ORIENTED_EDGE('',*,*,#207933,.T.); #287008=ORIENTED_EDGE('',*,*,#207930,.T.); #287009=ORIENTED_EDGE('',*,*,#207927,.T.); #287010=ORIENTED_EDGE('',*,*,#207924,.T.); #287011=ORIENTED_EDGE('',*,*,#207921,.T.); #287012=ORIENTED_EDGE('',*,*,#207918,.T.); #287013=ORIENTED_EDGE('',*,*,#207915,.T.); #287014=ORIENTED_EDGE('',*,*,#207912,.T.); #287015=ORIENTED_EDGE('',*,*,#207906,.T.); #287016=ORIENTED_EDGE('',*,*,#207909,.T.); #287017=ORIENTED_EDGE('',*,*,#208031,.F.); #287018=ORIENTED_EDGE('',*,*,#207910,.F.); #287019=ORIENTED_EDGE('',*,*,#207914,.F.); #287020=ORIENTED_EDGE('',*,*,#207917,.F.); #287021=ORIENTED_EDGE('',*,*,#207920,.F.); #287022=ORIENTED_EDGE('',*,*,#207923,.F.); #287023=ORIENTED_EDGE('',*,*,#207926,.F.); #287024=ORIENTED_EDGE('',*,*,#207929,.F.); #287025=ORIENTED_EDGE('',*,*,#207932,.F.); #287026=ORIENTED_EDGE('',*,*,#207935,.F.); #287027=ORIENTED_EDGE('',*,*,#207938,.F.); #287028=ORIENTED_EDGE('',*,*,#207941,.F.); #287029=ORIENTED_EDGE('',*,*,#207944,.F.); #287030=ORIENTED_EDGE('',*,*,#207947,.F.); #287031=ORIENTED_EDGE('',*,*,#207950,.F.); #287032=ORIENTED_EDGE('',*,*,#207953,.F.); #287033=ORIENTED_EDGE('',*,*,#207956,.F.); #287034=ORIENTED_EDGE('',*,*,#207959,.F.); #287035=ORIENTED_EDGE('',*,*,#207962,.F.); #287036=ORIENTED_EDGE('',*,*,#207965,.F.); #287037=ORIENTED_EDGE('',*,*,#207968,.F.); #287038=ORIENTED_EDGE('',*,*,#207971,.F.); #287039=ORIENTED_EDGE('',*,*,#207974,.F.); #287040=ORIENTED_EDGE('',*,*,#207977,.F.); #287041=ORIENTED_EDGE('',*,*,#207980,.F.); #287042=ORIENTED_EDGE('',*,*,#207983,.F.); #287043=ORIENTED_EDGE('',*,*,#207986,.F.); #287044=ORIENTED_EDGE('',*,*,#207989,.F.); #287045=ORIENTED_EDGE('',*,*,#207992,.F.); #287046=ORIENTED_EDGE('',*,*,#207995,.F.); #287047=ORIENTED_EDGE('',*,*,#207998,.F.); #287048=ORIENTED_EDGE('',*,*,#208001,.F.); #287049=ORIENTED_EDGE('',*,*,#208004,.F.); #287050=ORIENTED_EDGE('',*,*,#208007,.F.); #287051=ORIENTED_EDGE('',*,*,#208010,.F.); #287052=ORIENTED_EDGE('',*,*,#208013,.F.); #287053=ORIENTED_EDGE('',*,*,#208016,.F.); #287054=ORIENTED_EDGE('',*,*,#208019,.F.); #287055=ORIENTED_EDGE('',*,*,#208022,.F.); #287056=ORIENTED_EDGE('',*,*,#208025,.F.); #287057=ORIENTED_EDGE('',*,*,#208028,.F.); #287058=ORIENTED_EDGE('',*,*,#207904,.T.); #287059=ORIENTED_EDGE('',*,*,#207907,.T.); #287060=ORIENTED_EDGE('',*,*,#208033,.F.); #287061=ORIENTED_EDGE('',*,*,#208034,.T.); #287062=ORIENTED_EDGE('',*,*,#208035,.F.); #287063=ORIENTED_EDGE('',*,*,#208034,.F.); #287064=ORIENTED_EDGE('',*,*,#208036,.T.); #287065=ORIENTED_EDGE('',*,*,#208037,.T.); #287066=ORIENTED_EDGE('',*,*,#208038,.F.); #287067=ORIENTED_EDGE('',*,*,#208039,.F.); #287068=ORIENTED_EDGE('',*,*,#208040,.T.); #287069=ORIENTED_EDGE('',*,*,#208039,.T.); #287070=ORIENTED_EDGE('',*,*,#208041,.F.); #287071=ORIENTED_EDGE('',*,*,#208042,.F.); #287072=ORIENTED_EDGE('',*,*,#208043,.T.); #287073=ORIENTED_EDGE('',*,*,#208042,.T.); #287074=ORIENTED_EDGE('',*,*,#208044,.F.); #287075=ORIENTED_EDGE('',*,*,#208037,.F.); #287076=ORIENTED_EDGE('',*,*,#208044,.T.); #287077=ORIENTED_EDGE('',*,*,#208041,.T.); #287078=ORIENTED_EDGE('',*,*,#208038,.T.); #287079=ORIENTED_EDGE('',*,*,#208035,.T.); #287080=ORIENTED_EDGE('',*,*,#208043,.F.); #287081=ORIENTED_EDGE('',*,*,#208036,.F.); #287082=ORIENTED_EDGE('',*,*,#208040,.F.); #287083=ORIENTED_EDGE('',*,*,#208033,.T.); #287084=ORIENTED_EDGE('',*,*,#208045,.F.); #287085=ORIENTED_EDGE('',*,*,#208046,.T.); #287086=ORIENTED_EDGE('',*,*,#208047,.F.); #287087=ORIENTED_EDGE('',*,*,#208046,.F.); #287088=ORIENTED_EDGE('',*,*,#208048,.F.); #287089=ORIENTED_EDGE('',*,*,#208049,.T.); #287090=ORIENTED_EDGE('',*,*,#208050,.F.); #287091=ORIENTED_EDGE('',*,*,#208049,.F.); #287092=ORIENTED_EDGE('',*,*,#208051,.F.); #287093=ORIENTED_EDGE('',*,*,#208052,.T.); #287094=ORIENTED_EDGE('',*,*,#208053,.F.); #287095=ORIENTED_EDGE('',*,*,#208052,.F.); #287096=ORIENTED_EDGE('',*,*,#208054,.F.); #287097=ORIENTED_EDGE('',*,*,#208055,.T.); #287098=ORIENTED_EDGE('',*,*,#208056,.F.); #287099=ORIENTED_EDGE('',*,*,#208055,.F.); #287100=ORIENTED_EDGE('',*,*,#208057,.F.); #287101=ORIENTED_EDGE('',*,*,#208058,.T.); #287102=ORIENTED_EDGE('',*,*,#208059,.F.); #287103=ORIENTED_EDGE('',*,*,#208058,.F.); #287104=ORIENTED_EDGE('',*,*,#208060,.F.); #287105=ORIENTED_EDGE('',*,*,#208061,.T.); #287106=ORIENTED_EDGE('',*,*,#208062,.F.); #287107=ORIENTED_EDGE('',*,*,#208061,.F.); #287108=ORIENTED_EDGE('',*,*,#208063,.F.); #287109=ORIENTED_EDGE('',*,*,#208064,.T.); #287110=ORIENTED_EDGE('',*,*,#208065,.F.); #287111=ORIENTED_EDGE('',*,*,#208064,.F.); #287112=ORIENTED_EDGE('',*,*,#208066,.F.); #287113=ORIENTED_EDGE('',*,*,#208067,.T.); #287114=ORIENTED_EDGE('',*,*,#208068,.F.); #287115=ORIENTED_EDGE('',*,*,#208067,.F.); #287116=ORIENTED_EDGE('',*,*,#208069,.F.); #287117=ORIENTED_EDGE('',*,*,#208070,.T.); #287118=ORIENTED_EDGE('',*,*,#208071,.F.); #287119=ORIENTED_EDGE('',*,*,#208070,.F.); #287120=ORIENTED_EDGE('',*,*,#208072,.F.); #287121=ORIENTED_EDGE('',*,*,#208073,.T.); #287122=ORIENTED_EDGE('',*,*,#208074,.F.); #287123=ORIENTED_EDGE('',*,*,#208073,.F.); #287124=ORIENTED_EDGE('',*,*,#208075,.T.); #287125=ORIENTED_EDGE('',*,*,#208076,.T.); #287126=ORIENTED_EDGE('',*,*,#208077,.F.); #287127=ORIENTED_EDGE('',*,*,#208078,.F.); #287128=ORIENTED_EDGE('',*,*,#208079,.T.); #287129=ORIENTED_EDGE('',*,*,#208078,.T.); #287130=ORIENTED_EDGE('',*,*,#208080,.F.); #287131=ORIENTED_EDGE('',*,*,#208081,.F.); #287132=ORIENTED_EDGE('',*,*,#208082,.T.); #287133=ORIENTED_EDGE('',*,*,#208081,.T.); #287134=ORIENTED_EDGE('',*,*,#208083,.F.); #287135=ORIENTED_EDGE('',*,*,#208084,.F.); #287136=ORIENTED_EDGE('',*,*,#208085,.T.); #287137=ORIENTED_EDGE('',*,*,#208084,.T.); #287138=ORIENTED_EDGE('',*,*,#208086,.F.); #287139=ORIENTED_EDGE('',*,*,#208087,.F.); #287140=ORIENTED_EDGE('',*,*,#208088,.T.); #287141=ORIENTED_EDGE('',*,*,#208087,.T.); #287142=ORIENTED_EDGE('',*,*,#208089,.F.); #287143=ORIENTED_EDGE('',*,*,#208090,.F.); #287144=ORIENTED_EDGE('',*,*,#208091,.T.); #287145=ORIENTED_EDGE('',*,*,#208090,.T.); #287146=ORIENTED_EDGE('',*,*,#208092,.F.); #287147=ORIENTED_EDGE('',*,*,#208093,.F.); #287148=ORIENTED_EDGE('',*,*,#208094,.T.); #287149=ORIENTED_EDGE('',*,*,#208093,.T.); #287150=ORIENTED_EDGE('',*,*,#208095,.F.); #287151=ORIENTED_EDGE('',*,*,#208096,.F.); #287152=ORIENTED_EDGE('',*,*,#208097,.T.); #287153=ORIENTED_EDGE('',*,*,#208096,.T.); #287154=ORIENTED_EDGE('',*,*,#208098,.F.); #287155=ORIENTED_EDGE('',*,*,#208099,.F.); #287156=ORIENTED_EDGE('',*,*,#208100,.T.); #287157=ORIENTED_EDGE('',*,*,#208099,.T.); #287158=ORIENTED_EDGE('',*,*,#208101,.F.); #287159=ORIENTED_EDGE('',*,*,#208102,.F.); #287160=ORIENTED_EDGE('',*,*,#208103,.T.); #287161=ORIENTED_EDGE('',*,*,#208102,.T.); #287162=ORIENTED_EDGE('',*,*,#208104,.F.); #287163=ORIENTED_EDGE('',*,*,#208105,.F.); #287164=ORIENTED_EDGE('',*,*,#208106,.T.); #287165=ORIENTED_EDGE('',*,*,#208105,.T.); #287166=ORIENTED_EDGE('',*,*,#208107,.F.); #287167=ORIENTED_EDGE('',*,*,#208108,.F.); #287168=ORIENTED_EDGE('',*,*,#208109,.T.); #287169=ORIENTED_EDGE('',*,*,#208108,.T.); #287170=ORIENTED_EDGE('',*,*,#208110,.F.); #287171=ORIENTED_EDGE('',*,*,#208111,.F.); #287172=ORIENTED_EDGE('',*,*,#208112,.T.); #287173=ORIENTED_EDGE('',*,*,#208111,.T.); #287174=ORIENTED_EDGE('',*,*,#208113,.F.); #287175=ORIENTED_EDGE('',*,*,#208114,.F.); #287176=ORIENTED_EDGE('',*,*,#208115,.T.); #287177=ORIENTED_EDGE('',*,*,#208114,.T.); #287178=ORIENTED_EDGE('',*,*,#208116,.F.); #287179=ORIENTED_EDGE('',*,*,#208117,.F.); #287180=ORIENTED_EDGE('',*,*,#208118,.T.); #287181=ORIENTED_EDGE('',*,*,#208117,.T.); #287182=ORIENTED_EDGE('',*,*,#208119,.F.); #287183=ORIENTED_EDGE('',*,*,#208120,.F.); #287184=ORIENTED_EDGE('',*,*,#208121,.T.); #287185=ORIENTED_EDGE('',*,*,#208120,.T.); #287186=ORIENTED_EDGE('',*,*,#208122,.F.); #287187=ORIENTED_EDGE('',*,*,#208123,.F.); #287188=ORIENTED_EDGE('',*,*,#208124,.T.); #287189=ORIENTED_EDGE('',*,*,#208123,.T.); #287190=ORIENTED_EDGE('',*,*,#208125,.F.); #287191=ORIENTED_EDGE('',*,*,#208126,.F.); #287192=ORIENTED_EDGE('',*,*,#208127,.T.); #287193=ORIENTED_EDGE('',*,*,#208126,.T.); #287194=ORIENTED_EDGE('',*,*,#208128,.F.); #287195=ORIENTED_EDGE('',*,*,#208129,.F.); #287196=ORIENTED_EDGE('',*,*,#208130,.T.); #287197=ORIENTED_EDGE('',*,*,#208129,.T.); #287198=ORIENTED_EDGE('',*,*,#208131,.F.); #287199=ORIENTED_EDGE('',*,*,#208132,.F.); #287200=ORIENTED_EDGE('',*,*,#208133,.T.); #287201=ORIENTED_EDGE('',*,*,#208132,.T.); #287202=ORIENTED_EDGE('',*,*,#208134,.F.); #287203=ORIENTED_EDGE('',*,*,#208135,.F.); #287204=ORIENTED_EDGE('',*,*,#208136,.T.); #287205=ORIENTED_EDGE('',*,*,#208135,.T.); #287206=ORIENTED_EDGE('',*,*,#208137,.F.); #287207=ORIENTED_EDGE('',*,*,#208138,.F.); #287208=ORIENTED_EDGE('',*,*,#208139,.T.); #287209=ORIENTED_EDGE('',*,*,#208138,.T.); #287210=ORIENTED_EDGE('',*,*,#208140,.F.); #287211=ORIENTED_EDGE('',*,*,#208141,.F.); #287212=ORIENTED_EDGE('',*,*,#208142,.T.); #287213=ORIENTED_EDGE('',*,*,#208141,.T.); #287214=ORIENTED_EDGE('',*,*,#208143,.F.); #287215=ORIENTED_EDGE('',*,*,#208144,.F.); #287216=ORIENTED_EDGE('',*,*,#208145,.T.); #287217=ORIENTED_EDGE('',*,*,#208144,.T.); #287218=ORIENTED_EDGE('',*,*,#208146,.F.); #287219=ORIENTED_EDGE('',*,*,#208076,.F.); #287220=ORIENTED_EDGE('',*,*,#208146,.T.); #287221=ORIENTED_EDGE('',*,*,#208143,.T.); #287222=ORIENTED_EDGE('',*,*,#208140,.T.); #287223=ORIENTED_EDGE('',*,*,#208137,.T.); #287224=ORIENTED_EDGE('',*,*,#208134,.T.); #287225=ORIENTED_EDGE('',*,*,#208131,.T.); #287226=ORIENTED_EDGE('',*,*,#208128,.T.); #287227=ORIENTED_EDGE('',*,*,#208125,.T.); #287228=ORIENTED_EDGE('',*,*,#208122,.T.); #287229=ORIENTED_EDGE('',*,*,#208119,.T.); #287230=ORIENTED_EDGE('',*,*,#208116,.T.); #287231=ORIENTED_EDGE('',*,*,#208113,.T.); #287232=ORIENTED_EDGE('',*,*,#208110,.T.); #287233=ORIENTED_EDGE('',*,*,#208107,.T.); #287234=ORIENTED_EDGE('',*,*,#208104,.T.); #287235=ORIENTED_EDGE('',*,*,#208101,.T.); #287236=ORIENTED_EDGE('',*,*,#208098,.T.); #287237=ORIENTED_EDGE('',*,*,#208095,.T.); #287238=ORIENTED_EDGE('',*,*,#208092,.T.); #287239=ORIENTED_EDGE('',*,*,#208089,.T.); #287240=ORIENTED_EDGE('',*,*,#208086,.T.); #287241=ORIENTED_EDGE('',*,*,#208083,.T.); #287242=ORIENTED_EDGE('',*,*,#208080,.T.); #287243=ORIENTED_EDGE('',*,*,#208077,.T.); #287244=ORIENTED_EDGE('',*,*,#208047,.T.); #287245=ORIENTED_EDGE('',*,*,#208050,.T.); #287246=ORIENTED_EDGE('',*,*,#208053,.T.); #287247=ORIENTED_EDGE('',*,*,#208056,.T.); #287248=ORIENTED_EDGE('',*,*,#208059,.T.); #287249=ORIENTED_EDGE('',*,*,#208062,.T.); #287250=ORIENTED_EDGE('',*,*,#208065,.T.); #287251=ORIENTED_EDGE('',*,*,#208068,.T.); #287252=ORIENTED_EDGE('',*,*,#208071,.T.); #287253=ORIENTED_EDGE('',*,*,#208074,.T.); #287254=ORIENTED_EDGE('',*,*,#208145,.F.); #287255=ORIENTED_EDGE('',*,*,#208075,.F.); #287256=ORIENTED_EDGE('',*,*,#208079,.F.); #287257=ORIENTED_EDGE('',*,*,#208082,.F.); #287258=ORIENTED_EDGE('',*,*,#208085,.F.); #287259=ORIENTED_EDGE('',*,*,#208088,.F.); #287260=ORIENTED_EDGE('',*,*,#208091,.F.); #287261=ORIENTED_EDGE('',*,*,#208094,.F.); #287262=ORIENTED_EDGE('',*,*,#208097,.F.); #287263=ORIENTED_EDGE('',*,*,#208100,.F.); #287264=ORIENTED_EDGE('',*,*,#208103,.F.); #287265=ORIENTED_EDGE('',*,*,#208106,.F.); #287266=ORIENTED_EDGE('',*,*,#208109,.F.); #287267=ORIENTED_EDGE('',*,*,#208112,.F.); #287268=ORIENTED_EDGE('',*,*,#208115,.F.); #287269=ORIENTED_EDGE('',*,*,#208118,.F.); #287270=ORIENTED_EDGE('',*,*,#208121,.F.); #287271=ORIENTED_EDGE('',*,*,#208124,.F.); #287272=ORIENTED_EDGE('',*,*,#208127,.F.); #287273=ORIENTED_EDGE('',*,*,#208130,.F.); #287274=ORIENTED_EDGE('',*,*,#208133,.F.); #287275=ORIENTED_EDGE('',*,*,#208136,.F.); #287276=ORIENTED_EDGE('',*,*,#208139,.F.); #287277=ORIENTED_EDGE('',*,*,#208142,.F.); #287278=ORIENTED_EDGE('',*,*,#208045,.T.); #287279=ORIENTED_EDGE('',*,*,#208048,.T.); #287280=ORIENTED_EDGE('',*,*,#208051,.T.); #287281=ORIENTED_EDGE('',*,*,#208054,.T.); #287282=ORIENTED_EDGE('',*,*,#208057,.T.); #287283=ORIENTED_EDGE('',*,*,#208060,.T.); #287284=ORIENTED_EDGE('',*,*,#208063,.T.); #287285=ORIENTED_EDGE('',*,*,#208066,.T.); #287286=ORIENTED_EDGE('',*,*,#208069,.T.); #287287=ORIENTED_EDGE('',*,*,#208072,.T.); #287288=ORIENTED_EDGE('',*,*,#208147,.F.); #287289=ORIENTED_EDGE('',*,*,#208148,.T.); #287290=ORIENTED_EDGE('',*,*,#208149,.F.); #287291=ORIENTED_EDGE('',*,*,#208148,.F.); #287292=ORIENTED_EDGE('',*,*,#208150,.T.); #287293=ORIENTED_EDGE('',*,*,#208151,.T.); #287294=ORIENTED_EDGE('',*,*,#208152,.F.); #287295=ORIENTED_EDGE('',*,*,#208153,.F.); #287296=ORIENTED_EDGE('',*,*,#208154,.T.); #287297=ORIENTED_EDGE('',*,*,#208153,.T.); #287298=ORIENTED_EDGE('',*,*,#208155,.F.); #287299=ORIENTED_EDGE('',*,*,#208156,.F.); #287300=ORIENTED_EDGE('',*,*,#208157,.T.); #287301=ORIENTED_EDGE('',*,*,#208156,.T.); #287302=ORIENTED_EDGE('',*,*,#208158,.F.); #287303=ORIENTED_EDGE('',*,*,#208151,.F.); #287304=ORIENTED_EDGE('',*,*,#208158,.T.); #287305=ORIENTED_EDGE('',*,*,#208155,.T.); #287306=ORIENTED_EDGE('',*,*,#208152,.T.); #287307=ORIENTED_EDGE('',*,*,#208149,.T.); #287308=ORIENTED_EDGE('',*,*,#208157,.F.); #287309=ORIENTED_EDGE('',*,*,#208150,.F.); #287310=ORIENTED_EDGE('',*,*,#208154,.F.); #287311=ORIENTED_EDGE('',*,*,#208147,.T.); #287312=ORIENTED_EDGE('',*,*,#208159,.F.); #287313=ORIENTED_EDGE('',*,*,#208160,.T.); #287314=ORIENTED_EDGE('',*,*,#208161,.F.); #287315=ORIENTED_EDGE('',*,*,#208160,.F.); #287316=ORIENTED_EDGE('',*,*,#208162,.T.); #287317=ORIENTED_EDGE('',*,*,#208163,.T.); #287318=ORIENTED_EDGE('',*,*,#208164,.F.); #287319=ORIENTED_EDGE('',*,*,#208165,.F.); #287320=ORIENTED_EDGE('',*,*,#208166,.T.); #287321=ORIENTED_EDGE('',*,*,#208165,.T.); #287322=ORIENTED_EDGE('',*,*,#208167,.F.); #287323=ORIENTED_EDGE('',*,*,#208168,.F.); #287324=ORIENTED_EDGE('',*,*,#208169,.T.); #287325=ORIENTED_EDGE('',*,*,#208168,.T.); #287326=ORIENTED_EDGE('',*,*,#208170,.F.); #287327=ORIENTED_EDGE('',*,*,#208163,.F.); #287328=ORIENTED_EDGE('',*,*,#208170,.T.); #287329=ORIENTED_EDGE('',*,*,#208167,.T.); #287330=ORIENTED_EDGE('',*,*,#208164,.T.); #287331=ORIENTED_EDGE('',*,*,#208161,.T.); #287332=ORIENTED_EDGE('',*,*,#208169,.F.); #287333=ORIENTED_EDGE('',*,*,#208162,.F.); #287334=ORIENTED_EDGE('',*,*,#208166,.F.); #287335=ORIENTED_EDGE('',*,*,#208159,.T.); #287336=ORIENTED_EDGE('',*,*,#208171,.F.); #287337=ORIENTED_EDGE('',*,*,#208172,.T.); #287338=ORIENTED_EDGE('',*,*,#208173,.F.); #287339=ORIENTED_EDGE('',*,*,#208172,.F.); #287340=ORIENTED_EDGE('',*,*,#208174,.T.); #287341=ORIENTED_EDGE('',*,*,#208175,.T.); #287342=ORIENTED_EDGE('',*,*,#208176,.F.); #287343=ORIENTED_EDGE('',*,*,#208177,.F.); #287344=ORIENTED_EDGE('',*,*,#208178,.T.); #287345=ORIENTED_EDGE('',*,*,#208177,.T.); #287346=ORIENTED_EDGE('',*,*,#208179,.F.); #287347=ORIENTED_EDGE('',*,*,#208180,.F.); #287348=ORIENTED_EDGE('',*,*,#208181,.T.); #287349=ORIENTED_EDGE('',*,*,#208180,.T.); #287350=ORIENTED_EDGE('',*,*,#208182,.F.); #287351=ORIENTED_EDGE('',*,*,#208175,.F.); #287352=ORIENTED_EDGE('',*,*,#208182,.T.); #287353=ORIENTED_EDGE('',*,*,#208179,.T.); #287354=ORIENTED_EDGE('',*,*,#208176,.T.); #287355=ORIENTED_EDGE('',*,*,#208173,.T.); #287356=ORIENTED_EDGE('',*,*,#208181,.F.); #287357=ORIENTED_EDGE('',*,*,#208174,.F.); #287358=ORIENTED_EDGE('',*,*,#208178,.F.); #287359=ORIENTED_EDGE('',*,*,#208171,.T.); #287360=ORIENTED_EDGE('',*,*,#208183,.F.); #287361=ORIENTED_EDGE('',*,*,#208184,.T.); #287362=ORIENTED_EDGE('',*,*,#208185,.F.); #287363=ORIENTED_EDGE('',*,*,#208184,.F.); #287364=ORIENTED_EDGE('',*,*,#208186,.T.); #287365=ORIENTED_EDGE('',*,*,#208187,.T.); #287366=ORIENTED_EDGE('',*,*,#208188,.F.); #287367=ORIENTED_EDGE('',*,*,#208189,.F.); #287368=ORIENTED_EDGE('',*,*,#208190,.T.); #287369=ORIENTED_EDGE('',*,*,#208189,.T.); #287370=ORIENTED_EDGE('',*,*,#208191,.F.); #287371=ORIENTED_EDGE('',*,*,#208192,.F.); #287372=ORIENTED_EDGE('',*,*,#208193,.T.); #287373=ORIENTED_EDGE('',*,*,#208192,.T.); #287374=ORIENTED_EDGE('',*,*,#208194,.F.); #287375=ORIENTED_EDGE('',*,*,#208195,.F.); #287376=ORIENTED_EDGE('',*,*,#208196,.T.); #287377=ORIENTED_EDGE('',*,*,#208195,.T.); #287378=ORIENTED_EDGE('',*,*,#208197,.F.); #287379=ORIENTED_EDGE('',*,*,#208198,.F.); #287380=ORIENTED_EDGE('',*,*,#208199,.T.); #287381=ORIENTED_EDGE('',*,*,#208198,.T.); #287382=ORIENTED_EDGE('',*,*,#208200,.F.); #287383=ORIENTED_EDGE('',*,*,#208201,.F.); #287384=ORIENTED_EDGE('',*,*,#208202,.T.); #287385=ORIENTED_EDGE('',*,*,#208201,.T.); #287386=ORIENTED_EDGE('',*,*,#208203,.F.); #287387=ORIENTED_EDGE('',*,*,#208204,.F.); #287388=ORIENTED_EDGE('',*,*,#208205,.T.); #287389=ORIENTED_EDGE('',*,*,#208204,.T.); #287390=ORIENTED_EDGE('',*,*,#208206,.F.); #287391=ORIENTED_EDGE('',*,*,#208207,.F.); #287392=ORIENTED_EDGE('',*,*,#208208,.T.); #287393=ORIENTED_EDGE('',*,*,#208207,.T.); #287394=ORIENTED_EDGE('',*,*,#208209,.F.); #287395=ORIENTED_EDGE('',*,*,#208187,.F.); #287396=ORIENTED_EDGE('',*,*,#208209,.T.); #287397=ORIENTED_EDGE('',*,*,#208206,.T.); #287398=ORIENTED_EDGE('',*,*,#208203,.T.); #287399=ORIENTED_EDGE('',*,*,#208200,.T.); #287400=ORIENTED_EDGE('',*,*,#208197,.T.); #287401=ORIENTED_EDGE('',*,*,#208194,.T.); #287402=ORIENTED_EDGE('',*,*,#208191,.T.); #287403=ORIENTED_EDGE('',*,*,#208188,.T.); #287404=ORIENTED_EDGE('',*,*,#208185,.T.); #287405=ORIENTED_EDGE('',*,*,#208208,.F.); #287406=ORIENTED_EDGE('',*,*,#208186,.F.); #287407=ORIENTED_EDGE('',*,*,#208190,.F.); #287408=ORIENTED_EDGE('',*,*,#208193,.F.); #287409=ORIENTED_EDGE('',*,*,#208196,.F.); #287410=ORIENTED_EDGE('',*,*,#208199,.F.); #287411=ORIENTED_EDGE('',*,*,#208202,.F.); #287412=ORIENTED_EDGE('',*,*,#208205,.F.); #287413=ORIENTED_EDGE('',*,*,#208183,.T.); #287414=ORIENTED_EDGE('',*,*,#208210,.F.); #287415=ORIENTED_EDGE('',*,*,#208211,.T.); #287416=ORIENTED_EDGE('',*,*,#208212,.F.); #287417=ORIENTED_EDGE('',*,*,#208211,.F.); #287418=ORIENTED_EDGE('',*,*,#208213,.T.); #287419=ORIENTED_EDGE('',*,*,#208214,.T.); #287420=ORIENTED_EDGE('',*,*,#208215,.F.); #287421=ORIENTED_EDGE('',*,*,#208216,.F.); #287422=ORIENTED_EDGE('',*,*,#208217,.T.); #287423=ORIENTED_EDGE('',*,*,#208216,.T.); #287424=ORIENTED_EDGE('',*,*,#208218,.F.); #287425=ORIENTED_EDGE('',*,*,#208219,.F.); #287426=ORIENTED_EDGE('',*,*,#208220,.T.); #287427=ORIENTED_EDGE('',*,*,#208219,.T.); #287428=ORIENTED_EDGE('',*,*,#208221,.F.); #287429=ORIENTED_EDGE('',*,*,#208214,.F.); #287430=ORIENTED_EDGE('',*,*,#208221,.T.); #287431=ORIENTED_EDGE('',*,*,#208218,.T.); #287432=ORIENTED_EDGE('',*,*,#208215,.T.); #287433=ORIENTED_EDGE('',*,*,#208212,.T.); #287434=ORIENTED_EDGE('',*,*,#208220,.F.); #287435=ORIENTED_EDGE('',*,*,#208213,.F.); #287436=ORIENTED_EDGE('',*,*,#208217,.F.); #287437=ORIENTED_EDGE('',*,*,#208210,.T.); #287438=ORIENTED_EDGE('',*,*,#208222,.F.); #287439=ORIENTED_EDGE('',*,*,#208223,.T.); #287440=ORIENTED_EDGE('',*,*,#208224,.F.); #287441=ORIENTED_EDGE('',*,*,#208223,.F.); #287442=ORIENTED_EDGE('',*,*,#208225,.T.); #287443=ORIENTED_EDGE('',*,*,#208226,.T.); #287444=ORIENTED_EDGE('',*,*,#208227,.F.); #287445=ORIENTED_EDGE('',*,*,#208228,.F.); #287446=ORIENTED_EDGE('',*,*,#208229,.T.); #287447=ORIENTED_EDGE('',*,*,#208228,.T.); #287448=ORIENTED_EDGE('',*,*,#208230,.F.); #287449=ORIENTED_EDGE('',*,*,#208231,.F.); #287450=ORIENTED_EDGE('',*,*,#208232,.T.); #287451=ORIENTED_EDGE('',*,*,#208231,.T.); #287452=ORIENTED_EDGE('',*,*,#208233,.F.); #287453=ORIENTED_EDGE('',*,*,#208234,.F.); #287454=ORIENTED_EDGE('',*,*,#208235,.T.); #287455=ORIENTED_EDGE('',*,*,#208234,.T.); #287456=ORIENTED_EDGE('',*,*,#208236,.F.); #287457=ORIENTED_EDGE('',*,*,#208226,.F.); #287458=ORIENTED_EDGE('',*,*,#208236,.T.); #287459=ORIENTED_EDGE('',*,*,#208233,.T.); #287460=ORIENTED_EDGE('',*,*,#208230,.T.); #287461=ORIENTED_EDGE('',*,*,#208227,.T.); #287462=ORIENTED_EDGE('',*,*,#208224,.T.); #287463=ORIENTED_EDGE('',*,*,#208235,.F.); #287464=ORIENTED_EDGE('',*,*,#208225,.F.); #287465=ORIENTED_EDGE('',*,*,#208229,.F.); #287466=ORIENTED_EDGE('',*,*,#208232,.F.); #287467=ORIENTED_EDGE('',*,*,#208222,.T.); #287468=ORIENTED_EDGE('',*,*,#208237,.F.); #287469=ORIENTED_EDGE('',*,*,#208238,.T.); #287470=ORIENTED_EDGE('',*,*,#208239,.F.); #287471=ORIENTED_EDGE('',*,*,#208238,.F.); #287472=ORIENTED_EDGE('',*,*,#208240,.T.); #287473=ORIENTED_EDGE('',*,*,#208241,.T.); #287474=ORIENTED_EDGE('',*,*,#208242,.F.); #287475=ORIENTED_EDGE('',*,*,#208243,.F.); #287476=ORIENTED_EDGE('',*,*,#208244,.T.); #287477=ORIENTED_EDGE('',*,*,#208243,.T.); #287478=ORIENTED_EDGE('',*,*,#208245,.F.); #287479=ORIENTED_EDGE('',*,*,#208246,.F.); #287480=ORIENTED_EDGE('',*,*,#208247,.T.); #287481=ORIENTED_EDGE('',*,*,#208246,.T.); #287482=ORIENTED_EDGE('',*,*,#208248,.F.); #287483=ORIENTED_EDGE('',*,*,#208241,.F.); #287484=ORIENTED_EDGE('',*,*,#208248,.T.); #287485=ORIENTED_EDGE('',*,*,#208245,.T.); #287486=ORIENTED_EDGE('',*,*,#208242,.T.); #287487=ORIENTED_EDGE('',*,*,#208239,.T.); #287488=ORIENTED_EDGE('',*,*,#208247,.F.); #287489=ORIENTED_EDGE('',*,*,#208240,.F.); #287490=ORIENTED_EDGE('',*,*,#208244,.F.); #287491=ORIENTED_EDGE('',*,*,#208237,.T.); #287492=ORIENTED_EDGE('',*,*,#208249,.F.); #287493=ORIENTED_EDGE('',*,*,#208250,.F.); #287494=ORIENTED_EDGE('',*,*,#208251,.F.); #287495=ORIENTED_EDGE('',*,*,#208252,.T.); #287496=ORIENTED_EDGE('',*,*,#208253,.F.); #287497=ORIENTED_EDGE('',*,*,#208252,.F.); #287498=ORIENTED_EDGE('',*,*,#208254,.F.); #287499=ORIENTED_EDGE('',*,*,#208255,.T.); #287500=ORIENTED_EDGE('',*,*,#208256,.F.); #287501=ORIENTED_EDGE('',*,*,#208255,.F.); #287502=ORIENTED_EDGE('',*,*,#208257,.F.); #287503=ORIENTED_EDGE('',*,*,#208258,.T.); #287504=ORIENTED_EDGE('',*,*,#208259,.F.); #287505=ORIENTED_EDGE('',*,*,#208258,.F.); #287506=ORIENTED_EDGE('',*,*,#208260,.F.); #287507=ORIENTED_EDGE('',*,*,#208250,.T.); #287508=ORIENTED_EDGE('',*,*,#208261,.T.); #287509=ORIENTED_EDGE('',*,*,#208262,.T.); #287510=ORIENTED_EDGE('',*,*,#208263,.F.); #287511=ORIENTED_EDGE('',*,*,#208264,.F.); #287512=ORIENTED_EDGE('',*,*,#208265,.T.); #287513=ORIENTED_EDGE('',*,*,#208264,.T.); #287514=ORIENTED_EDGE('',*,*,#208266,.F.); #287515=ORIENTED_EDGE('',*,*,#208267,.F.); #287516=ORIENTED_EDGE('',*,*,#208268,.T.); #287517=ORIENTED_EDGE('',*,*,#208267,.T.); #287518=ORIENTED_EDGE('',*,*,#208269,.F.); #287519=ORIENTED_EDGE('',*,*,#208270,.F.); #287520=ORIENTED_EDGE('',*,*,#208271,.T.); #287521=ORIENTED_EDGE('',*,*,#208270,.T.); #287522=ORIENTED_EDGE('',*,*,#208272,.F.); #287523=ORIENTED_EDGE('',*,*,#208273,.F.); #287524=ORIENTED_EDGE('',*,*,#208274,.T.); #287525=ORIENTED_EDGE('',*,*,#208273,.T.); #287526=ORIENTED_EDGE('',*,*,#208275,.F.); #287527=ORIENTED_EDGE('',*,*,#208276,.F.); #287528=ORIENTED_EDGE('',*,*,#208277,.T.); #287529=ORIENTED_EDGE('',*,*,#208276,.T.); #287530=ORIENTED_EDGE('',*,*,#208278,.F.); #287531=ORIENTED_EDGE('',*,*,#208279,.F.); #287532=ORIENTED_EDGE('',*,*,#208280,.T.); #287533=ORIENTED_EDGE('',*,*,#208279,.T.); #287534=ORIENTED_EDGE('',*,*,#208281,.F.); #287535=ORIENTED_EDGE('',*,*,#208282,.F.); #287536=ORIENTED_EDGE('',*,*,#208283,.T.); #287537=ORIENTED_EDGE('',*,*,#208282,.T.); #287538=ORIENTED_EDGE('',*,*,#208284,.F.); #287539=ORIENTED_EDGE('',*,*,#208285,.F.); #287540=ORIENTED_EDGE('',*,*,#208286,.T.); #287541=ORIENTED_EDGE('',*,*,#208285,.T.); #287542=ORIENTED_EDGE('',*,*,#208287,.F.); #287543=ORIENTED_EDGE('',*,*,#208288,.F.); #287544=ORIENTED_EDGE('',*,*,#208289,.T.); #287545=ORIENTED_EDGE('',*,*,#208288,.T.); #287546=ORIENTED_EDGE('',*,*,#208290,.F.); #287547=ORIENTED_EDGE('',*,*,#208291,.F.); #287548=ORIENTED_EDGE('',*,*,#208292,.T.); #287549=ORIENTED_EDGE('',*,*,#208291,.T.); #287550=ORIENTED_EDGE('',*,*,#208293,.F.); #287551=ORIENTED_EDGE('',*,*,#208294,.F.); #287552=ORIENTED_EDGE('',*,*,#208295,.T.); #287553=ORIENTED_EDGE('',*,*,#208294,.T.); #287554=ORIENTED_EDGE('',*,*,#208296,.F.); #287555=ORIENTED_EDGE('',*,*,#208297,.F.); #287556=ORIENTED_EDGE('',*,*,#208298,.T.); #287557=ORIENTED_EDGE('',*,*,#208297,.T.); #287558=ORIENTED_EDGE('',*,*,#208299,.F.); #287559=ORIENTED_EDGE('',*,*,#208300,.F.); #287560=ORIENTED_EDGE('',*,*,#208301,.T.); #287561=ORIENTED_EDGE('',*,*,#208300,.T.); #287562=ORIENTED_EDGE('',*,*,#208302,.F.); #287563=ORIENTED_EDGE('',*,*,#208303,.F.); #287564=ORIENTED_EDGE('',*,*,#208304,.T.); #287565=ORIENTED_EDGE('',*,*,#208303,.T.); #287566=ORIENTED_EDGE('',*,*,#208305,.F.); #287567=ORIENTED_EDGE('',*,*,#208306,.F.); #287568=ORIENTED_EDGE('',*,*,#208307,.T.); #287569=ORIENTED_EDGE('',*,*,#208306,.T.); #287570=ORIENTED_EDGE('',*,*,#208308,.F.); #287571=ORIENTED_EDGE('',*,*,#208309,.F.); #287572=ORIENTED_EDGE('',*,*,#208310,.T.); #287573=ORIENTED_EDGE('',*,*,#208309,.T.); #287574=ORIENTED_EDGE('',*,*,#208311,.F.); #287575=ORIENTED_EDGE('',*,*,#208312,.F.); #287576=ORIENTED_EDGE('',*,*,#208313,.T.); #287577=ORIENTED_EDGE('',*,*,#208312,.T.); #287578=ORIENTED_EDGE('',*,*,#208314,.F.); #287579=ORIENTED_EDGE('',*,*,#208315,.F.); #287580=ORIENTED_EDGE('',*,*,#208316,.T.); #287581=ORIENTED_EDGE('',*,*,#208315,.T.); #287582=ORIENTED_EDGE('',*,*,#208317,.F.); #287583=ORIENTED_EDGE('',*,*,#208318,.F.); #287584=ORIENTED_EDGE('',*,*,#208319,.T.); #287585=ORIENTED_EDGE('',*,*,#208318,.T.); #287586=ORIENTED_EDGE('',*,*,#208320,.F.); #287587=ORIENTED_EDGE('',*,*,#208321,.F.); #287588=ORIENTED_EDGE('',*,*,#208322,.T.); #287589=ORIENTED_EDGE('',*,*,#208321,.T.); #287590=ORIENTED_EDGE('',*,*,#208323,.F.); #287591=ORIENTED_EDGE('',*,*,#208324,.F.); #287592=ORIENTED_EDGE('',*,*,#208325,.T.); #287593=ORIENTED_EDGE('',*,*,#208324,.T.); #287594=ORIENTED_EDGE('',*,*,#208326,.F.); #287595=ORIENTED_EDGE('',*,*,#208327,.F.); #287596=ORIENTED_EDGE('',*,*,#208328,.T.); #287597=ORIENTED_EDGE('',*,*,#208327,.T.); #287598=ORIENTED_EDGE('',*,*,#208329,.F.); #287599=ORIENTED_EDGE('',*,*,#208330,.F.); #287600=ORIENTED_EDGE('',*,*,#208331,.T.); #287601=ORIENTED_EDGE('',*,*,#208330,.T.); #287602=ORIENTED_EDGE('',*,*,#208332,.F.); #287603=ORIENTED_EDGE('',*,*,#208333,.F.); #287604=ORIENTED_EDGE('',*,*,#208334,.T.); #287605=ORIENTED_EDGE('',*,*,#208333,.T.); #287606=ORIENTED_EDGE('',*,*,#208335,.F.); #287607=ORIENTED_EDGE('',*,*,#208336,.F.); #287608=ORIENTED_EDGE('',*,*,#208337,.T.); #287609=ORIENTED_EDGE('',*,*,#208336,.T.); #287610=ORIENTED_EDGE('',*,*,#208338,.F.); #287611=ORIENTED_EDGE('',*,*,#208339,.F.); #287612=ORIENTED_EDGE('',*,*,#208340,.T.); #287613=ORIENTED_EDGE('',*,*,#208339,.T.); #287614=ORIENTED_EDGE('',*,*,#208341,.F.); #287615=ORIENTED_EDGE('',*,*,#208342,.F.); #287616=ORIENTED_EDGE('',*,*,#208343,.T.); #287617=ORIENTED_EDGE('',*,*,#208342,.T.); #287618=ORIENTED_EDGE('',*,*,#208344,.F.); #287619=ORIENTED_EDGE('',*,*,#208345,.F.); #287620=ORIENTED_EDGE('',*,*,#208346,.T.); #287621=ORIENTED_EDGE('',*,*,#208345,.T.); #287622=ORIENTED_EDGE('',*,*,#208347,.F.); #287623=ORIENTED_EDGE('',*,*,#208348,.F.); #287624=ORIENTED_EDGE('',*,*,#208349,.T.); #287625=ORIENTED_EDGE('',*,*,#208348,.T.); #287626=ORIENTED_EDGE('',*,*,#208350,.F.); #287627=ORIENTED_EDGE('',*,*,#208351,.F.); #287628=ORIENTED_EDGE('',*,*,#208352,.T.); #287629=ORIENTED_EDGE('',*,*,#208351,.T.); #287630=ORIENTED_EDGE('',*,*,#208353,.F.); #287631=ORIENTED_EDGE('',*,*,#208354,.F.); #287632=ORIENTED_EDGE('',*,*,#208355,.T.); #287633=ORIENTED_EDGE('',*,*,#208354,.T.); #287634=ORIENTED_EDGE('',*,*,#208356,.F.); #287635=ORIENTED_EDGE('',*,*,#208357,.F.); #287636=ORIENTED_EDGE('',*,*,#208358,.T.); #287637=ORIENTED_EDGE('',*,*,#208357,.T.); #287638=ORIENTED_EDGE('',*,*,#208359,.F.); #287639=ORIENTED_EDGE('',*,*,#208360,.F.); #287640=ORIENTED_EDGE('',*,*,#208361,.T.); #287641=ORIENTED_EDGE('',*,*,#208360,.T.); #287642=ORIENTED_EDGE('',*,*,#208362,.F.); #287643=ORIENTED_EDGE('',*,*,#208363,.F.); #287644=ORIENTED_EDGE('',*,*,#208364,.T.); #287645=ORIENTED_EDGE('',*,*,#208363,.T.); #287646=ORIENTED_EDGE('',*,*,#208365,.F.); #287647=ORIENTED_EDGE('',*,*,#208366,.F.); #287648=ORIENTED_EDGE('',*,*,#208367,.T.); #287649=ORIENTED_EDGE('',*,*,#208366,.T.); #287650=ORIENTED_EDGE('',*,*,#208368,.F.); #287651=ORIENTED_EDGE('',*,*,#208369,.F.); #287652=ORIENTED_EDGE('',*,*,#208370,.T.); #287653=ORIENTED_EDGE('',*,*,#208369,.T.); #287654=ORIENTED_EDGE('',*,*,#208371,.F.); #287655=ORIENTED_EDGE('',*,*,#208372,.F.); #287656=ORIENTED_EDGE('',*,*,#208373,.T.); #287657=ORIENTED_EDGE('',*,*,#208372,.T.); #287658=ORIENTED_EDGE('',*,*,#208374,.F.); #287659=ORIENTED_EDGE('',*,*,#208375,.F.); #287660=ORIENTED_EDGE('',*,*,#208376,.T.); #287661=ORIENTED_EDGE('',*,*,#208375,.T.); #287662=ORIENTED_EDGE('',*,*,#208377,.F.); #287663=ORIENTED_EDGE('',*,*,#208378,.F.); #287664=ORIENTED_EDGE('',*,*,#208379,.T.); #287665=ORIENTED_EDGE('',*,*,#208378,.T.); #287666=ORIENTED_EDGE('',*,*,#208380,.F.); #287667=ORIENTED_EDGE('',*,*,#208381,.F.); #287668=ORIENTED_EDGE('',*,*,#208382,.T.); #287669=ORIENTED_EDGE('',*,*,#208381,.T.); #287670=ORIENTED_EDGE('',*,*,#208383,.F.); #287671=ORIENTED_EDGE('',*,*,#208384,.F.); #287672=ORIENTED_EDGE('',*,*,#208385,.T.); #287673=ORIENTED_EDGE('',*,*,#208384,.T.); #287674=ORIENTED_EDGE('',*,*,#208386,.F.); #287675=ORIENTED_EDGE('',*,*,#208387,.F.); #287676=ORIENTED_EDGE('',*,*,#208388,.T.); #287677=ORIENTED_EDGE('',*,*,#208387,.T.); #287678=ORIENTED_EDGE('',*,*,#208389,.F.); #287679=ORIENTED_EDGE('',*,*,#208390,.F.); #287680=ORIENTED_EDGE('',*,*,#208391,.T.); #287681=ORIENTED_EDGE('',*,*,#208390,.T.); #287682=ORIENTED_EDGE('',*,*,#208392,.F.); #287683=ORIENTED_EDGE('',*,*,#208393,.F.); #287684=ORIENTED_EDGE('',*,*,#208394,.T.); #287685=ORIENTED_EDGE('',*,*,#208393,.T.); #287686=ORIENTED_EDGE('',*,*,#208395,.F.); #287687=ORIENTED_EDGE('',*,*,#208396,.F.); #287688=ORIENTED_EDGE('',*,*,#208397,.T.); #287689=ORIENTED_EDGE('',*,*,#208396,.T.); #287690=ORIENTED_EDGE('',*,*,#208398,.F.); #287691=ORIENTED_EDGE('',*,*,#208399,.F.); #287692=ORIENTED_EDGE('',*,*,#208400,.T.); #287693=ORIENTED_EDGE('',*,*,#208399,.T.); #287694=ORIENTED_EDGE('',*,*,#208401,.F.); #287695=ORIENTED_EDGE('',*,*,#208402,.F.); #287696=ORIENTED_EDGE('',*,*,#208403,.T.); #287697=ORIENTED_EDGE('',*,*,#208402,.T.); #287698=ORIENTED_EDGE('',*,*,#208404,.F.); #287699=ORIENTED_EDGE('',*,*,#208405,.F.); #287700=ORIENTED_EDGE('',*,*,#208406,.T.); #287701=ORIENTED_EDGE('',*,*,#208405,.T.); #287702=ORIENTED_EDGE('',*,*,#208407,.F.); #287703=ORIENTED_EDGE('',*,*,#208408,.F.); #287704=ORIENTED_EDGE('',*,*,#208409,.T.); #287705=ORIENTED_EDGE('',*,*,#208408,.T.); #287706=ORIENTED_EDGE('',*,*,#208410,.F.); #287707=ORIENTED_EDGE('',*,*,#208411,.F.); #287708=ORIENTED_EDGE('',*,*,#208412,.T.); #287709=ORIENTED_EDGE('',*,*,#208411,.T.); #287710=ORIENTED_EDGE('',*,*,#208413,.F.); #287711=ORIENTED_EDGE('',*,*,#208414,.F.); #287712=ORIENTED_EDGE('',*,*,#208415,.T.); #287713=ORIENTED_EDGE('',*,*,#208414,.T.); #287714=ORIENTED_EDGE('',*,*,#208416,.F.); #287715=ORIENTED_EDGE('',*,*,#208417,.F.); #287716=ORIENTED_EDGE('',*,*,#208418,.T.); #287717=ORIENTED_EDGE('',*,*,#208417,.T.); #287718=ORIENTED_EDGE('',*,*,#208419,.F.); #287719=ORIENTED_EDGE('',*,*,#208420,.F.); #287720=ORIENTED_EDGE('',*,*,#208421,.T.); #287721=ORIENTED_EDGE('',*,*,#208420,.T.); #287722=ORIENTED_EDGE('',*,*,#208422,.F.); #287723=ORIENTED_EDGE('',*,*,#208423,.F.); #287724=ORIENTED_EDGE('',*,*,#208424,.T.); #287725=ORIENTED_EDGE('',*,*,#208423,.T.); #287726=ORIENTED_EDGE('',*,*,#208425,.F.); #287727=ORIENTED_EDGE('',*,*,#208426,.F.); #287728=ORIENTED_EDGE('',*,*,#208427,.T.); #287729=ORIENTED_EDGE('',*,*,#208426,.T.); #287730=ORIENTED_EDGE('',*,*,#208428,.F.); #287731=ORIENTED_EDGE('',*,*,#208262,.F.); #287732=ORIENTED_EDGE('',*,*,#208428,.T.); #287733=ORIENTED_EDGE('',*,*,#208425,.T.); #287734=ORIENTED_EDGE('',*,*,#208422,.T.); #287735=ORIENTED_EDGE('',*,*,#208419,.T.); #287736=ORIENTED_EDGE('',*,*,#208416,.T.); #287737=ORIENTED_EDGE('',*,*,#208413,.T.); #287738=ORIENTED_EDGE('',*,*,#208410,.T.); #287739=ORIENTED_EDGE('',*,*,#208407,.T.); #287740=ORIENTED_EDGE('',*,*,#208404,.T.); #287741=ORIENTED_EDGE('',*,*,#208401,.T.); #287742=ORIENTED_EDGE('',*,*,#208398,.T.); #287743=ORIENTED_EDGE('',*,*,#208395,.T.); #287744=ORIENTED_EDGE('',*,*,#208392,.T.); #287745=ORIENTED_EDGE('',*,*,#208389,.T.); #287746=ORIENTED_EDGE('',*,*,#208386,.T.); #287747=ORIENTED_EDGE('',*,*,#208383,.T.); #287748=ORIENTED_EDGE('',*,*,#208380,.T.); #287749=ORIENTED_EDGE('',*,*,#208377,.T.); #287750=ORIENTED_EDGE('',*,*,#208374,.T.); #287751=ORIENTED_EDGE('',*,*,#208371,.T.); #287752=ORIENTED_EDGE('',*,*,#208368,.T.); #287753=ORIENTED_EDGE('',*,*,#208365,.T.); #287754=ORIENTED_EDGE('',*,*,#208362,.T.); #287755=ORIENTED_EDGE('',*,*,#208359,.T.); #287756=ORIENTED_EDGE('',*,*,#208356,.T.); #287757=ORIENTED_EDGE('',*,*,#208353,.T.); #287758=ORIENTED_EDGE('',*,*,#208350,.T.); #287759=ORIENTED_EDGE('',*,*,#208347,.T.); #287760=ORIENTED_EDGE('',*,*,#208344,.T.); #287761=ORIENTED_EDGE('',*,*,#208341,.T.); #287762=ORIENTED_EDGE('',*,*,#208338,.T.); #287763=ORIENTED_EDGE('',*,*,#208335,.T.); #287764=ORIENTED_EDGE('',*,*,#208332,.T.); #287765=ORIENTED_EDGE('',*,*,#208329,.T.); #287766=ORIENTED_EDGE('',*,*,#208326,.T.); #287767=ORIENTED_EDGE('',*,*,#208323,.T.); #287768=ORIENTED_EDGE('',*,*,#208320,.T.); #287769=ORIENTED_EDGE('',*,*,#208317,.T.); #287770=ORIENTED_EDGE('',*,*,#208314,.T.); #287771=ORIENTED_EDGE('',*,*,#208311,.T.); #287772=ORIENTED_EDGE('',*,*,#208308,.T.); #287773=ORIENTED_EDGE('',*,*,#208305,.T.); #287774=ORIENTED_EDGE('',*,*,#208302,.T.); #287775=ORIENTED_EDGE('',*,*,#208299,.T.); #287776=ORIENTED_EDGE('',*,*,#208296,.T.); #287777=ORIENTED_EDGE('',*,*,#208293,.T.); #287778=ORIENTED_EDGE('',*,*,#208290,.T.); #287779=ORIENTED_EDGE('',*,*,#208287,.T.); #287780=ORIENTED_EDGE('',*,*,#208284,.T.); #287781=ORIENTED_EDGE('',*,*,#208281,.T.); #287782=ORIENTED_EDGE('',*,*,#208278,.T.); #287783=ORIENTED_EDGE('',*,*,#208275,.T.); #287784=ORIENTED_EDGE('',*,*,#208272,.T.); #287785=ORIENTED_EDGE('',*,*,#208269,.T.); #287786=ORIENTED_EDGE('',*,*,#208266,.T.); #287787=ORIENTED_EDGE('',*,*,#208263,.T.); #287788=ORIENTED_EDGE('',*,*,#208256,.T.); #287789=ORIENTED_EDGE('',*,*,#208259,.T.); #287790=ORIENTED_EDGE('',*,*,#208249,.T.); #287791=ORIENTED_EDGE('',*,*,#208253,.T.); #287792=ORIENTED_EDGE('',*,*,#208427,.F.); #287793=ORIENTED_EDGE('',*,*,#208261,.F.); #287794=ORIENTED_EDGE('',*,*,#208265,.F.); #287795=ORIENTED_EDGE('',*,*,#208268,.F.); #287796=ORIENTED_EDGE('',*,*,#208271,.F.); #287797=ORIENTED_EDGE('',*,*,#208274,.F.); #287798=ORIENTED_EDGE('',*,*,#208277,.F.); #287799=ORIENTED_EDGE('',*,*,#208280,.F.); #287800=ORIENTED_EDGE('',*,*,#208283,.F.); #287801=ORIENTED_EDGE('',*,*,#208286,.F.); #287802=ORIENTED_EDGE('',*,*,#208289,.F.); #287803=ORIENTED_EDGE('',*,*,#208292,.F.); #287804=ORIENTED_EDGE('',*,*,#208295,.F.); #287805=ORIENTED_EDGE('',*,*,#208298,.F.); #287806=ORIENTED_EDGE('',*,*,#208301,.F.); #287807=ORIENTED_EDGE('',*,*,#208304,.F.); #287808=ORIENTED_EDGE('',*,*,#208307,.F.); #287809=ORIENTED_EDGE('',*,*,#208310,.F.); #287810=ORIENTED_EDGE('',*,*,#208313,.F.); #287811=ORIENTED_EDGE('',*,*,#208316,.F.); #287812=ORIENTED_EDGE('',*,*,#208319,.F.); #287813=ORIENTED_EDGE('',*,*,#208322,.F.); #287814=ORIENTED_EDGE('',*,*,#208325,.F.); #287815=ORIENTED_EDGE('',*,*,#208328,.F.); #287816=ORIENTED_EDGE('',*,*,#208331,.F.); #287817=ORIENTED_EDGE('',*,*,#208334,.F.); #287818=ORIENTED_EDGE('',*,*,#208337,.F.); #287819=ORIENTED_EDGE('',*,*,#208340,.F.); #287820=ORIENTED_EDGE('',*,*,#208343,.F.); #287821=ORIENTED_EDGE('',*,*,#208346,.F.); #287822=ORIENTED_EDGE('',*,*,#208349,.F.); #287823=ORIENTED_EDGE('',*,*,#208352,.F.); #287824=ORIENTED_EDGE('',*,*,#208355,.F.); #287825=ORIENTED_EDGE('',*,*,#208358,.F.); #287826=ORIENTED_EDGE('',*,*,#208361,.F.); #287827=ORIENTED_EDGE('',*,*,#208364,.F.); #287828=ORIENTED_EDGE('',*,*,#208367,.F.); #287829=ORIENTED_EDGE('',*,*,#208370,.F.); #287830=ORIENTED_EDGE('',*,*,#208373,.F.); #287831=ORIENTED_EDGE('',*,*,#208376,.F.); #287832=ORIENTED_EDGE('',*,*,#208379,.F.); #287833=ORIENTED_EDGE('',*,*,#208382,.F.); #287834=ORIENTED_EDGE('',*,*,#208385,.F.); #287835=ORIENTED_EDGE('',*,*,#208388,.F.); #287836=ORIENTED_EDGE('',*,*,#208391,.F.); #287837=ORIENTED_EDGE('',*,*,#208394,.F.); #287838=ORIENTED_EDGE('',*,*,#208397,.F.); #287839=ORIENTED_EDGE('',*,*,#208400,.F.); #287840=ORIENTED_EDGE('',*,*,#208403,.F.); #287841=ORIENTED_EDGE('',*,*,#208406,.F.); #287842=ORIENTED_EDGE('',*,*,#208409,.F.); #287843=ORIENTED_EDGE('',*,*,#208412,.F.); #287844=ORIENTED_EDGE('',*,*,#208415,.F.); #287845=ORIENTED_EDGE('',*,*,#208418,.F.); #287846=ORIENTED_EDGE('',*,*,#208421,.F.); #287847=ORIENTED_EDGE('',*,*,#208424,.F.); #287848=ORIENTED_EDGE('',*,*,#208257,.T.); #287849=ORIENTED_EDGE('',*,*,#208254,.T.); #287850=ORIENTED_EDGE('',*,*,#208251,.T.); #287851=ORIENTED_EDGE('',*,*,#208260,.T.); #287852=ORIENTED_EDGE('',*,*,#208429,.F.); #287853=ORIENTED_EDGE('',*,*,#208430,.T.); #287854=ORIENTED_EDGE('',*,*,#208431,.F.); #287855=ORIENTED_EDGE('',*,*,#208430,.F.); #287856=ORIENTED_EDGE('',*,*,#208432,.T.); #287857=ORIENTED_EDGE('',*,*,#208433,.T.); #287858=ORIENTED_EDGE('',*,*,#208434,.F.); #287859=ORIENTED_EDGE('',*,*,#208435,.F.); #287860=ORIENTED_EDGE('',*,*,#208436,.T.); #287861=ORIENTED_EDGE('',*,*,#208435,.T.); #287862=ORIENTED_EDGE('',*,*,#208437,.F.); #287863=ORIENTED_EDGE('',*,*,#208438,.F.); #287864=ORIENTED_EDGE('',*,*,#208439,.T.); #287865=ORIENTED_EDGE('',*,*,#208438,.T.); #287866=ORIENTED_EDGE('',*,*,#208440,.F.); #287867=ORIENTED_EDGE('',*,*,#208433,.F.); #287868=ORIENTED_EDGE('',*,*,#208440,.T.); #287869=ORIENTED_EDGE('',*,*,#208437,.T.); #287870=ORIENTED_EDGE('',*,*,#208434,.T.); #287871=ORIENTED_EDGE('',*,*,#208431,.T.); #287872=ORIENTED_EDGE('',*,*,#208439,.F.); #287873=ORIENTED_EDGE('',*,*,#208432,.F.); #287874=ORIENTED_EDGE('',*,*,#208436,.F.); #287875=ORIENTED_EDGE('',*,*,#208429,.T.); #287876=ORIENTED_EDGE('',*,*,#208441,.F.); #287877=ORIENTED_EDGE('',*,*,#208442,.F.); #287878=ORIENTED_EDGE('',*,*,#208443,.F.); #287879=ORIENTED_EDGE('',*,*,#208444,.T.); #287880=ORIENTED_EDGE('',*,*,#208445,.F.); #287881=ORIENTED_EDGE('',*,*,#208444,.F.); #287882=ORIENTED_EDGE('',*,*,#208446,.F.); #287883=ORIENTED_EDGE('',*,*,#208447,.T.); #287884=ORIENTED_EDGE('',*,*,#208448,.F.); #287885=ORIENTED_EDGE('',*,*,#208447,.F.); #287886=ORIENTED_EDGE('',*,*,#208449,.F.); #287887=ORIENTED_EDGE('',*,*,#208450,.T.); #287888=ORIENTED_EDGE('',*,*,#208451,.F.); #287889=ORIENTED_EDGE('',*,*,#208450,.F.); #287890=ORIENTED_EDGE('',*,*,#208452,.F.); #287891=ORIENTED_EDGE('',*,*,#208442,.T.); #287892=ORIENTED_EDGE('',*,*,#208453,.T.); #287893=ORIENTED_EDGE('',*,*,#208454,.T.); #287894=ORIENTED_EDGE('',*,*,#208455,.F.); #287895=ORIENTED_EDGE('',*,*,#208456,.F.); #287896=ORIENTED_EDGE('',*,*,#208457,.T.); #287897=ORIENTED_EDGE('',*,*,#208456,.T.); #287898=ORIENTED_EDGE('',*,*,#208458,.F.); #287899=ORIENTED_EDGE('',*,*,#208459,.F.); #287900=ORIENTED_EDGE('',*,*,#208460,.T.); #287901=ORIENTED_EDGE('',*,*,#208459,.T.); #287902=ORIENTED_EDGE('',*,*,#208461,.F.); #287903=ORIENTED_EDGE('',*,*,#208462,.F.); #287904=ORIENTED_EDGE('',*,*,#208463,.T.); #287905=ORIENTED_EDGE('',*,*,#208462,.T.); #287906=ORIENTED_EDGE('',*,*,#208464,.F.); #287907=ORIENTED_EDGE('',*,*,#208465,.F.); #287908=ORIENTED_EDGE('',*,*,#208466,.T.); #287909=ORIENTED_EDGE('',*,*,#208465,.T.); #287910=ORIENTED_EDGE('',*,*,#208467,.F.); #287911=ORIENTED_EDGE('',*,*,#208468,.F.); #287912=ORIENTED_EDGE('',*,*,#208469,.T.); #287913=ORIENTED_EDGE('',*,*,#208468,.T.); #287914=ORIENTED_EDGE('',*,*,#208470,.F.); #287915=ORIENTED_EDGE('',*,*,#208471,.F.); #287916=ORIENTED_EDGE('',*,*,#208472,.T.); #287917=ORIENTED_EDGE('',*,*,#208471,.T.); #287918=ORIENTED_EDGE('',*,*,#208473,.F.); #287919=ORIENTED_EDGE('',*,*,#208474,.F.); #287920=ORIENTED_EDGE('',*,*,#208475,.T.); #287921=ORIENTED_EDGE('',*,*,#208474,.T.); #287922=ORIENTED_EDGE('',*,*,#208476,.F.); #287923=ORIENTED_EDGE('',*,*,#208477,.F.); #287924=ORIENTED_EDGE('',*,*,#208478,.T.); #287925=ORIENTED_EDGE('',*,*,#208477,.T.); #287926=ORIENTED_EDGE('',*,*,#208479,.F.); #287927=ORIENTED_EDGE('',*,*,#208480,.F.); #287928=ORIENTED_EDGE('',*,*,#208481,.T.); #287929=ORIENTED_EDGE('',*,*,#208480,.T.); #287930=ORIENTED_EDGE('',*,*,#208482,.F.); #287931=ORIENTED_EDGE('',*,*,#208483,.F.); #287932=ORIENTED_EDGE('',*,*,#208484,.T.); #287933=ORIENTED_EDGE('',*,*,#208483,.T.); #287934=ORIENTED_EDGE('',*,*,#208485,.F.); #287935=ORIENTED_EDGE('',*,*,#208486,.F.); #287936=ORIENTED_EDGE('',*,*,#208487,.T.); #287937=ORIENTED_EDGE('',*,*,#208486,.T.); #287938=ORIENTED_EDGE('',*,*,#208488,.F.); #287939=ORIENTED_EDGE('',*,*,#208489,.F.); #287940=ORIENTED_EDGE('',*,*,#208490,.T.); #287941=ORIENTED_EDGE('',*,*,#208489,.T.); #287942=ORIENTED_EDGE('',*,*,#208491,.F.); #287943=ORIENTED_EDGE('',*,*,#208492,.F.); #287944=ORIENTED_EDGE('',*,*,#208493,.T.); #287945=ORIENTED_EDGE('',*,*,#208492,.T.); #287946=ORIENTED_EDGE('',*,*,#208494,.F.); #287947=ORIENTED_EDGE('',*,*,#208495,.F.); #287948=ORIENTED_EDGE('',*,*,#208496,.T.); #287949=ORIENTED_EDGE('',*,*,#208495,.T.); #287950=ORIENTED_EDGE('',*,*,#208497,.F.); #287951=ORIENTED_EDGE('',*,*,#208498,.F.); #287952=ORIENTED_EDGE('',*,*,#208499,.T.); #287953=ORIENTED_EDGE('',*,*,#208498,.T.); #287954=ORIENTED_EDGE('',*,*,#208500,.F.); #287955=ORIENTED_EDGE('',*,*,#208501,.F.); #287956=ORIENTED_EDGE('',*,*,#208502,.T.); #287957=ORIENTED_EDGE('',*,*,#208501,.T.); #287958=ORIENTED_EDGE('',*,*,#208503,.F.); #287959=ORIENTED_EDGE('',*,*,#208504,.F.); #287960=ORIENTED_EDGE('',*,*,#208505,.T.); #287961=ORIENTED_EDGE('',*,*,#208504,.T.); #287962=ORIENTED_EDGE('',*,*,#208506,.F.); #287963=ORIENTED_EDGE('',*,*,#208507,.F.); #287964=ORIENTED_EDGE('',*,*,#208508,.T.); #287965=ORIENTED_EDGE('',*,*,#208507,.T.); #287966=ORIENTED_EDGE('',*,*,#208509,.F.); #287967=ORIENTED_EDGE('',*,*,#208510,.F.); #287968=ORIENTED_EDGE('',*,*,#208511,.T.); #287969=ORIENTED_EDGE('',*,*,#208510,.T.); #287970=ORIENTED_EDGE('',*,*,#208512,.F.); #287971=ORIENTED_EDGE('',*,*,#208513,.F.); #287972=ORIENTED_EDGE('',*,*,#208514,.T.); #287973=ORIENTED_EDGE('',*,*,#208513,.T.); #287974=ORIENTED_EDGE('',*,*,#208515,.F.); #287975=ORIENTED_EDGE('',*,*,#208516,.F.); #287976=ORIENTED_EDGE('',*,*,#208517,.T.); #287977=ORIENTED_EDGE('',*,*,#208516,.T.); #287978=ORIENTED_EDGE('',*,*,#208518,.F.); #287979=ORIENTED_EDGE('',*,*,#208519,.F.); #287980=ORIENTED_EDGE('',*,*,#208520,.T.); #287981=ORIENTED_EDGE('',*,*,#208519,.T.); #287982=ORIENTED_EDGE('',*,*,#208521,.F.); #287983=ORIENTED_EDGE('',*,*,#208522,.F.); #287984=ORIENTED_EDGE('',*,*,#208523,.T.); #287985=ORIENTED_EDGE('',*,*,#208522,.T.); #287986=ORIENTED_EDGE('',*,*,#208524,.F.); #287987=ORIENTED_EDGE('',*,*,#208525,.F.); #287988=ORIENTED_EDGE('',*,*,#208526,.T.); #287989=ORIENTED_EDGE('',*,*,#208525,.T.); #287990=ORIENTED_EDGE('',*,*,#208527,.F.); #287991=ORIENTED_EDGE('',*,*,#208528,.F.); #287992=ORIENTED_EDGE('',*,*,#208529,.T.); #287993=ORIENTED_EDGE('',*,*,#208528,.T.); #287994=ORIENTED_EDGE('',*,*,#208530,.F.); #287995=ORIENTED_EDGE('',*,*,#208531,.F.); #287996=ORIENTED_EDGE('',*,*,#208532,.T.); #287997=ORIENTED_EDGE('',*,*,#208531,.T.); #287998=ORIENTED_EDGE('',*,*,#208533,.F.); #287999=ORIENTED_EDGE('',*,*,#208534,.F.); #288000=ORIENTED_EDGE('',*,*,#208535,.T.); #288001=ORIENTED_EDGE('',*,*,#208534,.T.); #288002=ORIENTED_EDGE('',*,*,#208536,.F.); #288003=ORIENTED_EDGE('',*,*,#208537,.F.); #288004=ORIENTED_EDGE('',*,*,#208538,.T.); #288005=ORIENTED_EDGE('',*,*,#208537,.T.); #288006=ORIENTED_EDGE('',*,*,#208539,.F.); #288007=ORIENTED_EDGE('',*,*,#208540,.F.); #288008=ORIENTED_EDGE('',*,*,#208541,.T.); #288009=ORIENTED_EDGE('',*,*,#208540,.T.); #288010=ORIENTED_EDGE('',*,*,#208542,.F.); #288011=ORIENTED_EDGE('',*,*,#208543,.F.); #288012=ORIENTED_EDGE('',*,*,#208544,.T.); #288013=ORIENTED_EDGE('',*,*,#208543,.T.); #288014=ORIENTED_EDGE('',*,*,#208545,.F.); #288015=ORIENTED_EDGE('',*,*,#208546,.F.); #288016=ORIENTED_EDGE('',*,*,#208547,.T.); #288017=ORIENTED_EDGE('',*,*,#208546,.T.); #288018=ORIENTED_EDGE('',*,*,#208548,.F.); #288019=ORIENTED_EDGE('',*,*,#208549,.F.); #288020=ORIENTED_EDGE('',*,*,#208550,.T.); #288021=ORIENTED_EDGE('',*,*,#208549,.T.); #288022=ORIENTED_EDGE('',*,*,#208551,.F.); #288023=ORIENTED_EDGE('',*,*,#208552,.F.); #288024=ORIENTED_EDGE('',*,*,#208553,.T.); #288025=ORIENTED_EDGE('',*,*,#208552,.T.); #288026=ORIENTED_EDGE('',*,*,#208554,.F.); #288027=ORIENTED_EDGE('',*,*,#208555,.F.); #288028=ORIENTED_EDGE('',*,*,#208556,.T.); #288029=ORIENTED_EDGE('',*,*,#208555,.T.); #288030=ORIENTED_EDGE('',*,*,#208557,.F.); #288031=ORIENTED_EDGE('',*,*,#208558,.F.); #288032=ORIENTED_EDGE('',*,*,#208559,.T.); #288033=ORIENTED_EDGE('',*,*,#208558,.T.); #288034=ORIENTED_EDGE('',*,*,#208560,.F.); #288035=ORIENTED_EDGE('',*,*,#208561,.F.); #288036=ORIENTED_EDGE('',*,*,#208562,.T.); #288037=ORIENTED_EDGE('',*,*,#208561,.T.); #288038=ORIENTED_EDGE('',*,*,#208563,.F.); #288039=ORIENTED_EDGE('',*,*,#208564,.F.); #288040=ORIENTED_EDGE('',*,*,#208565,.T.); #288041=ORIENTED_EDGE('',*,*,#208564,.T.); #288042=ORIENTED_EDGE('',*,*,#208566,.F.); #288043=ORIENTED_EDGE('',*,*,#208567,.F.); #288044=ORIENTED_EDGE('',*,*,#208568,.T.); #288045=ORIENTED_EDGE('',*,*,#208567,.T.); #288046=ORIENTED_EDGE('',*,*,#208569,.F.); #288047=ORIENTED_EDGE('',*,*,#208570,.F.); #288048=ORIENTED_EDGE('',*,*,#208571,.T.); #288049=ORIENTED_EDGE('',*,*,#208570,.T.); #288050=ORIENTED_EDGE('',*,*,#208572,.F.); #288051=ORIENTED_EDGE('',*,*,#208573,.F.); #288052=ORIENTED_EDGE('',*,*,#208574,.T.); #288053=ORIENTED_EDGE('',*,*,#208573,.T.); #288054=ORIENTED_EDGE('',*,*,#208575,.F.); #288055=ORIENTED_EDGE('',*,*,#208576,.F.); #288056=ORIENTED_EDGE('',*,*,#208577,.T.); #288057=ORIENTED_EDGE('',*,*,#208576,.T.); #288058=ORIENTED_EDGE('',*,*,#208578,.F.); #288059=ORIENTED_EDGE('',*,*,#208579,.F.); #288060=ORIENTED_EDGE('',*,*,#208580,.T.); #288061=ORIENTED_EDGE('',*,*,#208579,.T.); #288062=ORIENTED_EDGE('',*,*,#208581,.F.); #288063=ORIENTED_EDGE('',*,*,#208582,.F.); #288064=ORIENTED_EDGE('',*,*,#208583,.T.); #288065=ORIENTED_EDGE('',*,*,#208582,.T.); #288066=ORIENTED_EDGE('',*,*,#208584,.F.); #288067=ORIENTED_EDGE('',*,*,#208585,.F.); #288068=ORIENTED_EDGE('',*,*,#208586,.T.); #288069=ORIENTED_EDGE('',*,*,#208585,.T.); #288070=ORIENTED_EDGE('',*,*,#208587,.F.); #288071=ORIENTED_EDGE('',*,*,#208588,.F.); #288072=ORIENTED_EDGE('',*,*,#208589,.T.); #288073=ORIENTED_EDGE('',*,*,#208588,.T.); #288074=ORIENTED_EDGE('',*,*,#208590,.F.); #288075=ORIENTED_EDGE('',*,*,#208591,.F.); #288076=ORIENTED_EDGE('',*,*,#208592,.T.); #288077=ORIENTED_EDGE('',*,*,#208591,.T.); #288078=ORIENTED_EDGE('',*,*,#208593,.F.); #288079=ORIENTED_EDGE('',*,*,#208594,.F.); #288080=ORIENTED_EDGE('',*,*,#208595,.T.); #288081=ORIENTED_EDGE('',*,*,#208594,.T.); #288082=ORIENTED_EDGE('',*,*,#208596,.F.); #288083=ORIENTED_EDGE('',*,*,#208597,.F.); #288084=ORIENTED_EDGE('',*,*,#208598,.T.); #288085=ORIENTED_EDGE('',*,*,#208597,.T.); #288086=ORIENTED_EDGE('',*,*,#208599,.F.); #288087=ORIENTED_EDGE('',*,*,#208600,.F.); #288088=ORIENTED_EDGE('',*,*,#208601,.T.); #288089=ORIENTED_EDGE('',*,*,#208600,.T.); #288090=ORIENTED_EDGE('',*,*,#208602,.F.); #288091=ORIENTED_EDGE('',*,*,#208603,.F.); #288092=ORIENTED_EDGE('',*,*,#208604,.T.); #288093=ORIENTED_EDGE('',*,*,#208603,.T.); #288094=ORIENTED_EDGE('',*,*,#208605,.F.); #288095=ORIENTED_EDGE('',*,*,#208606,.F.); #288096=ORIENTED_EDGE('',*,*,#208607,.T.); #288097=ORIENTED_EDGE('',*,*,#208606,.T.); #288098=ORIENTED_EDGE('',*,*,#208608,.F.); #288099=ORIENTED_EDGE('',*,*,#208609,.F.); #288100=ORIENTED_EDGE('',*,*,#208610,.T.); #288101=ORIENTED_EDGE('',*,*,#208609,.T.); #288102=ORIENTED_EDGE('',*,*,#208611,.F.); #288103=ORIENTED_EDGE('',*,*,#208612,.F.); #288104=ORIENTED_EDGE('',*,*,#208613,.T.); #288105=ORIENTED_EDGE('',*,*,#208612,.T.); #288106=ORIENTED_EDGE('',*,*,#208614,.F.); #288107=ORIENTED_EDGE('',*,*,#208615,.F.); #288108=ORIENTED_EDGE('',*,*,#208616,.T.); #288109=ORIENTED_EDGE('',*,*,#208615,.T.); #288110=ORIENTED_EDGE('',*,*,#208617,.F.); #288111=ORIENTED_EDGE('',*,*,#208618,.F.); #288112=ORIENTED_EDGE('',*,*,#208619,.T.); #288113=ORIENTED_EDGE('',*,*,#208618,.T.); #288114=ORIENTED_EDGE('',*,*,#208620,.F.); #288115=ORIENTED_EDGE('',*,*,#208454,.F.); #288116=ORIENTED_EDGE('',*,*,#208620,.T.); #288117=ORIENTED_EDGE('',*,*,#208617,.T.); #288118=ORIENTED_EDGE('',*,*,#208614,.T.); #288119=ORIENTED_EDGE('',*,*,#208611,.T.); #288120=ORIENTED_EDGE('',*,*,#208608,.T.); #288121=ORIENTED_EDGE('',*,*,#208605,.T.); #288122=ORIENTED_EDGE('',*,*,#208602,.T.); #288123=ORIENTED_EDGE('',*,*,#208599,.T.); #288124=ORIENTED_EDGE('',*,*,#208596,.T.); #288125=ORIENTED_EDGE('',*,*,#208593,.T.); #288126=ORIENTED_EDGE('',*,*,#208590,.T.); #288127=ORIENTED_EDGE('',*,*,#208587,.T.); #288128=ORIENTED_EDGE('',*,*,#208584,.T.); #288129=ORIENTED_EDGE('',*,*,#208581,.T.); #288130=ORIENTED_EDGE('',*,*,#208578,.T.); #288131=ORIENTED_EDGE('',*,*,#208575,.T.); #288132=ORIENTED_EDGE('',*,*,#208572,.T.); #288133=ORIENTED_EDGE('',*,*,#208569,.T.); #288134=ORIENTED_EDGE('',*,*,#208566,.T.); #288135=ORIENTED_EDGE('',*,*,#208563,.T.); #288136=ORIENTED_EDGE('',*,*,#208560,.T.); #288137=ORIENTED_EDGE('',*,*,#208557,.T.); #288138=ORIENTED_EDGE('',*,*,#208554,.T.); #288139=ORIENTED_EDGE('',*,*,#208551,.T.); #288140=ORIENTED_EDGE('',*,*,#208548,.T.); #288141=ORIENTED_EDGE('',*,*,#208545,.T.); #288142=ORIENTED_EDGE('',*,*,#208542,.T.); #288143=ORIENTED_EDGE('',*,*,#208539,.T.); #288144=ORIENTED_EDGE('',*,*,#208536,.T.); #288145=ORIENTED_EDGE('',*,*,#208533,.T.); #288146=ORIENTED_EDGE('',*,*,#208530,.T.); #288147=ORIENTED_EDGE('',*,*,#208527,.T.); #288148=ORIENTED_EDGE('',*,*,#208524,.T.); #288149=ORIENTED_EDGE('',*,*,#208521,.T.); #288150=ORIENTED_EDGE('',*,*,#208518,.T.); #288151=ORIENTED_EDGE('',*,*,#208515,.T.); #288152=ORIENTED_EDGE('',*,*,#208512,.T.); #288153=ORIENTED_EDGE('',*,*,#208509,.T.); #288154=ORIENTED_EDGE('',*,*,#208506,.T.); #288155=ORIENTED_EDGE('',*,*,#208503,.T.); #288156=ORIENTED_EDGE('',*,*,#208500,.T.); #288157=ORIENTED_EDGE('',*,*,#208497,.T.); #288158=ORIENTED_EDGE('',*,*,#208494,.T.); #288159=ORIENTED_EDGE('',*,*,#208491,.T.); #288160=ORIENTED_EDGE('',*,*,#208488,.T.); #288161=ORIENTED_EDGE('',*,*,#208485,.T.); #288162=ORIENTED_EDGE('',*,*,#208482,.T.); #288163=ORIENTED_EDGE('',*,*,#208479,.T.); #288164=ORIENTED_EDGE('',*,*,#208476,.T.); #288165=ORIENTED_EDGE('',*,*,#208473,.T.); #288166=ORIENTED_EDGE('',*,*,#208470,.T.); #288167=ORIENTED_EDGE('',*,*,#208467,.T.); #288168=ORIENTED_EDGE('',*,*,#208464,.T.); #288169=ORIENTED_EDGE('',*,*,#208461,.T.); #288170=ORIENTED_EDGE('',*,*,#208458,.T.); #288171=ORIENTED_EDGE('',*,*,#208455,.T.); #288172=ORIENTED_EDGE('',*,*,#208448,.T.); #288173=ORIENTED_EDGE('',*,*,#208451,.T.); #288174=ORIENTED_EDGE('',*,*,#208441,.T.); #288175=ORIENTED_EDGE('',*,*,#208445,.T.); #288176=ORIENTED_EDGE('',*,*,#208619,.F.); #288177=ORIENTED_EDGE('',*,*,#208453,.F.); #288178=ORIENTED_EDGE('',*,*,#208457,.F.); #288179=ORIENTED_EDGE('',*,*,#208460,.F.); #288180=ORIENTED_EDGE('',*,*,#208463,.F.); #288181=ORIENTED_EDGE('',*,*,#208466,.F.); #288182=ORIENTED_EDGE('',*,*,#208469,.F.); #288183=ORIENTED_EDGE('',*,*,#208472,.F.); #288184=ORIENTED_EDGE('',*,*,#208475,.F.); #288185=ORIENTED_EDGE('',*,*,#208478,.F.); #288186=ORIENTED_EDGE('',*,*,#208481,.F.); #288187=ORIENTED_EDGE('',*,*,#208484,.F.); #288188=ORIENTED_EDGE('',*,*,#208487,.F.); #288189=ORIENTED_EDGE('',*,*,#208490,.F.); #288190=ORIENTED_EDGE('',*,*,#208493,.F.); #288191=ORIENTED_EDGE('',*,*,#208496,.F.); #288192=ORIENTED_EDGE('',*,*,#208499,.F.); #288193=ORIENTED_EDGE('',*,*,#208502,.F.); #288194=ORIENTED_EDGE('',*,*,#208505,.F.); #288195=ORIENTED_EDGE('',*,*,#208508,.F.); #288196=ORIENTED_EDGE('',*,*,#208511,.F.); #288197=ORIENTED_EDGE('',*,*,#208514,.F.); #288198=ORIENTED_EDGE('',*,*,#208517,.F.); #288199=ORIENTED_EDGE('',*,*,#208520,.F.); #288200=ORIENTED_EDGE('',*,*,#208523,.F.); #288201=ORIENTED_EDGE('',*,*,#208526,.F.); #288202=ORIENTED_EDGE('',*,*,#208529,.F.); #288203=ORIENTED_EDGE('',*,*,#208532,.F.); #288204=ORIENTED_EDGE('',*,*,#208535,.F.); #288205=ORIENTED_EDGE('',*,*,#208538,.F.); #288206=ORIENTED_EDGE('',*,*,#208541,.F.); #288207=ORIENTED_EDGE('',*,*,#208544,.F.); #288208=ORIENTED_EDGE('',*,*,#208547,.F.); #288209=ORIENTED_EDGE('',*,*,#208550,.F.); #288210=ORIENTED_EDGE('',*,*,#208553,.F.); #288211=ORIENTED_EDGE('',*,*,#208556,.F.); #288212=ORIENTED_EDGE('',*,*,#208559,.F.); #288213=ORIENTED_EDGE('',*,*,#208562,.F.); #288214=ORIENTED_EDGE('',*,*,#208565,.F.); #288215=ORIENTED_EDGE('',*,*,#208568,.F.); #288216=ORIENTED_EDGE('',*,*,#208571,.F.); #288217=ORIENTED_EDGE('',*,*,#208574,.F.); #288218=ORIENTED_EDGE('',*,*,#208577,.F.); #288219=ORIENTED_EDGE('',*,*,#208580,.F.); #288220=ORIENTED_EDGE('',*,*,#208583,.F.); #288221=ORIENTED_EDGE('',*,*,#208586,.F.); #288222=ORIENTED_EDGE('',*,*,#208589,.F.); #288223=ORIENTED_EDGE('',*,*,#208592,.F.); #288224=ORIENTED_EDGE('',*,*,#208595,.F.); #288225=ORIENTED_EDGE('',*,*,#208598,.F.); #288226=ORIENTED_EDGE('',*,*,#208601,.F.); #288227=ORIENTED_EDGE('',*,*,#208604,.F.); #288228=ORIENTED_EDGE('',*,*,#208607,.F.); #288229=ORIENTED_EDGE('',*,*,#208610,.F.); #288230=ORIENTED_EDGE('',*,*,#208613,.F.); #288231=ORIENTED_EDGE('',*,*,#208616,.F.); #288232=ORIENTED_EDGE('',*,*,#208449,.T.); #288233=ORIENTED_EDGE('',*,*,#208446,.T.); #288234=ORIENTED_EDGE('',*,*,#208443,.T.); #288235=ORIENTED_EDGE('',*,*,#208452,.T.); #288236=ORIENTED_EDGE('',*,*,#208621,.F.); #288237=ORIENTED_EDGE('',*,*,#208622,.F.); #288238=ORIENTED_EDGE('',*,*,#208623,.F.); #288239=ORIENTED_EDGE('',*,*,#208624,.T.); #288240=ORIENTED_EDGE('',*,*,#208625,.F.); #288241=ORIENTED_EDGE('',*,*,#208624,.F.); #288242=ORIENTED_EDGE('',*,*,#208626,.F.); #288243=ORIENTED_EDGE('',*,*,#208627,.T.); #288244=ORIENTED_EDGE('',*,*,#208628,.F.); #288245=ORIENTED_EDGE('',*,*,#208627,.F.); #288246=ORIENTED_EDGE('',*,*,#208629,.F.); #288247=ORIENTED_EDGE('',*,*,#208630,.T.); #288248=ORIENTED_EDGE('',*,*,#208631,.F.); #288249=ORIENTED_EDGE('',*,*,#208630,.F.); #288250=ORIENTED_EDGE('',*,*,#208632,.F.); #288251=ORIENTED_EDGE('',*,*,#208622,.T.); #288252=ORIENTED_EDGE('',*,*,#208633,.T.); #288253=ORIENTED_EDGE('',*,*,#208634,.T.); #288254=ORIENTED_EDGE('',*,*,#208635,.F.); #288255=ORIENTED_EDGE('',*,*,#208636,.F.); #288256=ORIENTED_EDGE('',*,*,#208637,.T.); #288257=ORIENTED_EDGE('',*,*,#208636,.T.); #288258=ORIENTED_EDGE('',*,*,#208638,.F.); #288259=ORIENTED_EDGE('',*,*,#208639,.F.); #288260=ORIENTED_EDGE('',*,*,#208640,.T.); #288261=ORIENTED_EDGE('',*,*,#208639,.T.); #288262=ORIENTED_EDGE('',*,*,#208641,.F.); #288263=ORIENTED_EDGE('',*,*,#208642,.F.); #288264=ORIENTED_EDGE('',*,*,#208643,.T.); #288265=ORIENTED_EDGE('',*,*,#208642,.T.); #288266=ORIENTED_EDGE('',*,*,#208644,.F.); #288267=ORIENTED_EDGE('',*,*,#208645,.F.); #288268=ORIENTED_EDGE('',*,*,#208646,.T.); #288269=ORIENTED_EDGE('',*,*,#208645,.T.); #288270=ORIENTED_EDGE('',*,*,#208647,.F.); #288271=ORIENTED_EDGE('',*,*,#208648,.F.); #288272=ORIENTED_EDGE('',*,*,#208649,.T.); #288273=ORIENTED_EDGE('',*,*,#208648,.T.); #288274=ORIENTED_EDGE('',*,*,#208650,.F.); #288275=ORIENTED_EDGE('',*,*,#208651,.F.); #288276=ORIENTED_EDGE('',*,*,#208652,.T.); #288277=ORIENTED_EDGE('',*,*,#208651,.T.); #288278=ORIENTED_EDGE('',*,*,#208653,.F.); #288279=ORIENTED_EDGE('',*,*,#208654,.F.); #288280=ORIENTED_EDGE('',*,*,#208655,.T.); #288281=ORIENTED_EDGE('',*,*,#208654,.T.); #288282=ORIENTED_EDGE('',*,*,#208656,.F.); #288283=ORIENTED_EDGE('',*,*,#208657,.F.); #288284=ORIENTED_EDGE('',*,*,#208658,.T.); #288285=ORIENTED_EDGE('',*,*,#208657,.T.); #288286=ORIENTED_EDGE('',*,*,#208659,.F.); #288287=ORIENTED_EDGE('',*,*,#208660,.F.); #288288=ORIENTED_EDGE('',*,*,#208661,.T.); #288289=ORIENTED_EDGE('',*,*,#208660,.T.); #288290=ORIENTED_EDGE('',*,*,#208662,.F.); #288291=ORIENTED_EDGE('',*,*,#208663,.F.); #288292=ORIENTED_EDGE('',*,*,#208664,.T.); #288293=ORIENTED_EDGE('',*,*,#208663,.T.); #288294=ORIENTED_EDGE('',*,*,#208665,.F.); #288295=ORIENTED_EDGE('',*,*,#208666,.F.); #288296=ORIENTED_EDGE('',*,*,#208667,.T.); #288297=ORIENTED_EDGE('',*,*,#208666,.T.); #288298=ORIENTED_EDGE('',*,*,#208668,.F.); #288299=ORIENTED_EDGE('',*,*,#208669,.F.); #288300=ORIENTED_EDGE('',*,*,#208670,.T.); #288301=ORIENTED_EDGE('',*,*,#208669,.T.); #288302=ORIENTED_EDGE('',*,*,#208671,.F.); #288303=ORIENTED_EDGE('',*,*,#208672,.F.); #288304=ORIENTED_EDGE('',*,*,#208673,.T.); #288305=ORIENTED_EDGE('',*,*,#208672,.T.); #288306=ORIENTED_EDGE('',*,*,#208674,.F.); #288307=ORIENTED_EDGE('',*,*,#208675,.F.); #288308=ORIENTED_EDGE('',*,*,#208676,.T.); #288309=ORIENTED_EDGE('',*,*,#208675,.T.); #288310=ORIENTED_EDGE('',*,*,#208677,.F.); #288311=ORIENTED_EDGE('',*,*,#208678,.F.); #288312=ORIENTED_EDGE('',*,*,#208679,.T.); #288313=ORIENTED_EDGE('',*,*,#208678,.T.); #288314=ORIENTED_EDGE('',*,*,#208680,.F.); #288315=ORIENTED_EDGE('',*,*,#208681,.F.); #288316=ORIENTED_EDGE('',*,*,#208682,.T.); #288317=ORIENTED_EDGE('',*,*,#208681,.T.); #288318=ORIENTED_EDGE('',*,*,#208683,.F.); #288319=ORIENTED_EDGE('',*,*,#208684,.F.); #288320=ORIENTED_EDGE('',*,*,#208685,.T.); #288321=ORIENTED_EDGE('',*,*,#208684,.T.); #288322=ORIENTED_EDGE('',*,*,#208686,.F.); #288323=ORIENTED_EDGE('',*,*,#208687,.F.); #288324=ORIENTED_EDGE('',*,*,#208688,.T.); #288325=ORIENTED_EDGE('',*,*,#208687,.T.); #288326=ORIENTED_EDGE('',*,*,#208689,.F.); #288327=ORIENTED_EDGE('',*,*,#208690,.F.); #288328=ORIENTED_EDGE('',*,*,#208691,.T.); #288329=ORIENTED_EDGE('',*,*,#208690,.T.); #288330=ORIENTED_EDGE('',*,*,#208692,.F.); #288331=ORIENTED_EDGE('',*,*,#208693,.F.); #288332=ORIENTED_EDGE('',*,*,#208694,.T.); #288333=ORIENTED_EDGE('',*,*,#208693,.T.); #288334=ORIENTED_EDGE('',*,*,#208695,.F.); #288335=ORIENTED_EDGE('',*,*,#208696,.F.); #288336=ORIENTED_EDGE('',*,*,#208697,.T.); #288337=ORIENTED_EDGE('',*,*,#208696,.T.); #288338=ORIENTED_EDGE('',*,*,#208698,.F.); #288339=ORIENTED_EDGE('',*,*,#208699,.F.); #288340=ORIENTED_EDGE('',*,*,#208700,.T.); #288341=ORIENTED_EDGE('',*,*,#208699,.T.); #288342=ORIENTED_EDGE('',*,*,#208701,.F.); #288343=ORIENTED_EDGE('',*,*,#208702,.F.); #288344=ORIENTED_EDGE('',*,*,#208703,.T.); #288345=ORIENTED_EDGE('',*,*,#208702,.T.); #288346=ORIENTED_EDGE('',*,*,#208704,.F.); #288347=ORIENTED_EDGE('',*,*,#208705,.F.); #288348=ORIENTED_EDGE('',*,*,#208706,.T.); #288349=ORIENTED_EDGE('',*,*,#208705,.T.); #288350=ORIENTED_EDGE('',*,*,#208707,.F.); #288351=ORIENTED_EDGE('',*,*,#208708,.F.); #288352=ORIENTED_EDGE('',*,*,#208709,.T.); #288353=ORIENTED_EDGE('',*,*,#208708,.T.); #288354=ORIENTED_EDGE('',*,*,#208710,.F.); #288355=ORIENTED_EDGE('',*,*,#208711,.F.); #288356=ORIENTED_EDGE('',*,*,#208712,.T.); #288357=ORIENTED_EDGE('',*,*,#208711,.T.); #288358=ORIENTED_EDGE('',*,*,#208713,.F.); #288359=ORIENTED_EDGE('',*,*,#208714,.F.); #288360=ORIENTED_EDGE('',*,*,#208715,.T.); #288361=ORIENTED_EDGE('',*,*,#208714,.T.); #288362=ORIENTED_EDGE('',*,*,#208716,.F.); #288363=ORIENTED_EDGE('',*,*,#208717,.F.); #288364=ORIENTED_EDGE('',*,*,#208718,.T.); #288365=ORIENTED_EDGE('',*,*,#208717,.T.); #288366=ORIENTED_EDGE('',*,*,#208719,.F.); #288367=ORIENTED_EDGE('',*,*,#208720,.F.); #288368=ORIENTED_EDGE('',*,*,#208721,.T.); #288369=ORIENTED_EDGE('',*,*,#208720,.T.); #288370=ORIENTED_EDGE('',*,*,#208722,.F.); #288371=ORIENTED_EDGE('',*,*,#208723,.F.); #288372=ORIENTED_EDGE('',*,*,#208724,.T.); #288373=ORIENTED_EDGE('',*,*,#208723,.T.); #288374=ORIENTED_EDGE('',*,*,#208725,.F.); #288375=ORIENTED_EDGE('',*,*,#208726,.F.); #288376=ORIENTED_EDGE('',*,*,#208727,.T.); #288377=ORIENTED_EDGE('',*,*,#208726,.T.); #288378=ORIENTED_EDGE('',*,*,#208728,.F.); #288379=ORIENTED_EDGE('',*,*,#208729,.F.); #288380=ORIENTED_EDGE('',*,*,#208730,.T.); #288381=ORIENTED_EDGE('',*,*,#208729,.T.); #288382=ORIENTED_EDGE('',*,*,#208731,.F.); #288383=ORIENTED_EDGE('',*,*,#208732,.F.); #288384=ORIENTED_EDGE('',*,*,#208733,.T.); #288385=ORIENTED_EDGE('',*,*,#208732,.T.); #288386=ORIENTED_EDGE('',*,*,#208734,.F.); #288387=ORIENTED_EDGE('',*,*,#208735,.F.); #288388=ORIENTED_EDGE('',*,*,#208736,.T.); #288389=ORIENTED_EDGE('',*,*,#208735,.T.); #288390=ORIENTED_EDGE('',*,*,#208737,.F.); #288391=ORIENTED_EDGE('',*,*,#208738,.F.); #288392=ORIENTED_EDGE('',*,*,#208739,.T.); #288393=ORIENTED_EDGE('',*,*,#208738,.T.); #288394=ORIENTED_EDGE('',*,*,#208740,.F.); #288395=ORIENTED_EDGE('',*,*,#208741,.F.); #288396=ORIENTED_EDGE('',*,*,#208742,.T.); #288397=ORIENTED_EDGE('',*,*,#208741,.T.); #288398=ORIENTED_EDGE('',*,*,#208743,.F.); #288399=ORIENTED_EDGE('',*,*,#208744,.F.); #288400=ORIENTED_EDGE('',*,*,#208745,.T.); #288401=ORIENTED_EDGE('',*,*,#208744,.T.); #288402=ORIENTED_EDGE('',*,*,#208746,.F.); #288403=ORIENTED_EDGE('',*,*,#208747,.F.); #288404=ORIENTED_EDGE('',*,*,#208748,.T.); #288405=ORIENTED_EDGE('',*,*,#208747,.T.); #288406=ORIENTED_EDGE('',*,*,#208749,.F.); #288407=ORIENTED_EDGE('',*,*,#208750,.F.); #288408=ORIENTED_EDGE('',*,*,#208751,.T.); #288409=ORIENTED_EDGE('',*,*,#208750,.T.); #288410=ORIENTED_EDGE('',*,*,#208752,.F.); #288411=ORIENTED_EDGE('',*,*,#208753,.F.); #288412=ORIENTED_EDGE('',*,*,#208754,.T.); #288413=ORIENTED_EDGE('',*,*,#208753,.T.); #288414=ORIENTED_EDGE('',*,*,#208755,.F.); #288415=ORIENTED_EDGE('',*,*,#208756,.F.); #288416=ORIENTED_EDGE('',*,*,#208757,.T.); #288417=ORIENTED_EDGE('',*,*,#208756,.T.); #288418=ORIENTED_EDGE('',*,*,#208758,.F.); #288419=ORIENTED_EDGE('',*,*,#208759,.F.); #288420=ORIENTED_EDGE('',*,*,#208760,.T.); #288421=ORIENTED_EDGE('',*,*,#208759,.T.); #288422=ORIENTED_EDGE('',*,*,#208761,.F.); #288423=ORIENTED_EDGE('',*,*,#208762,.F.); #288424=ORIENTED_EDGE('',*,*,#208763,.T.); #288425=ORIENTED_EDGE('',*,*,#208762,.T.); #288426=ORIENTED_EDGE('',*,*,#208764,.F.); #288427=ORIENTED_EDGE('',*,*,#208765,.F.); #288428=ORIENTED_EDGE('',*,*,#208766,.T.); #288429=ORIENTED_EDGE('',*,*,#208765,.T.); #288430=ORIENTED_EDGE('',*,*,#208767,.F.); #288431=ORIENTED_EDGE('',*,*,#208768,.F.); #288432=ORIENTED_EDGE('',*,*,#208769,.T.); #288433=ORIENTED_EDGE('',*,*,#208768,.T.); #288434=ORIENTED_EDGE('',*,*,#208770,.F.); #288435=ORIENTED_EDGE('',*,*,#208771,.F.); #288436=ORIENTED_EDGE('',*,*,#208772,.T.); #288437=ORIENTED_EDGE('',*,*,#208771,.T.); #288438=ORIENTED_EDGE('',*,*,#208773,.F.); #288439=ORIENTED_EDGE('',*,*,#208774,.F.); #288440=ORIENTED_EDGE('',*,*,#208775,.T.); #288441=ORIENTED_EDGE('',*,*,#208774,.T.); #288442=ORIENTED_EDGE('',*,*,#208776,.F.); #288443=ORIENTED_EDGE('',*,*,#208777,.F.); #288444=ORIENTED_EDGE('',*,*,#208778,.T.); #288445=ORIENTED_EDGE('',*,*,#208777,.T.); #288446=ORIENTED_EDGE('',*,*,#208779,.F.); #288447=ORIENTED_EDGE('',*,*,#208780,.F.); #288448=ORIENTED_EDGE('',*,*,#208781,.T.); #288449=ORIENTED_EDGE('',*,*,#208780,.T.); #288450=ORIENTED_EDGE('',*,*,#208782,.F.); #288451=ORIENTED_EDGE('',*,*,#208783,.F.); #288452=ORIENTED_EDGE('',*,*,#208784,.T.); #288453=ORIENTED_EDGE('',*,*,#208783,.T.); #288454=ORIENTED_EDGE('',*,*,#208785,.F.); #288455=ORIENTED_EDGE('',*,*,#208786,.F.); #288456=ORIENTED_EDGE('',*,*,#208787,.T.); #288457=ORIENTED_EDGE('',*,*,#208786,.T.); #288458=ORIENTED_EDGE('',*,*,#208788,.F.); #288459=ORIENTED_EDGE('',*,*,#208789,.F.); #288460=ORIENTED_EDGE('',*,*,#208790,.T.); #288461=ORIENTED_EDGE('',*,*,#208789,.T.); #288462=ORIENTED_EDGE('',*,*,#208791,.F.); #288463=ORIENTED_EDGE('',*,*,#208792,.F.); #288464=ORIENTED_EDGE('',*,*,#208793,.T.); #288465=ORIENTED_EDGE('',*,*,#208792,.T.); #288466=ORIENTED_EDGE('',*,*,#208794,.F.); #288467=ORIENTED_EDGE('',*,*,#208795,.F.); #288468=ORIENTED_EDGE('',*,*,#208796,.T.); #288469=ORIENTED_EDGE('',*,*,#208795,.T.); #288470=ORIENTED_EDGE('',*,*,#208797,.F.); #288471=ORIENTED_EDGE('',*,*,#208798,.F.); #288472=ORIENTED_EDGE('',*,*,#208799,.T.); #288473=ORIENTED_EDGE('',*,*,#208798,.T.); #288474=ORIENTED_EDGE('',*,*,#208800,.F.); #288475=ORIENTED_EDGE('',*,*,#208801,.F.); #288476=ORIENTED_EDGE('',*,*,#208802,.T.); #288477=ORIENTED_EDGE('',*,*,#208801,.T.); #288478=ORIENTED_EDGE('',*,*,#208803,.F.); #288479=ORIENTED_EDGE('',*,*,#208804,.F.); #288480=ORIENTED_EDGE('',*,*,#208805,.T.); #288481=ORIENTED_EDGE('',*,*,#208804,.T.); #288482=ORIENTED_EDGE('',*,*,#208806,.F.); #288483=ORIENTED_EDGE('',*,*,#208807,.F.); #288484=ORIENTED_EDGE('',*,*,#208808,.T.); #288485=ORIENTED_EDGE('',*,*,#208807,.T.); #288486=ORIENTED_EDGE('',*,*,#208809,.F.); #288487=ORIENTED_EDGE('',*,*,#208810,.F.); #288488=ORIENTED_EDGE('',*,*,#208811,.T.); #288489=ORIENTED_EDGE('',*,*,#208810,.T.); #288490=ORIENTED_EDGE('',*,*,#208812,.F.); #288491=ORIENTED_EDGE('',*,*,#208813,.F.); #288492=ORIENTED_EDGE('',*,*,#208814,.T.); #288493=ORIENTED_EDGE('',*,*,#208813,.T.); #288494=ORIENTED_EDGE('',*,*,#208815,.F.); #288495=ORIENTED_EDGE('',*,*,#208816,.F.); #288496=ORIENTED_EDGE('',*,*,#208817,.T.); #288497=ORIENTED_EDGE('',*,*,#208816,.T.); #288498=ORIENTED_EDGE('',*,*,#208818,.F.); #288499=ORIENTED_EDGE('',*,*,#208819,.F.); #288500=ORIENTED_EDGE('',*,*,#208820,.T.); #288501=ORIENTED_EDGE('',*,*,#208819,.T.); #288502=ORIENTED_EDGE('',*,*,#208821,.F.); #288503=ORIENTED_EDGE('',*,*,#208822,.F.); #288504=ORIENTED_EDGE('',*,*,#208823,.T.); #288505=ORIENTED_EDGE('',*,*,#208822,.T.); #288506=ORIENTED_EDGE('',*,*,#208824,.F.); #288507=ORIENTED_EDGE('',*,*,#208825,.F.); #288508=ORIENTED_EDGE('',*,*,#208826,.T.); #288509=ORIENTED_EDGE('',*,*,#208825,.T.); #288510=ORIENTED_EDGE('',*,*,#208827,.F.); #288511=ORIENTED_EDGE('',*,*,#208828,.F.); #288512=ORIENTED_EDGE('',*,*,#208829,.T.); #288513=ORIENTED_EDGE('',*,*,#208828,.T.); #288514=ORIENTED_EDGE('',*,*,#208830,.F.); #288515=ORIENTED_EDGE('',*,*,#208831,.F.); #288516=ORIENTED_EDGE('',*,*,#208832,.T.); #288517=ORIENTED_EDGE('',*,*,#208831,.T.); #288518=ORIENTED_EDGE('',*,*,#208833,.F.); #288519=ORIENTED_EDGE('',*,*,#208834,.F.); #288520=ORIENTED_EDGE('',*,*,#208835,.T.); #288521=ORIENTED_EDGE('',*,*,#208834,.T.); #288522=ORIENTED_EDGE('',*,*,#208836,.F.); #288523=ORIENTED_EDGE('',*,*,#208837,.F.); #288524=ORIENTED_EDGE('',*,*,#208838,.T.); #288525=ORIENTED_EDGE('',*,*,#208837,.T.); #288526=ORIENTED_EDGE('',*,*,#208839,.F.); #288527=ORIENTED_EDGE('',*,*,#208840,.F.); #288528=ORIENTED_EDGE('',*,*,#208841,.T.); #288529=ORIENTED_EDGE('',*,*,#208840,.T.); #288530=ORIENTED_EDGE('',*,*,#208842,.F.); #288531=ORIENTED_EDGE('',*,*,#208843,.F.); #288532=ORIENTED_EDGE('',*,*,#208844,.T.); #288533=ORIENTED_EDGE('',*,*,#208843,.T.); #288534=ORIENTED_EDGE('',*,*,#208845,.F.); #288535=ORIENTED_EDGE('',*,*,#208846,.F.); #288536=ORIENTED_EDGE('',*,*,#208847,.T.); #288537=ORIENTED_EDGE('',*,*,#208846,.T.); #288538=ORIENTED_EDGE('',*,*,#208848,.F.); #288539=ORIENTED_EDGE('',*,*,#208634,.F.); #288540=ORIENTED_EDGE('',*,*,#208848,.T.); #288541=ORIENTED_EDGE('',*,*,#208845,.T.); #288542=ORIENTED_EDGE('',*,*,#208842,.T.); #288543=ORIENTED_EDGE('',*,*,#208839,.T.); #288544=ORIENTED_EDGE('',*,*,#208836,.T.); #288545=ORIENTED_EDGE('',*,*,#208833,.T.); #288546=ORIENTED_EDGE('',*,*,#208830,.T.); #288547=ORIENTED_EDGE('',*,*,#208827,.T.); #288548=ORIENTED_EDGE('',*,*,#208824,.T.); #288549=ORIENTED_EDGE('',*,*,#208821,.T.); #288550=ORIENTED_EDGE('',*,*,#208818,.T.); #288551=ORIENTED_EDGE('',*,*,#208815,.T.); #288552=ORIENTED_EDGE('',*,*,#208812,.T.); #288553=ORIENTED_EDGE('',*,*,#208809,.T.); #288554=ORIENTED_EDGE('',*,*,#208806,.T.); #288555=ORIENTED_EDGE('',*,*,#208803,.T.); #288556=ORIENTED_EDGE('',*,*,#208800,.T.); #288557=ORIENTED_EDGE('',*,*,#208797,.T.); #288558=ORIENTED_EDGE('',*,*,#208794,.T.); #288559=ORIENTED_EDGE('',*,*,#208791,.T.); #288560=ORIENTED_EDGE('',*,*,#208788,.T.); #288561=ORIENTED_EDGE('',*,*,#208785,.T.); #288562=ORIENTED_EDGE('',*,*,#208782,.T.); #288563=ORIENTED_EDGE('',*,*,#208779,.T.); #288564=ORIENTED_EDGE('',*,*,#208776,.T.); #288565=ORIENTED_EDGE('',*,*,#208773,.T.); #288566=ORIENTED_EDGE('',*,*,#208770,.T.); #288567=ORIENTED_EDGE('',*,*,#208767,.T.); #288568=ORIENTED_EDGE('',*,*,#208764,.T.); #288569=ORIENTED_EDGE('',*,*,#208761,.T.); #288570=ORIENTED_EDGE('',*,*,#208758,.T.); #288571=ORIENTED_EDGE('',*,*,#208755,.T.); #288572=ORIENTED_EDGE('',*,*,#208752,.T.); #288573=ORIENTED_EDGE('',*,*,#208749,.T.); #288574=ORIENTED_EDGE('',*,*,#208746,.T.); #288575=ORIENTED_EDGE('',*,*,#208743,.T.); #288576=ORIENTED_EDGE('',*,*,#208740,.T.); #288577=ORIENTED_EDGE('',*,*,#208737,.T.); #288578=ORIENTED_EDGE('',*,*,#208734,.T.); #288579=ORIENTED_EDGE('',*,*,#208731,.T.); #288580=ORIENTED_EDGE('',*,*,#208728,.T.); #288581=ORIENTED_EDGE('',*,*,#208725,.T.); #288582=ORIENTED_EDGE('',*,*,#208722,.T.); #288583=ORIENTED_EDGE('',*,*,#208719,.T.); #288584=ORIENTED_EDGE('',*,*,#208716,.T.); #288585=ORIENTED_EDGE('',*,*,#208713,.T.); #288586=ORIENTED_EDGE('',*,*,#208710,.T.); #288587=ORIENTED_EDGE('',*,*,#208707,.T.); #288588=ORIENTED_EDGE('',*,*,#208704,.T.); #288589=ORIENTED_EDGE('',*,*,#208701,.T.); #288590=ORIENTED_EDGE('',*,*,#208698,.T.); #288591=ORIENTED_EDGE('',*,*,#208695,.T.); #288592=ORIENTED_EDGE('',*,*,#208692,.T.); #288593=ORIENTED_EDGE('',*,*,#208689,.T.); #288594=ORIENTED_EDGE('',*,*,#208686,.T.); #288595=ORIENTED_EDGE('',*,*,#208683,.T.); #288596=ORIENTED_EDGE('',*,*,#208680,.T.); #288597=ORIENTED_EDGE('',*,*,#208677,.T.); #288598=ORIENTED_EDGE('',*,*,#208674,.T.); #288599=ORIENTED_EDGE('',*,*,#208671,.T.); #288600=ORIENTED_EDGE('',*,*,#208668,.T.); #288601=ORIENTED_EDGE('',*,*,#208665,.T.); #288602=ORIENTED_EDGE('',*,*,#208662,.T.); #288603=ORIENTED_EDGE('',*,*,#208659,.T.); #288604=ORIENTED_EDGE('',*,*,#208656,.T.); #288605=ORIENTED_EDGE('',*,*,#208653,.T.); #288606=ORIENTED_EDGE('',*,*,#208650,.T.); #288607=ORIENTED_EDGE('',*,*,#208647,.T.); #288608=ORIENTED_EDGE('',*,*,#208644,.T.); #288609=ORIENTED_EDGE('',*,*,#208641,.T.); #288610=ORIENTED_EDGE('',*,*,#208638,.T.); #288611=ORIENTED_EDGE('',*,*,#208635,.T.); #288612=ORIENTED_EDGE('',*,*,#208628,.T.); #288613=ORIENTED_EDGE('',*,*,#208631,.T.); #288614=ORIENTED_EDGE('',*,*,#208621,.T.); #288615=ORIENTED_EDGE('',*,*,#208625,.T.); #288616=ORIENTED_EDGE('',*,*,#208847,.F.); #288617=ORIENTED_EDGE('',*,*,#208633,.F.); #288618=ORIENTED_EDGE('',*,*,#208637,.F.); #288619=ORIENTED_EDGE('',*,*,#208640,.F.); #288620=ORIENTED_EDGE('',*,*,#208643,.F.); #288621=ORIENTED_EDGE('',*,*,#208646,.F.); #288622=ORIENTED_EDGE('',*,*,#208649,.F.); #288623=ORIENTED_EDGE('',*,*,#208652,.F.); #288624=ORIENTED_EDGE('',*,*,#208655,.F.); #288625=ORIENTED_EDGE('',*,*,#208658,.F.); #288626=ORIENTED_EDGE('',*,*,#208661,.F.); #288627=ORIENTED_EDGE('',*,*,#208664,.F.); #288628=ORIENTED_EDGE('',*,*,#208667,.F.); #288629=ORIENTED_EDGE('',*,*,#208670,.F.); #288630=ORIENTED_EDGE('',*,*,#208673,.F.); #288631=ORIENTED_EDGE('',*,*,#208676,.F.); #288632=ORIENTED_EDGE('',*,*,#208679,.F.); #288633=ORIENTED_EDGE('',*,*,#208682,.F.); #288634=ORIENTED_EDGE('',*,*,#208685,.F.); #288635=ORIENTED_EDGE('',*,*,#208688,.F.); #288636=ORIENTED_EDGE('',*,*,#208691,.F.); #288637=ORIENTED_EDGE('',*,*,#208694,.F.); #288638=ORIENTED_EDGE('',*,*,#208697,.F.); #288639=ORIENTED_EDGE('',*,*,#208700,.F.); #288640=ORIENTED_EDGE('',*,*,#208703,.F.); #288641=ORIENTED_EDGE('',*,*,#208706,.F.); #288642=ORIENTED_EDGE('',*,*,#208709,.F.); #288643=ORIENTED_EDGE('',*,*,#208712,.F.); #288644=ORIENTED_EDGE('',*,*,#208715,.F.); #288645=ORIENTED_EDGE('',*,*,#208718,.F.); #288646=ORIENTED_EDGE('',*,*,#208721,.F.); #288647=ORIENTED_EDGE('',*,*,#208724,.F.); #288648=ORIENTED_EDGE('',*,*,#208727,.F.); #288649=ORIENTED_EDGE('',*,*,#208730,.F.); #288650=ORIENTED_EDGE('',*,*,#208733,.F.); #288651=ORIENTED_EDGE('',*,*,#208736,.F.); #288652=ORIENTED_EDGE('',*,*,#208739,.F.); #288653=ORIENTED_EDGE('',*,*,#208742,.F.); #288654=ORIENTED_EDGE('',*,*,#208745,.F.); #288655=ORIENTED_EDGE('',*,*,#208748,.F.); #288656=ORIENTED_EDGE('',*,*,#208751,.F.); #288657=ORIENTED_EDGE('',*,*,#208754,.F.); #288658=ORIENTED_EDGE('',*,*,#208757,.F.); #288659=ORIENTED_EDGE('',*,*,#208760,.F.); #288660=ORIENTED_EDGE('',*,*,#208763,.F.); #288661=ORIENTED_EDGE('',*,*,#208766,.F.); #288662=ORIENTED_EDGE('',*,*,#208769,.F.); #288663=ORIENTED_EDGE('',*,*,#208772,.F.); #288664=ORIENTED_EDGE('',*,*,#208775,.F.); #288665=ORIENTED_EDGE('',*,*,#208778,.F.); #288666=ORIENTED_EDGE('',*,*,#208781,.F.); #288667=ORIENTED_EDGE('',*,*,#208784,.F.); #288668=ORIENTED_EDGE('',*,*,#208787,.F.); #288669=ORIENTED_EDGE('',*,*,#208790,.F.); #288670=ORIENTED_EDGE('',*,*,#208793,.F.); #288671=ORIENTED_EDGE('',*,*,#208796,.F.); #288672=ORIENTED_EDGE('',*,*,#208799,.F.); #288673=ORIENTED_EDGE('',*,*,#208802,.F.); #288674=ORIENTED_EDGE('',*,*,#208805,.F.); #288675=ORIENTED_EDGE('',*,*,#208808,.F.); #288676=ORIENTED_EDGE('',*,*,#208811,.F.); #288677=ORIENTED_EDGE('',*,*,#208814,.F.); #288678=ORIENTED_EDGE('',*,*,#208817,.F.); #288679=ORIENTED_EDGE('',*,*,#208820,.F.); #288680=ORIENTED_EDGE('',*,*,#208823,.F.); #288681=ORIENTED_EDGE('',*,*,#208826,.F.); #288682=ORIENTED_EDGE('',*,*,#208829,.F.); #288683=ORIENTED_EDGE('',*,*,#208832,.F.); #288684=ORIENTED_EDGE('',*,*,#208835,.F.); #288685=ORIENTED_EDGE('',*,*,#208838,.F.); #288686=ORIENTED_EDGE('',*,*,#208841,.F.); #288687=ORIENTED_EDGE('',*,*,#208844,.F.); #288688=ORIENTED_EDGE('',*,*,#208629,.T.); #288689=ORIENTED_EDGE('',*,*,#208626,.T.); #288690=ORIENTED_EDGE('',*,*,#208623,.T.); #288691=ORIENTED_EDGE('',*,*,#208632,.T.); #288692=ORIENTED_EDGE('',*,*,#208849,.F.); #288693=ORIENTED_EDGE('',*,*,#208850,.F.); #288694=ORIENTED_EDGE('',*,*,#208851,.F.); #288695=ORIENTED_EDGE('',*,*,#208852,.T.); #288696=ORIENTED_EDGE('',*,*,#208853,.F.); #288697=ORIENTED_EDGE('',*,*,#208852,.F.); #288698=ORIENTED_EDGE('',*,*,#208854,.F.); #288699=ORIENTED_EDGE('',*,*,#208855,.T.); #288700=ORIENTED_EDGE('',*,*,#208856,.F.); #288701=ORIENTED_EDGE('',*,*,#208855,.F.); #288702=ORIENTED_EDGE('',*,*,#208857,.F.); #288703=ORIENTED_EDGE('',*,*,#208858,.T.); #288704=ORIENTED_EDGE('',*,*,#208859,.F.); #288705=ORIENTED_EDGE('',*,*,#208858,.F.); #288706=ORIENTED_EDGE('',*,*,#208860,.F.); #288707=ORIENTED_EDGE('',*,*,#208850,.T.); #288708=ORIENTED_EDGE('',*,*,#208861,.T.); #288709=ORIENTED_EDGE('',*,*,#208862,.T.); #288710=ORIENTED_EDGE('',*,*,#208863,.F.); #288711=ORIENTED_EDGE('',*,*,#208864,.F.); #288712=ORIENTED_EDGE('',*,*,#208865,.T.); #288713=ORIENTED_EDGE('',*,*,#208864,.T.); #288714=ORIENTED_EDGE('',*,*,#208866,.F.); #288715=ORIENTED_EDGE('',*,*,#208867,.F.); #288716=ORIENTED_EDGE('',*,*,#208868,.T.); #288717=ORIENTED_EDGE('',*,*,#208867,.T.); #288718=ORIENTED_EDGE('',*,*,#208869,.F.); #288719=ORIENTED_EDGE('',*,*,#208870,.F.); #288720=ORIENTED_EDGE('',*,*,#208871,.T.); #288721=ORIENTED_EDGE('',*,*,#208870,.T.); #288722=ORIENTED_EDGE('',*,*,#208872,.F.); #288723=ORIENTED_EDGE('',*,*,#208873,.F.); #288724=ORIENTED_EDGE('',*,*,#208874,.T.); #288725=ORIENTED_EDGE('',*,*,#208873,.T.); #288726=ORIENTED_EDGE('',*,*,#208875,.F.); #288727=ORIENTED_EDGE('',*,*,#208876,.F.); #288728=ORIENTED_EDGE('',*,*,#208877,.T.); #288729=ORIENTED_EDGE('',*,*,#208876,.T.); #288730=ORIENTED_EDGE('',*,*,#208878,.F.); #288731=ORIENTED_EDGE('',*,*,#208879,.F.); #288732=ORIENTED_EDGE('',*,*,#208880,.T.); #288733=ORIENTED_EDGE('',*,*,#208879,.T.); #288734=ORIENTED_EDGE('',*,*,#208881,.F.); #288735=ORIENTED_EDGE('',*,*,#208882,.F.); #288736=ORIENTED_EDGE('',*,*,#208883,.T.); #288737=ORIENTED_EDGE('',*,*,#208882,.T.); #288738=ORIENTED_EDGE('',*,*,#208884,.F.); #288739=ORIENTED_EDGE('',*,*,#208885,.F.); #288740=ORIENTED_EDGE('',*,*,#208886,.T.); #288741=ORIENTED_EDGE('',*,*,#208885,.T.); #288742=ORIENTED_EDGE('',*,*,#208887,.F.); #288743=ORIENTED_EDGE('',*,*,#208888,.F.); #288744=ORIENTED_EDGE('',*,*,#208889,.T.); #288745=ORIENTED_EDGE('',*,*,#208888,.T.); #288746=ORIENTED_EDGE('',*,*,#208890,.F.); #288747=ORIENTED_EDGE('',*,*,#208891,.F.); #288748=ORIENTED_EDGE('',*,*,#208892,.T.); #288749=ORIENTED_EDGE('',*,*,#208891,.T.); #288750=ORIENTED_EDGE('',*,*,#208893,.F.); #288751=ORIENTED_EDGE('',*,*,#208894,.F.); #288752=ORIENTED_EDGE('',*,*,#208895,.T.); #288753=ORIENTED_EDGE('',*,*,#208894,.T.); #288754=ORIENTED_EDGE('',*,*,#208896,.F.); #288755=ORIENTED_EDGE('',*,*,#208897,.F.); #288756=ORIENTED_EDGE('',*,*,#208898,.T.); #288757=ORIENTED_EDGE('',*,*,#208897,.T.); #288758=ORIENTED_EDGE('',*,*,#208899,.F.); #288759=ORIENTED_EDGE('',*,*,#208900,.F.); #288760=ORIENTED_EDGE('',*,*,#208901,.T.); #288761=ORIENTED_EDGE('',*,*,#208900,.T.); #288762=ORIENTED_EDGE('',*,*,#208902,.F.); #288763=ORIENTED_EDGE('',*,*,#208903,.F.); #288764=ORIENTED_EDGE('',*,*,#208904,.T.); #288765=ORIENTED_EDGE('',*,*,#208903,.T.); #288766=ORIENTED_EDGE('',*,*,#208905,.F.); #288767=ORIENTED_EDGE('',*,*,#208906,.F.); #288768=ORIENTED_EDGE('',*,*,#208907,.T.); #288769=ORIENTED_EDGE('',*,*,#208906,.T.); #288770=ORIENTED_EDGE('',*,*,#208908,.F.); #288771=ORIENTED_EDGE('',*,*,#208909,.F.); #288772=ORIENTED_EDGE('',*,*,#208910,.T.); #288773=ORIENTED_EDGE('',*,*,#208909,.T.); #288774=ORIENTED_EDGE('',*,*,#208911,.F.); #288775=ORIENTED_EDGE('',*,*,#208912,.F.); #288776=ORIENTED_EDGE('',*,*,#208913,.T.); #288777=ORIENTED_EDGE('',*,*,#208912,.T.); #288778=ORIENTED_EDGE('',*,*,#208914,.F.); #288779=ORIENTED_EDGE('',*,*,#208915,.F.); #288780=ORIENTED_EDGE('',*,*,#208916,.T.); #288781=ORIENTED_EDGE('',*,*,#208915,.T.); #288782=ORIENTED_EDGE('',*,*,#208917,.F.); #288783=ORIENTED_EDGE('',*,*,#208918,.F.); #288784=ORIENTED_EDGE('',*,*,#208919,.T.); #288785=ORIENTED_EDGE('',*,*,#208918,.T.); #288786=ORIENTED_EDGE('',*,*,#208920,.F.); #288787=ORIENTED_EDGE('',*,*,#208921,.F.); #288788=ORIENTED_EDGE('',*,*,#208922,.T.); #288789=ORIENTED_EDGE('',*,*,#208921,.T.); #288790=ORIENTED_EDGE('',*,*,#208923,.F.); #288791=ORIENTED_EDGE('',*,*,#208924,.F.); #288792=ORIENTED_EDGE('',*,*,#208925,.T.); #288793=ORIENTED_EDGE('',*,*,#208924,.T.); #288794=ORIENTED_EDGE('',*,*,#208926,.F.); #288795=ORIENTED_EDGE('',*,*,#208927,.F.); #288796=ORIENTED_EDGE('',*,*,#208928,.T.); #288797=ORIENTED_EDGE('',*,*,#208927,.T.); #288798=ORIENTED_EDGE('',*,*,#208929,.F.); #288799=ORIENTED_EDGE('',*,*,#208930,.F.); #288800=ORIENTED_EDGE('',*,*,#208931,.T.); #288801=ORIENTED_EDGE('',*,*,#208930,.T.); #288802=ORIENTED_EDGE('',*,*,#208932,.F.); #288803=ORIENTED_EDGE('',*,*,#208933,.F.); #288804=ORIENTED_EDGE('',*,*,#208934,.T.); #288805=ORIENTED_EDGE('',*,*,#208933,.T.); #288806=ORIENTED_EDGE('',*,*,#208935,.F.); #288807=ORIENTED_EDGE('',*,*,#208936,.F.); #288808=ORIENTED_EDGE('',*,*,#208937,.T.); #288809=ORIENTED_EDGE('',*,*,#208936,.T.); #288810=ORIENTED_EDGE('',*,*,#208938,.F.); #288811=ORIENTED_EDGE('',*,*,#208939,.F.); #288812=ORIENTED_EDGE('',*,*,#208940,.T.); #288813=ORIENTED_EDGE('',*,*,#208939,.T.); #288814=ORIENTED_EDGE('',*,*,#208941,.F.); #288815=ORIENTED_EDGE('',*,*,#208942,.F.); #288816=ORIENTED_EDGE('',*,*,#208943,.T.); #288817=ORIENTED_EDGE('',*,*,#208942,.T.); #288818=ORIENTED_EDGE('',*,*,#208944,.F.); #288819=ORIENTED_EDGE('',*,*,#208945,.F.); #288820=ORIENTED_EDGE('',*,*,#208946,.T.); #288821=ORIENTED_EDGE('',*,*,#208945,.T.); #288822=ORIENTED_EDGE('',*,*,#208947,.F.); #288823=ORIENTED_EDGE('',*,*,#208948,.F.); #288824=ORIENTED_EDGE('',*,*,#208949,.T.); #288825=ORIENTED_EDGE('',*,*,#208948,.T.); #288826=ORIENTED_EDGE('',*,*,#208950,.F.); #288827=ORIENTED_EDGE('',*,*,#208951,.F.); #288828=ORIENTED_EDGE('',*,*,#208952,.T.); #288829=ORIENTED_EDGE('',*,*,#208951,.T.); #288830=ORIENTED_EDGE('',*,*,#208953,.F.); #288831=ORIENTED_EDGE('',*,*,#208954,.F.); #288832=ORIENTED_EDGE('',*,*,#208955,.T.); #288833=ORIENTED_EDGE('',*,*,#208954,.T.); #288834=ORIENTED_EDGE('',*,*,#208956,.F.); #288835=ORIENTED_EDGE('',*,*,#208957,.F.); #288836=ORIENTED_EDGE('',*,*,#208958,.T.); #288837=ORIENTED_EDGE('',*,*,#208957,.T.); #288838=ORIENTED_EDGE('',*,*,#208959,.F.); #288839=ORIENTED_EDGE('',*,*,#208960,.F.); #288840=ORIENTED_EDGE('',*,*,#208961,.T.); #288841=ORIENTED_EDGE('',*,*,#208960,.T.); #288842=ORIENTED_EDGE('',*,*,#208962,.F.); #288843=ORIENTED_EDGE('',*,*,#208963,.F.); #288844=ORIENTED_EDGE('',*,*,#208964,.T.); #288845=ORIENTED_EDGE('',*,*,#208963,.T.); #288846=ORIENTED_EDGE('',*,*,#208965,.F.); #288847=ORIENTED_EDGE('',*,*,#208966,.F.); #288848=ORIENTED_EDGE('',*,*,#208967,.T.); #288849=ORIENTED_EDGE('',*,*,#208966,.T.); #288850=ORIENTED_EDGE('',*,*,#208968,.F.); #288851=ORIENTED_EDGE('',*,*,#208969,.F.); #288852=ORIENTED_EDGE('',*,*,#208970,.T.); #288853=ORIENTED_EDGE('',*,*,#208969,.T.); #288854=ORIENTED_EDGE('',*,*,#208971,.F.); #288855=ORIENTED_EDGE('',*,*,#208972,.F.); #288856=ORIENTED_EDGE('',*,*,#208973,.T.); #288857=ORIENTED_EDGE('',*,*,#208972,.T.); #288858=ORIENTED_EDGE('',*,*,#208974,.F.); #288859=ORIENTED_EDGE('',*,*,#208975,.F.); #288860=ORIENTED_EDGE('',*,*,#208976,.T.); #288861=ORIENTED_EDGE('',*,*,#208975,.T.); #288862=ORIENTED_EDGE('',*,*,#208977,.F.); #288863=ORIENTED_EDGE('',*,*,#208978,.F.); #288864=ORIENTED_EDGE('',*,*,#208979,.T.); #288865=ORIENTED_EDGE('',*,*,#208978,.T.); #288866=ORIENTED_EDGE('',*,*,#208980,.F.); #288867=ORIENTED_EDGE('',*,*,#208981,.F.); #288868=ORIENTED_EDGE('',*,*,#208982,.T.); #288869=ORIENTED_EDGE('',*,*,#208981,.T.); #288870=ORIENTED_EDGE('',*,*,#208983,.F.); #288871=ORIENTED_EDGE('',*,*,#208984,.F.); #288872=ORIENTED_EDGE('',*,*,#208985,.T.); #288873=ORIENTED_EDGE('',*,*,#208984,.T.); #288874=ORIENTED_EDGE('',*,*,#208986,.F.); #288875=ORIENTED_EDGE('',*,*,#208987,.F.); #288876=ORIENTED_EDGE('',*,*,#208988,.T.); #288877=ORIENTED_EDGE('',*,*,#208987,.T.); #288878=ORIENTED_EDGE('',*,*,#208989,.F.); #288879=ORIENTED_EDGE('',*,*,#208990,.F.); #288880=ORIENTED_EDGE('',*,*,#208991,.T.); #288881=ORIENTED_EDGE('',*,*,#208990,.T.); #288882=ORIENTED_EDGE('',*,*,#208992,.F.); #288883=ORIENTED_EDGE('',*,*,#208993,.F.); #288884=ORIENTED_EDGE('',*,*,#208994,.T.); #288885=ORIENTED_EDGE('',*,*,#208993,.T.); #288886=ORIENTED_EDGE('',*,*,#208995,.F.); #288887=ORIENTED_EDGE('',*,*,#208996,.F.); #288888=ORIENTED_EDGE('',*,*,#208997,.T.); #288889=ORIENTED_EDGE('',*,*,#208996,.T.); #288890=ORIENTED_EDGE('',*,*,#208998,.F.); #288891=ORIENTED_EDGE('',*,*,#208999,.F.); #288892=ORIENTED_EDGE('',*,*,#209000,.T.); #288893=ORIENTED_EDGE('',*,*,#208999,.T.); #288894=ORIENTED_EDGE('',*,*,#209001,.F.); #288895=ORIENTED_EDGE('',*,*,#209002,.F.); #288896=ORIENTED_EDGE('',*,*,#209003,.T.); #288897=ORIENTED_EDGE('',*,*,#209002,.T.); #288898=ORIENTED_EDGE('',*,*,#209004,.F.); #288899=ORIENTED_EDGE('',*,*,#209005,.F.); #288900=ORIENTED_EDGE('',*,*,#209006,.T.); #288901=ORIENTED_EDGE('',*,*,#209005,.T.); #288902=ORIENTED_EDGE('',*,*,#209007,.F.); #288903=ORIENTED_EDGE('',*,*,#209008,.F.); #288904=ORIENTED_EDGE('',*,*,#209009,.T.); #288905=ORIENTED_EDGE('',*,*,#209008,.T.); #288906=ORIENTED_EDGE('',*,*,#209010,.F.); #288907=ORIENTED_EDGE('',*,*,#209011,.F.); #288908=ORIENTED_EDGE('',*,*,#209012,.T.); #288909=ORIENTED_EDGE('',*,*,#209011,.T.); #288910=ORIENTED_EDGE('',*,*,#209013,.F.); #288911=ORIENTED_EDGE('',*,*,#209014,.F.); #288912=ORIENTED_EDGE('',*,*,#209015,.T.); #288913=ORIENTED_EDGE('',*,*,#209014,.T.); #288914=ORIENTED_EDGE('',*,*,#209016,.F.); #288915=ORIENTED_EDGE('',*,*,#209017,.F.); #288916=ORIENTED_EDGE('',*,*,#209018,.T.); #288917=ORIENTED_EDGE('',*,*,#209017,.T.); #288918=ORIENTED_EDGE('',*,*,#209019,.F.); #288919=ORIENTED_EDGE('',*,*,#209020,.F.); #288920=ORIENTED_EDGE('',*,*,#209021,.T.); #288921=ORIENTED_EDGE('',*,*,#209020,.T.); #288922=ORIENTED_EDGE('',*,*,#209022,.F.); #288923=ORIENTED_EDGE('',*,*,#209023,.F.); #288924=ORIENTED_EDGE('',*,*,#209024,.T.); #288925=ORIENTED_EDGE('',*,*,#209023,.T.); #288926=ORIENTED_EDGE('',*,*,#209025,.F.); #288927=ORIENTED_EDGE('',*,*,#209026,.F.); #288928=ORIENTED_EDGE('',*,*,#209027,.T.); #288929=ORIENTED_EDGE('',*,*,#209026,.T.); #288930=ORIENTED_EDGE('',*,*,#209028,.F.); #288931=ORIENTED_EDGE('',*,*,#208862,.F.); #288932=ORIENTED_EDGE('',*,*,#209028,.T.); #288933=ORIENTED_EDGE('',*,*,#209025,.T.); #288934=ORIENTED_EDGE('',*,*,#209022,.T.); #288935=ORIENTED_EDGE('',*,*,#209019,.T.); #288936=ORIENTED_EDGE('',*,*,#209016,.T.); #288937=ORIENTED_EDGE('',*,*,#209013,.T.); #288938=ORIENTED_EDGE('',*,*,#209010,.T.); #288939=ORIENTED_EDGE('',*,*,#209007,.T.); #288940=ORIENTED_EDGE('',*,*,#209004,.T.); #288941=ORIENTED_EDGE('',*,*,#209001,.T.); #288942=ORIENTED_EDGE('',*,*,#208998,.T.); #288943=ORIENTED_EDGE('',*,*,#208995,.T.); #288944=ORIENTED_EDGE('',*,*,#208992,.T.); #288945=ORIENTED_EDGE('',*,*,#208989,.T.); #288946=ORIENTED_EDGE('',*,*,#208986,.T.); #288947=ORIENTED_EDGE('',*,*,#208983,.T.); #288948=ORIENTED_EDGE('',*,*,#208980,.T.); #288949=ORIENTED_EDGE('',*,*,#208977,.T.); #288950=ORIENTED_EDGE('',*,*,#208974,.T.); #288951=ORIENTED_EDGE('',*,*,#208971,.T.); #288952=ORIENTED_EDGE('',*,*,#208968,.T.); #288953=ORIENTED_EDGE('',*,*,#208965,.T.); #288954=ORIENTED_EDGE('',*,*,#208962,.T.); #288955=ORIENTED_EDGE('',*,*,#208959,.T.); #288956=ORIENTED_EDGE('',*,*,#208956,.T.); #288957=ORIENTED_EDGE('',*,*,#208953,.T.); #288958=ORIENTED_EDGE('',*,*,#208950,.T.); #288959=ORIENTED_EDGE('',*,*,#208947,.T.); #288960=ORIENTED_EDGE('',*,*,#208944,.T.); #288961=ORIENTED_EDGE('',*,*,#208941,.T.); #288962=ORIENTED_EDGE('',*,*,#208938,.T.); #288963=ORIENTED_EDGE('',*,*,#208935,.T.); #288964=ORIENTED_EDGE('',*,*,#208932,.T.); #288965=ORIENTED_EDGE('',*,*,#208929,.T.); #288966=ORIENTED_EDGE('',*,*,#208926,.T.); #288967=ORIENTED_EDGE('',*,*,#208923,.T.); #288968=ORIENTED_EDGE('',*,*,#208920,.T.); #288969=ORIENTED_EDGE('',*,*,#208917,.T.); #288970=ORIENTED_EDGE('',*,*,#208914,.T.); #288971=ORIENTED_EDGE('',*,*,#208911,.T.); #288972=ORIENTED_EDGE('',*,*,#208908,.T.); #288973=ORIENTED_EDGE('',*,*,#208905,.T.); #288974=ORIENTED_EDGE('',*,*,#208902,.T.); #288975=ORIENTED_EDGE('',*,*,#208899,.T.); #288976=ORIENTED_EDGE('',*,*,#208896,.T.); #288977=ORIENTED_EDGE('',*,*,#208893,.T.); #288978=ORIENTED_EDGE('',*,*,#208890,.T.); #288979=ORIENTED_EDGE('',*,*,#208887,.T.); #288980=ORIENTED_EDGE('',*,*,#208884,.T.); #288981=ORIENTED_EDGE('',*,*,#208881,.T.); #288982=ORIENTED_EDGE('',*,*,#208878,.T.); #288983=ORIENTED_EDGE('',*,*,#208875,.T.); #288984=ORIENTED_EDGE('',*,*,#208872,.T.); #288985=ORIENTED_EDGE('',*,*,#208869,.T.); #288986=ORIENTED_EDGE('',*,*,#208866,.T.); #288987=ORIENTED_EDGE('',*,*,#208863,.T.); #288988=ORIENTED_EDGE('',*,*,#208856,.T.); #288989=ORIENTED_EDGE('',*,*,#208859,.T.); #288990=ORIENTED_EDGE('',*,*,#208849,.T.); #288991=ORIENTED_EDGE('',*,*,#208853,.T.); #288992=ORIENTED_EDGE('',*,*,#209027,.F.); #288993=ORIENTED_EDGE('',*,*,#208861,.F.); #288994=ORIENTED_EDGE('',*,*,#208865,.F.); #288995=ORIENTED_EDGE('',*,*,#208868,.F.); #288996=ORIENTED_EDGE('',*,*,#208871,.F.); #288997=ORIENTED_EDGE('',*,*,#208874,.F.); #288998=ORIENTED_EDGE('',*,*,#208877,.F.); #288999=ORIENTED_EDGE('',*,*,#208880,.F.); #289000=ORIENTED_EDGE('',*,*,#208883,.F.); #289001=ORIENTED_EDGE('',*,*,#208886,.F.); #289002=ORIENTED_EDGE('',*,*,#208889,.F.); #289003=ORIENTED_EDGE('',*,*,#208892,.F.); #289004=ORIENTED_EDGE('',*,*,#208895,.F.); #289005=ORIENTED_EDGE('',*,*,#208898,.F.); #289006=ORIENTED_EDGE('',*,*,#208901,.F.); #289007=ORIENTED_EDGE('',*,*,#208904,.F.); #289008=ORIENTED_EDGE('',*,*,#208907,.F.); #289009=ORIENTED_EDGE('',*,*,#208910,.F.); #289010=ORIENTED_EDGE('',*,*,#208913,.F.); #289011=ORIENTED_EDGE('',*,*,#208916,.F.); #289012=ORIENTED_EDGE('',*,*,#208919,.F.); #289013=ORIENTED_EDGE('',*,*,#208922,.F.); #289014=ORIENTED_EDGE('',*,*,#208925,.F.); #289015=ORIENTED_EDGE('',*,*,#208928,.F.); #289016=ORIENTED_EDGE('',*,*,#208931,.F.); #289017=ORIENTED_EDGE('',*,*,#208934,.F.); #289018=ORIENTED_EDGE('',*,*,#208937,.F.); #289019=ORIENTED_EDGE('',*,*,#208940,.F.); #289020=ORIENTED_EDGE('',*,*,#208943,.F.); #289021=ORIENTED_EDGE('',*,*,#208946,.F.); #289022=ORIENTED_EDGE('',*,*,#208949,.F.); #289023=ORIENTED_EDGE('',*,*,#208952,.F.); #289024=ORIENTED_EDGE('',*,*,#208955,.F.); #289025=ORIENTED_EDGE('',*,*,#208958,.F.); #289026=ORIENTED_EDGE('',*,*,#208961,.F.); #289027=ORIENTED_EDGE('',*,*,#208964,.F.); #289028=ORIENTED_EDGE('',*,*,#208967,.F.); #289029=ORIENTED_EDGE('',*,*,#208970,.F.); #289030=ORIENTED_EDGE('',*,*,#208973,.F.); #289031=ORIENTED_EDGE('',*,*,#208976,.F.); #289032=ORIENTED_EDGE('',*,*,#208979,.F.); #289033=ORIENTED_EDGE('',*,*,#208982,.F.); #289034=ORIENTED_EDGE('',*,*,#208985,.F.); #289035=ORIENTED_EDGE('',*,*,#208988,.F.); #289036=ORIENTED_EDGE('',*,*,#208991,.F.); #289037=ORIENTED_EDGE('',*,*,#208994,.F.); #289038=ORIENTED_EDGE('',*,*,#208997,.F.); #289039=ORIENTED_EDGE('',*,*,#209000,.F.); #289040=ORIENTED_EDGE('',*,*,#209003,.F.); #289041=ORIENTED_EDGE('',*,*,#209006,.F.); #289042=ORIENTED_EDGE('',*,*,#209009,.F.); #289043=ORIENTED_EDGE('',*,*,#209012,.F.); #289044=ORIENTED_EDGE('',*,*,#209015,.F.); #289045=ORIENTED_EDGE('',*,*,#209018,.F.); #289046=ORIENTED_EDGE('',*,*,#209021,.F.); #289047=ORIENTED_EDGE('',*,*,#209024,.F.); #289048=ORIENTED_EDGE('',*,*,#208857,.T.); #289049=ORIENTED_EDGE('',*,*,#208854,.T.); #289050=ORIENTED_EDGE('',*,*,#208851,.T.); #289051=ORIENTED_EDGE('',*,*,#208860,.T.); #289052=ORIENTED_EDGE('',*,*,#209029,.F.); #289053=ORIENTED_EDGE('',*,*,#209030,.T.); #289054=ORIENTED_EDGE('',*,*,#209031,.F.); #289055=ORIENTED_EDGE('',*,*,#209030,.F.); #289056=ORIENTED_EDGE('',*,*,#209032,.T.); #289057=ORIENTED_EDGE('',*,*,#209033,.T.); #289058=ORIENTED_EDGE('',*,*,#209034,.F.); #289059=ORIENTED_EDGE('',*,*,#209035,.F.); #289060=ORIENTED_EDGE('',*,*,#209036,.T.); #289061=ORIENTED_EDGE('',*,*,#209035,.T.); #289062=ORIENTED_EDGE('',*,*,#209037,.F.); #289063=ORIENTED_EDGE('',*,*,#209038,.F.); #289064=ORIENTED_EDGE('',*,*,#209039,.T.); #289065=ORIENTED_EDGE('',*,*,#209038,.T.); #289066=ORIENTED_EDGE('',*,*,#209040,.F.); #289067=ORIENTED_EDGE('',*,*,#209033,.F.); #289068=ORIENTED_EDGE('',*,*,#209040,.T.); #289069=ORIENTED_EDGE('',*,*,#209037,.T.); #289070=ORIENTED_EDGE('',*,*,#209034,.T.); #289071=ORIENTED_EDGE('',*,*,#209031,.T.); #289072=ORIENTED_EDGE('',*,*,#209039,.F.); #289073=ORIENTED_EDGE('',*,*,#209032,.F.); #289074=ORIENTED_EDGE('',*,*,#209036,.F.); #289075=ORIENTED_EDGE('',*,*,#209029,.T.); #289076=ORIENTED_EDGE('',*,*,#209041,.F.); #289077=ORIENTED_EDGE('',*,*,#209042,.F.); #289078=ORIENTED_EDGE('',*,*,#209043,.F.); #289079=ORIENTED_EDGE('',*,*,#209044,.T.); #289080=ORIENTED_EDGE('',*,*,#209045,.F.); #289081=ORIENTED_EDGE('',*,*,#209044,.F.); #289082=ORIENTED_EDGE('',*,*,#209046,.F.); #289083=ORIENTED_EDGE('',*,*,#209047,.T.); #289084=ORIENTED_EDGE('',*,*,#209048,.F.); #289085=ORIENTED_EDGE('',*,*,#209047,.F.); #289086=ORIENTED_EDGE('',*,*,#209049,.F.); #289087=ORIENTED_EDGE('',*,*,#209050,.T.); #289088=ORIENTED_EDGE('',*,*,#209051,.F.); #289089=ORIENTED_EDGE('',*,*,#209050,.F.); #289090=ORIENTED_EDGE('',*,*,#209052,.F.); #289091=ORIENTED_EDGE('',*,*,#209042,.T.); #289092=ORIENTED_EDGE('',*,*,#209053,.T.); #289093=ORIENTED_EDGE('',*,*,#209054,.T.); #289094=ORIENTED_EDGE('',*,*,#209055,.F.); #289095=ORIENTED_EDGE('',*,*,#209056,.F.); #289096=ORIENTED_EDGE('',*,*,#209057,.T.); #289097=ORIENTED_EDGE('',*,*,#209056,.T.); #289098=ORIENTED_EDGE('',*,*,#209058,.F.); #289099=ORIENTED_EDGE('',*,*,#209059,.F.); #289100=ORIENTED_EDGE('',*,*,#209060,.T.); #289101=ORIENTED_EDGE('',*,*,#209059,.T.); #289102=ORIENTED_EDGE('',*,*,#209061,.F.); #289103=ORIENTED_EDGE('',*,*,#209062,.F.); #289104=ORIENTED_EDGE('',*,*,#209063,.T.); #289105=ORIENTED_EDGE('',*,*,#209062,.T.); #289106=ORIENTED_EDGE('',*,*,#209064,.F.); #289107=ORIENTED_EDGE('',*,*,#209065,.F.); #289108=ORIENTED_EDGE('',*,*,#209066,.T.); #289109=ORIENTED_EDGE('',*,*,#209065,.T.); #289110=ORIENTED_EDGE('',*,*,#209067,.F.); #289111=ORIENTED_EDGE('',*,*,#209068,.F.); #289112=ORIENTED_EDGE('',*,*,#209069,.T.); #289113=ORIENTED_EDGE('',*,*,#209068,.T.); #289114=ORIENTED_EDGE('',*,*,#209070,.F.); #289115=ORIENTED_EDGE('',*,*,#209071,.F.); #289116=ORIENTED_EDGE('',*,*,#209072,.T.); #289117=ORIENTED_EDGE('',*,*,#209071,.T.); #289118=ORIENTED_EDGE('',*,*,#209073,.F.); #289119=ORIENTED_EDGE('',*,*,#209074,.F.); #289120=ORIENTED_EDGE('',*,*,#209075,.T.); #289121=ORIENTED_EDGE('',*,*,#209074,.T.); #289122=ORIENTED_EDGE('',*,*,#209076,.F.); #289123=ORIENTED_EDGE('',*,*,#209077,.F.); #289124=ORIENTED_EDGE('',*,*,#209078,.T.); #289125=ORIENTED_EDGE('',*,*,#209077,.T.); #289126=ORIENTED_EDGE('',*,*,#209079,.F.); #289127=ORIENTED_EDGE('',*,*,#209080,.F.); #289128=ORIENTED_EDGE('',*,*,#209081,.T.); #289129=ORIENTED_EDGE('',*,*,#209080,.T.); #289130=ORIENTED_EDGE('',*,*,#209082,.F.); #289131=ORIENTED_EDGE('',*,*,#209083,.F.); #289132=ORIENTED_EDGE('',*,*,#209084,.T.); #289133=ORIENTED_EDGE('',*,*,#209083,.T.); #289134=ORIENTED_EDGE('',*,*,#209085,.F.); #289135=ORIENTED_EDGE('',*,*,#209086,.F.); #289136=ORIENTED_EDGE('',*,*,#209087,.T.); #289137=ORIENTED_EDGE('',*,*,#209086,.T.); #289138=ORIENTED_EDGE('',*,*,#209088,.F.); #289139=ORIENTED_EDGE('',*,*,#209089,.F.); #289140=ORIENTED_EDGE('',*,*,#209090,.T.); #289141=ORIENTED_EDGE('',*,*,#209089,.T.); #289142=ORIENTED_EDGE('',*,*,#209091,.F.); #289143=ORIENTED_EDGE('',*,*,#209092,.F.); #289144=ORIENTED_EDGE('',*,*,#209093,.T.); #289145=ORIENTED_EDGE('',*,*,#209092,.T.); #289146=ORIENTED_EDGE('',*,*,#209094,.F.); #289147=ORIENTED_EDGE('',*,*,#209095,.F.); #289148=ORIENTED_EDGE('',*,*,#209096,.T.); #289149=ORIENTED_EDGE('',*,*,#209095,.T.); #289150=ORIENTED_EDGE('',*,*,#209097,.F.); #289151=ORIENTED_EDGE('',*,*,#209098,.F.); #289152=ORIENTED_EDGE('',*,*,#209099,.T.); #289153=ORIENTED_EDGE('',*,*,#209098,.T.); #289154=ORIENTED_EDGE('',*,*,#209100,.F.); #289155=ORIENTED_EDGE('',*,*,#209101,.F.); #289156=ORIENTED_EDGE('',*,*,#209102,.T.); #289157=ORIENTED_EDGE('',*,*,#209101,.T.); #289158=ORIENTED_EDGE('',*,*,#209103,.F.); #289159=ORIENTED_EDGE('',*,*,#209104,.F.); #289160=ORIENTED_EDGE('',*,*,#209105,.T.); #289161=ORIENTED_EDGE('',*,*,#209104,.T.); #289162=ORIENTED_EDGE('',*,*,#209106,.F.); #289163=ORIENTED_EDGE('',*,*,#209107,.F.); #289164=ORIENTED_EDGE('',*,*,#209108,.T.); #289165=ORIENTED_EDGE('',*,*,#209107,.T.); #289166=ORIENTED_EDGE('',*,*,#209109,.F.); #289167=ORIENTED_EDGE('',*,*,#209110,.F.); #289168=ORIENTED_EDGE('',*,*,#209111,.T.); #289169=ORIENTED_EDGE('',*,*,#209110,.T.); #289170=ORIENTED_EDGE('',*,*,#209112,.F.); #289171=ORIENTED_EDGE('',*,*,#209113,.F.); #289172=ORIENTED_EDGE('',*,*,#209114,.T.); #289173=ORIENTED_EDGE('',*,*,#209113,.T.); #289174=ORIENTED_EDGE('',*,*,#209115,.F.); #289175=ORIENTED_EDGE('',*,*,#209116,.F.); #289176=ORIENTED_EDGE('',*,*,#209117,.T.); #289177=ORIENTED_EDGE('',*,*,#209116,.T.); #289178=ORIENTED_EDGE('',*,*,#209118,.F.); #289179=ORIENTED_EDGE('',*,*,#209119,.F.); #289180=ORIENTED_EDGE('',*,*,#209120,.T.); #289181=ORIENTED_EDGE('',*,*,#209119,.T.); #289182=ORIENTED_EDGE('',*,*,#209121,.F.); #289183=ORIENTED_EDGE('',*,*,#209122,.F.); #289184=ORIENTED_EDGE('',*,*,#209123,.T.); #289185=ORIENTED_EDGE('',*,*,#209122,.T.); #289186=ORIENTED_EDGE('',*,*,#209124,.F.); #289187=ORIENTED_EDGE('',*,*,#209125,.F.); #289188=ORIENTED_EDGE('',*,*,#209126,.T.); #289189=ORIENTED_EDGE('',*,*,#209125,.T.); #289190=ORIENTED_EDGE('',*,*,#209127,.F.); #289191=ORIENTED_EDGE('',*,*,#209128,.F.); #289192=ORIENTED_EDGE('',*,*,#209129,.T.); #289193=ORIENTED_EDGE('',*,*,#209128,.T.); #289194=ORIENTED_EDGE('',*,*,#209130,.F.); #289195=ORIENTED_EDGE('',*,*,#209131,.F.); #289196=ORIENTED_EDGE('',*,*,#209132,.T.); #289197=ORIENTED_EDGE('',*,*,#209131,.T.); #289198=ORIENTED_EDGE('',*,*,#209133,.F.); #289199=ORIENTED_EDGE('',*,*,#209134,.F.); #289200=ORIENTED_EDGE('',*,*,#209135,.T.); #289201=ORIENTED_EDGE('',*,*,#209134,.T.); #289202=ORIENTED_EDGE('',*,*,#209136,.F.); #289203=ORIENTED_EDGE('',*,*,#209137,.F.); #289204=ORIENTED_EDGE('',*,*,#209138,.T.); #289205=ORIENTED_EDGE('',*,*,#209137,.T.); #289206=ORIENTED_EDGE('',*,*,#209139,.F.); #289207=ORIENTED_EDGE('',*,*,#209140,.F.); #289208=ORIENTED_EDGE('',*,*,#209141,.T.); #289209=ORIENTED_EDGE('',*,*,#209140,.T.); #289210=ORIENTED_EDGE('',*,*,#209142,.F.); #289211=ORIENTED_EDGE('',*,*,#209143,.F.); #289212=ORIENTED_EDGE('',*,*,#209144,.T.); #289213=ORIENTED_EDGE('',*,*,#209143,.T.); #289214=ORIENTED_EDGE('',*,*,#209145,.F.); #289215=ORIENTED_EDGE('',*,*,#209146,.F.); #289216=ORIENTED_EDGE('',*,*,#209147,.T.); #289217=ORIENTED_EDGE('',*,*,#209146,.T.); #289218=ORIENTED_EDGE('',*,*,#209148,.F.); #289219=ORIENTED_EDGE('',*,*,#209149,.F.); #289220=ORIENTED_EDGE('',*,*,#209150,.T.); #289221=ORIENTED_EDGE('',*,*,#209149,.T.); #289222=ORIENTED_EDGE('',*,*,#209151,.F.); #289223=ORIENTED_EDGE('',*,*,#209152,.F.); #289224=ORIENTED_EDGE('',*,*,#209153,.T.); #289225=ORIENTED_EDGE('',*,*,#209152,.T.); #289226=ORIENTED_EDGE('',*,*,#209154,.F.); #289227=ORIENTED_EDGE('',*,*,#209155,.F.); #289228=ORIENTED_EDGE('',*,*,#209156,.T.); #289229=ORIENTED_EDGE('',*,*,#209155,.T.); #289230=ORIENTED_EDGE('',*,*,#209157,.F.); #289231=ORIENTED_EDGE('',*,*,#209158,.F.); #289232=ORIENTED_EDGE('',*,*,#209159,.T.); #289233=ORIENTED_EDGE('',*,*,#209158,.T.); #289234=ORIENTED_EDGE('',*,*,#209160,.F.); #289235=ORIENTED_EDGE('',*,*,#209161,.F.); #289236=ORIENTED_EDGE('',*,*,#209162,.T.); #289237=ORIENTED_EDGE('',*,*,#209161,.T.); #289238=ORIENTED_EDGE('',*,*,#209163,.F.); #289239=ORIENTED_EDGE('',*,*,#209164,.F.); #289240=ORIENTED_EDGE('',*,*,#209165,.T.); #289241=ORIENTED_EDGE('',*,*,#209164,.T.); #289242=ORIENTED_EDGE('',*,*,#209166,.F.); #289243=ORIENTED_EDGE('',*,*,#209167,.F.); #289244=ORIENTED_EDGE('',*,*,#209168,.T.); #289245=ORIENTED_EDGE('',*,*,#209167,.T.); #289246=ORIENTED_EDGE('',*,*,#209169,.F.); #289247=ORIENTED_EDGE('',*,*,#209170,.F.); #289248=ORIENTED_EDGE('',*,*,#209171,.T.); #289249=ORIENTED_EDGE('',*,*,#209170,.T.); #289250=ORIENTED_EDGE('',*,*,#209172,.F.); #289251=ORIENTED_EDGE('',*,*,#209173,.F.); #289252=ORIENTED_EDGE('',*,*,#209174,.T.); #289253=ORIENTED_EDGE('',*,*,#209173,.T.); #289254=ORIENTED_EDGE('',*,*,#209175,.F.); #289255=ORIENTED_EDGE('',*,*,#209176,.F.); #289256=ORIENTED_EDGE('',*,*,#209177,.T.); #289257=ORIENTED_EDGE('',*,*,#209176,.T.); #289258=ORIENTED_EDGE('',*,*,#209178,.F.); #289259=ORIENTED_EDGE('',*,*,#209179,.F.); #289260=ORIENTED_EDGE('',*,*,#209180,.T.); #289261=ORIENTED_EDGE('',*,*,#209179,.T.); #289262=ORIENTED_EDGE('',*,*,#209181,.F.); #289263=ORIENTED_EDGE('',*,*,#209182,.F.); #289264=ORIENTED_EDGE('',*,*,#209183,.T.); #289265=ORIENTED_EDGE('',*,*,#209182,.T.); #289266=ORIENTED_EDGE('',*,*,#209184,.F.); #289267=ORIENTED_EDGE('',*,*,#209185,.F.); #289268=ORIENTED_EDGE('',*,*,#209186,.T.); #289269=ORIENTED_EDGE('',*,*,#209185,.T.); #289270=ORIENTED_EDGE('',*,*,#209187,.F.); #289271=ORIENTED_EDGE('',*,*,#209188,.F.); #289272=ORIENTED_EDGE('',*,*,#209189,.T.); #289273=ORIENTED_EDGE('',*,*,#209188,.T.); #289274=ORIENTED_EDGE('',*,*,#209190,.F.); #289275=ORIENTED_EDGE('',*,*,#209191,.F.); #289276=ORIENTED_EDGE('',*,*,#209192,.T.); #289277=ORIENTED_EDGE('',*,*,#209191,.T.); #289278=ORIENTED_EDGE('',*,*,#209193,.F.); #289279=ORIENTED_EDGE('',*,*,#209194,.F.); #289280=ORIENTED_EDGE('',*,*,#209195,.T.); #289281=ORIENTED_EDGE('',*,*,#209194,.T.); #289282=ORIENTED_EDGE('',*,*,#209196,.F.); #289283=ORIENTED_EDGE('',*,*,#209197,.F.); #289284=ORIENTED_EDGE('',*,*,#209198,.T.); #289285=ORIENTED_EDGE('',*,*,#209197,.T.); #289286=ORIENTED_EDGE('',*,*,#209199,.F.); #289287=ORIENTED_EDGE('',*,*,#209200,.F.); #289288=ORIENTED_EDGE('',*,*,#209201,.T.); #289289=ORIENTED_EDGE('',*,*,#209200,.T.); #289290=ORIENTED_EDGE('',*,*,#209202,.F.); #289291=ORIENTED_EDGE('',*,*,#209203,.F.); #289292=ORIENTED_EDGE('',*,*,#209204,.T.); #289293=ORIENTED_EDGE('',*,*,#209203,.T.); #289294=ORIENTED_EDGE('',*,*,#209205,.F.); #289295=ORIENTED_EDGE('',*,*,#209206,.F.); #289296=ORIENTED_EDGE('',*,*,#209207,.T.); #289297=ORIENTED_EDGE('',*,*,#209206,.T.); #289298=ORIENTED_EDGE('',*,*,#209208,.F.); #289299=ORIENTED_EDGE('',*,*,#209209,.F.); #289300=ORIENTED_EDGE('',*,*,#209210,.T.); #289301=ORIENTED_EDGE('',*,*,#209209,.T.); #289302=ORIENTED_EDGE('',*,*,#209211,.F.); #289303=ORIENTED_EDGE('',*,*,#209212,.F.); #289304=ORIENTED_EDGE('',*,*,#209213,.T.); #289305=ORIENTED_EDGE('',*,*,#209212,.T.); #289306=ORIENTED_EDGE('',*,*,#209214,.F.); #289307=ORIENTED_EDGE('',*,*,#209215,.F.); #289308=ORIENTED_EDGE('',*,*,#209216,.T.); #289309=ORIENTED_EDGE('',*,*,#209215,.T.); #289310=ORIENTED_EDGE('',*,*,#209217,.F.); #289311=ORIENTED_EDGE('',*,*,#209218,.F.); #289312=ORIENTED_EDGE('',*,*,#209219,.T.); #289313=ORIENTED_EDGE('',*,*,#209218,.T.); #289314=ORIENTED_EDGE('',*,*,#209220,.F.); #289315=ORIENTED_EDGE('',*,*,#209054,.F.); #289316=ORIENTED_EDGE('',*,*,#209220,.T.); #289317=ORIENTED_EDGE('',*,*,#209217,.T.); #289318=ORIENTED_EDGE('',*,*,#209214,.T.); #289319=ORIENTED_EDGE('',*,*,#209211,.T.); #289320=ORIENTED_EDGE('',*,*,#209208,.T.); #289321=ORIENTED_EDGE('',*,*,#209205,.T.); #289322=ORIENTED_EDGE('',*,*,#209202,.T.); #289323=ORIENTED_EDGE('',*,*,#209199,.T.); #289324=ORIENTED_EDGE('',*,*,#209196,.T.); #289325=ORIENTED_EDGE('',*,*,#209193,.T.); #289326=ORIENTED_EDGE('',*,*,#209190,.T.); #289327=ORIENTED_EDGE('',*,*,#209187,.T.); #289328=ORIENTED_EDGE('',*,*,#209184,.T.); #289329=ORIENTED_EDGE('',*,*,#209181,.T.); #289330=ORIENTED_EDGE('',*,*,#209178,.T.); #289331=ORIENTED_EDGE('',*,*,#209175,.T.); #289332=ORIENTED_EDGE('',*,*,#209172,.T.); #289333=ORIENTED_EDGE('',*,*,#209169,.T.); #289334=ORIENTED_EDGE('',*,*,#209166,.T.); #289335=ORIENTED_EDGE('',*,*,#209163,.T.); #289336=ORIENTED_EDGE('',*,*,#209160,.T.); #289337=ORIENTED_EDGE('',*,*,#209157,.T.); #289338=ORIENTED_EDGE('',*,*,#209154,.T.); #289339=ORIENTED_EDGE('',*,*,#209151,.T.); #289340=ORIENTED_EDGE('',*,*,#209148,.T.); #289341=ORIENTED_EDGE('',*,*,#209145,.T.); #289342=ORIENTED_EDGE('',*,*,#209142,.T.); #289343=ORIENTED_EDGE('',*,*,#209139,.T.); #289344=ORIENTED_EDGE('',*,*,#209136,.T.); #289345=ORIENTED_EDGE('',*,*,#209133,.T.); #289346=ORIENTED_EDGE('',*,*,#209130,.T.); #289347=ORIENTED_EDGE('',*,*,#209127,.T.); #289348=ORIENTED_EDGE('',*,*,#209124,.T.); #289349=ORIENTED_EDGE('',*,*,#209121,.T.); #289350=ORIENTED_EDGE('',*,*,#209118,.T.); #289351=ORIENTED_EDGE('',*,*,#209115,.T.); #289352=ORIENTED_EDGE('',*,*,#209112,.T.); #289353=ORIENTED_EDGE('',*,*,#209109,.T.); #289354=ORIENTED_EDGE('',*,*,#209106,.T.); #289355=ORIENTED_EDGE('',*,*,#209103,.T.); #289356=ORIENTED_EDGE('',*,*,#209100,.T.); #289357=ORIENTED_EDGE('',*,*,#209097,.T.); #289358=ORIENTED_EDGE('',*,*,#209094,.T.); #289359=ORIENTED_EDGE('',*,*,#209091,.T.); #289360=ORIENTED_EDGE('',*,*,#209088,.T.); #289361=ORIENTED_EDGE('',*,*,#209085,.T.); #289362=ORIENTED_EDGE('',*,*,#209082,.T.); #289363=ORIENTED_EDGE('',*,*,#209079,.T.); #289364=ORIENTED_EDGE('',*,*,#209076,.T.); #289365=ORIENTED_EDGE('',*,*,#209073,.T.); #289366=ORIENTED_EDGE('',*,*,#209070,.T.); #289367=ORIENTED_EDGE('',*,*,#209067,.T.); #289368=ORIENTED_EDGE('',*,*,#209064,.T.); #289369=ORIENTED_EDGE('',*,*,#209061,.T.); #289370=ORIENTED_EDGE('',*,*,#209058,.T.); #289371=ORIENTED_EDGE('',*,*,#209055,.T.); #289372=ORIENTED_EDGE('',*,*,#209048,.T.); #289373=ORIENTED_EDGE('',*,*,#209051,.T.); #289374=ORIENTED_EDGE('',*,*,#209041,.T.); #289375=ORIENTED_EDGE('',*,*,#209045,.T.); #289376=ORIENTED_EDGE('',*,*,#209219,.F.); #289377=ORIENTED_EDGE('',*,*,#209053,.F.); #289378=ORIENTED_EDGE('',*,*,#209057,.F.); #289379=ORIENTED_EDGE('',*,*,#209060,.F.); #289380=ORIENTED_EDGE('',*,*,#209063,.F.); #289381=ORIENTED_EDGE('',*,*,#209066,.F.); #289382=ORIENTED_EDGE('',*,*,#209069,.F.); #289383=ORIENTED_EDGE('',*,*,#209072,.F.); #289384=ORIENTED_EDGE('',*,*,#209075,.F.); #289385=ORIENTED_EDGE('',*,*,#209078,.F.); #289386=ORIENTED_EDGE('',*,*,#209081,.F.); #289387=ORIENTED_EDGE('',*,*,#209084,.F.); #289388=ORIENTED_EDGE('',*,*,#209087,.F.); #289389=ORIENTED_EDGE('',*,*,#209090,.F.); #289390=ORIENTED_EDGE('',*,*,#209093,.F.); #289391=ORIENTED_EDGE('',*,*,#209096,.F.); #289392=ORIENTED_EDGE('',*,*,#209099,.F.); #289393=ORIENTED_EDGE('',*,*,#209102,.F.); #289394=ORIENTED_EDGE('',*,*,#209105,.F.); #289395=ORIENTED_EDGE('',*,*,#209108,.F.); #289396=ORIENTED_EDGE('',*,*,#209111,.F.); #289397=ORIENTED_EDGE('',*,*,#209114,.F.); #289398=ORIENTED_EDGE('',*,*,#209117,.F.); #289399=ORIENTED_EDGE('',*,*,#209120,.F.); #289400=ORIENTED_EDGE('',*,*,#209123,.F.); #289401=ORIENTED_EDGE('',*,*,#209126,.F.); #289402=ORIENTED_EDGE('',*,*,#209129,.F.); #289403=ORIENTED_EDGE('',*,*,#209132,.F.); #289404=ORIENTED_EDGE('',*,*,#209135,.F.); #289405=ORIENTED_EDGE('',*,*,#209138,.F.); #289406=ORIENTED_EDGE('',*,*,#209141,.F.); #289407=ORIENTED_EDGE('',*,*,#209144,.F.); #289408=ORIENTED_EDGE('',*,*,#209147,.F.); #289409=ORIENTED_EDGE('',*,*,#209150,.F.); #289410=ORIENTED_EDGE('',*,*,#209153,.F.); #289411=ORIENTED_EDGE('',*,*,#209156,.F.); #289412=ORIENTED_EDGE('',*,*,#209159,.F.); #289413=ORIENTED_EDGE('',*,*,#209162,.F.); #289414=ORIENTED_EDGE('',*,*,#209165,.F.); #289415=ORIENTED_EDGE('',*,*,#209168,.F.); #289416=ORIENTED_EDGE('',*,*,#209171,.F.); #289417=ORIENTED_EDGE('',*,*,#209174,.F.); #289418=ORIENTED_EDGE('',*,*,#209177,.F.); #289419=ORIENTED_EDGE('',*,*,#209180,.F.); #289420=ORIENTED_EDGE('',*,*,#209183,.F.); #289421=ORIENTED_EDGE('',*,*,#209186,.F.); #289422=ORIENTED_EDGE('',*,*,#209189,.F.); #289423=ORIENTED_EDGE('',*,*,#209192,.F.); #289424=ORIENTED_EDGE('',*,*,#209195,.F.); #289425=ORIENTED_EDGE('',*,*,#209198,.F.); #289426=ORIENTED_EDGE('',*,*,#209201,.F.); #289427=ORIENTED_EDGE('',*,*,#209204,.F.); #289428=ORIENTED_EDGE('',*,*,#209207,.F.); #289429=ORIENTED_EDGE('',*,*,#209210,.F.); #289430=ORIENTED_EDGE('',*,*,#209213,.F.); #289431=ORIENTED_EDGE('',*,*,#209216,.F.); #289432=ORIENTED_EDGE('',*,*,#209049,.T.); #289433=ORIENTED_EDGE('',*,*,#209046,.T.); #289434=ORIENTED_EDGE('',*,*,#209043,.T.); #289435=ORIENTED_EDGE('',*,*,#209052,.T.); #289436=ORIENTED_EDGE('',*,*,#209221,.F.); #289437=ORIENTED_EDGE('',*,*,#209222,.T.); #289438=ORIENTED_EDGE('',*,*,#209223,.F.); #289439=ORIENTED_EDGE('',*,*,#209222,.F.); #289440=ORIENTED_EDGE('',*,*,#209224,.T.); #289441=ORIENTED_EDGE('',*,*,#209225,.T.); #289442=ORIENTED_EDGE('',*,*,#209226,.F.); #289443=ORIENTED_EDGE('',*,*,#209227,.F.); #289444=ORIENTED_EDGE('',*,*,#209228,.T.); #289445=ORIENTED_EDGE('',*,*,#209227,.T.); #289446=ORIENTED_EDGE('',*,*,#209229,.F.); #289447=ORIENTED_EDGE('',*,*,#209230,.F.); #289448=ORIENTED_EDGE('',*,*,#209231,.T.); #289449=ORIENTED_EDGE('',*,*,#209230,.T.); #289450=ORIENTED_EDGE('',*,*,#209232,.F.); #289451=ORIENTED_EDGE('',*,*,#209233,.F.); #289452=ORIENTED_EDGE('',*,*,#209234,.T.); #289453=ORIENTED_EDGE('',*,*,#209233,.T.); #289454=ORIENTED_EDGE('',*,*,#209235,.F.); #289455=ORIENTED_EDGE('',*,*,#209236,.F.); #289456=ORIENTED_EDGE('',*,*,#209237,.T.); #289457=ORIENTED_EDGE('',*,*,#209236,.T.); #289458=ORIENTED_EDGE('',*,*,#209238,.F.); #289459=ORIENTED_EDGE('',*,*,#209239,.F.); #289460=ORIENTED_EDGE('',*,*,#209240,.T.); #289461=ORIENTED_EDGE('',*,*,#209239,.T.); #289462=ORIENTED_EDGE('',*,*,#209241,.F.); #289463=ORIENTED_EDGE('',*,*,#209242,.F.); #289464=ORIENTED_EDGE('',*,*,#209243,.T.); #289465=ORIENTED_EDGE('',*,*,#209242,.T.); #289466=ORIENTED_EDGE('',*,*,#209244,.F.); #289467=ORIENTED_EDGE('',*,*,#209245,.F.); #289468=ORIENTED_EDGE('',*,*,#209246,.T.); #289469=ORIENTED_EDGE('',*,*,#209245,.T.); #289470=ORIENTED_EDGE('',*,*,#209247,.F.); #289471=ORIENTED_EDGE('',*,*,#209225,.F.); #289472=ORIENTED_EDGE('',*,*,#209247,.T.); #289473=ORIENTED_EDGE('',*,*,#209244,.T.); #289474=ORIENTED_EDGE('',*,*,#209241,.T.); #289475=ORIENTED_EDGE('',*,*,#209238,.T.); #289476=ORIENTED_EDGE('',*,*,#209235,.T.); #289477=ORIENTED_EDGE('',*,*,#209232,.T.); #289478=ORIENTED_EDGE('',*,*,#209229,.T.); #289479=ORIENTED_EDGE('',*,*,#209226,.T.); #289480=ORIENTED_EDGE('',*,*,#209223,.T.); #289481=ORIENTED_EDGE('',*,*,#209246,.F.); #289482=ORIENTED_EDGE('',*,*,#209224,.F.); #289483=ORIENTED_EDGE('',*,*,#209228,.F.); #289484=ORIENTED_EDGE('',*,*,#209231,.F.); #289485=ORIENTED_EDGE('',*,*,#209234,.F.); #289486=ORIENTED_EDGE('',*,*,#209237,.F.); #289487=ORIENTED_EDGE('',*,*,#209240,.F.); #289488=ORIENTED_EDGE('',*,*,#209243,.F.); #289489=ORIENTED_EDGE('',*,*,#209221,.T.); #289490=ORIENTED_EDGE('',*,*,#209248,.F.); #289491=ORIENTED_EDGE('',*,*,#209249,.T.); #289492=ORIENTED_EDGE('',*,*,#209250,.F.); #289493=ORIENTED_EDGE('',*,*,#209249,.F.); #289494=ORIENTED_EDGE('',*,*,#209251,.T.); #289495=ORIENTED_EDGE('',*,*,#209252,.T.); #289496=ORIENTED_EDGE('',*,*,#209253,.F.); #289497=ORIENTED_EDGE('',*,*,#209254,.F.); #289498=ORIENTED_EDGE('',*,*,#209255,.T.); #289499=ORIENTED_EDGE('',*,*,#209254,.T.); #289500=ORIENTED_EDGE('',*,*,#209256,.F.); #289501=ORIENTED_EDGE('',*,*,#209257,.F.); #289502=ORIENTED_EDGE('',*,*,#209258,.T.); #289503=ORIENTED_EDGE('',*,*,#209257,.T.); #289504=ORIENTED_EDGE('',*,*,#209259,.F.); #289505=ORIENTED_EDGE('',*,*,#209252,.F.); #289506=ORIENTED_EDGE('',*,*,#209259,.T.); #289507=ORIENTED_EDGE('',*,*,#209256,.T.); #289508=ORIENTED_EDGE('',*,*,#209253,.T.); #289509=ORIENTED_EDGE('',*,*,#209250,.T.); #289510=ORIENTED_EDGE('',*,*,#209258,.F.); #289511=ORIENTED_EDGE('',*,*,#209251,.F.); #289512=ORIENTED_EDGE('',*,*,#209255,.F.); #289513=ORIENTED_EDGE('',*,*,#209248,.T.); #289514=ORIENTED_EDGE('',*,*,#209260,.F.); #289515=ORIENTED_EDGE('',*,*,#209261,.F.); #289516=ORIENTED_EDGE('',*,*,#209262,.F.); #289517=ORIENTED_EDGE('',*,*,#209263,.T.); #289518=ORIENTED_EDGE('',*,*,#209264,.F.); #289519=ORIENTED_EDGE('',*,*,#209263,.F.); #289520=ORIENTED_EDGE('',*,*,#209265,.F.); #289521=ORIENTED_EDGE('',*,*,#209266,.T.); #289522=ORIENTED_EDGE('',*,*,#209267,.F.); #289523=ORIENTED_EDGE('',*,*,#209266,.F.); #289524=ORIENTED_EDGE('',*,*,#209268,.F.); #289525=ORIENTED_EDGE('',*,*,#209269,.T.); #289526=ORIENTED_EDGE('',*,*,#209270,.F.); #289527=ORIENTED_EDGE('',*,*,#209269,.F.); #289528=ORIENTED_EDGE('',*,*,#209271,.F.); #289529=ORIENTED_EDGE('',*,*,#209261,.T.); #289530=ORIENTED_EDGE('',*,*,#209272,.T.); #289531=ORIENTED_EDGE('',*,*,#209273,.T.); #289532=ORIENTED_EDGE('',*,*,#209274,.F.); #289533=ORIENTED_EDGE('',*,*,#209275,.F.); #289534=ORIENTED_EDGE('',*,*,#209276,.T.); #289535=ORIENTED_EDGE('',*,*,#209275,.T.); #289536=ORIENTED_EDGE('',*,*,#209277,.F.); #289537=ORIENTED_EDGE('',*,*,#209278,.F.); #289538=ORIENTED_EDGE('',*,*,#209279,.T.); #289539=ORIENTED_EDGE('',*,*,#209278,.T.); #289540=ORIENTED_EDGE('',*,*,#209280,.F.); #289541=ORIENTED_EDGE('',*,*,#209281,.F.); #289542=ORIENTED_EDGE('',*,*,#209282,.T.); #289543=ORIENTED_EDGE('',*,*,#209281,.T.); #289544=ORIENTED_EDGE('',*,*,#209283,.F.); #289545=ORIENTED_EDGE('',*,*,#209284,.F.); #289546=ORIENTED_EDGE('',*,*,#209285,.T.); #289547=ORIENTED_EDGE('',*,*,#209284,.T.); #289548=ORIENTED_EDGE('',*,*,#209286,.F.); #289549=ORIENTED_EDGE('',*,*,#209287,.F.); #289550=ORIENTED_EDGE('',*,*,#209288,.T.); #289551=ORIENTED_EDGE('',*,*,#209287,.T.); #289552=ORIENTED_EDGE('',*,*,#209289,.F.); #289553=ORIENTED_EDGE('',*,*,#209290,.F.); #289554=ORIENTED_EDGE('',*,*,#209291,.T.); #289555=ORIENTED_EDGE('',*,*,#209290,.T.); #289556=ORIENTED_EDGE('',*,*,#209292,.F.); #289557=ORIENTED_EDGE('',*,*,#209293,.F.); #289558=ORIENTED_EDGE('',*,*,#209294,.T.); #289559=ORIENTED_EDGE('',*,*,#209293,.T.); #289560=ORIENTED_EDGE('',*,*,#209295,.F.); #289561=ORIENTED_EDGE('',*,*,#209296,.F.); #289562=ORIENTED_EDGE('',*,*,#209297,.T.); #289563=ORIENTED_EDGE('',*,*,#209296,.T.); #289564=ORIENTED_EDGE('',*,*,#209298,.F.); #289565=ORIENTED_EDGE('',*,*,#209299,.F.); #289566=ORIENTED_EDGE('',*,*,#209300,.T.); #289567=ORIENTED_EDGE('',*,*,#209299,.T.); #289568=ORIENTED_EDGE('',*,*,#209301,.F.); #289569=ORIENTED_EDGE('',*,*,#209302,.F.); #289570=ORIENTED_EDGE('',*,*,#209303,.T.); #289571=ORIENTED_EDGE('',*,*,#209302,.T.); #289572=ORIENTED_EDGE('',*,*,#209304,.F.); #289573=ORIENTED_EDGE('',*,*,#209305,.F.); #289574=ORIENTED_EDGE('',*,*,#209306,.T.); #289575=ORIENTED_EDGE('',*,*,#209305,.T.); #289576=ORIENTED_EDGE('',*,*,#209307,.F.); #289577=ORIENTED_EDGE('',*,*,#209308,.F.); #289578=ORIENTED_EDGE('',*,*,#209309,.T.); #289579=ORIENTED_EDGE('',*,*,#209308,.T.); #289580=ORIENTED_EDGE('',*,*,#209310,.F.); #289581=ORIENTED_EDGE('',*,*,#209311,.F.); #289582=ORIENTED_EDGE('',*,*,#209312,.T.); #289583=ORIENTED_EDGE('',*,*,#209311,.T.); #289584=ORIENTED_EDGE('',*,*,#209313,.F.); #289585=ORIENTED_EDGE('',*,*,#209314,.F.); #289586=ORIENTED_EDGE('',*,*,#209315,.T.); #289587=ORIENTED_EDGE('',*,*,#209314,.T.); #289588=ORIENTED_EDGE('',*,*,#209316,.F.); #289589=ORIENTED_EDGE('',*,*,#209317,.F.); #289590=ORIENTED_EDGE('',*,*,#209318,.T.); #289591=ORIENTED_EDGE('',*,*,#209317,.T.); #289592=ORIENTED_EDGE('',*,*,#209319,.F.); #289593=ORIENTED_EDGE('',*,*,#209320,.F.); #289594=ORIENTED_EDGE('',*,*,#209321,.T.); #289595=ORIENTED_EDGE('',*,*,#209320,.T.); #289596=ORIENTED_EDGE('',*,*,#209322,.F.); #289597=ORIENTED_EDGE('',*,*,#209323,.F.); #289598=ORIENTED_EDGE('',*,*,#209324,.T.); #289599=ORIENTED_EDGE('',*,*,#209323,.T.); #289600=ORIENTED_EDGE('',*,*,#209325,.F.); #289601=ORIENTED_EDGE('',*,*,#209326,.F.); #289602=ORIENTED_EDGE('',*,*,#209327,.T.); #289603=ORIENTED_EDGE('',*,*,#209326,.T.); #289604=ORIENTED_EDGE('',*,*,#209328,.F.); #289605=ORIENTED_EDGE('',*,*,#209329,.F.); #289606=ORIENTED_EDGE('',*,*,#209330,.T.); #289607=ORIENTED_EDGE('',*,*,#209329,.T.); #289608=ORIENTED_EDGE('',*,*,#209331,.F.); #289609=ORIENTED_EDGE('',*,*,#209332,.F.); #289610=ORIENTED_EDGE('',*,*,#209333,.T.); #289611=ORIENTED_EDGE('',*,*,#209332,.T.); #289612=ORIENTED_EDGE('',*,*,#209334,.F.); #289613=ORIENTED_EDGE('',*,*,#209335,.F.); #289614=ORIENTED_EDGE('',*,*,#209336,.T.); #289615=ORIENTED_EDGE('',*,*,#209335,.T.); #289616=ORIENTED_EDGE('',*,*,#209337,.F.); #289617=ORIENTED_EDGE('',*,*,#209338,.F.); #289618=ORIENTED_EDGE('',*,*,#209339,.T.); #289619=ORIENTED_EDGE('',*,*,#209338,.T.); #289620=ORIENTED_EDGE('',*,*,#209340,.F.); #289621=ORIENTED_EDGE('',*,*,#209341,.F.); #289622=ORIENTED_EDGE('',*,*,#209342,.T.); #289623=ORIENTED_EDGE('',*,*,#209341,.T.); #289624=ORIENTED_EDGE('',*,*,#209343,.F.); #289625=ORIENTED_EDGE('',*,*,#209344,.F.); #289626=ORIENTED_EDGE('',*,*,#209345,.T.); #289627=ORIENTED_EDGE('',*,*,#209344,.T.); #289628=ORIENTED_EDGE('',*,*,#209346,.F.); #289629=ORIENTED_EDGE('',*,*,#209347,.F.); #289630=ORIENTED_EDGE('',*,*,#209348,.T.); #289631=ORIENTED_EDGE('',*,*,#209347,.T.); #289632=ORIENTED_EDGE('',*,*,#209349,.F.); #289633=ORIENTED_EDGE('',*,*,#209350,.F.); #289634=ORIENTED_EDGE('',*,*,#209351,.T.); #289635=ORIENTED_EDGE('',*,*,#209350,.T.); #289636=ORIENTED_EDGE('',*,*,#209352,.F.); #289637=ORIENTED_EDGE('',*,*,#209353,.F.); #289638=ORIENTED_EDGE('',*,*,#209354,.T.); #289639=ORIENTED_EDGE('',*,*,#209353,.T.); #289640=ORIENTED_EDGE('',*,*,#209355,.F.); #289641=ORIENTED_EDGE('',*,*,#209356,.F.); #289642=ORIENTED_EDGE('',*,*,#209357,.T.); #289643=ORIENTED_EDGE('',*,*,#209356,.T.); #289644=ORIENTED_EDGE('',*,*,#209358,.F.); #289645=ORIENTED_EDGE('',*,*,#209359,.F.); #289646=ORIENTED_EDGE('',*,*,#209360,.T.); #289647=ORIENTED_EDGE('',*,*,#209359,.T.); #289648=ORIENTED_EDGE('',*,*,#209361,.F.); #289649=ORIENTED_EDGE('',*,*,#209362,.F.); #289650=ORIENTED_EDGE('',*,*,#209363,.T.); #289651=ORIENTED_EDGE('',*,*,#209362,.T.); #289652=ORIENTED_EDGE('',*,*,#209364,.F.); #289653=ORIENTED_EDGE('',*,*,#209365,.F.); #289654=ORIENTED_EDGE('',*,*,#209366,.T.); #289655=ORIENTED_EDGE('',*,*,#209365,.T.); #289656=ORIENTED_EDGE('',*,*,#209367,.F.); #289657=ORIENTED_EDGE('',*,*,#209368,.F.); #289658=ORIENTED_EDGE('',*,*,#209369,.T.); #289659=ORIENTED_EDGE('',*,*,#209368,.T.); #289660=ORIENTED_EDGE('',*,*,#209370,.F.); #289661=ORIENTED_EDGE('',*,*,#209371,.F.); #289662=ORIENTED_EDGE('',*,*,#209372,.T.); #289663=ORIENTED_EDGE('',*,*,#209371,.T.); #289664=ORIENTED_EDGE('',*,*,#209373,.F.); #289665=ORIENTED_EDGE('',*,*,#209374,.F.); #289666=ORIENTED_EDGE('',*,*,#209375,.T.); #289667=ORIENTED_EDGE('',*,*,#209374,.T.); #289668=ORIENTED_EDGE('',*,*,#209376,.F.); #289669=ORIENTED_EDGE('',*,*,#209377,.F.); #289670=ORIENTED_EDGE('',*,*,#209378,.T.); #289671=ORIENTED_EDGE('',*,*,#209377,.T.); #289672=ORIENTED_EDGE('',*,*,#209379,.F.); #289673=ORIENTED_EDGE('',*,*,#209380,.F.); #289674=ORIENTED_EDGE('',*,*,#209381,.T.); #289675=ORIENTED_EDGE('',*,*,#209380,.T.); #289676=ORIENTED_EDGE('',*,*,#209382,.F.); #289677=ORIENTED_EDGE('',*,*,#209383,.F.); #289678=ORIENTED_EDGE('',*,*,#209384,.T.); #289679=ORIENTED_EDGE('',*,*,#209383,.T.); #289680=ORIENTED_EDGE('',*,*,#209385,.F.); #289681=ORIENTED_EDGE('',*,*,#209386,.F.); #289682=ORIENTED_EDGE('',*,*,#209387,.T.); #289683=ORIENTED_EDGE('',*,*,#209386,.T.); #289684=ORIENTED_EDGE('',*,*,#209388,.F.); #289685=ORIENTED_EDGE('',*,*,#209389,.F.); #289686=ORIENTED_EDGE('',*,*,#209390,.T.); #289687=ORIENTED_EDGE('',*,*,#209389,.T.); #289688=ORIENTED_EDGE('',*,*,#209391,.F.); #289689=ORIENTED_EDGE('',*,*,#209392,.F.); #289690=ORIENTED_EDGE('',*,*,#209393,.T.); #289691=ORIENTED_EDGE('',*,*,#209392,.T.); #289692=ORIENTED_EDGE('',*,*,#209394,.F.); #289693=ORIENTED_EDGE('',*,*,#209395,.F.); #289694=ORIENTED_EDGE('',*,*,#209396,.T.); #289695=ORIENTED_EDGE('',*,*,#209395,.T.); #289696=ORIENTED_EDGE('',*,*,#209397,.F.); #289697=ORIENTED_EDGE('',*,*,#209398,.F.); #289698=ORIENTED_EDGE('',*,*,#209399,.T.); #289699=ORIENTED_EDGE('',*,*,#209398,.T.); #289700=ORIENTED_EDGE('',*,*,#209400,.F.); #289701=ORIENTED_EDGE('',*,*,#209401,.F.); #289702=ORIENTED_EDGE('',*,*,#209402,.T.); #289703=ORIENTED_EDGE('',*,*,#209401,.T.); #289704=ORIENTED_EDGE('',*,*,#209403,.F.); #289705=ORIENTED_EDGE('',*,*,#209404,.F.); #289706=ORIENTED_EDGE('',*,*,#209405,.T.); #289707=ORIENTED_EDGE('',*,*,#209404,.T.); #289708=ORIENTED_EDGE('',*,*,#209406,.F.); #289709=ORIENTED_EDGE('',*,*,#209407,.F.); #289710=ORIENTED_EDGE('',*,*,#209408,.T.); #289711=ORIENTED_EDGE('',*,*,#209407,.T.); #289712=ORIENTED_EDGE('',*,*,#209409,.F.); #289713=ORIENTED_EDGE('',*,*,#209410,.F.); #289714=ORIENTED_EDGE('',*,*,#209411,.T.); #289715=ORIENTED_EDGE('',*,*,#209410,.T.); #289716=ORIENTED_EDGE('',*,*,#209412,.F.); #289717=ORIENTED_EDGE('',*,*,#209413,.F.); #289718=ORIENTED_EDGE('',*,*,#209414,.T.); #289719=ORIENTED_EDGE('',*,*,#209413,.T.); #289720=ORIENTED_EDGE('',*,*,#209415,.F.); #289721=ORIENTED_EDGE('',*,*,#209416,.F.); #289722=ORIENTED_EDGE('',*,*,#209417,.T.); #289723=ORIENTED_EDGE('',*,*,#209416,.T.); #289724=ORIENTED_EDGE('',*,*,#209418,.F.); #289725=ORIENTED_EDGE('',*,*,#209419,.F.); #289726=ORIENTED_EDGE('',*,*,#209420,.T.); #289727=ORIENTED_EDGE('',*,*,#209419,.T.); #289728=ORIENTED_EDGE('',*,*,#209421,.F.); #289729=ORIENTED_EDGE('',*,*,#209422,.F.); #289730=ORIENTED_EDGE('',*,*,#209423,.T.); #289731=ORIENTED_EDGE('',*,*,#209422,.T.); #289732=ORIENTED_EDGE('',*,*,#209424,.F.); #289733=ORIENTED_EDGE('',*,*,#209425,.F.); #289734=ORIENTED_EDGE('',*,*,#209426,.T.); #289735=ORIENTED_EDGE('',*,*,#209425,.T.); #289736=ORIENTED_EDGE('',*,*,#209427,.F.); #289737=ORIENTED_EDGE('',*,*,#209428,.F.); #289738=ORIENTED_EDGE('',*,*,#209429,.T.); #289739=ORIENTED_EDGE('',*,*,#209428,.T.); #289740=ORIENTED_EDGE('',*,*,#209430,.F.); #289741=ORIENTED_EDGE('',*,*,#209431,.F.); #289742=ORIENTED_EDGE('',*,*,#209432,.T.); #289743=ORIENTED_EDGE('',*,*,#209431,.T.); #289744=ORIENTED_EDGE('',*,*,#209433,.F.); #289745=ORIENTED_EDGE('',*,*,#209434,.F.); #289746=ORIENTED_EDGE('',*,*,#209435,.T.); #289747=ORIENTED_EDGE('',*,*,#209434,.T.); #289748=ORIENTED_EDGE('',*,*,#209436,.F.); #289749=ORIENTED_EDGE('',*,*,#209437,.F.); #289750=ORIENTED_EDGE('',*,*,#209438,.T.); #289751=ORIENTED_EDGE('',*,*,#209437,.T.); #289752=ORIENTED_EDGE('',*,*,#209439,.F.); #289753=ORIENTED_EDGE('',*,*,#209440,.F.); #289754=ORIENTED_EDGE('',*,*,#209441,.T.); #289755=ORIENTED_EDGE('',*,*,#209440,.T.); #289756=ORIENTED_EDGE('',*,*,#209442,.F.); #289757=ORIENTED_EDGE('',*,*,#209443,.F.); #289758=ORIENTED_EDGE('',*,*,#209444,.T.); #289759=ORIENTED_EDGE('',*,*,#209443,.T.); #289760=ORIENTED_EDGE('',*,*,#209445,.F.); #289761=ORIENTED_EDGE('',*,*,#209446,.F.); #289762=ORIENTED_EDGE('',*,*,#209447,.T.); #289763=ORIENTED_EDGE('',*,*,#209446,.T.); #289764=ORIENTED_EDGE('',*,*,#209448,.F.); #289765=ORIENTED_EDGE('',*,*,#209449,.F.); #289766=ORIENTED_EDGE('',*,*,#209450,.T.); #289767=ORIENTED_EDGE('',*,*,#209449,.T.); #289768=ORIENTED_EDGE('',*,*,#209451,.F.); #289769=ORIENTED_EDGE('',*,*,#209452,.F.); #289770=ORIENTED_EDGE('',*,*,#209453,.T.); #289771=ORIENTED_EDGE('',*,*,#209452,.T.); #289772=ORIENTED_EDGE('',*,*,#209454,.F.); #289773=ORIENTED_EDGE('',*,*,#209455,.F.); #289774=ORIENTED_EDGE('',*,*,#209456,.T.); #289775=ORIENTED_EDGE('',*,*,#209455,.T.); #289776=ORIENTED_EDGE('',*,*,#209457,.F.); #289777=ORIENTED_EDGE('',*,*,#209458,.F.); #289778=ORIENTED_EDGE('',*,*,#209459,.T.); #289779=ORIENTED_EDGE('',*,*,#209458,.T.); #289780=ORIENTED_EDGE('',*,*,#209460,.F.); #289781=ORIENTED_EDGE('',*,*,#209461,.F.); #289782=ORIENTED_EDGE('',*,*,#209462,.T.); #289783=ORIENTED_EDGE('',*,*,#209461,.T.); #289784=ORIENTED_EDGE('',*,*,#209463,.F.); #289785=ORIENTED_EDGE('',*,*,#209464,.F.); #289786=ORIENTED_EDGE('',*,*,#209465,.T.); #289787=ORIENTED_EDGE('',*,*,#209464,.T.); #289788=ORIENTED_EDGE('',*,*,#209466,.F.); #289789=ORIENTED_EDGE('',*,*,#209467,.F.); #289790=ORIENTED_EDGE('',*,*,#209468,.T.); #289791=ORIENTED_EDGE('',*,*,#209467,.T.); #289792=ORIENTED_EDGE('',*,*,#209469,.F.); #289793=ORIENTED_EDGE('',*,*,#209470,.F.); #289794=ORIENTED_EDGE('',*,*,#209471,.T.); #289795=ORIENTED_EDGE('',*,*,#209470,.T.); #289796=ORIENTED_EDGE('',*,*,#209472,.F.); #289797=ORIENTED_EDGE('',*,*,#209473,.F.); #289798=ORIENTED_EDGE('',*,*,#209474,.T.); #289799=ORIENTED_EDGE('',*,*,#209473,.T.); #289800=ORIENTED_EDGE('',*,*,#209475,.F.); #289801=ORIENTED_EDGE('',*,*,#209476,.F.); #289802=ORIENTED_EDGE('',*,*,#209477,.T.); #289803=ORIENTED_EDGE('',*,*,#209476,.T.); #289804=ORIENTED_EDGE('',*,*,#209478,.F.); #289805=ORIENTED_EDGE('',*,*,#209479,.F.); #289806=ORIENTED_EDGE('',*,*,#209480,.T.); #289807=ORIENTED_EDGE('',*,*,#209479,.T.); #289808=ORIENTED_EDGE('',*,*,#209481,.F.); #289809=ORIENTED_EDGE('',*,*,#209482,.F.); #289810=ORIENTED_EDGE('',*,*,#209483,.T.); #289811=ORIENTED_EDGE('',*,*,#209482,.T.); #289812=ORIENTED_EDGE('',*,*,#209484,.F.); #289813=ORIENTED_EDGE('',*,*,#209485,.F.); #289814=ORIENTED_EDGE('',*,*,#209486,.T.); #289815=ORIENTED_EDGE('',*,*,#209485,.T.); #289816=ORIENTED_EDGE('',*,*,#209487,.F.); #289817=ORIENTED_EDGE('',*,*,#209273,.F.); #289818=ORIENTED_EDGE('',*,*,#209487,.T.); #289819=ORIENTED_EDGE('',*,*,#209484,.T.); #289820=ORIENTED_EDGE('',*,*,#209481,.T.); #289821=ORIENTED_EDGE('',*,*,#209478,.T.); #289822=ORIENTED_EDGE('',*,*,#209475,.T.); #289823=ORIENTED_EDGE('',*,*,#209472,.T.); #289824=ORIENTED_EDGE('',*,*,#209469,.T.); #289825=ORIENTED_EDGE('',*,*,#209466,.T.); #289826=ORIENTED_EDGE('',*,*,#209463,.T.); #289827=ORIENTED_EDGE('',*,*,#209460,.T.); #289828=ORIENTED_EDGE('',*,*,#209457,.T.); #289829=ORIENTED_EDGE('',*,*,#209454,.T.); #289830=ORIENTED_EDGE('',*,*,#209451,.T.); #289831=ORIENTED_EDGE('',*,*,#209448,.T.); #289832=ORIENTED_EDGE('',*,*,#209445,.T.); #289833=ORIENTED_EDGE('',*,*,#209442,.T.); #289834=ORIENTED_EDGE('',*,*,#209439,.T.); #289835=ORIENTED_EDGE('',*,*,#209436,.T.); #289836=ORIENTED_EDGE('',*,*,#209433,.T.); #289837=ORIENTED_EDGE('',*,*,#209430,.T.); #289838=ORIENTED_EDGE('',*,*,#209427,.T.); #289839=ORIENTED_EDGE('',*,*,#209424,.T.); #289840=ORIENTED_EDGE('',*,*,#209421,.T.); #289841=ORIENTED_EDGE('',*,*,#209418,.T.); #289842=ORIENTED_EDGE('',*,*,#209415,.T.); #289843=ORIENTED_EDGE('',*,*,#209412,.T.); #289844=ORIENTED_EDGE('',*,*,#209409,.T.); #289845=ORIENTED_EDGE('',*,*,#209406,.T.); #289846=ORIENTED_EDGE('',*,*,#209403,.T.); #289847=ORIENTED_EDGE('',*,*,#209400,.T.); #289848=ORIENTED_EDGE('',*,*,#209397,.T.); #289849=ORIENTED_EDGE('',*,*,#209394,.T.); #289850=ORIENTED_EDGE('',*,*,#209391,.T.); #289851=ORIENTED_EDGE('',*,*,#209388,.T.); #289852=ORIENTED_EDGE('',*,*,#209385,.T.); #289853=ORIENTED_EDGE('',*,*,#209382,.T.); #289854=ORIENTED_EDGE('',*,*,#209379,.T.); #289855=ORIENTED_EDGE('',*,*,#209376,.T.); #289856=ORIENTED_EDGE('',*,*,#209373,.T.); #289857=ORIENTED_EDGE('',*,*,#209370,.T.); #289858=ORIENTED_EDGE('',*,*,#209367,.T.); #289859=ORIENTED_EDGE('',*,*,#209364,.T.); #289860=ORIENTED_EDGE('',*,*,#209361,.T.); #289861=ORIENTED_EDGE('',*,*,#209358,.T.); #289862=ORIENTED_EDGE('',*,*,#209355,.T.); #289863=ORIENTED_EDGE('',*,*,#209352,.T.); #289864=ORIENTED_EDGE('',*,*,#209349,.T.); #289865=ORIENTED_EDGE('',*,*,#209346,.T.); #289866=ORIENTED_EDGE('',*,*,#209343,.T.); #289867=ORIENTED_EDGE('',*,*,#209340,.T.); #289868=ORIENTED_EDGE('',*,*,#209337,.T.); #289869=ORIENTED_EDGE('',*,*,#209334,.T.); #289870=ORIENTED_EDGE('',*,*,#209331,.T.); #289871=ORIENTED_EDGE('',*,*,#209328,.T.); #289872=ORIENTED_EDGE('',*,*,#209325,.T.); #289873=ORIENTED_EDGE('',*,*,#209322,.T.); #289874=ORIENTED_EDGE('',*,*,#209319,.T.); #289875=ORIENTED_EDGE('',*,*,#209316,.T.); #289876=ORIENTED_EDGE('',*,*,#209313,.T.); #289877=ORIENTED_EDGE('',*,*,#209310,.T.); #289878=ORIENTED_EDGE('',*,*,#209307,.T.); #289879=ORIENTED_EDGE('',*,*,#209304,.T.); #289880=ORIENTED_EDGE('',*,*,#209301,.T.); #289881=ORIENTED_EDGE('',*,*,#209298,.T.); #289882=ORIENTED_EDGE('',*,*,#209295,.T.); #289883=ORIENTED_EDGE('',*,*,#209292,.T.); #289884=ORIENTED_EDGE('',*,*,#209289,.T.); #289885=ORIENTED_EDGE('',*,*,#209286,.T.); #289886=ORIENTED_EDGE('',*,*,#209283,.T.); #289887=ORIENTED_EDGE('',*,*,#209280,.T.); #289888=ORIENTED_EDGE('',*,*,#209277,.T.); #289889=ORIENTED_EDGE('',*,*,#209274,.T.); #289890=ORIENTED_EDGE('',*,*,#209267,.T.); #289891=ORIENTED_EDGE('',*,*,#209270,.T.); #289892=ORIENTED_EDGE('',*,*,#209260,.T.); #289893=ORIENTED_EDGE('',*,*,#209264,.T.); #289894=ORIENTED_EDGE('',*,*,#209486,.F.); #289895=ORIENTED_EDGE('',*,*,#209272,.F.); #289896=ORIENTED_EDGE('',*,*,#209276,.F.); #289897=ORIENTED_EDGE('',*,*,#209279,.F.); #289898=ORIENTED_EDGE('',*,*,#209282,.F.); #289899=ORIENTED_EDGE('',*,*,#209285,.F.); #289900=ORIENTED_EDGE('',*,*,#209288,.F.); #289901=ORIENTED_EDGE('',*,*,#209291,.F.); #289902=ORIENTED_EDGE('',*,*,#209294,.F.); #289903=ORIENTED_EDGE('',*,*,#209297,.F.); #289904=ORIENTED_EDGE('',*,*,#209300,.F.); #289905=ORIENTED_EDGE('',*,*,#209303,.F.); #289906=ORIENTED_EDGE('',*,*,#209306,.F.); #289907=ORIENTED_EDGE('',*,*,#209309,.F.); #289908=ORIENTED_EDGE('',*,*,#209312,.F.); #289909=ORIENTED_EDGE('',*,*,#209315,.F.); #289910=ORIENTED_EDGE('',*,*,#209318,.F.); #289911=ORIENTED_EDGE('',*,*,#209321,.F.); #289912=ORIENTED_EDGE('',*,*,#209324,.F.); #289913=ORIENTED_EDGE('',*,*,#209327,.F.); #289914=ORIENTED_EDGE('',*,*,#209330,.F.); #289915=ORIENTED_EDGE('',*,*,#209333,.F.); #289916=ORIENTED_EDGE('',*,*,#209336,.F.); #289917=ORIENTED_EDGE('',*,*,#209339,.F.); #289918=ORIENTED_EDGE('',*,*,#209342,.F.); #289919=ORIENTED_EDGE('',*,*,#209345,.F.); #289920=ORIENTED_EDGE('',*,*,#209348,.F.); #289921=ORIENTED_EDGE('',*,*,#209351,.F.); #289922=ORIENTED_EDGE('',*,*,#209354,.F.); #289923=ORIENTED_EDGE('',*,*,#209357,.F.); #289924=ORIENTED_EDGE('',*,*,#209360,.F.); #289925=ORIENTED_EDGE('',*,*,#209363,.F.); #289926=ORIENTED_EDGE('',*,*,#209366,.F.); #289927=ORIENTED_EDGE('',*,*,#209369,.F.); #289928=ORIENTED_EDGE('',*,*,#209372,.F.); #289929=ORIENTED_EDGE('',*,*,#209375,.F.); #289930=ORIENTED_EDGE('',*,*,#209378,.F.); #289931=ORIENTED_EDGE('',*,*,#209381,.F.); #289932=ORIENTED_EDGE('',*,*,#209384,.F.); #289933=ORIENTED_EDGE('',*,*,#209387,.F.); #289934=ORIENTED_EDGE('',*,*,#209390,.F.); #289935=ORIENTED_EDGE('',*,*,#209393,.F.); #289936=ORIENTED_EDGE('',*,*,#209396,.F.); #289937=ORIENTED_EDGE('',*,*,#209399,.F.); #289938=ORIENTED_EDGE('',*,*,#209402,.F.); #289939=ORIENTED_EDGE('',*,*,#209405,.F.); #289940=ORIENTED_EDGE('',*,*,#209408,.F.); #289941=ORIENTED_EDGE('',*,*,#209411,.F.); #289942=ORIENTED_EDGE('',*,*,#209414,.F.); #289943=ORIENTED_EDGE('',*,*,#209417,.F.); #289944=ORIENTED_EDGE('',*,*,#209420,.F.); #289945=ORIENTED_EDGE('',*,*,#209423,.F.); #289946=ORIENTED_EDGE('',*,*,#209426,.F.); #289947=ORIENTED_EDGE('',*,*,#209429,.F.); #289948=ORIENTED_EDGE('',*,*,#209432,.F.); #289949=ORIENTED_EDGE('',*,*,#209435,.F.); #289950=ORIENTED_EDGE('',*,*,#209438,.F.); #289951=ORIENTED_EDGE('',*,*,#209441,.F.); #289952=ORIENTED_EDGE('',*,*,#209444,.F.); #289953=ORIENTED_EDGE('',*,*,#209447,.F.); #289954=ORIENTED_EDGE('',*,*,#209450,.F.); #289955=ORIENTED_EDGE('',*,*,#209453,.F.); #289956=ORIENTED_EDGE('',*,*,#209456,.F.); #289957=ORIENTED_EDGE('',*,*,#209459,.F.); #289958=ORIENTED_EDGE('',*,*,#209462,.F.); #289959=ORIENTED_EDGE('',*,*,#209465,.F.); #289960=ORIENTED_EDGE('',*,*,#209468,.F.); #289961=ORIENTED_EDGE('',*,*,#209471,.F.); #289962=ORIENTED_EDGE('',*,*,#209474,.F.); #289963=ORIENTED_EDGE('',*,*,#209477,.F.); #289964=ORIENTED_EDGE('',*,*,#209480,.F.); #289965=ORIENTED_EDGE('',*,*,#209483,.F.); #289966=ORIENTED_EDGE('',*,*,#209268,.T.); #289967=ORIENTED_EDGE('',*,*,#209265,.T.); #289968=ORIENTED_EDGE('',*,*,#209262,.T.); #289969=ORIENTED_EDGE('',*,*,#209271,.T.); #289970=ORIENTED_EDGE('',*,*,#209488,.F.); #289971=ORIENTED_EDGE('',*,*,#209489,.T.); #289972=ORIENTED_EDGE('',*,*,#209490,.F.); #289973=ORIENTED_EDGE('',*,*,#209489,.F.); #289974=ORIENTED_EDGE('',*,*,#209491,.T.); #289975=ORIENTED_EDGE('',*,*,#209492,.T.); #289976=ORIENTED_EDGE('',*,*,#209493,.F.); #289977=ORIENTED_EDGE('',*,*,#209494,.F.); #289978=ORIENTED_EDGE('',*,*,#209495,.T.); #289979=ORIENTED_EDGE('',*,*,#209494,.T.); #289980=ORIENTED_EDGE('',*,*,#209496,.F.); #289981=ORIENTED_EDGE('',*,*,#209497,.F.); #289982=ORIENTED_EDGE('',*,*,#209498,.T.); #289983=ORIENTED_EDGE('',*,*,#209497,.T.); #289984=ORIENTED_EDGE('',*,*,#209499,.F.); #289985=ORIENTED_EDGE('',*,*,#209500,.F.); #289986=ORIENTED_EDGE('',*,*,#209501,.T.); #289987=ORIENTED_EDGE('',*,*,#209500,.T.); #289988=ORIENTED_EDGE('',*,*,#209502,.F.); #289989=ORIENTED_EDGE('',*,*,#209503,.F.); #289990=ORIENTED_EDGE('',*,*,#209504,.T.); #289991=ORIENTED_EDGE('',*,*,#209503,.T.); #289992=ORIENTED_EDGE('',*,*,#209505,.F.); #289993=ORIENTED_EDGE('',*,*,#209506,.F.); #289994=ORIENTED_EDGE('',*,*,#209507,.T.); #289995=ORIENTED_EDGE('',*,*,#209506,.T.); #289996=ORIENTED_EDGE('',*,*,#209508,.F.); #289997=ORIENTED_EDGE('',*,*,#209509,.F.); #289998=ORIENTED_EDGE('',*,*,#209510,.T.); #289999=ORIENTED_EDGE('',*,*,#209509,.T.); #290000=ORIENTED_EDGE('',*,*,#209511,.F.); #290001=ORIENTED_EDGE('',*,*,#209512,.F.); #290002=ORIENTED_EDGE('',*,*,#209513,.T.); #290003=ORIENTED_EDGE('',*,*,#209512,.T.); #290004=ORIENTED_EDGE('',*,*,#209514,.F.); #290005=ORIENTED_EDGE('',*,*,#209492,.F.); #290006=ORIENTED_EDGE('',*,*,#209514,.T.); #290007=ORIENTED_EDGE('',*,*,#209511,.T.); #290008=ORIENTED_EDGE('',*,*,#209508,.T.); #290009=ORIENTED_EDGE('',*,*,#209505,.T.); #290010=ORIENTED_EDGE('',*,*,#209502,.T.); #290011=ORIENTED_EDGE('',*,*,#209499,.T.); #290012=ORIENTED_EDGE('',*,*,#209496,.T.); #290013=ORIENTED_EDGE('',*,*,#209493,.T.); #290014=ORIENTED_EDGE('',*,*,#209490,.T.); #290015=ORIENTED_EDGE('',*,*,#209513,.F.); #290016=ORIENTED_EDGE('',*,*,#209491,.F.); #290017=ORIENTED_EDGE('',*,*,#209495,.F.); #290018=ORIENTED_EDGE('',*,*,#209498,.F.); #290019=ORIENTED_EDGE('',*,*,#209501,.F.); #290020=ORIENTED_EDGE('',*,*,#209504,.F.); #290021=ORIENTED_EDGE('',*,*,#209507,.F.); #290022=ORIENTED_EDGE('',*,*,#209510,.F.); #290023=ORIENTED_EDGE('',*,*,#209488,.T.); #290024=ORIENTED_EDGE('',*,*,#209515,.F.); #290025=ORIENTED_EDGE('',*,*,#209516,.T.); #290026=ORIENTED_EDGE('',*,*,#209517,.F.); #290027=ORIENTED_EDGE('',*,*,#209516,.F.); #290028=ORIENTED_EDGE('',*,*,#209518,.T.); #290029=ORIENTED_EDGE('',*,*,#209519,.T.); #290030=ORIENTED_EDGE('',*,*,#209520,.F.); #290031=ORIENTED_EDGE('',*,*,#209521,.F.); #290032=ORIENTED_EDGE('',*,*,#209522,.T.); #290033=ORIENTED_EDGE('',*,*,#209521,.T.); #290034=ORIENTED_EDGE('',*,*,#209523,.F.); #290035=ORIENTED_EDGE('',*,*,#209524,.F.); #290036=ORIENTED_EDGE('',*,*,#209525,.T.); #290037=ORIENTED_EDGE('',*,*,#209524,.T.); #290038=ORIENTED_EDGE('',*,*,#209526,.F.); #290039=ORIENTED_EDGE('',*,*,#209519,.F.); #290040=ORIENTED_EDGE('',*,*,#209526,.T.); #290041=ORIENTED_EDGE('',*,*,#209523,.T.); #290042=ORIENTED_EDGE('',*,*,#209520,.T.); #290043=ORIENTED_EDGE('',*,*,#209517,.T.); #290044=ORIENTED_EDGE('',*,*,#209525,.F.); #290045=ORIENTED_EDGE('',*,*,#209518,.F.); #290046=ORIENTED_EDGE('',*,*,#209522,.F.); #290047=ORIENTED_EDGE('',*,*,#209515,.T.); #290048=ORIENTED_EDGE('',*,*,#209527,.F.); #290049=ORIENTED_EDGE('',*,*,#209528,.T.); #290050=ORIENTED_EDGE('',*,*,#209529,.F.); #290051=ORIENTED_EDGE('',*,*,#209528,.F.); #290052=ORIENTED_EDGE('',*,*,#209530,.T.); #290053=ORIENTED_EDGE('',*,*,#209531,.T.); #290054=ORIENTED_EDGE('',*,*,#209532,.F.); #290055=ORIENTED_EDGE('',*,*,#209533,.F.); #290056=ORIENTED_EDGE('',*,*,#209534,.T.); #290057=ORIENTED_EDGE('',*,*,#209533,.T.); #290058=ORIENTED_EDGE('',*,*,#209535,.F.); #290059=ORIENTED_EDGE('',*,*,#209536,.F.); #290060=ORIENTED_EDGE('',*,*,#209537,.T.); #290061=ORIENTED_EDGE('',*,*,#209536,.T.); #290062=ORIENTED_EDGE('',*,*,#209538,.F.); #290063=ORIENTED_EDGE('',*,*,#209531,.F.); #290064=ORIENTED_EDGE('',*,*,#209538,.T.); #290065=ORIENTED_EDGE('',*,*,#209535,.T.); #290066=ORIENTED_EDGE('',*,*,#209532,.T.); #290067=ORIENTED_EDGE('',*,*,#209529,.T.); #290068=ORIENTED_EDGE('',*,*,#209537,.F.); #290069=ORIENTED_EDGE('',*,*,#209530,.F.); #290070=ORIENTED_EDGE('',*,*,#209534,.F.); #290071=ORIENTED_EDGE('',*,*,#209527,.T.); #290072=ORIENTED_EDGE('',*,*,#209539,.F.); #290073=ORIENTED_EDGE('',*,*,#209540,.T.); #290074=ORIENTED_EDGE('',*,*,#209541,.F.); #290075=ORIENTED_EDGE('',*,*,#209540,.F.); #290076=ORIENTED_EDGE('',*,*,#209542,.T.); #290077=ORIENTED_EDGE('',*,*,#209543,.T.); #290078=ORIENTED_EDGE('',*,*,#209544,.F.); #290079=ORIENTED_EDGE('',*,*,#209545,.F.); #290080=ORIENTED_EDGE('',*,*,#209546,.T.); #290081=ORIENTED_EDGE('',*,*,#209545,.T.); #290082=ORIENTED_EDGE('',*,*,#209547,.F.); #290083=ORIENTED_EDGE('',*,*,#209548,.F.); #290084=ORIENTED_EDGE('',*,*,#209549,.T.); #290085=ORIENTED_EDGE('',*,*,#209548,.T.); #290086=ORIENTED_EDGE('',*,*,#209550,.F.); #290087=ORIENTED_EDGE('',*,*,#209543,.F.); #290088=ORIENTED_EDGE('',*,*,#209550,.T.); #290089=ORIENTED_EDGE('',*,*,#209547,.T.); #290090=ORIENTED_EDGE('',*,*,#209544,.T.); #290091=ORIENTED_EDGE('',*,*,#209541,.T.); #290092=ORIENTED_EDGE('',*,*,#209549,.F.); #290093=ORIENTED_EDGE('',*,*,#209542,.F.); #290094=ORIENTED_EDGE('',*,*,#209546,.F.); #290095=ORIENTED_EDGE('',*,*,#209539,.T.); #290096=ORIENTED_EDGE('',*,*,#209551,.F.); #290097=ORIENTED_EDGE('',*,*,#209552,.T.); #290098=ORIENTED_EDGE('',*,*,#209553,.F.); #290099=ORIENTED_EDGE('',*,*,#209552,.F.); #290100=ORIENTED_EDGE('',*,*,#209554,.T.); #290101=ORIENTED_EDGE('',*,*,#209555,.T.); #290102=ORIENTED_EDGE('',*,*,#209556,.F.); #290103=ORIENTED_EDGE('',*,*,#209557,.F.); #290104=ORIENTED_EDGE('',*,*,#209558,.T.); #290105=ORIENTED_EDGE('',*,*,#209557,.T.); #290106=ORIENTED_EDGE('',*,*,#209559,.F.); #290107=ORIENTED_EDGE('',*,*,#209560,.F.); #290108=ORIENTED_EDGE('',*,*,#209561,.T.); #290109=ORIENTED_EDGE('',*,*,#209560,.T.); #290110=ORIENTED_EDGE('',*,*,#209562,.F.); #290111=ORIENTED_EDGE('',*,*,#209563,.F.); #290112=ORIENTED_EDGE('',*,*,#209564,.T.); #290113=ORIENTED_EDGE('',*,*,#209563,.T.); #290114=ORIENTED_EDGE('',*,*,#209565,.F.); #290115=ORIENTED_EDGE('',*,*,#209555,.F.); #290116=ORIENTED_EDGE('',*,*,#209565,.T.); #290117=ORIENTED_EDGE('',*,*,#209562,.T.); #290118=ORIENTED_EDGE('',*,*,#209559,.T.); #290119=ORIENTED_EDGE('',*,*,#209556,.T.); #290120=ORIENTED_EDGE('',*,*,#209553,.T.); #290121=ORIENTED_EDGE('',*,*,#209564,.F.); #290122=ORIENTED_EDGE('',*,*,#209554,.F.); #290123=ORIENTED_EDGE('',*,*,#209558,.F.); #290124=ORIENTED_EDGE('',*,*,#209561,.F.); #290125=ORIENTED_EDGE('',*,*,#209551,.T.); #290126=ORIENTED_EDGE('',*,*,#209566,.F.); #290127=ORIENTED_EDGE('',*,*,#209567,.T.); #290128=ORIENTED_EDGE('',*,*,#209568,.F.); #290129=ORIENTED_EDGE('',*,*,#209567,.F.); #290130=ORIENTED_EDGE('',*,*,#209569,.T.); #290131=ORIENTED_EDGE('',*,*,#209570,.T.); #290132=ORIENTED_EDGE('',*,*,#209571,.F.); #290133=ORIENTED_EDGE('',*,*,#209572,.F.); #290134=ORIENTED_EDGE('',*,*,#209573,.T.); #290135=ORIENTED_EDGE('',*,*,#209572,.T.); #290136=ORIENTED_EDGE('',*,*,#209574,.F.); #290137=ORIENTED_EDGE('',*,*,#209575,.F.); #290138=ORIENTED_EDGE('',*,*,#209576,.T.); #290139=ORIENTED_EDGE('',*,*,#209575,.T.); #290140=ORIENTED_EDGE('',*,*,#209577,.F.); #290141=ORIENTED_EDGE('',*,*,#209578,.F.); #290142=ORIENTED_EDGE('',*,*,#209579,.T.); #290143=ORIENTED_EDGE('',*,*,#209578,.T.); #290144=ORIENTED_EDGE('',*,*,#209580,.F.); #290145=ORIENTED_EDGE('',*,*,#209581,.F.); #290146=ORIENTED_EDGE('',*,*,#209582,.T.); #290147=ORIENTED_EDGE('',*,*,#209581,.T.); #290148=ORIENTED_EDGE('',*,*,#209583,.F.); #290149=ORIENTED_EDGE('',*,*,#209584,.F.); #290150=ORIENTED_EDGE('',*,*,#209585,.T.); #290151=ORIENTED_EDGE('',*,*,#209584,.T.); #290152=ORIENTED_EDGE('',*,*,#209586,.F.); #290153=ORIENTED_EDGE('',*,*,#209587,.F.); #290154=ORIENTED_EDGE('',*,*,#209588,.T.); #290155=ORIENTED_EDGE('',*,*,#209587,.T.); #290156=ORIENTED_EDGE('',*,*,#209589,.F.); #290157=ORIENTED_EDGE('',*,*,#209590,.F.); #290158=ORIENTED_EDGE('',*,*,#209591,.T.); #290159=ORIENTED_EDGE('',*,*,#209590,.T.); #290160=ORIENTED_EDGE('',*,*,#209592,.F.); #290161=ORIENTED_EDGE('',*,*,#209570,.F.); #290162=ORIENTED_EDGE('',*,*,#209592,.T.); #290163=ORIENTED_EDGE('',*,*,#209589,.T.); #290164=ORIENTED_EDGE('',*,*,#209586,.T.); #290165=ORIENTED_EDGE('',*,*,#209583,.T.); #290166=ORIENTED_EDGE('',*,*,#209580,.T.); #290167=ORIENTED_EDGE('',*,*,#209577,.T.); #290168=ORIENTED_EDGE('',*,*,#209574,.T.); #290169=ORIENTED_EDGE('',*,*,#209571,.T.); #290170=ORIENTED_EDGE('',*,*,#209568,.T.); #290171=ORIENTED_EDGE('',*,*,#209591,.F.); #290172=ORIENTED_EDGE('',*,*,#209569,.F.); #290173=ORIENTED_EDGE('',*,*,#209573,.F.); #290174=ORIENTED_EDGE('',*,*,#209576,.F.); #290175=ORIENTED_EDGE('',*,*,#209579,.F.); #290176=ORIENTED_EDGE('',*,*,#209582,.F.); #290177=ORIENTED_EDGE('',*,*,#209585,.F.); #290178=ORIENTED_EDGE('',*,*,#209588,.F.); #290179=ORIENTED_EDGE('',*,*,#209566,.T.); #290180=ORIENTED_EDGE('',*,*,#209593,.F.); #290181=ORIENTED_EDGE('',*,*,#209594,.T.); #290182=ORIENTED_EDGE('',*,*,#209595,.F.); #290183=ORIENTED_EDGE('',*,*,#209594,.F.); #290184=ORIENTED_EDGE('',*,*,#209596,.T.); #290185=ORIENTED_EDGE('',*,*,#209597,.T.); #290186=ORIENTED_EDGE('',*,*,#209598,.F.); #290187=ORIENTED_EDGE('',*,*,#209599,.F.); #290188=ORIENTED_EDGE('',*,*,#209600,.T.); #290189=ORIENTED_EDGE('',*,*,#209599,.T.); #290190=ORIENTED_EDGE('',*,*,#209601,.F.); #290191=ORIENTED_EDGE('',*,*,#209602,.F.); #290192=ORIENTED_EDGE('',*,*,#209603,.T.); #290193=ORIENTED_EDGE('',*,*,#209602,.T.); #290194=ORIENTED_EDGE('',*,*,#209604,.F.); #290195=ORIENTED_EDGE('',*,*,#209597,.F.); #290196=ORIENTED_EDGE('',*,*,#209604,.T.); #290197=ORIENTED_EDGE('',*,*,#209601,.T.); #290198=ORIENTED_EDGE('',*,*,#209598,.T.); #290199=ORIENTED_EDGE('',*,*,#209595,.T.); #290200=ORIENTED_EDGE('',*,*,#209603,.F.); #290201=ORIENTED_EDGE('',*,*,#209596,.F.); #290202=ORIENTED_EDGE('',*,*,#209600,.F.); #290203=ORIENTED_EDGE('',*,*,#209593,.T.); #290204=ORIENTED_EDGE('',*,*,#209605,.F.); #290205=ORIENTED_EDGE('',*,*,#209606,.T.); #290206=ORIENTED_EDGE('',*,*,#209607,.F.); #290207=ORIENTED_EDGE('',*,*,#209606,.F.); #290208=ORIENTED_EDGE('',*,*,#209608,.T.); #290209=ORIENTED_EDGE('',*,*,#209609,.T.); #290210=ORIENTED_EDGE('',*,*,#209610,.F.); #290211=ORIENTED_EDGE('',*,*,#209611,.F.); #290212=ORIENTED_EDGE('',*,*,#209612,.T.); #290213=ORIENTED_EDGE('',*,*,#209611,.T.); #290214=ORIENTED_EDGE('',*,*,#209613,.F.); #290215=ORIENTED_EDGE('',*,*,#209614,.F.); #290216=ORIENTED_EDGE('',*,*,#209615,.T.); #290217=ORIENTED_EDGE('',*,*,#209614,.T.); #290218=ORIENTED_EDGE('',*,*,#209616,.F.); #290219=ORIENTED_EDGE('',*,*,#209609,.F.); #290220=ORIENTED_EDGE('',*,*,#209616,.T.); #290221=ORIENTED_EDGE('',*,*,#209613,.T.); #290222=ORIENTED_EDGE('',*,*,#209610,.T.); #290223=ORIENTED_EDGE('',*,*,#209607,.T.); #290224=ORIENTED_EDGE('',*,*,#209615,.F.); #290225=ORIENTED_EDGE('',*,*,#209608,.F.); #290226=ORIENTED_EDGE('',*,*,#209612,.F.); #290227=ORIENTED_EDGE('',*,*,#209605,.T.); #290228=ORIENTED_EDGE('',*,*,#209617,.T.); #290229=ORIENTED_EDGE('',*,*,#209618,.T.); #290230=ORIENTED_EDGE('',*,*,#209619,.F.); #290231=ORIENTED_EDGE('',*,*,#209620,.F.); #290232=ORIENTED_EDGE('',*,*,#209621,.T.); #290233=ORIENTED_EDGE('',*,*,#209620,.T.); #290234=ORIENTED_EDGE('',*,*,#209622,.F.); #290235=ORIENTED_EDGE('',*,*,#209623,.F.); #290236=ORIENTED_EDGE('',*,*,#209624,.T.); #290237=ORIENTED_EDGE('',*,*,#209623,.T.); #290238=ORIENTED_EDGE('',*,*,#209625,.F.); #290239=ORIENTED_EDGE('',*,*,#209626,.F.); #290240=ORIENTED_EDGE('',*,*,#209627,.T.); #290241=ORIENTED_EDGE('',*,*,#209626,.T.); #290242=ORIENTED_EDGE('',*,*,#209628,.F.); #290243=ORIENTED_EDGE('',*,*,#209618,.F.); #290244=ORIENTED_EDGE('',*,*,#209628,.T.); #290245=ORIENTED_EDGE('',*,*,#209625,.T.); #290246=ORIENTED_EDGE('',*,*,#209622,.T.); #290247=ORIENTED_EDGE('',*,*,#209619,.T.); #290248=ORIENTED_EDGE('',*,*,#209627,.F.); #290249=ORIENTED_EDGE('',*,*,#209617,.F.); #290250=ORIENTED_EDGE('',*,*,#209621,.F.); #290251=ORIENTED_EDGE('',*,*,#209624,.F.); #290252=ORIENTED_EDGE('',*,*,#209629,.T.); #290253=ORIENTED_EDGE('',*,*,#209630,.T.); #290254=ORIENTED_EDGE('',*,*,#209631,.F.); #290255=ORIENTED_EDGE('',*,*,#209632,.F.); #290256=ORIENTED_EDGE('',*,*,#209633,.T.); #290257=ORIENTED_EDGE('',*,*,#209632,.T.); #290258=ORIENTED_EDGE('',*,*,#209634,.F.); #290259=ORIENTED_EDGE('',*,*,#209635,.F.); #290260=ORIENTED_EDGE('',*,*,#209636,.T.); #290261=ORIENTED_EDGE('',*,*,#209635,.T.); #290262=ORIENTED_EDGE('',*,*,#209637,.F.); #290263=ORIENTED_EDGE('',*,*,#209638,.F.); #290264=ORIENTED_EDGE('',*,*,#209639,.T.); #290265=ORIENTED_EDGE('',*,*,#209638,.T.); #290266=ORIENTED_EDGE('',*,*,#209640,.F.); #290267=ORIENTED_EDGE('',*,*,#209630,.F.); #290268=ORIENTED_EDGE('',*,*,#209640,.T.); #290269=ORIENTED_EDGE('',*,*,#209637,.T.); #290270=ORIENTED_EDGE('',*,*,#209634,.T.); #290271=ORIENTED_EDGE('',*,*,#209631,.T.); #290272=ORIENTED_EDGE('',*,*,#209639,.F.); #290273=ORIENTED_EDGE('',*,*,#209629,.F.); #290274=ORIENTED_EDGE('',*,*,#209633,.F.); #290275=ORIENTED_EDGE('',*,*,#209636,.F.); #290276=ORIENTED_EDGE('',*,*,#209641,.T.); #290277=ORIENTED_EDGE('',*,*,#209642,.T.); #290278=ORIENTED_EDGE('',*,*,#209643,.F.); #290279=ORIENTED_EDGE('',*,*,#209644,.F.); #290280=ORIENTED_EDGE('',*,*,#209645,.T.); #290281=ORIENTED_EDGE('',*,*,#209644,.T.); #290282=ORIENTED_EDGE('',*,*,#209646,.F.); #290283=ORIENTED_EDGE('',*,*,#209647,.F.); #290284=ORIENTED_EDGE('',*,*,#209648,.T.); #290285=ORIENTED_EDGE('',*,*,#209647,.T.); #290286=ORIENTED_EDGE('',*,*,#209649,.F.); #290287=ORIENTED_EDGE('',*,*,#209650,.F.); #290288=ORIENTED_EDGE('',*,*,#209651,.T.); #290289=ORIENTED_EDGE('',*,*,#209650,.T.); #290290=ORIENTED_EDGE('',*,*,#209652,.F.); #290291=ORIENTED_EDGE('',*,*,#209642,.F.); #290292=ORIENTED_EDGE('',*,*,#209652,.T.); #290293=ORIENTED_EDGE('',*,*,#209649,.T.); #290294=ORIENTED_EDGE('',*,*,#209646,.T.); #290295=ORIENTED_EDGE('',*,*,#209643,.T.); #290296=ORIENTED_EDGE('',*,*,#209651,.F.); #290297=ORIENTED_EDGE('',*,*,#209641,.F.); #290298=ORIENTED_EDGE('',*,*,#209645,.F.); #290299=ORIENTED_EDGE('',*,*,#209648,.F.); #290300=ORIENTED_EDGE('',*,*,#209653,.T.); #290301=ORIENTED_EDGE('',*,*,#209654,.T.); #290302=ORIENTED_EDGE('',*,*,#209655,.F.); #290303=ORIENTED_EDGE('',*,*,#209656,.F.); #290304=ORIENTED_EDGE('',*,*,#209657,.T.); #290305=ORIENTED_EDGE('',*,*,#209656,.T.); #290306=ORIENTED_EDGE('',*,*,#209658,.F.); #290307=ORIENTED_EDGE('',*,*,#209659,.F.); #290308=ORIENTED_EDGE('',*,*,#209660,.T.); #290309=ORIENTED_EDGE('',*,*,#209659,.T.); #290310=ORIENTED_EDGE('',*,*,#209661,.F.); #290311=ORIENTED_EDGE('',*,*,#209662,.F.); #290312=ORIENTED_EDGE('',*,*,#209663,.T.); #290313=ORIENTED_EDGE('',*,*,#209662,.T.); #290314=ORIENTED_EDGE('',*,*,#209664,.F.); #290315=ORIENTED_EDGE('',*,*,#209654,.F.); #290316=ORIENTED_EDGE('',*,*,#209664,.T.); #290317=ORIENTED_EDGE('',*,*,#209661,.T.); #290318=ORIENTED_EDGE('',*,*,#209658,.T.); #290319=ORIENTED_EDGE('',*,*,#209655,.T.); #290320=ORIENTED_EDGE('',*,*,#209663,.F.); #290321=ORIENTED_EDGE('',*,*,#209653,.F.); #290322=ORIENTED_EDGE('',*,*,#209657,.F.); #290323=ORIENTED_EDGE('',*,*,#209660,.F.); #290324=ORIENTED_EDGE('',*,*,#209665,.T.); #290325=ORIENTED_EDGE('',*,*,#209666,.T.); #290326=ORIENTED_EDGE('',*,*,#209667,.F.); #290327=ORIENTED_EDGE('',*,*,#209668,.F.); #290328=ORIENTED_EDGE('',*,*,#209669,.T.); #290329=ORIENTED_EDGE('',*,*,#209668,.T.); #290330=ORIENTED_EDGE('',*,*,#209670,.F.); #290331=ORIENTED_EDGE('',*,*,#209671,.F.); #290332=ORIENTED_EDGE('',*,*,#209672,.T.); #290333=ORIENTED_EDGE('',*,*,#209671,.T.); #290334=ORIENTED_EDGE('',*,*,#209673,.F.); #290335=ORIENTED_EDGE('',*,*,#209674,.F.); #290336=ORIENTED_EDGE('',*,*,#209675,.T.); #290337=ORIENTED_EDGE('',*,*,#209674,.T.); #290338=ORIENTED_EDGE('',*,*,#209676,.F.); #290339=ORIENTED_EDGE('',*,*,#209666,.F.); #290340=ORIENTED_EDGE('',*,*,#209676,.T.); #290341=ORIENTED_EDGE('',*,*,#209673,.T.); #290342=ORIENTED_EDGE('',*,*,#209670,.T.); #290343=ORIENTED_EDGE('',*,*,#209667,.T.); #290344=ORIENTED_EDGE('',*,*,#209675,.F.); #290345=ORIENTED_EDGE('',*,*,#209665,.F.); #290346=ORIENTED_EDGE('',*,*,#209669,.F.); #290347=ORIENTED_EDGE('',*,*,#209672,.F.); #290348=ORIENTED_EDGE('',*,*,#209677,.T.); #290349=ORIENTED_EDGE('',*,*,#209678,.T.); #290350=ORIENTED_EDGE('',*,*,#209679,.F.); #290351=ORIENTED_EDGE('',*,*,#209680,.F.); #290352=ORIENTED_EDGE('',*,*,#209681,.T.); #290353=ORIENTED_EDGE('',*,*,#209680,.T.); #290354=ORIENTED_EDGE('',*,*,#209682,.F.); #290355=ORIENTED_EDGE('',*,*,#209683,.F.); #290356=ORIENTED_EDGE('',*,*,#209684,.T.); #290357=ORIENTED_EDGE('',*,*,#209683,.T.); #290358=ORIENTED_EDGE('',*,*,#209685,.F.); #290359=ORIENTED_EDGE('',*,*,#209686,.F.); #290360=ORIENTED_EDGE('',*,*,#209687,.T.); #290361=ORIENTED_EDGE('',*,*,#209686,.T.); #290362=ORIENTED_EDGE('',*,*,#209688,.F.); #290363=ORIENTED_EDGE('',*,*,#209678,.F.); #290364=ORIENTED_EDGE('',*,*,#209688,.T.); #290365=ORIENTED_EDGE('',*,*,#209685,.T.); #290366=ORIENTED_EDGE('',*,*,#209682,.T.); #290367=ORIENTED_EDGE('',*,*,#209679,.T.); #290368=ORIENTED_EDGE('',*,*,#209687,.F.); #290369=ORIENTED_EDGE('',*,*,#209677,.F.); #290370=ORIENTED_EDGE('',*,*,#209681,.F.); #290371=ORIENTED_EDGE('',*,*,#209684,.F.); #290372=ORIENTED_EDGE('',*,*,#209689,.T.); #290373=ORIENTED_EDGE('',*,*,#209690,.T.); #290374=ORIENTED_EDGE('',*,*,#209691,.F.); #290375=ORIENTED_EDGE('',*,*,#209692,.F.); #290376=ORIENTED_EDGE('',*,*,#209693,.T.); #290377=ORIENTED_EDGE('',*,*,#209692,.T.); #290378=ORIENTED_EDGE('',*,*,#209694,.F.); #290379=ORIENTED_EDGE('',*,*,#209695,.F.); #290380=ORIENTED_EDGE('',*,*,#209696,.T.); #290381=ORIENTED_EDGE('',*,*,#209695,.T.); #290382=ORIENTED_EDGE('',*,*,#209697,.F.); #290383=ORIENTED_EDGE('',*,*,#209698,.F.); #290384=ORIENTED_EDGE('',*,*,#209699,.T.); #290385=ORIENTED_EDGE('',*,*,#209698,.T.); #290386=ORIENTED_EDGE('',*,*,#209700,.F.); #290387=ORIENTED_EDGE('',*,*,#209690,.F.); #290388=ORIENTED_EDGE('',*,*,#209700,.T.); #290389=ORIENTED_EDGE('',*,*,#209697,.T.); #290390=ORIENTED_EDGE('',*,*,#209694,.T.); #290391=ORIENTED_EDGE('',*,*,#209691,.T.); #290392=ORIENTED_EDGE('',*,*,#209699,.F.); #290393=ORIENTED_EDGE('',*,*,#209689,.F.); #290394=ORIENTED_EDGE('',*,*,#209693,.F.); #290395=ORIENTED_EDGE('',*,*,#209696,.F.); #290396=ORIENTED_EDGE('',*,*,#209701,.T.); #290397=ORIENTED_EDGE('',*,*,#209702,.T.); #290398=ORIENTED_EDGE('',*,*,#209703,.F.); #290399=ORIENTED_EDGE('',*,*,#209704,.F.); #290400=ORIENTED_EDGE('',*,*,#209705,.T.); #290401=ORIENTED_EDGE('',*,*,#209704,.T.); #290402=ORIENTED_EDGE('',*,*,#209706,.F.); #290403=ORIENTED_EDGE('',*,*,#209707,.F.); #290404=ORIENTED_EDGE('',*,*,#209708,.T.); #290405=ORIENTED_EDGE('',*,*,#209707,.T.); #290406=ORIENTED_EDGE('',*,*,#209709,.F.); #290407=ORIENTED_EDGE('',*,*,#209710,.F.); #290408=ORIENTED_EDGE('',*,*,#209711,.T.); #290409=ORIENTED_EDGE('',*,*,#209710,.T.); #290410=ORIENTED_EDGE('',*,*,#209712,.F.); #290411=ORIENTED_EDGE('',*,*,#209702,.F.); #290412=ORIENTED_EDGE('',*,*,#209712,.T.); #290413=ORIENTED_EDGE('',*,*,#209709,.T.); #290414=ORIENTED_EDGE('',*,*,#209706,.T.); #290415=ORIENTED_EDGE('',*,*,#209703,.T.); #290416=ORIENTED_EDGE('',*,*,#209711,.F.); #290417=ORIENTED_EDGE('',*,*,#209701,.F.); #290418=ORIENTED_EDGE('',*,*,#209705,.F.); #290419=ORIENTED_EDGE('',*,*,#209708,.F.); #290420=ORIENTED_EDGE('',*,*,#209713,.T.); #290421=ORIENTED_EDGE('',*,*,#209714,.T.); #290422=ORIENTED_EDGE('',*,*,#209715,.F.); #290423=ORIENTED_EDGE('',*,*,#209716,.F.); #290424=ORIENTED_EDGE('',*,*,#209717,.T.); #290425=ORIENTED_EDGE('',*,*,#209716,.T.); #290426=ORIENTED_EDGE('',*,*,#209718,.F.); #290427=ORIENTED_EDGE('',*,*,#209719,.F.); #290428=ORIENTED_EDGE('',*,*,#209720,.T.); #290429=ORIENTED_EDGE('',*,*,#209719,.T.); #290430=ORIENTED_EDGE('',*,*,#209721,.F.); #290431=ORIENTED_EDGE('',*,*,#209722,.F.); #290432=ORIENTED_EDGE('',*,*,#209723,.T.); #290433=ORIENTED_EDGE('',*,*,#209722,.T.); #290434=ORIENTED_EDGE('',*,*,#209724,.F.); #290435=ORIENTED_EDGE('',*,*,#209714,.F.); #290436=ORIENTED_EDGE('',*,*,#209724,.T.); #290437=ORIENTED_EDGE('',*,*,#209721,.T.); #290438=ORIENTED_EDGE('',*,*,#209718,.T.); #290439=ORIENTED_EDGE('',*,*,#209715,.T.); #290440=ORIENTED_EDGE('',*,*,#209723,.F.); #290441=ORIENTED_EDGE('',*,*,#209713,.F.); #290442=ORIENTED_EDGE('',*,*,#209717,.F.); #290443=ORIENTED_EDGE('',*,*,#209720,.F.); #290444=ORIENTED_EDGE('',*,*,#209725,.T.); #290445=ORIENTED_EDGE('',*,*,#209726,.T.); #290446=ORIENTED_EDGE('',*,*,#209727,.F.); #290447=ORIENTED_EDGE('',*,*,#209728,.F.); #290448=ORIENTED_EDGE('',*,*,#209729,.T.); #290449=ORIENTED_EDGE('',*,*,#209728,.T.); #290450=ORIENTED_EDGE('',*,*,#209730,.F.); #290451=ORIENTED_EDGE('',*,*,#209731,.F.); #290452=ORIENTED_EDGE('',*,*,#209732,.T.); #290453=ORIENTED_EDGE('',*,*,#209731,.T.); #290454=ORIENTED_EDGE('',*,*,#209733,.F.); #290455=ORIENTED_EDGE('',*,*,#209734,.F.); #290456=ORIENTED_EDGE('',*,*,#209735,.T.); #290457=ORIENTED_EDGE('',*,*,#209734,.T.); #290458=ORIENTED_EDGE('',*,*,#209736,.F.); #290459=ORIENTED_EDGE('',*,*,#209726,.F.); #290460=ORIENTED_EDGE('',*,*,#209736,.T.); #290461=ORIENTED_EDGE('',*,*,#209733,.T.); #290462=ORIENTED_EDGE('',*,*,#209730,.T.); #290463=ORIENTED_EDGE('',*,*,#209727,.T.); #290464=ORIENTED_EDGE('',*,*,#209735,.F.); #290465=ORIENTED_EDGE('',*,*,#209725,.F.); #290466=ORIENTED_EDGE('',*,*,#209729,.F.); #290467=ORIENTED_EDGE('',*,*,#209732,.F.); #290468=ORIENTED_EDGE('',*,*,#209737,.T.); #290469=ORIENTED_EDGE('',*,*,#209738,.T.); #290470=ORIENTED_EDGE('',*,*,#209739,.F.); #290471=ORIENTED_EDGE('',*,*,#209740,.F.); #290472=ORIENTED_EDGE('',*,*,#209741,.T.); #290473=ORIENTED_EDGE('',*,*,#209740,.T.); #290474=ORIENTED_EDGE('',*,*,#209742,.F.); #290475=ORIENTED_EDGE('',*,*,#209743,.F.); #290476=ORIENTED_EDGE('',*,*,#209744,.T.); #290477=ORIENTED_EDGE('',*,*,#209743,.T.); #290478=ORIENTED_EDGE('',*,*,#209745,.F.); #290479=ORIENTED_EDGE('',*,*,#209746,.F.); #290480=ORIENTED_EDGE('',*,*,#209747,.T.); #290481=ORIENTED_EDGE('',*,*,#209746,.T.); #290482=ORIENTED_EDGE('',*,*,#209748,.F.); #290483=ORIENTED_EDGE('',*,*,#209738,.F.); #290484=ORIENTED_EDGE('',*,*,#209748,.T.); #290485=ORIENTED_EDGE('',*,*,#209745,.T.); #290486=ORIENTED_EDGE('',*,*,#209742,.T.); #290487=ORIENTED_EDGE('',*,*,#209739,.T.); #290488=ORIENTED_EDGE('',*,*,#209747,.F.); #290489=ORIENTED_EDGE('',*,*,#209737,.F.); #290490=ORIENTED_EDGE('',*,*,#209741,.F.); #290491=ORIENTED_EDGE('',*,*,#209744,.F.); #290492=ORIENTED_EDGE('',*,*,#209749,.T.); #290493=ORIENTED_EDGE('',*,*,#209750,.T.); #290494=ORIENTED_EDGE('',*,*,#209751,.F.); #290495=ORIENTED_EDGE('',*,*,#209752,.F.); #290496=ORIENTED_EDGE('',*,*,#209753,.T.); #290497=ORIENTED_EDGE('',*,*,#209752,.T.); #290498=ORIENTED_EDGE('',*,*,#209754,.F.); #290499=ORIENTED_EDGE('',*,*,#209755,.F.); #290500=ORIENTED_EDGE('',*,*,#209756,.T.); #290501=ORIENTED_EDGE('',*,*,#209755,.T.); #290502=ORIENTED_EDGE('',*,*,#209757,.F.); #290503=ORIENTED_EDGE('',*,*,#209758,.F.); #290504=ORIENTED_EDGE('',*,*,#209759,.T.); #290505=ORIENTED_EDGE('',*,*,#209758,.T.); #290506=ORIENTED_EDGE('',*,*,#209760,.F.); #290507=ORIENTED_EDGE('',*,*,#209750,.F.); #290508=ORIENTED_EDGE('',*,*,#209760,.T.); #290509=ORIENTED_EDGE('',*,*,#209757,.T.); #290510=ORIENTED_EDGE('',*,*,#209754,.T.); #290511=ORIENTED_EDGE('',*,*,#209751,.T.); #290512=ORIENTED_EDGE('',*,*,#209759,.F.); #290513=ORIENTED_EDGE('',*,*,#209749,.F.); #290514=ORIENTED_EDGE('',*,*,#209753,.F.); #290515=ORIENTED_EDGE('',*,*,#209756,.F.); #290516=ORIENTED_EDGE('',*,*,#209761,.T.); #290517=ORIENTED_EDGE('',*,*,#209762,.T.); #290518=ORIENTED_EDGE('',*,*,#209763,.F.); #290519=ORIENTED_EDGE('',*,*,#209764,.F.); #290520=ORIENTED_EDGE('',*,*,#209765,.T.); #290521=ORIENTED_EDGE('',*,*,#209764,.T.); #290522=ORIENTED_EDGE('',*,*,#209766,.F.); #290523=ORIENTED_EDGE('',*,*,#209767,.F.); #290524=ORIENTED_EDGE('',*,*,#209768,.T.); #290525=ORIENTED_EDGE('',*,*,#209767,.T.); #290526=ORIENTED_EDGE('',*,*,#209769,.F.); #290527=ORIENTED_EDGE('',*,*,#209770,.F.); #290528=ORIENTED_EDGE('',*,*,#209771,.T.); #290529=ORIENTED_EDGE('',*,*,#209770,.T.); #290530=ORIENTED_EDGE('',*,*,#209772,.F.); #290531=ORIENTED_EDGE('',*,*,#209762,.F.); #290532=ORIENTED_EDGE('',*,*,#209772,.T.); #290533=ORIENTED_EDGE('',*,*,#209769,.T.); #290534=ORIENTED_EDGE('',*,*,#209766,.T.); #290535=ORIENTED_EDGE('',*,*,#209763,.T.); #290536=ORIENTED_EDGE('',*,*,#209771,.F.); #290537=ORIENTED_EDGE('',*,*,#209761,.F.); #290538=ORIENTED_EDGE('',*,*,#209765,.F.); #290539=ORIENTED_EDGE('',*,*,#209768,.F.); #290540=ORIENTED_EDGE('',*,*,#209773,.T.); #290541=ORIENTED_EDGE('',*,*,#209774,.T.); #290542=ORIENTED_EDGE('',*,*,#209775,.F.); #290543=ORIENTED_EDGE('',*,*,#209776,.F.); #290544=ORIENTED_EDGE('',*,*,#209777,.T.); #290545=ORIENTED_EDGE('',*,*,#209776,.T.); #290546=ORIENTED_EDGE('',*,*,#209778,.F.); #290547=ORIENTED_EDGE('',*,*,#209779,.F.); #290548=ORIENTED_EDGE('',*,*,#209780,.T.); #290549=ORIENTED_EDGE('',*,*,#209779,.T.); #290550=ORIENTED_EDGE('',*,*,#209781,.F.); #290551=ORIENTED_EDGE('',*,*,#209782,.F.); #290552=ORIENTED_EDGE('',*,*,#209783,.T.); #290553=ORIENTED_EDGE('',*,*,#209782,.T.); #290554=ORIENTED_EDGE('',*,*,#209784,.F.); #290555=ORIENTED_EDGE('',*,*,#209774,.F.); #290556=ORIENTED_EDGE('',*,*,#209784,.T.); #290557=ORIENTED_EDGE('',*,*,#209781,.T.); #290558=ORIENTED_EDGE('',*,*,#209778,.T.); #290559=ORIENTED_EDGE('',*,*,#209775,.T.); #290560=ORIENTED_EDGE('',*,*,#209783,.F.); #290561=ORIENTED_EDGE('',*,*,#209773,.F.); #290562=ORIENTED_EDGE('',*,*,#209777,.F.); #290563=ORIENTED_EDGE('',*,*,#209780,.F.); #290564=ORIENTED_EDGE('',*,*,#209785,.T.); #290565=ORIENTED_EDGE('',*,*,#209786,.T.); #290566=ORIENTED_EDGE('',*,*,#209787,.F.); #290567=ORIENTED_EDGE('',*,*,#209788,.F.); #290568=ORIENTED_EDGE('',*,*,#209789,.T.); #290569=ORIENTED_EDGE('',*,*,#209788,.T.); #290570=ORIENTED_EDGE('',*,*,#209790,.F.); #290571=ORIENTED_EDGE('',*,*,#209791,.F.); #290572=ORIENTED_EDGE('',*,*,#209792,.T.); #290573=ORIENTED_EDGE('',*,*,#209791,.T.); #290574=ORIENTED_EDGE('',*,*,#209793,.F.); #290575=ORIENTED_EDGE('',*,*,#209794,.F.); #290576=ORIENTED_EDGE('',*,*,#209795,.T.); #290577=ORIENTED_EDGE('',*,*,#209794,.T.); #290578=ORIENTED_EDGE('',*,*,#209796,.F.); #290579=ORIENTED_EDGE('',*,*,#209786,.F.); #290580=ORIENTED_EDGE('',*,*,#209796,.T.); #290581=ORIENTED_EDGE('',*,*,#209793,.T.); #290582=ORIENTED_EDGE('',*,*,#209790,.T.); #290583=ORIENTED_EDGE('',*,*,#209787,.T.); #290584=ORIENTED_EDGE('',*,*,#209795,.F.); #290585=ORIENTED_EDGE('',*,*,#209785,.F.); #290586=ORIENTED_EDGE('',*,*,#209789,.F.); #290587=ORIENTED_EDGE('',*,*,#209792,.F.); #290588=ORIENTED_EDGE('',*,*,#209797,.T.); #290589=ORIENTED_EDGE('',*,*,#209798,.T.); #290590=ORIENTED_EDGE('',*,*,#209799,.F.); #290591=ORIENTED_EDGE('',*,*,#209800,.F.); #290592=ORIENTED_EDGE('',*,*,#209801,.T.); #290593=ORIENTED_EDGE('',*,*,#209800,.T.); #290594=ORIENTED_EDGE('',*,*,#209802,.F.); #290595=ORIENTED_EDGE('',*,*,#209803,.F.); #290596=ORIENTED_EDGE('',*,*,#209804,.T.); #290597=ORIENTED_EDGE('',*,*,#209803,.T.); #290598=ORIENTED_EDGE('',*,*,#209805,.F.); #290599=ORIENTED_EDGE('',*,*,#209806,.F.); #290600=ORIENTED_EDGE('',*,*,#209807,.T.); #290601=ORIENTED_EDGE('',*,*,#209806,.T.); #290602=ORIENTED_EDGE('',*,*,#209808,.F.); #290603=ORIENTED_EDGE('',*,*,#209798,.F.); #290604=ORIENTED_EDGE('',*,*,#209808,.T.); #290605=ORIENTED_EDGE('',*,*,#209805,.T.); #290606=ORIENTED_EDGE('',*,*,#209802,.T.); #290607=ORIENTED_EDGE('',*,*,#209799,.T.); #290608=ORIENTED_EDGE('',*,*,#209807,.F.); #290609=ORIENTED_EDGE('',*,*,#209797,.F.); #290610=ORIENTED_EDGE('',*,*,#209801,.F.); #290611=ORIENTED_EDGE('',*,*,#209804,.F.); #290612=ORIENTED_EDGE('',*,*,#209809,.T.); #290613=ORIENTED_EDGE('',*,*,#209810,.T.); #290614=ORIENTED_EDGE('',*,*,#209811,.F.); #290615=ORIENTED_EDGE('',*,*,#209812,.F.); #290616=ORIENTED_EDGE('',*,*,#209813,.T.); #290617=ORIENTED_EDGE('',*,*,#209812,.T.); #290618=ORIENTED_EDGE('',*,*,#209814,.F.); #290619=ORIENTED_EDGE('',*,*,#209815,.F.); #290620=ORIENTED_EDGE('',*,*,#209816,.T.); #290621=ORIENTED_EDGE('',*,*,#209815,.T.); #290622=ORIENTED_EDGE('',*,*,#209817,.F.); #290623=ORIENTED_EDGE('',*,*,#209818,.F.); #290624=ORIENTED_EDGE('',*,*,#209819,.T.); #290625=ORIENTED_EDGE('',*,*,#209818,.T.); #290626=ORIENTED_EDGE('',*,*,#209820,.F.); #290627=ORIENTED_EDGE('',*,*,#209810,.F.); #290628=ORIENTED_EDGE('',*,*,#209820,.T.); #290629=ORIENTED_EDGE('',*,*,#209817,.T.); #290630=ORIENTED_EDGE('',*,*,#209814,.T.); #290631=ORIENTED_EDGE('',*,*,#209811,.T.); #290632=ORIENTED_EDGE('',*,*,#209819,.F.); #290633=ORIENTED_EDGE('',*,*,#209809,.F.); #290634=ORIENTED_EDGE('',*,*,#209813,.F.); #290635=ORIENTED_EDGE('',*,*,#209816,.F.); #290636=ORIENTED_EDGE('',*,*,#209821,.T.); #290637=ORIENTED_EDGE('',*,*,#209822,.T.); #290638=ORIENTED_EDGE('',*,*,#209823,.F.); #290639=ORIENTED_EDGE('',*,*,#209824,.F.); #290640=ORIENTED_EDGE('',*,*,#209825,.T.); #290641=ORIENTED_EDGE('',*,*,#209824,.T.); #290642=ORIENTED_EDGE('',*,*,#209826,.F.); #290643=ORIENTED_EDGE('',*,*,#209827,.F.); #290644=ORIENTED_EDGE('',*,*,#209828,.T.); #290645=ORIENTED_EDGE('',*,*,#209827,.T.); #290646=ORIENTED_EDGE('',*,*,#209829,.F.); #290647=ORIENTED_EDGE('',*,*,#209830,.F.); #290648=ORIENTED_EDGE('',*,*,#209831,.T.); #290649=ORIENTED_EDGE('',*,*,#209830,.T.); #290650=ORIENTED_EDGE('',*,*,#209832,.F.); #290651=ORIENTED_EDGE('',*,*,#209822,.F.); #290652=ORIENTED_EDGE('',*,*,#209832,.T.); #290653=ORIENTED_EDGE('',*,*,#209829,.T.); #290654=ORIENTED_EDGE('',*,*,#209826,.T.); #290655=ORIENTED_EDGE('',*,*,#209823,.T.); #290656=ORIENTED_EDGE('',*,*,#209831,.F.); #290657=ORIENTED_EDGE('',*,*,#209821,.F.); #290658=ORIENTED_EDGE('',*,*,#209825,.F.); #290659=ORIENTED_EDGE('',*,*,#209828,.F.); #290660=ORIENTED_EDGE('',*,*,#209833,.T.); #290661=ORIENTED_EDGE('',*,*,#209834,.T.); #290662=ORIENTED_EDGE('',*,*,#209835,.F.); #290663=ORIENTED_EDGE('',*,*,#209836,.F.); #290664=ORIENTED_EDGE('',*,*,#209837,.T.); #290665=ORIENTED_EDGE('',*,*,#209836,.T.); #290666=ORIENTED_EDGE('',*,*,#209838,.F.); #290667=ORIENTED_EDGE('',*,*,#209839,.F.); #290668=ORIENTED_EDGE('',*,*,#209840,.T.); #290669=ORIENTED_EDGE('',*,*,#209839,.T.); #290670=ORIENTED_EDGE('',*,*,#209841,.F.); #290671=ORIENTED_EDGE('',*,*,#209842,.F.); #290672=ORIENTED_EDGE('',*,*,#209843,.T.); #290673=ORIENTED_EDGE('',*,*,#209842,.T.); #290674=ORIENTED_EDGE('',*,*,#209844,.F.); #290675=ORIENTED_EDGE('',*,*,#209834,.F.); #290676=ORIENTED_EDGE('',*,*,#209844,.T.); #290677=ORIENTED_EDGE('',*,*,#209841,.T.); #290678=ORIENTED_EDGE('',*,*,#209838,.T.); #290679=ORIENTED_EDGE('',*,*,#209835,.T.); #290680=ORIENTED_EDGE('',*,*,#209843,.F.); #290681=ORIENTED_EDGE('',*,*,#209833,.F.); #290682=ORIENTED_EDGE('',*,*,#209837,.F.); #290683=ORIENTED_EDGE('',*,*,#209840,.F.); #290684=ORIENTED_EDGE('',*,*,#209845,.T.); #290685=ORIENTED_EDGE('',*,*,#209846,.T.); #290686=ORIENTED_EDGE('',*,*,#209847,.F.); #290687=ORIENTED_EDGE('',*,*,#209848,.F.); #290688=ORIENTED_EDGE('',*,*,#209849,.T.); #290689=ORIENTED_EDGE('',*,*,#209848,.T.); #290690=ORIENTED_EDGE('',*,*,#209850,.F.); #290691=ORIENTED_EDGE('',*,*,#209851,.F.); #290692=ORIENTED_EDGE('',*,*,#209852,.T.); #290693=ORIENTED_EDGE('',*,*,#209851,.T.); #290694=ORIENTED_EDGE('',*,*,#209853,.F.); #290695=ORIENTED_EDGE('',*,*,#209854,.F.); #290696=ORIENTED_EDGE('',*,*,#209855,.T.); #290697=ORIENTED_EDGE('',*,*,#209854,.T.); #290698=ORIENTED_EDGE('',*,*,#209856,.F.); #290699=ORIENTED_EDGE('',*,*,#209846,.F.); #290700=ORIENTED_EDGE('',*,*,#209856,.T.); #290701=ORIENTED_EDGE('',*,*,#209853,.T.); #290702=ORIENTED_EDGE('',*,*,#209850,.T.); #290703=ORIENTED_EDGE('',*,*,#209847,.T.); #290704=ORIENTED_EDGE('',*,*,#209855,.F.); #290705=ORIENTED_EDGE('',*,*,#209845,.F.); #290706=ORIENTED_EDGE('',*,*,#209849,.F.); #290707=ORIENTED_EDGE('',*,*,#209852,.F.); #290708=ORIENTED_EDGE('',*,*,#209857,.T.); #290709=ORIENTED_EDGE('',*,*,#209858,.T.); #290710=ORIENTED_EDGE('',*,*,#209859,.F.); #290711=ORIENTED_EDGE('',*,*,#209860,.F.); #290712=ORIENTED_EDGE('',*,*,#209861,.T.); #290713=ORIENTED_EDGE('',*,*,#209860,.T.); #290714=ORIENTED_EDGE('',*,*,#209862,.F.); #290715=ORIENTED_EDGE('',*,*,#209863,.F.); #290716=ORIENTED_EDGE('',*,*,#209864,.T.); #290717=ORIENTED_EDGE('',*,*,#209863,.T.); #290718=ORIENTED_EDGE('',*,*,#209865,.F.); #290719=ORIENTED_EDGE('',*,*,#209866,.F.); #290720=ORIENTED_EDGE('',*,*,#209867,.T.); #290721=ORIENTED_EDGE('',*,*,#209866,.T.); #290722=ORIENTED_EDGE('',*,*,#209868,.F.); #290723=ORIENTED_EDGE('',*,*,#209858,.F.); #290724=ORIENTED_EDGE('',*,*,#209868,.T.); #290725=ORIENTED_EDGE('',*,*,#209865,.T.); #290726=ORIENTED_EDGE('',*,*,#209862,.T.); #290727=ORIENTED_EDGE('',*,*,#209859,.T.); #290728=ORIENTED_EDGE('',*,*,#209867,.F.); #290729=ORIENTED_EDGE('',*,*,#209857,.F.); #290730=ORIENTED_EDGE('',*,*,#209861,.F.); #290731=ORIENTED_EDGE('',*,*,#209864,.F.); #290732=ORIENTED_EDGE('',*,*,#209869,.T.); #290733=ORIENTED_EDGE('',*,*,#209870,.T.); #290734=ORIENTED_EDGE('',*,*,#209871,.F.); #290735=ORIENTED_EDGE('',*,*,#209872,.F.); #290736=ORIENTED_EDGE('',*,*,#209873,.T.); #290737=ORIENTED_EDGE('',*,*,#209872,.T.); #290738=ORIENTED_EDGE('',*,*,#209874,.F.); #290739=ORIENTED_EDGE('',*,*,#209875,.F.); #290740=ORIENTED_EDGE('',*,*,#209876,.T.); #290741=ORIENTED_EDGE('',*,*,#209875,.T.); #290742=ORIENTED_EDGE('',*,*,#209877,.F.); #290743=ORIENTED_EDGE('',*,*,#209878,.F.); #290744=ORIENTED_EDGE('',*,*,#209879,.T.); #290745=ORIENTED_EDGE('',*,*,#209878,.T.); #290746=ORIENTED_EDGE('',*,*,#209880,.F.); #290747=ORIENTED_EDGE('',*,*,#209870,.F.); #290748=ORIENTED_EDGE('',*,*,#209880,.T.); #290749=ORIENTED_EDGE('',*,*,#209877,.T.); #290750=ORIENTED_EDGE('',*,*,#209874,.T.); #290751=ORIENTED_EDGE('',*,*,#209871,.T.); #290752=ORIENTED_EDGE('',*,*,#209879,.F.); #290753=ORIENTED_EDGE('',*,*,#209869,.F.); #290754=ORIENTED_EDGE('',*,*,#209873,.F.); #290755=ORIENTED_EDGE('',*,*,#209876,.F.); #290756=ORIENTED_EDGE('',*,*,#209881,.T.); #290757=ORIENTED_EDGE('',*,*,#209882,.T.); #290758=ORIENTED_EDGE('',*,*,#209883,.F.); #290759=ORIENTED_EDGE('',*,*,#209884,.F.); #290760=ORIENTED_EDGE('',*,*,#209885,.T.); #290761=ORIENTED_EDGE('',*,*,#209884,.T.); #290762=ORIENTED_EDGE('',*,*,#209886,.F.); #290763=ORIENTED_EDGE('',*,*,#209887,.F.); #290764=ORIENTED_EDGE('',*,*,#209888,.T.); #290765=ORIENTED_EDGE('',*,*,#209887,.T.); #290766=ORIENTED_EDGE('',*,*,#209889,.F.); #290767=ORIENTED_EDGE('',*,*,#209890,.F.); #290768=ORIENTED_EDGE('',*,*,#209891,.T.); #290769=ORIENTED_EDGE('',*,*,#209890,.T.); #290770=ORIENTED_EDGE('',*,*,#209892,.F.); #290771=ORIENTED_EDGE('',*,*,#209882,.F.); #290772=ORIENTED_EDGE('',*,*,#209892,.T.); #290773=ORIENTED_EDGE('',*,*,#209889,.T.); #290774=ORIENTED_EDGE('',*,*,#209886,.T.); #290775=ORIENTED_EDGE('',*,*,#209883,.T.); #290776=ORIENTED_EDGE('',*,*,#209891,.F.); #290777=ORIENTED_EDGE('',*,*,#209881,.F.); #290778=ORIENTED_EDGE('',*,*,#209885,.F.); #290779=ORIENTED_EDGE('',*,*,#209888,.F.); #290780=ORIENTED_EDGE('',*,*,#209893,.F.); #290781=ORIENTED_EDGE('',*,*,#209894,.T.); #290782=ORIENTED_EDGE('',*,*,#209895,.F.); #290783=ORIENTED_EDGE('',*,*,#209894,.F.); #290784=ORIENTED_EDGE('',*,*,#209896,.F.); #290785=ORIENTED_EDGE('',*,*,#209897,.T.); #290786=ORIENTED_EDGE('',*,*,#209898,.F.); #290787=ORIENTED_EDGE('',*,*,#209897,.F.); #290788=ORIENTED_EDGE('',*,*,#209899,.T.); #290789=ORIENTED_EDGE('',*,*,#209900,.T.); #290790=ORIENTED_EDGE('',*,*,#209901,.F.); #290791=ORIENTED_EDGE('',*,*,#209902,.F.); #290792=ORIENTED_EDGE('',*,*,#209903,.T.); #290793=ORIENTED_EDGE('',*,*,#209902,.T.); #290794=ORIENTED_EDGE('',*,*,#209904,.F.); #290795=ORIENTED_EDGE('',*,*,#209905,.F.); #290796=ORIENTED_EDGE('',*,*,#209906,.T.); #290797=ORIENTED_EDGE('',*,*,#209905,.T.); #290798=ORIENTED_EDGE('',*,*,#209907,.F.); #290799=ORIENTED_EDGE('',*,*,#209908,.F.); #290800=ORIENTED_EDGE('',*,*,#209909,.T.); #290801=ORIENTED_EDGE('',*,*,#209908,.T.); #290802=ORIENTED_EDGE('',*,*,#209910,.F.); #290803=ORIENTED_EDGE('',*,*,#209900,.F.); #290804=ORIENTED_EDGE('',*,*,#209910,.T.); #290805=ORIENTED_EDGE('',*,*,#209907,.T.); #290806=ORIENTED_EDGE('',*,*,#209904,.T.); #290807=ORIENTED_EDGE('',*,*,#209901,.T.); #290808=ORIENTED_EDGE('',*,*,#209895,.T.); #290809=ORIENTED_EDGE('',*,*,#209898,.T.); #290810=ORIENTED_EDGE('',*,*,#209909,.F.); #290811=ORIENTED_EDGE('',*,*,#209899,.F.); #290812=ORIENTED_EDGE('',*,*,#209903,.F.); #290813=ORIENTED_EDGE('',*,*,#209906,.F.); #290814=ORIENTED_EDGE('',*,*,#209893,.T.); #290815=ORIENTED_EDGE('',*,*,#209896,.T.); #290816=ORIENTED_EDGE('',*,*,#209911,.T.); #290817=ORIENTED_EDGE('',*,*,#209912,.T.); #290818=ORIENTED_EDGE('',*,*,#209913,.F.); #290819=ORIENTED_EDGE('',*,*,#209914,.F.); #290820=ORIENTED_EDGE('',*,*,#209915,.T.); #290821=ORIENTED_EDGE('',*,*,#209914,.T.); #290822=ORIENTED_EDGE('',*,*,#209916,.F.); #290823=ORIENTED_EDGE('',*,*,#209917,.F.); #290824=ORIENTED_EDGE('',*,*,#209918,.T.); #290825=ORIENTED_EDGE('',*,*,#209917,.T.); #290826=ORIENTED_EDGE('',*,*,#209919,.F.); #290827=ORIENTED_EDGE('',*,*,#209920,.F.); #290828=ORIENTED_EDGE('',*,*,#209921,.T.); #290829=ORIENTED_EDGE('',*,*,#209920,.T.); #290830=ORIENTED_EDGE('',*,*,#209922,.F.); #290831=ORIENTED_EDGE('',*,*,#209912,.F.); #290832=ORIENTED_EDGE('',*,*,#209922,.T.); #290833=ORIENTED_EDGE('',*,*,#209919,.T.); #290834=ORIENTED_EDGE('',*,*,#209916,.T.); #290835=ORIENTED_EDGE('',*,*,#209913,.T.); #290836=ORIENTED_EDGE('',*,*,#209921,.F.); #290837=ORIENTED_EDGE('',*,*,#209911,.F.); #290838=ORIENTED_EDGE('',*,*,#209915,.F.); #290839=ORIENTED_EDGE('',*,*,#209918,.F.); #290840=ORIENTED_EDGE('',*,*,#209923,.T.); #290841=ORIENTED_EDGE('',*,*,#209924,.T.); #290842=ORIENTED_EDGE('',*,*,#209925,.F.); #290843=ORIENTED_EDGE('',*,*,#209926,.F.); #290844=ORIENTED_EDGE('',*,*,#209927,.T.); #290845=ORIENTED_EDGE('',*,*,#209926,.T.); #290846=ORIENTED_EDGE('',*,*,#209928,.F.); #290847=ORIENTED_EDGE('',*,*,#209929,.F.); #290848=ORIENTED_EDGE('',*,*,#209930,.T.); #290849=ORIENTED_EDGE('',*,*,#209929,.T.); #290850=ORIENTED_EDGE('',*,*,#209931,.F.); #290851=ORIENTED_EDGE('',*,*,#209932,.F.); #290852=ORIENTED_EDGE('',*,*,#209933,.T.); #290853=ORIENTED_EDGE('',*,*,#209932,.T.); #290854=ORIENTED_EDGE('',*,*,#209934,.F.); #290855=ORIENTED_EDGE('',*,*,#209924,.F.); #290856=ORIENTED_EDGE('',*,*,#209934,.T.); #290857=ORIENTED_EDGE('',*,*,#209931,.T.); #290858=ORIENTED_EDGE('',*,*,#209928,.T.); #290859=ORIENTED_EDGE('',*,*,#209925,.T.); #290860=ORIENTED_EDGE('',*,*,#209933,.F.); #290861=ORIENTED_EDGE('',*,*,#209923,.F.); #290862=ORIENTED_EDGE('',*,*,#209927,.F.); #290863=ORIENTED_EDGE('',*,*,#209930,.F.); #290864=ORIENTED_EDGE('',*,*,#209935,.T.); #290865=ORIENTED_EDGE('',*,*,#209936,.T.); #290866=ORIENTED_EDGE('',*,*,#209937,.F.); #290867=ORIENTED_EDGE('',*,*,#209938,.F.); #290868=ORIENTED_EDGE('',*,*,#209939,.T.); #290869=ORIENTED_EDGE('',*,*,#209938,.T.); #290870=ORIENTED_EDGE('',*,*,#209940,.F.); #290871=ORIENTED_EDGE('',*,*,#209941,.F.); #290872=ORIENTED_EDGE('',*,*,#209942,.T.); #290873=ORIENTED_EDGE('',*,*,#209941,.T.); #290874=ORIENTED_EDGE('',*,*,#209943,.F.); #290875=ORIENTED_EDGE('',*,*,#209944,.F.); #290876=ORIENTED_EDGE('',*,*,#209945,.T.); #290877=ORIENTED_EDGE('',*,*,#209944,.T.); #290878=ORIENTED_EDGE('',*,*,#209946,.F.); #290879=ORIENTED_EDGE('',*,*,#209936,.F.); #290880=ORIENTED_EDGE('',*,*,#209946,.T.); #290881=ORIENTED_EDGE('',*,*,#209943,.T.); #290882=ORIENTED_EDGE('',*,*,#209940,.T.); #290883=ORIENTED_EDGE('',*,*,#209937,.T.); #290884=ORIENTED_EDGE('',*,*,#209945,.F.); #290885=ORIENTED_EDGE('',*,*,#209935,.F.); #290886=ORIENTED_EDGE('',*,*,#209939,.F.); #290887=ORIENTED_EDGE('',*,*,#209942,.F.); #290888=ORIENTED_EDGE('',*,*,#209947,.T.); #290889=ORIENTED_EDGE('',*,*,#209948,.T.); #290890=ORIENTED_EDGE('',*,*,#209949,.F.); #290891=ORIENTED_EDGE('',*,*,#209950,.F.); #290892=ORIENTED_EDGE('',*,*,#209951,.T.); #290893=ORIENTED_EDGE('',*,*,#209950,.T.); #290894=ORIENTED_EDGE('',*,*,#209952,.F.); #290895=ORIENTED_EDGE('',*,*,#209953,.F.); #290896=ORIENTED_EDGE('',*,*,#209954,.T.); #290897=ORIENTED_EDGE('',*,*,#209953,.T.); #290898=ORIENTED_EDGE('',*,*,#209955,.F.); #290899=ORIENTED_EDGE('',*,*,#209956,.F.); #290900=ORIENTED_EDGE('',*,*,#209957,.T.); #290901=ORIENTED_EDGE('',*,*,#209956,.T.); #290902=ORIENTED_EDGE('',*,*,#209958,.F.); #290903=ORIENTED_EDGE('',*,*,#209948,.F.); #290904=ORIENTED_EDGE('',*,*,#209958,.T.); #290905=ORIENTED_EDGE('',*,*,#209955,.T.); #290906=ORIENTED_EDGE('',*,*,#209952,.T.); #290907=ORIENTED_EDGE('',*,*,#209949,.T.); #290908=ORIENTED_EDGE('',*,*,#209957,.F.); #290909=ORIENTED_EDGE('',*,*,#209947,.F.); #290910=ORIENTED_EDGE('',*,*,#209951,.F.); #290911=ORIENTED_EDGE('',*,*,#209954,.F.); #290912=ORIENTED_EDGE('',*,*,#209959,.T.); #290913=ORIENTED_EDGE('',*,*,#209960,.T.); #290914=ORIENTED_EDGE('',*,*,#209961,.F.); #290915=ORIENTED_EDGE('',*,*,#209962,.F.); #290916=ORIENTED_EDGE('',*,*,#209963,.T.); #290917=ORIENTED_EDGE('',*,*,#209962,.T.); #290918=ORIENTED_EDGE('',*,*,#209964,.F.); #290919=ORIENTED_EDGE('',*,*,#209965,.F.); #290920=ORIENTED_EDGE('',*,*,#209966,.T.); #290921=ORIENTED_EDGE('',*,*,#209965,.T.); #290922=ORIENTED_EDGE('',*,*,#209967,.F.); #290923=ORIENTED_EDGE('',*,*,#209968,.F.); #290924=ORIENTED_EDGE('',*,*,#209969,.T.); #290925=ORIENTED_EDGE('',*,*,#209968,.T.); #290926=ORIENTED_EDGE('',*,*,#209970,.F.); #290927=ORIENTED_EDGE('',*,*,#209960,.F.); #290928=ORIENTED_EDGE('',*,*,#209970,.T.); #290929=ORIENTED_EDGE('',*,*,#209967,.T.); #290930=ORIENTED_EDGE('',*,*,#209964,.T.); #290931=ORIENTED_EDGE('',*,*,#209961,.T.); #290932=ORIENTED_EDGE('',*,*,#209969,.F.); #290933=ORIENTED_EDGE('',*,*,#209959,.F.); #290934=ORIENTED_EDGE('',*,*,#209963,.F.); #290935=ORIENTED_EDGE('',*,*,#209966,.F.); #290936=ORIENTED_EDGE('',*,*,#209971,.T.); #290937=ORIENTED_EDGE('',*,*,#209972,.T.); #290938=ORIENTED_EDGE('',*,*,#209973,.F.); #290939=ORIENTED_EDGE('',*,*,#209974,.F.); #290940=ORIENTED_EDGE('',*,*,#209975,.T.); #290941=ORIENTED_EDGE('',*,*,#209974,.T.); #290942=ORIENTED_EDGE('',*,*,#209976,.F.); #290943=ORIENTED_EDGE('',*,*,#209977,.F.); #290944=ORIENTED_EDGE('',*,*,#209978,.T.); #290945=ORIENTED_EDGE('',*,*,#209977,.T.); #290946=ORIENTED_EDGE('',*,*,#209979,.F.); #290947=ORIENTED_EDGE('',*,*,#209980,.F.); #290948=ORIENTED_EDGE('',*,*,#209981,.T.); #290949=ORIENTED_EDGE('',*,*,#209980,.T.); #290950=ORIENTED_EDGE('',*,*,#209982,.F.); #290951=ORIENTED_EDGE('',*,*,#209972,.F.); #290952=ORIENTED_EDGE('',*,*,#209982,.T.); #290953=ORIENTED_EDGE('',*,*,#209979,.T.); #290954=ORIENTED_EDGE('',*,*,#209976,.T.); #290955=ORIENTED_EDGE('',*,*,#209973,.T.); #290956=ORIENTED_EDGE('',*,*,#209981,.F.); #290957=ORIENTED_EDGE('',*,*,#209971,.F.); #290958=ORIENTED_EDGE('',*,*,#209975,.F.); #290959=ORIENTED_EDGE('',*,*,#209978,.F.); #290960=ORIENTED_EDGE('',*,*,#209983,.T.); #290961=ORIENTED_EDGE('',*,*,#209984,.T.); #290962=ORIENTED_EDGE('',*,*,#209985,.F.); #290963=ORIENTED_EDGE('',*,*,#209986,.F.); #290964=ORIENTED_EDGE('',*,*,#209987,.T.); #290965=ORIENTED_EDGE('',*,*,#209986,.T.); #290966=ORIENTED_EDGE('',*,*,#209988,.F.); #290967=ORIENTED_EDGE('',*,*,#209989,.F.); #290968=ORIENTED_EDGE('',*,*,#209990,.T.); #290969=ORIENTED_EDGE('',*,*,#209989,.T.); #290970=ORIENTED_EDGE('',*,*,#209991,.F.); #290971=ORIENTED_EDGE('',*,*,#209992,.F.); #290972=ORIENTED_EDGE('',*,*,#209993,.T.); #290973=ORIENTED_EDGE('',*,*,#209992,.T.); #290974=ORIENTED_EDGE('',*,*,#209994,.F.); #290975=ORIENTED_EDGE('',*,*,#209984,.F.); #290976=ORIENTED_EDGE('',*,*,#209994,.T.); #290977=ORIENTED_EDGE('',*,*,#209991,.T.); #290978=ORIENTED_EDGE('',*,*,#209988,.T.); #290979=ORIENTED_EDGE('',*,*,#209985,.T.); #290980=ORIENTED_EDGE('',*,*,#209993,.F.); #290981=ORIENTED_EDGE('',*,*,#209983,.F.); #290982=ORIENTED_EDGE('',*,*,#209987,.F.); #290983=ORIENTED_EDGE('',*,*,#209990,.F.); #290984=ORIENTED_EDGE('',*,*,#209995,.T.); #290985=ORIENTED_EDGE('',*,*,#209996,.T.); #290986=ORIENTED_EDGE('',*,*,#209997,.F.); #290987=ORIENTED_EDGE('',*,*,#209998,.F.); #290988=ORIENTED_EDGE('',*,*,#209999,.T.); #290989=ORIENTED_EDGE('',*,*,#209998,.T.); #290990=ORIENTED_EDGE('',*,*,#210000,.F.); #290991=ORIENTED_EDGE('',*,*,#210001,.F.); #290992=ORIENTED_EDGE('',*,*,#210002,.T.); #290993=ORIENTED_EDGE('',*,*,#210001,.T.); #290994=ORIENTED_EDGE('',*,*,#210003,.F.); #290995=ORIENTED_EDGE('',*,*,#210004,.F.); #290996=ORIENTED_EDGE('',*,*,#210005,.T.); #290997=ORIENTED_EDGE('',*,*,#210004,.T.); #290998=ORIENTED_EDGE('',*,*,#210006,.F.); #290999=ORIENTED_EDGE('',*,*,#209996,.F.); #291000=ORIENTED_EDGE('',*,*,#210006,.T.); #291001=ORIENTED_EDGE('',*,*,#210003,.T.); #291002=ORIENTED_EDGE('',*,*,#210000,.T.); #291003=ORIENTED_EDGE('',*,*,#209997,.T.); #291004=ORIENTED_EDGE('',*,*,#210005,.F.); #291005=ORIENTED_EDGE('',*,*,#209995,.F.); #291006=ORIENTED_EDGE('',*,*,#209999,.F.); #291007=ORIENTED_EDGE('',*,*,#210002,.F.); #291008=ORIENTED_EDGE('',*,*,#210007,.F.); #291009=ORIENTED_EDGE('',*,*,#210008,.T.); #291010=ORIENTED_EDGE('',*,*,#210009,.F.); #291011=ORIENTED_EDGE('',*,*,#210008,.F.); #291012=ORIENTED_EDGE('',*,*,#210010,.F.); #291013=ORIENTED_EDGE('',*,*,#210011,.T.); #291014=ORIENTED_EDGE('',*,*,#210012,.F.); #291015=ORIENTED_EDGE('',*,*,#210011,.F.); #291016=ORIENTED_EDGE('',*,*,#210013,.T.); #291017=ORIENTED_EDGE('',*,*,#210014,.T.); #291018=ORIENTED_EDGE('',*,*,#210015,.F.); #291019=ORIENTED_EDGE('',*,*,#210016,.F.); #291020=ORIENTED_EDGE('',*,*,#210017,.T.); #291021=ORIENTED_EDGE('',*,*,#210016,.T.); #291022=ORIENTED_EDGE('',*,*,#210018,.F.); #291023=ORIENTED_EDGE('',*,*,#210019,.F.); #291024=ORIENTED_EDGE('',*,*,#210020,.T.); #291025=ORIENTED_EDGE('',*,*,#210019,.T.); #291026=ORIENTED_EDGE('',*,*,#210021,.F.); #291027=ORIENTED_EDGE('',*,*,#210022,.F.); #291028=ORIENTED_EDGE('',*,*,#210023,.T.); #291029=ORIENTED_EDGE('',*,*,#210022,.T.); #291030=ORIENTED_EDGE('',*,*,#210024,.F.); #291031=ORIENTED_EDGE('',*,*,#210014,.F.); #291032=ORIENTED_EDGE('',*,*,#210024,.T.); #291033=ORIENTED_EDGE('',*,*,#210021,.T.); #291034=ORIENTED_EDGE('',*,*,#210018,.T.); #291035=ORIENTED_EDGE('',*,*,#210015,.T.); #291036=ORIENTED_EDGE('',*,*,#210009,.T.); #291037=ORIENTED_EDGE('',*,*,#210012,.T.); #291038=ORIENTED_EDGE('',*,*,#210023,.F.); #291039=ORIENTED_EDGE('',*,*,#210013,.F.); #291040=ORIENTED_EDGE('',*,*,#210017,.F.); #291041=ORIENTED_EDGE('',*,*,#210020,.F.); #291042=ORIENTED_EDGE('',*,*,#210007,.T.); #291043=ORIENTED_EDGE('',*,*,#210010,.T.); #291044=ORIENTED_EDGE('',*,*,#210025,.T.); #291045=ORIENTED_EDGE('',*,*,#210026,.T.); #291046=ORIENTED_EDGE('',*,*,#210027,.F.); #291047=ORIENTED_EDGE('',*,*,#210028,.F.); #291048=ORIENTED_EDGE('',*,*,#210029,.T.); #291049=ORIENTED_EDGE('',*,*,#210028,.T.); #291050=ORIENTED_EDGE('',*,*,#210030,.F.); #291051=ORIENTED_EDGE('',*,*,#210031,.F.); #291052=ORIENTED_EDGE('',*,*,#210032,.T.); #291053=ORIENTED_EDGE('',*,*,#210031,.T.); #291054=ORIENTED_EDGE('',*,*,#210033,.F.); #291055=ORIENTED_EDGE('',*,*,#210034,.F.); #291056=ORIENTED_EDGE('',*,*,#210035,.T.); #291057=ORIENTED_EDGE('',*,*,#210034,.T.); #291058=ORIENTED_EDGE('',*,*,#210036,.F.); #291059=ORIENTED_EDGE('',*,*,#210026,.F.); #291060=ORIENTED_EDGE('',*,*,#210036,.T.); #291061=ORIENTED_EDGE('',*,*,#210033,.T.); #291062=ORIENTED_EDGE('',*,*,#210030,.T.); #291063=ORIENTED_EDGE('',*,*,#210027,.T.); #291064=ORIENTED_EDGE('',*,*,#210035,.F.); #291065=ORIENTED_EDGE('',*,*,#210025,.F.); #291066=ORIENTED_EDGE('',*,*,#210029,.F.); #291067=ORIENTED_EDGE('',*,*,#210032,.F.); #291068=ORIENTED_EDGE('',*,*,#210037,.T.); #291069=ORIENTED_EDGE('',*,*,#210038,.T.); #291070=ORIENTED_EDGE('',*,*,#210039,.F.); #291071=ORIENTED_EDGE('',*,*,#210040,.F.); #291072=ORIENTED_EDGE('',*,*,#210041,.T.); #291073=ORIENTED_EDGE('',*,*,#210040,.T.); #291074=ORIENTED_EDGE('',*,*,#210042,.F.); #291075=ORIENTED_EDGE('',*,*,#210043,.F.); #291076=ORIENTED_EDGE('',*,*,#210044,.T.); #291077=ORIENTED_EDGE('',*,*,#210043,.T.); #291078=ORIENTED_EDGE('',*,*,#210045,.F.); #291079=ORIENTED_EDGE('',*,*,#210046,.F.); #291080=ORIENTED_EDGE('',*,*,#210047,.T.); #291081=ORIENTED_EDGE('',*,*,#210046,.T.); #291082=ORIENTED_EDGE('',*,*,#210048,.F.); #291083=ORIENTED_EDGE('',*,*,#210038,.F.); #291084=ORIENTED_EDGE('',*,*,#210048,.T.); #291085=ORIENTED_EDGE('',*,*,#210045,.T.); #291086=ORIENTED_EDGE('',*,*,#210042,.T.); #291087=ORIENTED_EDGE('',*,*,#210039,.T.); #291088=ORIENTED_EDGE('',*,*,#210047,.F.); #291089=ORIENTED_EDGE('',*,*,#210037,.F.); #291090=ORIENTED_EDGE('',*,*,#210041,.F.); #291091=ORIENTED_EDGE('',*,*,#210044,.F.); #291092=ORIENTED_EDGE('',*,*,#210049,.T.); #291093=ORIENTED_EDGE('',*,*,#210050,.T.); #291094=ORIENTED_EDGE('',*,*,#210051,.F.); #291095=ORIENTED_EDGE('',*,*,#210052,.F.); #291096=ORIENTED_EDGE('',*,*,#210053,.T.); #291097=ORIENTED_EDGE('',*,*,#210052,.T.); #291098=ORIENTED_EDGE('',*,*,#210054,.F.); #291099=ORIENTED_EDGE('',*,*,#210055,.F.); #291100=ORIENTED_EDGE('',*,*,#210056,.T.); #291101=ORIENTED_EDGE('',*,*,#210055,.T.); #291102=ORIENTED_EDGE('',*,*,#210057,.F.); #291103=ORIENTED_EDGE('',*,*,#210058,.F.); #291104=ORIENTED_EDGE('',*,*,#210059,.T.); #291105=ORIENTED_EDGE('',*,*,#210058,.T.); #291106=ORIENTED_EDGE('',*,*,#210060,.F.); #291107=ORIENTED_EDGE('',*,*,#210050,.F.); #291108=ORIENTED_EDGE('',*,*,#210060,.T.); #291109=ORIENTED_EDGE('',*,*,#210057,.T.); #291110=ORIENTED_EDGE('',*,*,#210054,.T.); #291111=ORIENTED_EDGE('',*,*,#210051,.T.); #291112=ORIENTED_EDGE('',*,*,#210059,.F.); #291113=ORIENTED_EDGE('',*,*,#210049,.F.); #291114=ORIENTED_EDGE('',*,*,#210053,.F.); #291115=ORIENTED_EDGE('',*,*,#210056,.F.); #291116=ORIENTED_EDGE('',*,*,#210061,.T.); #291117=ORIENTED_EDGE('',*,*,#210062,.T.); #291118=ORIENTED_EDGE('',*,*,#210063,.F.); #291119=ORIENTED_EDGE('',*,*,#210064,.F.); #291120=ORIENTED_EDGE('',*,*,#210065,.T.); #291121=ORIENTED_EDGE('',*,*,#210064,.T.); #291122=ORIENTED_EDGE('',*,*,#210066,.F.); #291123=ORIENTED_EDGE('',*,*,#210067,.F.); #291124=ORIENTED_EDGE('',*,*,#210068,.T.); #291125=ORIENTED_EDGE('',*,*,#210067,.T.); #291126=ORIENTED_EDGE('',*,*,#210069,.F.); #291127=ORIENTED_EDGE('',*,*,#210070,.F.); #291128=ORIENTED_EDGE('',*,*,#210071,.T.); #291129=ORIENTED_EDGE('',*,*,#210070,.T.); #291130=ORIENTED_EDGE('',*,*,#210072,.F.); #291131=ORIENTED_EDGE('',*,*,#210062,.F.); #291132=ORIENTED_EDGE('',*,*,#210072,.T.); #291133=ORIENTED_EDGE('',*,*,#210069,.T.); #291134=ORIENTED_EDGE('',*,*,#210066,.T.); #291135=ORIENTED_EDGE('',*,*,#210063,.T.); #291136=ORIENTED_EDGE('',*,*,#210071,.F.); #291137=ORIENTED_EDGE('',*,*,#210061,.F.); #291138=ORIENTED_EDGE('',*,*,#210065,.F.); #291139=ORIENTED_EDGE('',*,*,#210068,.F.); #291140=ORIENTED_EDGE('',*,*,#210073,.T.); #291141=ORIENTED_EDGE('',*,*,#210074,.T.); #291142=ORIENTED_EDGE('',*,*,#210075,.F.); #291143=ORIENTED_EDGE('',*,*,#210076,.F.); #291144=ORIENTED_EDGE('',*,*,#210077,.T.); #291145=ORIENTED_EDGE('',*,*,#210076,.T.); #291146=ORIENTED_EDGE('',*,*,#210078,.F.); #291147=ORIENTED_EDGE('',*,*,#210079,.F.); #291148=ORIENTED_EDGE('',*,*,#210080,.T.); #291149=ORIENTED_EDGE('',*,*,#210079,.T.); #291150=ORIENTED_EDGE('',*,*,#210081,.F.); #291151=ORIENTED_EDGE('',*,*,#210082,.F.); #291152=ORIENTED_EDGE('',*,*,#210083,.T.); #291153=ORIENTED_EDGE('',*,*,#210082,.T.); #291154=ORIENTED_EDGE('',*,*,#210084,.F.); #291155=ORIENTED_EDGE('',*,*,#210074,.F.); #291156=ORIENTED_EDGE('',*,*,#210084,.T.); #291157=ORIENTED_EDGE('',*,*,#210081,.T.); #291158=ORIENTED_EDGE('',*,*,#210078,.T.); #291159=ORIENTED_EDGE('',*,*,#210075,.T.); #291160=ORIENTED_EDGE('',*,*,#210083,.F.); #291161=ORIENTED_EDGE('',*,*,#210073,.F.); #291162=ORIENTED_EDGE('',*,*,#210077,.F.); #291163=ORIENTED_EDGE('',*,*,#210080,.F.); #291164=ORIENTED_EDGE('',*,*,#210085,.T.); #291165=ORIENTED_EDGE('',*,*,#210086,.T.); #291166=ORIENTED_EDGE('',*,*,#210087,.F.); #291167=ORIENTED_EDGE('',*,*,#210088,.F.); #291168=ORIENTED_EDGE('',*,*,#210089,.T.); #291169=ORIENTED_EDGE('',*,*,#210088,.T.); #291170=ORIENTED_EDGE('',*,*,#210090,.F.); #291171=ORIENTED_EDGE('',*,*,#210091,.F.); #291172=ORIENTED_EDGE('',*,*,#210092,.T.); #291173=ORIENTED_EDGE('',*,*,#210091,.T.); #291174=ORIENTED_EDGE('',*,*,#210093,.F.); #291175=ORIENTED_EDGE('',*,*,#210094,.F.); #291176=ORIENTED_EDGE('',*,*,#210095,.T.); #291177=ORIENTED_EDGE('',*,*,#210094,.T.); #291178=ORIENTED_EDGE('',*,*,#210096,.F.); #291179=ORIENTED_EDGE('',*,*,#210086,.F.); #291180=ORIENTED_EDGE('',*,*,#210096,.T.); #291181=ORIENTED_EDGE('',*,*,#210093,.T.); #291182=ORIENTED_EDGE('',*,*,#210090,.T.); #291183=ORIENTED_EDGE('',*,*,#210087,.T.); #291184=ORIENTED_EDGE('',*,*,#210095,.F.); #291185=ORIENTED_EDGE('',*,*,#210085,.F.); #291186=ORIENTED_EDGE('',*,*,#210089,.F.); #291187=ORIENTED_EDGE('',*,*,#210092,.F.); #291188=ORIENTED_EDGE('',*,*,#210097,.T.); #291189=ORIENTED_EDGE('',*,*,#210098,.T.); #291190=ORIENTED_EDGE('',*,*,#210099,.F.); #291191=ORIENTED_EDGE('',*,*,#210100,.F.); #291192=ORIENTED_EDGE('',*,*,#210101,.T.); #291193=ORIENTED_EDGE('',*,*,#210100,.T.); #291194=ORIENTED_EDGE('',*,*,#210102,.F.); #291195=ORIENTED_EDGE('',*,*,#210103,.F.); #291196=ORIENTED_EDGE('',*,*,#210104,.T.); #291197=ORIENTED_EDGE('',*,*,#210103,.T.); #291198=ORIENTED_EDGE('',*,*,#210105,.F.); #291199=ORIENTED_EDGE('',*,*,#210106,.F.); #291200=ORIENTED_EDGE('',*,*,#210107,.T.); #291201=ORIENTED_EDGE('',*,*,#210106,.T.); #291202=ORIENTED_EDGE('',*,*,#210108,.F.); #291203=ORIENTED_EDGE('',*,*,#210098,.F.); #291204=ORIENTED_EDGE('',*,*,#210108,.T.); #291205=ORIENTED_EDGE('',*,*,#210105,.T.); #291206=ORIENTED_EDGE('',*,*,#210102,.T.); #291207=ORIENTED_EDGE('',*,*,#210099,.T.); #291208=ORIENTED_EDGE('',*,*,#210107,.F.); #291209=ORIENTED_EDGE('',*,*,#210097,.F.); #291210=ORIENTED_EDGE('',*,*,#210101,.F.); #291211=ORIENTED_EDGE('',*,*,#210104,.F.); #291212=ORIENTED_EDGE('',*,*,#210109,.T.); #291213=ORIENTED_EDGE('',*,*,#210110,.T.); #291214=ORIENTED_EDGE('',*,*,#210111,.F.); #291215=ORIENTED_EDGE('',*,*,#210112,.F.); #291216=ORIENTED_EDGE('',*,*,#210113,.T.); #291217=ORIENTED_EDGE('',*,*,#210112,.T.); #291218=ORIENTED_EDGE('',*,*,#210114,.F.); #291219=ORIENTED_EDGE('',*,*,#210115,.F.); #291220=ORIENTED_EDGE('',*,*,#210116,.T.); #291221=ORIENTED_EDGE('',*,*,#210115,.T.); #291222=ORIENTED_EDGE('',*,*,#210117,.F.); #291223=ORIENTED_EDGE('',*,*,#210118,.F.); #291224=ORIENTED_EDGE('',*,*,#210119,.T.); #291225=ORIENTED_EDGE('',*,*,#210118,.T.); #291226=ORIENTED_EDGE('',*,*,#210120,.F.); #291227=ORIENTED_EDGE('',*,*,#210110,.F.); #291228=ORIENTED_EDGE('',*,*,#210120,.T.); #291229=ORIENTED_EDGE('',*,*,#210117,.T.); #291230=ORIENTED_EDGE('',*,*,#210114,.T.); #291231=ORIENTED_EDGE('',*,*,#210111,.T.); #291232=ORIENTED_EDGE('',*,*,#210119,.F.); #291233=ORIENTED_EDGE('',*,*,#210109,.F.); #291234=ORIENTED_EDGE('',*,*,#210113,.F.); #291235=ORIENTED_EDGE('',*,*,#210116,.F.); #291236=ORIENTED_EDGE('',*,*,#210121,.F.); #291237=ORIENTED_EDGE('',*,*,#210122,.T.); #291238=ORIENTED_EDGE('',*,*,#210123,.F.); #291239=ORIENTED_EDGE('',*,*,#210122,.F.); #291240=ORIENTED_EDGE('',*,*,#210124,.T.); #291241=ORIENTED_EDGE('',*,*,#210125,.T.); #291242=ORIENTED_EDGE('',*,*,#210126,.F.); #291243=ORIENTED_EDGE('',*,*,#210127,.F.); #291244=ORIENTED_EDGE('',*,*,#210128,.T.); #291245=ORIENTED_EDGE('',*,*,#210127,.T.); #291246=ORIENTED_EDGE('',*,*,#210129,.F.); #291247=ORIENTED_EDGE('',*,*,#210130,.F.); #291248=ORIENTED_EDGE('',*,*,#210131,.T.); #291249=ORIENTED_EDGE('',*,*,#210130,.T.); #291250=ORIENTED_EDGE('',*,*,#210132,.F.); #291251=ORIENTED_EDGE('',*,*,#210133,.F.); #291252=ORIENTED_EDGE('',*,*,#210134,.T.); #291253=ORIENTED_EDGE('',*,*,#210133,.T.); #291254=ORIENTED_EDGE('',*,*,#210135,.F.); #291255=ORIENTED_EDGE('',*,*,#210125,.F.); #291256=ORIENTED_EDGE('',*,*,#210135,.T.); #291257=ORIENTED_EDGE('',*,*,#210132,.T.); #291258=ORIENTED_EDGE('',*,*,#210129,.T.); #291259=ORIENTED_EDGE('',*,*,#210126,.T.); #291260=ORIENTED_EDGE('',*,*,#210123,.T.); #291261=ORIENTED_EDGE('',*,*,#210134,.F.); #291262=ORIENTED_EDGE('',*,*,#210124,.F.); #291263=ORIENTED_EDGE('',*,*,#210128,.F.); #291264=ORIENTED_EDGE('',*,*,#210131,.F.); #291265=ORIENTED_EDGE('',*,*,#210121,.T.); #291266=ORIENTED_EDGE('',*,*,#210136,.T.); #291267=ORIENTED_EDGE('',*,*,#210137,.T.); #291268=ORIENTED_EDGE('',*,*,#210138,.F.); #291269=ORIENTED_EDGE('',*,*,#210139,.F.); #291270=ORIENTED_EDGE('',*,*,#210140,.T.); #291271=ORIENTED_EDGE('',*,*,#210139,.T.); #291272=ORIENTED_EDGE('',*,*,#210141,.F.); #291273=ORIENTED_EDGE('',*,*,#210142,.F.); #291274=ORIENTED_EDGE('',*,*,#210143,.T.); #291275=ORIENTED_EDGE('',*,*,#210142,.T.); #291276=ORIENTED_EDGE('',*,*,#210144,.F.); #291277=ORIENTED_EDGE('',*,*,#210145,.F.); #291278=ORIENTED_EDGE('',*,*,#210146,.T.); #291279=ORIENTED_EDGE('',*,*,#210145,.T.); #291280=ORIENTED_EDGE('',*,*,#210147,.F.); #291281=ORIENTED_EDGE('',*,*,#210137,.F.); #291282=ORIENTED_EDGE('',*,*,#210147,.T.); #291283=ORIENTED_EDGE('',*,*,#210144,.T.); #291284=ORIENTED_EDGE('',*,*,#210141,.T.); #291285=ORIENTED_EDGE('',*,*,#210138,.T.); #291286=ORIENTED_EDGE('',*,*,#210146,.F.); #291287=ORIENTED_EDGE('',*,*,#210136,.F.); #291288=ORIENTED_EDGE('',*,*,#210140,.F.); #291289=ORIENTED_EDGE('',*,*,#210143,.F.); #291290=ORIENTED_EDGE('',*,*,#210148,.T.); #291291=ORIENTED_EDGE('',*,*,#210149,.T.); #291292=ORIENTED_EDGE('',*,*,#210150,.F.); #291293=ORIENTED_EDGE('',*,*,#210151,.F.); #291294=ORIENTED_EDGE('',*,*,#210152,.T.); #291295=ORIENTED_EDGE('',*,*,#210151,.T.); #291296=ORIENTED_EDGE('',*,*,#210153,.F.); #291297=ORIENTED_EDGE('',*,*,#210154,.F.); #291298=ORIENTED_EDGE('',*,*,#210155,.T.); #291299=ORIENTED_EDGE('',*,*,#210154,.T.); #291300=ORIENTED_EDGE('',*,*,#210156,.F.); #291301=ORIENTED_EDGE('',*,*,#210157,.F.); #291302=ORIENTED_EDGE('',*,*,#210158,.T.); #291303=ORIENTED_EDGE('',*,*,#210157,.T.); #291304=ORIENTED_EDGE('',*,*,#210159,.F.); #291305=ORIENTED_EDGE('',*,*,#210149,.F.); #291306=ORIENTED_EDGE('',*,*,#210159,.T.); #291307=ORIENTED_EDGE('',*,*,#210156,.T.); #291308=ORIENTED_EDGE('',*,*,#210153,.T.); #291309=ORIENTED_EDGE('',*,*,#210150,.T.); #291310=ORIENTED_EDGE('',*,*,#210158,.F.); #291311=ORIENTED_EDGE('',*,*,#210148,.F.); #291312=ORIENTED_EDGE('',*,*,#210152,.F.); #291313=ORIENTED_EDGE('',*,*,#210155,.F.); #291314=ORIENTED_EDGE('',*,*,#210160,.T.); #291315=ORIENTED_EDGE('',*,*,#210161,.T.); #291316=ORIENTED_EDGE('',*,*,#210162,.F.); #291317=ORIENTED_EDGE('',*,*,#210163,.F.); #291318=ORIENTED_EDGE('',*,*,#210164,.T.); #291319=ORIENTED_EDGE('',*,*,#210163,.T.); #291320=ORIENTED_EDGE('',*,*,#210165,.F.); #291321=ORIENTED_EDGE('',*,*,#210166,.F.); #291322=ORIENTED_EDGE('',*,*,#210167,.T.); #291323=ORIENTED_EDGE('',*,*,#210166,.T.); #291324=ORIENTED_EDGE('',*,*,#210168,.F.); #291325=ORIENTED_EDGE('',*,*,#210169,.F.); #291326=ORIENTED_EDGE('',*,*,#210170,.T.); #291327=ORIENTED_EDGE('',*,*,#210169,.T.); #291328=ORIENTED_EDGE('',*,*,#210171,.F.); #291329=ORIENTED_EDGE('',*,*,#210161,.F.); #291330=ORIENTED_EDGE('',*,*,#210171,.T.); #291331=ORIENTED_EDGE('',*,*,#210168,.T.); #291332=ORIENTED_EDGE('',*,*,#210165,.T.); #291333=ORIENTED_EDGE('',*,*,#210162,.T.); #291334=ORIENTED_EDGE('',*,*,#210170,.F.); #291335=ORIENTED_EDGE('',*,*,#210160,.F.); #291336=ORIENTED_EDGE('',*,*,#210164,.F.); #291337=ORIENTED_EDGE('',*,*,#210167,.F.); #291338=ORIENTED_EDGE('',*,*,#210172,.T.); #291339=ORIENTED_EDGE('',*,*,#210173,.T.); #291340=ORIENTED_EDGE('',*,*,#210174,.F.); #291341=ORIENTED_EDGE('',*,*,#210175,.F.); #291342=ORIENTED_EDGE('',*,*,#210176,.T.); #291343=ORIENTED_EDGE('',*,*,#210175,.T.); #291344=ORIENTED_EDGE('',*,*,#210177,.F.); #291345=ORIENTED_EDGE('',*,*,#210178,.F.); #291346=ORIENTED_EDGE('',*,*,#210179,.T.); #291347=ORIENTED_EDGE('',*,*,#210178,.T.); #291348=ORIENTED_EDGE('',*,*,#210180,.F.); #291349=ORIENTED_EDGE('',*,*,#210181,.F.); #291350=ORIENTED_EDGE('',*,*,#210182,.T.); #291351=ORIENTED_EDGE('',*,*,#210181,.T.); #291352=ORIENTED_EDGE('',*,*,#210183,.F.); #291353=ORIENTED_EDGE('',*,*,#210173,.F.); #291354=ORIENTED_EDGE('',*,*,#210183,.T.); #291355=ORIENTED_EDGE('',*,*,#210180,.T.); #291356=ORIENTED_EDGE('',*,*,#210177,.T.); #291357=ORIENTED_EDGE('',*,*,#210174,.T.); #291358=ORIENTED_EDGE('',*,*,#210182,.F.); #291359=ORIENTED_EDGE('',*,*,#210172,.F.); #291360=ORIENTED_EDGE('',*,*,#210176,.F.); #291361=ORIENTED_EDGE('',*,*,#210179,.F.); #291362=ORIENTED_EDGE('',*,*,#210184,.F.); #291363=ORIENTED_EDGE('',*,*,#210185,.T.); #291364=ORIENTED_EDGE('',*,*,#210186,.F.); #291365=ORIENTED_EDGE('',*,*,#210185,.F.); #291366=ORIENTED_EDGE('',*,*,#210187,.F.); #291367=ORIENTED_EDGE('',*,*,#210188,.T.); #291368=ORIENTED_EDGE('',*,*,#210189,.F.); #291369=ORIENTED_EDGE('',*,*,#210188,.F.); #291370=ORIENTED_EDGE('',*,*,#210190,.F.); #291371=ORIENTED_EDGE('',*,*,#210191,.T.); #291372=ORIENTED_EDGE('',*,*,#210192,.F.); #291373=ORIENTED_EDGE('',*,*,#210191,.F.); #291374=ORIENTED_EDGE('',*,*,#210193,.F.); #291375=ORIENTED_EDGE('',*,*,#210194,.T.); #291376=ORIENTED_EDGE('',*,*,#210195,.F.); #291377=ORIENTED_EDGE('',*,*,#210194,.F.); #291378=ORIENTED_EDGE('',*,*,#210196,.F.); #291379=ORIENTED_EDGE('',*,*,#210197,.T.); #291380=ORIENTED_EDGE('',*,*,#210198,.F.); #291381=ORIENTED_EDGE('',*,*,#210197,.F.); #291382=ORIENTED_EDGE('',*,*,#210199,.F.); #291383=ORIENTED_EDGE('',*,*,#210200,.T.); #291384=ORIENTED_EDGE('',*,*,#210201,.F.); #291385=ORIENTED_EDGE('',*,*,#210200,.F.); #291386=ORIENTED_EDGE('',*,*,#210202,.F.); #291387=ORIENTED_EDGE('',*,*,#210203,.T.); #291388=ORIENTED_EDGE('',*,*,#210204,.F.); #291389=ORIENTED_EDGE('',*,*,#210203,.F.); #291390=ORIENTED_EDGE('',*,*,#210205,.F.); #291391=ORIENTED_EDGE('',*,*,#210206,.T.); #291392=ORIENTED_EDGE('',*,*,#210207,.F.); #291393=ORIENTED_EDGE('',*,*,#210206,.F.); #291394=ORIENTED_EDGE('',*,*,#210208,.F.); #291395=ORIENTED_EDGE('',*,*,#210209,.T.); #291396=ORIENTED_EDGE('',*,*,#210210,.F.); #291397=ORIENTED_EDGE('',*,*,#210209,.F.); #291398=ORIENTED_EDGE('',*,*,#210211,.F.); #291399=ORIENTED_EDGE('',*,*,#210212,.T.); #291400=ORIENTED_EDGE('',*,*,#210213,.F.); #291401=ORIENTED_EDGE('',*,*,#210212,.F.); #291402=ORIENTED_EDGE('',*,*,#210214,.F.); #291403=ORIENTED_EDGE('',*,*,#210215,.T.); #291404=ORIENTED_EDGE('',*,*,#210216,.F.); #291405=ORIENTED_EDGE('',*,*,#210215,.F.); #291406=ORIENTED_EDGE('',*,*,#210217,.F.); #291407=ORIENTED_EDGE('',*,*,#210218,.T.); #291408=ORIENTED_EDGE('',*,*,#210219,.F.); #291409=ORIENTED_EDGE('',*,*,#210218,.F.); #291410=ORIENTED_EDGE('',*,*,#210220,.F.); #291411=ORIENTED_EDGE('',*,*,#210221,.T.); #291412=ORIENTED_EDGE('',*,*,#210222,.F.); #291413=ORIENTED_EDGE('',*,*,#210221,.F.); #291414=ORIENTED_EDGE('',*,*,#210223,.F.); #291415=ORIENTED_EDGE('',*,*,#210224,.T.); #291416=ORIENTED_EDGE('',*,*,#210225,.F.); #291417=ORIENTED_EDGE('',*,*,#210224,.F.); #291418=ORIENTED_EDGE('',*,*,#210226,.F.); #291419=ORIENTED_EDGE('',*,*,#210227,.T.); #291420=ORIENTED_EDGE('',*,*,#210228,.F.); #291421=ORIENTED_EDGE('',*,*,#210227,.F.); #291422=ORIENTED_EDGE('',*,*,#210229,.T.); #291423=ORIENTED_EDGE('',*,*,#210230,.T.); #291424=ORIENTED_EDGE('',*,*,#210231,.F.); #291425=ORIENTED_EDGE('',*,*,#210232,.F.); #291426=ORIENTED_EDGE('',*,*,#210233,.T.); #291427=ORIENTED_EDGE('',*,*,#210232,.T.); #291428=ORIENTED_EDGE('',*,*,#210234,.F.); #291429=ORIENTED_EDGE('',*,*,#210235,.F.); #291430=ORIENTED_EDGE('',*,*,#210236,.T.); #291431=ORIENTED_EDGE('',*,*,#210235,.T.); #291432=ORIENTED_EDGE('',*,*,#210237,.F.); #291433=ORIENTED_EDGE('',*,*,#210238,.F.); #291434=ORIENTED_EDGE('',*,*,#210239,.T.); #291435=ORIENTED_EDGE('',*,*,#210238,.T.); #291436=ORIENTED_EDGE('',*,*,#210240,.F.); #291437=ORIENTED_EDGE('',*,*,#210230,.F.); #291438=ORIENTED_EDGE('',*,*,#210240,.T.); #291439=ORIENTED_EDGE('',*,*,#210237,.T.); #291440=ORIENTED_EDGE('',*,*,#210234,.T.); #291441=ORIENTED_EDGE('',*,*,#210231,.T.); #291442=ORIENTED_EDGE('',*,*,#210186,.T.); #291443=ORIENTED_EDGE('',*,*,#210189,.T.); #291444=ORIENTED_EDGE('',*,*,#210192,.T.); #291445=ORIENTED_EDGE('',*,*,#210195,.T.); #291446=ORIENTED_EDGE('',*,*,#210198,.T.); #291447=ORIENTED_EDGE('',*,*,#210201,.T.); #291448=ORIENTED_EDGE('',*,*,#210204,.T.); #291449=ORIENTED_EDGE('',*,*,#210207,.T.); #291450=ORIENTED_EDGE('',*,*,#210210,.T.); #291451=ORIENTED_EDGE('',*,*,#210213,.T.); #291452=ORIENTED_EDGE('',*,*,#210216,.T.); #291453=ORIENTED_EDGE('',*,*,#210219,.T.); #291454=ORIENTED_EDGE('',*,*,#210222,.T.); #291455=ORIENTED_EDGE('',*,*,#210225,.T.); #291456=ORIENTED_EDGE('',*,*,#210228,.T.); #291457=ORIENTED_EDGE('',*,*,#210239,.F.); #291458=ORIENTED_EDGE('',*,*,#210229,.F.); #291459=ORIENTED_EDGE('',*,*,#210233,.F.); #291460=ORIENTED_EDGE('',*,*,#210236,.F.); #291461=ORIENTED_EDGE('',*,*,#210184,.T.); #291462=ORIENTED_EDGE('',*,*,#210187,.T.); #291463=ORIENTED_EDGE('',*,*,#210190,.T.); #291464=ORIENTED_EDGE('',*,*,#210193,.T.); #291465=ORIENTED_EDGE('',*,*,#210196,.T.); #291466=ORIENTED_EDGE('',*,*,#210199,.T.); #291467=ORIENTED_EDGE('',*,*,#210202,.T.); #291468=ORIENTED_EDGE('',*,*,#210205,.T.); #291469=ORIENTED_EDGE('',*,*,#210208,.T.); #291470=ORIENTED_EDGE('',*,*,#210211,.T.); #291471=ORIENTED_EDGE('',*,*,#210214,.T.); #291472=ORIENTED_EDGE('',*,*,#210217,.T.); #291473=ORIENTED_EDGE('',*,*,#210220,.T.); #291474=ORIENTED_EDGE('',*,*,#210223,.T.); #291475=ORIENTED_EDGE('',*,*,#210226,.T.); #291476=ORIENTED_EDGE('',*,*,#210241,.T.); #291477=ORIENTED_EDGE('',*,*,#210242,.T.); #291478=ORIENTED_EDGE('',*,*,#210243,.F.); #291479=ORIENTED_EDGE('',*,*,#210244,.F.); #291480=ORIENTED_EDGE('',*,*,#210245,.T.); #291481=ORIENTED_EDGE('',*,*,#210244,.T.); #291482=ORIENTED_EDGE('',*,*,#210246,.F.); #291483=ORIENTED_EDGE('',*,*,#210247,.F.); #291484=ORIENTED_EDGE('',*,*,#210248,.T.); #291485=ORIENTED_EDGE('',*,*,#210247,.T.); #291486=ORIENTED_EDGE('',*,*,#210249,.F.); #291487=ORIENTED_EDGE('',*,*,#210250,.F.); #291488=ORIENTED_EDGE('',*,*,#210251,.T.); #291489=ORIENTED_EDGE('',*,*,#210250,.T.); #291490=ORIENTED_EDGE('',*,*,#210252,.F.); #291491=ORIENTED_EDGE('',*,*,#210242,.F.); #291492=ORIENTED_EDGE('',*,*,#210252,.T.); #291493=ORIENTED_EDGE('',*,*,#210249,.T.); #291494=ORIENTED_EDGE('',*,*,#210246,.T.); #291495=ORIENTED_EDGE('',*,*,#210243,.T.); #291496=ORIENTED_EDGE('',*,*,#210251,.F.); #291497=ORIENTED_EDGE('',*,*,#210241,.F.); #291498=ORIENTED_EDGE('',*,*,#210245,.F.); #291499=ORIENTED_EDGE('',*,*,#210248,.F.); #291500=ORIENTED_EDGE('',*,*,#210253,.T.); #291501=ORIENTED_EDGE('',*,*,#210254,.T.); #291502=ORIENTED_EDGE('',*,*,#210255,.F.); #291503=ORIENTED_EDGE('',*,*,#210256,.F.); #291504=ORIENTED_EDGE('',*,*,#210257,.T.); #291505=ORIENTED_EDGE('',*,*,#210256,.T.); #291506=ORIENTED_EDGE('',*,*,#210258,.F.); #291507=ORIENTED_EDGE('',*,*,#210259,.F.); #291508=ORIENTED_EDGE('',*,*,#210260,.T.); #291509=ORIENTED_EDGE('',*,*,#210259,.T.); #291510=ORIENTED_EDGE('',*,*,#210261,.F.); #291511=ORIENTED_EDGE('',*,*,#210262,.F.); #291512=ORIENTED_EDGE('',*,*,#210263,.T.); #291513=ORIENTED_EDGE('',*,*,#210262,.T.); #291514=ORIENTED_EDGE('',*,*,#210264,.F.); #291515=ORIENTED_EDGE('',*,*,#210254,.F.); #291516=ORIENTED_EDGE('',*,*,#210264,.T.); #291517=ORIENTED_EDGE('',*,*,#210261,.T.); #291518=ORIENTED_EDGE('',*,*,#210258,.T.); #291519=ORIENTED_EDGE('',*,*,#210255,.T.); #291520=ORIENTED_EDGE('',*,*,#210263,.F.); #291521=ORIENTED_EDGE('',*,*,#210253,.F.); #291522=ORIENTED_EDGE('',*,*,#210257,.F.); #291523=ORIENTED_EDGE('',*,*,#210260,.F.); #291524=ORIENTED_EDGE('',*,*,#210265,.T.); #291525=ORIENTED_EDGE('',*,*,#210266,.T.); #291526=ORIENTED_EDGE('',*,*,#210267,.F.); #291527=ORIENTED_EDGE('',*,*,#210268,.F.); #291528=ORIENTED_EDGE('',*,*,#210269,.T.); #291529=ORIENTED_EDGE('',*,*,#210268,.T.); #291530=ORIENTED_EDGE('',*,*,#210270,.F.); #291531=ORIENTED_EDGE('',*,*,#210271,.F.); #291532=ORIENTED_EDGE('',*,*,#210272,.T.); #291533=ORIENTED_EDGE('',*,*,#210271,.T.); #291534=ORIENTED_EDGE('',*,*,#210273,.F.); #291535=ORIENTED_EDGE('',*,*,#210274,.F.); #291536=ORIENTED_EDGE('',*,*,#210275,.T.); #291537=ORIENTED_EDGE('',*,*,#210274,.T.); #291538=ORIENTED_EDGE('',*,*,#210276,.F.); #291539=ORIENTED_EDGE('',*,*,#210266,.F.); #291540=ORIENTED_EDGE('',*,*,#210276,.T.); #291541=ORIENTED_EDGE('',*,*,#210273,.T.); #291542=ORIENTED_EDGE('',*,*,#210270,.T.); #291543=ORIENTED_EDGE('',*,*,#210267,.T.); #291544=ORIENTED_EDGE('',*,*,#210275,.F.); #291545=ORIENTED_EDGE('',*,*,#210265,.F.); #291546=ORIENTED_EDGE('',*,*,#210269,.F.); #291547=ORIENTED_EDGE('',*,*,#210272,.F.); #291548=ORIENTED_EDGE('',*,*,#210277,.F.); #291549=ORIENTED_EDGE('',*,*,#210278,.T.); #291550=ORIENTED_EDGE('',*,*,#210279,.F.); #291551=ORIENTED_EDGE('',*,*,#210278,.F.); #291552=ORIENTED_EDGE('',*,*,#210280,.T.); #291553=ORIENTED_EDGE('',*,*,#210281,.T.); #291554=ORIENTED_EDGE('',*,*,#210282,.F.); #291555=ORIENTED_EDGE('',*,*,#210283,.F.); #291556=ORIENTED_EDGE('',*,*,#210284,.T.); #291557=ORIENTED_EDGE('',*,*,#210283,.T.); #291558=ORIENTED_EDGE('',*,*,#210285,.F.); #291559=ORIENTED_EDGE('',*,*,#210286,.F.); #291560=ORIENTED_EDGE('',*,*,#210287,.T.); #291561=ORIENTED_EDGE('',*,*,#210286,.T.); #291562=ORIENTED_EDGE('',*,*,#210288,.F.); #291563=ORIENTED_EDGE('',*,*,#210289,.F.); #291564=ORIENTED_EDGE('',*,*,#210290,.T.); #291565=ORIENTED_EDGE('',*,*,#210289,.T.); #291566=ORIENTED_EDGE('',*,*,#210291,.F.); #291567=ORIENTED_EDGE('',*,*,#210281,.F.); #291568=ORIENTED_EDGE('',*,*,#210291,.T.); #291569=ORIENTED_EDGE('',*,*,#210288,.T.); #291570=ORIENTED_EDGE('',*,*,#210285,.T.); #291571=ORIENTED_EDGE('',*,*,#210282,.T.); #291572=ORIENTED_EDGE('',*,*,#210279,.T.); #291573=ORIENTED_EDGE('',*,*,#210290,.F.); #291574=ORIENTED_EDGE('',*,*,#210280,.F.); #291575=ORIENTED_EDGE('',*,*,#210284,.F.); #291576=ORIENTED_EDGE('',*,*,#210287,.F.); #291577=ORIENTED_EDGE('',*,*,#210277,.T.); #291578=ORIENTED_EDGE('',*,*,#210292,.T.); #291579=ORIENTED_EDGE('',*,*,#210293,.T.); #291580=ORIENTED_EDGE('',*,*,#210294,.F.); #291581=ORIENTED_EDGE('',*,*,#210295,.F.); #291582=ORIENTED_EDGE('',*,*,#210296,.T.); #291583=ORIENTED_EDGE('',*,*,#210295,.T.); #291584=ORIENTED_EDGE('',*,*,#210297,.F.); #291585=ORIENTED_EDGE('',*,*,#210298,.F.); #291586=ORIENTED_EDGE('',*,*,#210299,.T.); #291587=ORIENTED_EDGE('',*,*,#210298,.T.); #291588=ORIENTED_EDGE('',*,*,#210300,.F.); #291589=ORIENTED_EDGE('',*,*,#210301,.F.); #291590=ORIENTED_EDGE('',*,*,#210302,.T.); #291591=ORIENTED_EDGE('',*,*,#210301,.T.); #291592=ORIENTED_EDGE('',*,*,#210303,.F.); #291593=ORIENTED_EDGE('',*,*,#210293,.F.); #291594=ORIENTED_EDGE('',*,*,#210303,.T.); #291595=ORIENTED_EDGE('',*,*,#210300,.T.); #291596=ORIENTED_EDGE('',*,*,#210297,.T.); #291597=ORIENTED_EDGE('',*,*,#210294,.T.); #291598=ORIENTED_EDGE('',*,*,#210302,.F.); #291599=ORIENTED_EDGE('',*,*,#210292,.F.); #291600=ORIENTED_EDGE('',*,*,#210296,.F.); #291601=ORIENTED_EDGE('',*,*,#210299,.F.); #291602=ORIENTED_EDGE('',*,*,#210304,.T.); #291603=ORIENTED_EDGE('',*,*,#210305,.T.); #291604=ORIENTED_EDGE('',*,*,#210306,.F.); #291605=ORIENTED_EDGE('',*,*,#210307,.F.); #291606=ORIENTED_EDGE('',*,*,#210308,.T.); #291607=ORIENTED_EDGE('',*,*,#210307,.T.); #291608=ORIENTED_EDGE('',*,*,#210309,.F.); #291609=ORIENTED_EDGE('',*,*,#210310,.F.); #291610=ORIENTED_EDGE('',*,*,#210311,.T.); #291611=ORIENTED_EDGE('',*,*,#210310,.T.); #291612=ORIENTED_EDGE('',*,*,#210312,.F.); #291613=ORIENTED_EDGE('',*,*,#210313,.F.); #291614=ORIENTED_EDGE('',*,*,#210314,.T.); #291615=ORIENTED_EDGE('',*,*,#210313,.T.); #291616=ORIENTED_EDGE('',*,*,#210315,.F.); #291617=ORIENTED_EDGE('',*,*,#210305,.F.); #291618=ORIENTED_EDGE('',*,*,#210315,.T.); #291619=ORIENTED_EDGE('',*,*,#210312,.T.); #291620=ORIENTED_EDGE('',*,*,#210309,.T.); #291621=ORIENTED_EDGE('',*,*,#210306,.T.); #291622=ORIENTED_EDGE('',*,*,#210314,.F.); #291623=ORIENTED_EDGE('',*,*,#210304,.F.); #291624=ORIENTED_EDGE('',*,*,#210308,.F.); #291625=ORIENTED_EDGE('',*,*,#210311,.F.); #291626=ORIENTED_EDGE('',*,*,#210316,.T.); #291627=ORIENTED_EDGE('',*,*,#210317,.T.); #291628=ORIENTED_EDGE('',*,*,#210318,.F.); #291629=ORIENTED_EDGE('',*,*,#210319,.F.); #291630=ORIENTED_EDGE('',*,*,#210320,.T.); #291631=ORIENTED_EDGE('',*,*,#210319,.T.); #291632=ORIENTED_EDGE('',*,*,#210321,.F.); #291633=ORIENTED_EDGE('',*,*,#210322,.F.); #291634=ORIENTED_EDGE('',*,*,#210323,.T.); #291635=ORIENTED_EDGE('',*,*,#210322,.T.); #291636=ORIENTED_EDGE('',*,*,#210324,.F.); #291637=ORIENTED_EDGE('',*,*,#210325,.F.); #291638=ORIENTED_EDGE('',*,*,#210326,.T.); #291639=ORIENTED_EDGE('',*,*,#210325,.T.); #291640=ORIENTED_EDGE('',*,*,#210327,.F.); #291641=ORIENTED_EDGE('',*,*,#210317,.F.); #291642=ORIENTED_EDGE('',*,*,#210327,.T.); #291643=ORIENTED_EDGE('',*,*,#210324,.T.); #291644=ORIENTED_EDGE('',*,*,#210321,.T.); #291645=ORIENTED_EDGE('',*,*,#210318,.T.); #291646=ORIENTED_EDGE('',*,*,#210326,.F.); #291647=ORIENTED_EDGE('',*,*,#210316,.F.); #291648=ORIENTED_EDGE('',*,*,#210320,.F.); #291649=ORIENTED_EDGE('',*,*,#210323,.F.); #291650=ORIENTED_EDGE('',*,*,#210328,.T.); #291651=ORIENTED_EDGE('',*,*,#210329,.T.); #291652=ORIENTED_EDGE('',*,*,#210330,.F.); #291653=ORIENTED_EDGE('',*,*,#210331,.F.); #291654=ORIENTED_EDGE('',*,*,#210332,.T.); #291655=ORIENTED_EDGE('',*,*,#210331,.T.); #291656=ORIENTED_EDGE('',*,*,#210333,.F.); #291657=ORIENTED_EDGE('',*,*,#210334,.F.); #291658=ORIENTED_EDGE('',*,*,#210335,.T.); #291659=ORIENTED_EDGE('',*,*,#210334,.T.); #291660=ORIENTED_EDGE('',*,*,#210336,.F.); #291661=ORIENTED_EDGE('',*,*,#210337,.F.); #291662=ORIENTED_EDGE('',*,*,#210338,.T.); #291663=ORIENTED_EDGE('',*,*,#210337,.T.); #291664=ORIENTED_EDGE('',*,*,#210339,.F.); #291665=ORIENTED_EDGE('',*,*,#210329,.F.); #291666=ORIENTED_EDGE('',*,*,#210339,.T.); #291667=ORIENTED_EDGE('',*,*,#210336,.T.); #291668=ORIENTED_EDGE('',*,*,#210333,.T.); #291669=ORIENTED_EDGE('',*,*,#210330,.T.); #291670=ORIENTED_EDGE('',*,*,#210338,.F.); #291671=ORIENTED_EDGE('',*,*,#210328,.F.); #291672=ORIENTED_EDGE('',*,*,#210332,.F.); #291673=ORIENTED_EDGE('',*,*,#210335,.F.); #291674=ORIENTED_EDGE('',*,*,#210340,.F.); #291675=ORIENTED_EDGE('',*,*,#210341,.T.); #291676=ORIENTED_EDGE('',*,*,#210342,.F.); #291677=ORIENTED_EDGE('',*,*,#210341,.F.); #291678=ORIENTED_EDGE('',*,*,#210343,.F.); #291679=ORIENTED_EDGE('',*,*,#210344,.T.); #291680=ORIENTED_EDGE('',*,*,#210345,.F.); #291681=ORIENTED_EDGE('',*,*,#210344,.F.); #291682=ORIENTED_EDGE('',*,*,#210346,.F.); #291683=ORIENTED_EDGE('',*,*,#210347,.T.); #291684=ORIENTED_EDGE('',*,*,#210348,.F.); #291685=ORIENTED_EDGE('',*,*,#210347,.F.); #291686=ORIENTED_EDGE('',*,*,#210349,.F.); #291687=ORIENTED_EDGE('',*,*,#210350,.T.); #291688=ORIENTED_EDGE('',*,*,#210351,.F.); #291689=ORIENTED_EDGE('',*,*,#210350,.F.); #291690=ORIENTED_EDGE('',*,*,#210352,.F.); #291691=ORIENTED_EDGE('',*,*,#210353,.T.); #291692=ORIENTED_EDGE('',*,*,#210354,.F.); #291693=ORIENTED_EDGE('',*,*,#210353,.F.); #291694=ORIENTED_EDGE('',*,*,#210355,.F.); #291695=ORIENTED_EDGE('',*,*,#210356,.T.); #291696=ORIENTED_EDGE('',*,*,#210357,.F.); #291697=ORIENTED_EDGE('',*,*,#210356,.F.); #291698=ORIENTED_EDGE('',*,*,#210358,.F.); #291699=ORIENTED_EDGE('',*,*,#210359,.T.); #291700=ORIENTED_EDGE('',*,*,#210360,.F.); #291701=ORIENTED_EDGE('',*,*,#210359,.F.); #291702=ORIENTED_EDGE('',*,*,#210361,.F.); #291703=ORIENTED_EDGE('',*,*,#210362,.T.); #291704=ORIENTED_EDGE('',*,*,#210363,.F.); #291705=ORIENTED_EDGE('',*,*,#210362,.F.); #291706=ORIENTED_EDGE('',*,*,#210364,.F.); #291707=ORIENTED_EDGE('',*,*,#210365,.T.); #291708=ORIENTED_EDGE('',*,*,#210366,.F.); #291709=ORIENTED_EDGE('',*,*,#210365,.F.); #291710=ORIENTED_EDGE('',*,*,#210367,.F.); #291711=ORIENTED_EDGE('',*,*,#210368,.T.); #291712=ORIENTED_EDGE('',*,*,#210369,.F.); #291713=ORIENTED_EDGE('',*,*,#210368,.F.); #291714=ORIENTED_EDGE('',*,*,#210370,.F.); #291715=ORIENTED_EDGE('',*,*,#210371,.T.); #291716=ORIENTED_EDGE('',*,*,#210372,.F.); #291717=ORIENTED_EDGE('',*,*,#210371,.F.); #291718=ORIENTED_EDGE('',*,*,#210373,.F.); #291719=ORIENTED_EDGE('',*,*,#210374,.T.); #291720=ORIENTED_EDGE('',*,*,#210375,.F.); #291721=ORIENTED_EDGE('',*,*,#210374,.F.); #291722=ORIENTED_EDGE('',*,*,#210376,.F.); #291723=ORIENTED_EDGE('',*,*,#210377,.T.); #291724=ORIENTED_EDGE('',*,*,#210378,.F.); #291725=ORIENTED_EDGE('',*,*,#210377,.F.); #291726=ORIENTED_EDGE('',*,*,#210379,.F.); #291727=ORIENTED_EDGE('',*,*,#210380,.T.); #291728=ORIENTED_EDGE('',*,*,#210381,.F.); #291729=ORIENTED_EDGE('',*,*,#210380,.F.); #291730=ORIENTED_EDGE('',*,*,#210382,.F.); #291731=ORIENTED_EDGE('',*,*,#210383,.T.); #291732=ORIENTED_EDGE('',*,*,#210384,.F.); #291733=ORIENTED_EDGE('',*,*,#210383,.F.); #291734=ORIENTED_EDGE('',*,*,#210385,.T.); #291735=ORIENTED_EDGE('',*,*,#210386,.T.); #291736=ORIENTED_EDGE('',*,*,#210387,.F.); #291737=ORIENTED_EDGE('',*,*,#210388,.F.); #291738=ORIENTED_EDGE('',*,*,#210389,.T.); #291739=ORIENTED_EDGE('',*,*,#210388,.T.); #291740=ORIENTED_EDGE('',*,*,#210390,.F.); #291741=ORIENTED_EDGE('',*,*,#210391,.F.); #291742=ORIENTED_EDGE('',*,*,#210392,.T.); #291743=ORIENTED_EDGE('',*,*,#210391,.T.); #291744=ORIENTED_EDGE('',*,*,#210393,.F.); #291745=ORIENTED_EDGE('',*,*,#210394,.F.); #291746=ORIENTED_EDGE('',*,*,#210395,.T.); #291747=ORIENTED_EDGE('',*,*,#210394,.T.); #291748=ORIENTED_EDGE('',*,*,#210396,.F.); #291749=ORIENTED_EDGE('',*,*,#210386,.F.); #291750=ORIENTED_EDGE('',*,*,#210396,.T.); #291751=ORIENTED_EDGE('',*,*,#210393,.T.); #291752=ORIENTED_EDGE('',*,*,#210390,.T.); #291753=ORIENTED_EDGE('',*,*,#210387,.T.); #291754=ORIENTED_EDGE('',*,*,#210342,.T.); #291755=ORIENTED_EDGE('',*,*,#210345,.T.); #291756=ORIENTED_EDGE('',*,*,#210348,.T.); #291757=ORIENTED_EDGE('',*,*,#210351,.T.); #291758=ORIENTED_EDGE('',*,*,#210354,.T.); #291759=ORIENTED_EDGE('',*,*,#210357,.T.); #291760=ORIENTED_EDGE('',*,*,#210360,.T.); #291761=ORIENTED_EDGE('',*,*,#210363,.T.); #291762=ORIENTED_EDGE('',*,*,#210366,.T.); #291763=ORIENTED_EDGE('',*,*,#210369,.T.); #291764=ORIENTED_EDGE('',*,*,#210372,.T.); #291765=ORIENTED_EDGE('',*,*,#210375,.T.); #291766=ORIENTED_EDGE('',*,*,#210378,.T.); #291767=ORIENTED_EDGE('',*,*,#210381,.T.); #291768=ORIENTED_EDGE('',*,*,#210384,.T.); #291769=ORIENTED_EDGE('',*,*,#210395,.F.); #291770=ORIENTED_EDGE('',*,*,#210385,.F.); #291771=ORIENTED_EDGE('',*,*,#210389,.F.); #291772=ORIENTED_EDGE('',*,*,#210392,.F.); #291773=ORIENTED_EDGE('',*,*,#210340,.T.); #291774=ORIENTED_EDGE('',*,*,#210343,.T.); #291775=ORIENTED_EDGE('',*,*,#210346,.T.); #291776=ORIENTED_EDGE('',*,*,#210349,.T.); #291777=ORIENTED_EDGE('',*,*,#210352,.T.); #291778=ORIENTED_EDGE('',*,*,#210355,.T.); #291779=ORIENTED_EDGE('',*,*,#210358,.T.); #291780=ORIENTED_EDGE('',*,*,#210361,.T.); #291781=ORIENTED_EDGE('',*,*,#210364,.T.); #291782=ORIENTED_EDGE('',*,*,#210367,.T.); #291783=ORIENTED_EDGE('',*,*,#210370,.T.); #291784=ORIENTED_EDGE('',*,*,#210373,.T.); #291785=ORIENTED_EDGE('',*,*,#210376,.T.); #291786=ORIENTED_EDGE('',*,*,#210379,.T.); #291787=ORIENTED_EDGE('',*,*,#210382,.T.); #291788=ORIENTED_EDGE('',*,*,#210397,.T.); #291789=ORIENTED_EDGE('',*,*,#210398,.T.); #291790=ORIENTED_EDGE('',*,*,#210399,.F.); #291791=ORIENTED_EDGE('',*,*,#210400,.F.); #291792=ORIENTED_EDGE('',*,*,#210401,.T.); #291793=ORIENTED_EDGE('',*,*,#210400,.T.); #291794=ORIENTED_EDGE('',*,*,#210402,.F.); #291795=ORIENTED_EDGE('',*,*,#210403,.F.); #291796=ORIENTED_EDGE('',*,*,#210404,.T.); #291797=ORIENTED_EDGE('',*,*,#210403,.T.); #291798=ORIENTED_EDGE('',*,*,#210405,.F.); #291799=ORIENTED_EDGE('',*,*,#210406,.F.); #291800=ORIENTED_EDGE('',*,*,#210407,.T.); #291801=ORIENTED_EDGE('',*,*,#210406,.T.); #291802=ORIENTED_EDGE('',*,*,#210408,.F.); #291803=ORIENTED_EDGE('',*,*,#210398,.F.); #291804=ORIENTED_EDGE('',*,*,#210408,.T.); #291805=ORIENTED_EDGE('',*,*,#210405,.T.); #291806=ORIENTED_EDGE('',*,*,#210402,.T.); #291807=ORIENTED_EDGE('',*,*,#210399,.T.); #291808=ORIENTED_EDGE('',*,*,#210407,.F.); #291809=ORIENTED_EDGE('',*,*,#210397,.F.); #291810=ORIENTED_EDGE('',*,*,#210401,.F.); #291811=ORIENTED_EDGE('',*,*,#210404,.F.); #291812=ORIENTED_EDGE('',*,*,#210409,.T.); #291813=ORIENTED_EDGE('',*,*,#210410,.T.); #291814=ORIENTED_EDGE('',*,*,#210411,.F.); #291815=ORIENTED_EDGE('',*,*,#210412,.F.); #291816=ORIENTED_EDGE('',*,*,#210413,.T.); #291817=ORIENTED_EDGE('',*,*,#210412,.T.); #291818=ORIENTED_EDGE('',*,*,#210414,.F.); #291819=ORIENTED_EDGE('',*,*,#210415,.F.); #291820=ORIENTED_EDGE('',*,*,#210416,.T.); #291821=ORIENTED_EDGE('',*,*,#210415,.T.); #291822=ORIENTED_EDGE('',*,*,#210417,.F.); #291823=ORIENTED_EDGE('',*,*,#210418,.F.); #291824=ORIENTED_EDGE('',*,*,#210419,.T.); #291825=ORIENTED_EDGE('',*,*,#210418,.T.); #291826=ORIENTED_EDGE('',*,*,#210420,.F.); #291827=ORIENTED_EDGE('',*,*,#210410,.F.); #291828=ORIENTED_EDGE('',*,*,#210420,.T.); #291829=ORIENTED_EDGE('',*,*,#210417,.T.); #291830=ORIENTED_EDGE('',*,*,#210414,.T.); #291831=ORIENTED_EDGE('',*,*,#210411,.T.); #291832=ORIENTED_EDGE('',*,*,#210419,.F.); #291833=ORIENTED_EDGE('',*,*,#210409,.F.); #291834=ORIENTED_EDGE('',*,*,#210413,.F.); #291835=ORIENTED_EDGE('',*,*,#210416,.F.); #291836=ORIENTED_EDGE('',*,*,#210421,.T.); #291837=ORIENTED_EDGE('',*,*,#210422,.T.); #291838=ORIENTED_EDGE('',*,*,#210423,.F.); #291839=ORIENTED_EDGE('',*,*,#210424,.F.); #291840=ORIENTED_EDGE('',*,*,#210425,.T.); #291841=ORIENTED_EDGE('',*,*,#210424,.T.); #291842=ORIENTED_EDGE('',*,*,#210426,.F.); #291843=ORIENTED_EDGE('',*,*,#210427,.F.); #291844=ORIENTED_EDGE('',*,*,#210428,.T.); #291845=ORIENTED_EDGE('',*,*,#210427,.T.); #291846=ORIENTED_EDGE('',*,*,#210429,.F.); #291847=ORIENTED_EDGE('',*,*,#210430,.F.); #291848=ORIENTED_EDGE('',*,*,#210431,.T.); #291849=ORIENTED_EDGE('',*,*,#210430,.T.); #291850=ORIENTED_EDGE('',*,*,#210432,.F.); #291851=ORIENTED_EDGE('',*,*,#210422,.F.); #291852=ORIENTED_EDGE('',*,*,#210432,.T.); #291853=ORIENTED_EDGE('',*,*,#210429,.T.); #291854=ORIENTED_EDGE('',*,*,#210426,.T.); #291855=ORIENTED_EDGE('',*,*,#210423,.T.); #291856=ORIENTED_EDGE('',*,*,#210431,.F.); #291857=ORIENTED_EDGE('',*,*,#210421,.F.); #291858=ORIENTED_EDGE('',*,*,#210425,.F.); #291859=ORIENTED_EDGE('',*,*,#210428,.F.); #291860=ORIENTED_EDGE('',*,*,#210433,.F.); #291861=ORIENTED_EDGE('',*,*,#210434,.T.); #291862=ORIENTED_EDGE('',*,*,#210435,.F.); #291863=ORIENTED_EDGE('',*,*,#210434,.F.); #291864=ORIENTED_EDGE('',*,*,#210436,.T.); #291865=ORIENTED_EDGE('',*,*,#210437,.T.); #291866=ORIENTED_EDGE('',*,*,#210438,.F.); #291867=ORIENTED_EDGE('',*,*,#210439,.F.); #291868=ORIENTED_EDGE('',*,*,#210440,.T.); #291869=ORIENTED_EDGE('',*,*,#210439,.T.); #291870=ORIENTED_EDGE('',*,*,#210441,.F.); #291871=ORIENTED_EDGE('',*,*,#210442,.F.); #291872=ORIENTED_EDGE('',*,*,#210443,.T.); #291873=ORIENTED_EDGE('',*,*,#210442,.T.); #291874=ORIENTED_EDGE('',*,*,#210444,.F.); #291875=ORIENTED_EDGE('',*,*,#210445,.F.); #291876=ORIENTED_EDGE('',*,*,#210446,.T.); #291877=ORIENTED_EDGE('',*,*,#210445,.T.); #291878=ORIENTED_EDGE('',*,*,#210447,.F.); #291879=ORIENTED_EDGE('',*,*,#210437,.F.); #291880=ORIENTED_EDGE('',*,*,#210447,.T.); #291881=ORIENTED_EDGE('',*,*,#210444,.T.); #291882=ORIENTED_EDGE('',*,*,#210441,.T.); #291883=ORIENTED_EDGE('',*,*,#210438,.T.); #291884=ORIENTED_EDGE('',*,*,#210435,.T.); #291885=ORIENTED_EDGE('',*,*,#210446,.F.); #291886=ORIENTED_EDGE('',*,*,#210436,.F.); #291887=ORIENTED_EDGE('',*,*,#210440,.F.); #291888=ORIENTED_EDGE('',*,*,#210443,.F.); #291889=ORIENTED_EDGE('',*,*,#210433,.T.); #291890=ORIENTED_EDGE('',*,*,#210448,.F.); #291891=ORIENTED_EDGE('',*,*,#210449,.T.); #291892=ORIENTED_EDGE('',*,*,#210450,.F.); #291893=ORIENTED_EDGE('',*,*,#210449,.F.); #291894=ORIENTED_EDGE('',*,*,#210451,.T.); #291895=ORIENTED_EDGE('',*,*,#210452,.T.); #291896=ORIENTED_EDGE('',*,*,#210453,.F.); #291897=ORIENTED_EDGE('',*,*,#210454,.F.); #291898=ORIENTED_EDGE('',*,*,#210455,.T.); #291899=ORIENTED_EDGE('',*,*,#210454,.T.); #291900=ORIENTED_EDGE('',*,*,#210456,.F.); #291901=ORIENTED_EDGE('',*,*,#210457,.F.); #291902=ORIENTED_EDGE('',*,*,#210458,.T.); #291903=ORIENTED_EDGE('',*,*,#210457,.T.); #291904=ORIENTED_EDGE('',*,*,#210459,.F.); #291905=ORIENTED_EDGE('',*,*,#210460,.F.); #291906=ORIENTED_EDGE('',*,*,#210461,.T.); #291907=ORIENTED_EDGE('',*,*,#210460,.T.); #291908=ORIENTED_EDGE('',*,*,#210462,.F.); #291909=ORIENTED_EDGE('',*,*,#210452,.F.); #291910=ORIENTED_EDGE('',*,*,#210462,.T.); #291911=ORIENTED_EDGE('',*,*,#210459,.T.); #291912=ORIENTED_EDGE('',*,*,#210456,.T.); #291913=ORIENTED_EDGE('',*,*,#210453,.T.); #291914=ORIENTED_EDGE('',*,*,#210450,.T.); #291915=ORIENTED_EDGE('',*,*,#210461,.F.); #291916=ORIENTED_EDGE('',*,*,#210451,.F.); #291917=ORIENTED_EDGE('',*,*,#210455,.F.); #291918=ORIENTED_EDGE('',*,*,#210458,.F.); #291919=ORIENTED_EDGE('',*,*,#210448,.T.); #291920=ORIENTED_EDGE('',*,*,#210463,.F.); #291921=ORIENTED_EDGE('',*,*,#210464,.T.); #291922=ORIENTED_EDGE('',*,*,#210465,.F.); #291923=ORIENTED_EDGE('',*,*,#210464,.F.); #291924=ORIENTED_EDGE('',*,*,#210466,.T.); #291925=ORIENTED_EDGE('',*,*,#210467,.T.); #291926=ORIENTED_EDGE('',*,*,#210468,.F.); #291927=ORIENTED_EDGE('',*,*,#210469,.F.); #291928=ORIENTED_EDGE('',*,*,#210470,.T.); #291929=ORIENTED_EDGE('',*,*,#210469,.T.); #291930=ORIENTED_EDGE('',*,*,#210471,.F.); #291931=ORIENTED_EDGE('',*,*,#210472,.F.); #291932=ORIENTED_EDGE('',*,*,#210473,.T.); #291933=ORIENTED_EDGE('',*,*,#210472,.T.); #291934=ORIENTED_EDGE('',*,*,#210474,.F.); #291935=ORIENTED_EDGE('',*,*,#210475,.F.); #291936=ORIENTED_EDGE('',*,*,#210476,.T.); #291937=ORIENTED_EDGE('',*,*,#210475,.T.); #291938=ORIENTED_EDGE('',*,*,#210477,.F.); #291939=ORIENTED_EDGE('',*,*,#210467,.F.); #291940=ORIENTED_EDGE('',*,*,#210477,.T.); #291941=ORIENTED_EDGE('',*,*,#210474,.T.); #291942=ORIENTED_EDGE('',*,*,#210471,.T.); #291943=ORIENTED_EDGE('',*,*,#210468,.T.); #291944=ORIENTED_EDGE('',*,*,#210465,.T.); #291945=ORIENTED_EDGE('',*,*,#210476,.F.); #291946=ORIENTED_EDGE('',*,*,#210466,.F.); #291947=ORIENTED_EDGE('',*,*,#210470,.F.); #291948=ORIENTED_EDGE('',*,*,#210473,.F.); #291949=ORIENTED_EDGE('',*,*,#210463,.T.); #291950=ORIENTED_EDGE('',*,*,#210478,.F.); #291951=ORIENTED_EDGE('',*,*,#210479,.T.); #291952=ORIENTED_EDGE('',*,*,#210480,.F.); #291953=ORIENTED_EDGE('',*,*,#210479,.F.); #291954=ORIENTED_EDGE('',*,*,#210481,.F.); #291955=ORIENTED_EDGE('',*,*,#210482,.T.); #291956=ORIENTED_EDGE('',*,*,#210483,.F.); #291957=ORIENTED_EDGE('',*,*,#210482,.F.); #291958=ORIENTED_EDGE('',*,*,#210484,.F.); #291959=ORIENTED_EDGE('',*,*,#210485,.T.); #291960=ORIENTED_EDGE('',*,*,#210486,.F.); #291961=ORIENTED_EDGE('',*,*,#210485,.F.); #291962=ORIENTED_EDGE('',*,*,#210487,.F.); #291963=ORIENTED_EDGE('',*,*,#210488,.T.); #291964=ORIENTED_EDGE('',*,*,#210489,.F.); #291965=ORIENTED_EDGE('',*,*,#210488,.F.); #291966=ORIENTED_EDGE('',*,*,#210490,.F.); #291967=ORIENTED_EDGE('',*,*,#210491,.T.); #291968=ORIENTED_EDGE('',*,*,#210492,.F.); #291969=ORIENTED_EDGE('',*,*,#210491,.F.); #291970=ORIENTED_EDGE('',*,*,#210493,.F.); #291971=ORIENTED_EDGE('',*,*,#210494,.T.); #291972=ORIENTED_EDGE('',*,*,#210495,.F.); #291973=ORIENTED_EDGE('',*,*,#210494,.F.); #291974=ORIENTED_EDGE('',*,*,#210496,.F.); #291975=ORIENTED_EDGE('',*,*,#210497,.T.); #291976=ORIENTED_EDGE('',*,*,#210498,.F.); #291977=ORIENTED_EDGE('',*,*,#210497,.F.); #291978=ORIENTED_EDGE('',*,*,#210499,.F.); #291979=ORIENTED_EDGE('',*,*,#210500,.T.); #291980=ORIENTED_EDGE('',*,*,#210501,.F.); #291981=ORIENTED_EDGE('',*,*,#210500,.F.); #291982=ORIENTED_EDGE('',*,*,#210502,.F.); #291983=ORIENTED_EDGE('',*,*,#210503,.T.); #291984=ORIENTED_EDGE('',*,*,#210504,.F.); #291985=ORIENTED_EDGE('',*,*,#210503,.F.); #291986=ORIENTED_EDGE('',*,*,#210505,.F.); #291987=ORIENTED_EDGE('',*,*,#210506,.T.); #291988=ORIENTED_EDGE('',*,*,#210507,.F.); #291989=ORIENTED_EDGE('',*,*,#210506,.F.); #291990=ORIENTED_EDGE('',*,*,#210508,.F.); #291991=ORIENTED_EDGE('',*,*,#210509,.T.); #291992=ORIENTED_EDGE('',*,*,#210510,.F.); #291993=ORIENTED_EDGE('',*,*,#210509,.F.); #291994=ORIENTED_EDGE('',*,*,#210511,.F.); #291995=ORIENTED_EDGE('',*,*,#210512,.T.); #291996=ORIENTED_EDGE('',*,*,#210513,.F.); #291997=ORIENTED_EDGE('',*,*,#210512,.F.); #291998=ORIENTED_EDGE('',*,*,#210514,.F.); #291999=ORIENTED_EDGE('',*,*,#210515,.T.); #292000=ORIENTED_EDGE('',*,*,#210516,.F.); #292001=ORIENTED_EDGE('',*,*,#210515,.F.); #292002=ORIENTED_EDGE('',*,*,#210517,.F.); #292003=ORIENTED_EDGE('',*,*,#210518,.T.); #292004=ORIENTED_EDGE('',*,*,#210519,.F.); #292005=ORIENTED_EDGE('',*,*,#210518,.F.); #292006=ORIENTED_EDGE('',*,*,#210520,.F.); #292007=ORIENTED_EDGE('',*,*,#210521,.T.); #292008=ORIENTED_EDGE('',*,*,#210522,.F.); #292009=ORIENTED_EDGE('',*,*,#210521,.F.); #292010=ORIENTED_EDGE('',*,*,#210523,.T.); #292011=ORIENTED_EDGE('',*,*,#210524,.T.); #292012=ORIENTED_EDGE('',*,*,#210525,.F.); #292013=ORIENTED_EDGE('',*,*,#210526,.F.); #292014=ORIENTED_EDGE('',*,*,#210527,.T.); #292015=ORIENTED_EDGE('',*,*,#210526,.T.); #292016=ORIENTED_EDGE('',*,*,#210528,.F.); #292017=ORIENTED_EDGE('',*,*,#210529,.F.); #292018=ORIENTED_EDGE('',*,*,#210530,.T.); #292019=ORIENTED_EDGE('',*,*,#210529,.T.); #292020=ORIENTED_EDGE('',*,*,#210531,.F.); #292021=ORIENTED_EDGE('',*,*,#210532,.F.); #292022=ORIENTED_EDGE('',*,*,#210533,.T.); #292023=ORIENTED_EDGE('',*,*,#210532,.T.); #292024=ORIENTED_EDGE('',*,*,#210534,.F.); #292025=ORIENTED_EDGE('',*,*,#210524,.F.); #292026=ORIENTED_EDGE('',*,*,#210534,.T.); #292027=ORIENTED_EDGE('',*,*,#210531,.T.); #292028=ORIENTED_EDGE('',*,*,#210528,.T.); #292029=ORIENTED_EDGE('',*,*,#210525,.T.); #292030=ORIENTED_EDGE('',*,*,#210480,.T.); #292031=ORIENTED_EDGE('',*,*,#210483,.T.); #292032=ORIENTED_EDGE('',*,*,#210486,.T.); #292033=ORIENTED_EDGE('',*,*,#210489,.T.); #292034=ORIENTED_EDGE('',*,*,#210492,.T.); #292035=ORIENTED_EDGE('',*,*,#210495,.T.); #292036=ORIENTED_EDGE('',*,*,#210498,.T.); #292037=ORIENTED_EDGE('',*,*,#210501,.T.); #292038=ORIENTED_EDGE('',*,*,#210504,.T.); #292039=ORIENTED_EDGE('',*,*,#210507,.T.); #292040=ORIENTED_EDGE('',*,*,#210510,.T.); #292041=ORIENTED_EDGE('',*,*,#210513,.T.); #292042=ORIENTED_EDGE('',*,*,#210516,.T.); #292043=ORIENTED_EDGE('',*,*,#210519,.T.); #292044=ORIENTED_EDGE('',*,*,#210522,.T.); #292045=ORIENTED_EDGE('',*,*,#210533,.F.); #292046=ORIENTED_EDGE('',*,*,#210523,.F.); #292047=ORIENTED_EDGE('',*,*,#210527,.F.); #292048=ORIENTED_EDGE('',*,*,#210530,.F.); #292049=ORIENTED_EDGE('',*,*,#210478,.T.); #292050=ORIENTED_EDGE('',*,*,#210481,.T.); #292051=ORIENTED_EDGE('',*,*,#210484,.T.); #292052=ORIENTED_EDGE('',*,*,#210487,.T.); #292053=ORIENTED_EDGE('',*,*,#210490,.T.); #292054=ORIENTED_EDGE('',*,*,#210493,.T.); #292055=ORIENTED_EDGE('',*,*,#210496,.T.); #292056=ORIENTED_EDGE('',*,*,#210499,.T.); #292057=ORIENTED_EDGE('',*,*,#210502,.T.); #292058=ORIENTED_EDGE('',*,*,#210505,.T.); #292059=ORIENTED_EDGE('',*,*,#210508,.T.); #292060=ORIENTED_EDGE('',*,*,#210511,.T.); #292061=ORIENTED_EDGE('',*,*,#210514,.T.); #292062=ORIENTED_EDGE('',*,*,#210517,.T.); #292063=ORIENTED_EDGE('',*,*,#210520,.T.); #292064=ORIENTED_EDGE('',*,*,#210535,.T.); #292065=ORIENTED_EDGE('',*,*,#210536,.T.); #292066=ORIENTED_EDGE('',*,*,#210537,.F.); #292067=ORIENTED_EDGE('',*,*,#210538,.F.); #292068=ORIENTED_EDGE('',*,*,#210539,.T.); #292069=ORIENTED_EDGE('',*,*,#210538,.T.); #292070=ORIENTED_EDGE('',*,*,#210540,.F.); #292071=ORIENTED_EDGE('',*,*,#210541,.F.); #292072=ORIENTED_EDGE('',*,*,#210542,.T.); #292073=ORIENTED_EDGE('',*,*,#210541,.T.); #292074=ORIENTED_EDGE('',*,*,#210543,.F.); #292075=ORIENTED_EDGE('',*,*,#210544,.F.); #292076=ORIENTED_EDGE('',*,*,#210545,.T.); #292077=ORIENTED_EDGE('',*,*,#210544,.T.); #292078=ORIENTED_EDGE('',*,*,#210546,.F.); #292079=ORIENTED_EDGE('',*,*,#210536,.F.); #292080=ORIENTED_EDGE('',*,*,#210546,.T.); #292081=ORIENTED_EDGE('',*,*,#210543,.T.); #292082=ORIENTED_EDGE('',*,*,#210540,.T.); #292083=ORIENTED_EDGE('',*,*,#210537,.T.); #292084=ORIENTED_EDGE('',*,*,#210545,.F.); #292085=ORIENTED_EDGE('',*,*,#210535,.F.); #292086=ORIENTED_EDGE('',*,*,#210539,.F.); #292087=ORIENTED_EDGE('',*,*,#210542,.F.); #292088=ORIENTED_EDGE('',*,*,#210547,.T.); #292089=ORIENTED_EDGE('',*,*,#210548,.T.); #292090=ORIENTED_EDGE('',*,*,#210549,.F.); #292091=ORIENTED_EDGE('',*,*,#210550,.F.); #292092=ORIENTED_EDGE('',*,*,#210551,.T.); #292093=ORIENTED_EDGE('',*,*,#210550,.T.); #292094=ORIENTED_EDGE('',*,*,#210552,.F.); #292095=ORIENTED_EDGE('',*,*,#210553,.F.); #292096=ORIENTED_EDGE('',*,*,#210554,.T.); #292097=ORIENTED_EDGE('',*,*,#210553,.T.); #292098=ORIENTED_EDGE('',*,*,#210555,.F.); #292099=ORIENTED_EDGE('',*,*,#210556,.F.); #292100=ORIENTED_EDGE('',*,*,#210557,.T.); #292101=ORIENTED_EDGE('',*,*,#210556,.T.); #292102=ORIENTED_EDGE('',*,*,#210558,.F.); #292103=ORIENTED_EDGE('',*,*,#210548,.F.); #292104=ORIENTED_EDGE('',*,*,#210558,.T.); #292105=ORIENTED_EDGE('',*,*,#210555,.T.); #292106=ORIENTED_EDGE('',*,*,#210552,.T.); #292107=ORIENTED_EDGE('',*,*,#210549,.T.); #292108=ORIENTED_EDGE('',*,*,#210557,.F.); #292109=ORIENTED_EDGE('',*,*,#210547,.F.); #292110=ORIENTED_EDGE('',*,*,#210551,.F.); #292111=ORIENTED_EDGE('',*,*,#210554,.F.); #292112=ORIENTED_EDGE('',*,*,#210559,.T.); #292113=ORIENTED_EDGE('',*,*,#210560,.T.); #292114=ORIENTED_EDGE('',*,*,#210561,.F.); #292115=ORIENTED_EDGE('',*,*,#210562,.F.); #292116=ORIENTED_EDGE('',*,*,#210563,.T.); #292117=ORIENTED_EDGE('',*,*,#210562,.T.); #292118=ORIENTED_EDGE('',*,*,#210564,.F.); #292119=ORIENTED_EDGE('',*,*,#210565,.F.); #292120=ORIENTED_EDGE('',*,*,#210566,.T.); #292121=ORIENTED_EDGE('',*,*,#210565,.T.); #292122=ORIENTED_EDGE('',*,*,#210567,.F.); #292123=ORIENTED_EDGE('',*,*,#210568,.F.); #292124=ORIENTED_EDGE('',*,*,#210569,.T.); #292125=ORIENTED_EDGE('',*,*,#210568,.T.); #292126=ORIENTED_EDGE('',*,*,#210570,.F.); #292127=ORIENTED_EDGE('',*,*,#210560,.F.); #292128=ORIENTED_EDGE('',*,*,#210570,.T.); #292129=ORIENTED_EDGE('',*,*,#210567,.T.); #292130=ORIENTED_EDGE('',*,*,#210564,.T.); #292131=ORIENTED_EDGE('',*,*,#210561,.T.); #292132=ORIENTED_EDGE('',*,*,#210569,.F.); #292133=ORIENTED_EDGE('',*,*,#210559,.F.); #292134=ORIENTED_EDGE('',*,*,#210563,.F.); #292135=ORIENTED_EDGE('',*,*,#210566,.F.); #292136=ORIENTED_EDGE('',*,*,#210571,.T.); #292137=ORIENTED_EDGE('',*,*,#210572,.T.); #292138=ORIENTED_EDGE('',*,*,#210573,.F.); #292139=ORIENTED_EDGE('',*,*,#210574,.F.); #292140=ORIENTED_EDGE('',*,*,#210575,.T.); #292141=ORIENTED_EDGE('',*,*,#210574,.T.); #292142=ORIENTED_EDGE('',*,*,#210576,.F.); #292143=ORIENTED_EDGE('',*,*,#210577,.F.); #292144=ORIENTED_EDGE('',*,*,#210578,.T.); #292145=ORIENTED_EDGE('',*,*,#210577,.T.); #292146=ORIENTED_EDGE('',*,*,#210579,.F.); #292147=ORIENTED_EDGE('',*,*,#210580,.F.); #292148=ORIENTED_EDGE('',*,*,#210581,.T.); #292149=ORIENTED_EDGE('',*,*,#210580,.T.); #292150=ORIENTED_EDGE('',*,*,#210582,.F.); #292151=ORIENTED_EDGE('',*,*,#210572,.F.); #292152=ORIENTED_EDGE('',*,*,#210582,.T.); #292153=ORIENTED_EDGE('',*,*,#210579,.T.); #292154=ORIENTED_EDGE('',*,*,#210576,.T.); #292155=ORIENTED_EDGE('',*,*,#210573,.T.); #292156=ORIENTED_EDGE('',*,*,#210581,.F.); #292157=ORIENTED_EDGE('',*,*,#210571,.F.); #292158=ORIENTED_EDGE('',*,*,#210575,.F.); #292159=ORIENTED_EDGE('',*,*,#210578,.F.); #292160=ORIENTED_EDGE('',*,*,#210583,.T.); #292161=ORIENTED_EDGE('',*,*,#210584,.T.); #292162=ORIENTED_EDGE('',*,*,#210585,.F.); #292163=ORIENTED_EDGE('',*,*,#210586,.F.); #292164=ORIENTED_EDGE('',*,*,#210587,.T.); #292165=ORIENTED_EDGE('',*,*,#210586,.T.); #292166=ORIENTED_EDGE('',*,*,#210588,.F.); #292167=ORIENTED_EDGE('',*,*,#210589,.F.); #292168=ORIENTED_EDGE('',*,*,#210590,.T.); #292169=ORIENTED_EDGE('',*,*,#210589,.T.); #292170=ORIENTED_EDGE('',*,*,#210591,.F.); #292171=ORIENTED_EDGE('',*,*,#210592,.F.); #292172=ORIENTED_EDGE('',*,*,#210593,.T.); #292173=ORIENTED_EDGE('',*,*,#210592,.T.); #292174=ORIENTED_EDGE('',*,*,#210594,.F.); #292175=ORIENTED_EDGE('',*,*,#210584,.F.); #292176=ORIENTED_EDGE('',*,*,#210594,.T.); #292177=ORIENTED_EDGE('',*,*,#210591,.T.); #292178=ORIENTED_EDGE('',*,*,#210588,.T.); #292179=ORIENTED_EDGE('',*,*,#210585,.T.); #292180=ORIENTED_EDGE('',*,*,#210593,.F.); #292181=ORIENTED_EDGE('',*,*,#210583,.F.); #292182=ORIENTED_EDGE('',*,*,#210587,.F.); #292183=ORIENTED_EDGE('',*,*,#210590,.F.); #292184=ORIENTED_EDGE('',*,*,#210595,.T.); #292185=ORIENTED_EDGE('',*,*,#210596,.T.); #292186=ORIENTED_EDGE('',*,*,#210597,.F.); #292187=ORIENTED_EDGE('',*,*,#210598,.F.); #292188=ORIENTED_EDGE('',*,*,#210599,.T.); #292189=ORIENTED_EDGE('',*,*,#210598,.T.); #292190=ORIENTED_EDGE('',*,*,#210600,.F.); #292191=ORIENTED_EDGE('',*,*,#210601,.F.); #292192=ORIENTED_EDGE('',*,*,#210602,.T.); #292193=ORIENTED_EDGE('',*,*,#210601,.T.); #292194=ORIENTED_EDGE('',*,*,#210603,.F.); #292195=ORIENTED_EDGE('',*,*,#210604,.F.); #292196=ORIENTED_EDGE('',*,*,#210605,.T.); #292197=ORIENTED_EDGE('',*,*,#210604,.T.); #292198=ORIENTED_EDGE('',*,*,#210606,.F.); #292199=ORIENTED_EDGE('',*,*,#210596,.F.); #292200=ORIENTED_EDGE('',*,*,#210606,.T.); #292201=ORIENTED_EDGE('',*,*,#210603,.T.); #292202=ORIENTED_EDGE('',*,*,#210600,.T.); #292203=ORIENTED_EDGE('',*,*,#210597,.T.); #292204=ORIENTED_EDGE('',*,*,#210605,.F.); #292205=ORIENTED_EDGE('',*,*,#210595,.F.); #292206=ORIENTED_EDGE('',*,*,#210599,.F.); #292207=ORIENTED_EDGE('',*,*,#210602,.F.); #292208=ORIENTED_EDGE('',*,*,#210607,.T.); #292209=ORIENTED_EDGE('',*,*,#210608,.T.); #292210=ORIENTED_EDGE('',*,*,#210609,.F.); #292211=ORIENTED_EDGE('',*,*,#210610,.F.); #292212=ORIENTED_EDGE('',*,*,#210611,.T.); #292213=ORIENTED_EDGE('',*,*,#210610,.T.); #292214=ORIENTED_EDGE('',*,*,#210612,.F.); #292215=ORIENTED_EDGE('',*,*,#210613,.F.); #292216=ORIENTED_EDGE('',*,*,#210614,.T.); #292217=ORIENTED_EDGE('',*,*,#210613,.T.); #292218=ORIENTED_EDGE('',*,*,#210615,.F.); #292219=ORIENTED_EDGE('',*,*,#210616,.F.); #292220=ORIENTED_EDGE('',*,*,#210617,.T.); #292221=ORIENTED_EDGE('',*,*,#210616,.T.); #292222=ORIENTED_EDGE('',*,*,#210618,.F.); #292223=ORIENTED_EDGE('',*,*,#210608,.F.); #292224=ORIENTED_EDGE('',*,*,#210618,.T.); #292225=ORIENTED_EDGE('',*,*,#210615,.T.); #292226=ORIENTED_EDGE('',*,*,#210612,.T.); #292227=ORIENTED_EDGE('',*,*,#210609,.T.); #292228=ORIENTED_EDGE('',*,*,#210617,.F.); #292229=ORIENTED_EDGE('',*,*,#210607,.F.); #292230=ORIENTED_EDGE('',*,*,#210611,.F.); #292231=ORIENTED_EDGE('',*,*,#210614,.F.); #292232=ORIENTED_EDGE('',*,*,#210619,.T.); #292233=ORIENTED_EDGE('',*,*,#210620,.T.); #292234=ORIENTED_EDGE('',*,*,#210621,.F.); #292235=ORIENTED_EDGE('',*,*,#210622,.F.); #292236=ORIENTED_EDGE('',*,*,#210623,.T.); #292237=ORIENTED_EDGE('',*,*,#210622,.T.); #292238=ORIENTED_EDGE('',*,*,#210624,.F.); #292239=ORIENTED_EDGE('',*,*,#210625,.F.); #292240=ORIENTED_EDGE('',*,*,#210626,.T.); #292241=ORIENTED_EDGE('',*,*,#210625,.T.); #292242=ORIENTED_EDGE('',*,*,#210627,.F.); #292243=ORIENTED_EDGE('',*,*,#210628,.F.); #292244=ORIENTED_EDGE('',*,*,#210629,.T.); #292245=ORIENTED_EDGE('',*,*,#210628,.T.); #292246=ORIENTED_EDGE('',*,*,#210630,.F.); #292247=ORIENTED_EDGE('',*,*,#210620,.F.); #292248=ORIENTED_EDGE('',*,*,#210630,.T.); #292249=ORIENTED_EDGE('',*,*,#210627,.T.); #292250=ORIENTED_EDGE('',*,*,#210624,.T.); #292251=ORIENTED_EDGE('',*,*,#210621,.T.); #292252=ORIENTED_EDGE('',*,*,#210629,.F.); #292253=ORIENTED_EDGE('',*,*,#210619,.F.); #292254=ORIENTED_EDGE('',*,*,#210623,.F.); #292255=ORIENTED_EDGE('',*,*,#210626,.F.); #292256=ORIENTED_EDGE('',*,*,#210631,.T.); #292257=ORIENTED_EDGE('',*,*,#210632,.T.); #292258=ORIENTED_EDGE('',*,*,#210633,.F.); #292259=ORIENTED_EDGE('',*,*,#210634,.F.); #292260=ORIENTED_EDGE('',*,*,#210635,.T.); #292261=ORIENTED_EDGE('',*,*,#210634,.T.); #292262=ORIENTED_EDGE('',*,*,#210636,.F.); #292263=ORIENTED_EDGE('',*,*,#210637,.F.); #292264=ORIENTED_EDGE('',*,*,#210638,.T.); #292265=ORIENTED_EDGE('',*,*,#210637,.T.); #292266=ORIENTED_EDGE('',*,*,#210639,.F.); #292267=ORIENTED_EDGE('',*,*,#210640,.F.); #292268=ORIENTED_EDGE('',*,*,#210641,.T.); #292269=ORIENTED_EDGE('',*,*,#210640,.T.); #292270=ORIENTED_EDGE('',*,*,#210642,.F.); #292271=ORIENTED_EDGE('',*,*,#210632,.F.); #292272=ORIENTED_EDGE('',*,*,#210642,.T.); #292273=ORIENTED_EDGE('',*,*,#210639,.T.); #292274=ORIENTED_EDGE('',*,*,#210636,.T.); #292275=ORIENTED_EDGE('',*,*,#210633,.T.); #292276=ORIENTED_EDGE('',*,*,#210641,.F.); #292277=ORIENTED_EDGE('',*,*,#210631,.F.); #292278=ORIENTED_EDGE('',*,*,#210635,.F.); #292279=ORIENTED_EDGE('',*,*,#210638,.F.); #292280=ORIENTED_EDGE('',*,*,#210643,.T.); #292281=ORIENTED_EDGE('',*,*,#210644,.T.); #292282=ORIENTED_EDGE('',*,*,#210645,.F.); #292283=ORIENTED_EDGE('',*,*,#210646,.F.); #292284=ORIENTED_EDGE('',*,*,#210647,.T.); #292285=ORIENTED_EDGE('',*,*,#210646,.T.); #292286=ORIENTED_EDGE('',*,*,#210648,.F.); #292287=ORIENTED_EDGE('',*,*,#210649,.F.); #292288=ORIENTED_EDGE('',*,*,#210650,.T.); #292289=ORIENTED_EDGE('',*,*,#210649,.T.); #292290=ORIENTED_EDGE('',*,*,#210651,.F.); #292291=ORIENTED_EDGE('',*,*,#210652,.F.); #292292=ORIENTED_EDGE('',*,*,#210653,.T.); #292293=ORIENTED_EDGE('',*,*,#210652,.T.); #292294=ORIENTED_EDGE('',*,*,#210654,.F.); #292295=ORIENTED_EDGE('',*,*,#210644,.F.); #292296=ORIENTED_EDGE('',*,*,#210654,.T.); #292297=ORIENTED_EDGE('',*,*,#210651,.T.); #292298=ORIENTED_EDGE('',*,*,#210648,.T.); #292299=ORIENTED_EDGE('',*,*,#210645,.T.); #292300=ORIENTED_EDGE('',*,*,#210653,.F.); #292301=ORIENTED_EDGE('',*,*,#210643,.F.); #292302=ORIENTED_EDGE('',*,*,#210647,.F.); #292303=ORIENTED_EDGE('',*,*,#210650,.F.); #292304=ORIENTED_EDGE('',*,*,#210655,.T.); #292305=ORIENTED_EDGE('',*,*,#210656,.T.); #292306=ORIENTED_EDGE('',*,*,#210657,.F.); #292307=ORIENTED_EDGE('',*,*,#210658,.F.); #292308=ORIENTED_EDGE('',*,*,#210659,.T.); #292309=ORIENTED_EDGE('',*,*,#210658,.T.); #292310=ORIENTED_EDGE('',*,*,#210660,.F.); #292311=ORIENTED_EDGE('',*,*,#210661,.F.); #292312=ORIENTED_EDGE('',*,*,#210662,.T.); #292313=ORIENTED_EDGE('',*,*,#210661,.T.); #292314=ORIENTED_EDGE('',*,*,#210663,.F.); #292315=ORIENTED_EDGE('',*,*,#210664,.F.); #292316=ORIENTED_EDGE('',*,*,#210665,.T.); #292317=ORIENTED_EDGE('',*,*,#210664,.T.); #292318=ORIENTED_EDGE('',*,*,#210666,.F.); #292319=ORIENTED_EDGE('',*,*,#210656,.F.); #292320=ORIENTED_EDGE('',*,*,#210666,.T.); #292321=ORIENTED_EDGE('',*,*,#210663,.T.); #292322=ORIENTED_EDGE('',*,*,#210660,.T.); #292323=ORIENTED_EDGE('',*,*,#210657,.T.); #292324=ORIENTED_EDGE('',*,*,#210665,.F.); #292325=ORIENTED_EDGE('',*,*,#210655,.F.); #292326=ORIENTED_EDGE('',*,*,#210659,.F.); #292327=ORIENTED_EDGE('',*,*,#210662,.F.); #292328=ORIENTED_EDGE('',*,*,#210667,.T.); #292329=ORIENTED_EDGE('',*,*,#210668,.T.); #292330=ORIENTED_EDGE('',*,*,#210669,.F.); #292331=ORIENTED_EDGE('',*,*,#210670,.F.); #292332=ORIENTED_EDGE('',*,*,#210671,.T.); #292333=ORIENTED_EDGE('',*,*,#210670,.T.); #292334=ORIENTED_EDGE('',*,*,#210672,.F.); #292335=ORIENTED_EDGE('',*,*,#210673,.F.); #292336=ORIENTED_EDGE('',*,*,#210674,.T.); #292337=ORIENTED_EDGE('',*,*,#210673,.T.); #292338=ORIENTED_EDGE('',*,*,#210675,.F.); #292339=ORIENTED_EDGE('',*,*,#210676,.F.); #292340=ORIENTED_EDGE('',*,*,#210677,.T.); #292341=ORIENTED_EDGE('',*,*,#210676,.T.); #292342=ORIENTED_EDGE('',*,*,#210678,.F.); #292343=ORIENTED_EDGE('',*,*,#210668,.F.); #292344=ORIENTED_EDGE('',*,*,#210678,.T.); #292345=ORIENTED_EDGE('',*,*,#210675,.T.); #292346=ORIENTED_EDGE('',*,*,#210672,.T.); #292347=ORIENTED_EDGE('',*,*,#210669,.T.); #292348=ORIENTED_EDGE('',*,*,#210677,.F.); #292349=ORIENTED_EDGE('',*,*,#210667,.F.); #292350=ORIENTED_EDGE('',*,*,#210671,.F.); #292351=ORIENTED_EDGE('',*,*,#210674,.F.); #292352=ORIENTED_EDGE('',*,*,#210679,.T.); #292353=ORIENTED_EDGE('',*,*,#210680,.T.); #292354=ORIENTED_EDGE('',*,*,#210681,.F.); #292355=ORIENTED_EDGE('',*,*,#210682,.F.); #292356=ORIENTED_EDGE('',*,*,#210683,.T.); #292357=ORIENTED_EDGE('',*,*,#210682,.T.); #292358=ORIENTED_EDGE('',*,*,#210684,.F.); #292359=ORIENTED_EDGE('',*,*,#210685,.F.); #292360=ORIENTED_EDGE('',*,*,#210686,.T.); #292361=ORIENTED_EDGE('',*,*,#210685,.T.); #292362=ORIENTED_EDGE('',*,*,#210687,.F.); #292363=ORIENTED_EDGE('',*,*,#210688,.F.); #292364=ORIENTED_EDGE('',*,*,#210689,.T.); #292365=ORIENTED_EDGE('',*,*,#210688,.T.); #292366=ORIENTED_EDGE('',*,*,#210690,.F.); #292367=ORIENTED_EDGE('',*,*,#210680,.F.); #292368=ORIENTED_EDGE('',*,*,#210690,.T.); #292369=ORIENTED_EDGE('',*,*,#210687,.T.); #292370=ORIENTED_EDGE('',*,*,#210684,.T.); #292371=ORIENTED_EDGE('',*,*,#210681,.T.); #292372=ORIENTED_EDGE('',*,*,#210689,.F.); #292373=ORIENTED_EDGE('',*,*,#210679,.F.); #292374=ORIENTED_EDGE('',*,*,#210683,.F.); #292375=ORIENTED_EDGE('',*,*,#210686,.F.); #292376=ORIENTED_EDGE('',*,*,#210691,.T.); #292377=ORIENTED_EDGE('',*,*,#210692,.T.); #292378=ORIENTED_EDGE('',*,*,#210693,.F.); #292379=ORIENTED_EDGE('',*,*,#210694,.F.); #292380=ORIENTED_EDGE('',*,*,#210695,.T.); #292381=ORIENTED_EDGE('',*,*,#210694,.T.); #292382=ORIENTED_EDGE('',*,*,#210696,.F.); #292383=ORIENTED_EDGE('',*,*,#210697,.F.); #292384=ORIENTED_EDGE('',*,*,#210698,.T.); #292385=ORIENTED_EDGE('',*,*,#210697,.T.); #292386=ORIENTED_EDGE('',*,*,#210699,.F.); #292387=ORIENTED_EDGE('',*,*,#210700,.F.); #292388=ORIENTED_EDGE('',*,*,#210701,.T.); #292389=ORIENTED_EDGE('',*,*,#210700,.T.); #292390=ORIENTED_EDGE('',*,*,#210702,.F.); #292391=ORIENTED_EDGE('',*,*,#210692,.F.); #292392=ORIENTED_EDGE('',*,*,#210702,.T.); #292393=ORIENTED_EDGE('',*,*,#210699,.T.); #292394=ORIENTED_EDGE('',*,*,#210696,.T.); #292395=ORIENTED_EDGE('',*,*,#210693,.T.); #292396=ORIENTED_EDGE('',*,*,#210701,.F.); #292397=ORIENTED_EDGE('',*,*,#210691,.F.); #292398=ORIENTED_EDGE('',*,*,#210695,.F.); #292399=ORIENTED_EDGE('',*,*,#210698,.F.); #292400=ORIENTED_EDGE('',*,*,#210703,.T.); #292401=ORIENTED_EDGE('',*,*,#210704,.T.); #292402=ORIENTED_EDGE('',*,*,#210705,.F.); #292403=ORIENTED_EDGE('',*,*,#210706,.F.); #292404=ORIENTED_EDGE('',*,*,#210707,.T.); #292405=ORIENTED_EDGE('',*,*,#210706,.T.); #292406=ORIENTED_EDGE('',*,*,#210708,.F.); #292407=ORIENTED_EDGE('',*,*,#210709,.F.); #292408=ORIENTED_EDGE('',*,*,#210710,.T.); #292409=ORIENTED_EDGE('',*,*,#210709,.T.); #292410=ORIENTED_EDGE('',*,*,#210711,.F.); #292411=ORIENTED_EDGE('',*,*,#210712,.F.); #292412=ORIENTED_EDGE('',*,*,#210713,.T.); #292413=ORIENTED_EDGE('',*,*,#210712,.T.); #292414=ORIENTED_EDGE('',*,*,#210714,.F.); #292415=ORIENTED_EDGE('',*,*,#210704,.F.); #292416=ORIENTED_EDGE('',*,*,#210714,.T.); #292417=ORIENTED_EDGE('',*,*,#210711,.T.); #292418=ORIENTED_EDGE('',*,*,#210708,.T.); #292419=ORIENTED_EDGE('',*,*,#210705,.T.); #292420=ORIENTED_EDGE('',*,*,#210713,.F.); #292421=ORIENTED_EDGE('',*,*,#210703,.F.); #292422=ORIENTED_EDGE('',*,*,#210707,.F.); #292423=ORIENTED_EDGE('',*,*,#210710,.F.); #292424=ORIENTED_EDGE('',*,*,#210715,.T.); #292425=ORIENTED_EDGE('',*,*,#210716,.T.); #292426=ORIENTED_EDGE('',*,*,#210717,.F.); #292427=ORIENTED_EDGE('',*,*,#210718,.F.); #292428=ORIENTED_EDGE('',*,*,#210719,.T.); #292429=ORIENTED_EDGE('',*,*,#210718,.T.); #292430=ORIENTED_EDGE('',*,*,#210720,.F.); #292431=ORIENTED_EDGE('',*,*,#210721,.F.); #292432=ORIENTED_EDGE('',*,*,#210722,.T.); #292433=ORIENTED_EDGE('',*,*,#210721,.T.); #292434=ORIENTED_EDGE('',*,*,#210723,.F.); #292435=ORIENTED_EDGE('',*,*,#210724,.F.); #292436=ORIENTED_EDGE('',*,*,#210725,.T.); #292437=ORIENTED_EDGE('',*,*,#210724,.T.); #292438=ORIENTED_EDGE('',*,*,#210726,.F.); #292439=ORIENTED_EDGE('',*,*,#210716,.F.); #292440=ORIENTED_EDGE('',*,*,#210726,.T.); #292441=ORIENTED_EDGE('',*,*,#210723,.T.); #292442=ORIENTED_EDGE('',*,*,#210720,.T.); #292443=ORIENTED_EDGE('',*,*,#210717,.T.); #292444=ORIENTED_EDGE('',*,*,#210725,.F.); #292445=ORIENTED_EDGE('',*,*,#210715,.F.); #292446=ORIENTED_EDGE('',*,*,#210719,.F.); #292447=ORIENTED_EDGE('',*,*,#210722,.F.); #292448=ORIENTED_EDGE('',*,*,#210727,.T.); #292449=ORIENTED_EDGE('',*,*,#210728,.T.); #292450=ORIENTED_EDGE('',*,*,#210729,.F.); #292451=ORIENTED_EDGE('',*,*,#210730,.F.); #292452=ORIENTED_EDGE('',*,*,#210731,.T.); #292453=ORIENTED_EDGE('',*,*,#210730,.T.); #292454=ORIENTED_EDGE('',*,*,#210732,.F.); #292455=ORIENTED_EDGE('',*,*,#210733,.F.); #292456=ORIENTED_EDGE('',*,*,#210734,.T.); #292457=ORIENTED_EDGE('',*,*,#210733,.T.); #292458=ORIENTED_EDGE('',*,*,#210735,.F.); #292459=ORIENTED_EDGE('',*,*,#210736,.F.); #292460=ORIENTED_EDGE('',*,*,#210737,.T.); #292461=ORIENTED_EDGE('',*,*,#210736,.T.); #292462=ORIENTED_EDGE('',*,*,#210738,.F.); #292463=ORIENTED_EDGE('',*,*,#210728,.F.); #292464=ORIENTED_EDGE('',*,*,#210738,.T.); #292465=ORIENTED_EDGE('',*,*,#210735,.T.); #292466=ORIENTED_EDGE('',*,*,#210732,.T.); #292467=ORIENTED_EDGE('',*,*,#210729,.T.); #292468=ORIENTED_EDGE('',*,*,#210737,.F.); #292469=ORIENTED_EDGE('',*,*,#210727,.F.); #292470=ORIENTED_EDGE('',*,*,#210731,.F.); #292471=ORIENTED_EDGE('',*,*,#210734,.F.); #292472=ORIENTED_EDGE('',*,*,#210739,.T.); #292473=ORIENTED_EDGE('',*,*,#210740,.T.); #292474=ORIENTED_EDGE('',*,*,#210741,.F.); #292475=ORIENTED_EDGE('',*,*,#210742,.F.); #292476=ORIENTED_EDGE('',*,*,#210743,.T.); #292477=ORIENTED_EDGE('',*,*,#210742,.T.); #292478=ORIENTED_EDGE('',*,*,#210744,.F.); #292479=ORIENTED_EDGE('',*,*,#210745,.F.); #292480=ORIENTED_EDGE('',*,*,#210746,.T.); #292481=ORIENTED_EDGE('',*,*,#210745,.T.); #292482=ORIENTED_EDGE('',*,*,#210747,.F.); #292483=ORIENTED_EDGE('',*,*,#210748,.F.); #292484=ORIENTED_EDGE('',*,*,#210749,.T.); #292485=ORIENTED_EDGE('',*,*,#210748,.T.); #292486=ORIENTED_EDGE('',*,*,#210750,.F.); #292487=ORIENTED_EDGE('',*,*,#210740,.F.); #292488=ORIENTED_EDGE('',*,*,#210750,.T.); #292489=ORIENTED_EDGE('',*,*,#210747,.T.); #292490=ORIENTED_EDGE('',*,*,#210744,.T.); #292491=ORIENTED_EDGE('',*,*,#210741,.T.); #292492=ORIENTED_EDGE('',*,*,#210749,.F.); #292493=ORIENTED_EDGE('',*,*,#210739,.F.); #292494=ORIENTED_EDGE('',*,*,#210743,.F.); #292495=ORIENTED_EDGE('',*,*,#210746,.F.); #292496=ORIENTED_EDGE('',*,*,#210751,.T.); #292497=ORIENTED_EDGE('',*,*,#210752,.T.); #292498=ORIENTED_EDGE('',*,*,#210753,.F.); #292499=ORIENTED_EDGE('',*,*,#210754,.F.); #292500=ORIENTED_EDGE('',*,*,#210755,.T.); #292501=ORIENTED_EDGE('',*,*,#210754,.T.); #292502=ORIENTED_EDGE('',*,*,#210756,.F.); #292503=ORIENTED_EDGE('',*,*,#210757,.F.); #292504=ORIENTED_EDGE('',*,*,#210758,.T.); #292505=ORIENTED_EDGE('',*,*,#210757,.T.); #292506=ORIENTED_EDGE('',*,*,#210759,.F.); #292507=ORIENTED_EDGE('',*,*,#210760,.F.); #292508=ORIENTED_EDGE('',*,*,#210761,.T.); #292509=ORIENTED_EDGE('',*,*,#210760,.T.); #292510=ORIENTED_EDGE('',*,*,#210762,.F.); #292511=ORIENTED_EDGE('',*,*,#210752,.F.); #292512=ORIENTED_EDGE('',*,*,#210762,.T.); #292513=ORIENTED_EDGE('',*,*,#210759,.T.); #292514=ORIENTED_EDGE('',*,*,#210756,.T.); #292515=ORIENTED_EDGE('',*,*,#210753,.T.); #292516=ORIENTED_EDGE('',*,*,#210761,.F.); #292517=ORIENTED_EDGE('',*,*,#210751,.F.); #292518=ORIENTED_EDGE('',*,*,#210755,.F.); #292519=ORIENTED_EDGE('',*,*,#210758,.F.); #292520=ORIENTED_EDGE('',*,*,#210763,.T.); #292521=ORIENTED_EDGE('',*,*,#210764,.T.); #292522=ORIENTED_EDGE('',*,*,#210765,.F.); #292523=ORIENTED_EDGE('',*,*,#210766,.F.); #292524=ORIENTED_EDGE('',*,*,#210767,.T.); #292525=ORIENTED_EDGE('',*,*,#210766,.T.); #292526=ORIENTED_EDGE('',*,*,#210768,.F.); #292527=ORIENTED_EDGE('',*,*,#210769,.F.); #292528=ORIENTED_EDGE('',*,*,#210770,.T.); #292529=ORIENTED_EDGE('',*,*,#210769,.T.); #292530=ORIENTED_EDGE('',*,*,#210771,.F.); #292531=ORIENTED_EDGE('',*,*,#210772,.F.); #292532=ORIENTED_EDGE('',*,*,#210773,.T.); #292533=ORIENTED_EDGE('',*,*,#210772,.T.); #292534=ORIENTED_EDGE('',*,*,#210774,.F.); #292535=ORIENTED_EDGE('',*,*,#210764,.F.); #292536=ORIENTED_EDGE('',*,*,#210774,.T.); #292537=ORIENTED_EDGE('',*,*,#210771,.T.); #292538=ORIENTED_EDGE('',*,*,#210768,.T.); #292539=ORIENTED_EDGE('',*,*,#210765,.T.); #292540=ORIENTED_EDGE('',*,*,#210773,.F.); #292541=ORIENTED_EDGE('',*,*,#210763,.F.); #292542=ORIENTED_EDGE('',*,*,#210767,.F.); #292543=ORIENTED_EDGE('',*,*,#210770,.F.); #292544=ORIENTED_EDGE('',*,*,#210775,.F.); #292545=ORIENTED_EDGE('',*,*,#210776,.T.); #292546=ORIENTED_EDGE('',*,*,#210777,.F.); #292547=ORIENTED_EDGE('',*,*,#210776,.F.); #292548=ORIENTED_EDGE('',*,*,#210778,.F.); #292549=ORIENTED_EDGE('',*,*,#210779,.T.); #292550=ORIENTED_EDGE('',*,*,#210780,.F.); #292551=ORIENTED_EDGE('',*,*,#210779,.F.); #292552=ORIENTED_EDGE('',*,*,#210781,.F.); #292553=ORIENTED_EDGE('',*,*,#210782,.T.); #292554=ORIENTED_EDGE('',*,*,#210783,.F.); #292555=ORIENTED_EDGE('',*,*,#210782,.F.); #292556=ORIENTED_EDGE('',*,*,#210784,.F.); #292557=ORIENTED_EDGE('',*,*,#210785,.T.); #292558=ORIENTED_EDGE('',*,*,#210786,.F.); #292559=ORIENTED_EDGE('',*,*,#210785,.F.); #292560=ORIENTED_EDGE('',*,*,#210787,.F.); #292561=ORIENTED_EDGE('',*,*,#210788,.T.); #292562=ORIENTED_EDGE('',*,*,#210789,.F.); #292563=ORIENTED_EDGE('',*,*,#210788,.F.); #292564=ORIENTED_EDGE('',*,*,#210790,.F.); #292565=ORIENTED_EDGE('',*,*,#210791,.T.); #292566=ORIENTED_EDGE('',*,*,#210792,.F.); #292567=ORIENTED_EDGE('',*,*,#210791,.F.); #292568=ORIENTED_EDGE('',*,*,#210793,.F.); #292569=ORIENTED_EDGE('',*,*,#210794,.T.); #292570=ORIENTED_EDGE('',*,*,#210795,.F.); #292571=ORIENTED_EDGE('',*,*,#210794,.F.); #292572=ORIENTED_EDGE('',*,*,#210796,.F.); #292573=ORIENTED_EDGE('',*,*,#210797,.T.); #292574=ORIENTED_EDGE('',*,*,#210798,.F.); #292575=ORIENTED_EDGE('',*,*,#210797,.F.); #292576=ORIENTED_EDGE('',*,*,#210799,.F.); #292577=ORIENTED_EDGE('',*,*,#210800,.T.); #292578=ORIENTED_EDGE('',*,*,#210801,.F.); #292579=ORIENTED_EDGE('',*,*,#210800,.F.); #292580=ORIENTED_EDGE('',*,*,#210802,.F.); #292581=ORIENTED_EDGE('',*,*,#210803,.T.); #292582=ORIENTED_EDGE('',*,*,#210804,.F.); #292583=ORIENTED_EDGE('',*,*,#210803,.F.); #292584=ORIENTED_EDGE('',*,*,#210805,.F.); #292585=ORIENTED_EDGE('',*,*,#210806,.T.); #292586=ORIENTED_EDGE('',*,*,#210807,.F.); #292587=ORIENTED_EDGE('',*,*,#210806,.F.); #292588=ORIENTED_EDGE('',*,*,#210808,.F.); #292589=ORIENTED_EDGE('',*,*,#210809,.T.); #292590=ORIENTED_EDGE('',*,*,#210810,.F.); #292591=ORIENTED_EDGE('',*,*,#210809,.F.); #292592=ORIENTED_EDGE('',*,*,#210811,.F.); #292593=ORIENTED_EDGE('',*,*,#210812,.T.); #292594=ORIENTED_EDGE('',*,*,#210813,.F.); #292595=ORIENTED_EDGE('',*,*,#210812,.F.); #292596=ORIENTED_EDGE('',*,*,#210814,.F.); #292597=ORIENTED_EDGE('',*,*,#210815,.T.); #292598=ORIENTED_EDGE('',*,*,#210816,.F.); #292599=ORIENTED_EDGE('',*,*,#210815,.F.); #292600=ORIENTED_EDGE('',*,*,#210817,.F.); #292601=ORIENTED_EDGE('',*,*,#210818,.T.); #292602=ORIENTED_EDGE('',*,*,#210819,.F.); #292603=ORIENTED_EDGE('',*,*,#210818,.F.); #292604=ORIENTED_EDGE('',*,*,#210820,.T.); #292605=ORIENTED_EDGE('',*,*,#210821,.T.); #292606=ORIENTED_EDGE('',*,*,#210822,.F.); #292607=ORIENTED_EDGE('',*,*,#210823,.F.); #292608=ORIENTED_EDGE('',*,*,#210824,.T.); #292609=ORIENTED_EDGE('',*,*,#210823,.T.); #292610=ORIENTED_EDGE('',*,*,#210825,.F.); #292611=ORIENTED_EDGE('',*,*,#210826,.F.); #292612=ORIENTED_EDGE('',*,*,#210827,.T.); #292613=ORIENTED_EDGE('',*,*,#210826,.T.); #292614=ORIENTED_EDGE('',*,*,#210828,.F.); #292615=ORIENTED_EDGE('',*,*,#210829,.F.); #292616=ORIENTED_EDGE('',*,*,#210830,.T.); #292617=ORIENTED_EDGE('',*,*,#210829,.T.); #292618=ORIENTED_EDGE('',*,*,#210831,.F.); #292619=ORIENTED_EDGE('',*,*,#210821,.F.); #292620=ORIENTED_EDGE('',*,*,#210831,.T.); #292621=ORIENTED_EDGE('',*,*,#210828,.T.); #292622=ORIENTED_EDGE('',*,*,#210825,.T.); #292623=ORIENTED_EDGE('',*,*,#210822,.T.); #292624=ORIENTED_EDGE('',*,*,#210777,.T.); #292625=ORIENTED_EDGE('',*,*,#210780,.T.); #292626=ORIENTED_EDGE('',*,*,#210783,.T.); #292627=ORIENTED_EDGE('',*,*,#210786,.T.); #292628=ORIENTED_EDGE('',*,*,#210789,.T.); #292629=ORIENTED_EDGE('',*,*,#210792,.T.); #292630=ORIENTED_EDGE('',*,*,#210795,.T.); #292631=ORIENTED_EDGE('',*,*,#210798,.T.); #292632=ORIENTED_EDGE('',*,*,#210801,.T.); #292633=ORIENTED_EDGE('',*,*,#210804,.T.); #292634=ORIENTED_EDGE('',*,*,#210807,.T.); #292635=ORIENTED_EDGE('',*,*,#210810,.T.); #292636=ORIENTED_EDGE('',*,*,#210813,.T.); #292637=ORIENTED_EDGE('',*,*,#210816,.T.); #292638=ORIENTED_EDGE('',*,*,#210819,.T.); #292639=ORIENTED_EDGE('',*,*,#210830,.F.); #292640=ORIENTED_EDGE('',*,*,#210820,.F.); #292641=ORIENTED_EDGE('',*,*,#210824,.F.); #292642=ORIENTED_EDGE('',*,*,#210827,.F.); #292643=ORIENTED_EDGE('',*,*,#210775,.T.); #292644=ORIENTED_EDGE('',*,*,#210778,.T.); #292645=ORIENTED_EDGE('',*,*,#210781,.T.); #292646=ORIENTED_EDGE('',*,*,#210784,.T.); #292647=ORIENTED_EDGE('',*,*,#210787,.T.); #292648=ORIENTED_EDGE('',*,*,#210790,.T.); #292649=ORIENTED_EDGE('',*,*,#210793,.T.); #292650=ORIENTED_EDGE('',*,*,#210796,.T.); #292651=ORIENTED_EDGE('',*,*,#210799,.T.); #292652=ORIENTED_EDGE('',*,*,#210802,.T.); #292653=ORIENTED_EDGE('',*,*,#210805,.T.); #292654=ORIENTED_EDGE('',*,*,#210808,.T.); #292655=ORIENTED_EDGE('',*,*,#210811,.T.); #292656=ORIENTED_EDGE('',*,*,#210814,.T.); #292657=ORIENTED_EDGE('',*,*,#210817,.T.); #292658=ORIENTED_EDGE('',*,*,#210832,.T.); #292659=ORIENTED_EDGE('',*,*,#210833,.T.); #292660=ORIENTED_EDGE('',*,*,#210834,.F.); #292661=ORIENTED_EDGE('',*,*,#210835,.F.); #292662=ORIENTED_EDGE('',*,*,#210836,.T.); #292663=ORIENTED_EDGE('',*,*,#210835,.T.); #292664=ORIENTED_EDGE('',*,*,#210837,.F.); #292665=ORIENTED_EDGE('',*,*,#210838,.F.); #292666=ORIENTED_EDGE('',*,*,#210839,.T.); #292667=ORIENTED_EDGE('',*,*,#210838,.T.); #292668=ORIENTED_EDGE('',*,*,#210840,.F.); #292669=ORIENTED_EDGE('',*,*,#210841,.F.); #292670=ORIENTED_EDGE('',*,*,#210842,.T.); #292671=ORIENTED_EDGE('',*,*,#210841,.T.); #292672=ORIENTED_EDGE('',*,*,#210843,.F.); #292673=ORIENTED_EDGE('',*,*,#210833,.F.); #292674=ORIENTED_EDGE('',*,*,#210843,.T.); #292675=ORIENTED_EDGE('',*,*,#210840,.T.); #292676=ORIENTED_EDGE('',*,*,#210837,.T.); #292677=ORIENTED_EDGE('',*,*,#210834,.T.); #292678=ORIENTED_EDGE('',*,*,#210842,.F.); #292679=ORIENTED_EDGE('',*,*,#210832,.F.); #292680=ORIENTED_EDGE('',*,*,#210836,.F.); #292681=ORIENTED_EDGE('',*,*,#210839,.F.); #292682=ORIENTED_EDGE('',*,*,#210844,.T.); #292683=ORIENTED_EDGE('',*,*,#210845,.T.); #292684=ORIENTED_EDGE('',*,*,#210846,.F.); #292685=ORIENTED_EDGE('',*,*,#210847,.F.); #292686=ORIENTED_EDGE('',*,*,#210848,.T.); #292687=ORIENTED_EDGE('',*,*,#210847,.T.); #292688=ORIENTED_EDGE('',*,*,#210849,.F.); #292689=ORIENTED_EDGE('',*,*,#210850,.F.); #292690=ORIENTED_EDGE('',*,*,#210851,.T.); #292691=ORIENTED_EDGE('',*,*,#210850,.T.); #292692=ORIENTED_EDGE('',*,*,#210852,.F.); #292693=ORIENTED_EDGE('',*,*,#210853,.F.); #292694=ORIENTED_EDGE('',*,*,#210854,.T.); #292695=ORIENTED_EDGE('',*,*,#210853,.T.); #292696=ORIENTED_EDGE('',*,*,#210855,.F.); #292697=ORIENTED_EDGE('',*,*,#210845,.F.); #292698=ORIENTED_EDGE('',*,*,#210855,.T.); #292699=ORIENTED_EDGE('',*,*,#210852,.T.); #292700=ORIENTED_EDGE('',*,*,#210849,.T.); #292701=ORIENTED_EDGE('',*,*,#210846,.T.); #292702=ORIENTED_EDGE('',*,*,#210854,.F.); #292703=ORIENTED_EDGE('',*,*,#210844,.F.); #292704=ORIENTED_EDGE('',*,*,#210848,.F.); #292705=ORIENTED_EDGE('',*,*,#210851,.F.); #292706=ORIENTED_EDGE('',*,*,#210856,.T.); #292707=ORIENTED_EDGE('',*,*,#210857,.T.); #292708=ORIENTED_EDGE('',*,*,#210858,.F.); #292709=ORIENTED_EDGE('',*,*,#210859,.F.); #292710=ORIENTED_EDGE('',*,*,#210860,.T.); #292711=ORIENTED_EDGE('',*,*,#210859,.T.); #292712=ORIENTED_EDGE('',*,*,#210861,.F.); #292713=ORIENTED_EDGE('',*,*,#210862,.F.); #292714=ORIENTED_EDGE('',*,*,#210863,.T.); #292715=ORIENTED_EDGE('',*,*,#210862,.T.); #292716=ORIENTED_EDGE('',*,*,#210864,.F.); #292717=ORIENTED_EDGE('',*,*,#210865,.F.); #292718=ORIENTED_EDGE('',*,*,#210866,.T.); #292719=ORIENTED_EDGE('',*,*,#210865,.T.); #292720=ORIENTED_EDGE('',*,*,#210867,.F.); #292721=ORIENTED_EDGE('',*,*,#210857,.F.); #292722=ORIENTED_EDGE('',*,*,#210867,.T.); #292723=ORIENTED_EDGE('',*,*,#210864,.T.); #292724=ORIENTED_EDGE('',*,*,#210861,.T.); #292725=ORIENTED_EDGE('',*,*,#210858,.T.); #292726=ORIENTED_EDGE('',*,*,#210866,.F.); #292727=ORIENTED_EDGE('',*,*,#210856,.F.); #292728=ORIENTED_EDGE('',*,*,#210860,.F.); #292729=ORIENTED_EDGE('',*,*,#210863,.F.); #292730=ORIENTED_EDGE('',*,*,#210868,.T.); #292731=ORIENTED_EDGE('',*,*,#210869,.T.); #292732=ORIENTED_EDGE('',*,*,#210870,.F.); #292733=ORIENTED_EDGE('',*,*,#210871,.F.); #292734=ORIENTED_EDGE('',*,*,#210872,.T.); #292735=ORIENTED_EDGE('',*,*,#210871,.T.); #292736=ORIENTED_EDGE('',*,*,#210873,.F.); #292737=ORIENTED_EDGE('',*,*,#210874,.F.); #292738=ORIENTED_EDGE('',*,*,#210875,.T.); #292739=ORIENTED_EDGE('',*,*,#210874,.T.); #292740=ORIENTED_EDGE('',*,*,#210876,.F.); #292741=ORIENTED_EDGE('',*,*,#210877,.F.); #292742=ORIENTED_EDGE('',*,*,#210878,.T.); #292743=ORIENTED_EDGE('',*,*,#210877,.T.); #292744=ORIENTED_EDGE('',*,*,#210879,.F.); #292745=ORIENTED_EDGE('',*,*,#210869,.F.); #292746=ORIENTED_EDGE('',*,*,#210879,.T.); #292747=ORIENTED_EDGE('',*,*,#210876,.T.); #292748=ORIENTED_EDGE('',*,*,#210873,.T.); #292749=ORIENTED_EDGE('',*,*,#210870,.T.); #292750=ORIENTED_EDGE('',*,*,#210878,.F.); #292751=ORIENTED_EDGE('',*,*,#210868,.F.); #292752=ORIENTED_EDGE('',*,*,#210872,.F.); #292753=ORIENTED_EDGE('',*,*,#210875,.F.); #292754=ORIENTED_EDGE('',*,*,#210880,.T.); #292755=ORIENTED_EDGE('',*,*,#210881,.T.); #292756=ORIENTED_EDGE('',*,*,#210882,.F.); #292757=ORIENTED_EDGE('',*,*,#210883,.F.); #292758=ORIENTED_EDGE('',*,*,#210884,.T.); #292759=ORIENTED_EDGE('',*,*,#210883,.T.); #292760=ORIENTED_EDGE('',*,*,#210885,.F.); #292761=ORIENTED_EDGE('',*,*,#210886,.F.); #292762=ORIENTED_EDGE('',*,*,#210887,.T.); #292763=ORIENTED_EDGE('',*,*,#210886,.T.); #292764=ORIENTED_EDGE('',*,*,#210888,.F.); #292765=ORIENTED_EDGE('',*,*,#210889,.F.); #292766=ORIENTED_EDGE('',*,*,#210890,.T.); #292767=ORIENTED_EDGE('',*,*,#210889,.T.); #292768=ORIENTED_EDGE('',*,*,#210891,.F.); #292769=ORIENTED_EDGE('',*,*,#210881,.F.); #292770=ORIENTED_EDGE('',*,*,#210891,.T.); #292771=ORIENTED_EDGE('',*,*,#210888,.T.); #292772=ORIENTED_EDGE('',*,*,#210885,.T.); #292773=ORIENTED_EDGE('',*,*,#210882,.T.); #292774=ORIENTED_EDGE('',*,*,#210890,.F.); #292775=ORIENTED_EDGE('',*,*,#210880,.F.); #292776=ORIENTED_EDGE('',*,*,#210884,.F.); #292777=ORIENTED_EDGE('',*,*,#210887,.F.); #292778=ORIENTED_EDGE('',*,*,#210892,.T.); #292779=ORIENTED_EDGE('',*,*,#210893,.T.); #292780=ORIENTED_EDGE('',*,*,#210894,.F.); #292781=ORIENTED_EDGE('',*,*,#210895,.F.); #292782=ORIENTED_EDGE('',*,*,#210896,.T.); #292783=ORIENTED_EDGE('',*,*,#210895,.T.); #292784=ORIENTED_EDGE('',*,*,#210897,.F.); #292785=ORIENTED_EDGE('',*,*,#210898,.F.); #292786=ORIENTED_EDGE('',*,*,#210899,.T.); #292787=ORIENTED_EDGE('',*,*,#210898,.T.); #292788=ORIENTED_EDGE('',*,*,#210900,.F.); #292789=ORIENTED_EDGE('',*,*,#210901,.F.); #292790=ORIENTED_EDGE('',*,*,#210902,.T.); #292791=ORIENTED_EDGE('',*,*,#210901,.T.); #292792=ORIENTED_EDGE('',*,*,#210903,.F.); #292793=ORIENTED_EDGE('',*,*,#210893,.F.); #292794=ORIENTED_EDGE('',*,*,#210903,.T.); #292795=ORIENTED_EDGE('',*,*,#210900,.T.); #292796=ORIENTED_EDGE('',*,*,#210897,.T.); #292797=ORIENTED_EDGE('',*,*,#210894,.T.); #292798=ORIENTED_EDGE('',*,*,#210902,.F.); #292799=ORIENTED_EDGE('',*,*,#210892,.F.); #292800=ORIENTED_EDGE('',*,*,#210896,.F.); #292801=ORIENTED_EDGE('',*,*,#210899,.F.); #292802=ORIENTED_EDGE('',*,*,#210904,.F.); #292803=ORIENTED_EDGE('',*,*,#210905,.T.); #292804=ORIENTED_EDGE('',*,*,#210906,.F.); #292805=ORIENTED_EDGE('',*,*,#210905,.F.); #292806=ORIENTED_EDGE('',*,*,#210907,.T.); #292807=ORIENTED_EDGE('',*,*,#210908,.T.); #292808=ORIENTED_EDGE('',*,*,#210909,.F.); #292809=ORIENTED_EDGE('',*,*,#210910,.F.); #292810=ORIENTED_EDGE('',*,*,#210911,.T.); #292811=ORIENTED_EDGE('',*,*,#210910,.T.); #292812=ORIENTED_EDGE('',*,*,#210912,.F.); #292813=ORIENTED_EDGE('',*,*,#210913,.F.); #292814=ORIENTED_EDGE('',*,*,#210914,.T.); #292815=ORIENTED_EDGE('',*,*,#210913,.T.); #292816=ORIENTED_EDGE('',*,*,#210915,.F.); #292817=ORIENTED_EDGE('',*,*,#210916,.F.); #292818=ORIENTED_EDGE('',*,*,#210917,.T.); #292819=ORIENTED_EDGE('',*,*,#210916,.T.); #292820=ORIENTED_EDGE('',*,*,#210918,.F.); #292821=ORIENTED_EDGE('',*,*,#210908,.F.); #292822=ORIENTED_EDGE('',*,*,#210918,.T.); #292823=ORIENTED_EDGE('',*,*,#210915,.T.); #292824=ORIENTED_EDGE('',*,*,#210912,.T.); #292825=ORIENTED_EDGE('',*,*,#210909,.T.); #292826=ORIENTED_EDGE('',*,*,#210906,.T.); #292827=ORIENTED_EDGE('',*,*,#210917,.F.); #292828=ORIENTED_EDGE('',*,*,#210907,.F.); #292829=ORIENTED_EDGE('',*,*,#210911,.F.); #292830=ORIENTED_EDGE('',*,*,#210914,.F.); #292831=ORIENTED_EDGE('',*,*,#210904,.T.); #292832=ORIENTED_EDGE('',*,*,#210919,.F.); #292833=ORIENTED_EDGE('',*,*,#210920,.T.); #292834=ORIENTED_EDGE('',*,*,#210921,.F.); #292835=ORIENTED_EDGE('',*,*,#210920,.F.); #292836=ORIENTED_EDGE('',*,*,#210922,.F.); #292837=ORIENTED_EDGE('',*,*,#210923,.T.); #292838=ORIENTED_EDGE('',*,*,#210924,.F.); #292839=ORIENTED_EDGE('',*,*,#210923,.F.); #292840=ORIENTED_EDGE('',*,*,#210925,.T.); #292841=ORIENTED_EDGE('',*,*,#210926,.T.); #292842=ORIENTED_EDGE('',*,*,#210927,.F.); #292843=ORIENTED_EDGE('',*,*,#210928,.F.); #292844=ORIENTED_EDGE('',*,*,#210929,.T.); #292845=ORIENTED_EDGE('',*,*,#210928,.T.); #292846=ORIENTED_EDGE('',*,*,#210930,.F.); #292847=ORIENTED_EDGE('',*,*,#210931,.F.); #292848=ORIENTED_EDGE('',*,*,#210932,.T.); #292849=ORIENTED_EDGE('',*,*,#210931,.T.); #292850=ORIENTED_EDGE('',*,*,#210933,.F.); #292851=ORIENTED_EDGE('',*,*,#210934,.F.); #292852=ORIENTED_EDGE('',*,*,#210935,.T.); #292853=ORIENTED_EDGE('',*,*,#210934,.T.); #292854=ORIENTED_EDGE('',*,*,#210936,.F.); #292855=ORIENTED_EDGE('',*,*,#210926,.F.); #292856=ORIENTED_EDGE('',*,*,#210936,.T.); #292857=ORIENTED_EDGE('',*,*,#210933,.T.); #292858=ORIENTED_EDGE('',*,*,#210930,.T.); #292859=ORIENTED_EDGE('',*,*,#210927,.T.); #292860=ORIENTED_EDGE('',*,*,#210921,.T.); #292861=ORIENTED_EDGE('',*,*,#210924,.T.); #292862=ORIENTED_EDGE('',*,*,#210935,.F.); #292863=ORIENTED_EDGE('',*,*,#210925,.F.); #292864=ORIENTED_EDGE('',*,*,#210929,.F.); #292865=ORIENTED_EDGE('',*,*,#210932,.F.); #292866=ORIENTED_EDGE('',*,*,#210919,.T.); #292867=ORIENTED_EDGE('',*,*,#210922,.T.); #292868=ORIENTED_EDGE('',*,*,#210937,.F.); #292869=ORIENTED_EDGE('',*,*,#210938,.T.); #292870=ORIENTED_EDGE('',*,*,#210939,.F.); #292871=ORIENTED_EDGE('',*,*,#210938,.F.); #292872=ORIENTED_EDGE('',*,*,#210940,.F.); #292873=ORIENTED_EDGE('',*,*,#210941,.T.); #292874=ORIENTED_EDGE('',*,*,#210942,.F.); #292875=ORIENTED_EDGE('',*,*,#210941,.F.); #292876=ORIENTED_EDGE('',*,*,#210943,.T.); #292877=ORIENTED_EDGE('',*,*,#210944,.T.); #292878=ORIENTED_EDGE('',*,*,#210945,.F.); #292879=ORIENTED_EDGE('',*,*,#210946,.F.); #292880=ORIENTED_EDGE('',*,*,#210947,.T.); #292881=ORIENTED_EDGE('',*,*,#210946,.T.); #292882=ORIENTED_EDGE('',*,*,#210948,.F.); #292883=ORIENTED_EDGE('',*,*,#210949,.F.); #292884=ORIENTED_EDGE('',*,*,#210950,.T.); #292885=ORIENTED_EDGE('',*,*,#210949,.T.); #292886=ORIENTED_EDGE('',*,*,#210951,.F.); #292887=ORIENTED_EDGE('',*,*,#210952,.F.); #292888=ORIENTED_EDGE('',*,*,#210953,.T.); #292889=ORIENTED_EDGE('',*,*,#210952,.T.); #292890=ORIENTED_EDGE('',*,*,#210954,.F.); #292891=ORIENTED_EDGE('',*,*,#210944,.F.); #292892=ORIENTED_EDGE('',*,*,#210954,.T.); #292893=ORIENTED_EDGE('',*,*,#210951,.T.); #292894=ORIENTED_EDGE('',*,*,#210948,.T.); #292895=ORIENTED_EDGE('',*,*,#210945,.T.); #292896=ORIENTED_EDGE('',*,*,#210939,.T.); #292897=ORIENTED_EDGE('',*,*,#210942,.T.); #292898=ORIENTED_EDGE('',*,*,#210953,.F.); #292899=ORIENTED_EDGE('',*,*,#210943,.F.); #292900=ORIENTED_EDGE('',*,*,#210947,.F.); #292901=ORIENTED_EDGE('',*,*,#210950,.F.); #292902=ORIENTED_EDGE('',*,*,#210937,.T.); #292903=ORIENTED_EDGE('',*,*,#210940,.T.); #292904=ORIENTED_EDGE('',*,*,#210955,.F.); #292905=ORIENTED_EDGE('',*,*,#210956,.T.); #292906=ORIENTED_EDGE('',*,*,#210957,.F.); #292907=ORIENTED_EDGE('',*,*,#210956,.F.); #292908=ORIENTED_EDGE('',*,*,#210958,.T.); #292909=ORIENTED_EDGE('',*,*,#210959,.T.); #292910=ORIENTED_EDGE('',*,*,#210960,.F.); #292911=ORIENTED_EDGE('',*,*,#210961,.F.); #292912=ORIENTED_EDGE('',*,*,#210962,.T.); #292913=ORIENTED_EDGE('',*,*,#210961,.T.); #292914=ORIENTED_EDGE('',*,*,#210963,.F.); #292915=ORIENTED_EDGE('',*,*,#210964,.F.); #292916=ORIENTED_EDGE('',*,*,#210965,.T.); #292917=ORIENTED_EDGE('',*,*,#210964,.T.); #292918=ORIENTED_EDGE('',*,*,#210966,.F.); #292919=ORIENTED_EDGE('',*,*,#210967,.F.); #292920=ORIENTED_EDGE('',*,*,#210968,.T.); #292921=ORIENTED_EDGE('',*,*,#210967,.T.); #292922=ORIENTED_EDGE('',*,*,#210969,.F.); #292923=ORIENTED_EDGE('',*,*,#210959,.F.); #292924=ORIENTED_EDGE('',*,*,#210969,.T.); #292925=ORIENTED_EDGE('',*,*,#210966,.T.); #292926=ORIENTED_EDGE('',*,*,#210963,.T.); #292927=ORIENTED_EDGE('',*,*,#210960,.T.); #292928=ORIENTED_EDGE('',*,*,#210957,.T.); #292929=ORIENTED_EDGE('',*,*,#210968,.F.); #292930=ORIENTED_EDGE('',*,*,#210958,.F.); #292931=ORIENTED_EDGE('',*,*,#210962,.F.); #292932=ORIENTED_EDGE('',*,*,#210965,.F.); #292933=ORIENTED_EDGE('',*,*,#210955,.T.); #292934=ORIENTED_EDGE('',*,*,#210970,.T.); #292935=ORIENTED_EDGE('',*,*,#210971,.T.); #292936=ORIENTED_EDGE('',*,*,#210972,.F.); #292937=ORIENTED_EDGE('',*,*,#210973,.F.); #292938=ORIENTED_EDGE('',*,*,#210974,.T.); #292939=ORIENTED_EDGE('',*,*,#210973,.T.); #292940=ORIENTED_EDGE('',*,*,#210975,.F.); #292941=ORIENTED_EDGE('',*,*,#210976,.F.); #292942=ORIENTED_EDGE('',*,*,#210977,.T.); #292943=ORIENTED_EDGE('',*,*,#210976,.T.); #292944=ORIENTED_EDGE('',*,*,#210978,.F.); #292945=ORIENTED_EDGE('',*,*,#210979,.F.); #292946=ORIENTED_EDGE('',*,*,#210980,.T.); #292947=ORIENTED_EDGE('',*,*,#210979,.T.); #292948=ORIENTED_EDGE('',*,*,#210981,.F.); #292949=ORIENTED_EDGE('',*,*,#210971,.F.); #292950=ORIENTED_EDGE('',*,*,#210981,.T.); #292951=ORIENTED_EDGE('',*,*,#210978,.T.); #292952=ORIENTED_EDGE('',*,*,#210975,.T.); #292953=ORIENTED_EDGE('',*,*,#210972,.T.); #292954=ORIENTED_EDGE('',*,*,#210980,.F.); #292955=ORIENTED_EDGE('',*,*,#210970,.F.); #292956=ORIENTED_EDGE('',*,*,#210974,.F.); #292957=ORIENTED_EDGE('',*,*,#210977,.F.); #292958=ORIENTED_EDGE('',*,*,#210982,.F.); #292959=ORIENTED_EDGE('',*,*,#210983,.T.); #292960=ORIENTED_EDGE('',*,*,#210984,.F.); #292961=ORIENTED_EDGE('',*,*,#210983,.F.); #292962=ORIENTED_EDGE('',*,*,#210985,.F.); #292963=ORIENTED_EDGE('',*,*,#210986,.T.); #292964=ORIENTED_EDGE('',*,*,#210987,.F.); #292965=ORIENTED_EDGE('',*,*,#210986,.F.); #292966=ORIENTED_EDGE('',*,*,#210988,.F.); #292967=ORIENTED_EDGE('',*,*,#210989,.T.); #292968=ORIENTED_EDGE('',*,*,#210990,.F.); #292969=ORIENTED_EDGE('',*,*,#210989,.F.); #292970=ORIENTED_EDGE('',*,*,#210991,.F.); #292971=ORIENTED_EDGE('',*,*,#210992,.T.); #292972=ORIENTED_EDGE('',*,*,#210993,.F.); #292973=ORIENTED_EDGE('',*,*,#210992,.F.); #292974=ORIENTED_EDGE('',*,*,#210994,.F.); #292975=ORIENTED_EDGE('',*,*,#210995,.T.); #292976=ORIENTED_EDGE('',*,*,#210996,.F.); #292977=ORIENTED_EDGE('',*,*,#210995,.F.); #292978=ORIENTED_EDGE('',*,*,#210997,.F.); #292979=ORIENTED_EDGE('',*,*,#210998,.T.); #292980=ORIENTED_EDGE('',*,*,#210999,.F.); #292981=ORIENTED_EDGE('',*,*,#210998,.F.); #292982=ORIENTED_EDGE('',*,*,#211000,.F.); #292983=ORIENTED_EDGE('',*,*,#211001,.T.); #292984=ORIENTED_EDGE('',*,*,#211002,.F.); #292985=ORIENTED_EDGE('',*,*,#211001,.F.); #292986=ORIENTED_EDGE('',*,*,#211003,.F.); #292987=ORIENTED_EDGE('',*,*,#211004,.T.); #292988=ORIENTED_EDGE('',*,*,#211005,.F.); #292989=ORIENTED_EDGE('',*,*,#211004,.F.); #292990=ORIENTED_EDGE('',*,*,#211006,.F.); #292991=ORIENTED_EDGE('',*,*,#211007,.T.); #292992=ORIENTED_EDGE('',*,*,#211008,.F.); #292993=ORIENTED_EDGE('',*,*,#211007,.F.); #292994=ORIENTED_EDGE('',*,*,#211009,.F.); #292995=ORIENTED_EDGE('',*,*,#211010,.T.); #292996=ORIENTED_EDGE('',*,*,#211011,.F.); #292997=ORIENTED_EDGE('',*,*,#211010,.F.); #292998=ORIENTED_EDGE('',*,*,#211012,.F.); #292999=ORIENTED_EDGE('',*,*,#211013,.T.); #293000=ORIENTED_EDGE('',*,*,#211014,.F.); #293001=ORIENTED_EDGE('',*,*,#211013,.F.); #293002=ORIENTED_EDGE('',*,*,#211015,.F.); #293003=ORIENTED_EDGE('',*,*,#211016,.T.); #293004=ORIENTED_EDGE('',*,*,#211017,.F.); #293005=ORIENTED_EDGE('',*,*,#211016,.F.); #293006=ORIENTED_EDGE('',*,*,#211018,.F.); #293007=ORIENTED_EDGE('',*,*,#211019,.T.); #293008=ORIENTED_EDGE('',*,*,#211020,.F.); #293009=ORIENTED_EDGE('',*,*,#211019,.F.); #293010=ORIENTED_EDGE('',*,*,#211021,.F.); #293011=ORIENTED_EDGE('',*,*,#211022,.T.); #293012=ORIENTED_EDGE('',*,*,#211023,.F.); #293013=ORIENTED_EDGE('',*,*,#211022,.F.); #293014=ORIENTED_EDGE('',*,*,#211024,.F.); #293015=ORIENTED_EDGE('',*,*,#211025,.T.); #293016=ORIENTED_EDGE('',*,*,#211026,.F.); #293017=ORIENTED_EDGE('',*,*,#211025,.F.); #293018=ORIENTED_EDGE('',*,*,#211027,.F.); #293019=ORIENTED_EDGE('',*,*,#211028,.T.); #293020=ORIENTED_EDGE('',*,*,#211029,.F.); #293021=ORIENTED_EDGE('',*,*,#211028,.F.); #293022=ORIENTED_EDGE('',*,*,#211030,.F.); #293023=ORIENTED_EDGE('',*,*,#211031,.T.); #293024=ORIENTED_EDGE('',*,*,#211032,.F.); #293025=ORIENTED_EDGE('',*,*,#211031,.F.); #293026=ORIENTED_EDGE('',*,*,#211033,.F.); #293027=ORIENTED_EDGE('',*,*,#211034,.T.); #293028=ORIENTED_EDGE('',*,*,#211035,.F.); #293029=ORIENTED_EDGE('',*,*,#211034,.F.); #293030=ORIENTED_EDGE('',*,*,#211036,.F.); #293031=ORIENTED_EDGE('',*,*,#211037,.T.); #293032=ORIENTED_EDGE('',*,*,#211038,.F.); #293033=ORIENTED_EDGE('',*,*,#211037,.F.); #293034=ORIENTED_EDGE('',*,*,#211039,.F.); #293035=ORIENTED_EDGE('',*,*,#211040,.T.); #293036=ORIENTED_EDGE('',*,*,#211041,.F.); #293037=ORIENTED_EDGE('',*,*,#211040,.F.); #293038=ORIENTED_EDGE('',*,*,#211042,.F.); #293039=ORIENTED_EDGE('',*,*,#211043,.T.); #293040=ORIENTED_EDGE('',*,*,#211044,.F.); #293041=ORIENTED_EDGE('',*,*,#211043,.F.); #293042=ORIENTED_EDGE('',*,*,#211045,.F.); #293043=ORIENTED_EDGE('',*,*,#211046,.T.); #293044=ORIENTED_EDGE('',*,*,#211047,.F.); #293045=ORIENTED_EDGE('',*,*,#211046,.F.); #293046=ORIENTED_EDGE('',*,*,#211048,.F.); #293047=ORIENTED_EDGE('',*,*,#211049,.T.); #293048=ORIENTED_EDGE('',*,*,#211050,.F.); #293049=ORIENTED_EDGE('',*,*,#211049,.F.); #293050=ORIENTED_EDGE('',*,*,#211051,.F.); #293051=ORIENTED_EDGE('',*,*,#211052,.T.); #293052=ORIENTED_EDGE('',*,*,#211053,.F.); #293053=ORIENTED_EDGE('',*,*,#211052,.F.); #293054=ORIENTED_EDGE('',*,*,#211054,.F.); #293055=ORIENTED_EDGE('',*,*,#211055,.T.); #293056=ORIENTED_EDGE('',*,*,#211056,.F.); #293057=ORIENTED_EDGE('',*,*,#211055,.F.); #293058=ORIENTED_EDGE('',*,*,#211057,.F.); #293059=ORIENTED_EDGE('',*,*,#211058,.T.); #293060=ORIENTED_EDGE('',*,*,#211059,.F.); #293061=ORIENTED_EDGE('',*,*,#211058,.F.); #293062=ORIENTED_EDGE('',*,*,#211060,.F.); #293063=ORIENTED_EDGE('',*,*,#211061,.T.); #293064=ORIENTED_EDGE('',*,*,#211062,.F.); #293065=ORIENTED_EDGE('',*,*,#211061,.F.); #293066=ORIENTED_EDGE('',*,*,#211063,.F.); #293067=ORIENTED_EDGE('',*,*,#211064,.T.); #293068=ORIENTED_EDGE('',*,*,#211065,.F.); #293069=ORIENTED_EDGE('',*,*,#211064,.F.); #293070=ORIENTED_EDGE('',*,*,#211066,.F.); #293071=ORIENTED_EDGE('',*,*,#211067,.T.); #293072=ORIENTED_EDGE('',*,*,#211068,.F.); #293073=ORIENTED_EDGE('',*,*,#211067,.F.); #293074=ORIENTED_EDGE('',*,*,#211069,.F.); #293075=ORIENTED_EDGE('',*,*,#211070,.T.); #293076=ORIENTED_EDGE('',*,*,#211071,.F.); #293077=ORIENTED_EDGE('',*,*,#211070,.F.); #293078=ORIENTED_EDGE('',*,*,#211072,.F.); #293079=ORIENTED_EDGE('',*,*,#211073,.T.); #293080=ORIENTED_EDGE('',*,*,#211074,.F.); #293081=ORIENTED_EDGE('',*,*,#211073,.F.); #293082=ORIENTED_EDGE('',*,*,#211075,.F.); #293083=ORIENTED_EDGE('',*,*,#211076,.T.); #293084=ORIENTED_EDGE('',*,*,#211077,.F.); #293085=ORIENTED_EDGE('',*,*,#211076,.F.); #293086=ORIENTED_EDGE('',*,*,#211078,.F.); #293087=ORIENTED_EDGE('',*,*,#211079,.T.); #293088=ORIENTED_EDGE('',*,*,#211080,.F.); #293089=ORIENTED_EDGE('',*,*,#211079,.F.); #293090=ORIENTED_EDGE('',*,*,#211081,.F.); #293091=ORIENTED_EDGE('',*,*,#211082,.T.); #293092=ORIENTED_EDGE('',*,*,#211083,.F.); #293093=ORIENTED_EDGE('',*,*,#211082,.F.); #293094=ORIENTED_EDGE('',*,*,#211084,.F.); #293095=ORIENTED_EDGE('',*,*,#211085,.T.); #293096=ORIENTED_EDGE('',*,*,#211086,.F.); #293097=ORIENTED_EDGE('',*,*,#211085,.F.); #293098=ORIENTED_EDGE('',*,*,#211087,.F.); #293099=ORIENTED_EDGE('',*,*,#211088,.T.); #293100=ORIENTED_EDGE('',*,*,#211089,.F.); #293101=ORIENTED_EDGE('',*,*,#211088,.F.); #293102=ORIENTED_EDGE('',*,*,#211090,.F.); #293103=ORIENTED_EDGE('',*,*,#211091,.T.); #293104=ORIENTED_EDGE('',*,*,#211092,.F.); #293105=ORIENTED_EDGE('',*,*,#211091,.F.); #293106=ORIENTED_EDGE('',*,*,#211093,.F.); #293107=ORIENTED_EDGE('',*,*,#211094,.T.); #293108=ORIENTED_EDGE('',*,*,#211095,.F.); #293109=ORIENTED_EDGE('',*,*,#211094,.F.); #293110=ORIENTED_EDGE('',*,*,#211096,.F.); #293111=ORIENTED_EDGE('',*,*,#211097,.T.); #293112=ORIENTED_EDGE('',*,*,#211098,.F.); #293113=ORIENTED_EDGE('',*,*,#211097,.F.); #293114=ORIENTED_EDGE('',*,*,#211099,.F.); #293115=ORIENTED_EDGE('',*,*,#211100,.F.); #293116=ORIENTED_EDGE('',*,*,#211101,.F.); #293117=ORIENTED_EDGE('',*,*,#211102,.T.); #293118=ORIENTED_EDGE('',*,*,#211103,.F.); #293119=ORIENTED_EDGE('',*,*,#211102,.F.); #293120=ORIENTED_EDGE('',*,*,#211104,.F.); #293121=ORIENTED_EDGE('',*,*,#211105,.T.); #293122=ORIENTED_EDGE('',*,*,#211106,.F.); #293123=ORIENTED_EDGE('',*,*,#211105,.F.); #293124=ORIENTED_EDGE('',*,*,#211107,.F.); #293125=ORIENTED_EDGE('',*,*,#211108,.T.); #293126=ORIENTED_EDGE('',*,*,#211109,.F.); #293127=ORIENTED_EDGE('',*,*,#211108,.F.); #293128=ORIENTED_EDGE('',*,*,#211110,.F.); #293129=ORIENTED_EDGE('',*,*,#211100,.T.); #293130=ORIENTED_EDGE('',*,*,#211111,.F.); #293131=ORIENTED_EDGE('',*,*,#211112,.T.); #293132=ORIENTED_EDGE('',*,*,#211113,.F.); #293133=ORIENTED_EDGE('',*,*,#211112,.F.); #293134=ORIENTED_EDGE('',*,*,#211114,.F.); #293135=ORIENTED_EDGE('',*,*,#211115,.F.); #293136=ORIENTED_EDGE('',*,*,#211116,.F.); #293137=ORIENTED_EDGE('',*,*,#211117,.T.); #293138=ORIENTED_EDGE('',*,*,#211118,.F.); #293139=ORIENTED_EDGE('',*,*,#211117,.F.); #293140=ORIENTED_EDGE('',*,*,#211119,.F.); #293141=ORIENTED_EDGE('',*,*,#211120,.T.); #293142=ORIENTED_EDGE('',*,*,#211121,.F.); #293143=ORIENTED_EDGE('',*,*,#211120,.F.); #293144=ORIENTED_EDGE('',*,*,#211122,.F.); #293145=ORIENTED_EDGE('',*,*,#211123,.T.); #293146=ORIENTED_EDGE('',*,*,#211124,.F.); #293147=ORIENTED_EDGE('',*,*,#211123,.F.); #293148=ORIENTED_EDGE('',*,*,#211125,.F.); #293149=ORIENTED_EDGE('',*,*,#211115,.T.); #293150=ORIENTED_EDGE('',*,*,#211126,.F.); #293151=ORIENTED_EDGE('',*,*,#211127,.T.); #293152=ORIENTED_EDGE('',*,*,#211128,.F.); #293153=ORIENTED_EDGE('',*,*,#211127,.F.); #293154=ORIENTED_EDGE('',*,*,#211129,.F.); #293155=ORIENTED_EDGE('',*,*,#211130,.T.); #293156=ORIENTED_EDGE('',*,*,#211131,.F.); #293157=ORIENTED_EDGE('',*,*,#211130,.F.); #293158=ORIENTED_EDGE('',*,*,#211132,.F.); #293159=ORIENTED_EDGE('',*,*,#211133,.T.); #293160=ORIENTED_EDGE('',*,*,#211134,.F.); #293161=ORIENTED_EDGE('',*,*,#211133,.F.); #293162=ORIENTED_EDGE('',*,*,#211135,.F.); #293163=ORIENTED_EDGE('',*,*,#211136,.T.); #293164=ORIENTED_EDGE('',*,*,#211137,.F.); #293165=ORIENTED_EDGE('',*,*,#211136,.F.); #293166=ORIENTED_EDGE('',*,*,#211138,.F.); #293167=ORIENTED_EDGE('',*,*,#211139,.T.); #293168=ORIENTED_EDGE('',*,*,#211140,.F.); #293169=ORIENTED_EDGE('',*,*,#211139,.F.); #293170=ORIENTED_EDGE('',*,*,#211141,.F.); #293171=ORIENTED_EDGE('',*,*,#211142,.T.); #293172=ORIENTED_EDGE('',*,*,#211143,.F.); #293173=ORIENTED_EDGE('',*,*,#211142,.F.); #293174=ORIENTED_EDGE('',*,*,#211144,.F.); #293175=ORIENTED_EDGE('',*,*,#211145,.T.); #293176=ORIENTED_EDGE('',*,*,#211146,.F.); #293177=ORIENTED_EDGE('',*,*,#211145,.F.); #293178=ORIENTED_EDGE('',*,*,#211147,.F.); #293179=ORIENTED_EDGE('',*,*,#211148,.T.); #293180=ORIENTED_EDGE('',*,*,#211149,.F.); #293181=ORIENTED_EDGE('',*,*,#211148,.F.); #293182=ORIENTED_EDGE('',*,*,#211150,.F.); #293183=ORIENTED_EDGE('',*,*,#211151,.T.); #293184=ORIENTED_EDGE('',*,*,#211152,.F.); #293185=ORIENTED_EDGE('',*,*,#211151,.F.); #293186=ORIENTED_EDGE('',*,*,#211153,.F.); #293187=ORIENTED_EDGE('',*,*,#211154,.T.); #293188=ORIENTED_EDGE('',*,*,#211155,.F.); #293189=ORIENTED_EDGE('',*,*,#211154,.F.); #293190=ORIENTED_EDGE('',*,*,#211156,.F.); #293191=ORIENTED_EDGE('',*,*,#211157,.T.); #293192=ORIENTED_EDGE('',*,*,#211158,.F.); #293193=ORIENTED_EDGE('',*,*,#211157,.F.); #293194=ORIENTED_EDGE('',*,*,#211159,.F.); #293195=ORIENTED_EDGE('',*,*,#211160,.F.); #293196=ORIENTED_EDGE('',*,*,#211161,.F.); #293197=ORIENTED_EDGE('',*,*,#211162,.T.); #293198=ORIENTED_EDGE('',*,*,#211163,.F.); #293199=ORIENTED_EDGE('',*,*,#211162,.F.); #293200=ORIENTED_EDGE('',*,*,#211164,.F.); #293201=ORIENTED_EDGE('',*,*,#211165,.T.); #293202=ORIENTED_EDGE('',*,*,#211166,.F.); #293203=ORIENTED_EDGE('',*,*,#211165,.F.); #293204=ORIENTED_EDGE('',*,*,#211167,.F.); #293205=ORIENTED_EDGE('',*,*,#211168,.T.); #293206=ORIENTED_EDGE('',*,*,#211169,.F.); #293207=ORIENTED_EDGE('',*,*,#211168,.F.); #293208=ORIENTED_EDGE('',*,*,#211170,.F.); #293209=ORIENTED_EDGE('',*,*,#211160,.T.); #293210=ORIENTED_EDGE('',*,*,#211171,.F.); #293211=ORIENTED_EDGE('',*,*,#211172,.T.); #293212=ORIENTED_EDGE('',*,*,#211173,.F.); #293213=ORIENTED_EDGE('',*,*,#211172,.F.); #293214=ORIENTED_EDGE('',*,*,#211174,.F.); #293215=ORIENTED_EDGE('',*,*,#211175,.F.); #293216=ORIENTED_EDGE('',*,*,#211176,.F.); #293217=ORIENTED_EDGE('',*,*,#211177,.T.); #293218=ORIENTED_EDGE('',*,*,#211178,.F.); #293219=ORIENTED_EDGE('',*,*,#211177,.F.); #293220=ORIENTED_EDGE('',*,*,#211179,.F.); #293221=ORIENTED_EDGE('',*,*,#211180,.T.); #293222=ORIENTED_EDGE('',*,*,#211181,.F.); #293223=ORIENTED_EDGE('',*,*,#211180,.F.); #293224=ORIENTED_EDGE('',*,*,#211182,.F.); #293225=ORIENTED_EDGE('',*,*,#211183,.T.); #293226=ORIENTED_EDGE('',*,*,#211184,.F.); #293227=ORIENTED_EDGE('',*,*,#211183,.F.); #293228=ORIENTED_EDGE('',*,*,#211185,.F.); #293229=ORIENTED_EDGE('',*,*,#211175,.T.); #293230=ORIENTED_EDGE('',*,*,#211186,.F.); #293231=ORIENTED_EDGE('',*,*,#211187,.T.); #293232=ORIENTED_EDGE('',*,*,#211188,.F.); #293233=ORIENTED_EDGE('',*,*,#211187,.F.); #293234=ORIENTED_EDGE('',*,*,#211189,.F.); #293235=ORIENTED_EDGE('',*,*,#211190,.F.); #293236=ORIENTED_EDGE('',*,*,#211191,.F.); #293237=ORIENTED_EDGE('',*,*,#211192,.T.); #293238=ORIENTED_EDGE('',*,*,#211193,.F.); #293239=ORIENTED_EDGE('',*,*,#211192,.F.); #293240=ORIENTED_EDGE('',*,*,#211194,.F.); #293241=ORIENTED_EDGE('',*,*,#211195,.T.); #293242=ORIENTED_EDGE('',*,*,#211196,.F.); #293243=ORIENTED_EDGE('',*,*,#211195,.F.); #293244=ORIENTED_EDGE('',*,*,#211197,.F.); #293245=ORIENTED_EDGE('',*,*,#211198,.T.); #293246=ORIENTED_EDGE('',*,*,#211199,.F.); #293247=ORIENTED_EDGE('',*,*,#211198,.F.); #293248=ORIENTED_EDGE('',*,*,#211200,.F.); #293249=ORIENTED_EDGE('',*,*,#211190,.T.); #293250=ORIENTED_EDGE('',*,*,#211201,.F.); #293251=ORIENTED_EDGE('',*,*,#211202,.T.); #293252=ORIENTED_EDGE('',*,*,#211203,.F.); #293253=ORIENTED_EDGE('',*,*,#211202,.F.); #293254=ORIENTED_EDGE('',*,*,#211204,.F.); #293255=ORIENTED_EDGE('',*,*,#211205,.F.); #293256=ORIENTED_EDGE('',*,*,#211206,.F.); #293257=ORIENTED_EDGE('',*,*,#211207,.T.); #293258=ORIENTED_EDGE('',*,*,#211208,.F.); #293259=ORIENTED_EDGE('',*,*,#211207,.F.); #293260=ORIENTED_EDGE('',*,*,#211209,.F.); #293261=ORIENTED_EDGE('',*,*,#211210,.T.); #293262=ORIENTED_EDGE('',*,*,#211211,.F.); #293263=ORIENTED_EDGE('',*,*,#211210,.F.); #293264=ORIENTED_EDGE('',*,*,#211212,.F.); #293265=ORIENTED_EDGE('',*,*,#211213,.T.); #293266=ORIENTED_EDGE('',*,*,#211214,.F.); #293267=ORIENTED_EDGE('',*,*,#211213,.F.); #293268=ORIENTED_EDGE('',*,*,#211215,.F.); #293269=ORIENTED_EDGE('',*,*,#211205,.T.); #293270=ORIENTED_EDGE('',*,*,#211216,.F.); #293271=ORIENTED_EDGE('',*,*,#211217,.T.); #293272=ORIENTED_EDGE('',*,*,#211218,.F.); #293273=ORIENTED_EDGE('',*,*,#211217,.F.); #293274=ORIENTED_EDGE('',*,*,#211219,.F.); #293275=ORIENTED_EDGE('',*,*,#211220,.T.); #293276=ORIENTED_EDGE('',*,*,#211221,.F.); #293277=ORIENTED_EDGE('',*,*,#211220,.F.); #293278=ORIENTED_EDGE('',*,*,#211222,.F.); #293279=ORIENTED_EDGE('',*,*,#211223,.T.); #293280=ORIENTED_EDGE('',*,*,#211224,.F.); #293281=ORIENTED_EDGE('',*,*,#211223,.F.); #293282=ORIENTED_EDGE('',*,*,#211225,.F.); #293283=ORIENTED_EDGE('',*,*,#211226,.T.); #293284=ORIENTED_EDGE('',*,*,#211227,.F.); #293285=ORIENTED_EDGE('',*,*,#211226,.F.); #293286=ORIENTED_EDGE('',*,*,#211228,.F.); #293287=ORIENTED_EDGE('',*,*,#211229,.T.); #293288=ORIENTED_EDGE('',*,*,#211230,.F.); #293289=ORIENTED_EDGE('',*,*,#211229,.F.); #293290=ORIENTED_EDGE('',*,*,#211231,.F.); #293291=ORIENTED_EDGE('',*,*,#211232,.T.); #293292=ORIENTED_EDGE('',*,*,#211233,.F.); #293293=ORIENTED_EDGE('',*,*,#211232,.F.); #293294=ORIENTED_EDGE('',*,*,#211234,.F.); #293295=ORIENTED_EDGE('',*,*,#211235,.T.); #293296=ORIENTED_EDGE('',*,*,#211236,.F.); #293297=ORIENTED_EDGE('',*,*,#211235,.F.); #293298=ORIENTED_EDGE('',*,*,#211237,.F.); #293299=ORIENTED_EDGE('',*,*,#211238,.T.); #293300=ORIENTED_EDGE('',*,*,#211239,.F.); #293301=ORIENTED_EDGE('',*,*,#211238,.F.); #293302=ORIENTED_EDGE('',*,*,#211240,.F.); #293303=ORIENTED_EDGE('',*,*,#211241,.T.); #293304=ORIENTED_EDGE('',*,*,#211242,.F.); #293305=ORIENTED_EDGE('',*,*,#211241,.F.); #293306=ORIENTED_EDGE('',*,*,#211243,.F.); #293307=ORIENTED_EDGE('',*,*,#211244,.T.); #293308=ORIENTED_EDGE('',*,*,#211245,.F.); #293309=ORIENTED_EDGE('',*,*,#211244,.F.); #293310=ORIENTED_EDGE('',*,*,#211246,.F.); #293311=ORIENTED_EDGE('',*,*,#211247,.T.); #293312=ORIENTED_EDGE('',*,*,#211248,.F.); #293313=ORIENTED_EDGE('',*,*,#211247,.F.); #293314=ORIENTED_EDGE('',*,*,#211249,.F.); #293315=ORIENTED_EDGE('',*,*,#211250,.T.); #293316=ORIENTED_EDGE('',*,*,#211251,.F.); #293317=ORIENTED_EDGE('',*,*,#211250,.F.); #293318=ORIENTED_EDGE('',*,*,#211252,.F.); #293319=ORIENTED_EDGE('',*,*,#211253,.T.); #293320=ORIENTED_EDGE('',*,*,#211254,.F.); #293321=ORIENTED_EDGE('',*,*,#211253,.F.); #293322=ORIENTED_EDGE('',*,*,#211255,.F.); #293323=ORIENTED_EDGE('',*,*,#211256,.T.); #293324=ORIENTED_EDGE('',*,*,#211257,.F.); #293325=ORIENTED_EDGE('',*,*,#211256,.F.); #293326=ORIENTED_EDGE('',*,*,#211258,.F.); #293327=ORIENTED_EDGE('',*,*,#211259,.T.); #293328=ORIENTED_EDGE('',*,*,#211260,.F.); #293329=ORIENTED_EDGE('',*,*,#211259,.F.); #293330=ORIENTED_EDGE('',*,*,#211261,.F.); #293331=ORIENTED_EDGE('',*,*,#211262,.T.); #293332=ORIENTED_EDGE('',*,*,#211263,.F.); #293333=ORIENTED_EDGE('',*,*,#211262,.F.); #293334=ORIENTED_EDGE('',*,*,#211264,.F.); #293335=ORIENTED_EDGE('',*,*,#211265,.T.); #293336=ORIENTED_EDGE('',*,*,#211266,.F.); #293337=ORIENTED_EDGE('',*,*,#211265,.F.); #293338=ORIENTED_EDGE('',*,*,#211267,.F.); #293339=ORIENTED_EDGE('',*,*,#211268,.T.); #293340=ORIENTED_EDGE('',*,*,#211269,.F.); #293341=ORIENTED_EDGE('',*,*,#211268,.F.); #293342=ORIENTED_EDGE('',*,*,#211270,.F.); #293343=ORIENTED_EDGE('',*,*,#211271,.T.); #293344=ORIENTED_EDGE('',*,*,#211272,.F.); #293345=ORIENTED_EDGE('',*,*,#211271,.F.); #293346=ORIENTED_EDGE('',*,*,#211273,.F.); #293347=ORIENTED_EDGE('',*,*,#211274,.T.); #293348=ORIENTED_EDGE('',*,*,#211275,.F.); #293349=ORIENTED_EDGE('',*,*,#211274,.F.); #293350=ORIENTED_EDGE('',*,*,#211276,.F.); #293351=ORIENTED_EDGE('',*,*,#211277,.T.); #293352=ORIENTED_EDGE('',*,*,#211278,.F.); #293353=ORIENTED_EDGE('',*,*,#211277,.F.); #293354=ORIENTED_EDGE('',*,*,#211279,.F.); #293355=ORIENTED_EDGE('',*,*,#211280,.T.); #293356=ORIENTED_EDGE('',*,*,#211281,.F.); #293357=ORIENTED_EDGE('',*,*,#211280,.F.); #293358=ORIENTED_EDGE('',*,*,#211282,.F.); #293359=ORIENTED_EDGE('',*,*,#211283,.T.); #293360=ORIENTED_EDGE('',*,*,#211284,.F.); #293361=ORIENTED_EDGE('',*,*,#211283,.F.); #293362=ORIENTED_EDGE('',*,*,#211285,.F.); #293363=ORIENTED_EDGE('',*,*,#211286,.T.); #293364=ORIENTED_EDGE('',*,*,#211287,.F.); #293365=ORIENTED_EDGE('',*,*,#211286,.F.); #293366=ORIENTED_EDGE('',*,*,#211288,.F.); #293367=ORIENTED_EDGE('',*,*,#211289,.T.); #293368=ORIENTED_EDGE('',*,*,#211290,.F.); #293369=ORIENTED_EDGE('',*,*,#211289,.F.); #293370=ORIENTED_EDGE('',*,*,#211291,.F.); #293371=ORIENTED_EDGE('',*,*,#211292,.T.); #293372=ORIENTED_EDGE('',*,*,#211293,.F.); #293373=ORIENTED_EDGE('',*,*,#211292,.F.); #293374=ORIENTED_EDGE('',*,*,#211294,.F.); #293375=ORIENTED_EDGE('',*,*,#211295,.T.); #293376=ORIENTED_EDGE('',*,*,#211296,.F.); #293377=ORIENTED_EDGE('',*,*,#211295,.F.); #293378=ORIENTED_EDGE('',*,*,#211297,.F.); #293379=ORIENTED_EDGE('',*,*,#211298,.T.); #293380=ORIENTED_EDGE('',*,*,#211299,.F.); #293381=ORIENTED_EDGE('',*,*,#211298,.F.); #293382=ORIENTED_EDGE('',*,*,#211300,.F.); #293383=ORIENTED_EDGE('',*,*,#211301,.T.); #293384=ORIENTED_EDGE('',*,*,#211302,.F.); #293385=ORIENTED_EDGE('',*,*,#211301,.F.); #293386=ORIENTED_EDGE('',*,*,#211303,.F.); #293387=ORIENTED_EDGE('',*,*,#211304,.T.); #293388=ORIENTED_EDGE('',*,*,#211305,.F.); #293389=ORIENTED_EDGE('',*,*,#211304,.F.); #293390=ORIENTED_EDGE('',*,*,#211306,.F.); #293391=ORIENTED_EDGE('',*,*,#211307,.T.); #293392=ORIENTED_EDGE('',*,*,#211308,.F.); #293393=ORIENTED_EDGE('',*,*,#211307,.F.); #293394=ORIENTED_EDGE('',*,*,#211309,.F.); #293395=ORIENTED_EDGE('',*,*,#211310,.T.); #293396=ORIENTED_EDGE('',*,*,#211311,.F.); #293397=ORIENTED_EDGE('',*,*,#211310,.F.); #293398=ORIENTED_EDGE('',*,*,#211312,.F.); #293399=ORIENTED_EDGE('',*,*,#211313,.T.); #293400=ORIENTED_EDGE('',*,*,#211314,.F.); #293401=ORIENTED_EDGE('',*,*,#211313,.F.); #293402=ORIENTED_EDGE('',*,*,#211315,.F.); #293403=ORIENTED_EDGE('',*,*,#211316,.T.); #293404=ORIENTED_EDGE('',*,*,#211317,.F.); #293405=ORIENTED_EDGE('',*,*,#211316,.F.); #293406=ORIENTED_EDGE('',*,*,#211318,.F.); #293407=ORIENTED_EDGE('',*,*,#211319,.T.); #293408=ORIENTED_EDGE('',*,*,#211320,.F.); #293409=ORIENTED_EDGE('',*,*,#211319,.F.); #293410=ORIENTED_EDGE('',*,*,#211321,.F.); #293411=ORIENTED_EDGE('',*,*,#211322,.T.); #293412=ORIENTED_EDGE('',*,*,#211323,.F.); #293413=ORIENTED_EDGE('',*,*,#211322,.F.); #293414=ORIENTED_EDGE('',*,*,#211324,.F.); #293415=ORIENTED_EDGE('',*,*,#211325,.T.); #293416=ORIENTED_EDGE('',*,*,#211326,.F.); #293417=ORIENTED_EDGE('',*,*,#211325,.F.); #293418=ORIENTED_EDGE('',*,*,#211327,.F.); #293419=ORIENTED_EDGE('',*,*,#211328,.T.); #293420=ORIENTED_EDGE('',*,*,#211329,.F.); #293421=ORIENTED_EDGE('',*,*,#211328,.F.); #293422=ORIENTED_EDGE('',*,*,#211330,.F.); #293423=ORIENTED_EDGE('',*,*,#211331,.T.); #293424=ORIENTED_EDGE('',*,*,#211332,.F.); #293425=ORIENTED_EDGE('',*,*,#211331,.F.); #293426=ORIENTED_EDGE('',*,*,#211333,.F.); #293427=ORIENTED_EDGE('',*,*,#211334,.T.); #293428=ORIENTED_EDGE('',*,*,#211335,.F.); #293429=ORIENTED_EDGE('',*,*,#211334,.F.); #293430=ORIENTED_EDGE('',*,*,#211336,.F.); #293431=ORIENTED_EDGE('',*,*,#211337,.T.); #293432=ORIENTED_EDGE('',*,*,#211338,.F.); #293433=ORIENTED_EDGE('',*,*,#211337,.F.); #293434=ORIENTED_EDGE('',*,*,#211339,.F.); #293435=ORIENTED_EDGE('',*,*,#211340,.T.); #293436=ORIENTED_EDGE('',*,*,#211341,.F.); #293437=ORIENTED_EDGE('',*,*,#211340,.F.); #293438=ORIENTED_EDGE('',*,*,#211342,.F.); #293439=ORIENTED_EDGE('',*,*,#211343,.T.); #293440=ORIENTED_EDGE('',*,*,#211344,.F.); #293441=ORIENTED_EDGE('',*,*,#211343,.F.); #293442=ORIENTED_EDGE('',*,*,#211345,.F.); #293443=ORIENTED_EDGE('',*,*,#211346,.T.); #293444=ORIENTED_EDGE('',*,*,#211347,.F.); #293445=ORIENTED_EDGE('',*,*,#211346,.F.); #293446=ORIENTED_EDGE('',*,*,#211348,.F.); #293447=ORIENTED_EDGE('',*,*,#211349,.T.); #293448=ORIENTED_EDGE('',*,*,#211350,.F.); #293449=ORIENTED_EDGE('',*,*,#211349,.F.); #293450=ORIENTED_EDGE('',*,*,#211351,.F.); #293451=ORIENTED_EDGE('',*,*,#211352,.T.); #293452=ORIENTED_EDGE('',*,*,#211353,.F.); #293453=ORIENTED_EDGE('',*,*,#211352,.F.); #293454=ORIENTED_EDGE('',*,*,#211354,.F.); #293455=ORIENTED_EDGE('',*,*,#211355,.T.); #293456=ORIENTED_EDGE('',*,*,#211356,.F.); #293457=ORIENTED_EDGE('',*,*,#211355,.F.); #293458=ORIENTED_EDGE('',*,*,#211357,.F.); #293459=ORIENTED_EDGE('',*,*,#211358,.T.); #293460=ORIENTED_EDGE('',*,*,#211359,.F.); #293461=ORIENTED_EDGE('',*,*,#211358,.F.); #293462=ORIENTED_EDGE('',*,*,#211360,.F.); #293463=ORIENTED_EDGE('',*,*,#211361,.T.); #293464=ORIENTED_EDGE('',*,*,#211362,.F.); #293465=ORIENTED_EDGE('',*,*,#211361,.F.); #293466=ORIENTED_EDGE('',*,*,#211363,.F.); #293467=ORIENTED_EDGE('',*,*,#211364,.T.); #293468=ORIENTED_EDGE('',*,*,#211365,.F.); #293469=ORIENTED_EDGE('',*,*,#211364,.F.); #293470=ORIENTED_EDGE('',*,*,#211366,.F.); #293471=ORIENTED_EDGE('',*,*,#211367,.T.); #293472=ORIENTED_EDGE('',*,*,#211368,.F.); #293473=ORIENTED_EDGE('',*,*,#211367,.F.); #293474=ORIENTED_EDGE('',*,*,#211369,.F.); #293475=ORIENTED_EDGE('',*,*,#211370,.T.); #293476=ORIENTED_EDGE('',*,*,#211371,.F.); #293477=ORIENTED_EDGE('',*,*,#211370,.F.); #293478=ORIENTED_EDGE('',*,*,#211372,.F.); #293479=ORIENTED_EDGE('',*,*,#211373,.T.); #293480=ORIENTED_EDGE('',*,*,#211374,.F.); #293481=ORIENTED_EDGE('',*,*,#211373,.F.); #293482=ORIENTED_EDGE('',*,*,#211375,.F.); #293483=ORIENTED_EDGE('',*,*,#211376,.T.); #293484=ORIENTED_EDGE('',*,*,#211377,.F.); #293485=ORIENTED_EDGE('',*,*,#211376,.F.); #293486=ORIENTED_EDGE('',*,*,#211378,.F.); #293487=ORIENTED_EDGE('',*,*,#211379,.T.); #293488=ORIENTED_EDGE('',*,*,#211380,.F.); #293489=ORIENTED_EDGE('',*,*,#211379,.F.); #293490=ORIENTED_EDGE('',*,*,#211381,.F.); #293491=ORIENTED_EDGE('',*,*,#211382,.T.); #293492=ORIENTED_EDGE('',*,*,#211383,.F.); #293493=ORIENTED_EDGE('',*,*,#211382,.F.); #293494=ORIENTED_EDGE('',*,*,#211384,.F.); #293495=ORIENTED_EDGE('',*,*,#211385,.T.); #293496=ORIENTED_EDGE('',*,*,#211386,.F.); #293497=ORIENTED_EDGE('',*,*,#211385,.F.); #293498=ORIENTED_EDGE('',*,*,#211387,.F.); #293499=ORIENTED_EDGE('',*,*,#211388,.T.); #293500=ORIENTED_EDGE('',*,*,#211389,.F.); #293501=ORIENTED_EDGE('',*,*,#211388,.F.); #293502=ORIENTED_EDGE('',*,*,#211390,.F.); #293503=ORIENTED_EDGE('',*,*,#211391,.T.); #293504=ORIENTED_EDGE('',*,*,#211392,.F.); #293505=ORIENTED_EDGE('',*,*,#211391,.F.); #293506=ORIENTED_EDGE('',*,*,#211393,.F.); #293507=ORIENTED_EDGE('',*,*,#211394,.T.); #293508=ORIENTED_EDGE('',*,*,#211395,.F.); #293509=ORIENTED_EDGE('',*,*,#211394,.F.); #293510=ORIENTED_EDGE('',*,*,#211396,.F.); #293511=ORIENTED_EDGE('',*,*,#211397,.T.); #293512=ORIENTED_EDGE('',*,*,#211398,.F.); #293513=ORIENTED_EDGE('',*,*,#211397,.F.); #293514=ORIENTED_EDGE('',*,*,#211399,.F.); #293515=ORIENTED_EDGE('',*,*,#211400,.T.); #293516=ORIENTED_EDGE('',*,*,#211401,.F.); #293517=ORIENTED_EDGE('',*,*,#211400,.F.); #293518=ORIENTED_EDGE('',*,*,#211402,.F.); #293519=ORIENTED_EDGE('',*,*,#211403,.T.); #293520=ORIENTED_EDGE('',*,*,#211404,.F.); #293521=ORIENTED_EDGE('',*,*,#211403,.F.); #293522=ORIENTED_EDGE('',*,*,#211405,.F.); #293523=ORIENTED_EDGE('',*,*,#211406,.T.); #293524=ORIENTED_EDGE('',*,*,#211407,.F.); #293525=ORIENTED_EDGE('',*,*,#211406,.F.); #293526=ORIENTED_EDGE('',*,*,#211408,.F.); #293527=ORIENTED_EDGE('',*,*,#211409,.T.); #293528=ORIENTED_EDGE('',*,*,#211410,.F.); #293529=ORIENTED_EDGE('',*,*,#211409,.F.); #293530=ORIENTED_EDGE('',*,*,#211411,.F.); #293531=ORIENTED_EDGE('',*,*,#211412,.T.); #293532=ORIENTED_EDGE('',*,*,#211413,.F.); #293533=ORIENTED_EDGE('',*,*,#211412,.F.); #293534=ORIENTED_EDGE('',*,*,#211414,.F.); #293535=ORIENTED_EDGE('',*,*,#211415,.T.); #293536=ORIENTED_EDGE('',*,*,#211416,.F.); #293537=ORIENTED_EDGE('',*,*,#211415,.F.); #293538=ORIENTED_EDGE('',*,*,#211417,.F.); #293539=ORIENTED_EDGE('',*,*,#211418,.T.); #293540=ORIENTED_EDGE('',*,*,#211419,.F.); #293541=ORIENTED_EDGE('',*,*,#211418,.F.); #293542=ORIENTED_EDGE('',*,*,#211420,.F.); #293543=ORIENTED_EDGE('',*,*,#211421,.T.); #293544=ORIENTED_EDGE('',*,*,#211422,.F.); #293545=ORIENTED_EDGE('',*,*,#211421,.F.); #293546=ORIENTED_EDGE('',*,*,#211423,.F.); #293547=ORIENTED_EDGE('',*,*,#211424,.T.); #293548=ORIENTED_EDGE('',*,*,#211425,.F.); #293549=ORIENTED_EDGE('',*,*,#211424,.F.); #293550=ORIENTED_EDGE('',*,*,#211426,.F.); #293551=ORIENTED_EDGE('',*,*,#211427,.T.); #293552=ORIENTED_EDGE('',*,*,#211428,.F.); #293553=ORIENTED_EDGE('',*,*,#211427,.F.); #293554=ORIENTED_EDGE('',*,*,#211429,.F.); #293555=ORIENTED_EDGE('',*,*,#211430,.T.); #293556=ORIENTED_EDGE('',*,*,#211431,.F.); #293557=ORIENTED_EDGE('',*,*,#211430,.F.); #293558=ORIENTED_EDGE('',*,*,#211432,.F.); #293559=ORIENTED_EDGE('',*,*,#211433,.T.); #293560=ORIENTED_EDGE('',*,*,#211434,.F.); #293561=ORIENTED_EDGE('',*,*,#211433,.F.); #293562=ORIENTED_EDGE('',*,*,#211435,.F.); #293563=ORIENTED_EDGE('',*,*,#211436,.T.); #293564=ORIENTED_EDGE('',*,*,#211437,.F.); #293565=ORIENTED_EDGE('',*,*,#211436,.F.); #293566=ORIENTED_EDGE('',*,*,#211438,.F.); #293567=ORIENTED_EDGE('',*,*,#211439,.T.); #293568=ORIENTED_EDGE('',*,*,#211440,.F.); #293569=ORIENTED_EDGE('',*,*,#211439,.F.); #293570=ORIENTED_EDGE('',*,*,#211441,.F.); #293571=ORIENTED_EDGE('',*,*,#211442,.T.); #293572=ORIENTED_EDGE('',*,*,#211443,.F.); #293573=ORIENTED_EDGE('',*,*,#211442,.F.); #293574=ORIENTED_EDGE('',*,*,#211444,.F.); #293575=ORIENTED_EDGE('',*,*,#211445,.T.); #293576=ORIENTED_EDGE('',*,*,#211446,.F.); #293577=ORIENTED_EDGE('',*,*,#211445,.F.); #293578=ORIENTED_EDGE('',*,*,#211447,.F.); #293579=ORIENTED_EDGE('',*,*,#211448,.T.); #293580=ORIENTED_EDGE('',*,*,#211449,.F.); #293581=ORIENTED_EDGE('',*,*,#211448,.F.); #293582=ORIENTED_EDGE('',*,*,#211450,.F.); #293583=ORIENTED_EDGE('',*,*,#211451,.T.); #293584=ORIENTED_EDGE('',*,*,#211452,.F.); #293585=ORIENTED_EDGE('',*,*,#211451,.F.); #293586=ORIENTED_EDGE('',*,*,#211453,.F.); #293587=ORIENTED_EDGE('',*,*,#211454,.T.); #293588=ORIENTED_EDGE('',*,*,#211455,.F.); #293589=ORIENTED_EDGE('',*,*,#211454,.F.); #293590=ORIENTED_EDGE('',*,*,#211456,.F.); #293591=ORIENTED_EDGE('',*,*,#211457,.T.); #293592=ORIENTED_EDGE('',*,*,#211458,.F.); #293593=ORIENTED_EDGE('',*,*,#211457,.F.); #293594=ORIENTED_EDGE('',*,*,#211459,.F.); #293595=ORIENTED_EDGE('',*,*,#211460,.T.); #293596=ORIENTED_EDGE('',*,*,#211461,.F.); #293597=ORIENTED_EDGE('',*,*,#211460,.F.); #293598=ORIENTED_EDGE('',*,*,#211462,.F.); #293599=ORIENTED_EDGE('',*,*,#211463,.T.); #293600=ORIENTED_EDGE('',*,*,#211464,.F.); #293601=ORIENTED_EDGE('',*,*,#211463,.F.); #293602=ORIENTED_EDGE('',*,*,#211465,.F.); #293603=ORIENTED_EDGE('',*,*,#211466,.T.); #293604=ORIENTED_EDGE('',*,*,#211467,.F.); #293605=ORIENTED_EDGE('',*,*,#211466,.F.); #293606=ORIENTED_EDGE('',*,*,#211468,.F.); #293607=ORIENTED_EDGE('',*,*,#211469,.T.); #293608=ORIENTED_EDGE('',*,*,#211470,.F.); #293609=ORIENTED_EDGE('',*,*,#211469,.F.); #293610=ORIENTED_EDGE('',*,*,#211471,.F.); #293611=ORIENTED_EDGE('',*,*,#211472,.T.); #293612=ORIENTED_EDGE('',*,*,#211473,.F.); #293613=ORIENTED_EDGE('',*,*,#211472,.F.); #293614=ORIENTED_EDGE('',*,*,#211474,.F.); #293615=ORIENTED_EDGE('',*,*,#211475,.T.); #293616=ORIENTED_EDGE('',*,*,#211476,.F.); #293617=ORIENTED_EDGE('',*,*,#211475,.F.); #293618=ORIENTED_EDGE('',*,*,#211477,.F.); #293619=ORIENTED_EDGE('',*,*,#211478,.T.); #293620=ORIENTED_EDGE('',*,*,#211479,.F.); #293621=ORIENTED_EDGE('',*,*,#211478,.F.); #293622=ORIENTED_EDGE('',*,*,#211480,.F.); #293623=ORIENTED_EDGE('',*,*,#211481,.T.); #293624=ORIENTED_EDGE('',*,*,#211482,.F.); #293625=ORIENTED_EDGE('',*,*,#211481,.F.); #293626=ORIENTED_EDGE('',*,*,#211483,.F.); #293627=ORIENTED_EDGE('',*,*,#211484,.T.); #293628=ORIENTED_EDGE('',*,*,#211485,.F.); #293629=ORIENTED_EDGE('',*,*,#211484,.F.); #293630=ORIENTED_EDGE('',*,*,#211486,.F.); #293631=ORIENTED_EDGE('',*,*,#211487,.T.); #293632=ORIENTED_EDGE('',*,*,#211488,.F.); #293633=ORIENTED_EDGE('',*,*,#211487,.F.); #293634=ORIENTED_EDGE('',*,*,#211489,.F.); #293635=ORIENTED_EDGE('',*,*,#211490,.T.); #293636=ORIENTED_EDGE('',*,*,#211491,.F.); #293637=ORIENTED_EDGE('',*,*,#211490,.F.); #293638=ORIENTED_EDGE('',*,*,#211492,.F.); #293639=ORIENTED_EDGE('',*,*,#211493,.T.); #293640=ORIENTED_EDGE('',*,*,#211494,.F.); #293641=ORIENTED_EDGE('',*,*,#211493,.F.); #293642=ORIENTED_EDGE('',*,*,#211495,.F.); #293643=ORIENTED_EDGE('',*,*,#211496,.T.); #293644=ORIENTED_EDGE('',*,*,#211497,.F.); #293645=ORIENTED_EDGE('',*,*,#211496,.F.); #293646=ORIENTED_EDGE('',*,*,#211498,.F.); #293647=ORIENTED_EDGE('',*,*,#211499,.T.); #293648=ORIENTED_EDGE('',*,*,#211500,.F.); #293649=ORIENTED_EDGE('',*,*,#211499,.F.); #293650=ORIENTED_EDGE('',*,*,#211501,.F.); #293651=ORIENTED_EDGE('',*,*,#211502,.T.); #293652=ORIENTED_EDGE('',*,*,#211503,.F.); #293653=ORIENTED_EDGE('',*,*,#211502,.F.); #293654=ORIENTED_EDGE('',*,*,#211504,.F.); #293655=ORIENTED_EDGE('',*,*,#211505,.T.); #293656=ORIENTED_EDGE('',*,*,#211506,.F.); #293657=ORIENTED_EDGE('',*,*,#211505,.F.); #293658=ORIENTED_EDGE('',*,*,#211507,.F.); #293659=ORIENTED_EDGE('',*,*,#211508,.T.); #293660=ORIENTED_EDGE('',*,*,#211509,.F.); #293661=ORIENTED_EDGE('',*,*,#211508,.F.); #293662=ORIENTED_EDGE('',*,*,#211510,.F.); #293663=ORIENTED_EDGE('',*,*,#211511,.T.); #293664=ORIENTED_EDGE('',*,*,#211512,.F.); #293665=ORIENTED_EDGE('',*,*,#211511,.F.); #293666=ORIENTED_EDGE('',*,*,#211513,.F.); #293667=ORIENTED_EDGE('',*,*,#211514,.T.); #293668=ORIENTED_EDGE('',*,*,#211515,.F.); #293669=ORIENTED_EDGE('',*,*,#211514,.F.); #293670=ORIENTED_EDGE('',*,*,#211516,.F.); #293671=ORIENTED_EDGE('',*,*,#211517,.T.); #293672=ORIENTED_EDGE('',*,*,#211518,.F.); #293673=ORIENTED_EDGE('',*,*,#211517,.F.); #293674=ORIENTED_EDGE('',*,*,#211519,.F.); #293675=ORIENTED_EDGE('',*,*,#211520,.T.); #293676=ORIENTED_EDGE('',*,*,#211521,.F.); #293677=ORIENTED_EDGE('',*,*,#211520,.F.); #293678=ORIENTED_EDGE('',*,*,#211522,.F.); #293679=ORIENTED_EDGE('',*,*,#211523,.T.); #293680=ORIENTED_EDGE('',*,*,#211524,.F.); #293681=ORIENTED_EDGE('',*,*,#211523,.F.); #293682=ORIENTED_EDGE('',*,*,#211525,.F.); #293683=ORIENTED_EDGE('',*,*,#211526,.T.); #293684=ORIENTED_EDGE('',*,*,#211527,.F.); #293685=ORIENTED_EDGE('',*,*,#211526,.F.); #293686=ORIENTED_EDGE('',*,*,#211528,.F.); #293687=ORIENTED_EDGE('',*,*,#211529,.T.); #293688=ORIENTED_EDGE('',*,*,#211530,.F.); #293689=ORIENTED_EDGE('',*,*,#211529,.F.); #293690=ORIENTED_EDGE('',*,*,#211531,.F.); #293691=ORIENTED_EDGE('',*,*,#211532,.T.); #293692=ORIENTED_EDGE('',*,*,#211533,.F.); #293693=ORIENTED_EDGE('',*,*,#211532,.F.); #293694=ORIENTED_EDGE('',*,*,#211534,.F.); #293695=ORIENTED_EDGE('',*,*,#211535,.T.); #293696=ORIENTED_EDGE('',*,*,#211536,.F.); #293697=ORIENTED_EDGE('',*,*,#211535,.F.); #293698=ORIENTED_EDGE('',*,*,#211537,.F.); #293699=ORIENTED_EDGE('',*,*,#211538,.T.); #293700=ORIENTED_EDGE('',*,*,#211539,.F.); #293701=ORIENTED_EDGE('',*,*,#211538,.F.); #293702=ORIENTED_EDGE('',*,*,#211540,.F.); #293703=ORIENTED_EDGE('',*,*,#211541,.T.); #293704=ORIENTED_EDGE('',*,*,#211542,.F.); #293705=ORIENTED_EDGE('',*,*,#211541,.F.); #293706=ORIENTED_EDGE('',*,*,#211543,.F.); #293707=ORIENTED_EDGE('',*,*,#211544,.T.); #293708=ORIENTED_EDGE('',*,*,#211545,.F.); #293709=ORIENTED_EDGE('',*,*,#211544,.F.); #293710=ORIENTED_EDGE('',*,*,#211546,.F.); #293711=ORIENTED_EDGE('',*,*,#211547,.T.); #293712=ORIENTED_EDGE('',*,*,#211548,.F.); #293713=ORIENTED_EDGE('',*,*,#211547,.F.); #293714=ORIENTED_EDGE('',*,*,#211549,.F.); #293715=ORIENTED_EDGE('',*,*,#211550,.T.); #293716=ORIENTED_EDGE('',*,*,#211551,.F.); #293717=ORIENTED_EDGE('',*,*,#211550,.F.); #293718=ORIENTED_EDGE('',*,*,#211552,.F.); #293719=ORIENTED_EDGE('',*,*,#211553,.T.); #293720=ORIENTED_EDGE('',*,*,#211554,.F.); #293721=ORIENTED_EDGE('',*,*,#211553,.F.); #293722=ORIENTED_EDGE('',*,*,#211555,.F.); #293723=ORIENTED_EDGE('',*,*,#211556,.T.); #293724=ORIENTED_EDGE('',*,*,#211557,.F.); #293725=ORIENTED_EDGE('',*,*,#211556,.F.); #293726=ORIENTED_EDGE('',*,*,#211558,.F.); #293727=ORIENTED_EDGE('',*,*,#211559,.T.); #293728=ORIENTED_EDGE('',*,*,#211560,.F.); #293729=ORIENTED_EDGE('',*,*,#211559,.F.); #293730=ORIENTED_EDGE('',*,*,#211561,.F.); #293731=ORIENTED_EDGE('',*,*,#211562,.T.); #293732=ORIENTED_EDGE('',*,*,#211563,.F.); #293733=ORIENTED_EDGE('',*,*,#211562,.F.); #293734=ORIENTED_EDGE('',*,*,#211564,.F.); #293735=ORIENTED_EDGE('',*,*,#211565,.T.); #293736=ORIENTED_EDGE('',*,*,#211566,.F.); #293737=ORIENTED_EDGE('',*,*,#211565,.F.); #293738=ORIENTED_EDGE('',*,*,#211567,.F.); #293739=ORIENTED_EDGE('',*,*,#211568,.T.); #293740=ORIENTED_EDGE('',*,*,#211569,.F.); #293741=ORIENTED_EDGE('',*,*,#211568,.F.); #293742=ORIENTED_EDGE('',*,*,#211570,.F.); #293743=ORIENTED_EDGE('',*,*,#211571,.T.); #293744=ORIENTED_EDGE('',*,*,#211572,.F.); #293745=ORIENTED_EDGE('',*,*,#211571,.F.); #293746=ORIENTED_EDGE('',*,*,#211573,.F.); #293747=ORIENTED_EDGE('',*,*,#211574,.T.); #293748=ORIENTED_EDGE('',*,*,#211575,.F.); #293749=ORIENTED_EDGE('',*,*,#211574,.F.); #293750=ORIENTED_EDGE('',*,*,#211576,.F.); #293751=ORIENTED_EDGE('',*,*,#211577,.T.); #293752=ORIENTED_EDGE('',*,*,#211578,.F.); #293753=ORIENTED_EDGE('',*,*,#211577,.F.); #293754=ORIENTED_EDGE('',*,*,#211579,.F.); #293755=ORIENTED_EDGE('',*,*,#211580,.T.); #293756=ORIENTED_EDGE('',*,*,#211581,.F.); #293757=ORIENTED_EDGE('',*,*,#211580,.F.); #293758=ORIENTED_EDGE('',*,*,#211582,.F.); #293759=ORIENTED_EDGE('',*,*,#211583,.T.); #293760=ORIENTED_EDGE('',*,*,#211584,.F.); #293761=ORIENTED_EDGE('',*,*,#211583,.F.); #293762=ORIENTED_EDGE('',*,*,#211585,.F.); #293763=ORIENTED_EDGE('',*,*,#211586,.T.); #293764=ORIENTED_EDGE('',*,*,#211587,.F.); #293765=ORIENTED_EDGE('',*,*,#211586,.F.); #293766=ORIENTED_EDGE('',*,*,#211588,.F.); #293767=ORIENTED_EDGE('',*,*,#211589,.T.); #293768=ORIENTED_EDGE('',*,*,#211590,.F.); #293769=ORIENTED_EDGE('',*,*,#211589,.F.); #293770=ORIENTED_EDGE('',*,*,#211591,.F.); #293771=ORIENTED_EDGE('',*,*,#211592,.T.); #293772=ORIENTED_EDGE('',*,*,#211593,.F.); #293773=ORIENTED_EDGE('',*,*,#211592,.F.); #293774=ORIENTED_EDGE('',*,*,#211594,.F.); #293775=ORIENTED_EDGE('',*,*,#211595,.T.); #293776=ORIENTED_EDGE('',*,*,#211596,.F.); #293777=ORIENTED_EDGE('',*,*,#211595,.F.); #293778=ORIENTED_EDGE('',*,*,#211597,.F.); #293779=ORIENTED_EDGE('',*,*,#211598,.T.); #293780=ORIENTED_EDGE('',*,*,#211599,.F.); #293781=ORIENTED_EDGE('',*,*,#211598,.F.); #293782=ORIENTED_EDGE('',*,*,#211600,.F.); #293783=ORIENTED_EDGE('',*,*,#211601,.T.); #293784=ORIENTED_EDGE('',*,*,#211602,.F.); #293785=ORIENTED_EDGE('',*,*,#211601,.F.); #293786=ORIENTED_EDGE('',*,*,#211603,.F.); #293787=ORIENTED_EDGE('',*,*,#211604,.T.); #293788=ORIENTED_EDGE('',*,*,#211605,.F.); #293789=ORIENTED_EDGE('',*,*,#211604,.F.); #293790=ORIENTED_EDGE('',*,*,#211606,.F.); #293791=ORIENTED_EDGE('',*,*,#211607,.T.); #293792=ORIENTED_EDGE('',*,*,#211608,.F.); #293793=ORIENTED_EDGE('',*,*,#211607,.F.); #293794=ORIENTED_EDGE('',*,*,#211609,.F.); #293795=ORIENTED_EDGE('',*,*,#211610,.T.); #293796=ORIENTED_EDGE('',*,*,#211611,.F.); #293797=ORIENTED_EDGE('',*,*,#211610,.F.); #293798=ORIENTED_EDGE('',*,*,#211612,.F.); #293799=ORIENTED_EDGE('',*,*,#211613,.T.); #293800=ORIENTED_EDGE('',*,*,#211614,.F.); #293801=ORIENTED_EDGE('',*,*,#211613,.F.); #293802=ORIENTED_EDGE('',*,*,#211615,.F.); #293803=ORIENTED_EDGE('',*,*,#211616,.T.); #293804=ORIENTED_EDGE('',*,*,#211617,.F.); #293805=ORIENTED_EDGE('',*,*,#211616,.F.); #293806=ORIENTED_EDGE('',*,*,#211618,.F.); #293807=ORIENTED_EDGE('',*,*,#211619,.T.); #293808=ORIENTED_EDGE('',*,*,#211620,.F.); #293809=ORIENTED_EDGE('',*,*,#211619,.F.); #293810=ORIENTED_EDGE('',*,*,#211621,.F.); #293811=ORIENTED_EDGE('',*,*,#211622,.T.); #293812=ORIENTED_EDGE('',*,*,#211623,.F.); #293813=ORIENTED_EDGE('',*,*,#211622,.F.); #293814=ORIENTED_EDGE('',*,*,#211624,.F.); #293815=ORIENTED_EDGE('',*,*,#211625,.T.); #293816=ORIENTED_EDGE('',*,*,#211626,.F.); #293817=ORIENTED_EDGE('',*,*,#211625,.F.); #293818=ORIENTED_EDGE('',*,*,#211627,.F.); #293819=ORIENTED_EDGE('',*,*,#211628,.T.); #293820=ORIENTED_EDGE('',*,*,#211629,.F.); #293821=ORIENTED_EDGE('',*,*,#211628,.F.); #293822=ORIENTED_EDGE('',*,*,#211630,.F.); #293823=ORIENTED_EDGE('',*,*,#211631,.T.); #293824=ORIENTED_EDGE('',*,*,#211632,.F.); #293825=ORIENTED_EDGE('',*,*,#211631,.F.); #293826=ORIENTED_EDGE('',*,*,#211633,.F.); #293827=ORIENTED_EDGE('',*,*,#211634,.T.); #293828=ORIENTED_EDGE('',*,*,#211635,.F.); #293829=ORIENTED_EDGE('',*,*,#211634,.F.); #293830=ORIENTED_EDGE('',*,*,#211636,.F.); #293831=ORIENTED_EDGE('',*,*,#211637,.T.); #293832=ORIENTED_EDGE('',*,*,#211638,.F.); #293833=ORIENTED_EDGE('',*,*,#211637,.F.); #293834=ORIENTED_EDGE('',*,*,#211639,.F.); #293835=ORIENTED_EDGE('',*,*,#211640,.T.); #293836=ORIENTED_EDGE('',*,*,#211641,.F.); #293837=ORIENTED_EDGE('',*,*,#211640,.F.); #293838=ORIENTED_EDGE('',*,*,#211642,.F.); #293839=ORIENTED_EDGE('',*,*,#211643,.T.); #293840=ORIENTED_EDGE('',*,*,#211644,.F.); #293841=ORIENTED_EDGE('',*,*,#211643,.F.); #293842=ORIENTED_EDGE('',*,*,#211645,.F.); #293843=ORIENTED_EDGE('',*,*,#211646,.T.); #293844=ORIENTED_EDGE('',*,*,#211647,.F.); #293845=ORIENTED_EDGE('',*,*,#211646,.F.); #293846=ORIENTED_EDGE('',*,*,#211648,.F.); #293847=ORIENTED_EDGE('',*,*,#211649,.T.); #293848=ORIENTED_EDGE('',*,*,#211650,.F.); #293849=ORIENTED_EDGE('',*,*,#211649,.F.); #293850=ORIENTED_EDGE('',*,*,#211651,.F.); #293851=ORIENTED_EDGE('',*,*,#211652,.T.); #293852=ORIENTED_EDGE('',*,*,#211653,.F.); #293853=ORIENTED_EDGE('',*,*,#211652,.F.); #293854=ORIENTED_EDGE('',*,*,#211654,.F.); #293855=ORIENTED_EDGE('',*,*,#211655,.T.); #293856=ORIENTED_EDGE('',*,*,#211656,.F.); #293857=ORIENTED_EDGE('',*,*,#211655,.F.); #293858=ORIENTED_EDGE('',*,*,#211657,.F.); #293859=ORIENTED_EDGE('',*,*,#211658,.T.); #293860=ORIENTED_EDGE('',*,*,#211659,.F.); #293861=ORIENTED_EDGE('',*,*,#211658,.F.); #293862=ORIENTED_EDGE('',*,*,#211660,.F.); #293863=ORIENTED_EDGE('',*,*,#211661,.T.); #293864=ORIENTED_EDGE('',*,*,#211662,.F.); #293865=ORIENTED_EDGE('',*,*,#211661,.F.); #293866=ORIENTED_EDGE('',*,*,#211663,.F.); #293867=ORIENTED_EDGE('',*,*,#211664,.T.); #293868=ORIENTED_EDGE('',*,*,#211665,.F.); #293869=ORIENTED_EDGE('',*,*,#211664,.F.); #293870=ORIENTED_EDGE('',*,*,#211666,.F.); #293871=ORIENTED_EDGE('',*,*,#211667,.T.); #293872=ORIENTED_EDGE('',*,*,#211668,.F.); #293873=ORIENTED_EDGE('',*,*,#211667,.F.); #293874=ORIENTED_EDGE('',*,*,#211669,.F.); #293875=ORIENTED_EDGE('',*,*,#211670,.T.); #293876=ORIENTED_EDGE('',*,*,#211671,.F.); #293877=ORIENTED_EDGE('',*,*,#211670,.F.); #293878=ORIENTED_EDGE('',*,*,#211672,.F.); #293879=ORIENTED_EDGE('',*,*,#211673,.T.); #293880=ORIENTED_EDGE('',*,*,#211674,.F.); #293881=ORIENTED_EDGE('',*,*,#211673,.F.); #293882=ORIENTED_EDGE('',*,*,#211675,.F.); #293883=ORIENTED_EDGE('',*,*,#211676,.T.); #293884=ORIENTED_EDGE('',*,*,#211677,.F.); #293885=ORIENTED_EDGE('',*,*,#211676,.F.); #293886=ORIENTED_EDGE('',*,*,#211678,.F.); #293887=ORIENTED_EDGE('',*,*,#211679,.T.); #293888=ORIENTED_EDGE('',*,*,#211680,.F.); #293889=ORIENTED_EDGE('',*,*,#211679,.F.); #293890=ORIENTED_EDGE('',*,*,#211681,.F.); #293891=ORIENTED_EDGE('',*,*,#211682,.T.); #293892=ORIENTED_EDGE('',*,*,#211683,.F.); #293893=ORIENTED_EDGE('',*,*,#211682,.F.); #293894=ORIENTED_EDGE('',*,*,#211684,.F.); #293895=ORIENTED_EDGE('',*,*,#211685,.T.); #293896=ORIENTED_EDGE('',*,*,#211686,.F.); #293897=ORIENTED_EDGE('',*,*,#211685,.F.); #293898=ORIENTED_EDGE('',*,*,#211687,.F.); #293899=ORIENTED_EDGE('',*,*,#211688,.T.); #293900=ORIENTED_EDGE('',*,*,#211689,.F.); #293901=ORIENTED_EDGE('',*,*,#211688,.F.); #293902=ORIENTED_EDGE('',*,*,#211690,.F.); #293903=ORIENTED_EDGE('',*,*,#211691,.T.); #293904=ORIENTED_EDGE('',*,*,#211692,.F.); #293905=ORIENTED_EDGE('',*,*,#211691,.F.); #293906=ORIENTED_EDGE('',*,*,#211693,.F.); #293907=ORIENTED_EDGE('',*,*,#211694,.T.); #293908=ORIENTED_EDGE('',*,*,#211695,.F.); #293909=ORIENTED_EDGE('',*,*,#211694,.F.); #293910=ORIENTED_EDGE('',*,*,#211696,.F.); #293911=ORIENTED_EDGE('',*,*,#211697,.T.); #293912=ORIENTED_EDGE('',*,*,#211698,.F.); #293913=ORIENTED_EDGE('',*,*,#211697,.F.); #293914=ORIENTED_EDGE('',*,*,#211699,.F.); #293915=ORIENTED_EDGE('',*,*,#211700,.T.); #293916=ORIENTED_EDGE('',*,*,#211701,.F.); #293917=ORIENTED_EDGE('',*,*,#211700,.F.); #293918=ORIENTED_EDGE('',*,*,#211702,.F.); #293919=ORIENTED_EDGE('',*,*,#211703,.T.); #293920=ORIENTED_EDGE('',*,*,#211704,.F.); #293921=ORIENTED_EDGE('',*,*,#211703,.F.); #293922=ORIENTED_EDGE('',*,*,#211705,.F.); #293923=ORIENTED_EDGE('',*,*,#211706,.T.); #293924=ORIENTED_EDGE('',*,*,#211707,.F.); #293925=ORIENTED_EDGE('',*,*,#211706,.F.); #293926=ORIENTED_EDGE('',*,*,#211708,.F.); #293927=ORIENTED_EDGE('',*,*,#211709,.T.); #293928=ORIENTED_EDGE('',*,*,#211710,.F.); #293929=ORIENTED_EDGE('',*,*,#211709,.F.); #293930=ORIENTED_EDGE('',*,*,#211711,.F.); #293931=ORIENTED_EDGE('',*,*,#211712,.T.); #293932=ORIENTED_EDGE('',*,*,#211713,.F.); #293933=ORIENTED_EDGE('',*,*,#211712,.F.); #293934=ORIENTED_EDGE('',*,*,#211714,.F.); #293935=ORIENTED_EDGE('',*,*,#211715,.T.); #293936=ORIENTED_EDGE('',*,*,#211716,.F.); #293937=ORIENTED_EDGE('',*,*,#211715,.F.); #293938=ORIENTED_EDGE('',*,*,#211717,.F.); #293939=ORIENTED_EDGE('',*,*,#211718,.T.); #293940=ORIENTED_EDGE('',*,*,#211719,.F.); #293941=ORIENTED_EDGE('',*,*,#211718,.F.); #293942=ORIENTED_EDGE('',*,*,#211720,.F.); #293943=ORIENTED_EDGE('',*,*,#211721,.T.); #293944=ORIENTED_EDGE('',*,*,#211722,.F.); #293945=ORIENTED_EDGE('',*,*,#211721,.F.); #293946=ORIENTED_EDGE('',*,*,#211723,.F.); #293947=ORIENTED_EDGE('',*,*,#211724,.T.); #293948=ORIENTED_EDGE('',*,*,#211725,.F.); #293949=ORIENTED_EDGE('',*,*,#211724,.F.); #293950=ORIENTED_EDGE('',*,*,#211726,.F.); #293951=ORIENTED_EDGE('',*,*,#211727,.T.); #293952=ORIENTED_EDGE('',*,*,#211728,.F.); #293953=ORIENTED_EDGE('',*,*,#211727,.F.); #293954=ORIENTED_EDGE('',*,*,#211729,.F.); #293955=ORIENTED_EDGE('',*,*,#211730,.T.); #293956=ORIENTED_EDGE('',*,*,#211731,.F.); #293957=ORIENTED_EDGE('',*,*,#211730,.F.); #293958=ORIENTED_EDGE('',*,*,#211732,.F.); #293959=ORIENTED_EDGE('',*,*,#211733,.T.); #293960=ORIENTED_EDGE('',*,*,#211734,.F.); #293961=ORIENTED_EDGE('',*,*,#211733,.F.); #293962=ORIENTED_EDGE('',*,*,#211735,.F.); #293963=ORIENTED_EDGE('',*,*,#211736,.T.); #293964=ORIENTED_EDGE('',*,*,#211737,.F.); #293965=ORIENTED_EDGE('',*,*,#211736,.F.); #293966=ORIENTED_EDGE('',*,*,#211738,.F.); #293967=ORIENTED_EDGE('',*,*,#211739,.T.); #293968=ORIENTED_EDGE('',*,*,#211740,.F.); #293969=ORIENTED_EDGE('',*,*,#211739,.F.); #293970=ORIENTED_EDGE('',*,*,#211741,.F.); #293971=ORIENTED_EDGE('',*,*,#211742,.T.); #293972=ORIENTED_EDGE('',*,*,#211743,.F.); #293973=ORIENTED_EDGE('',*,*,#211742,.F.); #293974=ORIENTED_EDGE('',*,*,#211744,.F.); #293975=ORIENTED_EDGE('',*,*,#211745,.T.); #293976=ORIENTED_EDGE('',*,*,#211746,.F.); #293977=ORIENTED_EDGE('',*,*,#211745,.F.); #293978=ORIENTED_EDGE('',*,*,#211747,.F.); #293979=ORIENTED_EDGE('',*,*,#211748,.T.); #293980=ORIENTED_EDGE('',*,*,#211749,.F.); #293981=ORIENTED_EDGE('',*,*,#211748,.F.); #293982=ORIENTED_EDGE('',*,*,#211750,.F.); #293983=ORIENTED_EDGE('',*,*,#211751,.T.); #293984=ORIENTED_EDGE('',*,*,#211752,.F.); #293985=ORIENTED_EDGE('',*,*,#211751,.F.); #293986=ORIENTED_EDGE('',*,*,#211753,.F.); #293987=ORIENTED_EDGE('',*,*,#211754,.T.); #293988=ORIENTED_EDGE('',*,*,#211755,.F.); #293989=ORIENTED_EDGE('',*,*,#211754,.F.); #293990=ORIENTED_EDGE('',*,*,#211756,.F.); #293991=ORIENTED_EDGE('',*,*,#211757,.T.); #293992=ORIENTED_EDGE('',*,*,#211758,.F.); #293993=ORIENTED_EDGE('',*,*,#211757,.F.); #293994=ORIENTED_EDGE('',*,*,#211759,.F.); #293995=ORIENTED_EDGE('',*,*,#211760,.T.); #293996=ORIENTED_EDGE('',*,*,#211761,.F.); #293997=ORIENTED_EDGE('',*,*,#211760,.F.); #293998=ORIENTED_EDGE('',*,*,#211762,.F.); #293999=ORIENTED_EDGE('',*,*,#211763,.T.); #294000=ORIENTED_EDGE('',*,*,#211764,.F.); #294001=ORIENTED_EDGE('',*,*,#211763,.F.); #294002=ORIENTED_EDGE('',*,*,#211765,.F.); #294003=ORIENTED_EDGE('',*,*,#211766,.T.); #294004=ORIENTED_EDGE('',*,*,#211767,.F.); #294005=ORIENTED_EDGE('',*,*,#211766,.F.); #294006=ORIENTED_EDGE('',*,*,#211768,.F.); #294007=ORIENTED_EDGE('',*,*,#211769,.T.); #294008=ORIENTED_EDGE('',*,*,#211770,.F.); #294009=ORIENTED_EDGE('',*,*,#211769,.F.); #294010=ORIENTED_EDGE('',*,*,#211771,.F.); #294011=ORIENTED_EDGE('',*,*,#211772,.T.); #294012=ORIENTED_EDGE('',*,*,#211773,.F.); #294013=ORIENTED_EDGE('',*,*,#211772,.F.); #294014=ORIENTED_EDGE('',*,*,#211774,.F.); #294015=ORIENTED_EDGE('',*,*,#211775,.T.); #294016=ORIENTED_EDGE('',*,*,#211776,.F.); #294017=ORIENTED_EDGE('',*,*,#211775,.F.); #294018=ORIENTED_EDGE('',*,*,#211777,.F.); #294019=ORIENTED_EDGE('',*,*,#211778,.T.); #294020=ORIENTED_EDGE('',*,*,#211779,.F.); #294021=ORIENTED_EDGE('',*,*,#211778,.F.); #294022=ORIENTED_EDGE('',*,*,#211780,.F.); #294023=ORIENTED_EDGE('',*,*,#211781,.T.); #294024=ORIENTED_EDGE('',*,*,#211782,.F.); #294025=ORIENTED_EDGE('',*,*,#211781,.F.); #294026=ORIENTED_EDGE('',*,*,#211783,.F.); #294027=ORIENTED_EDGE('',*,*,#211784,.T.); #294028=ORIENTED_EDGE('',*,*,#211785,.F.); #294029=ORIENTED_EDGE('',*,*,#211784,.F.); #294030=ORIENTED_EDGE('',*,*,#211786,.F.); #294031=ORIENTED_EDGE('',*,*,#211787,.T.); #294032=ORIENTED_EDGE('',*,*,#211788,.F.); #294033=ORIENTED_EDGE('',*,*,#211787,.F.); #294034=ORIENTED_EDGE('',*,*,#211789,.F.); #294035=ORIENTED_EDGE('',*,*,#211790,.T.); #294036=ORIENTED_EDGE('',*,*,#211791,.F.); #294037=ORIENTED_EDGE('',*,*,#211790,.F.); #294038=ORIENTED_EDGE('',*,*,#211792,.F.); #294039=ORIENTED_EDGE('',*,*,#211793,.T.); #294040=ORIENTED_EDGE('',*,*,#211794,.F.); #294041=ORIENTED_EDGE('',*,*,#211793,.F.); #294042=ORIENTED_EDGE('',*,*,#211795,.F.); #294043=ORIENTED_EDGE('',*,*,#211796,.T.); #294044=ORIENTED_EDGE('',*,*,#211797,.F.); #294045=ORIENTED_EDGE('',*,*,#211796,.F.); #294046=ORIENTED_EDGE('',*,*,#211798,.F.); #294047=ORIENTED_EDGE('',*,*,#211799,.T.); #294048=ORIENTED_EDGE('',*,*,#211800,.F.); #294049=ORIENTED_EDGE('',*,*,#211799,.F.); #294050=ORIENTED_EDGE('',*,*,#211801,.F.); #294051=ORIENTED_EDGE('',*,*,#211802,.T.); #294052=ORIENTED_EDGE('',*,*,#211803,.F.); #294053=ORIENTED_EDGE('',*,*,#211802,.F.); #294054=ORIENTED_EDGE('',*,*,#211804,.F.); #294055=ORIENTED_EDGE('',*,*,#211805,.T.); #294056=ORIENTED_EDGE('',*,*,#211806,.F.); #294057=ORIENTED_EDGE('',*,*,#211805,.F.); #294058=ORIENTED_EDGE('',*,*,#211807,.F.); #294059=ORIENTED_EDGE('',*,*,#211808,.T.); #294060=ORIENTED_EDGE('',*,*,#211809,.F.); #294061=ORIENTED_EDGE('',*,*,#211808,.F.); #294062=ORIENTED_EDGE('',*,*,#211810,.F.); #294063=ORIENTED_EDGE('',*,*,#211811,.T.); #294064=ORIENTED_EDGE('',*,*,#211812,.F.); #294065=ORIENTED_EDGE('',*,*,#211811,.F.); #294066=ORIENTED_EDGE('',*,*,#211813,.F.); #294067=ORIENTED_EDGE('',*,*,#211814,.T.); #294068=ORIENTED_EDGE('',*,*,#211815,.F.); #294069=ORIENTED_EDGE('',*,*,#211814,.F.); #294070=ORIENTED_EDGE('',*,*,#211816,.F.); #294071=ORIENTED_EDGE('',*,*,#211817,.T.); #294072=ORIENTED_EDGE('',*,*,#211818,.F.); #294073=ORIENTED_EDGE('',*,*,#211817,.F.); #294074=ORIENTED_EDGE('',*,*,#211819,.F.); #294075=ORIENTED_EDGE('',*,*,#211820,.T.); #294076=ORIENTED_EDGE('',*,*,#211821,.F.); #294077=ORIENTED_EDGE('',*,*,#211820,.F.); #294078=ORIENTED_EDGE('',*,*,#211822,.F.); #294079=ORIENTED_EDGE('',*,*,#211823,.T.); #294080=ORIENTED_EDGE('',*,*,#211824,.F.); #294081=ORIENTED_EDGE('',*,*,#211823,.F.); #294082=ORIENTED_EDGE('',*,*,#211825,.F.); #294083=ORIENTED_EDGE('',*,*,#211826,.T.); #294084=ORIENTED_EDGE('',*,*,#211827,.F.); #294085=ORIENTED_EDGE('',*,*,#211826,.F.); #294086=ORIENTED_EDGE('',*,*,#211828,.F.); #294087=ORIENTED_EDGE('',*,*,#211829,.T.); #294088=ORIENTED_EDGE('',*,*,#211830,.F.); #294089=ORIENTED_EDGE('',*,*,#211829,.F.); #294090=ORIENTED_EDGE('',*,*,#211831,.F.); #294091=ORIENTED_EDGE('',*,*,#211832,.T.); #294092=ORIENTED_EDGE('',*,*,#211833,.F.); #294093=ORIENTED_EDGE('',*,*,#211832,.F.); #294094=ORIENTED_EDGE('',*,*,#211834,.F.); #294095=ORIENTED_EDGE('',*,*,#211835,.T.); #294096=ORIENTED_EDGE('',*,*,#211836,.F.); #294097=ORIENTED_EDGE('',*,*,#211835,.F.); #294098=ORIENTED_EDGE('',*,*,#211837,.F.); #294099=ORIENTED_EDGE('',*,*,#211838,.T.); #294100=ORIENTED_EDGE('',*,*,#211839,.F.); #294101=ORIENTED_EDGE('',*,*,#211838,.F.); #294102=ORIENTED_EDGE('',*,*,#211840,.F.); #294103=ORIENTED_EDGE('',*,*,#211841,.T.); #294104=ORIENTED_EDGE('',*,*,#211842,.F.); #294105=ORIENTED_EDGE('',*,*,#211841,.F.); #294106=ORIENTED_EDGE('',*,*,#211843,.F.); #294107=ORIENTED_EDGE('',*,*,#211844,.T.); #294108=ORIENTED_EDGE('',*,*,#211845,.F.); #294109=ORIENTED_EDGE('',*,*,#211844,.F.); #294110=ORIENTED_EDGE('',*,*,#211846,.F.); #294111=ORIENTED_EDGE('',*,*,#211847,.T.); #294112=ORIENTED_EDGE('',*,*,#211848,.F.); #294113=ORIENTED_EDGE('',*,*,#211847,.F.); #294114=ORIENTED_EDGE('',*,*,#211849,.F.); #294115=ORIENTED_EDGE('',*,*,#211850,.T.); #294116=ORIENTED_EDGE('',*,*,#211851,.F.); #294117=ORIENTED_EDGE('',*,*,#211850,.F.); #294118=ORIENTED_EDGE('',*,*,#211852,.F.); #294119=ORIENTED_EDGE('',*,*,#211853,.T.); #294120=ORIENTED_EDGE('',*,*,#211854,.F.); #294121=ORIENTED_EDGE('',*,*,#211853,.F.); #294122=ORIENTED_EDGE('',*,*,#211855,.F.); #294123=ORIENTED_EDGE('',*,*,#211856,.T.); #294124=ORIENTED_EDGE('',*,*,#211857,.F.); #294125=ORIENTED_EDGE('',*,*,#211856,.F.); #294126=ORIENTED_EDGE('',*,*,#211858,.F.); #294127=ORIENTED_EDGE('',*,*,#211859,.T.); #294128=ORIENTED_EDGE('',*,*,#211860,.F.); #294129=ORIENTED_EDGE('',*,*,#211859,.F.); #294130=ORIENTED_EDGE('',*,*,#211861,.F.); #294131=ORIENTED_EDGE('',*,*,#211862,.T.); #294132=ORIENTED_EDGE('',*,*,#211863,.F.); #294133=ORIENTED_EDGE('',*,*,#211862,.F.); #294134=ORIENTED_EDGE('',*,*,#211864,.F.); #294135=ORIENTED_EDGE('',*,*,#211865,.T.); #294136=ORIENTED_EDGE('',*,*,#211866,.F.); #294137=ORIENTED_EDGE('',*,*,#211865,.F.); #294138=ORIENTED_EDGE('',*,*,#211867,.F.); #294139=ORIENTED_EDGE('',*,*,#211868,.T.); #294140=ORIENTED_EDGE('',*,*,#211869,.F.); #294141=ORIENTED_EDGE('',*,*,#211868,.F.); #294142=ORIENTED_EDGE('',*,*,#211870,.F.); #294143=ORIENTED_EDGE('',*,*,#211871,.T.); #294144=ORIENTED_EDGE('',*,*,#211872,.F.); #294145=ORIENTED_EDGE('',*,*,#211871,.F.); #294146=ORIENTED_EDGE('',*,*,#211873,.F.); #294147=ORIENTED_EDGE('',*,*,#211874,.T.); #294148=ORIENTED_EDGE('',*,*,#211875,.F.); #294149=ORIENTED_EDGE('',*,*,#211874,.F.); #294150=ORIENTED_EDGE('',*,*,#211876,.F.); #294151=ORIENTED_EDGE('',*,*,#211877,.T.); #294152=ORIENTED_EDGE('',*,*,#211878,.F.); #294153=ORIENTED_EDGE('',*,*,#211877,.F.); #294154=ORIENTED_EDGE('',*,*,#211879,.F.); #294155=ORIENTED_EDGE('',*,*,#211880,.T.); #294156=ORIENTED_EDGE('',*,*,#211881,.F.); #294157=ORIENTED_EDGE('',*,*,#211880,.F.); #294158=ORIENTED_EDGE('',*,*,#211882,.F.); #294159=ORIENTED_EDGE('',*,*,#211883,.T.); #294160=ORIENTED_EDGE('',*,*,#211884,.F.); #294161=ORIENTED_EDGE('',*,*,#211883,.F.); #294162=ORIENTED_EDGE('',*,*,#211885,.F.); #294163=ORIENTED_EDGE('',*,*,#211886,.T.); #294164=ORIENTED_EDGE('',*,*,#211887,.F.); #294165=ORIENTED_EDGE('',*,*,#211886,.F.); #294166=ORIENTED_EDGE('',*,*,#211888,.F.); #294167=ORIENTED_EDGE('',*,*,#211889,.T.); #294168=ORIENTED_EDGE('',*,*,#211890,.F.); #294169=ORIENTED_EDGE('',*,*,#211889,.F.); #294170=ORIENTED_EDGE('',*,*,#211891,.F.); #294171=ORIENTED_EDGE('',*,*,#211892,.T.); #294172=ORIENTED_EDGE('',*,*,#211893,.F.); #294173=ORIENTED_EDGE('',*,*,#211892,.F.); #294174=ORIENTED_EDGE('',*,*,#211894,.F.); #294175=ORIENTED_EDGE('',*,*,#211895,.T.); #294176=ORIENTED_EDGE('',*,*,#211896,.F.); #294177=ORIENTED_EDGE('',*,*,#211895,.F.); #294178=ORIENTED_EDGE('',*,*,#211897,.F.); #294179=ORIENTED_EDGE('',*,*,#211898,.T.); #294180=ORIENTED_EDGE('',*,*,#211899,.F.); #294181=ORIENTED_EDGE('',*,*,#211898,.F.); #294182=ORIENTED_EDGE('',*,*,#211900,.F.); #294183=ORIENTED_EDGE('',*,*,#211901,.T.); #294184=ORIENTED_EDGE('',*,*,#211902,.F.); #294185=ORIENTED_EDGE('',*,*,#211901,.F.); #294186=ORIENTED_EDGE('',*,*,#211903,.F.); #294187=ORIENTED_EDGE('',*,*,#211904,.T.); #294188=ORIENTED_EDGE('',*,*,#211905,.F.); #294189=ORIENTED_EDGE('',*,*,#211904,.F.); #294190=ORIENTED_EDGE('',*,*,#211906,.F.); #294191=ORIENTED_EDGE('',*,*,#211907,.T.); #294192=ORIENTED_EDGE('',*,*,#211908,.F.); #294193=ORIENTED_EDGE('',*,*,#211907,.F.); #294194=ORIENTED_EDGE('',*,*,#211909,.F.); #294195=ORIENTED_EDGE('',*,*,#211910,.T.); #294196=ORIENTED_EDGE('',*,*,#211911,.F.); #294197=ORIENTED_EDGE('',*,*,#211910,.F.); #294198=ORIENTED_EDGE('',*,*,#211912,.F.); #294199=ORIENTED_EDGE('',*,*,#211913,.T.); #294200=ORIENTED_EDGE('',*,*,#211914,.F.); #294201=ORIENTED_EDGE('',*,*,#211913,.F.); #294202=ORIENTED_EDGE('',*,*,#211915,.F.); #294203=ORIENTED_EDGE('',*,*,#211916,.T.); #294204=ORIENTED_EDGE('',*,*,#211917,.F.); #294205=ORIENTED_EDGE('',*,*,#211916,.F.); #294206=ORIENTED_EDGE('',*,*,#211918,.F.); #294207=ORIENTED_EDGE('',*,*,#211919,.T.); #294208=ORIENTED_EDGE('',*,*,#211920,.F.); #294209=ORIENTED_EDGE('',*,*,#211919,.F.); #294210=ORIENTED_EDGE('',*,*,#211921,.F.); #294211=ORIENTED_EDGE('',*,*,#211922,.T.); #294212=ORIENTED_EDGE('',*,*,#211923,.F.); #294213=ORIENTED_EDGE('',*,*,#211922,.F.); #294214=ORIENTED_EDGE('',*,*,#211924,.F.); #294215=ORIENTED_EDGE('',*,*,#211925,.T.); #294216=ORIENTED_EDGE('',*,*,#211926,.F.); #294217=ORIENTED_EDGE('',*,*,#211925,.F.); #294218=ORIENTED_EDGE('',*,*,#211927,.F.); #294219=ORIENTED_EDGE('',*,*,#211928,.T.); #294220=ORIENTED_EDGE('',*,*,#211929,.F.); #294221=ORIENTED_EDGE('',*,*,#211928,.F.); #294222=ORIENTED_EDGE('',*,*,#211930,.F.); #294223=ORIENTED_EDGE('',*,*,#211931,.T.); #294224=ORIENTED_EDGE('',*,*,#211932,.F.); #294225=ORIENTED_EDGE('',*,*,#211931,.F.); #294226=ORIENTED_EDGE('',*,*,#211933,.F.); #294227=ORIENTED_EDGE('',*,*,#211934,.T.); #294228=ORIENTED_EDGE('',*,*,#211935,.F.); #294229=ORIENTED_EDGE('',*,*,#211934,.F.); #294230=ORIENTED_EDGE('',*,*,#211936,.F.); #294231=ORIENTED_EDGE('',*,*,#211937,.T.); #294232=ORIENTED_EDGE('',*,*,#211938,.F.); #294233=ORIENTED_EDGE('',*,*,#211937,.F.); #294234=ORIENTED_EDGE('',*,*,#211939,.F.); #294235=ORIENTED_EDGE('',*,*,#211940,.T.); #294236=ORIENTED_EDGE('',*,*,#211941,.F.); #294237=ORIENTED_EDGE('',*,*,#211940,.F.); #294238=ORIENTED_EDGE('',*,*,#211942,.F.); #294239=ORIENTED_EDGE('',*,*,#211943,.T.); #294240=ORIENTED_EDGE('',*,*,#211944,.F.); #294241=ORIENTED_EDGE('',*,*,#211943,.F.); #294242=ORIENTED_EDGE('',*,*,#211945,.F.); #294243=ORIENTED_EDGE('',*,*,#211946,.T.); #294244=ORIENTED_EDGE('',*,*,#211947,.F.); #294245=ORIENTED_EDGE('',*,*,#211946,.F.); #294246=ORIENTED_EDGE('',*,*,#211948,.F.); #294247=ORIENTED_EDGE('',*,*,#211949,.T.); #294248=ORIENTED_EDGE('',*,*,#211950,.F.); #294249=ORIENTED_EDGE('',*,*,#211949,.F.); #294250=ORIENTED_EDGE('',*,*,#211951,.F.); #294251=ORIENTED_EDGE('',*,*,#211952,.T.); #294252=ORIENTED_EDGE('',*,*,#211953,.F.); #294253=ORIENTED_EDGE('',*,*,#211952,.F.); #294254=ORIENTED_EDGE('',*,*,#211954,.F.); #294255=ORIENTED_EDGE('',*,*,#211955,.T.); #294256=ORIENTED_EDGE('',*,*,#211956,.F.); #294257=ORIENTED_EDGE('',*,*,#211955,.F.); #294258=ORIENTED_EDGE('',*,*,#211957,.F.); #294259=ORIENTED_EDGE('',*,*,#211958,.T.); #294260=ORIENTED_EDGE('',*,*,#211959,.F.); #294261=ORIENTED_EDGE('',*,*,#211958,.F.); #294262=ORIENTED_EDGE('',*,*,#211960,.F.); #294263=ORIENTED_EDGE('',*,*,#211961,.T.); #294264=ORIENTED_EDGE('',*,*,#211962,.F.); #294265=ORIENTED_EDGE('',*,*,#211961,.F.); #294266=ORIENTED_EDGE('',*,*,#211963,.F.); #294267=ORIENTED_EDGE('',*,*,#211964,.T.); #294268=ORIENTED_EDGE('',*,*,#211965,.F.); #294269=ORIENTED_EDGE('',*,*,#211964,.F.); #294270=ORIENTED_EDGE('',*,*,#211966,.F.); #294271=ORIENTED_EDGE('',*,*,#211967,.T.); #294272=ORIENTED_EDGE('',*,*,#211968,.F.); #294273=ORIENTED_EDGE('',*,*,#211967,.F.); #294274=ORIENTED_EDGE('',*,*,#211969,.F.); #294275=ORIENTED_EDGE('',*,*,#211970,.T.); #294276=ORIENTED_EDGE('',*,*,#211971,.F.); #294277=ORIENTED_EDGE('',*,*,#211970,.F.); #294278=ORIENTED_EDGE('',*,*,#211972,.F.); #294279=ORIENTED_EDGE('',*,*,#211973,.T.); #294280=ORIENTED_EDGE('',*,*,#211974,.F.); #294281=ORIENTED_EDGE('',*,*,#211973,.F.); #294282=ORIENTED_EDGE('',*,*,#211975,.F.); #294283=ORIENTED_EDGE('',*,*,#211976,.T.); #294284=ORIENTED_EDGE('',*,*,#211977,.F.); #294285=ORIENTED_EDGE('',*,*,#211976,.F.); #294286=ORIENTED_EDGE('',*,*,#211978,.F.); #294287=ORIENTED_EDGE('',*,*,#211979,.T.); #294288=ORIENTED_EDGE('',*,*,#211980,.F.); #294289=ORIENTED_EDGE('',*,*,#211979,.F.); #294290=ORIENTED_EDGE('',*,*,#211981,.F.); #294291=ORIENTED_EDGE('',*,*,#211982,.T.); #294292=ORIENTED_EDGE('',*,*,#211983,.F.); #294293=ORIENTED_EDGE('',*,*,#211982,.F.); #294294=ORIENTED_EDGE('',*,*,#211984,.F.); #294295=ORIENTED_EDGE('',*,*,#211985,.T.); #294296=ORIENTED_EDGE('',*,*,#211986,.F.); #294297=ORIENTED_EDGE('',*,*,#211985,.F.); #294298=ORIENTED_EDGE('',*,*,#211987,.F.); #294299=ORIENTED_EDGE('',*,*,#211988,.T.); #294300=ORIENTED_EDGE('',*,*,#211989,.F.); #294301=ORIENTED_EDGE('',*,*,#211988,.F.); #294302=ORIENTED_EDGE('',*,*,#211990,.F.); #294303=ORIENTED_EDGE('',*,*,#211991,.T.); #294304=ORIENTED_EDGE('',*,*,#211992,.F.); #294305=ORIENTED_EDGE('',*,*,#211991,.F.); #294306=ORIENTED_EDGE('',*,*,#211993,.F.); #294307=ORIENTED_EDGE('',*,*,#211994,.T.); #294308=ORIENTED_EDGE('',*,*,#211995,.F.); #294309=ORIENTED_EDGE('',*,*,#211994,.F.); #294310=ORIENTED_EDGE('',*,*,#211996,.F.); #294311=ORIENTED_EDGE('',*,*,#211997,.T.); #294312=ORIENTED_EDGE('',*,*,#211998,.F.); #294313=ORIENTED_EDGE('',*,*,#211997,.F.); #294314=ORIENTED_EDGE('',*,*,#211999,.F.); #294315=ORIENTED_EDGE('',*,*,#212000,.T.); #294316=ORIENTED_EDGE('',*,*,#212001,.F.); #294317=ORIENTED_EDGE('',*,*,#212000,.F.); #294318=ORIENTED_EDGE('',*,*,#212002,.F.); #294319=ORIENTED_EDGE('',*,*,#212003,.T.); #294320=ORIENTED_EDGE('',*,*,#212004,.F.); #294321=ORIENTED_EDGE('',*,*,#212003,.F.); #294322=ORIENTED_EDGE('',*,*,#212005,.F.); #294323=ORIENTED_EDGE('',*,*,#212006,.T.); #294324=ORIENTED_EDGE('',*,*,#212007,.F.); #294325=ORIENTED_EDGE('',*,*,#212006,.F.); #294326=ORIENTED_EDGE('',*,*,#212008,.F.); #294327=ORIENTED_EDGE('',*,*,#212009,.T.); #294328=ORIENTED_EDGE('',*,*,#212010,.F.); #294329=ORIENTED_EDGE('',*,*,#212009,.F.); #294330=ORIENTED_EDGE('',*,*,#212011,.F.); #294331=ORIENTED_EDGE('',*,*,#212012,.T.); #294332=ORIENTED_EDGE('',*,*,#212013,.F.); #294333=ORIENTED_EDGE('',*,*,#212012,.F.); #294334=ORIENTED_EDGE('',*,*,#212014,.F.); #294335=ORIENTED_EDGE('',*,*,#212015,.T.); #294336=ORIENTED_EDGE('',*,*,#212016,.F.); #294337=ORIENTED_EDGE('',*,*,#212015,.F.); #294338=ORIENTED_EDGE('',*,*,#212017,.F.); #294339=ORIENTED_EDGE('',*,*,#212018,.T.); #294340=ORIENTED_EDGE('',*,*,#212019,.F.); #294341=ORIENTED_EDGE('',*,*,#212018,.F.); #294342=ORIENTED_EDGE('',*,*,#212020,.F.); #294343=ORIENTED_EDGE('',*,*,#212021,.T.); #294344=ORIENTED_EDGE('',*,*,#212022,.F.); #294345=ORIENTED_EDGE('',*,*,#212021,.F.); #294346=ORIENTED_EDGE('',*,*,#212023,.F.); #294347=ORIENTED_EDGE('',*,*,#212024,.T.); #294348=ORIENTED_EDGE('',*,*,#212025,.F.); #294349=ORIENTED_EDGE('',*,*,#212024,.F.); #294350=ORIENTED_EDGE('',*,*,#212026,.F.); #294351=ORIENTED_EDGE('',*,*,#212027,.T.); #294352=ORIENTED_EDGE('',*,*,#212028,.F.); #294353=ORIENTED_EDGE('',*,*,#212027,.F.); #294354=ORIENTED_EDGE('',*,*,#212029,.F.); #294355=ORIENTED_EDGE('',*,*,#212030,.T.); #294356=ORIENTED_EDGE('',*,*,#212031,.F.); #294357=ORIENTED_EDGE('',*,*,#212030,.F.); #294358=ORIENTED_EDGE('',*,*,#212032,.F.); #294359=ORIENTED_EDGE('',*,*,#212033,.T.); #294360=ORIENTED_EDGE('',*,*,#212034,.T.); #294361=ORIENTED_EDGE('',*,*,#212033,.F.); #294362=ORIENTED_EDGE('',*,*,#212032,.T.); #294363=ORIENTED_EDGE('',*,*,#210982,.T.); #294364=ORIENTED_EDGE('',*,*,#210985,.T.); #294365=ORIENTED_EDGE('',*,*,#210990,.T.); #294366=ORIENTED_EDGE('',*,*,#210993,.T.); #294367=ORIENTED_EDGE('',*,*,#210996,.T.); #294368=ORIENTED_EDGE('',*,*,#210999,.T.); #294369=ORIENTED_EDGE('',*,*,#211002,.T.); #294370=ORIENTED_EDGE('',*,*,#211005,.T.); #294371=ORIENTED_EDGE('',*,*,#211008,.T.); #294372=ORIENTED_EDGE('',*,*,#211011,.T.); #294373=ORIENTED_EDGE('',*,*,#211014,.T.); #294374=ORIENTED_EDGE('',*,*,#211017,.T.); #294375=ORIENTED_EDGE('',*,*,#211020,.T.); #294376=ORIENTED_EDGE('',*,*,#211023,.T.); #294377=ORIENTED_EDGE('',*,*,#211026,.T.); #294378=ORIENTED_EDGE('',*,*,#211029,.T.); #294379=ORIENTED_EDGE('',*,*,#211032,.T.); #294380=ORIENTED_EDGE('',*,*,#211035,.T.); #294381=ORIENTED_EDGE('',*,*,#211038,.T.); #294382=ORIENTED_EDGE('',*,*,#211041,.T.); #294383=ORIENTED_EDGE('',*,*,#211044,.T.); #294384=ORIENTED_EDGE('',*,*,#211047,.T.); #294385=ORIENTED_EDGE('',*,*,#211050,.T.); #294386=ORIENTED_EDGE('',*,*,#211053,.T.); #294387=ORIENTED_EDGE('',*,*,#211056,.T.); #294388=ORIENTED_EDGE('',*,*,#211059,.T.); #294389=ORIENTED_EDGE('',*,*,#211062,.T.); #294390=ORIENTED_EDGE('',*,*,#211065,.T.); #294391=ORIENTED_EDGE('',*,*,#211068,.T.); #294392=ORIENTED_EDGE('',*,*,#211071,.T.); #294393=ORIENTED_EDGE('',*,*,#211074,.T.); #294394=ORIENTED_EDGE('',*,*,#211077,.T.); #294395=ORIENTED_EDGE('',*,*,#211080,.T.); #294396=ORIENTED_EDGE('',*,*,#211083,.T.); #294397=ORIENTED_EDGE('',*,*,#211086,.T.); #294398=ORIENTED_EDGE('',*,*,#211089,.T.); #294399=ORIENTED_EDGE('',*,*,#211092,.T.); #294400=ORIENTED_EDGE('',*,*,#211095,.T.); #294401=ORIENTED_EDGE('',*,*,#211098,.T.); #294402=ORIENTED_EDGE('',*,*,#211106,.T.); #294403=ORIENTED_EDGE('',*,*,#211109,.T.); #294404=ORIENTED_EDGE('',*,*,#211099,.T.); #294405=ORIENTED_EDGE('',*,*,#211103,.T.); #294406=ORIENTED_EDGE('',*,*,#211113,.T.); #294407=ORIENTED_EDGE('',*,*,#211121,.T.); #294408=ORIENTED_EDGE('',*,*,#211124,.T.); #294409=ORIENTED_EDGE('',*,*,#211114,.T.); #294410=ORIENTED_EDGE('',*,*,#211118,.T.); #294411=ORIENTED_EDGE('',*,*,#211128,.T.); #294412=ORIENTED_EDGE('',*,*,#211131,.T.); #294413=ORIENTED_EDGE('',*,*,#211134,.T.); #294414=ORIENTED_EDGE('',*,*,#211137,.T.); #294415=ORIENTED_EDGE('',*,*,#211140,.T.); #294416=ORIENTED_EDGE('',*,*,#211143,.T.); #294417=ORIENTED_EDGE('',*,*,#211146,.T.); #294418=ORIENTED_EDGE('',*,*,#211149,.T.); #294419=ORIENTED_EDGE('',*,*,#211152,.T.); #294420=ORIENTED_EDGE('',*,*,#211155,.T.); #294421=ORIENTED_EDGE('',*,*,#211158,.T.); #294422=ORIENTED_EDGE('',*,*,#211166,.T.); #294423=ORIENTED_EDGE('',*,*,#211169,.T.); #294424=ORIENTED_EDGE('',*,*,#211159,.T.); #294425=ORIENTED_EDGE('',*,*,#211163,.T.); #294426=ORIENTED_EDGE('',*,*,#211173,.T.); #294427=ORIENTED_EDGE('',*,*,#211181,.T.); #294428=ORIENTED_EDGE('',*,*,#211184,.T.); #294429=ORIENTED_EDGE('',*,*,#211174,.T.); #294430=ORIENTED_EDGE('',*,*,#211178,.T.); #294431=ORIENTED_EDGE('',*,*,#211188,.T.); #294432=ORIENTED_EDGE('',*,*,#211196,.T.); #294433=ORIENTED_EDGE('',*,*,#211199,.T.); #294434=ORIENTED_EDGE('',*,*,#211189,.T.); #294435=ORIENTED_EDGE('',*,*,#211193,.T.); #294436=ORIENTED_EDGE('',*,*,#211203,.T.); #294437=ORIENTED_EDGE('',*,*,#211211,.T.); #294438=ORIENTED_EDGE('',*,*,#211214,.T.); #294439=ORIENTED_EDGE('',*,*,#211204,.T.); #294440=ORIENTED_EDGE('',*,*,#211208,.T.); #294441=ORIENTED_EDGE('',*,*,#211218,.T.); #294442=ORIENTED_EDGE('',*,*,#211221,.T.); #294443=ORIENTED_EDGE('',*,*,#211224,.T.); #294444=ORIENTED_EDGE('',*,*,#211227,.T.); #294445=ORIENTED_EDGE('',*,*,#211230,.T.); #294446=ORIENTED_EDGE('',*,*,#211233,.T.); #294447=ORIENTED_EDGE('',*,*,#211236,.T.); #294448=ORIENTED_EDGE('',*,*,#211239,.T.); #294449=ORIENTED_EDGE('',*,*,#211242,.T.); #294450=ORIENTED_EDGE('',*,*,#211245,.T.); #294451=ORIENTED_EDGE('',*,*,#211248,.T.); #294452=ORIENTED_EDGE('',*,*,#211251,.T.); #294453=ORIENTED_EDGE('',*,*,#211254,.T.); #294454=ORIENTED_EDGE('',*,*,#211257,.T.); #294455=ORIENTED_EDGE('',*,*,#211260,.T.); #294456=ORIENTED_EDGE('',*,*,#211263,.T.); #294457=ORIENTED_EDGE('',*,*,#211266,.T.); #294458=ORIENTED_EDGE('',*,*,#211269,.T.); #294459=ORIENTED_EDGE('',*,*,#211272,.T.); #294460=ORIENTED_EDGE('',*,*,#211275,.T.); #294461=ORIENTED_EDGE('',*,*,#211278,.T.); #294462=ORIENTED_EDGE('',*,*,#211281,.T.); #294463=ORIENTED_EDGE('',*,*,#211284,.T.); #294464=ORIENTED_EDGE('',*,*,#211287,.T.); #294465=ORIENTED_EDGE('',*,*,#211290,.T.); #294466=ORIENTED_EDGE('',*,*,#211293,.T.); #294467=ORIENTED_EDGE('',*,*,#211296,.T.); #294468=ORIENTED_EDGE('',*,*,#211299,.T.); #294469=ORIENTED_EDGE('',*,*,#211302,.T.); #294470=ORIENTED_EDGE('',*,*,#211305,.T.); #294471=ORIENTED_EDGE('',*,*,#211308,.T.); #294472=ORIENTED_EDGE('',*,*,#211311,.T.); #294473=ORIENTED_EDGE('',*,*,#211314,.T.); #294474=ORIENTED_EDGE('',*,*,#211317,.T.); #294475=ORIENTED_EDGE('',*,*,#211320,.T.); #294476=ORIENTED_EDGE('',*,*,#211323,.T.); #294477=ORIENTED_EDGE('',*,*,#211326,.T.); #294478=ORIENTED_EDGE('',*,*,#211329,.T.); #294479=ORIENTED_EDGE('',*,*,#211332,.T.); #294480=ORIENTED_EDGE('',*,*,#211335,.T.); #294481=ORIENTED_EDGE('',*,*,#211338,.T.); #294482=ORIENTED_EDGE('',*,*,#211341,.T.); #294483=ORIENTED_EDGE('',*,*,#211344,.T.); #294484=ORIENTED_EDGE('',*,*,#211347,.T.); #294485=ORIENTED_EDGE('',*,*,#211350,.T.); #294486=ORIENTED_EDGE('',*,*,#211353,.T.); #294487=ORIENTED_EDGE('',*,*,#211356,.T.); #294488=ORIENTED_EDGE('',*,*,#211359,.T.); #294489=ORIENTED_EDGE('',*,*,#211362,.T.); #294490=ORIENTED_EDGE('',*,*,#211365,.T.); #294491=ORIENTED_EDGE('',*,*,#211368,.T.); #294492=ORIENTED_EDGE('',*,*,#211371,.T.); #294493=ORIENTED_EDGE('',*,*,#211374,.T.); #294494=ORIENTED_EDGE('',*,*,#211377,.T.); #294495=ORIENTED_EDGE('',*,*,#211380,.T.); #294496=ORIENTED_EDGE('',*,*,#211383,.T.); #294497=ORIENTED_EDGE('',*,*,#211386,.T.); #294498=ORIENTED_EDGE('',*,*,#211389,.T.); #294499=ORIENTED_EDGE('',*,*,#211392,.T.); #294500=ORIENTED_EDGE('',*,*,#211395,.T.); #294501=ORIENTED_EDGE('',*,*,#211398,.T.); #294502=ORIENTED_EDGE('',*,*,#211401,.T.); #294503=ORIENTED_EDGE('',*,*,#211404,.T.); #294504=ORIENTED_EDGE('',*,*,#211407,.T.); #294505=ORIENTED_EDGE('',*,*,#211410,.T.); #294506=ORIENTED_EDGE('',*,*,#211413,.T.); #294507=ORIENTED_EDGE('',*,*,#211416,.T.); #294508=ORIENTED_EDGE('',*,*,#211419,.T.); #294509=ORIENTED_EDGE('',*,*,#211422,.T.); #294510=ORIENTED_EDGE('',*,*,#211425,.T.); #294511=ORIENTED_EDGE('',*,*,#211428,.T.); #294512=ORIENTED_EDGE('',*,*,#211431,.T.); #294513=ORIENTED_EDGE('',*,*,#211434,.T.); #294514=ORIENTED_EDGE('',*,*,#211437,.T.); #294515=ORIENTED_EDGE('',*,*,#211440,.T.); #294516=ORIENTED_EDGE('',*,*,#211443,.T.); #294517=ORIENTED_EDGE('',*,*,#211446,.T.); #294518=ORIENTED_EDGE('',*,*,#211449,.T.); #294519=ORIENTED_EDGE('',*,*,#211452,.T.); #294520=ORIENTED_EDGE('',*,*,#211455,.T.); #294521=ORIENTED_EDGE('',*,*,#211458,.T.); #294522=ORIENTED_EDGE('',*,*,#211461,.T.); #294523=ORIENTED_EDGE('',*,*,#211464,.T.); #294524=ORIENTED_EDGE('',*,*,#211467,.T.); #294525=ORIENTED_EDGE('',*,*,#211470,.T.); #294526=ORIENTED_EDGE('',*,*,#211473,.T.); #294527=ORIENTED_EDGE('',*,*,#211476,.T.); #294528=ORIENTED_EDGE('',*,*,#211479,.T.); #294529=ORIENTED_EDGE('',*,*,#211482,.T.); #294530=ORIENTED_EDGE('',*,*,#211485,.T.); #294531=ORIENTED_EDGE('',*,*,#211488,.T.); #294532=ORIENTED_EDGE('',*,*,#211491,.T.); #294533=ORIENTED_EDGE('',*,*,#211494,.T.); #294534=ORIENTED_EDGE('',*,*,#211497,.T.); #294535=ORIENTED_EDGE('',*,*,#211500,.T.); #294536=ORIENTED_EDGE('',*,*,#211503,.T.); #294537=ORIENTED_EDGE('',*,*,#211506,.T.); #294538=ORIENTED_EDGE('',*,*,#211509,.T.); #294539=ORIENTED_EDGE('',*,*,#211512,.T.); #294540=ORIENTED_EDGE('',*,*,#211515,.T.); #294541=ORIENTED_EDGE('',*,*,#211518,.T.); #294542=ORIENTED_EDGE('',*,*,#211521,.T.); #294543=ORIENTED_EDGE('',*,*,#211524,.T.); #294544=ORIENTED_EDGE('',*,*,#211527,.T.); #294545=ORIENTED_EDGE('',*,*,#211530,.T.); #294546=ORIENTED_EDGE('',*,*,#211533,.T.); #294547=ORIENTED_EDGE('',*,*,#211536,.T.); #294548=ORIENTED_EDGE('',*,*,#211539,.T.); #294549=ORIENTED_EDGE('',*,*,#211542,.T.); #294550=ORIENTED_EDGE('',*,*,#211545,.T.); #294551=ORIENTED_EDGE('',*,*,#211548,.T.); #294552=ORIENTED_EDGE('',*,*,#211551,.T.); #294553=ORIENTED_EDGE('',*,*,#211554,.T.); #294554=ORIENTED_EDGE('',*,*,#211557,.T.); #294555=ORIENTED_EDGE('',*,*,#211560,.T.); #294556=ORIENTED_EDGE('',*,*,#211563,.T.); #294557=ORIENTED_EDGE('',*,*,#211566,.T.); #294558=ORIENTED_EDGE('',*,*,#211569,.T.); #294559=ORIENTED_EDGE('',*,*,#211572,.T.); #294560=ORIENTED_EDGE('',*,*,#211575,.T.); #294561=ORIENTED_EDGE('',*,*,#211578,.T.); #294562=ORIENTED_EDGE('',*,*,#211581,.T.); #294563=ORIENTED_EDGE('',*,*,#211584,.T.); #294564=ORIENTED_EDGE('',*,*,#211587,.T.); #294565=ORIENTED_EDGE('',*,*,#211590,.T.); #294566=ORIENTED_EDGE('',*,*,#211593,.T.); #294567=ORIENTED_EDGE('',*,*,#211596,.T.); #294568=ORIENTED_EDGE('',*,*,#211599,.T.); #294569=ORIENTED_EDGE('',*,*,#211602,.T.); #294570=ORIENTED_EDGE('',*,*,#211605,.T.); #294571=ORIENTED_EDGE('',*,*,#211608,.T.); #294572=ORIENTED_EDGE('',*,*,#211611,.T.); #294573=ORIENTED_EDGE('',*,*,#211614,.T.); #294574=ORIENTED_EDGE('',*,*,#211617,.T.); #294575=ORIENTED_EDGE('',*,*,#211620,.T.); #294576=ORIENTED_EDGE('',*,*,#211623,.T.); #294577=ORIENTED_EDGE('',*,*,#211626,.T.); #294578=ORIENTED_EDGE('',*,*,#211629,.T.); #294579=ORIENTED_EDGE('',*,*,#211632,.T.); #294580=ORIENTED_EDGE('',*,*,#211635,.T.); #294581=ORIENTED_EDGE('',*,*,#211638,.T.); #294582=ORIENTED_EDGE('',*,*,#211641,.T.); #294583=ORIENTED_EDGE('',*,*,#211644,.T.); #294584=ORIENTED_EDGE('',*,*,#211647,.T.); #294585=ORIENTED_EDGE('',*,*,#211650,.T.); #294586=ORIENTED_EDGE('',*,*,#211653,.T.); #294587=ORIENTED_EDGE('',*,*,#211656,.T.); #294588=ORIENTED_EDGE('',*,*,#211659,.T.); #294589=ORIENTED_EDGE('',*,*,#211662,.T.); #294590=ORIENTED_EDGE('',*,*,#211665,.T.); #294591=ORIENTED_EDGE('',*,*,#211668,.T.); #294592=ORIENTED_EDGE('',*,*,#211671,.T.); #294593=ORIENTED_EDGE('',*,*,#211674,.T.); #294594=ORIENTED_EDGE('',*,*,#211677,.T.); #294595=ORIENTED_EDGE('',*,*,#211680,.T.); #294596=ORIENTED_EDGE('',*,*,#211683,.T.); #294597=ORIENTED_EDGE('',*,*,#211686,.T.); #294598=ORIENTED_EDGE('',*,*,#211689,.T.); #294599=ORIENTED_EDGE('',*,*,#211692,.T.); #294600=ORIENTED_EDGE('',*,*,#211695,.T.); #294601=ORIENTED_EDGE('',*,*,#211698,.T.); #294602=ORIENTED_EDGE('',*,*,#211701,.T.); #294603=ORIENTED_EDGE('',*,*,#211704,.T.); #294604=ORIENTED_EDGE('',*,*,#211707,.T.); #294605=ORIENTED_EDGE('',*,*,#211710,.T.); #294606=ORIENTED_EDGE('',*,*,#211713,.T.); #294607=ORIENTED_EDGE('',*,*,#211716,.T.); #294608=ORIENTED_EDGE('',*,*,#211719,.T.); #294609=ORIENTED_EDGE('',*,*,#211722,.T.); #294610=ORIENTED_EDGE('',*,*,#211725,.T.); #294611=ORIENTED_EDGE('',*,*,#211728,.T.); #294612=ORIENTED_EDGE('',*,*,#211731,.T.); #294613=ORIENTED_EDGE('',*,*,#211734,.T.); #294614=ORIENTED_EDGE('',*,*,#211737,.T.); #294615=ORIENTED_EDGE('',*,*,#211740,.T.); #294616=ORIENTED_EDGE('',*,*,#211743,.T.); #294617=ORIENTED_EDGE('',*,*,#211746,.T.); #294618=ORIENTED_EDGE('',*,*,#211749,.T.); #294619=ORIENTED_EDGE('',*,*,#211752,.T.); #294620=ORIENTED_EDGE('',*,*,#211755,.T.); #294621=ORIENTED_EDGE('',*,*,#211758,.T.); #294622=ORIENTED_EDGE('',*,*,#211761,.T.); #294623=ORIENTED_EDGE('',*,*,#211764,.T.); #294624=ORIENTED_EDGE('',*,*,#211767,.T.); #294625=ORIENTED_EDGE('',*,*,#211770,.T.); #294626=ORIENTED_EDGE('',*,*,#211773,.T.); #294627=ORIENTED_EDGE('',*,*,#211776,.T.); #294628=ORIENTED_EDGE('',*,*,#211779,.T.); #294629=ORIENTED_EDGE('',*,*,#211782,.T.); #294630=ORIENTED_EDGE('',*,*,#211785,.T.); #294631=ORIENTED_EDGE('',*,*,#211788,.T.); #294632=ORIENTED_EDGE('',*,*,#211791,.T.); #294633=ORIENTED_EDGE('',*,*,#211794,.T.); #294634=ORIENTED_EDGE('',*,*,#211797,.T.); #294635=ORIENTED_EDGE('',*,*,#211800,.T.); #294636=ORIENTED_EDGE('',*,*,#211803,.T.); #294637=ORIENTED_EDGE('',*,*,#211806,.T.); #294638=ORIENTED_EDGE('',*,*,#211809,.T.); #294639=ORIENTED_EDGE('',*,*,#211812,.T.); #294640=ORIENTED_EDGE('',*,*,#211815,.T.); #294641=ORIENTED_EDGE('',*,*,#211818,.T.); #294642=ORIENTED_EDGE('',*,*,#211821,.T.); #294643=ORIENTED_EDGE('',*,*,#211824,.T.); #294644=ORIENTED_EDGE('',*,*,#211827,.T.); #294645=ORIENTED_EDGE('',*,*,#211830,.T.); #294646=ORIENTED_EDGE('',*,*,#211833,.T.); #294647=ORIENTED_EDGE('',*,*,#211836,.T.); #294648=ORIENTED_EDGE('',*,*,#211839,.T.); #294649=ORIENTED_EDGE('',*,*,#211842,.T.); #294650=ORIENTED_EDGE('',*,*,#211845,.T.); #294651=ORIENTED_EDGE('',*,*,#211848,.T.); #294652=ORIENTED_EDGE('',*,*,#211851,.T.); #294653=ORIENTED_EDGE('',*,*,#211854,.T.); #294654=ORIENTED_EDGE('',*,*,#211857,.T.); #294655=ORIENTED_EDGE('',*,*,#211860,.T.); #294656=ORIENTED_EDGE('',*,*,#211863,.T.); #294657=ORIENTED_EDGE('',*,*,#211866,.T.); #294658=ORIENTED_EDGE('',*,*,#211869,.T.); #294659=ORIENTED_EDGE('',*,*,#211872,.T.); #294660=ORIENTED_EDGE('',*,*,#211875,.T.); #294661=ORIENTED_EDGE('',*,*,#211878,.T.); #294662=ORIENTED_EDGE('',*,*,#211881,.T.); #294663=ORIENTED_EDGE('',*,*,#211884,.T.); #294664=ORIENTED_EDGE('',*,*,#211887,.T.); #294665=ORIENTED_EDGE('',*,*,#211890,.T.); #294666=ORIENTED_EDGE('',*,*,#211893,.T.); #294667=ORIENTED_EDGE('',*,*,#211896,.T.); #294668=ORIENTED_EDGE('',*,*,#211899,.T.); #294669=ORIENTED_EDGE('',*,*,#211902,.T.); #294670=ORIENTED_EDGE('',*,*,#211905,.T.); #294671=ORIENTED_EDGE('',*,*,#211908,.T.); #294672=ORIENTED_EDGE('',*,*,#211911,.T.); #294673=ORIENTED_EDGE('',*,*,#211914,.T.); #294674=ORIENTED_EDGE('',*,*,#211917,.T.); #294675=ORIENTED_EDGE('',*,*,#211920,.T.); #294676=ORIENTED_EDGE('',*,*,#211923,.T.); #294677=ORIENTED_EDGE('',*,*,#211926,.T.); #294678=ORIENTED_EDGE('',*,*,#211929,.T.); #294679=ORIENTED_EDGE('',*,*,#211932,.T.); #294680=ORIENTED_EDGE('',*,*,#211935,.T.); #294681=ORIENTED_EDGE('',*,*,#211938,.T.); #294682=ORIENTED_EDGE('',*,*,#211941,.T.); #294683=ORIENTED_EDGE('',*,*,#211944,.T.); #294684=ORIENTED_EDGE('',*,*,#211947,.T.); #294685=ORIENTED_EDGE('',*,*,#211950,.T.); #294686=ORIENTED_EDGE('',*,*,#211953,.T.); #294687=ORIENTED_EDGE('',*,*,#211956,.T.); #294688=ORIENTED_EDGE('',*,*,#211959,.T.); #294689=ORIENTED_EDGE('',*,*,#211962,.T.); #294690=ORIENTED_EDGE('',*,*,#211965,.T.); #294691=ORIENTED_EDGE('',*,*,#211968,.T.); #294692=ORIENTED_EDGE('',*,*,#211971,.T.); #294693=ORIENTED_EDGE('',*,*,#211974,.T.); #294694=ORIENTED_EDGE('',*,*,#211977,.T.); #294695=ORIENTED_EDGE('',*,*,#211980,.T.); #294696=ORIENTED_EDGE('',*,*,#211983,.T.); #294697=ORIENTED_EDGE('',*,*,#211986,.T.); #294698=ORIENTED_EDGE('',*,*,#211989,.T.); #294699=ORIENTED_EDGE('',*,*,#211992,.T.); #294700=ORIENTED_EDGE('',*,*,#211995,.T.); #294701=ORIENTED_EDGE('',*,*,#211998,.T.); #294702=ORIENTED_EDGE('',*,*,#212001,.T.); #294703=ORIENTED_EDGE('',*,*,#212004,.T.); #294704=ORIENTED_EDGE('',*,*,#212007,.T.); #294705=ORIENTED_EDGE('',*,*,#212010,.T.); #294706=ORIENTED_EDGE('',*,*,#212013,.T.); #294707=ORIENTED_EDGE('',*,*,#212016,.T.); #294708=ORIENTED_EDGE('',*,*,#212019,.T.); #294709=ORIENTED_EDGE('',*,*,#212022,.T.); #294710=ORIENTED_EDGE('',*,*,#212025,.T.); #294711=ORIENTED_EDGE('',*,*,#212028,.T.); #294712=ORIENTED_EDGE('',*,*,#212031,.T.); #294713=ORIENTED_EDGE('',*,*,#212034,.F.); #294714=ORIENTED_EDGE('',*,*,#210984,.T.); #294715=ORIENTED_EDGE('',*,*,#210987,.T.); #294716=ORIENTED_EDGE('',*,*,#210988,.T.); #294717=ORIENTED_EDGE('',*,*,#210991,.T.); #294718=ORIENTED_EDGE('',*,*,#210994,.T.); #294719=ORIENTED_EDGE('',*,*,#210997,.T.); #294720=ORIENTED_EDGE('',*,*,#211000,.T.); #294721=ORIENTED_EDGE('',*,*,#211003,.T.); #294722=ORIENTED_EDGE('',*,*,#211006,.T.); #294723=ORIENTED_EDGE('',*,*,#211009,.T.); #294724=ORIENTED_EDGE('',*,*,#211012,.T.); #294725=ORIENTED_EDGE('',*,*,#211015,.T.); #294726=ORIENTED_EDGE('',*,*,#211018,.T.); #294727=ORIENTED_EDGE('',*,*,#211021,.T.); #294728=ORIENTED_EDGE('',*,*,#211024,.T.); #294729=ORIENTED_EDGE('',*,*,#211027,.T.); #294730=ORIENTED_EDGE('',*,*,#211030,.T.); #294731=ORIENTED_EDGE('',*,*,#211033,.T.); #294732=ORIENTED_EDGE('',*,*,#211036,.T.); #294733=ORIENTED_EDGE('',*,*,#211039,.T.); #294734=ORIENTED_EDGE('',*,*,#211042,.T.); #294735=ORIENTED_EDGE('',*,*,#211045,.T.); #294736=ORIENTED_EDGE('',*,*,#211048,.T.); #294737=ORIENTED_EDGE('',*,*,#211051,.T.); #294738=ORIENTED_EDGE('',*,*,#211054,.T.); #294739=ORIENTED_EDGE('',*,*,#211057,.T.); #294740=ORIENTED_EDGE('',*,*,#211060,.T.); #294741=ORIENTED_EDGE('',*,*,#211063,.T.); #294742=ORIENTED_EDGE('',*,*,#211066,.T.); #294743=ORIENTED_EDGE('',*,*,#211069,.T.); #294744=ORIENTED_EDGE('',*,*,#211072,.T.); #294745=ORIENTED_EDGE('',*,*,#211075,.T.); #294746=ORIENTED_EDGE('',*,*,#211078,.T.); #294747=ORIENTED_EDGE('',*,*,#211081,.T.); #294748=ORIENTED_EDGE('',*,*,#211084,.T.); #294749=ORIENTED_EDGE('',*,*,#211087,.T.); #294750=ORIENTED_EDGE('',*,*,#211090,.T.); #294751=ORIENTED_EDGE('',*,*,#211093,.T.); #294752=ORIENTED_EDGE('',*,*,#211096,.T.); #294753=ORIENTED_EDGE('',*,*,#211107,.T.); #294754=ORIENTED_EDGE('',*,*,#211104,.T.); #294755=ORIENTED_EDGE('',*,*,#211101,.T.); #294756=ORIENTED_EDGE('',*,*,#211110,.T.); #294757=ORIENTED_EDGE('',*,*,#211111,.T.); #294758=ORIENTED_EDGE('',*,*,#211122,.T.); #294759=ORIENTED_EDGE('',*,*,#211119,.T.); #294760=ORIENTED_EDGE('',*,*,#211116,.T.); #294761=ORIENTED_EDGE('',*,*,#211125,.T.); #294762=ORIENTED_EDGE('',*,*,#211126,.T.); #294763=ORIENTED_EDGE('',*,*,#211129,.T.); #294764=ORIENTED_EDGE('',*,*,#211132,.T.); #294765=ORIENTED_EDGE('',*,*,#211135,.T.); #294766=ORIENTED_EDGE('',*,*,#211138,.T.); #294767=ORIENTED_EDGE('',*,*,#211141,.T.); #294768=ORIENTED_EDGE('',*,*,#211144,.T.); #294769=ORIENTED_EDGE('',*,*,#211147,.T.); #294770=ORIENTED_EDGE('',*,*,#211150,.T.); #294771=ORIENTED_EDGE('',*,*,#211153,.T.); #294772=ORIENTED_EDGE('',*,*,#211156,.T.); #294773=ORIENTED_EDGE('',*,*,#211167,.T.); #294774=ORIENTED_EDGE('',*,*,#211164,.T.); #294775=ORIENTED_EDGE('',*,*,#211161,.T.); #294776=ORIENTED_EDGE('',*,*,#211170,.T.); #294777=ORIENTED_EDGE('',*,*,#211171,.T.); #294778=ORIENTED_EDGE('',*,*,#211182,.T.); #294779=ORIENTED_EDGE('',*,*,#211179,.T.); #294780=ORIENTED_EDGE('',*,*,#211176,.T.); #294781=ORIENTED_EDGE('',*,*,#211185,.T.); #294782=ORIENTED_EDGE('',*,*,#211186,.T.); #294783=ORIENTED_EDGE('',*,*,#211197,.T.); #294784=ORIENTED_EDGE('',*,*,#211194,.T.); #294785=ORIENTED_EDGE('',*,*,#211191,.T.); #294786=ORIENTED_EDGE('',*,*,#211200,.T.); #294787=ORIENTED_EDGE('',*,*,#211201,.T.); #294788=ORIENTED_EDGE('',*,*,#211212,.T.); #294789=ORIENTED_EDGE('',*,*,#211209,.T.); #294790=ORIENTED_EDGE('',*,*,#211206,.T.); #294791=ORIENTED_EDGE('',*,*,#211215,.T.); #294792=ORIENTED_EDGE('',*,*,#211216,.T.); #294793=ORIENTED_EDGE('',*,*,#211219,.T.); #294794=ORIENTED_EDGE('',*,*,#211222,.T.); #294795=ORIENTED_EDGE('',*,*,#211225,.T.); #294796=ORIENTED_EDGE('',*,*,#211228,.T.); #294797=ORIENTED_EDGE('',*,*,#211231,.T.); #294798=ORIENTED_EDGE('',*,*,#211234,.T.); #294799=ORIENTED_EDGE('',*,*,#211237,.T.); #294800=ORIENTED_EDGE('',*,*,#211240,.T.); #294801=ORIENTED_EDGE('',*,*,#211243,.T.); #294802=ORIENTED_EDGE('',*,*,#211246,.T.); #294803=ORIENTED_EDGE('',*,*,#211249,.T.); #294804=ORIENTED_EDGE('',*,*,#211252,.T.); #294805=ORIENTED_EDGE('',*,*,#211255,.T.); #294806=ORIENTED_EDGE('',*,*,#211258,.T.); #294807=ORIENTED_EDGE('',*,*,#211261,.T.); #294808=ORIENTED_EDGE('',*,*,#211264,.T.); #294809=ORIENTED_EDGE('',*,*,#211267,.T.); #294810=ORIENTED_EDGE('',*,*,#211270,.T.); #294811=ORIENTED_EDGE('',*,*,#211273,.T.); #294812=ORIENTED_EDGE('',*,*,#211276,.T.); #294813=ORIENTED_EDGE('',*,*,#211279,.T.); #294814=ORIENTED_EDGE('',*,*,#211282,.T.); #294815=ORIENTED_EDGE('',*,*,#211285,.T.); #294816=ORIENTED_EDGE('',*,*,#211288,.T.); #294817=ORIENTED_EDGE('',*,*,#211291,.T.); #294818=ORIENTED_EDGE('',*,*,#211294,.T.); #294819=ORIENTED_EDGE('',*,*,#211297,.T.); #294820=ORIENTED_EDGE('',*,*,#211300,.T.); #294821=ORIENTED_EDGE('',*,*,#211303,.T.); #294822=ORIENTED_EDGE('',*,*,#211306,.T.); #294823=ORIENTED_EDGE('',*,*,#211309,.T.); #294824=ORIENTED_EDGE('',*,*,#211312,.T.); #294825=ORIENTED_EDGE('',*,*,#211315,.T.); #294826=ORIENTED_EDGE('',*,*,#211318,.T.); #294827=ORIENTED_EDGE('',*,*,#211321,.T.); #294828=ORIENTED_EDGE('',*,*,#211324,.T.); #294829=ORIENTED_EDGE('',*,*,#211327,.T.); #294830=ORIENTED_EDGE('',*,*,#211330,.T.); #294831=ORIENTED_EDGE('',*,*,#211333,.T.); #294832=ORIENTED_EDGE('',*,*,#211336,.T.); #294833=ORIENTED_EDGE('',*,*,#211339,.T.); #294834=ORIENTED_EDGE('',*,*,#211342,.T.); #294835=ORIENTED_EDGE('',*,*,#211345,.T.); #294836=ORIENTED_EDGE('',*,*,#211348,.T.); #294837=ORIENTED_EDGE('',*,*,#211351,.T.); #294838=ORIENTED_EDGE('',*,*,#211354,.T.); #294839=ORIENTED_EDGE('',*,*,#211357,.T.); #294840=ORIENTED_EDGE('',*,*,#211360,.T.); #294841=ORIENTED_EDGE('',*,*,#211363,.T.); #294842=ORIENTED_EDGE('',*,*,#211366,.T.); #294843=ORIENTED_EDGE('',*,*,#211369,.T.); #294844=ORIENTED_EDGE('',*,*,#211372,.T.); #294845=ORIENTED_EDGE('',*,*,#211375,.T.); #294846=ORIENTED_EDGE('',*,*,#211378,.T.); #294847=ORIENTED_EDGE('',*,*,#211381,.T.); #294848=ORIENTED_EDGE('',*,*,#211384,.T.); #294849=ORIENTED_EDGE('',*,*,#211387,.T.); #294850=ORIENTED_EDGE('',*,*,#211390,.T.); #294851=ORIENTED_EDGE('',*,*,#211393,.T.); #294852=ORIENTED_EDGE('',*,*,#211396,.T.); #294853=ORIENTED_EDGE('',*,*,#211399,.T.); #294854=ORIENTED_EDGE('',*,*,#211402,.T.); #294855=ORIENTED_EDGE('',*,*,#211405,.T.); #294856=ORIENTED_EDGE('',*,*,#211408,.T.); #294857=ORIENTED_EDGE('',*,*,#211411,.T.); #294858=ORIENTED_EDGE('',*,*,#211414,.T.); #294859=ORIENTED_EDGE('',*,*,#211417,.T.); #294860=ORIENTED_EDGE('',*,*,#211420,.T.); #294861=ORIENTED_EDGE('',*,*,#211423,.T.); #294862=ORIENTED_EDGE('',*,*,#211426,.T.); #294863=ORIENTED_EDGE('',*,*,#211429,.T.); #294864=ORIENTED_EDGE('',*,*,#211432,.T.); #294865=ORIENTED_EDGE('',*,*,#211435,.T.); #294866=ORIENTED_EDGE('',*,*,#211438,.T.); #294867=ORIENTED_EDGE('',*,*,#211441,.T.); #294868=ORIENTED_EDGE('',*,*,#211444,.T.); #294869=ORIENTED_EDGE('',*,*,#211447,.T.); #294870=ORIENTED_EDGE('',*,*,#211450,.T.); #294871=ORIENTED_EDGE('',*,*,#211453,.T.); #294872=ORIENTED_EDGE('',*,*,#211456,.T.); #294873=ORIENTED_EDGE('',*,*,#211459,.T.); #294874=ORIENTED_EDGE('',*,*,#211462,.T.); #294875=ORIENTED_EDGE('',*,*,#211465,.T.); #294876=ORIENTED_EDGE('',*,*,#211468,.T.); #294877=ORIENTED_EDGE('',*,*,#211471,.T.); #294878=ORIENTED_EDGE('',*,*,#211474,.T.); #294879=ORIENTED_EDGE('',*,*,#211477,.T.); #294880=ORIENTED_EDGE('',*,*,#211480,.T.); #294881=ORIENTED_EDGE('',*,*,#211483,.T.); #294882=ORIENTED_EDGE('',*,*,#211486,.T.); #294883=ORIENTED_EDGE('',*,*,#211489,.T.); #294884=ORIENTED_EDGE('',*,*,#211492,.T.); #294885=ORIENTED_EDGE('',*,*,#211495,.T.); #294886=ORIENTED_EDGE('',*,*,#211498,.T.); #294887=ORIENTED_EDGE('',*,*,#211501,.T.); #294888=ORIENTED_EDGE('',*,*,#211504,.T.); #294889=ORIENTED_EDGE('',*,*,#211507,.T.); #294890=ORIENTED_EDGE('',*,*,#211510,.T.); #294891=ORIENTED_EDGE('',*,*,#211513,.T.); #294892=ORIENTED_EDGE('',*,*,#211516,.T.); #294893=ORIENTED_EDGE('',*,*,#211519,.T.); #294894=ORIENTED_EDGE('',*,*,#211522,.T.); #294895=ORIENTED_EDGE('',*,*,#211525,.T.); #294896=ORIENTED_EDGE('',*,*,#211528,.T.); #294897=ORIENTED_EDGE('',*,*,#211531,.T.); #294898=ORIENTED_EDGE('',*,*,#211534,.T.); #294899=ORIENTED_EDGE('',*,*,#211537,.T.); #294900=ORIENTED_EDGE('',*,*,#211540,.T.); #294901=ORIENTED_EDGE('',*,*,#211543,.T.); #294902=ORIENTED_EDGE('',*,*,#211546,.T.); #294903=ORIENTED_EDGE('',*,*,#211549,.T.); #294904=ORIENTED_EDGE('',*,*,#211552,.T.); #294905=ORIENTED_EDGE('',*,*,#211555,.T.); #294906=ORIENTED_EDGE('',*,*,#211558,.T.); #294907=ORIENTED_EDGE('',*,*,#211561,.T.); #294908=ORIENTED_EDGE('',*,*,#211564,.T.); #294909=ORIENTED_EDGE('',*,*,#211567,.T.); #294910=ORIENTED_EDGE('',*,*,#211570,.T.); #294911=ORIENTED_EDGE('',*,*,#211573,.T.); #294912=ORIENTED_EDGE('',*,*,#211576,.T.); #294913=ORIENTED_EDGE('',*,*,#211579,.T.); #294914=ORIENTED_EDGE('',*,*,#211582,.T.); #294915=ORIENTED_EDGE('',*,*,#211585,.T.); #294916=ORIENTED_EDGE('',*,*,#211588,.T.); #294917=ORIENTED_EDGE('',*,*,#211591,.T.); #294918=ORIENTED_EDGE('',*,*,#211594,.T.); #294919=ORIENTED_EDGE('',*,*,#211597,.T.); #294920=ORIENTED_EDGE('',*,*,#211600,.T.); #294921=ORIENTED_EDGE('',*,*,#211603,.T.); #294922=ORIENTED_EDGE('',*,*,#211606,.T.); #294923=ORIENTED_EDGE('',*,*,#211609,.T.); #294924=ORIENTED_EDGE('',*,*,#211612,.T.); #294925=ORIENTED_EDGE('',*,*,#211615,.T.); #294926=ORIENTED_EDGE('',*,*,#211618,.T.); #294927=ORIENTED_EDGE('',*,*,#211621,.T.); #294928=ORIENTED_EDGE('',*,*,#211624,.T.); #294929=ORIENTED_EDGE('',*,*,#211627,.T.); #294930=ORIENTED_EDGE('',*,*,#211630,.T.); #294931=ORIENTED_EDGE('',*,*,#211633,.T.); #294932=ORIENTED_EDGE('',*,*,#211636,.T.); #294933=ORIENTED_EDGE('',*,*,#211639,.T.); #294934=ORIENTED_EDGE('',*,*,#211642,.T.); #294935=ORIENTED_EDGE('',*,*,#211645,.T.); #294936=ORIENTED_EDGE('',*,*,#211648,.T.); #294937=ORIENTED_EDGE('',*,*,#211651,.T.); #294938=ORIENTED_EDGE('',*,*,#211654,.T.); #294939=ORIENTED_EDGE('',*,*,#211657,.T.); #294940=ORIENTED_EDGE('',*,*,#211660,.T.); #294941=ORIENTED_EDGE('',*,*,#211663,.T.); #294942=ORIENTED_EDGE('',*,*,#211666,.T.); #294943=ORIENTED_EDGE('',*,*,#211669,.T.); #294944=ORIENTED_EDGE('',*,*,#211672,.T.); #294945=ORIENTED_EDGE('',*,*,#211675,.T.); #294946=ORIENTED_EDGE('',*,*,#211678,.T.); #294947=ORIENTED_EDGE('',*,*,#211681,.T.); #294948=ORIENTED_EDGE('',*,*,#211684,.T.); #294949=ORIENTED_EDGE('',*,*,#211687,.T.); #294950=ORIENTED_EDGE('',*,*,#211690,.T.); #294951=ORIENTED_EDGE('',*,*,#211693,.T.); #294952=ORIENTED_EDGE('',*,*,#211696,.T.); #294953=ORIENTED_EDGE('',*,*,#211699,.T.); #294954=ORIENTED_EDGE('',*,*,#211702,.T.); #294955=ORIENTED_EDGE('',*,*,#211705,.T.); #294956=ORIENTED_EDGE('',*,*,#211708,.T.); #294957=ORIENTED_EDGE('',*,*,#211711,.T.); #294958=ORIENTED_EDGE('',*,*,#211714,.T.); #294959=ORIENTED_EDGE('',*,*,#211717,.T.); #294960=ORIENTED_EDGE('',*,*,#211720,.T.); #294961=ORIENTED_EDGE('',*,*,#211723,.T.); #294962=ORIENTED_EDGE('',*,*,#211726,.T.); #294963=ORIENTED_EDGE('',*,*,#211729,.T.); #294964=ORIENTED_EDGE('',*,*,#211732,.T.); #294965=ORIENTED_EDGE('',*,*,#211735,.T.); #294966=ORIENTED_EDGE('',*,*,#211738,.T.); #294967=ORIENTED_EDGE('',*,*,#211741,.T.); #294968=ORIENTED_EDGE('',*,*,#211744,.T.); #294969=ORIENTED_EDGE('',*,*,#211747,.T.); #294970=ORIENTED_EDGE('',*,*,#211750,.T.); #294971=ORIENTED_EDGE('',*,*,#211753,.T.); #294972=ORIENTED_EDGE('',*,*,#211756,.T.); #294973=ORIENTED_EDGE('',*,*,#211759,.T.); #294974=ORIENTED_EDGE('',*,*,#211762,.T.); #294975=ORIENTED_EDGE('',*,*,#211765,.T.); #294976=ORIENTED_EDGE('',*,*,#211768,.T.); #294977=ORIENTED_EDGE('',*,*,#211771,.T.); #294978=ORIENTED_EDGE('',*,*,#211774,.T.); #294979=ORIENTED_EDGE('',*,*,#211777,.T.); #294980=ORIENTED_EDGE('',*,*,#211780,.T.); #294981=ORIENTED_EDGE('',*,*,#211783,.T.); #294982=ORIENTED_EDGE('',*,*,#211786,.T.); #294983=ORIENTED_EDGE('',*,*,#211789,.T.); #294984=ORIENTED_EDGE('',*,*,#211792,.T.); #294985=ORIENTED_EDGE('',*,*,#211795,.T.); #294986=ORIENTED_EDGE('',*,*,#211798,.T.); #294987=ORIENTED_EDGE('',*,*,#211801,.T.); #294988=ORIENTED_EDGE('',*,*,#211804,.T.); #294989=ORIENTED_EDGE('',*,*,#211807,.T.); #294990=ORIENTED_EDGE('',*,*,#211810,.T.); #294991=ORIENTED_EDGE('',*,*,#211813,.T.); #294992=ORIENTED_EDGE('',*,*,#211816,.T.); #294993=ORIENTED_EDGE('',*,*,#211819,.T.); #294994=ORIENTED_EDGE('',*,*,#211822,.T.); #294995=ORIENTED_EDGE('',*,*,#211825,.T.); #294996=ORIENTED_EDGE('',*,*,#211828,.T.); #294997=ORIENTED_EDGE('',*,*,#211831,.T.); #294998=ORIENTED_EDGE('',*,*,#211834,.T.); #294999=ORIENTED_EDGE('',*,*,#211837,.T.); #295000=ORIENTED_EDGE('',*,*,#211840,.T.); #295001=ORIENTED_EDGE('',*,*,#211843,.T.); #295002=ORIENTED_EDGE('',*,*,#211846,.T.); #295003=ORIENTED_EDGE('',*,*,#211849,.T.); #295004=ORIENTED_EDGE('',*,*,#211852,.T.); #295005=ORIENTED_EDGE('',*,*,#211855,.T.); #295006=ORIENTED_EDGE('',*,*,#211858,.T.); #295007=ORIENTED_EDGE('',*,*,#211861,.T.); #295008=ORIENTED_EDGE('',*,*,#211864,.T.); #295009=ORIENTED_EDGE('',*,*,#211867,.T.); #295010=ORIENTED_EDGE('',*,*,#211870,.T.); #295011=ORIENTED_EDGE('',*,*,#211873,.T.); #295012=ORIENTED_EDGE('',*,*,#211876,.T.); #295013=ORIENTED_EDGE('',*,*,#211879,.T.); #295014=ORIENTED_EDGE('',*,*,#211882,.T.); #295015=ORIENTED_EDGE('',*,*,#211885,.T.); #295016=ORIENTED_EDGE('',*,*,#211888,.T.); #295017=ORIENTED_EDGE('',*,*,#211891,.T.); #295018=ORIENTED_EDGE('',*,*,#211894,.T.); #295019=ORIENTED_EDGE('',*,*,#211897,.T.); #295020=ORIENTED_EDGE('',*,*,#211900,.T.); #295021=ORIENTED_EDGE('',*,*,#211903,.T.); #295022=ORIENTED_EDGE('',*,*,#211906,.T.); #295023=ORIENTED_EDGE('',*,*,#211909,.T.); #295024=ORIENTED_EDGE('',*,*,#211912,.T.); #295025=ORIENTED_EDGE('',*,*,#211915,.T.); #295026=ORIENTED_EDGE('',*,*,#211918,.T.); #295027=ORIENTED_EDGE('',*,*,#211921,.T.); #295028=ORIENTED_EDGE('',*,*,#211924,.T.); #295029=ORIENTED_EDGE('',*,*,#211927,.T.); #295030=ORIENTED_EDGE('',*,*,#211930,.T.); #295031=ORIENTED_EDGE('',*,*,#211933,.T.); #295032=ORIENTED_EDGE('',*,*,#211936,.T.); #295033=ORIENTED_EDGE('',*,*,#211939,.T.); #295034=ORIENTED_EDGE('',*,*,#211942,.T.); #295035=ORIENTED_EDGE('',*,*,#211945,.T.); #295036=ORIENTED_EDGE('',*,*,#211948,.T.); #295037=ORIENTED_EDGE('',*,*,#211951,.T.); #295038=ORIENTED_EDGE('',*,*,#211954,.T.); #295039=ORIENTED_EDGE('',*,*,#211957,.T.); #295040=ORIENTED_EDGE('',*,*,#211960,.T.); #295041=ORIENTED_EDGE('',*,*,#211963,.T.); #295042=ORIENTED_EDGE('',*,*,#211966,.T.); #295043=ORIENTED_EDGE('',*,*,#211969,.T.); #295044=ORIENTED_EDGE('',*,*,#211972,.T.); #295045=ORIENTED_EDGE('',*,*,#211975,.T.); #295046=ORIENTED_EDGE('',*,*,#211978,.T.); #295047=ORIENTED_EDGE('',*,*,#211981,.T.); #295048=ORIENTED_EDGE('',*,*,#211984,.T.); #295049=ORIENTED_EDGE('',*,*,#211987,.T.); #295050=ORIENTED_EDGE('',*,*,#211990,.T.); #295051=ORIENTED_EDGE('',*,*,#211993,.T.); #295052=ORIENTED_EDGE('',*,*,#211996,.T.); #295053=ORIENTED_EDGE('',*,*,#211999,.T.); #295054=ORIENTED_EDGE('',*,*,#212002,.T.); #295055=ORIENTED_EDGE('',*,*,#212005,.T.); #295056=ORIENTED_EDGE('',*,*,#212008,.T.); #295057=ORIENTED_EDGE('',*,*,#212011,.T.); #295058=ORIENTED_EDGE('',*,*,#212014,.T.); #295059=ORIENTED_EDGE('',*,*,#212017,.T.); #295060=ORIENTED_EDGE('',*,*,#212020,.T.); #295061=ORIENTED_EDGE('',*,*,#212023,.T.); #295062=ORIENTED_EDGE('',*,*,#212026,.T.); #295063=ORIENTED_EDGE('',*,*,#212029,.T.); #295064=ORIENTED_EDGE('',*,*,#212035,.F.); #295065=ORIENTED_EDGE('',*,*,#212036,.T.); #295066=ORIENTED_EDGE('',*,*,#212037,.F.); #295067=ORIENTED_EDGE('',*,*,#212036,.F.); #295068=ORIENTED_EDGE('',*,*,#212038,.F.); #295069=ORIENTED_EDGE('',*,*,#212039,.T.); #295070=ORIENTED_EDGE('',*,*,#212040,.F.); #295071=ORIENTED_EDGE('',*,*,#212039,.F.); #295072=ORIENTED_EDGE('',*,*,#212041,.F.); #295073=ORIENTED_EDGE('',*,*,#212042,.T.); #295074=ORIENTED_EDGE('',*,*,#212043,.F.); #295075=ORIENTED_EDGE('',*,*,#212042,.F.); #295076=ORIENTED_EDGE('',*,*,#212044,.F.); #295077=ORIENTED_EDGE('',*,*,#212045,.T.); #295078=ORIENTED_EDGE('',*,*,#212046,.F.); #295079=ORIENTED_EDGE('',*,*,#212045,.F.); #295080=ORIENTED_EDGE('',*,*,#212047,.F.); #295081=ORIENTED_EDGE('',*,*,#212048,.T.); #295082=ORIENTED_EDGE('',*,*,#212049,.F.); #295083=ORIENTED_EDGE('',*,*,#212048,.F.); #295084=ORIENTED_EDGE('',*,*,#212050,.F.); #295085=ORIENTED_EDGE('',*,*,#212051,.T.); #295086=ORIENTED_EDGE('',*,*,#212052,.F.); #295087=ORIENTED_EDGE('',*,*,#212051,.F.); #295088=ORIENTED_EDGE('',*,*,#212053,.F.); #295089=ORIENTED_EDGE('',*,*,#212054,.T.); #295090=ORIENTED_EDGE('',*,*,#212055,.F.); #295091=ORIENTED_EDGE('',*,*,#212054,.F.); #295092=ORIENTED_EDGE('',*,*,#212056,.F.); #295093=ORIENTED_EDGE('',*,*,#212057,.T.); #295094=ORIENTED_EDGE('',*,*,#212058,.F.); #295095=ORIENTED_EDGE('',*,*,#212057,.F.); #295096=ORIENTED_EDGE('',*,*,#212059,.F.); #295097=ORIENTED_EDGE('',*,*,#212060,.T.); #295098=ORIENTED_EDGE('',*,*,#212061,.F.); #295099=ORIENTED_EDGE('',*,*,#212060,.F.); #295100=ORIENTED_EDGE('',*,*,#212062,.F.); #295101=ORIENTED_EDGE('',*,*,#212063,.T.); #295102=ORIENTED_EDGE('',*,*,#212064,.F.); #295103=ORIENTED_EDGE('',*,*,#212063,.F.); #295104=ORIENTED_EDGE('',*,*,#212065,.F.); #295105=ORIENTED_EDGE('',*,*,#212066,.T.); #295106=ORIENTED_EDGE('',*,*,#212067,.F.); #295107=ORIENTED_EDGE('',*,*,#212066,.F.); #295108=ORIENTED_EDGE('',*,*,#212068,.F.); #295109=ORIENTED_EDGE('',*,*,#212069,.T.); #295110=ORIENTED_EDGE('',*,*,#212070,.F.); #295111=ORIENTED_EDGE('',*,*,#212069,.F.); #295112=ORIENTED_EDGE('',*,*,#212071,.F.); #295113=ORIENTED_EDGE('',*,*,#212072,.T.); #295114=ORIENTED_EDGE('',*,*,#212073,.F.); #295115=ORIENTED_EDGE('',*,*,#212072,.F.); #295116=ORIENTED_EDGE('',*,*,#212074,.F.); #295117=ORIENTED_EDGE('',*,*,#212075,.T.); #295118=ORIENTED_EDGE('',*,*,#212076,.F.); #295119=ORIENTED_EDGE('',*,*,#212075,.F.); #295120=ORIENTED_EDGE('',*,*,#212077,.F.); #295121=ORIENTED_EDGE('',*,*,#212078,.T.); #295122=ORIENTED_EDGE('',*,*,#212079,.F.); #295123=ORIENTED_EDGE('',*,*,#212078,.F.); #295124=ORIENTED_EDGE('',*,*,#212080,.F.); #295125=ORIENTED_EDGE('',*,*,#212081,.T.); #295126=ORIENTED_EDGE('',*,*,#212082,.F.); #295127=ORIENTED_EDGE('',*,*,#212081,.F.); #295128=ORIENTED_EDGE('',*,*,#212083,.F.); #295129=ORIENTED_EDGE('',*,*,#212084,.T.); #295130=ORIENTED_EDGE('',*,*,#212085,.F.); #295131=ORIENTED_EDGE('',*,*,#212084,.F.); #295132=ORIENTED_EDGE('',*,*,#212086,.F.); #295133=ORIENTED_EDGE('',*,*,#212087,.T.); #295134=ORIENTED_EDGE('',*,*,#212088,.F.); #295135=ORIENTED_EDGE('',*,*,#212087,.F.); #295136=ORIENTED_EDGE('',*,*,#212089,.F.); #295137=ORIENTED_EDGE('',*,*,#212090,.T.); #295138=ORIENTED_EDGE('',*,*,#212091,.F.); #295139=ORIENTED_EDGE('',*,*,#212090,.F.); #295140=ORIENTED_EDGE('',*,*,#212092,.F.); #295141=ORIENTED_EDGE('',*,*,#212093,.T.); #295142=ORIENTED_EDGE('',*,*,#212094,.F.); #295143=ORIENTED_EDGE('',*,*,#212093,.F.); #295144=ORIENTED_EDGE('',*,*,#212095,.F.); #295145=ORIENTED_EDGE('',*,*,#212096,.T.); #295146=ORIENTED_EDGE('',*,*,#212097,.F.); #295147=ORIENTED_EDGE('',*,*,#212096,.F.); #295148=ORIENTED_EDGE('',*,*,#212098,.F.); #295149=ORIENTED_EDGE('',*,*,#212099,.T.); #295150=ORIENTED_EDGE('',*,*,#212100,.F.); #295151=ORIENTED_EDGE('',*,*,#212099,.F.); #295152=ORIENTED_EDGE('',*,*,#212101,.F.); #295153=ORIENTED_EDGE('',*,*,#212102,.T.); #295154=ORIENTED_EDGE('',*,*,#212103,.F.); #295155=ORIENTED_EDGE('',*,*,#212102,.F.); #295156=ORIENTED_EDGE('',*,*,#212104,.F.); #295157=ORIENTED_EDGE('',*,*,#212105,.T.); #295158=ORIENTED_EDGE('',*,*,#212106,.F.); #295159=ORIENTED_EDGE('',*,*,#212105,.F.); #295160=ORIENTED_EDGE('',*,*,#212107,.F.); #295161=ORIENTED_EDGE('',*,*,#212108,.T.); #295162=ORIENTED_EDGE('',*,*,#212109,.F.); #295163=ORIENTED_EDGE('',*,*,#212108,.F.); #295164=ORIENTED_EDGE('',*,*,#212110,.F.); #295165=ORIENTED_EDGE('',*,*,#212111,.T.); #295166=ORIENTED_EDGE('',*,*,#212112,.F.); #295167=ORIENTED_EDGE('',*,*,#212111,.F.); #295168=ORIENTED_EDGE('',*,*,#212113,.F.); #295169=ORIENTED_EDGE('',*,*,#212114,.T.); #295170=ORIENTED_EDGE('',*,*,#212115,.F.); #295171=ORIENTED_EDGE('',*,*,#212114,.F.); #295172=ORIENTED_EDGE('',*,*,#212116,.F.); #295173=ORIENTED_EDGE('',*,*,#212117,.T.); #295174=ORIENTED_EDGE('',*,*,#212118,.F.); #295175=ORIENTED_EDGE('',*,*,#212117,.F.); #295176=ORIENTED_EDGE('',*,*,#212119,.F.); #295177=ORIENTED_EDGE('',*,*,#212120,.T.); #295178=ORIENTED_EDGE('',*,*,#212121,.F.); #295179=ORIENTED_EDGE('',*,*,#212120,.F.); #295180=ORIENTED_EDGE('',*,*,#212122,.F.); #295181=ORIENTED_EDGE('',*,*,#212123,.T.); #295182=ORIENTED_EDGE('',*,*,#212124,.F.); #295183=ORIENTED_EDGE('',*,*,#212123,.F.); #295184=ORIENTED_EDGE('',*,*,#212125,.F.); #295185=ORIENTED_EDGE('',*,*,#212126,.T.); #295186=ORIENTED_EDGE('',*,*,#212127,.F.); #295187=ORIENTED_EDGE('',*,*,#212126,.F.); #295188=ORIENTED_EDGE('',*,*,#212128,.F.); #295189=ORIENTED_EDGE('',*,*,#212129,.T.); #295190=ORIENTED_EDGE('',*,*,#212130,.F.); #295191=ORIENTED_EDGE('',*,*,#212129,.F.); #295192=ORIENTED_EDGE('',*,*,#212131,.F.); #295193=ORIENTED_EDGE('',*,*,#212132,.T.); #295194=ORIENTED_EDGE('',*,*,#212133,.F.); #295195=ORIENTED_EDGE('',*,*,#212132,.F.); #295196=ORIENTED_EDGE('',*,*,#212134,.F.); #295197=ORIENTED_EDGE('',*,*,#212135,.T.); #295198=ORIENTED_EDGE('',*,*,#212136,.F.); #295199=ORIENTED_EDGE('',*,*,#212135,.F.); #295200=ORIENTED_EDGE('',*,*,#212137,.F.); #295201=ORIENTED_EDGE('',*,*,#212138,.T.); #295202=ORIENTED_EDGE('',*,*,#212139,.F.); #295203=ORIENTED_EDGE('',*,*,#212138,.F.); #295204=ORIENTED_EDGE('',*,*,#212140,.F.); #295205=ORIENTED_EDGE('',*,*,#212141,.T.); #295206=ORIENTED_EDGE('',*,*,#212142,.F.); #295207=ORIENTED_EDGE('',*,*,#212141,.F.); #295208=ORIENTED_EDGE('',*,*,#212143,.F.); #295209=ORIENTED_EDGE('',*,*,#212144,.T.); #295210=ORIENTED_EDGE('',*,*,#212145,.F.); #295211=ORIENTED_EDGE('',*,*,#212144,.F.); #295212=ORIENTED_EDGE('',*,*,#212146,.F.); #295213=ORIENTED_EDGE('',*,*,#212147,.T.); #295214=ORIENTED_EDGE('',*,*,#212148,.F.); #295215=ORIENTED_EDGE('',*,*,#212147,.F.); #295216=ORIENTED_EDGE('',*,*,#212149,.F.); #295217=ORIENTED_EDGE('',*,*,#212150,.T.); #295218=ORIENTED_EDGE('',*,*,#212151,.F.); #295219=ORIENTED_EDGE('',*,*,#212150,.F.); #295220=ORIENTED_EDGE('',*,*,#212152,.F.); #295221=ORIENTED_EDGE('',*,*,#212153,.F.); #295222=ORIENTED_EDGE('',*,*,#212154,.F.); #295223=ORIENTED_EDGE('',*,*,#212155,.T.); #295224=ORIENTED_EDGE('',*,*,#212156,.F.); #295225=ORIENTED_EDGE('',*,*,#212155,.F.); #295226=ORIENTED_EDGE('',*,*,#212157,.F.); #295227=ORIENTED_EDGE('',*,*,#212158,.T.); #295228=ORIENTED_EDGE('',*,*,#212159,.F.); #295229=ORIENTED_EDGE('',*,*,#212158,.F.); #295230=ORIENTED_EDGE('',*,*,#212160,.F.); #295231=ORIENTED_EDGE('',*,*,#212161,.T.); #295232=ORIENTED_EDGE('',*,*,#212162,.F.); #295233=ORIENTED_EDGE('',*,*,#212161,.F.); #295234=ORIENTED_EDGE('',*,*,#212163,.F.); #295235=ORIENTED_EDGE('',*,*,#212153,.T.); #295236=ORIENTED_EDGE('',*,*,#212164,.F.); #295237=ORIENTED_EDGE('',*,*,#212165,.T.); #295238=ORIENTED_EDGE('',*,*,#212166,.F.); #295239=ORIENTED_EDGE('',*,*,#212165,.F.); #295240=ORIENTED_EDGE('',*,*,#212167,.F.); #295241=ORIENTED_EDGE('',*,*,#212168,.F.); #295242=ORIENTED_EDGE('',*,*,#212169,.F.); #295243=ORIENTED_EDGE('',*,*,#212170,.T.); #295244=ORIENTED_EDGE('',*,*,#212171,.F.); #295245=ORIENTED_EDGE('',*,*,#212170,.F.); #295246=ORIENTED_EDGE('',*,*,#212172,.F.); #295247=ORIENTED_EDGE('',*,*,#212173,.T.); #295248=ORIENTED_EDGE('',*,*,#212174,.F.); #295249=ORIENTED_EDGE('',*,*,#212173,.F.); #295250=ORIENTED_EDGE('',*,*,#212175,.F.); #295251=ORIENTED_EDGE('',*,*,#212176,.T.); #295252=ORIENTED_EDGE('',*,*,#212177,.F.); #295253=ORIENTED_EDGE('',*,*,#212176,.F.); #295254=ORIENTED_EDGE('',*,*,#212178,.F.); #295255=ORIENTED_EDGE('',*,*,#212168,.T.); #295256=ORIENTED_EDGE('',*,*,#212179,.F.); #295257=ORIENTED_EDGE('',*,*,#212180,.T.); #295258=ORIENTED_EDGE('',*,*,#212181,.F.); #295259=ORIENTED_EDGE('',*,*,#212180,.F.); #295260=ORIENTED_EDGE('',*,*,#212182,.F.); #295261=ORIENTED_EDGE('',*,*,#212183,.T.); #295262=ORIENTED_EDGE('',*,*,#212184,.F.); #295263=ORIENTED_EDGE('',*,*,#212183,.F.); #295264=ORIENTED_EDGE('',*,*,#212185,.F.); #295265=ORIENTED_EDGE('',*,*,#212186,.T.); #295266=ORIENTED_EDGE('',*,*,#212187,.F.); #295267=ORIENTED_EDGE('',*,*,#212186,.F.); #295268=ORIENTED_EDGE('',*,*,#212188,.F.); #295269=ORIENTED_EDGE('',*,*,#212189,.T.); #295270=ORIENTED_EDGE('',*,*,#212190,.F.); #295271=ORIENTED_EDGE('',*,*,#212189,.F.); #295272=ORIENTED_EDGE('',*,*,#212191,.F.); #295273=ORIENTED_EDGE('',*,*,#212192,.T.); #295274=ORIENTED_EDGE('',*,*,#212193,.F.); #295275=ORIENTED_EDGE('',*,*,#212192,.F.); #295276=ORIENTED_EDGE('',*,*,#212194,.F.); #295277=ORIENTED_EDGE('',*,*,#212195,.T.); #295278=ORIENTED_EDGE('',*,*,#212196,.F.); #295279=ORIENTED_EDGE('',*,*,#212195,.F.); #295280=ORIENTED_EDGE('',*,*,#212197,.F.); #295281=ORIENTED_EDGE('',*,*,#212198,.T.); #295282=ORIENTED_EDGE('',*,*,#212199,.F.); #295283=ORIENTED_EDGE('',*,*,#212198,.F.); #295284=ORIENTED_EDGE('',*,*,#212200,.F.); #295285=ORIENTED_EDGE('',*,*,#212201,.T.); #295286=ORIENTED_EDGE('',*,*,#212202,.F.); #295287=ORIENTED_EDGE('',*,*,#212201,.F.); #295288=ORIENTED_EDGE('',*,*,#212203,.F.); #295289=ORIENTED_EDGE('',*,*,#212204,.T.); #295290=ORIENTED_EDGE('',*,*,#212205,.F.); #295291=ORIENTED_EDGE('',*,*,#212204,.F.); #295292=ORIENTED_EDGE('',*,*,#212206,.F.); #295293=ORIENTED_EDGE('',*,*,#212207,.T.); #295294=ORIENTED_EDGE('',*,*,#212208,.F.); #295295=ORIENTED_EDGE('',*,*,#212207,.F.); #295296=ORIENTED_EDGE('',*,*,#212209,.F.); #295297=ORIENTED_EDGE('',*,*,#212210,.T.); #295298=ORIENTED_EDGE('',*,*,#212211,.F.); #295299=ORIENTED_EDGE('',*,*,#212210,.F.); #295300=ORIENTED_EDGE('',*,*,#212212,.F.); #295301=ORIENTED_EDGE('',*,*,#212213,.F.); #295302=ORIENTED_EDGE('',*,*,#212214,.F.); #295303=ORIENTED_EDGE('',*,*,#212215,.T.); #295304=ORIENTED_EDGE('',*,*,#212216,.F.); #295305=ORIENTED_EDGE('',*,*,#212215,.F.); #295306=ORIENTED_EDGE('',*,*,#212217,.F.); #295307=ORIENTED_EDGE('',*,*,#212218,.T.); #295308=ORIENTED_EDGE('',*,*,#212219,.F.); #295309=ORIENTED_EDGE('',*,*,#212218,.F.); #295310=ORIENTED_EDGE('',*,*,#212220,.F.); #295311=ORIENTED_EDGE('',*,*,#212221,.T.); #295312=ORIENTED_EDGE('',*,*,#212222,.F.); #295313=ORIENTED_EDGE('',*,*,#212221,.F.); #295314=ORIENTED_EDGE('',*,*,#212223,.F.); #295315=ORIENTED_EDGE('',*,*,#212213,.T.); #295316=ORIENTED_EDGE('',*,*,#212224,.F.); #295317=ORIENTED_EDGE('',*,*,#212225,.T.); #295318=ORIENTED_EDGE('',*,*,#212226,.F.); #295319=ORIENTED_EDGE('',*,*,#212225,.F.); #295320=ORIENTED_EDGE('',*,*,#212227,.F.); #295321=ORIENTED_EDGE('',*,*,#212228,.F.); #295322=ORIENTED_EDGE('',*,*,#212229,.F.); #295323=ORIENTED_EDGE('',*,*,#212230,.T.); #295324=ORIENTED_EDGE('',*,*,#212231,.F.); #295325=ORIENTED_EDGE('',*,*,#212230,.F.); #295326=ORIENTED_EDGE('',*,*,#212232,.F.); #295327=ORIENTED_EDGE('',*,*,#212233,.T.); #295328=ORIENTED_EDGE('',*,*,#212234,.F.); #295329=ORIENTED_EDGE('',*,*,#212233,.F.); #295330=ORIENTED_EDGE('',*,*,#212235,.F.); #295331=ORIENTED_EDGE('',*,*,#212236,.T.); #295332=ORIENTED_EDGE('',*,*,#212237,.F.); #295333=ORIENTED_EDGE('',*,*,#212236,.F.); #295334=ORIENTED_EDGE('',*,*,#212238,.F.); #295335=ORIENTED_EDGE('',*,*,#212228,.T.); #295336=ORIENTED_EDGE('',*,*,#212239,.F.); #295337=ORIENTED_EDGE('',*,*,#212240,.T.); #295338=ORIENTED_EDGE('',*,*,#212241,.F.); #295339=ORIENTED_EDGE('',*,*,#212240,.F.); #295340=ORIENTED_EDGE('',*,*,#212242,.F.); #295341=ORIENTED_EDGE('',*,*,#212243,.F.); #295342=ORIENTED_EDGE('',*,*,#212244,.F.); #295343=ORIENTED_EDGE('',*,*,#212245,.T.); #295344=ORIENTED_EDGE('',*,*,#212246,.F.); #295345=ORIENTED_EDGE('',*,*,#212245,.F.); #295346=ORIENTED_EDGE('',*,*,#212247,.F.); #295347=ORIENTED_EDGE('',*,*,#212248,.T.); #295348=ORIENTED_EDGE('',*,*,#212249,.F.); #295349=ORIENTED_EDGE('',*,*,#212248,.F.); #295350=ORIENTED_EDGE('',*,*,#212250,.F.); #295351=ORIENTED_EDGE('',*,*,#212251,.T.); #295352=ORIENTED_EDGE('',*,*,#212252,.F.); #295353=ORIENTED_EDGE('',*,*,#212251,.F.); #295354=ORIENTED_EDGE('',*,*,#212253,.F.); #295355=ORIENTED_EDGE('',*,*,#212243,.T.); #295356=ORIENTED_EDGE('',*,*,#212254,.F.); #295357=ORIENTED_EDGE('',*,*,#212255,.T.); #295358=ORIENTED_EDGE('',*,*,#212256,.F.); #295359=ORIENTED_EDGE('',*,*,#212255,.F.); #295360=ORIENTED_EDGE('',*,*,#212257,.F.); #295361=ORIENTED_EDGE('',*,*,#212258,.F.); #295362=ORIENTED_EDGE('',*,*,#212259,.F.); #295363=ORIENTED_EDGE('',*,*,#212260,.T.); #295364=ORIENTED_EDGE('',*,*,#212261,.F.); #295365=ORIENTED_EDGE('',*,*,#212260,.F.); #295366=ORIENTED_EDGE('',*,*,#212262,.F.); #295367=ORIENTED_EDGE('',*,*,#212263,.T.); #295368=ORIENTED_EDGE('',*,*,#212264,.F.); #295369=ORIENTED_EDGE('',*,*,#212263,.F.); #295370=ORIENTED_EDGE('',*,*,#212265,.F.); #295371=ORIENTED_EDGE('',*,*,#212266,.T.); #295372=ORIENTED_EDGE('',*,*,#212267,.F.); #295373=ORIENTED_EDGE('',*,*,#212266,.F.); #295374=ORIENTED_EDGE('',*,*,#212268,.F.); #295375=ORIENTED_EDGE('',*,*,#212258,.T.); #295376=ORIENTED_EDGE('',*,*,#212269,.F.); #295377=ORIENTED_EDGE('',*,*,#212270,.T.); #295378=ORIENTED_EDGE('',*,*,#212271,.F.); #295379=ORIENTED_EDGE('',*,*,#212270,.F.); #295380=ORIENTED_EDGE('',*,*,#212272,.F.); #295381=ORIENTED_EDGE('',*,*,#212273,.T.); #295382=ORIENTED_EDGE('',*,*,#212274,.F.); #295383=ORIENTED_EDGE('',*,*,#212273,.F.); #295384=ORIENTED_EDGE('',*,*,#212275,.F.); #295385=ORIENTED_EDGE('',*,*,#212276,.T.); #295386=ORIENTED_EDGE('',*,*,#212277,.F.); #295387=ORIENTED_EDGE('',*,*,#212276,.F.); #295388=ORIENTED_EDGE('',*,*,#212278,.F.); #295389=ORIENTED_EDGE('',*,*,#212279,.T.); #295390=ORIENTED_EDGE('',*,*,#212280,.F.); #295391=ORIENTED_EDGE('',*,*,#212279,.F.); #295392=ORIENTED_EDGE('',*,*,#212281,.F.); #295393=ORIENTED_EDGE('',*,*,#212282,.T.); #295394=ORIENTED_EDGE('',*,*,#212283,.F.); #295395=ORIENTED_EDGE('',*,*,#212282,.F.); #295396=ORIENTED_EDGE('',*,*,#212284,.F.); #295397=ORIENTED_EDGE('',*,*,#212285,.T.); #295398=ORIENTED_EDGE('',*,*,#212286,.F.); #295399=ORIENTED_EDGE('',*,*,#212285,.F.); #295400=ORIENTED_EDGE('',*,*,#212287,.F.); #295401=ORIENTED_EDGE('',*,*,#212288,.T.); #295402=ORIENTED_EDGE('',*,*,#212289,.F.); #295403=ORIENTED_EDGE('',*,*,#212288,.F.); #295404=ORIENTED_EDGE('',*,*,#212290,.F.); #295405=ORIENTED_EDGE('',*,*,#212291,.T.); #295406=ORIENTED_EDGE('',*,*,#212292,.F.); #295407=ORIENTED_EDGE('',*,*,#212291,.F.); #295408=ORIENTED_EDGE('',*,*,#212293,.F.); #295409=ORIENTED_EDGE('',*,*,#212294,.T.); #295410=ORIENTED_EDGE('',*,*,#212295,.F.); #295411=ORIENTED_EDGE('',*,*,#212294,.F.); #295412=ORIENTED_EDGE('',*,*,#212296,.F.); #295413=ORIENTED_EDGE('',*,*,#212297,.T.); #295414=ORIENTED_EDGE('',*,*,#212298,.F.); #295415=ORIENTED_EDGE('',*,*,#212297,.F.); #295416=ORIENTED_EDGE('',*,*,#212299,.F.); #295417=ORIENTED_EDGE('',*,*,#212300,.T.); #295418=ORIENTED_EDGE('',*,*,#212301,.F.); #295419=ORIENTED_EDGE('',*,*,#212300,.F.); #295420=ORIENTED_EDGE('',*,*,#212302,.F.); #295421=ORIENTED_EDGE('',*,*,#212303,.T.); #295422=ORIENTED_EDGE('',*,*,#212304,.F.); #295423=ORIENTED_EDGE('',*,*,#212303,.F.); #295424=ORIENTED_EDGE('',*,*,#212305,.F.); #295425=ORIENTED_EDGE('',*,*,#212306,.T.); #295426=ORIENTED_EDGE('',*,*,#212307,.F.); #295427=ORIENTED_EDGE('',*,*,#212306,.F.); #295428=ORIENTED_EDGE('',*,*,#212308,.F.); #295429=ORIENTED_EDGE('',*,*,#212309,.T.); #295430=ORIENTED_EDGE('',*,*,#212310,.F.); #295431=ORIENTED_EDGE('',*,*,#212309,.F.); #295432=ORIENTED_EDGE('',*,*,#212311,.F.); #295433=ORIENTED_EDGE('',*,*,#212312,.T.); #295434=ORIENTED_EDGE('',*,*,#212313,.F.); #295435=ORIENTED_EDGE('',*,*,#212312,.F.); #295436=ORIENTED_EDGE('',*,*,#212314,.F.); #295437=ORIENTED_EDGE('',*,*,#212315,.T.); #295438=ORIENTED_EDGE('',*,*,#212316,.F.); #295439=ORIENTED_EDGE('',*,*,#212315,.F.); #295440=ORIENTED_EDGE('',*,*,#212317,.F.); #295441=ORIENTED_EDGE('',*,*,#212318,.T.); #295442=ORIENTED_EDGE('',*,*,#212319,.F.); #295443=ORIENTED_EDGE('',*,*,#212318,.F.); #295444=ORIENTED_EDGE('',*,*,#212320,.F.); #295445=ORIENTED_EDGE('',*,*,#212321,.T.); #295446=ORIENTED_EDGE('',*,*,#212322,.F.); #295447=ORIENTED_EDGE('',*,*,#212321,.F.); #295448=ORIENTED_EDGE('',*,*,#212323,.F.); #295449=ORIENTED_EDGE('',*,*,#212324,.T.); #295450=ORIENTED_EDGE('',*,*,#212325,.F.); #295451=ORIENTED_EDGE('',*,*,#212324,.F.); #295452=ORIENTED_EDGE('',*,*,#212326,.F.); #295453=ORIENTED_EDGE('',*,*,#212327,.T.); #295454=ORIENTED_EDGE('',*,*,#212328,.F.); #295455=ORIENTED_EDGE('',*,*,#212327,.F.); #295456=ORIENTED_EDGE('',*,*,#212329,.F.); #295457=ORIENTED_EDGE('',*,*,#212330,.T.); #295458=ORIENTED_EDGE('',*,*,#212331,.F.); #295459=ORIENTED_EDGE('',*,*,#212330,.F.); #295460=ORIENTED_EDGE('',*,*,#212332,.F.); #295461=ORIENTED_EDGE('',*,*,#212333,.T.); #295462=ORIENTED_EDGE('',*,*,#212334,.F.); #295463=ORIENTED_EDGE('',*,*,#212333,.F.); #295464=ORIENTED_EDGE('',*,*,#212335,.F.); #295465=ORIENTED_EDGE('',*,*,#212336,.T.); #295466=ORIENTED_EDGE('',*,*,#212337,.F.); #295467=ORIENTED_EDGE('',*,*,#212336,.F.); #295468=ORIENTED_EDGE('',*,*,#212338,.F.); #295469=ORIENTED_EDGE('',*,*,#212339,.T.); #295470=ORIENTED_EDGE('',*,*,#212340,.F.); #295471=ORIENTED_EDGE('',*,*,#212339,.F.); #295472=ORIENTED_EDGE('',*,*,#212341,.F.); #295473=ORIENTED_EDGE('',*,*,#212342,.T.); #295474=ORIENTED_EDGE('',*,*,#212343,.F.); #295475=ORIENTED_EDGE('',*,*,#212342,.F.); #295476=ORIENTED_EDGE('',*,*,#212344,.F.); #295477=ORIENTED_EDGE('',*,*,#212345,.T.); #295478=ORIENTED_EDGE('',*,*,#212346,.F.); #295479=ORIENTED_EDGE('',*,*,#212345,.F.); #295480=ORIENTED_EDGE('',*,*,#212347,.F.); #295481=ORIENTED_EDGE('',*,*,#212348,.T.); #295482=ORIENTED_EDGE('',*,*,#212349,.F.); #295483=ORIENTED_EDGE('',*,*,#212348,.F.); #295484=ORIENTED_EDGE('',*,*,#212350,.F.); #295485=ORIENTED_EDGE('',*,*,#212351,.T.); #295486=ORIENTED_EDGE('',*,*,#212352,.F.); #295487=ORIENTED_EDGE('',*,*,#212351,.F.); #295488=ORIENTED_EDGE('',*,*,#212353,.F.); #295489=ORIENTED_EDGE('',*,*,#212354,.T.); #295490=ORIENTED_EDGE('',*,*,#212355,.F.); #295491=ORIENTED_EDGE('',*,*,#212354,.F.); #295492=ORIENTED_EDGE('',*,*,#212356,.F.); #295493=ORIENTED_EDGE('',*,*,#212357,.T.); #295494=ORIENTED_EDGE('',*,*,#212358,.F.); #295495=ORIENTED_EDGE('',*,*,#212357,.F.); #295496=ORIENTED_EDGE('',*,*,#212359,.F.); #295497=ORIENTED_EDGE('',*,*,#212360,.T.); #295498=ORIENTED_EDGE('',*,*,#212361,.F.); #295499=ORIENTED_EDGE('',*,*,#212360,.F.); #295500=ORIENTED_EDGE('',*,*,#212362,.F.); #295501=ORIENTED_EDGE('',*,*,#212363,.T.); #295502=ORIENTED_EDGE('',*,*,#212364,.F.); #295503=ORIENTED_EDGE('',*,*,#212363,.F.); #295504=ORIENTED_EDGE('',*,*,#212365,.F.); #295505=ORIENTED_EDGE('',*,*,#212366,.T.); #295506=ORIENTED_EDGE('',*,*,#212367,.F.); #295507=ORIENTED_EDGE('',*,*,#212366,.F.); #295508=ORIENTED_EDGE('',*,*,#212368,.F.); #295509=ORIENTED_EDGE('',*,*,#212369,.T.); #295510=ORIENTED_EDGE('',*,*,#212370,.F.); #295511=ORIENTED_EDGE('',*,*,#212369,.F.); #295512=ORIENTED_EDGE('',*,*,#212371,.F.); #295513=ORIENTED_EDGE('',*,*,#212372,.T.); #295514=ORIENTED_EDGE('',*,*,#212373,.F.); #295515=ORIENTED_EDGE('',*,*,#212372,.F.); #295516=ORIENTED_EDGE('',*,*,#212374,.F.); #295517=ORIENTED_EDGE('',*,*,#212375,.T.); #295518=ORIENTED_EDGE('',*,*,#212376,.F.); #295519=ORIENTED_EDGE('',*,*,#212375,.F.); #295520=ORIENTED_EDGE('',*,*,#212377,.F.); #295521=ORIENTED_EDGE('',*,*,#212378,.T.); #295522=ORIENTED_EDGE('',*,*,#212379,.F.); #295523=ORIENTED_EDGE('',*,*,#212378,.F.); #295524=ORIENTED_EDGE('',*,*,#212380,.F.); #295525=ORIENTED_EDGE('',*,*,#212381,.T.); #295526=ORIENTED_EDGE('',*,*,#212382,.F.); #295527=ORIENTED_EDGE('',*,*,#212381,.F.); #295528=ORIENTED_EDGE('',*,*,#212383,.F.); #295529=ORIENTED_EDGE('',*,*,#212384,.T.); #295530=ORIENTED_EDGE('',*,*,#212385,.F.); #295531=ORIENTED_EDGE('',*,*,#212384,.F.); #295532=ORIENTED_EDGE('',*,*,#212386,.F.); #295533=ORIENTED_EDGE('',*,*,#212387,.T.); #295534=ORIENTED_EDGE('',*,*,#212388,.F.); #295535=ORIENTED_EDGE('',*,*,#212387,.F.); #295536=ORIENTED_EDGE('',*,*,#212389,.F.); #295537=ORIENTED_EDGE('',*,*,#212390,.T.); #295538=ORIENTED_EDGE('',*,*,#212391,.F.); #295539=ORIENTED_EDGE('',*,*,#212390,.F.); #295540=ORIENTED_EDGE('',*,*,#212392,.F.); #295541=ORIENTED_EDGE('',*,*,#212393,.T.); #295542=ORIENTED_EDGE('',*,*,#212394,.F.); #295543=ORIENTED_EDGE('',*,*,#212393,.F.); #295544=ORIENTED_EDGE('',*,*,#212395,.F.); #295545=ORIENTED_EDGE('',*,*,#212396,.T.); #295546=ORIENTED_EDGE('',*,*,#212397,.F.); #295547=ORIENTED_EDGE('',*,*,#212396,.F.); #295548=ORIENTED_EDGE('',*,*,#212398,.F.); #295549=ORIENTED_EDGE('',*,*,#212399,.T.); #295550=ORIENTED_EDGE('',*,*,#212400,.F.); #295551=ORIENTED_EDGE('',*,*,#212399,.F.); #295552=ORIENTED_EDGE('',*,*,#212401,.F.); #295553=ORIENTED_EDGE('',*,*,#212402,.T.); #295554=ORIENTED_EDGE('',*,*,#212403,.F.); #295555=ORIENTED_EDGE('',*,*,#212402,.F.); #295556=ORIENTED_EDGE('',*,*,#212404,.F.); #295557=ORIENTED_EDGE('',*,*,#212405,.T.); #295558=ORIENTED_EDGE('',*,*,#212406,.F.); #295559=ORIENTED_EDGE('',*,*,#212405,.F.); #295560=ORIENTED_EDGE('',*,*,#212407,.F.); #295561=ORIENTED_EDGE('',*,*,#212408,.T.); #295562=ORIENTED_EDGE('',*,*,#212409,.F.); #295563=ORIENTED_EDGE('',*,*,#212408,.F.); #295564=ORIENTED_EDGE('',*,*,#212410,.F.); #295565=ORIENTED_EDGE('',*,*,#212411,.T.); #295566=ORIENTED_EDGE('',*,*,#212412,.F.); #295567=ORIENTED_EDGE('',*,*,#212411,.F.); #295568=ORIENTED_EDGE('',*,*,#212413,.F.); #295569=ORIENTED_EDGE('',*,*,#212414,.T.); #295570=ORIENTED_EDGE('',*,*,#212415,.F.); #295571=ORIENTED_EDGE('',*,*,#212414,.F.); #295572=ORIENTED_EDGE('',*,*,#212416,.F.); #295573=ORIENTED_EDGE('',*,*,#212417,.T.); #295574=ORIENTED_EDGE('',*,*,#212418,.F.); #295575=ORIENTED_EDGE('',*,*,#212417,.F.); #295576=ORIENTED_EDGE('',*,*,#212419,.F.); #295577=ORIENTED_EDGE('',*,*,#212420,.T.); #295578=ORIENTED_EDGE('',*,*,#212421,.F.); #295579=ORIENTED_EDGE('',*,*,#212420,.F.); #295580=ORIENTED_EDGE('',*,*,#212422,.F.); #295581=ORIENTED_EDGE('',*,*,#212423,.T.); #295582=ORIENTED_EDGE('',*,*,#212424,.F.); #295583=ORIENTED_EDGE('',*,*,#212423,.F.); #295584=ORIENTED_EDGE('',*,*,#212425,.F.); #295585=ORIENTED_EDGE('',*,*,#212426,.T.); #295586=ORIENTED_EDGE('',*,*,#212427,.F.); #295587=ORIENTED_EDGE('',*,*,#212426,.F.); #295588=ORIENTED_EDGE('',*,*,#212428,.F.); #295589=ORIENTED_EDGE('',*,*,#212429,.T.); #295590=ORIENTED_EDGE('',*,*,#212430,.F.); #295591=ORIENTED_EDGE('',*,*,#212429,.F.); #295592=ORIENTED_EDGE('',*,*,#212431,.F.); #295593=ORIENTED_EDGE('',*,*,#212432,.T.); #295594=ORIENTED_EDGE('',*,*,#212433,.F.); #295595=ORIENTED_EDGE('',*,*,#212432,.F.); #295596=ORIENTED_EDGE('',*,*,#212434,.F.); #295597=ORIENTED_EDGE('',*,*,#212435,.T.); #295598=ORIENTED_EDGE('',*,*,#212436,.F.); #295599=ORIENTED_EDGE('',*,*,#212435,.F.); #295600=ORIENTED_EDGE('',*,*,#212437,.F.); #295601=ORIENTED_EDGE('',*,*,#212438,.T.); #295602=ORIENTED_EDGE('',*,*,#212439,.F.); #295603=ORIENTED_EDGE('',*,*,#212438,.F.); #295604=ORIENTED_EDGE('',*,*,#212440,.F.); #295605=ORIENTED_EDGE('',*,*,#212441,.T.); #295606=ORIENTED_EDGE('',*,*,#212442,.F.); #295607=ORIENTED_EDGE('',*,*,#212441,.F.); #295608=ORIENTED_EDGE('',*,*,#212443,.F.); #295609=ORIENTED_EDGE('',*,*,#212444,.T.); #295610=ORIENTED_EDGE('',*,*,#212445,.F.); #295611=ORIENTED_EDGE('',*,*,#212444,.F.); #295612=ORIENTED_EDGE('',*,*,#212446,.F.); #295613=ORIENTED_EDGE('',*,*,#212447,.T.); #295614=ORIENTED_EDGE('',*,*,#212448,.F.); #295615=ORIENTED_EDGE('',*,*,#212447,.F.); #295616=ORIENTED_EDGE('',*,*,#212449,.F.); #295617=ORIENTED_EDGE('',*,*,#212450,.T.); #295618=ORIENTED_EDGE('',*,*,#212451,.F.); #295619=ORIENTED_EDGE('',*,*,#212450,.F.); #295620=ORIENTED_EDGE('',*,*,#212452,.F.); #295621=ORIENTED_EDGE('',*,*,#212453,.T.); #295622=ORIENTED_EDGE('',*,*,#212454,.F.); #295623=ORIENTED_EDGE('',*,*,#212453,.F.); #295624=ORIENTED_EDGE('',*,*,#212455,.F.); #295625=ORIENTED_EDGE('',*,*,#212456,.T.); #295626=ORIENTED_EDGE('',*,*,#212457,.F.); #295627=ORIENTED_EDGE('',*,*,#212456,.F.); #295628=ORIENTED_EDGE('',*,*,#212458,.F.); #295629=ORIENTED_EDGE('',*,*,#212459,.T.); #295630=ORIENTED_EDGE('',*,*,#212460,.F.); #295631=ORIENTED_EDGE('',*,*,#212459,.F.); #295632=ORIENTED_EDGE('',*,*,#212461,.F.); #295633=ORIENTED_EDGE('',*,*,#212462,.T.); #295634=ORIENTED_EDGE('',*,*,#212463,.F.); #295635=ORIENTED_EDGE('',*,*,#212462,.F.); #295636=ORIENTED_EDGE('',*,*,#212464,.F.); #295637=ORIENTED_EDGE('',*,*,#212465,.T.); #295638=ORIENTED_EDGE('',*,*,#212466,.F.); #295639=ORIENTED_EDGE('',*,*,#212465,.F.); #295640=ORIENTED_EDGE('',*,*,#212467,.F.); #295641=ORIENTED_EDGE('',*,*,#212468,.T.); #295642=ORIENTED_EDGE('',*,*,#212469,.F.); #295643=ORIENTED_EDGE('',*,*,#212468,.F.); #295644=ORIENTED_EDGE('',*,*,#212470,.F.); #295645=ORIENTED_EDGE('',*,*,#212471,.T.); #295646=ORIENTED_EDGE('',*,*,#212472,.F.); #295647=ORIENTED_EDGE('',*,*,#212471,.F.); #295648=ORIENTED_EDGE('',*,*,#212473,.F.); #295649=ORIENTED_EDGE('',*,*,#212474,.T.); #295650=ORIENTED_EDGE('',*,*,#212475,.F.); #295651=ORIENTED_EDGE('',*,*,#212474,.F.); #295652=ORIENTED_EDGE('',*,*,#212476,.F.); #295653=ORIENTED_EDGE('',*,*,#212477,.T.); #295654=ORIENTED_EDGE('',*,*,#212478,.F.); #295655=ORIENTED_EDGE('',*,*,#212477,.F.); #295656=ORIENTED_EDGE('',*,*,#212479,.F.); #295657=ORIENTED_EDGE('',*,*,#212480,.T.); #295658=ORIENTED_EDGE('',*,*,#212481,.F.); #295659=ORIENTED_EDGE('',*,*,#212480,.F.); #295660=ORIENTED_EDGE('',*,*,#212482,.F.); #295661=ORIENTED_EDGE('',*,*,#212483,.T.); #295662=ORIENTED_EDGE('',*,*,#212484,.F.); #295663=ORIENTED_EDGE('',*,*,#212483,.F.); #295664=ORIENTED_EDGE('',*,*,#212485,.F.); #295665=ORIENTED_EDGE('',*,*,#212486,.T.); #295666=ORIENTED_EDGE('',*,*,#212487,.F.); #295667=ORIENTED_EDGE('',*,*,#212486,.F.); #295668=ORIENTED_EDGE('',*,*,#212488,.F.); #295669=ORIENTED_EDGE('',*,*,#212489,.T.); #295670=ORIENTED_EDGE('',*,*,#212490,.F.); #295671=ORIENTED_EDGE('',*,*,#212489,.F.); #295672=ORIENTED_EDGE('',*,*,#212491,.F.); #295673=ORIENTED_EDGE('',*,*,#212492,.T.); #295674=ORIENTED_EDGE('',*,*,#212493,.F.); #295675=ORIENTED_EDGE('',*,*,#212492,.F.); #295676=ORIENTED_EDGE('',*,*,#212494,.F.); #295677=ORIENTED_EDGE('',*,*,#212495,.T.); #295678=ORIENTED_EDGE('',*,*,#212496,.F.); #295679=ORIENTED_EDGE('',*,*,#212495,.F.); #295680=ORIENTED_EDGE('',*,*,#212497,.F.); #295681=ORIENTED_EDGE('',*,*,#212498,.T.); #295682=ORIENTED_EDGE('',*,*,#212499,.F.); #295683=ORIENTED_EDGE('',*,*,#212498,.F.); #295684=ORIENTED_EDGE('',*,*,#212500,.F.); #295685=ORIENTED_EDGE('',*,*,#212501,.T.); #295686=ORIENTED_EDGE('',*,*,#212502,.F.); #295687=ORIENTED_EDGE('',*,*,#212501,.F.); #295688=ORIENTED_EDGE('',*,*,#212503,.F.); #295689=ORIENTED_EDGE('',*,*,#212504,.T.); #295690=ORIENTED_EDGE('',*,*,#212505,.F.); #295691=ORIENTED_EDGE('',*,*,#212504,.F.); #295692=ORIENTED_EDGE('',*,*,#212506,.F.); #295693=ORIENTED_EDGE('',*,*,#212507,.T.); #295694=ORIENTED_EDGE('',*,*,#212508,.F.); #295695=ORIENTED_EDGE('',*,*,#212507,.F.); #295696=ORIENTED_EDGE('',*,*,#212509,.F.); #295697=ORIENTED_EDGE('',*,*,#212510,.T.); #295698=ORIENTED_EDGE('',*,*,#212511,.F.); #295699=ORIENTED_EDGE('',*,*,#212510,.F.); #295700=ORIENTED_EDGE('',*,*,#212512,.F.); #295701=ORIENTED_EDGE('',*,*,#212513,.T.); #295702=ORIENTED_EDGE('',*,*,#212514,.F.); #295703=ORIENTED_EDGE('',*,*,#212513,.F.); #295704=ORIENTED_EDGE('',*,*,#212515,.F.); #295705=ORIENTED_EDGE('',*,*,#212516,.T.); #295706=ORIENTED_EDGE('',*,*,#212517,.F.); #295707=ORIENTED_EDGE('',*,*,#212516,.F.); #295708=ORIENTED_EDGE('',*,*,#212518,.F.); #295709=ORIENTED_EDGE('',*,*,#212519,.T.); #295710=ORIENTED_EDGE('',*,*,#212520,.F.); #295711=ORIENTED_EDGE('',*,*,#212519,.F.); #295712=ORIENTED_EDGE('',*,*,#212521,.F.); #295713=ORIENTED_EDGE('',*,*,#212522,.T.); #295714=ORIENTED_EDGE('',*,*,#212523,.F.); #295715=ORIENTED_EDGE('',*,*,#212522,.F.); #295716=ORIENTED_EDGE('',*,*,#212524,.F.); #295717=ORIENTED_EDGE('',*,*,#212525,.T.); #295718=ORIENTED_EDGE('',*,*,#212526,.F.); #295719=ORIENTED_EDGE('',*,*,#212525,.F.); #295720=ORIENTED_EDGE('',*,*,#212527,.F.); #295721=ORIENTED_EDGE('',*,*,#212528,.T.); #295722=ORIENTED_EDGE('',*,*,#212529,.F.); #295723=ORIENTED_EDGE('',*,*,#212528,.F.); #295724=ORIENTED_EDGE('',*,*,#212530,.F.); #295725=ORIENTED_EDGE('',*,*,#212531,.T.); #295726=ORIENTED_EDGE('',*,*,#212532,.F.); #295727=ORIENTED_EDGE('',*,*,#212531,.F.); #295728=ORIENTED_EDGE('',*,*,#212533,.F.); #295729=ORIENTED_EDGE('',*,*,#212534,.T.); #295730=ORIENTED_EDGE('',*,*,#212535,.F.); #295731=ORIENTED_EDGE('',*,*,#212534,.F.); #295732=ORIENTED_EDGE('',*,*,#212536,.F.); #295733=ORIENTED_EDGE('',*,*,#212537,.T.); #295734=ORIENTED_EDGE('',*,*,#212538,.F.); #295735=ORIENTED_EDGE('',*,*,#212537,.F.); #295736=ORIENTED_EDGE('',*,*,#212539,.F.); #295737=ORIENTED_EDGE('',*,*,#212540,.T.); #295738=ORIENTED_EDGE('',*,*,#212541,.F.); #295739=ORIENTED_EDGE('',*,*,#212540,.F.); #295740=ORIENTED_EDGE('',*,*,#212542,.F.); #295741=ORIENTED_EDGE('',*,*,#212543,.T.); #295742=ORIENTED_EDGE('',*,*,#212544,.F.); #295743=ORIENTED_EDGE('',*,*,#212543,.F.); #295744=ORIENTED_EDGE('',*,*,#212545,.F.); #295745=ORIENTED_EDGE('',*,*,#212546,.T.); #295746=ORIENTED_EDGE('',*,*,#212547,.F.); #295747=ORIENTED_EDGE('',*,*,#212546,.F.); #295748=ORIENTED_EDGE('',*,*,#212548,.F.); #295749=ORIENTED_EDGE('',*,*,#212549,.T.); #295750=ORIENTED_EDGE('',*,*,#212550,.F.); #295751=ORIENTED_EDGE('',*,*,#212549,.F.); #295752=ORIENTED_EDGE('',*,*,#212551,.F.); #295753=ORIENTED_EDGE('',*,*,#212552,.T.); #295754=ORIENTED_EDGE('',*,*,#212553,.F.); #295755=ORIENTED_EDGE('',*,*,#212552,.F.); #295756=ORIENTED_EDGE('',*,*,#212554,.F.); #295757=ORIENTED_EDGE('',*,*,#212555,.T.); #295758=ORIENTED_EDGE('',*,*,#212556,.F.); #295759=ORIENTED_EDGE('',*,*,#212555,.F.); #295760=ORIENTED_EDGE('',*,*,#212557,.F.); #295761=ORIENTED_EDGE('',*,*,#212558,.T.); #295762=ORIENTED_EDGE('',*,*,#212559,.F.); #295763=ORIENTED_EDGE('',*,*,#212558,.F.); #295764=ORIENTED_EDGE('',*,*,#212560,.F.); #295765=ORIENTED_EDGE('',*,*,#212561,.T.); #295766=ORIENTED_EDGE('',*,*,#212562,.F.); #295767=ORIENTED_EDGE('',*,*,#212561,.F.); #295768=ORIENTED_EDGE('',*,*,#212563,.F.); #295769=ORIENTED_EDGE('',*,*,#212564,.T.); #295770=ORIENTED_EDGE('',*,*,#212565,.F.); #295771=ORIENTED_EDGE('',*,*,#212564,.F.); #295772=ORIENTED_EDGE('',*,*,#212566,.F.); #295773=ORIENTED_EDGE('',*,*,#212567,.T.); #295774=ORIENTED_EDGE('',*,*,#212568,.F.); #295775=ORIENTED_EDGE('',*,*,#212567,.F.); #295776=ORIENTED_EDGE('',*,*,#212569,.F.); #295777=ORIENTED_EDGE('',*,*,#212570,.T.); #295778=ORIENTED_EDGE('',*,*,#212571,.F.); #295779=ORIENTED_EDGE('',*,*,#212570,.F.); #295780=ORIENTED_EDGE('',*,*,#212572,.F.); #295781=ORIENTED_EDGE('',*,*,#212573,.T.); #295782=ORIENTED_EDGE('',*,*,#212574,.F.); #295783=ORIENTED_EDGE('',*,*,#212573,.F.); #295784=ORIENTED_EDGE('',*,*,#212575,.F.); #295785=ORIENTED_EDGE('',*,*,#212576,.T.); #295786=ORIENTED_EDGE('',*,*,#212577,.F.); #295787=ORIENTED_EDGE('',*,*,#212576,.F.); #295788=ORIENTED_EDGE('',*,*,#212578,.F.); #295789=ORIENTED_EDGE('',*,*,#212579,.T.); #295790=ORIENTED_EDGE('',*,*,#212580,.F.); #295791=ORIENTED_EDGE('',*,*,#212579,.F.); #295792=ORIENTED_EDGE('',*,*,#212581,.F.); #295793=ORIENTED_EDGE('',*,*,#212582,.T.); #295794=ORIENTED_EDGE('',*,*,#212583,.F.); #295795=ORIENTED_EDGE('',*,*,#212582,.F.); #295796=ORIENTED_EDGE('',*,*,#212584,.F.); #295797=ORIENTED_EDGE('',*,*,#212585,.T.); #295798=ORIENTED_EDGE('',*,*,#212586,.F.); #295799=ORIENTED_EDGE('',*,*,#212585,.F.); #295800=ORIENTED_EDGE('',*,*,#212587,.F.); #295801=ORIENTED_EDGE('',*,*,#212588,.T.); #295802=ORIENTED_EDGE('',*,*,#212589,.F.); #295803=ORIENTED_EDGE('',*,*,#212588,.F.); #295804=ORIENTED_EDGE('',*,*,#212590,.F.); #295805=ORIENTED_EDGE('',*,*,#212591,.T.); #295806=ORIENTED_EDGE('',*,*,#212592,.F.); #295807=ORIENTED_EDGE('',*,*,#212591,.F.); #295808=ORIENTED_EDGE('',*,*,#212593,.F.); #295809=ORIENTED_EDGE('',*,*,#212594,.T.); #295810=ORIENTED_EDGE('',*,*,#212595,.F.); #295811=ORIENTED_EDGE('',*,*,#212594,.F.); #295812=ORIENTED_EDGE('',*,*,#212596,.F.); #295813=ORIENTED_EDGE('',*,*,#212597,.T.); #295814=ORIENTED_EDGE('',*,*,#212598,.F.); #295815=ORIENTED_EDGE('',*,*,#212597,.F.); #295816=ORIENTED_EDGE('',*,*,#212599,.F.); #295817=ORIENTED_EDGE('',*,*,#212600,.T.); #295818=ORIENTED_EDGE('',*,*,#212601,.F.); #295819=ORIENTED_EDGE('',*,*,#212600,.F.); #295820=ORIENTED_EDGE('',*,*,#212602,.F.); #295821=ORIENTED_EDGE('',*,*,#212603,.T.); #295822=ORIENTED_EDGE('',*,*,#212604,.F.); #295823=ORIENTED_EDGE('',*,*,#212603,.F.); #295824=ORIENTED_EDGE('',*,*,#212605,.F.); #295825=ORIENTED_EDGE('',*,*,#212606,.T.); #295826=ORIENTED_EDGE('',*,*,#212607,.F.); #295827=ORIENTED_EDGE('',*,*,#212606,.F.); #295828=ORIENTED_EDGE('',*,*,#212608,.F.); #295829=ORIENTED_EDGE('',*,*,#212609,.T.); #295830=ORIENTED_EDGE('',*,*,#212610,.F.); #295831=ORIENTED_EDGE('',*,*,#212609,.F.); #295832=ORIENTED_EDGE('',*,*,#212611,.F.); #295833=ORIENTED_EDGE('',*,*,#212612,.T.); #295834=ORIENTED_EDGE('',*,*,#212613,.F.); #295835=ORIENTED_EDGE('',*,*,#212612,.F.); #295836=ORIENTED_EDGE('',*,*,#212614,.F.); #295837=ORIENTED_EDGE('',*,*,#212615,.T.); #295838=ORIENTED_EDGE('',*,*,#212616,.F.); #295839=ORIENTED_EDGE('',*,*,#212615,.F.); #295840=ORIENTED_EDGE('',*,*,#212617,.F.); #295841=ORIENTED_EDGE('',*,*,#212618,.T.); #295842=ORIENTED_EDGE('',*,*,#212619,.F.); #295843=ORIENTED_EDGE('',*,*,#212618,.F.); #295844=ORIENTED_EDGE('',*,*,#212620,.F.); #295845=ORIENTED_EDGE('',*,*,#212621,.T.); #295846=ORIENTED_EDGE('',*,*,#212622,.F.); #295847=ORIENTED_EDGE('',*,*,#212621,.F.); #295848=ORIENTED_EDGE('',*,*,#212623,.F.); #295849=ORIENTED_EDGE('',*,*,#212624,.T.); #295850=ORIENTED_EDGE('',*,*,#212625,.F.); #295851=ORIENTED_EDGE('',*,*,#212624,.F.); #295852=ORIENTED_EDGE('',*,*,#212626,.F.); #295853=ORIENTED_EDGE('',*,*,#212627,.T.); #295854=ORIENTED_EDGE('',*,*,#212628,.F.); #295855=ORIENTED_EDGE('',*,*,#212627,.F.); #295856=ORIENTED_EDGE('',*,*,#212629,.F.); #295857=ORIENTED_EDGE('',*,*,#212630,.T.); #295858=ORIENTED_EDGE('',*,*,#212631,.F.); #295859=ORIENTED_EDGE('',*,*,#212630,.F.); #295860=ORIENTED_EDGE('',*,*,#212632,.F.); #295861=ORIENTED_EDGE('',*,*,#212633,.T.); #295862=ORIENTED_EDGE('',*,*,#212634,.F.); #295863=ORIENTED_EDGE('',*,*,#212633,.F.); #295864=ORIENTED_EDGE('',*,*,#212635,.F.); #295865=ORIENTED_EDGE('',*,*,#212636,.T.); #295866=ORIENTED_EDGE('',*,*,#212637,.F.); #295867=ORIENTED_EDGE('',*,*,#212636,.F.); #295868=ORIENTED_EDGE('',*,*,#212638,.F.); #295869=ORIENTED_EDGE('',*,*,#212639,.T.); #295870=ORIENTED_EDGE('',*,*,#212640,.F.); #295871=ORIENTED_EDGE('',*,*,#212639,.F.); #295872=ORIENTED_EDGE('',*,*,#212641,.F.); #295873=ORIENTED_EDGE('',*,*,#212642,.T.); #295874=ORIENTED_EDGE('',*,*,#212643,.F.); #295875=ORIENTED_EDGE('',*,*,#212642,.F.); #295876=ORIENTED_EDGE('',*,*,#212644,.F.); #295877=ORIENTED_EDGE('',*,*,#212645,.T.); #295878=ORIENTED_EDGE('',*,*,#212646,.F.); #295879=ORIENTED_EDGE('',*,*,#212645,.F.); #295880=ORIENTED_EDGE('',*,*,#212647,.F.); #295881=ORIENTED_EDGE('',*,*,#212648,.T.); #295882=ORIENTED_EDGE('',*,*,#212649,.F.); #295883=ORIENTED_EDGE('',*,*,#212648,.F.); #295884=ORIENTED_EDGE('',*,*,#212650,.F.); #295885=ORIENTED_EDGE('',*,*,#212651,.T.); #295886=ORIENTED_EDGE('',*,*,#212652,.F.); #295887=ORIENTED_EDGE('',*,*,#212651,.F.); #295888=ORIENTED_EDGE('',*,*,#212653,.F.); #295889=ORIENTED_EDGE('',*,*,#212654,.T.); #295890=ORIENTED_EDGE('',*,*,#212655,.F.); #295891=ORIENTED_EDGE('',*,*,#212654,.F.); #295892=ORIENTED_EDGE('',*,*,#212656,.F.); #295893=ORIENTED_EDGE('',*,*,#212657,.T.); #295894=ORIENTED_EDGE('',*,*,#212658,.F.); #295895=ORIENTED_EDGE('',*,*,#212657,.F.); #295896=ORIENTED_EDGE('',*,*,#212659,.F.); #295897=ORIENTED_EDGE('',*,*,#212660,.T.); #295898=ORIENTED_EDGE('',*,*,#212661,.F.); #295899=ORIENTED_EDGE('',*,*,#212660,.F.); #295900=ORIENTED_EDGE('',*,*,#212662,.F.); #295901=ORIENTED_EDGE('',*,*,#212663,.T.); #295902=ORIENTED_EDGE('',*,*,#212664,.F.); #295903=ORIENTED_EDGE('',*,*,#212663,.F.); #295904=ORIENTED_EDGE('',*,*,#212665,.F.); #295905=ORIENTED_EDGE('',*,*,#212666,.T.); #295906=ORIENTED_EDGE('',*,*,#212667,.F.); #295907=ORIENTED_EDGE('',*,*,#212666,.F.); #295908=ORIENTED_EDGE('',*,*,#212668,.F.); #295909=ORIENTED_EDGE('',*,*,#212669,.T.); #295910=ORIENTED_EDGE('',*,*,#212670,.F.); #295911=ORIENTED_EDGE('',*,*,#212669,.F.); #295912=ORIENTED_EDGE('',*,*,#212671,.F.); #295913=ORIENTED_EDGE('',*,*,#212672,.T.); #295914=ORIENTED_EDGE('',*,*,#212673,.F.); #295915=ORIENTED_EDGE('',*,*,#212672,.F.); #295916=ORIENTED_EDGE('',*,*,#212674,.F.); #295917=ORIENTED_EDGE('',*,*,#212675,.T.); #295918=ORIENTED_EDGE('',*,*,#212676,.F.); #295919=ORIENTED_EDGE('',*,*,#212675,.F.); #295920=ORIENTED_EDGE('',*,*,#212677,.F.); #295921=ORIENTED_EDGE('',*,*,#212678,.T.); #295922=ORIENTED_EDGE('',*,*,#212679,.F.); #295923=ORIENTED_EDGE('',*,*,#212678,.F.); #295924=ORIENTED_EDGE('',*,*,#212680,.F.); #295925=ORIENTED_EDGE('',*,*,#212681,.T.); #295926=ORIENTED_EDGE('',*,*,#212682,.F.); #295927=ORIENTED_EDGE('',*,*,#212681,.F.); #295928=ORIENTED_EDGE('',*,*,#212683,.F.); #295929=ORIENTED_EDGE('',*,*,#212684,.T.); #295930=ORIENTED_EDGE('',*,*,#212685,.F.); #295931=ORIENTED_EDGE('',*,*,#212684,.F.); #295932=ORIENTED_EDGE('',*,*,#212686,.F.); #295933=ORIENTED_EDGE('',*,*,#212687,.T.); #295934=ORIENTED_EDGE('',*,*,#212688,.F.); #295935=ORIENTED_EDGE('',*,*,#212687,.F.); #295936=ORIENTED_EDGE('',*,*,#212689,.F.); #295937=ORIENTED_EDGE('',*,*,#212690,.T.); #295938=ORIENTED_EDGE('',*,*,#212691,.F.); #295939=ORIENTED_EDGE('',*,*,#212690,.F.); #295940=ORIENTED_EDGE('',*,*,#212692,.F.); #295941=ORIENTED_EDGE('',*,*,#212693,.T.); #295942=ORIENTED_EDGE('',*,*,#212694,.F.); #295943=ORIENTED_EDGE('',*,*,#212693,.F.); #295944=ORIENTED_EDGE('',*,*,#212695,.F.); #295945=ORIENTED_EDGE('',*,*,#212696,.T.); #295946=ORIENTED_EDGE('',*,*,#212697,.F.); #295947=ORIENTED_EDGE('',*,*,#212696,.F.); #295948=ORIENTED_EDGE('',*,*,#212698,.F.); #295949=ORIENTED_EDGE('',*,*,#212699,.T.); #295950=ORIENTED_EDGE('',*,*,#212700,.F.); #295951=ORIENTED_EDGE('',*,*,#212699,.F.); #295952=ORIENTED_EDGE('',*,*,#212701,.F.); #295953=ORIENTED_EDGE('',*,*,#212702,.T.); #295954=ORIENTED_EDGE('',*,*,#212703,.F.); #295955=ORIENTED_EDGE('',*,*,#212702,.F.); #295956=ORIENTED_EDGE('',*,*,#212704,.F.); #295957=ORIENTED_EDGE('',*,*,#212705,.T.); #295958=ORIENTED_EDGE('',*,*,#212706,.F.); #295959=ORIENTED_EDGE('',*,*,#212705,.F.); #295960=ORIENTED_EDGE('',*,*,#212707,.F.); #295961=ORIENTED_EDGE('',*,*,#212708,.T.); #295962=ORIENTED_EDGE('',*,*,#212709,.F.); #295963=ORIENTED_EDGE('',*,*,#212708,.F.); #295964=ORIENTED_EDGE('',*,*,#212710,.F.); #295965=ORIENTED_EDGE('',*,*,#212711,.T.); #295966=ORIENTED_EDGE('',*,*,#212712,.F.); #295967=ORIENTED_EDGE('',*,*,#212711,.F.); #295968=ORIENTED_EDGE('',*,*,#212713,.F.); #295969=ORIENTED_EDGE('',*,*,#212714,.T.); #295970=ORIENTED_EDGE('',*,*,#212715,.F.); #295971=ORIENTED_EDGE('',*,*,#212714,.F.); #295972=ORIENTED_EDGE('',*,*,#212716,.F.); #295973=ORIENTED_EDGE('',*,*,#212717,.T.); #295974=ORIENTED_EDGE('',*,*,#212718,.F.); #295975=ORIENTED_EDGE('',*,*,#212717,.F.); #295976=ORIENTED_EDGE('',*,*,#212719,.F.); #295977=ORIENTED_EDGE('',*,*,#212720,.T.); #295978=ORIENTED_EDGE('',*,*,#212721,.F.); #295979=ORIENTED_EDGE('',*,*,#212720,.F.); #295980=ORIENTED_EDGE('',*,*,#212722,.F.); #295981=ORIENTED_EDGE('',*,*,#212723,.T.); #295982=ORIENTED_EDGE('',*,*,#212724,.F.); #295983=ORIENTED_EDGE('',*,*,#212723,.F.); #295984=ORIENTED_EDGE('',*,*,#212725,.F.); #295985=ORIENTED_EDGE('',*,*,#212726,.T.); #295986=ORIENTED_EDGE('',*,*,#212727,.F.); #295987=ORIENTED_EDGE('',*,*,#212726,.F.); #295988=ORIENTED_EDGE('',*,*,#212728,.F.); #295989=ORIENTED_EDGE('',*,*,#212729,.T.); #295990=ORIENTED_EDGE('',*,*,#212730,.F.); #295991=ORIENTED_EDGE('',*,*,#212729,.F.); #295992=ORIENTED_EDGE('',*,*,#212731,.F.); #295993=ORIENTED_EDGE('',*,*,#212732,.T.); #295994=ORIENTED_EDGE('',*,*,#212733,.F.); #295995=ORIENTED_EDGE('',*,*,#212732,.F.); #295996=ORIENTED_EDGE('',*,*,#212734,.F.); #295997=ORIENTED_EDGE('',*,*,#212735,.T.); #295998=ORIENTED_EDGE('',*,*,#212736,.F.); #295999=ORIENTED_EDGE('',*,*,#212735,.F.); #296000=ORIENTED_EDGE('',*,*,#212737,.F.); #296001=ORIENTED_EDGE('',*,*,#212738,.T.); #296002=ORIENTED_EDGE('',*,*,#212739,.F.); #296003=ORIENTED_EDGE('',*,*,#212738,.F.); #296004=ORIENTED_EDGE('',*,*,#212740,.F.); #296005=ORIENTED_EDGE('',*,*,#212741,.T.); #296006=ORIENTED_EDGE('',*,*,#212742,.F.); #296007=ORIENTED_EDGE('',*,*,#212741,.F.); #296008=ORIENTED_EDGE('',*,*,#212743,.F.); #296009=ORIENTED_EDGE('',*,*,#212744,.T.); #296010=ORIENTED_EDGE('',*,*,#212745,.F.); #296011=ORIENTED_EDGE('',*,*,#212744,.F.); #296012=ORIENTED_EDGE('',*,*,#212746,.F.); #296013=ORIENTED_EDGE('',*,*,#212747,.T.); #296014=ORIENTED_EDGE('',*,*,#212748,.F.); #296015=ORIENTED_EDGE('',*,*,#212747,.F.); #296016=ORIENTED_EDGE('',*,*,#212749,.F.); #296017=ORIENTED_EDGE('',*,*,#212750,.T.); #296018=ORIENTED_EDGE('',*,*,#212751,.F.); #296019=ORIENTED_EDGE('',*,*,#212750,.F.); #296020=ORIENTED_EDGE('',*,*,#212752,.F.); #296021=ORIENTED_EDGE('',*,*,#212753,.T.); #296022=ORIENTED_EDGE('',*,*,#212754,.F.); #296023=ORIENTED_EDGE('',*,*,#212753,.F.); #296024=ORIENTED_EDGE('',*,*,#212755,.F.); #296025=ORIENTED_EDGE('',*,*,#212756,.T.); #296026=ORIENTED_EDGE('',*,*,#212757,.F.); #296027=ORIENTED_EDGE('',*,*,#212756,.F.); #296028=ORIENTED_EDGE('',*,*,#212758,.F.); #296029=ORIENTED_EDGE('',*,*,#212759,.T.); #296030=ORIENTED_EDGE('',*,*,#212760,.F.); #296031=ORIENTED_EDGE('',*,*,#212759,.F.); #296032=ORIENTED_EDGE('',*,*,#212761,.F.); #296033=ORIENTED_EDGE('',*,*,#212762,.T.); #296034=ORIENTED_EDGE('',*,*,#212763,.F.); #296035=ORIENTED_EDGE('',*,*,#212762,.F.); #296036=ORIENTED_EDGE('',*,*,#212764,.F.); #296037=ORIENTED_EDGE('',*,*,#212765,.T.); #296038=ORIENTED_EDGE('',*,*,#212766,.F.); #296039=ORIENTED_EDGE('',*,*,#212765,.F.); #296040=ORIENTED_EDGE('',*,*,#212767,.F.); #296041=ORIENTED_EDGE('',*,*,#212768,.T.); #296042=ORIENTED_EDGE('',*,*,#212769,.F.); #296043=ORIENTED_EDGE('',*,*,#212768,.F.); #296044=ORIENTED_EDGE('',*,*,#212770,.F.); #296045=ORIENTED_EDGE('',*,*,#212771,.T.); #296046=ORIENTED_EDGE('',*,*,#212772,.F.); #296047=ORIENTED_EDGE('',*,*,#212771,.F.); #296048=ORIENTED_EDGE('',*,*,#212773,.F.); #296049=ORIENTED_EDGE('',*,*,#212774,.T.); #296050=ORIENTED_EDGE('',*,*,#212775,.F.); #296051=ORIENTED_EDGE('',*,*,#212774,.F.); #296052=ORIENTED_EDGE('',*,*,#212776,.F.); #296053=ORIENTED_EDGE('',*,*,#212777,.T.); #296054=ORIENTED_EDGE('',*,*,#212778,.F.); #296055=ORIENTED_EDGE('',*,*,#212777,.F.); #296056=ORIENTED_EDGE('',*,*,#212779,.F.); #296057=ORIENTED_EDGE('',*,*,#212780,.T.); #296058=ORIENTED_EDGE('',*,*,#212781,.F.); #296059=ORIENTED_EDGE('',*,*,#212780,.F.); #296060=ORIENTED_EDGE('',*,*,#212782,.F.); #296061=ORIENTED_EDGE('',*,*,#212783,.T.); #296062=ORIENTED_EDGE('',*,*,#212784,.F.); #296063=ORIENTED_EDGE('',*,*,#212783,.F.); #296064=ORIENTED_EDGE('',*,*,#212785,.F.); #296065=ORIENTED_EDGE('',*,*,#212786,.T.); #296066=ORIENTED_EDGE('',*,*,#212787,.F.); #296067=ORIENTED_EDGE('',*,*,#212786,.F.); #296068=ORIENTED_EDGE('',*,*,#212788,.F.); #296069=ORIENTED_EDGE('',*,*,#212789,.T.); #296070=ORIENTED_EDGE('',*,*,#212790,.F.); #296071=ORIENTED_EDGE('',*,*,#212789,.F.); #296072=ORIENTED_EDGE('',*,*,#212791,.F.); #296073=ORIENTED_EDGE('',*,*,#212792,.T.); #296074=ORIENTED_EDGE('',*,*,#212793,.F.); #296075=ORIENTED_EDGE('',*,*,#212792,.F.); #296076=ORIENTED_EDGE('',*,*,#212794,.F.); #296077=ORIENTED_EDGE('',*,*,#212795,.T.); #296078=ORIENTED_EDGE('',*,*,#212796,.F.); #296079=ORIENTED_EDGE('',*,*,#212795,.F.); #296080=ORIENTED_EDGE('',*,*,#212797,.F.); #296081=ORIENTED_EDGE('',*,*,#212798,.T.); #296082=ORIENTED_EDGE('',*,*,#212799,.F.); #296083=ORIENTED_EDGE('',*,*,#212798,.F.); #296084=ORIENTED_EDGE('',*,*,#212800,.F.); #296085=ORIENTED_EDGE('',*,*,#212801,.T.); #296086=ORIENTED_EDGE('',*,*,#212802,.F.); #296087=ORIENTED_EDGE('',*,*,#212801,.F.); #296088=ORIENTED_EDGE('',*,*,#212803,.F.); #296089=ORIENTED_EDGE('',*,*,#212804,.T.); #296090=ORIENTED_EDGE('',*,*,#212805,.F.); #296091=ORIENTED_EDGE('',*,*,#212804,.F.); #296092=ORIENTED_EDGE('',*,*,#212806,.F.); #296093=ORIENTED_EDGE('',*,*,#212807,.T.); #296094=ORIENTED_EDGE('',*,*,#212808,.F.); #296095=ORIENTED_EDGE('',*,*,#212807,.F.); #296096=ORIENTED_EDGE('',*,*,#212809,.F.); #296097=ORIENTED_EDGE('',*,*,#212810,.T.); #296098=ORIENTED_EDGE('',*,*,#212811,.F.); #296099=ORIENTED_EDGE('',*,*,#212810,.F.); #296100=ORIENTED_EDGE('',*,*,#212812,.F.); #296101=ORIENTED_EDGE('',*,*,#212813,.T.); #296102=ORIENTED_EDGE('',*,*,#212814,.F.); #296103=ORIENTED_EDGE('',*,*,#212813,.F.); #296104=ORIENTED_EDGE('',*,*,#212815,.F.); #296105=ORIENTED_EDGE('',*,*,#212816,.T.); #296106=ORIENTED_EDGE('',*,*,#212817,.F.); #296107=ORIENTED_EDGE('',*,*,#212816,.F.); #296108=ORIENTED_EDGE('',*,*,#212818,.F.); #296109=ORIENTED_EDGE('',*,*,#212819,.T.); #296110=ORIENTED_EDGE('',*,*,#212820,.F.); #296111=ORIENTED_EDGE('',*,*,#212819,.F.); #296112=ORIENTED_EDGE('',*,*,#212821,.F.); #296113=ORIENTED_EDGE('',*,*,#212822,.T.); #296114=ORIENTED_EDGE('',*,*,#212823,.F.); #296115=ORIENTED_EDGE('',*,*,#212822,.F.); #296116=ORIENTED_EDGE('',*,*,#212824,.F.); #296117=ORIENTED_EDGE('',*,*,#212825,.T.); #296118=ORIENTED_EDGE('',*,*,#212826,.F.); #296119=ORIENTED_EDGE('',*,*,#212825,.F.); #296120=ORIENTED_EDGE('',*,*,#212827,.F.); #296121=ORIENTED_EDGE('',*,*,#212828,.T.); #296122=ORIENTED_EDGE('',*,*,#212829,.F.); #296123=ORIENTED_EDGE('',*,*,#212828,.F.); #296124=ORIENTED_EDGE('',*,*,#212830,.F.); #296125=ORIENTED_EDGE('',*,*,#212831,.T.); #296126=ORIENTED_EDGE('',*,*,#212832,.F.); #296127=ORIENTED_EDGE('',*,*,#212831,.F.); #296128=ORIENTED_EDGE('',*,*,#212833,.F.); #296129=ORIENTED_EDGE('',*,*,#212834,.T.); #296130=ORIENTED_EDGE('',*,*,#212835,.F.); #296131=ORIENTED_EDGE('',*,*,#212834,.F.); #296132=ORIENTED_EDGE('',*,*,#212836,.F.); #296133=ORIENTED_EDGE('',*,*,#212837,.T.); #296134=ORIENTED_EDGE('',*,*,#212838,.F.); #296135=ORIENTED_EDGE('',*,*,#212837,.F.); #296136=ORIENTED_EDGE('',*,*,#212839,.F.); #296137=ORIENTED_EDGE('',*,*,#212840,.T.); #296138=ORIENTED_EDGE('',*,*,#212841,.F.); #296139=ORIENTED_EDGE('',*,*,#212840,.F.); #296140=ORIENTED_EDGE('',*,*,#212842,.F.); #296141=ORIENTED_EDGE('',*,*,#212843,.T.); #296142=ORIENTED_EDGE('',*,*,#212844,.F.); #296143=ORIENTED_EDGE('',*,*,#212843,.F.); #296144=ORIENTED_EDGE('',*,*,#212845,.F.); #296145=ORIENTED_EDGE('',*,*,#212846,.T.); #296146=ORIENTED_EDGE('',*,*,#212847,.F.); #296147=ORIENTED_EDGE('',*,*,#212846,.F.); #296148=ORIENTED_EDGE('',*,*,#212848,.F.); #296149=ORIENTED_EDGE('',*,*,#212849,.T.); #296150=ORIENTED_EDGE('',*,*,#212850,.F.); #296151=ORIENTED_EDGE('',*,*,#212849,.F.); #296152=ORIENTED_EDGE('',*,*,#212851,.F.); #296153=ORIENTED_EDGE('',*,*,#212852,.T.); #296154=ORIENTED_EDGE('',*,*,#212853,.F.); #296155=ORIENTED_EDGE('',*,*,#212852,.F.); #296156=ORIENTED_EDGE('',*,*,#212854,.F.); #296157=ORIENTED_EDGE('',*,*,#212855,.T.); #296158=ORIENTED_EDGE('',*,*,#212856,.F.); #296159=ORIENTED_EDGE('',*,*,#212855,.F.); #296160=ORIENTED_EDGE('',*,*,#212857,.F.); #296161=ORIENTED_EDGE('',*,*,#212858,.T.); #296162=ORIENTED_EDGE('',*,*,#212859,.F.); #296163=ORIENTED_EDGE('',*,*,#212858,.F.); #296164=ORIENTED_EDGE('',*,*,#212860,.F.); #296165=ORIENTED_EDGE('',*,*,#212861,.T.); #296166=ORIENTED_EDGE('',*,*,#212862,.F.); #296167=ORIENTED_EDGE('',*,*,#212861,.F.); #296168=ORIENTED_EDGE('',*,*,#212863,.F.); #296169=ORIENTED_EDGE('',*,*,#212864,.T.); #296170=ORIENTED_EDGE('',*,*,#212865,.F.); #296171=ORIENTED_EDGE('',*,*,#212864,.F.); #296172=ORIENTED_EDGE('',*,*,#212866,.F.); #296173=ORIENTED_EDGE('',*,*,#212867,.T.); #296174=ORIENTED_EDGE('',*,*,#212868,.F.); #296175=ORIENTED_EDGE('',*,*,#212867,.F.); #296176=ORIENTED_EDGE('',*,*,#212869,.F.); #296177=ORIENTED_EDGE('',*,*,#212870,.T.); #296178=ORIENTED_EDGE('',*,*,#212871,.F.); #296179=ORIENTED_EDGE('',*,*,#212870,.F.); #296180=ORIENTED_EDGE('',*,*,#212872,.F.); #296181=ORIENTED_EDGE('',*,*,#212873,.T.); #296182=ORIENTED_EDGE('',*,*,#212874,.F.); #296183=ORIENTED_EDGE('',*,*,#212873,.F.); #296184=ORIENTED_EDGE('',*,*,#212875,.F.); #296185=ORIENTED_EDGE('',*,*,#212876,.T.); #296186=ORIENTED_EDGE('',*,*,#212877,.F.); #296187=ORIENTED_EDGE('',*,*,#212876,.F.); #296188=ORIENTED_EDGE('',*,*,#212878,.F.); #296189=ORIENTED_EDGE('',*,*,#212879,.T.); #296190=ORIENTED_EDGE('',*,*,#212880,.F.); #296191=ORIENTED_EDGE('',*,*,#212879,.F.); #296192=ORIENTED_EDGE('',*,*,#212881,.F.); #296193=ORIENTED_EDGE('',*,*,#212882,.T.); #296194=ORIENTED_EDGE('',*,*,#212883,.F.); #296195=ORIENTED_EDGE('',*,*,#212882,.F.); #296196=ORIENTED_EDGE('',*,*,#212884,.F.); #296197=ORIENTED_EDGE('',*,*,#212885,.T.); #296198=ORIENTED_EDGE('',*,*,#212886,.F.); #296199=ORIENTED_EDGE('',*,*,#212885,.F.); #296200=ORIENTED_EDGE('',*,*,#212887,.F.); #296201=ORIENTED_EDGE('',*,*,#212888,.T.); #296202=ORIENTED_EDGE('',*,*,#212889,.F.); #296203=ORIENTED_EDGE('',*,*,#212888,.F.); #296204=ORIENTED_EDGE('',*,*,#212890,.F.); #296205=ORIENTED_EDGE('',*,*,#212891,.T.); #296206=ORIENTED_EDGE('',*,*,#212892,.F.); #296207=ORIENTED_EDGE('',*,*,#212891,.F.); #296208=ORIENTED_EDGE('',*,*,#212893,.F.); #296209=ORIENTED_EDGE('',*,*,#212894,.T.); #296210=ORIENTED_EDGE('',*,*,#212895,.F.); #296211=ORIENTED_EDGE('',*,*,#212894,.F.); #296212=ORIENTED_EDGE('',*,*,#212896,.F.); #296213=ORIENTED_EDGE('',*,*,#212897,.T.); #296214=ORIENTED_EDGE('',*,*,#212898,.F.); #296215=ORIENTED_EDGE('',*,*,#212897,.F.); #296216=ORIENTED_EDGE('',*,*,#212899,.F.); #296217=ORIENTED_EDGE('',*,*,#212900,.T.); #296218=ORIENTED_EDGE('',*,*,#212901,.F.); #296219=ORIENTED_EDGE('',*,*,#212900,.F.); #296220=ORIENTED_EDGE('',*,*,#212902,.F.); #296221=ORIENTED_EDGE('',*,*,#212903,.T.); #296222=ORIENTED_EDGE('',*,*,#212904,.F.); #296223=ORIENTED_EDGE('',*,*,#212903,.F.); #296224=ORIENTED_EDGE('',*,*,#212905,.F.); #296225=ORIENTED_EDGE('',*,*,#212906,.T.); #296226=ORIENTED_EDGE('',*,*,#212907,.F.); #296227=ORIENTED_EDGE('',*,*,#212906,.F.); #296228=ORIENTED_EDGE('',*,*,#212908,.F.); #296229=ORIENTED_EDGE('',*,*,#212909,.T.); #296230=ORIENTED_EDGE('',*,*,#212910,.F.); #296231=ORIENTED_EDGE('',*,*,#212909,.F.); #296232=ORIENTED_EDGE('',*,*,#212911,.F.); #296233=ORIENTED_EDGE('',*,*,#212912,.T.); #296234=ORIENTED_EDGE('',*,*,#212913,.F.); #296235=ORIENTED_EDGE('',*,*,#212912,.F.); #296236=ORIENTED_EDGE('',*,*,#212914,.F.); #296237=ORIENTED_EDGE('',*,*,#212915,.T.); #296238=ORIENTED_EDGE('',*,*,#212916,.F.); #296239=ORIENTED_EDGE('',*,*,#212915,.F.); #296240=ORIENTED_EDGE('',*,*,#212917,.F.); #296241=ORIENTED_EDGE('',*,*,#212918,.T.); #296242=ORIENTED_EDGE('',*,*,#212919,.F.); #296243=ORIENTED_EDGE('',*,*,#212918,.F.); #296244=ORIENTED_EDGE('',*,*,#212920,.F.); #296245=ORIENTED_EDGE('',*,*,#212921,.T.); #296246=ORIENTED_EDGE('',*,*,#212922,.F.); #296247=ORIENTED_EDGE('',*,*,#212921,.F.); #296248=ORIENTED_EDGE('',*,*,#212923,.F.); #296249=ORIENTED_EDGE('',*,*,#212924,.T.); #296250=ORIENTED_EDGE('',*,*,#212925,.F.); #296251=ORIENTED_EDGE('',*,*,#212924,.F.); #296252=ORIENTED_EDGE('',*,*,#212926,.F.); #296253=ORIENTED_EDGE('',*,*,#212927,.T.); #296254=ORIENTED_EDGE('',*,*,#212928,.F.); #296255=ORIENTED_EDGE('',*,*,#212927,.F.); #296256=ORIENTED_EDGE('',*,*,#212929,.F.); #296257=ORIENTED_EDGE('',*,*,#212930,.T.); #296258=ORIENTED_EDGE('',*,*,#212931,.F.); #296259=ORIENTED_EDGE('',*,*,#212930,.F.); #296260=ORIENTED_EDGE('',*,*,#212932,.F.); #296261=ORIENTED_EDGE('',*,*,#212933,.T.); #296262=ORIENTED_EDGE('',*,*,#212934,.F.); #296263=ORIENTED_EDGE('',*,*,#212933,.F.); #296264=ORIENTED_EDGE('',*,*,#212935,.F.); #296265=ORIENTED_EDGE('',*,*,#212936,.T.); #296266=ORIENTED_EDGE('',*,*,#212937,.F.); #296267=ORIENTED_EDGE('',*,*,#212936,.F.); #296268=ORIENTED_EDGE('',*,*,#212938,.F.); #296269=ORIENTED_EDGE('',*,*,#212939,.T.); #296270=ORIENTED_EDGE('',*,*,#212940,.F.); #296271=ORIENTED_EDGE('',*,*,#212939,.F.); #296272=ORIENTED_EDGE('',*,*,#212941,.F.); #296273=ORIENTED_EDGE('',*,*,#212942,.T.); #296274=ORIENTED_EDGE('',*,*,#212943,.F.); #296275=ORIENTED_EDGE('',*,*,#212942,.F.); #296276=ORIENTED_EDGE('',*,*,#212944,.F.); #296277=ORIENTED_EDGE('',*,*,#212945,.T.); #296278=ORIENTED_EDGE('',*,*,#212946,.F.); #296279=ORIENTED_EDGE('',*,*,#212945,.F.); #296280=ORIENTED_EDGE('',*,*,#212947,.F.); #296281=ORIENTED_EDGE('',*,*,#212948,.T.); #296282=ORIENTED_EDGE('',*,*,#212949,.F.); #296283=ORIENTED_EDGE('',*,*,#212948,.F.); #296284=ORIENTED_EDGE('',*,*,#212950,.F.); #296285=ORIENTED_EDGE('',*,*,#212951,.T.); #296286=ORIENTED_EDGE('',*,*,#212952,.F.); #296287=ORIENTED_EDGE('',*,*,#212951,.F.); #296288=ORIENTED_EDGE('',*,*,#212953,.F.); #296289=ORIENTED_EDGE('',*,*,#212954,.T.); #296290=ORIENTED_EDGE('',*,*,#212955,.F.); #296291=ORIENTED_EDGE('',*,*,#212954,.F.); #296292=ORIENTED_EDGE('',*,*,#212956,.F.); #296293=ORIENTED_EDGE('',*,*,#212957,.T.); #296294=ORIENTED_EDGE('',*,*,#212958,.F.); #296295=ORIENTED_EDGE('',*,*,#212957,.F.); #296296=ORIENTED_EDGE('',*,*,#212959,.F.); #296297=ORIENTED_EDGE('',*,*,#212960,.T.); #296298=ORIENTED_EDGE('',*,*,#212961,.F.); #296299=ORIENTED_EDGE('',*,*,#212960,.F.); #296300=ORIENTED_EDGE('',*,*,#212962,.F.); #296301=ORIENTED_EDGE('',*,*,#212963,.T.); #296302=ORIENTED_EDGE('',*,*,#212964,.F.); #296303=ORIENTED_EDGE('',*,*,#212963,.F.); #296304=ORIENTED_EDGE('',*,*,#212965,.F.); #296305=ORIENTED_EDGE('',*,*,#212966,.T.); #296306=ORIENTED_EDGE('',*,*,#212967,.F.); #296307=ORIENTED_EDGE('',*,*,#212966,.F.); #296308=ORIENTED_EDGE('',*,*,#212968,.F.); #296309=ORIENTED_EDGE('',*,*,#212969,.T.); #296310=ORIENTED_EDGE('',*,*,#212970,.F.); #296311=ORIENTED_EDGE('',*,*,#212969,.F.); #296312=ORIENTED_EDGE('',*,*,#212971,.F.); #296313=ORIENTED_EDGE('',*,*,#212972,.T.); #296314=ORIENTED_EDGE('',*,*,#212973,.F.); #296315=ORIENTED_EDGE('',*,*,#212972,.F.); #296316=ORIENTED_EDGE('',*,*,#212974,.F.); #296317=ORIENTED_EDGE('',*,*,#212975,.T.); #296318=ORIENTED_EDGE('',*,*,#212976,.F.); #296319=ORIENTED_EDGE('',*,*,#212975,.F.); #296320=ORIENTED_EDGE('',*,*,#212977,.F.); #296321=ORIENTED_EDGE('',*,*,#212978,.T.); #296322=ORIENTED_EDGE('',*,*,#212979,.F.); #296323=ORIENTED_EDGE('',*,*,#212978,.F.); #296324=ORIENTED_EDGE('',*,*,#212980,.F.); #296325=ORIENTED_EDGE('',*,*,#212981,.T.); #296326=ORIENTED_EDGE('',*,*,#212982,.F.); #296327=ORIENTED_EDGE('',*,*,#212981,.F.); #296328=ORIENTED_EDGE('',*,*,#212983,.F.); #296329=ORIENTED_EDGE('',*,*,#212984,.T.); #296330=ORIENTED_EDGE('',*,*,#212985,.F.); #296331=ORIENTED_EDGE('',*,*,#212984,.F.); #296332=ORIENTED_EDGE('',*,*,#212986,.F.); #296333=ORIENTED_EDGE('',*,*,#212987,.T.); #296334=ORIENTED_EDGE('',*,*,#212988,.F.); #296335=ORIENTED_EDGE('',*,*,#212987,.F.); #296336=ORIENTED_EDGE('',*,*,#212989,.F.); #296337=ORIENTED_EDGE('',*,*,#212990,.T.); #296338=ORIENTED_EDGE('',*,*,#212991,.F.); #296339=ORIENTED_EDGE('',*,*,#212990,.F.); #296340=ORIENTED_EDGE('',*,*,#212992,.F.); #296341=ORIENTED_EDGE('',*,*,#212993,.T.); #296342=ORIENTED_EDGE('',*,*,#212994,.F.); #296343=ORIENTED_EDGE('',*,*,#212993,.F.); #296344=ORIENTED_EDGE('',*,*,#212995,.F.); #296345=ORIENTED_EDGE('',*,*,#212996,.T.); #296346=ORIENTED_EDGE('',*,*,#212997,.F.); #296347=ORIENTED_EDGE('',*,*,#212996,.F.); #296348=ORIENTED_EDGE('',*,*,#212998,.F.); #296349=ORIENTED_EDGE('',*,*,#212999,.T.); #296350=ORIENTED_EDGE('',*,*,#213000,.F.); #296351=ORIENTED_EDGE('',*,*,#212999,.F.); #296352=ORIENTED_EDGE('',*,*,#213001,.F.); #296353=ORIENTED_EDGE('',*,*,#213002,.T.); #296354=ORIENTED_EDGE('',*,*,#213003,.F.); #296355=ORIENTED_EDGE('',*,*,#213002,.F.); #296356=ORIENTED_EDGE('',*,*,#213004,.F.); #296357=ORIENTED_EDGE('',*,*,#213005,.T.); #296358=ORIENTED_EDGE('',*,*,#213006,.F.); #296359=ORIENTED_EDGE('',*,*,#213005,.F.); #296360=ORIENTED_EDGE('',*,*,#213007,.F.); #296361=ORIENTED_EDGE('',*,*,#213008,.T.); #296362=ORIENTED_EDGE('',*,*,#213009,.F.); #296363=ORIENTED_EDGE('',*,*,#213008,.F.); #296364=ORIENTED_EDGE('',*,*,#213010,.F.); #296365=ORIENTED_EDGE('',*,*,#213011,.T.); #296366=ORIENTED_EDGE('',*,*,#213012,.F.); #296367=ORIENTED_EDGE('',*,*,#213011,.F.); #296368=ORIENTED_EDGE('',*,*,#213013,.F.); #296369=ORIENTED_EDGE('',*,*,#213014,.T.); #296370=ORIENTED_EDGE('',*,*,#213015,.F.); #296371=ORIENTED_EDGE('',*,*,#213014,.F.); #296372=ORIENTED_EDGE('',*,*,#213016,.F.); #296373=ORIENTED_EDGE('',*,*,#213017,.T.); #296374=ORIENTED_EDGE('',*,*,#213018,.F.); #296375=ORIENTED_EDGE('',*,*,#213017,.F.); #296376=ORIENTED_EDGE('',*,*,#213019,.F.); #296377=ORIENTED_EDGE('',*,*,#213020,.T.); #296378=ORIENTED_EDGE('',*,*,#213021,.F.); #296379=ORIENTED_EDGE('',*,*,#213020,.F.); #296380=ORIENTED_EDGE('',*,*,#213022,.F.); #296381=ORIENTED_EDGE('',*,*,#213023,.T.); #296382=ORIENTED_EDGE('',*,*,#213024,.F.); #296383=ORIENTED_EDGE('',*,*,#213023,.F.); #296384=ORIENTED_EDGE('',*,*,#213025,.F.); #296385=ORIENTED_EDGE('',*,*,#213026,.T.); #296386=ORIENTED_EDGE('',*,*,#213027,.F.); #296387=ORIENTED_EDGE('',*,*,#213026,.F.); #296388=ORIENTED_EDGE('',*,*,#213028,.F.); #296389=ORIENTED_EDGE('',*,*,#213029,.T.); #296390=ORIENTED_EDGE('',*,*,#213030,.F.); #296391=ORIENTED_EDGE('',*,*,#213029,.F.); #296392=ORIENTED_EDGE('',*,*,#213031,.F.); #296393=ORIENTED_EDGE('',*,*,#213032,.T.); #296394=ORIENTED_EDGE('',*,*,#213033,.F.); #296395=ORIENTED_EDGE('',*,*,#213032,.F.); #296396=ORIENTED_EDGE('',*,*,#213034,.F.); #296397=ORIENTED_EDGE('',*,*,#213035,.T.); #296398=ORIENTED_EDGE('',*,*,#213036,.F.); #296399=ORIENTED_EDGE('',*,*,#213035,.F.); #296400=ORIENTED_EDGE('',*,*,#213037,.F.); #296401=ORIENTED_EDGE('',*,*,#213038,.T.); #296402=ORIENTED_EDGE('',*,*,#213039,.F.); #296403=ORIENTED_EDGE('',*,*,#213038,.F.); #296404=ORIENTED_EDGE('',*,*,#213040,.F.); #296405=ORIENTED_EDGE('',*,*,#213041,.T.); #296406=ORIENTED_EDGE('',*,*,#213042,.F.); #296407=ORIENTED_EDGE('',*,*,#213041,.F.); #296408=ORIENTED_EDGE('',*,*,#213043,.F.); #296409=ORIENTED_EDGE('',*,*,#213044,.T.); #296410=ORIENTED_EDGE('',*,*,#213045,.F.); #296411=ORIENTED_EDGE('',*,*,#213044,.F.); #296412=ORIENTED_EDGE('',*,*,#213046,.F.); #296413=ORIENTED_EDGE('',*,*,#213047,.T.); #296414=ORIENTED_EDGE('',*,*,#213048,.F.); #296415=ORIENTED_EDGE('',*,*,#213047,.F.); #296416=ORIENTED_EDGE('',*,*,#213049,.F.); #296417=ORIENTED_EDGE('',*,*,#213050,.T.); #296418=ORIENTED_EDGE('',*,*,#213051,.F.); #296419=ORIENTED_EDGE('',*,*,#213050,.F.); #296420=ORIENTED_EDGE('',*,*,#213052,.F.); #296421=ORIENTED_EDGE('',*,*,#213053,.T.); #296422=ORIENTED_EDGE('',*,*,#213054,.F.); #296423=ORIENTED_EDGE('',*,*,#213053,.F.); #296424=ORIENTED_EDGE('',*,*,#213055,.F.); #296425=ORIENTED_EDGE('',*,*,#213056,.T.); #296426=ORIENTED_EDGE('',*,*,#213057,.F.); #296427=ORIENTED_EDGE('',*,*,#213056,.F.); #296428=ORIENTED_EDGE('',*,*,#213058,.F.); #296429=ORIENTED_EDGE('',*,*,#213059,.T.); #296430=ORIENTED_EDGE('',*,*,#213060,.F.); #296431=ORIENTED_EDGE('',*,*,#213059,.F.); #296432=ORIENTED_EDGE('',*,*,#213061,.F.); #296433=ORIENTED_EDGE('',*,*,#213062,.T.); #296434=ORIENTED_EDGE('',*,*,#213063,.F.); #296435=ORIENTED_EDGE('',*,*,#213062,.F.); #296436=ORIENTED_EDGE('',*,*,#213064,.F.); #296437=ORIENTED_EDGE('',*,*,#213065,.T.); #296438=ORIENTED_EDGE('',*,*,#213066,.F.); #296439=ORIENTED_EDGE('',*,*,#213065,.F.); #296440=ORIENTED_EDGE('',*,*,#213067,.F.); #296441=ORIENTED_EDGE('',*,*,#213068,.T.); #296442=ORIENTED_EDGE('',*,*,#213069,.F.); #296443=ORIENTED_EDGE('',*,*,#213068,.F.); #296444=ORIENTED_EDGE('',*,*,#213070,.F.); #296445=ORIENTED_EDGE('',*,*,#213071,.T.); #296446=ORIENTED_EDGE('',*,*,#213072,.F.); #296447=ORIENTED_EDGE('',*,*,#213071,.F.); #296448=ORIENTED_EDGE('',*,*,#213073,.F.); #296449=ORIENTED_EDGE('',*,*,#213074,.T.); #296450=ORIENTED_EDGE('',*,*,#213075,.F.); #296451=ORIENTED_EDGE('',*,*,#213074,.F.); #296452=ORIENTED_EDGE('',*,*,#213076,.F.); #296453=ORIENTED_EDGE('',*,*,#213077,.T.); #296454=ORIENTED_EDGE('',*,*,#213078,.F.); #296455=ORIENTED_EDGE('',*,*,#213077,.F.); #296456=ORIENTED_EDGE('',*,*,#213079,.F.); #296457=ORIENTED_EDGE('',*,*,#213080,.T.); #296458=ORIENTED_EDGE('',*,*,#213081,.F.); #296459=ORIENTED_EDGE('',*,*,#213080,.F.); #296460=ORIENTED_EDGE('',*,*,#213082,.F.); #296461=ORIENTED_EDGE('',*,*,#213083,.T.); #296462=ORIENTED_EDGE('',*,*,#213084,.F.); #296463=ORIENTED_EDGE('',*,*,#213083,.F.); #296464=ORIENTED_EDGE('',*,*,#213085,.F.); #296465=ORIENTED_EDGE('',*,*,#213086,.T.); #296466=ORIENTED_EDGE('',*,*,#213087,.F.); #296467=ORIENTED_EDGE('',*,*,#213086,.F.); #296468=ORIENTED_EDGE('',*,*,#213087,.T.); #296469=ORIENTED_EDGE('',*,*,#212035,.T.); #296470=ORIENTED_EDGE('',*,*,#212038,.T.); #296471=ORIENTED_EDGE('',*,*,#212043,.T.); #296472=ORIENTED_EDGE('',*,*,#212046,.T.); #296473=ORIENTED_EDGE('',*,*,#212049,.T.); #296474=ORIENTED_EDGE('',*,*,#212052,.T.); #296475=ORIENTED_EDGE('',*,*,#212055,.T.); #296476=ORIENTED_EDGE('',*,*,#212058,.T.); #296477=ORIENTED_EDGE('',*,*,#212061,.T.); #296478=ORIENTED_EDGE('',*,*,#212064,.T.); #296479=ORIENTED_EDGE('',*,*,#212067,.T.); #296480=ORIENTED_EDGE('',*,*,#212070,.T.); #296481=ORIENTED_EDGE('',*,*,#212073,.T.); #296482=ORIENTED_EDGE('',*,*,#212076,.T.); #296483=ORIENTED_EDGE('',*,*,#212079,.T.); #296484=ORIENTED_EDGE('',*,*,#212082,.T.); #296485=ORIENTED_EDGE('',*,*,#212085,.T.); #296486=ORIENTED_EDGE('',*,*,#212088,.T.); #296487=ORIENTED_EDGE('',*,*,#212091,.T.); #296488=ORIENTED_EDGE('',*,*,#212094,.T.); #296489=ORIENTED_EDGE('',*,*,#212097,.T.); #296490=ORIENTED_EDGE('',*,*,#212100,.T.); #296491=ORIENTED_EDGE('',*,*,#212103,.T.); #296492=ORIENTED_EDGE('',*,*,#212106,.T.); #296493=ORIENTED_EDGE('',*,*,#212109,.T.); #296494=ORIENTED_EDGE('',*,*,#212112,.T.); #296495=ORIENTED_EDGE('',*,*,#212115,.T.); #296496=ORIENTED_EDGE('',*,*,#212118,.T.); #296497=ORIENTED_EDGE('',*,*,#212121,.T.); #296498=ORIENTED_EDGE('',*,*,#212124,.T.); #296499=ORIENTED_EDGE('',*,*,#212127,.T.); #296500=ORIENTED_EDGE('',*,*,#212130,.T.); #296501=ORIENTED_EDGE('',*,*,#212133,.T.); #296502=ORIENTED_EDGE('',*,*,#212136,.T.); #296503=ORIENTED_EDGE('',*,*,#212139,.T.); #296504=ORIENTED_EDGE('',*,*,#212142,.T.); #296505=ORIENTED_EDGE('',*,*,#212145,.T.); #296506=ORIENTED_EDGE('',*,*,#212148,.T.); #296507=ORIENTED_EDGE('',*,*,#212151,.T.); #296508=ORIENTED_EDGE('',*,*,#212159,.T.); #296509=ORIENTED_EDGE('',*,*,#212162,.T.); #296510=ORIENTED_EDGE('',*,*,#212152,.T.); #296511=ORIENTED_EDGE('',*,*,#212156,.T.); #296512=ORIENTED_EDGE('',*,*,#212166,.T.); #296513=ORIENTED_EDGE('',*,*,#212174,.T.); #296514=ORIENTED_EDGE('',*,*,#212177,.T.); #296515=ORIENTED_EDGE('',*,*,#212167,.T.); #296516=ORIENTED_EDGE('',*,*,#212171,.T.); #296517=ORIENTED_EDGE('',*,*,#212181,.T.); #296518=ORIENTED_EDGE('',*,*,#212184,.T.); #296519=ORIENTED_EDGE('',*,*,#212187,.T.); #296520=ORIENTED_EDGE('',*,*,#212190,.T.); #296521=ORIENTED_EDGE('',*,*,#212193,.T.); #296522=ORIENTED_EDGE('',*,*,#212196,.T.); #296523=ORIENTED_EDGE('',*,*,#212199,.T.); #296524=ORIENTED_EDGE('',*,*,#212202,.T.); #296525=ORIENTED_EDGE('',*,*,#212205,.T.); #296526=ORIENTED_EDGE('',*,*,#212208,.T.); #296527=ORIENTED_EDGE('',*,*,#212211,.T.); #296528=ORIENTED_EDGE('',*,*,#212219,.T.); #296529=ORIENTED_EDGE('',*,*,#212222,.T.); #296530=ORIENTED_EDGE('',*,*,#212212,.T.); #296531=ORIENTED_EDGE('',*,*,#212216,.T.); #296532=ORIENTED_EDGE('',*,*,#212226,.T.); #296533=ORIENTED_EDGE('',*,*,#212234,.T.); #296534=ORIENTED_EDGE('',*,*,#212237,.T.); #296535=ORIENTED_EDGE('',*,*,#212227,.T.); #296536=ORIENTED_EDGE('',*,*,#212231,.T.); #296537=ORIENTED_EDGE('',*,*,#212241,.T.); #296538=ORIENTED_EDGE('',*,*,#212249,.T.); #296539=ORIENTED_EDGE('',*,*,#212252,.T.); #296540=ORIENTED_EDGE('',*,*,#212242,.T.); #296541=ORIENTED_EDGE('',*,*,#212246,.T.); #296542=ORIENTED_EDGE('',*,*,#212256,.T.); #296543=ORIENTED_EDGE('',*,*,#212264,.T.); #296544=ORIENTED_EDGE('',*,*,#212267,.T.); #296545=ORIENTED_EDGE('',*,*,#212257,.T.); #296546=ORIENTED_EDGE('',*,*,#212261,.T.); #296547=ORIENTED_EDGE('',*,*,#212271,.T.); #296548=ORIENTED_EDGE('',*,*,#212274,.T.); #296549=ORIENTED_EDGE('',*,*,#212277,.T.); #296550=ORIENTED_EDGE('',*,*,#212280,.T.); #296551=ORIENTED_EDGE('',*,*,#212283,.T.); #296552=ORIENTED_EDGE('',*,*,#212286,.T.); #296553=ORIENTED_EDGE('',*,*,#212289,.T.); #296554=ORIENTED_EDGE('',*,*,#212292,.T.); #296555=ORIENTED_EDGE('',*,*,#212295,.T.); #296556=ORIENTED_EDGE('',*,*,#212298,.T.); #296557=ORIENTED_EDGE('',*,*,#212301,.T.); #296558=ORIENTED_EDGE('',*,*,#212304,.T.); #296559=ORIENTED_EDGE('',*,*,#212307,.T.); #296560=ORIENTED_EDGE('',*,*,#212310,.T.); #296561=ORIENTED_EDGE('',*,*,#212313,.T.); #296562=ORIENTED_EDGE('',*,*,#212316,.T.); #296563=ORIENTED_EDGE('',*,*,#212319,.T.); #296564=ORIENTED_EDGE('',*,*,#212322,.T.); #296565=ORIENTED_EDGE('',*,*,#212325,.T.); #296566=ORIENTED_EDGE('',*,*,#212328,.T.); #296567=ORIENTED_EDGE('',*,*,#212331,.T.); #296568=ORIENTED_EDGE('',*,*,#212334,.T.); #296569=ORIENTED_EDGE('',*,*,#212337,.T.); #296570=ORIENTED_EDGE('',*,*,#212340,.T.); #296571=ORIENTED_EDGE('',*,*,#212343,.T.); #296572=ORIENTED_EDGE('',*,*,#212346,.T.); #296573=ORIENTED_EDGE('',*,*,#212349,.T.); #296574=ORIENTED_EDGE('',*,*,#212352,.T.); #296575=ORIENTED_EDGE('',*,*,#212355,.T.); #296576=ORIENTED_EDGE('',*,*,#212358,.T.); #296577=ORIENTED_EDGE('',*,*,#212361,.T.); #296578=ORIENTED_EDGE('',*,*,#212364,.T.); #296579=ORIENTED_EDGE('',*,*,#212367,.T.); #296580=ORIENTED_EDGE('',*,*,#212370,.T.); #296581=ORIENTED_EDGE('',*,*,#212373,.T.); #296582=ORIENTED_EDGE('',*,*,#212376,.T.); #296583=ORIENTED_EDGE('',*,*,#212379,.T.); #296584=ORIENTED_EDGE('',*,*,#212382,.T.); #296585=ORIENTED_EDGE('',*,*,#212385,.T.); #296586=ORIENTED_EDGE('',*,*,#212388,.T.); #296587=ORIENTED_EDGE('',*,*,#212391,.T.); #296588=ORIENTED_EDGE('',*,*,#212394,.T.); #296589=ORIENTED_EDGE('',*,*,#212397,.T.); #296590=ORIENTED_EDGE('',*,*,#212400,.T.); #296591=ORIENTED_EDGE('',*,*,#212403,.T.); #296592=ORIENTED_EDGE('',*,*,#212406,.T.); #296593=ORIENTED_EDGE('',*,*,#212409,.T.); #296594=ORIENTED_EDGE('',*,*,#212412,.T.); #296595=ORIENTED_EDGE('',*,*,#212415,.T.); #296596=ORIENTED_EDGE('',*,*,#212418,.T.); #296597=ORIENTED_EDGE('',*,*,#212421,.T.); #296598=ORIENTED_EDGE('',*,*,#212424,.T.); #296599=ORIENTED_EDGE('',*,*,#212427,.T.); #296600=ORIENTED_EDGE('',*,*,#212430,.T.); #296601=ORIENTED_EDGE('',*,*,#212433,.T.); #296602=ORIENTED_EDGE('',*,*,#212436,.T.); #296603=ORIENTED_EDGE('',*,*,#212439,.T.); #296604=ORIENTED_EDGE('',*,*,#212442,.T.); #296605=ORIENTED_EDGE('',*,*,#212445,.T.); #296606=ORIENTED_EDGE('',*,*,#212448,.T.); #296607=ORIENTED_EDGE('',*,*,#212451,.T.); #296608=ORIENTED_EDGE('',*,*,#212454,.T.); #296609=ORIENTED_EDGE('',*,*,#212457,.T.); #296610=ORIENTED_EDGE('',*,*,#212460,.T.); #296611=ORIENTED_EDGE('',*,*,#212463,.T.); #296612=ORIENTED_EDGE('',*,*,#212466,.T.); #296613=ORIENTED_EDGE('',*,*,#212469,.T.); #296614=ORIENTED_EDGE('',*,*,#212472,.T.); #296615=ORIENTED_EDGE('',*,*,#212475,.T.); #296616=ORIENTED_EDGE('',*,*,#212478,.T.); #296617=ORIENTED_EDGE('',*,*,#212481,.T.); #296618=ORIENTED_EDGE('',*,*,#212484,.T.); #296619=ORIENTED_EDGE('',*,*,#212487,.T.); #296620=ORIENTED_EDGE('',*,*,#212490,.T.); #296621=ORIENTED_EDGE('',*,*,#212493,.T.); #296622=ORIENTED_EDGE('',*,*,#212496,.T.); #296623=ORIENTED_EDGE('',*,*,#212499,.T.); #296624=ORIENTED_EDGE('',*,*,#212502,.T.); #296625=ORIENTED_EDGE('',*,*,#212505,.T.); #296626=ORIENTED_EDGE('',*,*,#212508,.T.); #296627=ORIENTED_EDGE('',*,*,#212511,.T.); #296628=ORIENTED_EDGE('',*,*,#212514,.T.); #296629=ORIENTED_EDGE('',*,*,#212517,.T.); #296630=ORIENTED_EDGE('',*,*,#212520,.T.); #296631=ORIENTED_EDGE('',*,*,#212523,.T.); #296632=ORIENTED_EDGE('',*,*,#212526,.T.); #296633=ORIENTED_EDGE('',*,*,#212529,.T.); #296634=ORIENTED_EDGE('',*,*,#212532,.T.); #296635=ORIENTED_EDGE('',*,*,#212535,.T.); #296636=ORIENTED_EDGE('',*,*,#212538,.T.); #296637=ORIENTED_EDGE('',*,*,#212541,.T.); #296638=ORIENTED_EDGE('',*,*,#212544,.T.); #296639=ORIENTED_EDGE('',*,*,#212547,.T.); #296640=ORIENTED_EDGE('',*,*,#212550,.T.); #296641=ORIENTED_EDGE('',*,*,#212553,.T.); #296642=ORIENTED_EDGE('',*,*,#212556,.T.); #296643=ORIENTED_EDGE('',*,*,#212559,.T.); #296644=ORIENTED_EDGE('',*,*,#212562,.T.); #296645=ORIENTED_EDGE('',*,*,#212565,.T.); #296646=ORIENTED_EDGE('',*,*,#212568,.T.); #296647=ORIENTED_EDGE('',*,*,#212571,.T.); #296648=ORIENTED_EDGE('',*,*,#212574,.T.); #296649=ORIENTED_EDGE('',*,*,#212577,.T.); #296650=ORIENTED_EDGE('',*,*,#212580,.T.); #296651=ORIENTED_EDGE('',*,*,#212583,.T.); #296652=ORIENTED_EDGE('',*,*,#212586,.T.); #296653=ORIENTED_EDGE('',*,*,#212589,.T.); #296654=ORIENTED_EDGE('',*,*,#212592,.T.); #296655=ORIENTED_EDGE('',*,*,#212595,.T.); #296656=ORIENTED_EDGE('',*,*,#212598,.T.); #296657=ORIENTED_EDGE('',*,*,#212601,.T.); #296658=ORIENTED_EDGE('',*,*,#212604,.T.); #296659=ORIENTED_EDGE('',*,*,#212607,.T.); #296660=ORIENTED_EDGE('',*,*,#212610,.T.); #296661=ORIENTED_EDGE('',*,*,#212613,.T.); #296662=ORIENTED_EDGE('',*,*,#212616,.T.); #296663=ORIENTED_EDGE('',*,*,#212619,.T.); #296664=ORIENTED_EDGE('',*,*,#212622,.T.); #296665=ORIENTED_EDGE('',*,*,#212625,.T.); #296666=ORIENTED_EDGE('',*,*,#212628,.T.); #296667=ORIENTED_EDGE('',*,*,#212631,.T.); #296668=ORIENTED_EDGE('',*,*,#212634,.T.); #296669=ORIENTED_EDGE('',*,*,#212637,.T.); #296670=ORIENTED_EDGE('',*,*,#212640,.T.); #296671=ORIENTED_EDGE('',*,*,#212643,.T.); #296672=ORIENTED_EDGE('',*,*,#212646,.T.); #296673=ORIENTED_EDGE('',*,*,#212649,.T.); #296674=ORIENTED_EDGE('',*,*,#212652,.T.); #296675=ORIENTED_EDGE('',*,*,#212655,.T.); #296676=ORIENTED_EDGE('',*,*,#212658,.T.); #296677=ORIENTED_EDGE('',*,*,#212661,.T.); #296678=ORIENTED_EDGE('',*,*,#212664,.T.); #296679=ORIENTED_EDGE('',*,*,#212667,.T.); #296680=ORIENTED_EDGE('',*,*,#212670,.T.); #296681=ORIENTED_EDGE('',*,*,#212673,.T.); #296682=ORIENTED_EDGE('',*,*,#212676,.T.); #296683=ORIENTED_EDGE('',*,*,#212679,.T.); #296684=ORIENTED_EDGE('',*,*,#212682,.T.); #296685=ORIENTED_EDGE('',*,*,#212685,.T.); #296686=ORIENTED_EDGE('',*,*,#212688,.T.); #296687=ORIENTED_EDGE('',*,*,#212691,.T.); #296688=ORIENTED_EDGE('',*,*,#212694,.T.); #296689=ORIENTED_EDGE('',*,*,#212697,.T.); #296690=ORIENTED_EDGE('',*,*,#212700,.T.); #296691=ORIENTED_EDGE('',*,*,#212703,.T.); #296692=ORIENTED_EDGE('',*,*,#212706,.T.); #296693=ORIENTED_EDGE('',*,*,#212709,.T.); #296694=ORIENTED_EDGE('',*,*,#212712,.T.); #296695=ORIENTED_EDGE('',*,*,#212715,.T.); #296696=ORIENTED_EDGE('',*,*,#212718,.T.); #296697=ORIENTED_EDGE('',*,*,#212721,.T.); #296698=ORIENTED_EDGE('',*,*,#212724,.T.); #296699=ORIENTED_EDGE('',*,*,#212727,.T.); #296700=ORIENTED_EDGE('',*,*,#212730,.T.); #296701=ORIENTED_EDGE('',*,*,#212733,.T.); #296702=ORIENTED_EDGE('',*,*,#212736,.T.); #296703=ORIENTED_EDGE('',*,*,#212739,.T.); #296704=ORIENTED_EDGE('',*,*,#212742,.T.); #296705=ORIENTED_EDGE('',*,*,#212745,.T.); #296706=ORIENTED_EDGE('',*,*,#212748,.T.); #296707=ORIENTED_EDGE('',*,*,#212751,.T.); #296708=ORIENTED_EDGE('',*,*,#212754,.T.); #296709=ORIENTED_EDGE('',*,*,#212757,.T.); #296710=ORIENTED_EDGE('',*,*,#212760,.T.); #296711=ORIENTED_EDGE('',*,*,#212763,.T.); #296712=ORIENTED_EDGE('',*,*,#212766,.T.); #296713=ORIENTED_EDGE('',*,*,#212769,.T.); #296714=ORIENTED_EDGE('',*,*,#212772,.T.); #296715=ORIENTED_EDGE('',*,*,#212775,.T.); #296716=ORIENTED_EDGE('',*,*,#212778,.T.); #296717=ORIENTED_EDGE('',*,*,#212781,.T.); #296718=ORIENTED_EDGE('',*,*,#212784,.T.); #296719=ORIENTED_EDGE('',*,*,#212787,.T.); #296720=ORIENTED_EDGE('',*,*,#212790,.T.); #296721=ORIENTED_EDGE('',*,*,#212793,.T.); #296722=ORIENTED_EDGE('',*,*,#212796,.T.); #296723=ORIENTED_EDGE('',*,*,#212799,.T.); #296724=ORIENTED_EDGE('',*,*,#212802,.T.); #296725=ORIENTED_EDGE('',*,*,#212805,.T.); #296726=ORIENTED_EDGE('',*,*,#212808,.T.); #296727=ORIENTED_EDGE('',*,*,#212811,.T.); #296728=ORIENTED_EDGE('',*,*,#212814,.T.); #296729=ORIENTED_EDGE('',*,*,#212817,.T.); #296730=ORIENTED_EDGE('',*,*,#212820,.T.); #296731=ORIENTED_EDGE('',*,*,#212823,.T.); #296732=ORIENTED_EDGE('',*,*,#212826,.T.); #296733=ORIENTED_EDGE('',*,*,#212829,.T.); #296734=ORIENTED_EDGE('',*,*,#212832,.T.); #296735=ORIENTED_EDGE('',*,*,#212835,.T.); #296736=ORIENTED_EDGE('',*,*,#212838,.T.); #296737=ORIENTED_EDGE('',*,*,#212841,.T.); #296738=ORIENTED_EDGE('',*,*,#212844,.T.); #296739=ORIENTED_EDGE('',*,*,#212847,.T.); #296740=ORIENTED_EDGE('',*,*,#212850,.T.); #296741=ORIENTED_EDGE('',*,*,#212853,.T.); #296742=ORIENTED_EDGE('',*,*,#212856,.T.); #296743=ORIENTED_EDGE('',*,*,#212859,.T.); #296744=ORIENTED_EDGE('',*,*,#212862,.T.); #296745=ORIENTED_EDGE('',*,*,#212865,.T.); #296746=ORIENTED_EDGE('',*,*,#212868,.T.); #296747=ORIENTED_EDGE('',*,*,#212871,.T.); #296748=ORIENTED_EDGE('',*,*,#212874,.T.); #296749=ORIENTED_EDGE('',*,*,#212877,.T.); #296750=ORIENTED_EDGE('',*,*,#212880,.T.); #296751=ORIENTED_EDGE('',*,*,#212883,.T.); #296752=ORIENTED_EDGE('',*,*,#212886,.T.); #296753=ORIENTED_EDGE('',*,*,#212889,.T.); #296754=ORIENTED_EDGE('',*,*,#212892,.T.); #296755=ORIENTED_EDGE('',*,*,#212895,.T.); #296756=ORIENTED_EDGE('',*,*,#212898,.T.); #296757=ORIENTED_EDGE('',*,*,#212901,.T.); #296758=ORIENTED_EDGE('',*,*,#212904,.T.); #296759=ORIENTED_EDGE('',*,*,#212907,.T.); #296760=ORIENTED_EDGE('',*,*,#212910,.T.); #296761=ORIENTED_EDGE('',*,*,#212913,.T.); #296762=ORIENTED_EDGE('',*,*,#212916,.T.); #296763=ORIENTED_EDGE('',*,*,#212919,.T.); #296764=ORIENTED_EDGE('',*,*,#212922,.T.); #296765=ORIENTED_EDGE('',*,*,#212925,.T.); #296766=ORIENTED_EDGE('',*,*,#212928,.T.); #296767=ORIENTED_EDGE('',*,*,#212931,.T.); #296768=ORIENTED_EDGE('',*,*,#212934,.T.); #296769=ORIENTED_EDGE('',*,*,#212937,.T.); #296770=ORIENTED_EDGE('',*,*,#212940,.T.); #296771=ORIENTED_EDGE('',*,*,#212943,.T.); #296772=ORIENTED_EDGE('',*,*,#212946,.T.); #296773=ORIENTED_EDGE('',*,*,#212949,.T.); #296774=ORIENTED_EDGE('',*,*,#212952,.T.); #296775=ORIENTED_EDGE('',*,*,#212955,.T.); #296776=ORIENTED_EDGE('',*,*,#212958,.T.); #296777=ORIENTED_EDGE('',*,*,#212961,.T.); #296778=ORIENTED_EDGE('',*,*,#212964,.T.); #296779=ORIENTED_EDGE('',*,*,#212967,.T.); #296780=ORIENTED_EDGE('',*,*,#212970,.T.); #296781=ORIENTED_EDGE('',*,*,#212973,.T.); #296782=ORIENTED_EDGE('',*,*,#212976,.T.); #296783=ORIENTED_EDGE('',*,*,#212979,.T.); #296784=ORIENTED_EDGE('',*,*,#212982,.T.); #296785=ORIENTED_EDGE('',*,*,#212985,.T.); #296786=ORIENTED_EDGE('',*,*,#212988,.T.); #296787=ORIENTED_EDGE('',*,*,#212991,.T.); #296788=ORIENTED_EDGE('',*,*,#212994,.T.); #296789=ORIENTED_EDGE('',*,*,#212997,.T.); #296790=ORIENTED_EDGE('',*,*,#213000,.T.); #296791=ORIENTED_EDGE('',*,*,#213003,.T.); #296792=ORIENTED_EDGE('',*,*,#213006,.T.); #296793=ORIENTED_EDGE('',*,*,#213009,.T.); #296794=ORIENTED_EDGE('',*,*,#213012,.T.); #296795=ORIENTED_EDGE('',*,*,#213015,.T.); #296796=ORIENTED_EDGE('',*,*,#213018,.T.); #296797=ORIENTED_EDGE('',*,*,#213021,.T.); #296798=ORIENTED_EDGE('',*,*,#213024,.T.); #296799=ORIENTED_EDGE('',*,*,#213027,.T.); #296800=ORIENTED_EDGE('',*,*,#213030,.T.); #296801=ORIENTED_EDGE('',*,*,#213033,.T.); #296802=ORIENTED_EDGE('',*,*,#213036,.T.); #296803=ORIENTED_EDGE('',*,*,#213039,.T.); #296804=ORIENTED_EDGE('',*,*,#213042,.T.); #296805=ORIENTED_EDGE('',*,*,#213045,.T.); #296806=ORIENTED_EDGE('',*,*,#213048,.T.); #296807=ORIENTED_EDGE('',*,*,#213051,.T.); #296808=ORIENTED_EDGE('',*,*,#213054,.T.); #296809=ORIENTED_EDGE('',*,*,#213057,.T.); #296810=ORIENTED_EDGE('',*,*,#213060,.T.); #296811=ORIENTED_EDGE('',*,*,#213063,.T.); #296812=ORIENTED_EDGE('',*,*,#213066,.T.); #296813=ORIENTED_EDGE('',*,*,#213069,.T.); #296814=ORIENTED_EDGE('',*,*,#213072,.T.); #296815=ORIENTED_EDGE('',*,*,#213075,.T.); #296816=ORIENTED_EDGE('',*,*,#213078,.T.); #296817=ORIENTED_EDGE('',*,*,#213081,.T.); #296818=ORIENTED_EDGE('',*,*,#213084,.T.); #296819=ORIENTED_EDGE('',*,*,#213085,.T.); #296820=ORIENTED_EDGE('',*,*,#212037,.T.); #296821=ORIENTED_EDGE('',*,*,#212040,.T.); #296822=ORIENTED_EDGE('',*,*,#212041,.T.); #296823=ORIENTED_EDGE('',*,*,#212044,.T.); #296824=ORIENTED_EDGE('',*,*,#212047,.T.); #296825=ORIENTED_EDGE('',*,*,#212050,.T.); #296826=ORIENTED_EDGE('',*,*,#212053,.T.); #296827=ORIENTED_EDGE('',*,*,#212056,.T.); #296828=ORIENTED_EDGE('',*,*,#212059,.T.); #296829=ORIENTED_EDGE('',*,*,#212062,.T.); #296830=ORIENTED_EDGE('',*,*,#212065,.T.); #296831=ORIENTED_EDGE('',*,*,#212068,.T.); #296832=ORIENTED_EDGE('',*,*,#212071,.T.); #296833=ORIENTED_EDGE('',*,*,#212074,.T.); #296834=ORIENTED_EDGE('',*,*,#212077,.T.); #296835=ORIENTED_EDGE('',*,*,#212080,.T.); #296836=ORIENTED_EDGE('',*,*,#212083,.T.); #296837=ORIENTED_EDGE('',*,*,#212086,.T.); #296838=ORIENTED_EDGE('',*,*,#212089,.T.); #296839=ORIENTED_EDGE('',*,*,#212092,.T.); #296840=ORIENTED_EDGE('',*,*,#212095,.T.); #296841=ORIENTED_EDGE('',*,*,#212098,.T.); #296842=ORIENTED_EDGE('',*,*,#212101,.T.); #296843=ORIENTED_EDGE('',*,*,#212104,.T.); #296844=ORIENTED_EDGE('',*,*,#212107,.T.); #296845=ORIENTED_EDGE('',*,*,#212110,.T.); #296846=ORIENTED_EDGE('',*,*,#212113,.T.); #296847=ORIENTED_EDGE('',*,*,#212116,.T.); #296848=ORIENTED_EDGE('',*,*,#212119,.T.); #296849=ORIENTED_EDGE('',*,*,#212122,.T.); #296850=ORIENTED_EDGE('',*,*,#212125,.T.); #296851=ORIENTED_EDGE('',*,*,#212128,.T.); #296852=ORIENTED_EDGE('',*,*,#212131,.T.); #296853=ORIENTED_EDGE('',*,*,#212134,.T.); #296854=ORIENTED_EDGE('',*,*,#212137,.T.); #296855=ORIENTED_EDGE('',*,*,#212140,.T.); #296856=ORIENTED_EDGE('',*,*,#212143,.T.); #296857=ORIENTED_EDGE('',*,*,#212146,.T.); #296858=ORIENTED_EDGE('',*,*,#212149,.T.); #296859=ORIENTED_EDGE('',*,*,#212160,.T.); #296860=ORIENTED_EDGE('',*,*,#212157,.T.); #296861=ORIENTED_EDGE('',*,*,#212154,.T.); #296862=ORIENTED_EDGE('',*,*,#212163,.T.); #296863=ORIENTED_EDGE('',*,*,#212164,.T.); #296864=ORIENTED_EDGE('',*,*,#212175,.T.); #296865=ORIENTED_EDGE('',*,*,#212172,.T.); #296866=ORIENTED_EDGE('',*,*,#212169,.T.); #296867=ORIENTED_EDGE('',*,*,#212178,.T.); #296868=ORIENTED_EDGE('',*,*,#212179,.T.); #296869=ORIENTED_EDGE('',*,*,#212182,.T.); #296870=ORIENTED_EDGE('',*,*,#212185,.T.); #296871=ORIENTED_EDGE('',*,*,#212188,.T.); #296872=ORIENTED_EDGE('',*,*,#212191,.T.); #296873=ORIENTED_EDGE('',*,*,#212194,.T.); #296874=ORIENTED_EDGE('',*,*,#212197,.T.); #296875=ORIENTED_EDGE('',*,*,#212200,.T.); #296876=ORIENTED_EDGE('',*,*,#212203,.T.); #296877=ORIENTED_EDGE('',*,*,#212206,.T.); #296878=ORIENTED_EDGE('',*,*,#212209,.T.); #296879=ORIENTED_EDGE('',*,*,#212220,.T.); #296880=ORIENTED_EDGE('',*,*,#212217,.T.); #296881=ORIENTED_EDGE('',*,*,#212214,.T.); #296882=ORIENTED_EDGE('',*,*,#212223,.T.); #296883=ORIENTED_EDGE('',*,*,#212224,.T.); #296884=ORIENTED_EDGE('',*,*,#212235,.T.); #296885=ORIENTED_EDGE('',*,*,#212232,.T.); #296886=ORIENTED_EDGE('',*,*,#212229,.T.); #296887=ORIENTED_EDGE('',*,*,#212238,.T.); #296888=ORIENTED_EDGE('',*,*,#212239,.T.); #296889=ORIENTED_EDGE('',*,*,#212250,.T.); #296890=ORIENTED_EDGE('',*,*,#212247,.T.); #296891=ORIENTED_EDGE('',*,*,#212244,.T.); #296892=ORIENTED_EDGE('',*,*,#212253,.T.); #296893=ORIENTED_EDGE('',*,*,#212254,.T.); #296894=ORIENTED_EDGE('',*,*,#212265,.T.); #296895=ORIENTED_EDGE('',*,*,#212262,.T.); #296896=ORIENTED_EDGE('',*,*,#212259,.T.); #296897=ORIENTED_EDGE('',*,*,#212268,.T.); #296898=ORIENTED_EDGE('',*,*,#212269,.T.); #296899=ORIENTED_EDGE('',*,*,#212272,.T.); #296900=ORIENTED_EDGE('',*,*,#212275,.T.); #296901=ORIENTED_EDGE('',*,*,#212278,.T.); #296902=ORIENTED_EDGE('',*,*,#212281,.T.); #296903=ORIENTED_EDGE('',*,*,#212284,.T.); #296904=ORIENTED_EDGE('',*,*,#212287,.T.); #296905=ORIENTED_EDGE('',*,*,#212290,.T.); #296906=ORIENTED_EDGE('',*,*,#212293,.T.); #296907=ORIENTED_EDGE('',*,*,#212296,.T.); #296908=ORIENTED_EDGE('',*,*,#212299,.T.); #296909=ORIENTED_EDGE('',*,*,#212302,.T.); #296910=ORIENTED_EDGE('',*,*,#212305,.T.); #296911=ORIENTED_EDGE('',*,*,#212308,.T.); #296912=ORIENTED_EDGE('',*,*,#212311,.T.); #296913=ORIENTED_EDGE('',*,*,#212314,.T.); #296914=ORIENTED_EDGE('',*,*,#212317,.T.); #296915=ORIENTED_EDGE('',*,*,#212320,.T.); #296916=ORIENTED_EDGE('',*,*,#212323,.T.); #296917=ORIENTED_EDGE('',*,*,#212326,.T.); #296918=ORIENTED_EDGE('',*,*,#212329,.T.); #296919=ORIENTED_EDGE('',*,*,#212332,.T.); #296920=ORIENTED_EDGE('',*,*,#212335,.T.); #296921=ORIENTED_EDGE('',*,*,#212338,.T.); #296922=ORIENTED_EDGE('',*,*,#212341,.T.); #296923=ORIENTED_EDGE('',*,*,#212344,.T.); #296924=ORIENTED_EDGE('',*,*,#212347,.T.); #296925=ORIENTED_EDGE('',*,*,#212350,.T.); #296926=ORIENTED_EDGE('',*,*,#212353,.T.); #296927=ORIENTED_EDGE('',*,*,#212356,.T.); #296928=ORIENTED_EDGE('',*,*,#212359,.T.); #296929=ORIENTED_EDGE('',*,*,#212362,.T.); #296930=ORIENTED_EDGE('',*,*,#212365,.T.); #296931=ORIENTED_EDGE('',*,*,#212368,.T.); #296932=ORIENTED_EDGE('',*,*,#212371,.T.); #296933=ORIENTED_EDGE('',*,*,#212374,.T.); #296934=ORIENTED_EDGE('',*,*,#212377,.T.); #296935=ORIENTED_EDGE('',*,*,#212380,.T.); #296936=ORIENTED_EDGE('',*,*,#212383,.T.); #296937=ORIENTED_EDGE('',*,*,#212386,.T.); #296938=ORIENTED_EDGE('',*,*,#212389,.T.); #296939=ORIENTED_EDGE('',*,*,#212392,.T.); #296940=ORIENTED_EDGE('',*,*,#212395,.T.); #296941=ORIENTED_EDGE('',*,*,#212398,.T.); #296942=ORIENTED_EDGE('',*,*,#212401,.T.); #296943=ORIENTED_EDGE('',*,*,#212404,.T.); #296944=ORIENTED_EDGE('',*,*,#212407,.T.); #296945=ORIENTED_EDGE('',*,*,#212410,.T.); #296946=ORIENTED_EDGE('',*,*,#212413,.T.); #296947=ORIENTED_EDGE('',*,*,#212416,.T.); #296948=ORIENTED_EDGE('',*,*,#212419,.T.); #296949=ORIENTED_EDGE('',*,*,#212422,.T.); #296950=ORIENTED_EDGE('',*,*,#212425,.T.); #296951=ORIENTED_EDGE('',*,*,#212428,.T.); #296952=ORIENTED_EDGE('',*,*,#212431,.T.); #296953=ORIENTED_EDGE('',*,*,#212434,.T.); #296954=ORIENTED_EDGE('',*,*,#212437,.T.); #296955=ORIENTED_EDGE('',*,*,#212440,.T.); #296956=ORIENTED_EDGE('',*,*,#212443,.T.); #296957=ORIENTED_EDGE('',*,*,#212446,.T.); #296958=ORIENTED_EDGE('',*,*,#212449,.T.); #296959=ORIENTED_EDGE('',*,*,#212452,.T.); #296960=ORIENTED_EDGE('',*,*,#212455,.T.); #296961=ORIENTED_EDGE('',*,*,#212458,.T.); #296962=ORIENTED_EDGE('',*,*,#212461,.T.); #296963=ORIENTED_EDGE('',*,*,#212464,.T.); #296964=ORIENTED_EDGE('',*,*,#212467,.T.); #296965=ORIENTED_EDGE('',*,*,#212470,.T.); #296966=ORIENTED_EDGE('',*,*,#212473,.T.); #296967=ORIENTED_EDGE('',*,*,#212476,.T.); #296968=ORIENTED_EDGE('',*,*,#212479,.T.); #296969=ORIENTED_EDGE('',*,*,#212482,.T.); #296970=ORIENTED_EDGE('',*,*,#212485,.T.); #296971=ORIENTED_EDGE('',*,*,#212488,.T.); #296972=ORIENTED_EDGE('',*,*,#212491,.T.); #296973=ORIENTED_EDGE('',*,*,#212494,.T.); #296974=ORIENTED_EDGE('',*,*,#212497,.T.); #296975=ORIENTED_EDGE('',*,*,#212500,.T.); #296976=ORIENTED_EDGE('',*,*,#212503,.T.); #296977=ORIENTED_EDGE('',*,*,#212506,.T.); #296978=ORIENTED_EDGE('',*,*,#212509,.T.); #296979=ORIENTED_EDGE('',*,*,#212512,.T.); #296980=ORIENTED_EDGE('',*,*,#212515,.T.); #296981=ORIENTED_EDGE('',*,*,#212518,.T.); #296982=ORIENTED_EDGE('',*,*,#212521,.T.); #296983=ORIENTED_EDGE('',*,*,#212524,.T.); #296984=ORIENTED_EDGE('',*,*,#212527,.T.); #296985=ORIENTED_EDGE('',*,*,#212530,.T.); #296986=ORIENTED_EDGE('',*,*,#212533,.T.); #296987=ORIENTED_EDGE('',*,*,#212536,.T.); #296988=ORIENTED_EDGE('',*,*,#212539,.T.); #296989=ORIENTED_EDGE('',*,*,#212542,.T.); #296990=ORIENTED_EDGE('',*,*,#212545,.T.); #296991=ORIENTED_EDGE('',*,*,#212548,.T.); #296992=ORIENTED_EDGE('',*,*,#212551,.T.); #296993=ORIENTED_EDGE('',*,*,#212554,.T.); #296994=ORIENTED_EDGE('',*,*,#212557,.T.); #296995=ORIENTED_EDGE('',*,*,#212560,.T.); #296996=ORIENTED_EDGE('',*,*,#212563,.T.); #296997=ORIENTED_EDGE('',*,*,#212566,.T.); #296998=ORIENTED_EDGE('',*,*,#212569,.T.); #296999=ORIENTED_EDGE('',*,*,#212572,.T.); #297000=ORIENTED_EDGE('',*,*,#212575,.T.); #297001=ORIENTED_EDGE('',*,*,#212578,.T.); #297002=ORIENTED_EDGE('',*,*,#212581,.T.); #297003=ORIENTED_EDGE('',*,*,#212584,.T.); #297004=ORIENTED_EDGE('',*,*,#212587,.T.); #297005=ORIENTED_EDGE('',*,*,#212590,.T.); #297006=ORIENTED_EDGE('',*,*,#212593,.T.); #297007=ORIENTED_EDGE('',*,*,#212596,.T.); #297008=ORIENTED_EDGE('',*,*,#212599,.T.); #297009=ORIENTED_EDGE('',*,*,#212602,.T.); #297010=ORIENTED_EDGE('',*,*,#212605,.T.); #297011=ORIENTED_EDGE('',*,*,#212608,.T.); #297012=ORIENTED_EDGE('',*,*,#212611,.T.); #297013=ORIENTED_EDGE('',*,*,#212614,.T.); #297014=ORIENTED_EDGE('',*,*,#212617,.T.); #297015=ORIENTED_EDGE('',*,*,#212620,.T.); #297016=ORIENTED_EDGE('',*,*,#212623,.T.); #297017=ORIENTED_EDGE('',*,*,#212626,.T.); #297018=ORIENTED_EDGE('',*,*,#212629,.T.); #297019=ORIENTED_EDGE('',*,*,#212632,.T.); #297020=ORIENTED_EDGE('',*,*,#212635,.T.); #297021=ORIENTED_EDGE('',*,*,#212638,.T.); #297022=ORIENTED_EDGE('',*,*,#212641,.T.); #297023=ORIENTED_EDGE('',*,*,#212644,.T.); #297024=ORIENTED_EDGE('',*,*,#212647,.T.); #297025=ORIENTED_EDGE('',*,*,#212650,.T.); #297026=ORIENTED_EDGE('',*,*,#212653,.T.); #297027=ORIENTED_EDGE('',*,*,#212656,.T.); #297028=ORIENTED_EDGE('',*,*,#212659,.T.); #297029=ORIENTED_EDGE('',*,*,#212662,.T.); #297030=ORIENTED_EDGE('',*,*,#212665,.T.); #297031=ORIENTED_EDGE('',*,*,#212668,.T.); #297032=ORIENTED_EDGE('',*,*,#212671,.T.); #297033=ORIENTED_EDGE('',*,*,#212674,.T.); #297034=ORIENTED_EDGE('',*,*,#212677,.T.); #297035=ORIENTED_EDGE('',*,*,#212680,.T.); #297036=ORIENTED_EDGE('',*,*,#212683,.T.); #297037=ORIENTED_EDGE('',*,*,#212686,.T.); #297038=ORIENTED_EDGE('',*,*,#212689,.T.); #297039=ORIENTED_EDGE('',*,*,#212692,.T.); #297040=ORIENTED_EDGE('',*,*,#212695,.T.); #297041=ORIENTED_EDGE('',*,*,#212698,.T.); #297042=ORIENTED_EDGE('',*,*,#212701,.T.); #297043=ORIENTED_EDGE('',*,*,#212704,.T.); #297044=ORIENTED_EDGE('',*,*,#212707,.T.); #297045=ORIENTED_EDGE('',*,*,#212710,.T.); #297046=ORIENTED_EDGE('',*,*,#212713,.T.); #297047=ORIENTED_EDGE('',*,*,#212716,.T.); #297048=ORIENTED_EDGE('',*,*,#212719,.T.); #297049=ORIENTED_EDGE('',*,*,#212722,.T.); #297050=ORIENTED_EDGE('',*,*,#212725,.T.); #297051=ORIENTED_EDGE('',*,*,#212728,.T.); #297052=ORIENTED_EDGE('',*,*,#212731,.T.); #297053=ORIENTED_EDGE('',*,*,#212734,.T.); #297054=ORIENTED_EDGE('',*,*,#212737,.T.); #297055=ORIENTED_EDGE('',*,*,#212740,.T.); #297056=ORIENTED_EDGE('',*,*,#212743,.T.); #297057=ORIENTED_EDGE('',*,*,#212746,.T.); #297058=ORIENTED_EDGE('',*,*,#212749,.T.); #297059=ORIENTED_EDGE('',*,*,#212752,.T.); #297060=ORIENTED_EDGE('',*,*,#212755,.T.); #297061=ORIENTED_EDGE('',*,*,#212758,.T.); #297062=ORIENTED_EDGE('',*,*,#212761,.T.); #297063=ORIENTED_EDGE('',*,*,#212764,.T.); #297064=ORIENTED_EDGE('',*,*,#212767,.T.); #297065=ORIENTED_EDGE('',*,*,#212770,.T.); #297066=ORIENTED_EDGE('',*,*,#212773,.T.); #297067=ORIENTED_EDGE('',*,*,#212776,.T.); #297068=ORIENTED_EDGE('',*,*,#212779,.T.); #297069=ORIENTED_EDGE('',*,*,#212782,.T.); #297070=ORIENTED_EDGE('',*,*,#212785,.T.); #297071=ORIENTED_EDGE('',*,*,#212788,.T.); #297072=ORIENTED_EDGE('',*,*,#212791,.T.); #297073=ORIENTED_EDGE('',*,*,#212794,.T.); #297074=ORIENTED_EDGE('',*,*,#212797,.T.); #297075=ORIENTED_EDGE('',*,*,#212800,.T.); #297076=ORIENTED_EDGE('',*,*,#212803,.T.); #297077=ORIENTED_EDGE('',*,*,#212806,.T.); #297078=ORIENTED_EDGE('',*,*,#212809,.T.); #297079=ORIENTED_EDGE('',*,*,#212812,.T.); #297080=ORIENTED_EDGE('',*,*,#212815,.T.); #297081=ORIENTED_EDGE('',*,*,#212818,.T.); #297082=ORIENTED_EDGE('',*,*,#212821,.T.); #297083=ORIENTED_EDGE('',*,*,#212824,.T.); #297084=ORIENTED_EDGE('',*,*,#212827,.T.); #297085=ORIENTED_EDGE('',*,*,#212830,.T.); #297086=ORIENTED_EDGE('',*,*,#212833,.T.); #297087=ORIENTED_EDGE('',*,*,#212836,.T.); #297088=ORIENTED_EDGE('',*,*,#212839,.T.); #297089=ORIENTED_EDGE('',*,*,#212842,.T.); #297090=ORIENTED_EDGE('',*,*,#212845,.T.); #297091=ORIENTED_EDGE('',*,*,#212848,.T.); #297092=ORIENTED_EDGE('',*,*,#212851,.T.); #297093=ORIENTED_EDGE('',*,*,#212854,.T.); #297094=ORIENTED_EDGE('',*,*,#212857,.T.); #297095=ORIENTED_EDGE('',*,*,#212860,.T.); #297096=ORIENTED_EDGE('',*,*,#212863,.T.); #297097=ORIENTED_EDGE('',*,*,#212866,.T.); #297098=ORIENTED_EDGE('',*,*,#212869,.T.); #297099=ORIENTED_EDGE('',*,*,#212872,.T.); #297100=ORIENTED_EDGE('',*,*,#212875,.T.); #297101=ORIENTED_EDGE('',*,*,#212878,.T.); #297102=ORIENTED_EDGE('',*,*,#212881,.T.); #297103=ORIENTED_EDGE('',*,*,#212884,.T.); #297104=ORIENTED_EDGE('',*,*,#212887,.T.); #297105=ORIENTED_EDGE('',*,*,#212890,.T.); #297106=ORIENTED_EDGE('',*,*,#212893,.T.); #297107=ORIENTED_EDGE('',*,*,#212896,.T.); #297108=ORIENTED_EDGE('',*,*,#212899,.T.); #297109=ORIENTED_EDGE('',*,*,#212902,.T.); #297110=ORIENTED_EDGE('',*,*,#212905,.T.); #297111=ORIENTED_EDGE('',*,*,#212908,.T.); #297112=ORIENTED_EDGE('',*,*,#212911,.T.); #297113=ORIENTED_EDGE('',*,*,#212914,.T.); #297114=ORIENTED_EDGE('',*,*,#212917,.T.); #297115=ORIENTED_EDGE('',*,*,#212920,.T.); #297116=ORIENTED_EDGE('',*,*,#212923,.T.); #297117=ORIENTED_EDGE('',*,*,#212926,.T.); #297118=ORIENTED_EDGE('',*,*,#212929,.T.); #297119=ORIENTED_EDGE('',*,*,#212932,.T.); #297120=ORIENTED_EDGE('',*,*,#212935,.T.); #297121=ORIENTED_EDGE('',*,*,#212938,.T.); #297122=ORIENTED_EDGE('',*,*,#212941,.T.); #297123=ORIENTED_EDGE('',*,*,#212944,.T.); #297124=ORIENTED_EDGE('',*,*,#212947,.T.); #297125=ORIENTED_EDGE('',*,*,#212950,.T.); #297126=ORIENTED_EDGE('',*,*,#212953,.T.); #297127=ORIENTED_EDGE('',*,*,#212956,.T.); #297128=ORIENTED_EDGE('',*,*,#212959,.T.); #297129=ORIENTED_EDGE('',*,*,#212962,.T.); #297130=ORIENTED_EDGE('',*,*,#212965,.T.); #297131=ORIENTED_EDGE('',*,*,#212968,.T.); #297132=ORIENTED_EDGE('',*,*,#212971,.T.); #297133=ORIENTED_EDGE('',*,*,#212974,.T.); #297134=ORIENTED_EDGE('',*,*,#212977,.T.); #297135=ORIENTED_EDGE('',*,*,#212980,.T.); #297136=ORIENTED_EDGE('',*,*,#212983,.T.); #297137=ORIENTED_EDGE('',*,*,#212986,.T.); #297138=ORIENTED_EDGE('',*,*,#212989,.T.); #297139=ORIENTED_EDGE('',*,*,#212992,.T.); #297140=ORIENTED_EDGE('',*,*,#212995,.T.); #297141=ORIENTED_EDGE('',*,*,#212998,.T.); #297142=ORIENTED_EDGE('',*,*,#213001,.T.); #297143=ORIENTED_EDGE('',*,*,#213004,.T.); #297144=ORIENTED_EDGE('',*,*,#213007,.T.); #297145=ORIENTED_EDGE('',*,*,#213010,.T.); #297146=ORIENTED_EDGE('',*,*,#213013,.T.); #297147=ORIENTED_EDGE('',*,*,#213016,.T.); #297148=ORIENTED_EDGE('',*,*,#213019,.T.); #297149=ORIENTED_EDGE('',*,*,#213022,.T.); #297150=ORIENTED_EDGE('',*,*,#213025,.T.); #297151=ORIENTED_EDGE('',*,*,#213028,.T.); #297152=ORIENTED_EDGE('',*,*,#213031,.T.); #297153=ORIENTED_EDGE('',*,*,#213034,.T.); #297154=ORIENTED_EDGE('',*,*,#213037,.T.); #297155=ORIENTED_EDGE('',*,*,#213040,.T.); #297156=ORIENTED_EDGE('',*,*,#213043,.T.); #297157=ORIENTED_EDGE('',*,*,#213046,.T.); #297158=ORIENTED_EDGE('',*,*,#213049,.T.); #297159=ORIENTED_EDGE('',*,*,#213052,.T.); #297160=ORIENTED_EDGE('',*,*,#213055,.T.); #297161=ORIENTED_EDGE('',*,*,#213058,.T.); #297162=ORIENTED_EDGE('',*,*,#213061,.T.); #297163=ORIENTED_EDGE('',*,*,#213064,.T.); #297164=ORIENTED_EDGE('',*,*,#213067,.T.); #297165=ORIENTED_EDGE('',*,*,#213070,.T.); #297166=ORIENTED_EDGE('',*,*,#213073,.T.); #297167=ORIENTED_EDGE('',*,*,#213076,.T.); #297168=ORIENTED_EDGE('',*,*,#213079,.T.); #297169=ORIENTED_EDGE('',*,*,#213082,.T.); #297170=ORIENTED_EDGE('',*,*,#213088,.T.); #297171=ORIENTED_EDGE('',*,*,#213089,.T.); #297172=ORIENTED_EDGE('',*,*,#213090,.T.); #297173=ORIENTED_EDGE('',*,*,#213091,.T.); #297174=ORIENTED_EDGE('',*,*,#213089,.F.); #297175=ORIENTED_EDGE('',*,*,#213092,.T.); #297176=ORIENTED_EDGE('',*,*,#213093,.F.); #297177=ORIENTED_EDGE('',*,*,#213094,.F.); #297178=ORIENTED_EDGE('',*,*,#213088,.F.); #297179=ORIENTED_EDGE('',*,*,#213095,.F.); #297180=ORIENTED_EDGE('',*,*,#213096,.T.); #297181=ORIENTED_EDGE('',*,*,#213097,.T.); #297182=ORIENTED_EDGE('',*,*,#213098,.F.); #297183=ORIENTED_EDGE('',*,*,#213099,.T.); #297184=ORIENTED_EDGE('',*,*,#213100,.T.); #297185=ORIENTED_EDGE('',*,*,#213101,.T.); #297186=ORIENTED_EDGE('',*,*,#213102,.F.); #297187=ORIENTED_EDGE('',*,*,#213103,.T.); #297188=ORIENTED_EDGE('',*,*,#213104,.T.); #297189=ORIENTED_EDGE('',*,*,#213105,.T.); #297190=ORIENTED_EDGE('',*,*,#213106,.F.); #297191=ORIENTED_EDGE('',*,*,#213107,.T.); #297192=ORIENTED_EDGE('',*,*,#213108,.T.); #297193=ORIENTED_EDGE('',*,*,#213109,.T.); #297194=ORIENTED_EDGE('',*,*,#213110,.F.); #297195=ORIENTED_EDGE('',*,*,#213111,.T.); #297196=ORIENTED_EDGE('',*,*,#213112,.T.); #297197=ORIENTED_EDGE('',*,*,#213113,.T.); #297198=ORIENTED_EDGE('',*,*,#213114,.F.); #297199=ORIENTED_EDGE('',*,*,#213115,.F.); #297200=ORIENTED_EDGE('',*,*,#213116,.T.); #297201=ORIENTED_EDGE('',*,*,#213117,.T.); #297202=ORIENTED_EDGE('',*,*,#213118,.F.); #297203=ORIENTED_EDGE('',*,*,#213092,.F.); #297204=ORIENTED_EDGE('',*,*,#213119,.T.); #297205=ORIENTED_EDGE('',*,*,#213093,.T.); #297206=ORIENTED_EDGE('',*,*,#213118,.T.); #297207=ORIENTED_EDGE('',*,*,#213120,.T.); #297208=ORIENTED_EDGE('',*,*,#213090,.F.); #297209=ORIENTED_EDGE('',*,*,#213094,.T.); #297210=ORIENTED_EDGE('',*,*,#213119,.F.); #297211=ORIENTED_EDGE('',*,*,#213121,.T.); #297212=ORIENTED_EDGE('',*,*,#213122,.F.); #297213=ORIENTED_EDGE('',*,*,#213123,.T.); #297214=ORIENTED_EDGE('',*,*,#213120,.F.); #297215=ORIENTED_EDGE('',*,*,#213117,.F.); #297216=ORIENTED_EDGE('',*,*,#213124,.F.); #297217=ORIENTED_EDGE('',*,*,#213125,.F.); #297218=ORIENTED_EDGE('',*,*,#213126,.F.); #297219=ORIENTED_EDGE('',*,*,#213127,.F.); #297220=ORIENTED_EDGE('',*,*,#213128,.F.); #297221=ORIENTED_EDGE('',*,*,#213121,.F.); #297222=ORIENTED_EDGE('',*,*,#213129,.F.); #297223=ORIENTED_EDGE('',*,*,#213130,.T.); #297224=ORIENTED_EDGE('',*,*,#213124,.T.); #297225=ORIENTED_EDGE('',*,*,#213116,.F.); #297226=ORIENTED_EDGE('',*,*,#213131,.F.); #297227=ORIENTED_EDGE('',*,*,#213132,.T.); #297228=ORIENTED_EDGE('',*,*,#213133,.T.); #297229=ORIENTED_EDGE('',*,*,#213096,.F.); #297230=ORIENTED_EDGE('',*,*,#213134,.F.); #297231=ORIENTED_EDGE('',*,*,#213135,.F.); #297232=ORIENTED_EDGE('',*,*,#213136,.T.); #297233=ORIENTED_EDGE('',*,*,#213137,.T.); #297234=ORIENTED_EDGE('',*,*,#213138,.F.); #297235=ORIENTED_EDGE('',*,*,#213139,.F.); #297236=ORIENTED_EDGE('',*,*,#213140,.T.); #297237=ORIENTED_EDGE('',*,*,#213141,.T.); #297238=ORIENTED_EDGE('',*,*,#213142,.F.); #297239=ORIENTED_EDGE('',*,*,#213143,.F.); #297240=ORIENTED_EDGE('',*,*,#213144,.T.); #297241=ORIENTED_EDGE('',*,*,#213145,.T.); #297242=ORIENTED_EDGE('',*,*,#213146,.F.); #297243=ORIENTED_EDGE('',*,*,#213147,.F.); #297244=ORIENTED_EDGE('',*,*,#213148,.T.); #297245=ORIENTED_EDGE('',*,*,#213149,.T.); #297246=ORIENTED_EDGE('',*,*,#213150,.T.); #297247=ORIENTED_EDGE('',*,*,#213151,.F.); #297248=ORIENTED_EDGE('',*,*,#213100,.F.); #297249=ORIENTED_EDGE('',*,*,#213152,.T.); #297250=ORIENTED_EDGE('',*,*,#213153,.F.); #297251=ORIENTED_EDGE('',*,*,#213154,.T.); #297252=ORIENTED_EDGE('',*,*,#213155,.T.); #297253=ORIENTED_EDGE('',*,*,#213156,.F.); #297254=ORIENTED_EDGE('',*,*,#213157,.T.); #297255=ORIENTED_EDGE('',*,*,#213158,.F.); #297256=ORIENTED_EDGE('',*,*,#213104,.F.); #297257=ORIENTED_EDGE('',*,*,#213159,.T.); #297258=ORIENTED_EDGE('',*,*,#213160,.F.); #297259=ORIENTED_EDGE('',*,*,#213161,.T.); #297260=ORIENTED_EDGE('',*,*,#213162,.T.); #297261=ORIENTED_EDGE('',*,*,#213163,.F.); #297262=ORIENTED_EDGE('',*,*,#213164,.T.); #297263=ORIENTED_EDGE('',*,*,#213165,.F.); #297264=ORIENTED_EDGE('',*,*,#213108,.F.); #297265=ORIENTED_EDGE('',*,*,#213166,.T.); #297266=ORIENTED_EDGE('',*,*,#213167,.F.); #297267=ORIENTED_EDGE('',*,*,#213168,.T.); #297268=ORIENTED_EDGE('',*,*,#213169,.T.); #297269=ORIENTED_EDGE('',*,*,#213170,.F.); #297270=ORIENTED_EDGE('',*,*,#213171,.F.); #297271=ORIENTED_EDGE('',*,*,#213172,.T.); #297272=ORIENTED_EDGE('',*,*,#213102,.T.); #297273=ORIENTED_EDGE('',*,*,#213173,.T.); #297274=ORIENTED_EDGE('',*,*,#213174,.F.); #297275=ORIENTED_EDGE('',*,*,#213175,.T.); #297276=ORIENTED_EDGE('',*,*,#213098,.T.); #297277=ORIENTED_EDGE('',*,*,#213176,.T.); #297278=ORIENTED_EDGE('',*,*,#213177,.T.); #297279=ORIENTED_EDGE('',*,*,#213178,.F.); #297280=ORIENTED_EDGE('',*,*,#213112,.F.); #297281=ORIENTED_EDGE('',*,*,#213179,.T.); #297282=ORIENTED_EDGE('',*,*,#213180,.F.); #297283=ORIENTED_EDGE('',*,*,#213181,.T.); #297284=ORIENTED_EDGE('',*,*,#213182,.T.); #297285=ORIENTED_EDGE('',*,*,#213183,.F.); #297286=ORIENTED_EDGE('',*,*,#213110,.T.); #297287=ORIENTED_EDGE('',*,*,#213184,.T.); #297288=ORIENTED_EDGE('',*,*,#213185,.F.); #297289=ORIENTED_EDGE('',*,*,#213186,.T.); #297290=ORIENTED_EDGE('',*,*,#213164,.F.); #297291=ORIENTED_EDGE('',*,*,#213187,.F.); #297292=ORIENTED_EDGE('',*,*,#213188,.T.); #297293=ORIENTED_EDGE('',*,*,#213189,.F.); #297294=ORIENTED_EDGE('',*,*,#213157,.F.); #297295=ORIENTED_EDGE('',*,*,#213190,.F.); #297296=ORIENTED_EDGE('',*,*,#213171,.T.); #297297=ORIENTED_EDGE('',*,*,#213191,.F.); #297298=ORIENTED_EDGE('',*,*,#213150,.F.); #297299=ORIENTED_EDGE('',*,*,#213192,.F.); #297300=ORIENTED_EDGE('',*,*,#213174,.T.); #297301=ORIENTED_EDGE('',*,*,#213193,.T.); #297302=ORIENTED_EDGE('',*,*,#213132,.F.); #297303=ORIENTED_EDGE('',*,*,#213194,.F.); #297304=ORIENTED_EDGE('',*,*,#213195,.T.); #297305=ORIENTED_EDGE('',*,*,#213196,.F.); #297306=ORIENTED_EDGE('',*,*,#213177,.F.); #297307=ORIENTED_EDGE('',*,*,#213197,.F.); #297308=ORIENTED_EDGE('',*,*,#213185,.T.); #297309=ORIENTED_EDGE('',*,*,#213198,.F.); #297310=ORIENTED_EDGE('',*,*,#213114,.T.); #297311=ORIENTED_EDGE('',*,*,#213199,.T.); #297312=ORIENTED_EDGE('',*,*,#213195,.F.); #297313=ORIENTED_EDGE('',*,*,#213200,.F.); #297314=ORIENTED_EDGE('',*,*,#213194,.T.); #297315=ORIENTED_EDGE('',*,*,#213131,.T.); #297316=ORIENTED_EDGE('',*,*,#213115,.T.); #297317=ORIENTED_EDGE('',*,*,#213200,.T.); #297318=ORIENTED_EDGE('',*,*,#213193,.F.); #297319=ORIENTED_EDGE('',*,*,#213176,.F.); #297320=ORIENTED_EDGE('',*,*,#213097,.F.); #297321=ORIENTED_EDGE('',*,*,#213133,.F.); #297322=ORIENTED_EDGE('',*,*,#213091,.F.); #297323=ORIENTED_EDGE('',*,*,#213123,.F.); #297324=ORIENTED_EDGE('',*,*,#213201,.T.); #297325=ORIENTED_EDGE('',*,*,#213202,.T.); #297326=ORIENTED_EDGE('',*,*,#213203,.T.); #297327=ORIENTED_EDGE('',*,*,#213204,.F.); #297328=ORIENTED_EDGE('',*,*,#213129,.T.); #297329=ORIENTED_EDGE('',*,*,#213095,.T.); #297330=ORIENTED_EDGE('',*,*,#213125,.T.); #297331=ORIENTED_EDGE('',*,*,#213130,.F.); #297332=ORIENTED_EDGE('',*,*,#213204,.T.); #297333=ORIENTED_EDGE('',*,*,#213205,.T.); #297334=ORIENTED_EDGE('',*,*,#213126,.T.); #297335=ORIENTED_EDGE('',*,*,#213205,.F.); #297336=ORIENTED_EDGE('',*,*,#213203,.F.); #297337=ORIENTED_EDGE('',*,*,#213206,.T.); #297338=ORIENTED_EDGE('',*,*,#213127,.T.); #297339=ORIENTED_EDGE('',*,*,#213206,.F.); #297340=ORIENTED_EDGE('',*,*,#213202,.F.); #297341=ORIENTED_EDGE('',*,*,#213207,.T.); #297342=ORIENTED_EDGE('',*,*,#213128,.T.); #297343=ORIENTED_EDGE('',*,*,#213207,.F.); #297344=ORIENTED_EDGE('',*,*,#213201,.F.); #297345=ORIENTED_EDGE('',*,*,#213122,.T.); #297346=ORIENTED_EDGE('',*,*,#213197,.T.); #297347=ORIENTED_EDGE('',*,*,#213179,.F.); #297348=ORIENTED_EDGE('',*,*,#213111,.F.); #297349=ORIENTED_EDGE('',*,*,#213186,.F.); #297350=ORIENTED_EDGE('',*,*,#213113,.F.); #297351=ORIENTED_EDGE('',*,*,#213178,.T.); #297352=ORIENTED_EDGE('',*,*,#213196,.T.); #297353=ORIENTED_EDGE('',*,*,#213199,.F.); #297354=ORIENTED_EDGE('',*,*,#213208,.T.); #297355=ORIENTED_EDGE('',*,*,#213209,.T.); #297356=ORIENTED_EDGE('',*,*,#213210,.T.); #297357=ORIENTED_EDGE('',*,*,#213211,.T.); #297358=ORIENTED_EDGE('',*,*,#213212,.T.); #297359=ORIENTED_EDGE('',*,*,#213213,.T.); #297360=ORIENTED_EDGE('',*,*,#213214,.F.); #297361=ORIENTED_EDGE('',*,*,#213182,.F.); #297362=ORIENTED_EDGE('',*,*,#213215,.T.); #297363=ORIENTED_EDGE('',*,*,#213216,.T.); #297364=ORIENTED_EDGE('',*,*,#213217,.F.); #297365=ORIENTED_EDGE('',*,*,#213180,.T.); #297366=ORIENTED_EDGE('',*,*,#213217,.T.); #297367=ORIENTED_EDGE('',*,*,#213218,.T.); #297368=ORIENTED_EDGE('',*,*,#213212,.F.); #297369=ORIENTED_EDGE('',*,*,#213181,.F.); #297370=ORIENTED_EDGE('',*,*,#213214,.T.); #297371=ORIENTED_EDGE('',*,*,#213219,.T.); #297372=ORIENTED_EDGE('',*,*,#213215,.F.); #297373=ORIENTED_EDGE('',*,*,#213183,.T.); #297374=ORIENTED_EDGE('',*,*,#213220,.F.); #297375=ORIENTED_EDGE('',*,*,#213221,.T.); #297376=ORIENTED_EDGE('',*,*,#213222,.T.); #297377=ORIENTED_EDGE('',*,*,#213147,.T.); #297378=ORIENTED_EDGE('',*,*,#213223,.F.); #297379=ORIENTED_EDGE('',*,*,#213224,.T.); #297380=ORIENTED_EDGE('',*,*,#213225,.T.); #297381=ORIENTED_EDGE('',*,*,#213149,.F.); #297382=ORIENTED_EDGE('',*,*,#213225,.F.); #297383=ORIENTED_EDGE('',*,*,#213226,.T.); #297384=ORIENTED_EDGE('',*,*,#213220,.T.); #297385=ORIENTED_EDGE('',*,*,#213146,.T.); #297386=ORIENTED_EDGE('',*,*,#213222,.F.); #297387=ORIENTED_EDGE('',*,*,#213227,.T.); #297388=ORIENTED_EDGE('',*,*,#213223,.T.); #297389=ORIENTED_EDGE('',*,*,#213148,.F.); #297390=ORIENTED_EDGE('',*,*,#213228,.T.); #297391=ORIENTED_EDGE('',*,*,#213229,.T.); #297392=ORIENTED_EDGE('',*,*,#213230,.T.); #297393=ORIENTED_EDGE('',*,*,#213231,.T.); #297394=ORIENTED_EDGE('',*,*,#213232,.F.); #297395=ORIENTED_EDGE('',*,*,#213218,.F.); #297396=ORIENTED_EDGE('',*,*,#213233,.F.); #297397=ORIENTED_EDGE('',*,*,#213211,.F.); #297398=ORIENTED_EDGE('',*,*,#213233,.T.); #297399=ORIENTED_EDGE('',*,*,#213216,.F.); #297400=ORIENTED_EDGE('',*,*,#213234,.F.); #297401=ORIENTED_EDGE('',*,*,#213208,.F.); #297402=ORIENTED_EDGE('',*,*,#213232,.T.); #297403=ORIENTED_EDGE('',*,*,#213210,.F.); #297404=ORIENTED_EDGE('',*,*,#213235,.F.); #297405=ORIENTED_EDGE('',*,*,#213213,.F.); #297406=ORIENTED_EDGE('',*,*,#213234,.T.); #297407=ORIENTED_EDGE('',*,*,#213219,.F.); #297408=ORIENTED_EDGE('',*,*,#213235,.T.); #297409=ORIENTED_EDGE('',*,*,#213209,.F.); #297410=ORIENTED_EDGE('',*,*,#213236,.F.); #297411=ORIENTED_EDGE('',*,*,#213221,.F.); #297412=ORIENTED_EDGE('',*,*,#213237,.F.); #297413=ORIENTED_EDGE('',*,*,#213231,.F.); #297414=ORIENTED_EDGE('',*,*,#213237,.T.); #297415=ORIENTED_EDGE('',*,*,#213226,.F.); #297416=ORIENTED_EDGE('',*,*,#213238,.F.); #297417=ORIENTED_EDGE('',*,*,#213228,.F.); #297418=ORIENTED_EDGE('',*,*,#213236,.T.); #297419=ORIENTED_EDGE('',*,*,#213230,.F.); #297420=ORIENTED_EDGE('',*,*,#213239,.F.); #297421=ORIENTED_EDGE('',*,*,#213227,.F.); #297422=ORIENTED_EDGE('',*,*,#213238,.T.); #297423=ORIENTED_EDGE('',*,*,#213224,.F.); #297424=ORIENTED_EDGE('',*,*,#213239,.T.); #297425=ORIENTED_EDGE('',*,*,#213229,.F.); #297426=ORIENTED_EDGE('',*,*,#213192,.T.); #297427=ORIENTED_EDGE('',*,*,#213152,.F.); #297428=ORIENTED_EDGE('',*,*,#213099,.F.); #297429=ORIENTED_EDGE('',*,*,#213175,.F.); #297430=ORIENTED_EDGE('',*,*,#213101,.F.); #297431=ORIENTED_EDGE('',*,*,#213151,.T.); #297432=ORIENTED_EDGE('',*,*,#213191,.T.); #297433=ORIENTED_EDGE('',*,*,#213173,.F.); #297434=ORIENTED_EDGE('',*,*,#213190,.T.); #297435=ORIENTED_EDGE('',*,*,#213159,.F.); #297436=ORIENTED_EDGE('',*,*,#213103,.F.); #297437=ORIENTED_EDGE('',*,*,#213172,.F.); #297438=ORIENTED_EDGE('',*,*,#213105,.F.); #297439=ORIENTED_EDGE('',*,*,#213158,.T.); #297440=ORIENTED_EDGE('',*,*,#213189,.T.); #297441=ORIENTED_EDGE('',*,*,#213240,.F.); #297442=ORIENTED_EDGE('',*,*,#213187,.T.); #297443=ORIENTED_EDGE('',*,*,#213166,.F.); #297444=ORIENTED_EDGE('',*,*,#213107,.F.); #297445=ORIENTED_EDGE('',*,*,#213241,.F.); #297446=ORIENTED_EDGE('',*,*,#213109,.F.); #297447=ORIENTED_EDGE('',*,*,#213165,.T.); #297448=ORIENTED_EDGE('',*,*,#213198,.T.); #297449=ORIENTED_EDGE('',*,*,#213184,.F.); #297450=ORIENTED_EDGE('',*,*,#213242,.T.); #297451=ORIENTED_EDGE('',*,*,#213243,.T.); #297452=ORIENTED_EDGE('',*,*,#213244,.T.); #297453=ORIENTED_EDGE('',*,*,#213245,.T.); #297454=ORIENTED_EDGE('',*,*,#213246,.T.); #297455=ORIENTED_EDGE('',*,*,#213247,.T.); #297456=ORIENTED_EDGE('',*,*,#213248,.F.); #297457=ORIENTED_EDGE('',*,*,#213169,.F.); #297458=ORIENTED_EDGE('',*,*,#213249,.T.); #297459=ORIENTED_EDGE('',*,*,#213250,.T.); #297460=ORIENTED_EDGE('',*,*,#213251,.F.); #297461=ORIENTED_EDGE('',*,*,#213167,.T.); #297462=ORIENTED_EDGE('',*,*,#213251,.T.); #297463=ORIENTED_EDGE('',*,*,#213252,.T.); #297464=ORIENTED_EDGE('',*,*,#213246,.F.); #297465=ORIENTED_EDGE('',*,*,#213168,.F.); #297466=ORIENTED_EDGE('',*,*,#213248,.T.); #297467=ORIENTED_EDGE('',*,*,#213253,.T.); #297468=ORIENTED_EDGE('',*,*,#213249,.F.); #297469=ORIENTED_EDGE('',*,*,#213170,.T.); #297470=ORIENTED_EDGE('',*,*,#213254,.F.); #297471=ORIENTED_EDGE('',*,*,#213255,.T.); #297472=ORIENTED_EDGE('',*,*,#213256,.T.); #297473=ORIENTED_EDGE('',*,*,#213135,.T.); #297474=ORIENTED_EDGE('',*,*,#213257,.F.); #297475=ORIENTED_EDGE('',*,*,#213258,.T.); #297476=ORIENTED_EDGE('',*,*,#213259,.T.); #297477=ORIENTED_EDGE('',*,*,#213137,.F.); #297478=ORIENTED_EDGE('',*,*,#213259,.F.); #297479=ORIENTED_EDGE('',*,*,#213260,.T.); #297480=ORIENTED_EDGE('',*,*,#213254,.T.); #297481=ORIENTED_EDGE('',*,*,#213134,.T.); #297482=ORIENTED_EDGE('',*,*,#213256,.F.); #297483=ORIENTED_EDGE('',*,*,#213261,.T.); #297484=ORIENTED_EDGE('',*,*,#213257,.T.); #297485=ORIENTED_EDGE('',*,*,#213136,.F.); #297486=ORIENTED_EDGE('',*,*,#213262,.T.); #297487=ORIENTED_EDGE('',*,*,#213263,.T.); #297488=ORIENTED_EDGE('',*,*,#213264,.T.); #297489=ORIENTED_EDGE('',*,*,#213265,.T.); #297490=ORIENTED_EDGE('',*,*,#213266,.T.); #297491=ORIENTED_EDGE('',*,*,#213267,.T.); #297492=ORIENTED_EDGE('',*,*,#213268,.T.); #297493=ORIENTED_EDGE('',*,*,#213269,.T.); #297494=ORIENTED_EDGE('',*,*,#213270,.T.); #297495=ORIENTED_EDGE('',*,*,#213271,.T.); #297496=ORIENTED_EDGE('',*,*,#213272,.F.); #297497=ORIENTED_EDGE('',*,*,#213162,.F.); #297498=ORIENTED_EDGE('',*,*,#213273,.T.); #297499=ORIENTED_EDGE('',*,*,#213274,.T.); #297500=ORIENTED_EDGE('',*,*,#213275,.F.); #297501=ORIENTED_EDGE('',*,*,#213160,.T.); #297502=ORIENTED_EDGE('',*,*,#213275,.T.); #297503=ORIENTED_EDGE('',*,*,#213276,.T.); #297504=ORIENTED_EDGE('',*,*,#213270,.F.); #297505=ORIENTED_EDGE('',*,*,#213161,.F.); #297506=ORIENTED_EDGE('',*,*,#213272,.T.); #297507=ORIENTED_EDGE('',*,*,#213277,.T.); #297508=ORIENTED_EDGE('',*,*,#213273,.F.); #297509=ORIENTED_EDGE('',*,*,#213163,.T.); #297510=ORIENTED_EDGE('',*,*,#213278,.F.); #297511=ORIENTED_EDGE('',*,*,#213279,.T.); #297512=ORIENTED_EDGE('',*,*,#213280,.T.); #297513=ORIENTED_EDGE('',*,*,#213143,.T.); #297514=ORIENTED_EDGE('',*,*,#213281,.F.); #297515=ORIENTED_EDGE('',*,*,#213282,.T.); #297516=ORIENTED_EDGE('',*,*,#213283,.T.); #297517=ORIENTED_EDGE('',*,*,#213145,.F.); #297518=ORIENTED_EDGE('',*,*,#213283,.F.); #297519=ORIENTED_EDGE('',*,*,#213284,.T.); #297520=ORIENTED_EDGE('',*,*,#213278,.T.); #297521=ORIENTED_EDGE('',*,*,#213142,.T.); #297522=ORIENTED_EDGE('',*,*,#213280,.F.); #297523=ORIENTED_EDGE('',*,*,#213285,.T.); #297524=ORIENTED_EDGE('',*,*,#213281,.T.); #297525=ORIENTED_EDGE('',*,*,#213144,.F.); #297526=ORIENTED_EDGE('',*,*,#213286,.T.); #297527=ORIENTED_EDGE('',*,*,#213287,.T.); #297528=ORIENTED_EDGE('',*,*,#213288,.T.); #297529=ORIENTED_EDGE('',*,*,#213289,.T.); #297530=ORIENTED_EDGE('',*,*,#213290,.T.); #297531=ORIENTED_EDGE('',*,*,#213291,.T.); #297532=ORIENTED_EDGE('',*,*,#213292,.T.); #297533=ORIENTED_EDGE('',*,*,#213293,.T.); #297534=ORIENTED_EDGE('',*,*,#213294,.T.); #297535=ORIENTED_EDGE('',*,*,#213295,.T.); #297536=ORIENTED_EDGE('',*,*,#213296,.F.); #297537=ORIENTED_EDGE('',*,*,#213155,.F.); #297538=ORIENTED_EDGE('',*,*,#213297,.T.); #297539=ORIENTED_EDGE('',*,*,#213298,.T.); #297540=ORIENTED_EDGE('',*,*,#213299,.F.); #297541=ORIENTED_EDGE('',*,*,#213153,.T.); #297542=ORIENTED_EDGE('',*,*,#213299,.T.); #297543=ORIENTED_EDGE('',*,*,#213300,.T.); #297544=ORIENTED_EDGE('',*,*,#213294,.F.); #297545=ORIENTED_EDGE('',*,*,#213154,.F.); #297546=ORIENTED_EDGE('',*,*,#213296,.T.); #297547=ORIENTED_EDGE('',*,*,#213301,.T.); #297548=ORIENTED_EDGE('',*,*,#213297,.F.); #297549=ORIENTED_EDGE('',*,*,#213156,.T.); #297550=ORIENTED_EDGE('',*,*,#213302,.F.); #297551=ORIENTED_EDGE('',*,*,#213303,.T.); #297552=ORIENTED_EDGE('',*,*,#213304,.T.); #297553=ORIENTED_EDGE('',*,*,#213139,.T.); #297554=ORIENTED_EDGE('',*,*,#213305,.F.); #297555=ORIENTED_EDGE('',*,*,#213306,.T.); #297556=ORIENTED_EDGE('',*,*,#213307,.T.); #297557=ORIENTED_EDGE('',*,*,#213141,.F.); #297558=ORIENTED_EDGE('',*,*,#213307,.F.); #297559=ORIENTED_EDGE('',*,*,#213308,.T.); #297560=ORIENTED_EDGE('',*,*,#213302,.T.); #297561=ORIENTED_EDGE('',*,*,#213138,.T.); #297562=ORIENTED_EDGE('',*,*,#213304,.F.); #297563=ORIENTED_EDGE('',*,*,#213309,.T.); #297564=ORIENTED_EDGE('',*,*,#213305,.T.); #297565=ORIENTED_EDGE('',*,*,#213140,.F.); #297566=ORIENTED_EDGE('',*,*,#213310,.T.); #297567=ORIENTED_EDGE('',*,*,#213311,.T.); #297568=ORIENTED_EDGE('',*,*,#213312,.T.); #297569=ORIENTED_EDGE('',*,*,#213313,.T.); #297570=ORIENTED_EDGE('',*,*,#213314,.F.); #297571=ORIENTED_EDGE('',*,*,#213252,.F.); #297572=ORIENTED_EDGE('',*,*,#213315,.F.); #297573=ORIENTED_EDGE('',*,*,#213245,.F.); #297574=ORIENTED_EDGE('',*,*,#213315,.T.); #297575=ORIENTED_EDGE('',*,*,#213250,.F.); #297576=ORIENTED_EDGE('',*,*,#213316,.F.); #297577=ORIENTED_EDGE('',*,*,#213242,.F.); #297578=ORIENTED_EDGE('',*,*,#213314,.T.); #297579=ORIENTED_EDGE('',*,*,#213244,.F.); #297580=ORIENTED_EDGE('',*,*,#213317,.F.); #297581=ORIENTED_EDGE('',*,*,#213247,.F.); #297582=ORIENTED_EDGE('',*,*,#213316,.T.); #297583=ORIENTED_EDGE('',*,*,#213253,.F.); #297584=ORIENTED_EDGE('',*,*,#213317,.T.); #297585=ORIENTED_EDGE('',*,*,#213243,.F.); #297586=ORIENTED_EDGE('',*,*,#213318,.F.); #297587=ORIENTED_EDGE('',*,*,#213255,.F.); #297588=ORIENTED_EDGE('',*,*,#213319,.F.); #297589=ORIENTED_EDGE('',*,*,#213265,.F.); #297590=ORIENTED_EDGE('',*,*,#213319,.T.); #297591=ORIENTED_EDGE('',*,*,#213260,.F.); #297592=ORIENTED_EDGE('',*,*,#213320,.F.); #297593=ORIENTED_EDGE('',*,*,#213262,.F.); #297594=ORIENTED_EDGE('',*,*,#213318,.T.); #297595=ORIENTED_EDGE('',*,*,#213264,.F.); #297596=ORIENTED_EDGE('',*,*,#213321,.F.); #297597=ORIENTED_EDGE('',*,*,#213261,.F.); #297598=ORIENTED_EDGE('',*,*,#213320,.T.); #297599=ORIENTED_EDGE('',*,*,#213258,.F.); #297600=ORIENTED_EDGE('',*,*,#213321,.T.); #297601=ORIENTED_EDGE('',*,*,#213263,.F.); #297602=ORIENTED_EDGE('',*,*,#213322,.F.); #297603=ORIENTED_EDGE('',*,*,#213276,.F.); #297604=ORIENTED_EDGE('',*,*,#213323,.F.); #297605=ORIENTED_EDGE('',*,*,#213269,.F.); #297606=ORIENTED_EDGE('',*,*,#213323,.T.); #297607=ORIENTED_EDGE('',*,*,#213274,.F.); #297608=ORIENTED_EDGE('',*,*,#213324,.F.); #297609=ORIENTED_EDGE('',*,*,#213266,.F.); #297610=ORIENTED_EDGE('',*,*,#213322,.T.); #297611=ORIENTED_EDGE('',*,*,#213268,.F.); #297612=ORIENTED_EDGE('',*,*,#213325,.F.); #297613=ORIENTED_EDGE('',*,*,#213271,.F.); #297614=ORIENTED_EDGE('',*,*,#213324,.T.); #297615=ORIENTED_EDGE('',*,*,#213277,.F.); #297616=ORIENTED_EDGE('',*,*,#213325,.T.); #297617=ORIENTED_EDGE('',*,*,#213267,.F.); #297618=ORIENTED_EDGE('',*,*,#213326,.F.); #297619=ORIENTED_EDGE('',*,*,#213279,.F.); #297620=ORIENTED_EDGE('',*,*,#213327,.F.); #297621=ORIENTED_EDGE('',*,*,#213289,.F.); #297622=ORIENTED_EDGE('',*,*,#213327,.T.); #297623=ORIENTED_EDGE('',*,*,#213284,.F.); #297624=ORIENTED_EDGE('',*,*,#213328,.F.); #297625=ORIENTED_EDGE('',*,*,#213286,.F.); #297626=ORIENTED_EDGE('',*,*,#213326,.T.); #297627=ORIENTED_EDGE('',*,*,#213288,.F.); #297628=ORIENTED_EDGE('',*,*,#213329,.F.); #297629=ORIENTED_EDGE('',*,*,#213285,.F.); #297630=ORIENTED_EDGE('',*,*,#213328,.T.); #297631=ORIENTED_EDGE('',*,*,#213282,.F.); #297632=ORIENTED_EDGE('',*,*,#213329,.T.); #297633=ORIENTED_EDGE('',*,*,#213287,.F.); #297634=ORIENTED_EDGE('',*,*,#213330,.F.); #297635=ORIENTED_EDGE('',*,*,#213300,.F.); #297636=ORIENTED_EDGE('',*,*,#213331,.F.); #297637=ORIENTED_EDGE('',*,*,#213293,.F.); #297638=ORIENTED_EDGE('',*,*,#213331,.T.); #297639=ORIENTED_EDGE('',*,*,#213298,.F.); #297640=ORIENTED_EDGE('',*,*,#213332,.F.); #297641=ORIENTED_EDGE('',*,*,#213290,.F.); #297642=ORIENTED_EDGE('',*,*,#213330,.T.); #297643=ORIENTED_EDGE('',*,*,#213292,.F.); #297644=ORIENTED_EDGE('',*,*,#213333,.F.); #297645=ORIENTED_EDGE('',*,*,#213295,.F.); #297646=ORIENTED_EDGE('',*,*,#213332,.T.); #297647=ORIENTED_EDGE('',*,*,#213301,.F.); #297648=ORIENTED_EDGE('',*,*,#213333,.T.); #297649=ORIENTED_EDGE('',*,*,#213291,.F.); #297650=ORIENTED_EDGE('',*,*,#213334,.F.); #297651=ORIENTED_EDGE('',*,*,#213303,.F.); #297652=ORIENTED_EDGE('',*,*,#213335,.F.); #297653=ORIENTED_EDGE('',*,*,#213313,.F.); #297654=ORIENTED_EDGE('',*,*,#213335,.T.); #297655=ORIENTED_EDGE('',*,*,#213308,.F.); #297656=ORIENTED_EDGE('',*,*,#213336,.F.); #297657=ORIENTED_EDGE('',*,*,#213310,.F.); #297658=ORIENTED_EDGE('',*,*,#213334,.T.); #297659=ORIENTED_EDGE('',*,*,#213312,.F.); #297660=ORIENTED_EDGE('',*,*,#213337,.F.); #297661=ORIENTED_EDGE('',*,*,#213309,.F.); #297662=ORIENTED_EDGE('',*,*,#213336,.T.); #297663=ORIENTED_EDGE('',*,*,#213306,.F.); #297664=ORIENTED_EDGE('',*,*,#213337,.T.); #297665=ORIENTED_EDGE('',*,*,#213311,.F.); #297666=ORIENTED_EDGE('',*,*,#213188,.F.); #297667=ORIENTED_EDGE('',*,*,#213241,.T.); #297668=ORIENTED_EDGE('',*,*,#213106,.T.); #297669=ORIENTED_EDGE('',*,*,#213240,.T.); #297670=ORIENTED_EDGE('',*,*,#213338,.F.); #297671=ORIENTED_EDGE('',*,*,#213339,.F.); #297672=ORIENTED_EDGE('',*,*,#213340,.F.); #297673=ORIENTED_EDGE('',*,*,#213341,.F.); #297674=ORIENTED_EDGE('',*,*,#213342,.F.); #297675=ORIENTED_EDGE('',*,*,#213343,.F.); #297676=ORIENTED_EDGE('',*,*,#213344,.F.); #297677=ORIENTED_EDGE('',*,*,#213345,.F.); #297678=ORIENTED_EDGE('',*,*,#213346,.F.); #297679=ORIENTED_EDGE('',*,*,#213347,.F.); #297680=ORIENTED_EDGE('',*,*,#213348,.F.); #297681=ORIENTED_EDGE('',*,*,#213349,.F.); #297682=ORIENTED_EDGE('',*,*,#213350,.F.); #297683=ORIENTED_EDGE('',*,*,#213351,.F.); #297684=ORIENTED_EDGE('',*,*,#213352,.F.); #297685=ORIENTED_EDGE('',*,*,#213351,.T.); #297686=ORIENTED_EDGE('',*,*,#213353,.T.); #297687=ORIENTED_EDGE('',*,*,#213346,.T.); #297688=ORIENTED_EDGE('',*,*,#213354,.T.); #297689=ORIENTED_EDGE('',*,*,#213355,.F.); #297690=ORIENTED_EDGE('',*,*,#213356,.F.); #297691=ORIENTED_EDGE('',*,*,#213357,.F.); #297692=ORIENTED_EDGE('',*,*,#213358,.F.); #297693=ORIENTED_EDGE('',*,*,#213359,.F.); #297694=ORIENTED_EDGE('',*,*,#213360,.F.); #297695=ORIENTED_EDGE('',*,*,#213345,.T.); #297696=ORIENTED_EDGE('',*,*,#213361,.T.); #297697=ORIENTED_EDGE('',*,*,#213359,.T.); #297698=ORIENTED_EDGE('',*,*,#213362,.T.); #297699=ORIENTED_EDGE('',*,*,#213348,.T.); #297700=ORIENTED_EDGE('',*,*,#213363,.T.); #297701=ORIENTED_EDGE('',*,*,#213360,.T.); #297702=ORIENTED_EDGE('',*,*,#213364,.T.); #297703=ORIENTED_EDGE('',*,*,#213365,.F.); #297704=ORIENTED_EDGE('',*,*,#213366,.F.); #297705=ORIENTED_EDGE('',*,*,#213367,.F.); #297706=ORIENTED_EDGE('',*,*,#213352,.T.); #297707=ORIENTED_EDGE('',*,*,#213368,.T.); #297708=ORIENTED_EDGE('',*,*,#213366,.T.); #297709=ORIENTED_EDGE('',*,*,#213369,.T.); #297710=ORIENTED_EDGE('',*,*,#213358,.T.); #297711=ORIENTED_EDGE('',*,*,#213370,.T.); #297712=ORIENTED_EDGE('',*,*,#213367,.T.); #297713=ORIENTED_EDGE('',*,*,#213371,.T.); #297714=ORIENTED_EDGE('',*,*,#213356,.T.); #297715=ORIENTED_EDGE('',*,*,#213372,.T.); #297716=ORIENTED_EDGE('',*,*,#213365,.T.); #297717=ORIENTED_EDGE('',*,*,#213373,.T.); #297718=ORIENTED_EDGE('',*,*,#213347,.T.); #297719=ORIENTED_EDGE('',*,*,#213374,.T.); #297720=ORIENTED_EDGE('',*,*,#213357,.T.); #297721=ORIENTED_EDGE('',*,*,#213375,.T.); #297722=ORIENTED_EDGE('',*,*,#213355,.T.); #297723=ORIENTED_EDGE('',*,*,#213376,.T.); #297724=ORIENTED_EDGE('',*,*,#213343,.T.); #297725=ORIENTED_EDGE('',*,*,#213377,.T.); #297726=ORIENTED_EDGE('',*,*,#213342,.T.); #297727=ORIENTED_EDGE('',*,*,#213378,.T.); #297728=ORIENTED_EDGE('',*,*,#213350,.T.); #297729=ORIENTED_EDGE('',*,*,#213379,.T.); #297730=ORIENTED_EDGE('',*,*,#213338,.T.); #297731=ORIENTED_EDGE('',*,*,#213380,.T.); #297732=ORIENTED_EDGE('',*,*,#213349,.T.); #297733=ORIENTED_EDGE('',*,*,#213381,.T.); #297734=ORIENTED_EDGE('',*,*,#213339,.T.); #297735=ORIENTED_EDGE('',*,*,#213382,.T.); #297736=ORIENTED_EDGE('',*,*,#213344,.T.); #297737=ORIENTED_EDGE('',*,*,#213383,.T.); #297738=ORIENTED_EDGE('',*,*,#213341,.T.); #297739=ORIENTED_EDGE('',*,*,#213384,.T.); #297740=ORIENTED_EDGE('',*,*,#213340,.T.); #297741=ORIENTED_EDGE('',*,*,#213385,.T.); #297742=ORIENTED_EDGE('',*,*,#213353,.F.); #297743=ORIENTED_EDGE('',*,*,#213378,.F.); #297744=ORIENTED_EDGE('',*,*,#213385,.F.); #297745=ORIENTED_EDGE('',*,*,#213383,.F.); #297746=ORIENTED_EDGE('',*,*,#213354,.F.); #297747=ORIENTED_EDGE('',*,*,#213362,.F.); #297748=ORIENTED_EDGE('',*,*,#213371,.F.); #297749=ORIENTED_EDGE('',*,*,#213368,.F.); #297750=ORIENTED_EDGE('',*,*,#213361,.F.); #297751=ORIENTED_EDGE('',*,*,#213382,.F.); #297752=ORIENTED_EDGE('',*,*,#213381,.F.); #297753=ORIENTED_EDGE('',*,*,#213364,.F.); #297754=ORIENTED_EDGE('',*,*,#213363,.F.); #297755=ORIENTED_EDGE('',*,*,#213375,.F.); #297756=ORIENTED_EDGE('',*,*,#213373,.F.); #297757=ORIENTED_EDGE('',*,*,#213370,.F.); #297758=ORIENTED_EDGE('',*,*,#213369,.F.); #297759=ORIENTED_EDGE('',*,*,#213372,.F.); #297760=ORIENTED_EDGE('',*,*,#213377,.F.); #297761=ORIENTED_EDGE('',*,*,#213379,.F.); #297762=ORIENTED_EDGE('',*,*,#213374,.F.); #297763=ORIENTED_EDGE('',*,*,#213380,.F.); #297764=ORIENTED_EDGE('',*,*,#213384,.F.); #297765=ORIENTED_EDGE('',*,*,#213376,.F.); #297766=ORIENTED_EDGE('',*,*,#213386,.F.); #297767=ORIENTED_EDGE('',*,*,#213387,.F.); #297768=ORIENTED_EDGE('',*,*,#213388,.F.); #297769=ORIENTED_EDGE('',*,*,#213389,.F.); #297770=ORIENTED_EDGE('',*,*,#213390,.F.); #297771=ORIENTED_EDGE('',*,*,#213391,.F.); #297772=ORIENTED_EDGE('',*,*,#213392,.F.); #297773=ORIENTED_EDGE('',*,*,#213393,.F.); #297774=ORIENTED_EDGE('',*,*,#213394,.F.); #297775=ORIENTED_EDGE('',*,*,#213395,.F.); #297776=ORIENTED_EDGE('',*,*,#213396,.F.); #297777=ORIENTED_EDGE('',*,*,#213397,.F.); #297778=ORIENTED_EDGE('',*,*,#213398,.F.); #297779=ORIENTED_EDGE('',*,*,#213399,.F.); #297780=ORIENTED_EDGE('',*,*,#213400,.F.); #297781=ORIENTED_EDGE('',*,*,#213399,.T.); #297782=ORIENTED_EDGE('',*,*,#213401,.T.); #297783=ORIENTED_EDGE('',*,*,#213394,.T.); #297784=ORIENTED_EDGE('',*,*,#213402,.T.); #297785=ORIENTED_EDGE('',*,*,#213403,.F.); #297786=ORIENTED_EDGE('',*,*,#213404,.F.); #297787=ORIENTED_EDGE('',*,*,#213405,.F.); #297788=ORIENTED_EDGE('',*,*,#213406,.F.); #297789=ORIENTED_EDGE('',*,*,#213407,.F.); #297790=ORIENTED_EDGE('',*,*,#213408,.F.); #297791=ORIENTED_EDGE('',*,*,#213393,.T.); #297792=ORIENTED_EDGE('',*,*,#213409,.T.); #297793=ORIENTED_EDGE('',*,*,#213407,.T.); #297794=ORIENTED_EDGE('',*,*,#213410,.T.); #297795=ORIENTED_EDGE('',*,*,#213396,.T.); #297796=ORIENTED_EDGE('',*,*,#213411,.T.); #297797=ORIENTED_EDGE('',*,*,#213408,.T.); #297798=ORIENTED_EDGE('',*,*,#213412,.T.); #297799=ORIENTED_EDGE('',*,*,#213413,.F.); #297800=ORIENTED_EDGE('',*,*,#213414,.F.); #297801=ORIENTED_EDGE('',*,*,#213415,.F.); #297802=ORIENTED_EDGE('',*,*,#213414,.T.); #297803=ORIENTED_EDGE('',*,*,#213416,.T.); #297804=ORIENTED_EDGE('',*,*,#213400,.T.); #297805=ORIENTED_EDGE('',*,*,#213417,.T.); #297806=ORIENTED_EDGE('',*,*,#213406,.T.); #297807=ORIENTED_EDGE('',*,*,#213418,.T.); #297808=ORIENTED_EDGE('',*,*,#213415,.T.); #297809=ORIENTED_EDGE('',*,*,#213419,.T.); #297810=ORIENTED_EDGE('',*,*,#213404,.T.); #297811=ORIENTED_EDGE('',*,*,#213420,.T.); #297812=ORIENTED_EDGE('',*,*,#213413,.T.); #297813=ORIENTED_EDGE('',*,*,#213421,.T.); #297814=ORIENTED_EDGE('',*,*,#213395,.T.); #297815=ORIENTED_EDGE('',*,*,#213422,.T.); #297816=ORIENTED_EDGE('',*,*,#213405,.T.); #297817=ORIENTED_EDGE('',*,*,#213423,.T.); #297818=ORIENTED_EDGE('',*,*,#213403,.T.); #297819=ORIENTED_EDGE('',*,*,#213424,.T.); #297820=ORIENTED_EDGE('',*,*,#213391,.T.); #297821=ORIENTED_EDGE('',*,*,#213425,.T.); #297822=ORIENTED_EDGE('',*,*,#213390,.T.); #297823=ORIENTED_EDGE('',*,*,#213426,.T.); #297824=ORIENTED_EDGE('',*,*,#213398,.T.); #297825=ORIENTED_EDGE('',*,*,#213427,.T.); #297826=ORIENTED_EDGE('',*,*,#213386,.T.); #297827=ORIENTED_EDGE('',*,*,#213428,.T.); #297828=ORIENTED_EDGE('',*,*,#213397,.T.); #297829=ORIENTED_EDGE('',*,*,#213429,.T.); #297830=ORIENTED_EDGE('',*,*,#213387,.T.); #297831=ORIENTED_EDGE('',*,*,#213430,.T.); #297832=ORIENTED_EDGE('',*,*,#213392,.T.); #297833=ORIENTED_EDGE('',*,*,#213431,.T.); #297834=ORIENTED_EDGE('',*,*,#213389,.T.); #297835=ORIENTED_EDGE('',*,*,#213432,.T.); #297836=ORIENTED_EDGE('',*,*,#213388,.T.); #297837=ORIENTED_EDGE('',*,*,#213433,.T.); #297838=ORIENTED_EDGE('',*,*,#213401,.F.); #297839=ORIENTED_EDGE('',*,*,#213426,.F.); #297840=ORIENTED_EDGE('',*,*,#213433,.F.); #297841=ORIENTED_EDGE('',*,*,#213431,.F.); #297842=ORIENTED_EDGE('',*,*,#213402,.F.); #297843=ORIENTED_EDGE('',*,*,#213410,.F.); #297844=ORIENTED_EDGE('',*,*,#213419,.F.); #297845=ORIENTED_EDGE('',*,*,#213417,.F.); #297846=ORIENTED_EDGE('',*,*,#213409,.F.); #297847=ORIENTED_EDGE('',*,*,#213430,.F.); #297848=ORIENTED_EDGE('',*,*,#213429,.F.); #297849=ORIENTED_EDGE('',*,*,#213412,.F.); #297850=ORIENTED_EDGE('',*,*,#213411,.F.); #297851=ORIENTED_EDGE('',*,*,#213423,.F.); #297852=ORIENTED_EDGE('',*,*,#213421,.F.); #297853=ORIENTED_EDGE('',*,*,#213418,.F.); #297854=ORIENTED_EDGE('',*,*,#213416,.F.); #297855=ORIENTED_EDGE('',*,*,#213420,.F.); #297856=ORIENTED_EDGE('',*,*,#213425,.F.); #297857=ORIENTED_EDGE('',*,*,#213427,.F.); #297858=ORIENTED_EDGE('',*,*,#213422,.F.); #297859=ORIENTED_EDGE('',*,*,#213428,.F.); #297860=ORIENTED_EDGE('',*,*,#213432,.F.); #297861=ORIENTED_EDGE('',*,*,#213424,.F.); #297862=ORIENTED_EDGE('',*,*,#213434,.F.); #297863=ORIENTED_EDGE('',*,*,#213435,.T.); #297864=ORIENTED_EDGE('',*,*,#213436,.F.); #297865=ORIENTED_EDGE('',*,*,#213437,.F.); #297866=ORIENTED_EDGE('',*,*,#213438,.T.); #297867=ORIENTED_EDGE('',*,*,#213437,.T.); #297868=ORIENTED_EDGE('',*,*,#213439,.F.); #297869=ORIENTED_EDGE('',*,*,#213440,.F.); #297870=ORIENTED_EDGE('',*,*,#213441,.T.); #297871=ORIENTED_EDGE('',*,*,#213440,.T.); #297872=ORIENTED_EDGE('',*,*,#213442,.F.); #297873=ORIENTED_EDGE('',*,*,#213443,.F.); #297874=ORIENTED_EDGE('',*,*,#213444,.T.); #297875=ORIENTED_EDGE('',*,*,#213443,.T.); #297876=ORIENTED_EDGE('',*,*,#213445,.F.); #297877=ORIENTED_EDGE('',*,*,#213435,.F.); #297878=ORIENTED_EDGE('',*,*,#213445,.T.); #297879=ORIENTED_EDGE('',*,*,#213442,.T.); #297880=ORIENTED_EDGE('',*,*,#213439,.T.); #297881=ORIENTED_EDGE('',*,*,#213436,.T.); #297882=ORIENTED_EDGE('',*,*,#213444,.F.); #297883=ORIENTED_EDGE('',*,*,#213434,.T.); #297884=ORIENTED_EDGE('',*,*,#213438,.F.); #297885=ORIENTED_EDGE('',*,*,#213441,.F.); #297886=ORIENTED_EDGE('',*,*,#213446,.F.); #297887=ORIENTED_EDGE('',*,*,#213447,.F.); #297888=ORIENTED_EDGE('',*,*,#213448,.F.); #297889=ORIENTED_EDGE('',*,*,#213449,.F.); #297890=ORIENTED_EDGE('',*,*,#213450,.T.); #297891=ORIENTED_EDGE('',*,*,#213451,.T.); #297892=ORIENTED_EDGE('',*,*,#213452,.T.); #297893=ORIENTED_EDGE('',*,*,#213453,.T.); #297894=ORIENTED_EDGE('',*,*,#213450,.F.); #297895=ORIENTED_EDGE('',*,*,#213454,.T.); #297896=ORIENTED_EDGE('',*,*,#213446,.T.); #297897=ORIENTED_EDGE('',*,*,#213455,.F.); #297898=ORIENTED_EDGE('',*,*,#213451,.F.); #297899=ORIENTED_EDGE('',*,*,#213455,.T.); #297900=ORIENTED_EDGE('',*,*,#213449,.T.); #297901=ORIENTED_EDGE('',*,*,#213456,.F.); #297902=ORIENTED_EDGE('',*,*,#213452,.F.); #297903=ORIENTED_EDGE('',*,*,#213456,.T.); #297904=ORIENTED_EDGE('',*,*,#213448,.T.); #297905=ORIENTED_EDGE('',*,*,#213457,.F.); #297906=ORIENTED_EDGE('',*,*,#213453,.F.); #297907=ORIENTED_EDGE('',*,*,#213457,.T.); #297908=ORIENTED_EDGE('',*,*,#213447,.T.); #297909=ORIENTED_EDGE('',*,*,#213454,.F.); #297910=ORIENTED_EDGE('',*,*,#213458,.T.); #297911=ORIENTED_EDGE('',*,*,#213459,.T.); #297912=ORIENTED_EDGE('',*,*,#213460,.F.); #297913=ORIENTED_EDGE('',*,*,#213461,.F.); #297914=ORIENTED_EDGE('',*,*,#213462,.T.); #297915=ORIENTED_EDGE('',*,*,#213461,.T.); #297916=ORIENTED_EDGE('',*,*,#213463,.F.); #297917=ORIENTED_EDGE('',*,*,#213464,.F.); #297918=ORIENTED_EDGE('',*,*,#213465,.T.); #297919=ORIENTED_EDGE('',*,*,#213464,.T.); #297920=ORIENTED_EDGE('',*,*,#213466,.F.); #297921=ORIENTED_EDGE('',*,*,#213467,.F.); #297922=ORIENTED_EDGE('',*,*,#213468,.T.); #297923=ORIENTED_EDGE('',*,*,#213467,.T.); #297924=ORIENTED_EDGE('',*,*,#213469,.F.); #297925=ORIENTED_EDGE('',*,*,#213459,.F.); #297926=ORIENTED_EDGE('',*,*,#213469,.T.); #297927=ORIENTED_EDGE('',*,*,#213466,.T.); #297928=ORIENTED_EDGE('',*,*,#213463,.T.); #297929=ORIENTED_EDGE('',*,*,#213460,.T.); #297930=ORIENTED_EDGE('',*,*,#213468,.F.); #297931=ORIENTED_EDGE('',*,*,#213458,.F.); #297932=ORIENTED_EDGE('',*,*,#213462,.F.); #297933=ORIENTED_EDGE('',*,*,#213465,.F.); #297934=ORIENTED_EDGE('',*,*,#213470,.F.); #297935=ORIENTED_EDGE('',*,*,#213471,.F.); #297936=ORIENTED_EDGE('',*,*,#213472,.F.); #297937=ORIENTED_EDGE('',*,*,#213473,.F.); #297938=ORIENTED_EDGE('',*,*,#213474,.T.); #297939=ORIENTED_EDGE('',*,*,#213475,.T.); #297940=ORIENTED_EDGE('',*,*,#213476,.T.); #297941=ORIENTED_EDGE('',*,*,#213477,.F.); #297942=ORIENTED_EDGE('',*,*,#213478,.T.); #297943=ORIENTED_EDGE('',*,*,#213479,.F.); #297944=ORIENTED_EDGE('',*,*,#213480,.F.); #297945=ORIENTED_EDGE('',*,*,#213481,.T.); #297946=ORIENTED_EDGE('',*,*,#213482,.F.); #297947=ORIENTED_EDGE('',*,*,#213483,.F.); #297948=ORIENTED_EDGE('',*,*,#213484,.F.); #297949=ORIENTED_EDGE('',*,*,#213485,.T.); #297950=ORIENTED_EDGE('',*,*,#213486,.F.); #297951=ORIENTED_EDGE('',*,*,#213487,.F.); #297952=ORIENTED_EDGE('',*,*,#213488,.T.); #297953=ORIENTED_EDGE('',*,*,#213489,.T.); #297954=ORIENTED_EDGE('',*,*,#213490,.F.); #297955=ORIENTED_EDGE('',*,*,#213491,.T.); #297956=ORIENTED_EDGE('',*,*,#213492,.T.); #297957=ORIENTED_EDGE('',*,*,#213493,.F.); #297958=ORIENTED_EDGE('',*,*,#213494,.T.); #297959=ORIENTED_EDGE('',*,*,#213495,.T.); #297960=ORIENTED_EDGE('',*,*,#213496,.F.); #297961=ORIENTED_EDGE('',*,*,#213497,.F.); #297962=ORIENTED_EDGE('',*,*,#213498,.F.); #297963=ORIENTED_EDGE('',*,*,#213499,.F.); #297964=ORIENTED_EDGE('',*,*,#213500,.F.); #297965=ORIENTED_EDGE('',*,*,#213501,.F.); #297966=ORIENTED_EDGE('',*,*,#213502,.T.); #297967=ORIENTED_EDGE('',*,*,#213503,.T.); #297968=ORIENTED_EDGE('',*,*,#213504,.T.); #297969=ORIENTED_EDGE('',*,*,#213505,.F.); #297970=ORIENTED_EDGE('',*,*,#213506,.F.); #297971=ORIENTED_EDGE('',*,*,#213505,.T.); #297972=ORIENTED_EDGE('',*,*,#213507,.T.); #297973=ORIENTED_EDGE('',*,*,#213491,.F.); #297974=ORIENTED_EDGE('',*,*,#213508,.F.); #297975=ORIENTED_EDGE('',*,*,#213495,.F.); #297976=ORIENTED_EDGE('',*,*,#213509,.T.); #297977=ORIENTED_EDGE('',*,*,#213501,.T.); #297978=ORIENTED_EDGE('',*,*,#213510,.F.); #297979=ORIENTED_EDGE('',*,*,#213511,.T.); #297980=ORIENTED_EDGE('',*,*,#213512,.T.); #297981=ORIENTED_EDGE('',*,*,#213513,.T.); #297982=ORIENTED_EDGE('',*,*,#213514,.T.); #297983=ORIENTED_EDGE('',*,*,#213515,.F.); #297984=ORIENTED_EDGE('',*,*,#213516,.T.); #297985=ORIENTED_EDGE('',*,*,#213517,.T.); #297986=ORIENTED_EDGE('',*,*,#213518,.T.); #297987=ORIENTED_EDGE('',*,*,#213519,.F.); #297988=ORIENTED_EDGE('',*,*,#213520,.F.); #297989=ORIENTED_EDGE('',*,*,#213521,.T.); #297990=ORIENTED_EDGE('',*,*,#213522,.T.); #297991=ORIENTED_EDGE('',*,*,#213523,.F.); #297992=ORIENTED_EDGE('',*,*,#213524,.T.); #297993=ORIENTED_EDGE('',*,*,#213525,.F.); #297994=ORIENTED_EDGE('',*,*,#213526,.F.); #297995=ORIENTED_EDGE('',*,*,#213524,.F.); #297996=ORIENTED_EDGE('',*,*,#213527,.F.); #297997=ORIENTED_EDGE('',*,*,#213528,.F.); #297998=ORIENTED_EDGE('',*,*,#213529,.F.); #297999=ORIENTED_EDGE('',*,*,#213530,.T.); #298000=ORIENTED_EDGE('',*,*,#213531,.T.); #298001=ORIENTED_EDGE('',*,*,#213532,.T.); #298002=ORIENTED_EDGE('',*,*,#213533,.F.); #298003=ORIENTED_EDGE('',*,*,#213534,.F.); #298004=ORIENTED_EDGE('',*,*,#213535,.F.); #298005=ORIENTED_EDGE('',*,*,#213536,.F.); #298006=ORIENTED_EDGE('',*,*,#213537,.F.); #298007=ORIENTED_EDGE('',*,*,#213538,.F.); #298008=ORIENTED_EDGE('',*,*,#213539,.F.); #298009=ORIENTED_EDGE('',*,*,#213540,.F.); #298010=ORIENTED_EDGE('',*,*,#213541,.F.); #298011=ORIENTED_EDGE('',*,*,#213542,.F.); #298012=ORIENTED_EDGE('',*,*,#213543,.F.); #298013=ORIENTED_EDGE('',*,*,#213544,.F.); #298014=ORIENTED_EDGE('',*,*,#213545,.F.); #298015=ORIENTED_EDGE('',*,*,#213546,.F.); #298016=ORIENTED_EDGE('',*,*,#213547,.F.); #298017=ORIENTED_EDGE('',*,*,#213548,.F.); #298018=ORIENTED_EDGE('',*,*,#213549,.T.); #298019=ORIENTED_EDGE('',*,*,#213550,.T.); #298020=ORIENTED_EDGE('',*,*,#213551,.F.); #298021=ORIENTED_EDGE('',*,*,#213552,.F.); #298022=ORIENTED_EDGE('',*,*,#213553,.T.); #298023=ORIENTED_EDGE('',*,*,#213554,.T.); #298024=ORIENTED_EDGE('',*,*,#213555,.T.); #298025=ORIENTED_EDGE('',*,*,#213556,.F.); #298026=ORIENTED_EDGE('',*,*,#213557,.T.); #298027=ORIENTED_EDGE('',*,*,#213558,.F.); #298028=ORIENTED_EDGE('',*,*,#213559,.T.); #298029=ORIENTED_EDGE('',*,*,#213553,.F.); #298030=ORIENTED_EDGE('',*,*,#213560,.T.); #298031=ORIENTED_EDGE('',*,*,#213561,.F.); #298032=ORIENTED_EDGE('',*,*,#213562,.F.); #298033=ORIENTED_EDGE('',*,*,#213563,.F.); #298034=ORIENTED_EDGE('',*,*,#213564,.T.); #298035=ORIENTED_EDGE('',*,*,#213565,.F.); #298036=ORIENTED_EDGE('',*,*,#213566,.T.); #298037=ORIENTED_EDGE('',*,*,#213567,.T.); #298038=ORIENTED_EDGE('',*,*,#213568,.T.); #298039=ORIENTED_EDGE('',*,*,#213569,.F.); #298040=ORIENTED_EDGE('',*,*,#213570,.T.); #298041=ORIENTED_EDGE('',*,*,#213571,.T.); #298042=ORIENTED_EDGE('',*,*,#213572,.T.); #298043=ORIENTED_EDGE('',*,*,#213573,.F.); #298044=ORIENTED_EDGE('',*,*,#213574,.T.); #298045=ORIENTED_EDGE('',*,*,#213575,.T.); #298046=ORIENTED_EDGE('',*,*,#213576,.T.); #298047=ORIENTED_EDGE('',*,*,#213577,.F.); #298048=ORIENTED_EDGE('',*,*,#213578,.T.); #298049=ORIENTED_EDGE('',*,*,#213579,.T.); #298050=ORIENTED_EDGE('',*,*,#213580,.T.); #298051=ORIENTED_EDGE('',*,*,#213581,.F.); #298052=ORIENTED_EDGE('',*,*,#213582,.T.); #298053=ORIENTED_EDGE('',*,*,#213583,.T.); #298054=ORIENTED_EDGE('',*,*,#213584,.T.); #298055=ORIENTED_EDGE('',*,*,#213585,.F.); #298056=ORIENTED_EDGE('',*,*,#213586,.T.); #298057=ORIENTED_EDGE('',*,*,#213587,.T.); #298058=ORIENTED_EDGE('',*,*,#213588,.T.); #298059=ORIENTED_EDGE('',*,*,#213589,.F.); #298060=ORIENTED_EDGE('',*,*,#213590,.T.); #298061=ORIENTED_EDGE('',*,*,#213591,.T.); #298062=ORIENTED_EDGE('',*,*,#213592,.T.); #298063=ORIENTED_EDGE('',*,*,#213593,.F.); #298064=ORIENTED_EDGE('',*,*,#213594,.T.); #298065=ORIENTED_EDGE('',*,*,#213595,.T.); #298066=ORIENTED_EDGE('',*,*,#213596,.T.); #298067=ORIENTED_EDGE('',*,*,#213597,.F.); #298068=ORIENTED_EDGE('',*,*,#213598,.T.); #298069=ORIENTED_EDGE('',*,*,#213599,.T.); #298070=ORIENTED_EDGE('',*,*,#213600,.T.); #298071=ORIENTED_EDGE('',*,*,#213601,.F.); #298072=ORIENTED_EDGE('',*,*,#213602,.T.); #298073=ORIENTED_EDGE('',*,*,#213603,.T.); #298074=ORIENTED_EDGE('',*,*,#213604,.T.); #298075=ORIENTED_EDGE('',*,*,#213605,.F.); #298076=ORIENTED_EDGE('',*,*,#213606,.T.); #298077=ORIENTED_EDGE('',*,*,#213607,.T.); #298078=ORIENTED_EDGE('',*,*,#213608,.T.); #298079=ORIENTED_EDGE('',*,*,#213609,.F.); #298080=ORIENTED_EDGE('',*,*,#213610,.T.); #298081=ORIENTED_EDGE('',*,*,#213611,.T.); #298082=ORIENTED_EDGE('',*,*,#213612,.T.); #298083=ORIENTED_EDGE('',*,*,#213611,.F.); #298084=ORIENTED_EDGE('',*,*,#213613,.T.); #298085=ORIENTED_EDGE('',*,*,#213614,.T.); #298086=ORIENTED_EDGE('',*,*,#213615,.F.); #298087=ORIENTED_EDGE('',*,*,#213614,.F.); #298088=ORIENTED_EDGE('',*,*,#213616,.T.); #298089=ORIENTED_EDGE('',*,*,#213617,.T.); #298090=ORIENTED_EDGE('',*,*,#213618,.F.); #298091=ORIENTED_EDGE('',*,*,#213619,.F.); #298092=ORIENTED_EDGE('',*,*,#213620,.T.); #298093=ORIENTED_EDGE('',*,*,#213621,.T.); #298094=ORIENTED_EDGE('',*,*,#213622,.F.); #298095=ORIENTED_EDGE('',*,*,#213621,.F.); #298096=ORIENTED_EDGE('',*,*,#213623,.T.); #298097=ORIENTED_EDGE('',*,*,#213605,.T.); #298098=ORIENTED_EDGE('',*,*,#213624,.F.); #298099=ORIENTED_EDGE('',*,*,#213607,.F.); #298100=ORIENTED_EDGE('',*,*,#213625,.T.); #298101=ORIENTED_EDGE('',*,*,#213626,.T.); #298102=ORIENTED_EDGE('',*,*,#213627,.F.); #298103=ORIENTED_EDGE('',*,*,#213626,.F.); #298104=ORIENTED_EDGE('',*,*,#213628,.T.); #298105=ORIENTED_EDGE('',*,*,#213629,.T.); #298106=ORIENTED_EDGE('',*,*,#213630,.F.); #298107=ORIENTED_EDGE('',*,*,#213631,.F.); #298108=ORIENTED_EDGE('',*,*,#213632,.T.); #298109=ORIENTED_EDGE('',*,*,#213633,.T.); #298110=ORIENTED_EDGE('',*,*,#213634,.F.); #298111=ORIENTED_EDGE('',*,*,#213633,.F.); #298112=ORIENTED_EDGE('',*,*,#213635,.T.); #298113=ORIENTED_EDGE('',*,*,#213601,.T.); #298114=ORIENTED_EDGE('',*,*,#213636,.F.); #298115=ORIENTED_EDGE('',*,*,#213603,.F.); #298116=ORIENTED_EDGE('',*,*,#213637,.T.); #298117=ORIENTED_EDGE('',*,*,#213638,.T.); #298118=ORIENTED_EDGE('',*,*,#213639,.F.); #298119=ORIENTED_EDGE('',*,*,#213638,.F.); #298120=ORIENTED_EDGE('',*,*,#213640,.T.); #298121=ORIENTED_EDGE('',*,*,#213641,.T.); #298122=ORIENTED_EDGE('',*,*,#213642,.F.); #298123=ORIENTED_EDGE('',*,*,#213643,.F.); #298124=ORIENTED_EDGE('',*,*,#213644,.T.); #298125=ORIENTED_EDGE('',*,*,#213645,.T.); #298126=ORIENTED_EDGE('',*,*,#213646,.F.); #298127=ORIENTED_EDGE('',*,*,#213645,.F.); #298128=ORIENTED_EDGE('',*,*,#213647,.T.); #298129=ORIENTED_EDGE('',*,*,#213597,.T.); #298130=ORIENTED_EDGE('',*,*,#213648,.F.); #298131=ORIENTED_EDGE('',*,*,#213599,.F.); #298132=ORIENTED_EDGE('',*,*,#213649,.T.); #298133=ORIENTED_EDGE('',*,*,#213650,.T.); #298134=ORIENTED_EDGE('',*,*,#213651,.F.); #298135=ORIENTED_EDGE('',*,*,#213650,.F.); #298136=ORIENTED_EDGE('',*,*,#213652,.T.); #298137=ORIENTED_EDGE('',*,*,#213653,.T.); #298138=ORIENTED_EDGE('',*,*,#213654,.F.); #298139=ORIENTED_EDGE('',*,*,#213655,.F.); #298140=ORIENTED_EDGE('',*,*,#213656,.T.); #298141=ORIENTED_EDGE('',*,*,#213657,.T.); #298142=ORIENTED_EDGE('',*,*,#213658,.F.); #298143=ORIENTED_EDGE('',*,*,#213657,.F.); #298144=ORIENTED_EDGE('',*,*,#213659,.T.); #298145=ORIENTED_EDGE('',*,*,#213593,.T.); #298146=ORIENTED_EDGE('',*,*,#213660,.F.); #298147=ORIENTED_EDGE('',*,*,#213595,.F.); #298148=ORIENTED_EDGE('',*,*,#213661,.T.); #298149=ORIENTED_EDGE('',*,*,#213662,.T.); #298150=ORIENTED_EDGE('',*,*,#213663,.F.); #298151=ORIENTED_EDGE('',*,*,#213662,.F.); #298152=ORIENTED_EDGE('',*,*,#213664,.T.); #298153=ORIENTED_EDGE('',*,*,#213665,.T.); #298154=ORIENTED_EDGE('',*,*,#213666,.F.); #298155=ORIENTED_EDGE('',*,*,#213667,.F.); #298156=ORIENTED_EDGE('',*,*,#213668,.T.); #298157=ORIENTED_EDGE('',*,*,#213669,.T.); #298158=ORIENTED_EDGE('',*,*,#213670,.F.); #298159=ORIENTED_EDGE('',*,*,#213669,.F.); #298160=ORIENTED_EDGE('',*,*,#213671,.T.); #298161=ORIENTED_EDGE('',*,*,#213589,.T.); #298162=ORIENTED_EDGE('',*,*,#213672,.F.); #298163=ORIENTED_EDGE('',*,*,#213591,.F.); #298164=ORIENTED_EDGE('',*,*,#213673,.T.); #298165=ORIENTED_EDGE('',*,*,#213674,.T.); #298166=ORIENTED_EDGE('',*,*,#213675,.F.); #298167=ORIENTED_EDGE('',*,*,#213674,.F.); #298168=ORIENTED_EDGE('',*,*,#213676,.T.); #298169=ORIENTED_EDGE('',*,*,#213677,.T.); #298170=ORIENTED_EDGE('',*,*,#213678,.F.); #298171=ORIENTED_EDGE('',*,*,#213679,.F.); #298172=ORIENTED_EDGE('',*,*,#213680,.T.); #298173=ORIENTED_EDGE('',*,*,#213681,.T.); #298174=ORIENTED_EDGE('',*,*,#213682,.F.); #298175=ORIENTED_EDGE('',*,*,#213681,.F.); #298176=ORIENTED_EDGE('',*,*,#213683,.T.); #298177=ORIENTED_EDGE('',*,*,#213565,.T.); #298178=ORIENTED_EDGE('',*,*,#213684,.F.); #298179=ORIENTED_EDGE('',*,*,#213587,.F.); #298180=ORIENTED_EDGE('',*,*,#213685,.T.); #298181=ORIENTED_EDGE('',*,*,#213686,.T.); #298182=ORIENTED_EDGE('',*,*,#213687,.F.); #298183=ORIENTED_EDGE('',*,*,#213686,.F.); #298184=ORIENTED_EDGE('',*,*,#213688,.T.); #298185=ORIENTED_EDGE('',*,*,#213689,.T.); #298186=ORIENTED_EDGE('',*,*,#213690,.F.); #298187=ORIENTED_EDGE('',*,*,#213691,.F.); #298188=ORIENTED_EDGE('',*,*,#213585,.T.); #298189=ORIENTED_EDGE('',*,*,#213692,.T.); #298190=ORIENTED_EDGE('',*,*,#213693,.F.); #298191=ORIENTED_EDGE('',*,*,#213694,.F.); #298192=ORIENTED_EDGE('',*,*,#213693,.T.); #298193=ORIENTED_EDGE('',*,*,#213695,.T.); #298194=ORIENTED_EDGE('',*,*,#213696,.F.); #298195=ORIENTED_EDGE('',*,*,#213697,.F.); #298196=ORIENTED_EDGE('',*,*,#213698,.T.); #298197=ORIENTED_EDGE('',*,*,#213699,.T.); #298198=ORIENTED_EDGE('',*,*,#213700,.F.); #298199=ORIENTED_EDGE('',*,*,#213701,.F.); #298200=ORIENTED_EDGE('',*,*,#213700,.T.); #298201=ORIENTED_EDGE('',*,*,#213702,.T.); #298202=ORIENTED_EDGE('',*,*,#213583,.F.); #298203=ORIENTED_EDGE('',*,*,#213703,.F.); #298204=ORIENTED_EDGE('',*,*,#213581,.T.); #298205=ORIENTED_EDGE('',*,*,#213704,.T.); #298206=ORIENTED_EDGE('',*,*,#213705,.F.); #298207=ORIENTED_EDGE('',*,*,#213706,.F.); #298208=ORIENTED_EDGE('',*,*,#213705,.T.); #298209=ORIENTED_EDGE('',*,*,#213707,.T.); #298210=ORIENTED_EDGE('',*,*,#213708,.F.); #298211=ORIENTED_EDGE('',*,*,#213709,.F.); #298212=ORIENTED_EDGE('',*,*,#213710,.T.); #298213=ORIENTED_EDGE('',*,*,#213711,.T.); #298214=ORIENTED_EDGE('',*,*,#213712,.F.); #298215=ORIENTED_EDGE('',*,*,#213713,.F.); #298216=ORIENTED_EDGE('',*,*,#213712,.T.); #298217=ORIENTED_EDGE('',*,*,#213714,.T.); #298218=ORIENTED_EDGE('',*,*,#213579,.F.); #298219=ORIENTED_EDGE('',*,*,#213715,.F.); #298220=ORIENTED_EDGE('',*,*,#213577,.T.); #298221=ORIENTED_EDGE('',*,*,#213716,.T.); #298222=ORIENTED_EDGE('',*,*,#213717,.F.); #298223=ORIENTED_EDGE('',*,*,#213718,.F.); #298224=ORIENTED_EDGE('',*,*,#213717,.T.); #298225=ORIENTED_EDGE('',*,*,#213719,.T.); #298226=ORIENTED_EDGE('',*,*,#213720,.F.); #298227=ORIENTED_EDGE('',*,*,#213721,.F.); #298228=ORIENTED_EDGE('',*,*,#213722,.T.); #298229=ORIENTED_EDGE('',*,*,#213723,.T.); #298230=ORIENTED_EDGE('',*,*,#213724,.F.); #298231=ORIENTED_EDGE('',*,*,#213725,.F.); #298232=ORIENTED_EDGE('',*,*,#213724,.T.); #298233=ORIENTED_EDGE('',*,*,#213726,.T.); #298234=ORIENTED_EDGE('',*,*,#213575,.F.); #298235=ORIENTED_EDGE('',*,*,#213727,.F.); #298236=ORIENTED_EDGE('',*,*,#213573,.T.); #298237=ORIENTED_EDGE('',*,*,#213728,.T.); #298238=ORIENTED_EDGE('',*,*,#213729,.F.); #298239=ORIENTED_EDGE('',*,*,#213730,.F.); #298240=ORIENTED_EDGE('',*,*,#213729,.T.); #298241=ORIENTED_EDGE('',*,*,#213731,.T.); #298242=ORIENTED_EDGE('',*,*,#213732,.F.); #298243=ORIENTED_EDGE('',*,*,#213733,.F.); #298244=ORIENTED_EDGE('',*,*,#213734,.T.); #298245=ORIENTED_EDGE('',*,*,#213735,.T.); #298246=ORIENTED_EDGE('',*,*,#213736,.F.); #298247=ORIENTED_EDGE('',*,*,#213737,.F.); #298248=ORIENTED_EDGE('',*,*,#213736,.T.); #298249=ORIENTED_EDGE('',*,*,#213738,.T.); #298250=ORIENTED_EDGE('',*,*,#213571,.F.); #298251=ORIENTED_EDGE('',*,*,#213739,.F.); #298252=ORIENTED_EDGE('',*,*,#213569,.T.); #298253=ORIENTED_EDGE('',*,*,#213740,.T.); #298254=ORIENTED_EDGE('',*,*,#213741,.F.); #298255=ORIENTED_EDGE('',*,*,#213742,.F.); #298256=ORIENTED_EDGE('',*,*,#213741,.T.); #298257=ORIENTED_EDGE('',*,*,#213743,.T.); #298258=ORIENTED_EDGE('',*,*,#213744,.F.); #298259=ORIENTED_EDGE('',*,*,#213745,.F.); #298260=ORIENTED_EDGE('',*,*,#213746,.T.); #298261=ORIENTED_EDGE('',*,*,#213747,.T.); #298262=ORIENTED_EDGE('',*,*,#213748,.F.); #298263=ORIENTED_EDGE('',*,*,#213749,.F.); #298264=ORIENTED_EDGE('',*,*,#213748,.T.); #298265=ORIENTED_EDGE('',*,*,#213750,.T.); #298266=ORIENTED_EDGE('',*,*,#213567,.F.); #298267=ORIENTED_EDGE('',*,*,#213751,.F.); #298268=ORIENTED_EDGE('',*,*,#213609,.T.); #298269=ORIENTED_EDGE('',*,*,#213752,.T.); #298270=ORIENTED_EDGE('',*,*,#213753,.F.); #298271=ORIENTED_EDGE('',*,*,#213754,.F.); #298272=ORIENTED_EDGE('',*,*,#213753,.T.); #298273=ORIENTED_EDGE('',*,*,#213755,.T.); #298274=ORIENTED_EDGE('',*,*,#213756,.F.); #298275=ORIENTED_EDGE('',*,*,#213757,.T.); #298276=ORIENTED_EDGE('',*,*,#213758,.T.); #298277=ORIENTED_EDGE('',*,*,#213759,.T.); #298278=ORIENTED_EDGE('',*,*,#213472,.T.); #298279=ORIENTED_EDGE('',*,*,#213552,.T.); #298280=ORIENTED_EDGE('',*,*,#213760,.T.); #298281=ORIENTED_EDGE('',*,*,#213761,.F.); #298282=ORIENTED_EDGE('',*,*,#213757,.F.); #298283=ORIENTED_EDGE('',*,*,#213762,.F.); #298284=ORIENTED_EDGE('',*,*,#213763,.T.); #298285=ORIENTED_EDGE('',*,*,#213764,.T.); #298286=ORIENTED_EDGE('',*,*,#213765,.T.); #298287=ORIENTED_EDGE('',*,*,#213766,.F.); #298288=ORIENTED_EDGE('',*,*,#213765,.F.); #298289=ORIENTED_EDGE('',*,*,#213767,.F.); #298290=ORIENTED_EDGE('',*,*,#213555,.F.); #298291=ORIENTED_EDGE('',*,*,#213474,.F.); #298292=ORIENTED_EDGE('',*,*,#213768,.F.); #298293=ORIENTED_EDGE('',*,*,#213752,.F.); #298294=ORIENTED_EDGE('',*,*,#213612,.F.); #298295=ORIENTED_EDGE('',*,*,#213615,.T.); #298296=ORIENTED_EDGE('',*,*,#213618,.T.); #298297=ORIENTED_EDGE('',*,*,#213769,.T.); #298298=ORIENTED_EDGE('',*,*,#213622,.T.); #298299=ORIENTED_EDGE('',*,*,#213624,.T.); #298300=ORIENTED_EDGE('',*,*,#213608,.F.); #298301=ORIENTED_EDGE('',*,*,#213627,.T.); #298302=ORIENTED_EDGE('',*,*,#213630,.T.); #298303=ORIENTED_EDGE('',*,*,#213770,.T.); #298304=ORIENTED_EDGE('',*,*,#213634,.T.); #298305=ORIENTED_EDGE('',*,*,#213636,.T.); #298306=ORIENTED_EDGE('',*,*,#213604,.F.); #298307=ORIENTED_EDGE('',*,*,#213639,.T.); #298308=ORIENTED_EDGE('',*,*,#213642,.T.); #298309=ORIENTED_EDGE('',*,*,#213771,.T.); #298310=ORIENTED_EDGE('',*,*,#213646,.T.); #298311=ORIENTED_EDGE('',*,*,#213648,.T.); #298312=ORIENTED_EDGE('',*,*,#213600,.F.); #298313=ORIENTED_EDGE('',*,*,#213651,.T.); #298314=ORIENTED_EDGE('',*,*,#213654,.T.); #298315=ORIENTED_EDGE('',*,*,#213772,.T.); #298316=ORIENTED_EDGE('',*,*,#213658,.T.); #298317=ORIENTED_EDGE('',*,*,#213660,.T.); #298318=ORIENTED_EDGE('',*,*,#213596,.F.); #298319=ORIENTED_EDGE('',*,*,#213663,.T.); #298320=ORIENTED_EDGE('',*,*,#213666,.T.); #298321=ORIENTED_EDGE('',*,*,#213773,.T.); #298322=ORIENTED_EDGE('',*,*,#213670,.T.); #298323=ORIENTED_EDGE('',*,*,#213672,.T.); #298324=ORIENTED_EDGE('',*,*,#213592,.F.); #298325=ORIENTED_EDGE('',*,*,#213675,.T.); #298326=ORIENTED_EDGE('',*,*,#213678,.T.); #298327=ORIENTED_EDGE('',*,*,#213774,.T.); #298328=ORIENTED_EDGE('',*,*,#213682,.T.); #298329=ORIENTED_EDGE('',*,*,#213684,.T.); #298330=ORIENTED_EDGE('',*,*,#213568,.F.); #298331=ORIENTED_EDGE('',*,*,#213750,.F.); #298332=ORIENTED_EDGE('',*,*,#213747,.F.); #298333=ORIENTED_EDGE('',*,*,#213775,.F.); #298334=ORIENTED_EDGE('',*,*,#213743,.F.); #298335=ORIENTED_EDGE('',*,*,#213740,.F.); #298336=ORIENTED_EDGE('',*,*,#213572,.F.); #298337=ORIENTED_EDGE('',*,*,#213738,.F.); #298338=ORIENTED_EDGE('',*,*,#213735,.F.); #298339=ORIENTED_EDGE('',*,*,#213776,.F.); #298340=ORIENTED_EDGE('',*,*,#213731,.F.); #298341=ORIENTED_EDGE('',*,*,#213728,.F.); #298342=ORIENTED_EDGE('',*,*,#213576,.F.); #298343=ORIENTED_EDGE('',*,*,#213726,.F.); #298344=ORIENTED_EDGE('',*,*,#213723,.F.); #298345=ORIENTED_EDGE('',*,*,#213777,.F.); #298346=ORIENTED_EDGE('',*,*,#213719,.F.); #298347=ORIENTED_EDGE('',*,*,#213716,.F.); #298348=ORIENTED_EDGE('',*,*,#213580,.F.); #298349=ORIENTED_EDGE('',*,*,#213714,.F.); #298350=ORIENTED_EDGE('',*,*,#213711,.F.); #298351=ORIENTED_EDGE('',*,*,#213778,.F.); #298352=ORIENTED_EDGE('',*,*,#213707,.F.); #298353=ORIENTED_EDGE('',*,*,#213704,.F.); #298354=ORIENTED_EDGE('',*,*,#213584,.F.); #298355=ORIENTED_EDGE('',*,*,#213702,.F.); #298356=ORIENTED_EDGE('',*,*,#213699,.F.); #298357=ORIENTED_EDGE('',*,*,#213779,.F.); #298358=ORIENTED_EDGE('',*,*,#213695,.F.); #298359=ORIENTED_EDGE('',*,*,#213692,.F.); #298360=ORIENTED_EDGE('',*,*,#213588,.F.); #298361=ORIENTED_EDGE('',*,*,#213687,.T.); #298362=ORIENTED_EDGE('',*,*,#213690,.T.); #298363=ORIENTED_EDGE('',*,*,#213780,.T.); #298364=ORIENTED_EDGE('',*,*,#213768,.T.); #298365=ORIENTED_EDGE('',*,*,#213473,.T.); #298366=ORIENTED_EDGE('',*,*,#213759,.F.); #298367=ORIENTED_EDGE('',*,*,#213781,.F.); #298368=ORIENTED_EDGE('',*,*,#213755,.F.); #298369=ORIENTED_EDGE('',*,*,#213556,.T.); #298370=ORIENTED_EDGE('',*,*,#213767,.T.); #298371=ORIENTED_EDGE('',*,*,#213782,.T.); #298372=ORIENTED_EDGE('',*,*,#213783,.F.); #298373=ORIENTED_EDGE('',*,*,#213784,.F.); #298374=ORIENTED_EDGE('',*,*,#213785,.F.); #298375=ORIENTED_EDGE('',*,*,#213786,.T.); #298376=ORIENTED_EDGE('',*,*,#213760,.F.); #298377=ORIENTED_EDGE('',*,*,#213551,.T.); #298378=ORIENTED_EDGE('',*,*,#213787,.T.); #298379=ORIENTED_EDGE('',*,*,#213788,.T.); #298380=ORIENTED_EDGE('',*,*,#213789,.T.); #298381=ORIENTED_EDGE('',*,*,#213790,.F.); #298382=ORIENTED_EDGE('',*,*,#213791,.T.); #298383=ORIENTED_EDGE('',*,*,#213792,.F.); #298384=ORIENTED_EDGE('',*,*,#213793,.T.); #298385=ORIENTED_EDGE('',*,*,#213794,.T.); #298386=ORIENTED_EDGE('',*,*,#213795,.T.); #298387=ORIENTED_EDGE('',*,*,#213794,.F.); #298388=ORIENTED_EDGE('',*,*,#213796,.F.); #298389=ORIENTED_EDGE('',*,*,#213797,.F.); #298390=ORIENTED_EDGE('',*,*,#213798,.T.); #298391=ORIENTED_EDGE('',*,*,#213799,.T.); #298392=ORIENTED_EDGE('',*,*,#213800,.F.); #298393=ORIENTED_EDGE('',*,*,#213801,.T.); #298394=ORIENTED_EDGE('',*,*,#213802,.T.); #298395=ORIENTED_EDGE('',*,*,#213803,.F.); #298396=ORIENTED_EDGE('',*,*,#213804,.F.); #298397=ORIENTED_EDGE('',*,*,#213805,.F.); #298398=ORIENTED_EDGE('',*,*,#213806,.T.); #298399=ORIENTED_EDGE('',*,*,#213807,.T.); #298400=ORIENTED_EDGE('',*,*,#213808,.T.); #298401=ORIENTED_EDGE('',*,*,#213809,.F.); #298402=ORIENTED_EDGE('',*,*,#213810,.F.); #298403=ORIENTED_EDGE('',*,*,#213811,.T.); #298404=ORIENTED_EDGE('',*,*,#213812,.T.); #298405=ORIENTED_EDGE('',*,*,#213813,.T.); #298406=ORIENTED_EDGE('',*,*,#213814,.T.); #298407=ORIENTED_EDGE('',*,*,#213815,.F.); #298408=ORIENTED_EDGE('',*,*,#213816,.F.); #298409=ORIENTED_EDGE('',*,*,#213817,.F.); #298410=ORIENTED_EDGE('',*,*,#213818,.T.); #298411=ORIENTED_EDGE('',*,*,#213819,.T.); #298412=ORIENTED_EDGE('',*,*,#213820,.F.); #298413=ORIENTED_EDGE('',*,*,#213821,.F.); #298414=ORIENTED_EDGE('',*,*,#213822,.F.); #298415=ORIENTED_EDGE('',*,*,#213823,.T.); #298416=ORIENTED_EDGE('',*,*,#213798,.F.); #298417=ORIENTED_EDGE('',*,*,#213824,.F.); #298418=ORIENTED_EDGE('',*,*,#213825,.T.); #298419=ORIENTED_EDGE('',*,*,#213826,.T.); #298420=ORIENTED_EDGE('',*,*,#213827,.T.); #298421=ORIENTED_EDGE('',*,*,#213828,.T.); #298422=ORIENTED_EDGE('',*,*,#213829,.T.); #298423=ORIENTED_EDGE('',*,*,#213830,.T.); #298424=ORIENTED_EDGE('',*,*,#213831,.F.); #298425=ORIENTED_EDGE('',*,*,#213832,.F.); #298426=ORIENTED_EDGE('',*,*,#213833,.F.); #298427=ORIENTED_EDGE('',*,*,#213489,.F.); #298428=ORIENTED_EDGE('',*,*,#213834,.F.); #298429=ORIENTED_EDGE('',*,*,#213826,.F.); #298430=ORIENTED_EDGE('',*,*,#213835,.T.); #298431=ORIENTED_EDGE('',*,*,#213802,.F.); #298432=ORIENTED_EDGE('',*,*,#213836,.F.); #298433=ORIENTED_EDGE('',*,*,#213837,.F.); #298434=ORIENTED_EDGE('',*,*,#213814,.F.); #298435=ORIENTED_EDGE('',*,*,#213838,.T.); #298436=ORIENTED_EDGE('',*,*,#213819,.F.); #298437=ORIENTED_EDGE('',*,*,#213839,.F.); #298438=ORIENTED_EDGE('',*,*,#213840,.F.); #298439=ORIENTED_EDGE('',*,*,#213492,.F.); #298440=ORIENTED_EDGE('',*,*,#213507,.F.); #298441=ORIENTED_EDGE('',*,*,#213504,.F.); #298442=ORIENTED_EDGE('',*,*,#213841,.F.); #298443=ORIENTED_EDGE('',*,*,#213842,.T.); #298444=ORIENTED_EDGE('',*,*,#213843,.T.); #298445=ORIENTED_EDGE('',*,*,#213844,.T.); #298446=ORIENTED_EDGE('',*,*,#213845,.T.); #298447=ORIENTED_EDGE('',*,*,#213846,.T.); #298448=ORIENTED_EDGE('',*,*,#213822,.T.); #298449=ORIENTED_EDGE('',*,*,#213847,.T.); #298450=ORIENTED_EDGE('',*,*,#213848,.T.); #298451=ORIENTED_EDGE('',*,*,#213849,.F.); #298452=ORIENTED_EDGE('',*,*,#213850,.F.); #298453=ORIENTED_EDGE('',*,*,#213843,.F.); #298454=ORIENTED_EDGE('',*,*,#213851,.T.); #298455=ORIENTED_EDGE('',*,*,#213514,.F.); #298456=ORIENTED_EDGE('',*,*,#213852,.T.); #298457=ORIENTED_EDGE('',*,*,#213518,.F.); #298458=ORIENTED_EDGE('',*,*,#213853,.T.); #298459=ORIENTED_EDGE('',*,*,#213847,.F.); #298460=ORIENTED_EDGE('',*,*,#213854,.F.); #298461=ORIENTED_EDGE('',*,*,#213855,.F.); #298462=ORIENTED_EDGE('',*,*,#213830,.F.); #298463=ORIENTED_EDGE('',*,*,#213856,.F.); #298464=ORIENTED_EDGE('',*,*,#213522,.F.); #298465=ORIENTED_EDGE('',*,*,#213857,.F.); #298466=ORIENTED_EDGE('',*,*,#213858,.T.); #298467=ORIENTED_EDGE('',*,*,#213859,.T.); #298468=ORIENTED_EDGE('',*,*,#213801,.F.); #298469=ORIENTED_EDGE('',*,*,#213788,.F.); #298470=ORIENTED_EDGE('',*,*,#213860,.T.); #298471=ORIENTED_EDGE('',*,*,#213861,.T.); #298472=ORIENTED_EDGE('',*,*,#213862,.T.); #298473=ORIENTED_EDGE('',*,*,#213858,.F.); #298474=ORIENTED_EDGE('',*,*,#213863,.T.); #298475=ORIENTED_EDGE('',*,*,#213861,.F.); #298476=ORIENTED_EDGE('',*,*,#213864,.T.); #298477=ORIENTED_EDGE('',*,*,#213523,.T.); #298478=ORIENTED_EDGE('',*,*,#213865,.T.); #298479=ORIENTED_EDGE('',*,*,#213513,.F.); #298480=ORIENTED_EDGE('',*,*,#213561,.T.); #298481=ORIENTED_EDGE('',*,*,#213866,.T.); #298482=ORIENTED_EDGE('',*,*,#213867,.T.); #298483=ORIENTED_EDGE('',*,*,#213866,.F.); #298484=ORIENTED_EDGE('',*,*,#213868,.T.); #298485=ORIENTED_EDGE('',*,*,#213785,.T.); #298486=ORIENTED_EDGE('',*,*,#213869,.F.); #298487=ORIENTED_EDGE('',*,*,#213792,.T.); #298488=ORIENTED_EDGE('',*,*,#213870,.T.); #298489=ORIENTED_EDGE('',*,*,#213871,.F.); #298490=ORIENTED_EDGE('',*,*,#213872,.T.); #298491=ORIENTED_EDGE('',*,*,#213493,.T.); #298492=ORIENTED_EDGE('',*,*,#213840,.T.); #298493=ORIENTED_EDGE('',*,*,#213873,.T.); #298494=ORIENTED_EDGE('',*,*,#213874,.T.); #298495=ORIENTED_EDGE('',*,*,#213875,.T.); #298496=ORIENTED_EDGE('',*,*,#213876,.T.); #298497=ORIENTED_EDGE('',*,*,#213817,.T.); #298498=ORIENTED_EDGE('',*,*,#213877,.F.); #298499=ORIENTED_EDGE('',*,*,#213878,.F.); #298500=ORIENTED_EDGE('',*,*,#213879,.F.); #298501=ORIENTED_EDGE('',*,*,#213880,.F.); #298502=ORIENTED_EDGE('',*,*,#213824,.T.); #298503=ORIENTED_EDGE('',*,*,#213488,.F.); #298504=ORIENTED_EDGE('',*,*,#213881,.T.); #298505=ORIENTED_EDGE('',*,*,#213882,.T.); #298506=ORIENTED_EDGE('',*,*,#213883,.T.); #298507=ORIENTED_EDGE('',*,*,#213884,.F.); #298508=ORIENTED_EDGE('',*,*,#213885,.T.); #298509=ORIENTED_EDGE('',*,*,#213871,.T.); #298510=ORIENTED_EDGE('',*,*,#213834,.T.); #298511=ORIENTED_EDGE('',*,*,#213884,.T.); #298512=ORIENTED_EDGE('',*,*,#213886,.T.); #298513=ORIENTED_EDGE('',*,*,#213887,.F.); #298514=ORIENTED_EDGE('',*,*,#213888,.T.); #298515=ORIENTED_EDGE('',*,*,#213889,.T.); #298516=ORIENTED_EDGE('',*,*,#213890,.T.); #298517=ORIENTED_EDGE('',*,*,#213486,.T.); #298518=ORIENTED_EDGE('',*,*,#213833,.T.); #298519=ORIENTED_EDGE('',*,*,#213891,.F.); #298520=ORIENTED_EDGE('',*,*,#213892,.T.); #298521=ORIENTED_EDGE('',*,*,#213887,.T.); #298522=ORIENTED_EDGE('',*,*,#213893,.T.); #298523=ORIENTED_EDGE('',*,*,#213503,.F.); #298524=ORIENTED_EDGE('',*,*,#213894,.T.); #298525=ORIENTED_EDGE('',*,*,#213891,.T.); #298526=ORIENTED_EDGE('',*,*,#213832,.T.); #298527=ORIENTED_EDGE('',*,*,#213895,.F.); #298528=ORIENTED_EDGE('',*,*,#213896,.F.); #298529=ORIENTED_EDGE('',*,*,#213897,.T.); #298530=ORIENTED_EDGE('',*,*,#213849,.T.); #298531=ORIENTED_EDGE('',*,*,#213898,.F.); #298532=ORIENTED_EDGE('',*,*,#213899,.T.); #298533=ORIENTED_EDGE('',*,*,#213900,.T.); #298534=ORIENTED_EDGE('',*,*,#213841,.T.); #298535=ORIENTED_EDGE('',*,*,#213901,.T.); #298536=ORIENTED_EDGE('',*,*,#213902,.T.); #298537=ORIENTED_EDGE('',*,*,#213903,.T.); #298538=ORIENTED_EDGE('',*,*,#213904,.T.); #298539=ORIENTED_EDGE('',*,*,#213905,.T.); #298540=ORIENTED_EDGE('',*,*,#213906,.T.); #298541=ORIENTED_EDGE('',*,*,#213907,.T.); #298542=ORIENTED_EDGE('',*,*,#213908,.T.); #298543=ORIENTED_EDGE('',*,*,#213909,.F.); #298544=ORIENTED_EDGE('',*,*,#213910,.F.); #298545=ORIENTED_EDGE('',*,*,#213911,.T.); #298546=ORIENTED_EDGE('',*,*,#213912,.T.); #298547=ORIENTED_EDGE('',*,*,#213913,.F.); #298548=ORIENTED_EDGE('',*,*,#213914,.F.); #298549=ORIENTED_EDGE('',*,*,#213915,.T.); #298550=ORIENTED_EDGE('',*,*,#213910,.T.); #298551=ORIENTED_EDGE('',*,*,#213916,.F.); #298552=ORIENTED_EDGE('',*,*,#213912,.F.); #298553=ORIENTED_EDGE('',*,*,#213917,.T.); #298554=ORIENTED_EDGE('',*,*,#213918,.T.); #298555=ORIENTED_EDGE('',*,*,#213919,.F.); #298556=ORIENTED_EDGE('',*,*,#213918,.F.); #298557=ORIENTED_EDGE('',*,*,#213920,.T.); #298558=ORIENTED_EDGE('',*,*,#213921,.T.); #298559=ORIENTED_EDGE('',*,*,#213922,.F.); #298560=ORIENTED_EDGE('',*,*,#213921,.F.); #298561=ORIENTED_EDGE('',*,*,#213923,.T.); #298562=ORIENTED_EDGE('',*,*,#213924,.T.); #298563=ORIENTED_EDGE('',*,*,#213925,.T.); #298564=ORIENTED_EDGE('',*,*,#213926,.T.); #298565=ORIENTED_EDGE('',*,*,#213927,.F.); #298566=ORIENTED_EDGE('',*,*,#213924,.F.); #298567=ORIENTED_EDGE('',*,*,#213928,.T.); #298568=ORIENTED_EDGE('',*,*,#213929,.T.); #298569=ORIENTED_EDGE('',*,*,#213930,.T.); #298570=ORIENTED_EDGE('',*,*,#213931,.T.); #298571=ORIENTED_EDGE('',*,*,#213932,.F.); #298572=ORIENTED_EDGE('',*,*,#213933,.T.); #298573=ORIENTED_EDGE('',*,*,#213934,.T.); #298574=ORIENTED_EDGE('',*,*,#213935,.T.); #298575=ORIENTED_EDGE('',*,*,#213936,.F.); #298576=ORIENTED_EDGE('',*,*,#213935,.F.); #298577=ORIENTED_EDGE('',*,*,#213937,.T.); #298578=ORIENTED_EDGE('',*,*,#213938,.T.); #298579=ORIENTED_EDGE('',*,*,#213939,.F.); #298580=ORIENTED_EDGE('',*,*,#213938,.F.); #298581=ORIENTED_EDGE('',*,*,#213940,.T.); #298582=ORIENTED_EDGE('',*,*,#213914,.T.); #298583=ORIENTED_EDGE('',*,*,#213941,.F.); #298584=ORIENTED_EDGE('',*,*,#213942,.T.); #298585=ORIENTED_EDGE('',*,*,#213943,.F.); #298586=ORIENTED_EDGE('',*,*,#213925,.F.); #298587=ORIENTED_EDGE('',*,*,#213923,.F.); #298588=ORIENTED_EDGE('',*,*,#213920,.F.); #298589=ORIENTED_EDGE('',*,*,#213917,.F.); #298590=ORIENTED_EDGE('',*,*,#213911,.F.); #298591=ORIENTED_EDGE('',*,*,#213915,.F.); #298592=ORIENTED_EDGE('',*,*,#213940,.F.); #298593=ORIENTED_EDGE('',*,*,#213937,.F.); #298594=ORIENTED_EDGE('',*,*,#213934,.F.); #298595=ORIENTED_EDGE('',*,*,#213927,.T.); #298596=ORIENTED_EDGE('',*,*,#213944,.T.); #298597=ORIENTED_EDGE('',*,*,#213945,.T.); #298598=ORIENTED_EDGE('',*,*,#213946,.T.); #298599=ORIENTED_EDGE('',*,*,#213932,.T.); #298600=ORIENTED_EDGE('',*,*,#213936,.T.); #298601=ORIENTED_EDGE('',*,*,#213939,.T.); #298602=ORIENTED_EDGE('',*,*,#213913,.T.); #298603=ORIENTED_EDGE('',*,*,#213909,.T.); #298604=ORIENTED_EDGE('',*,*,#213916,.T.); #298605=ORIENTED_EDGE('',*,*,#213919,.T.); #298606=ORIENTED_EDGE('',*,*,#213922,.T.); #298607=ORIENTED_EDGE('',*,*,#213947,.F.); #298608=ORIENTED_EDGE('',*,*,#213494,.F.); #298609=ORIENTED_EDGE('',*,*,#213948,.F.); #298610=ORIENTED_EDGE('',*,*,#213906,.F.); #298611=ORIENTED_EDGE('',*,*,#213948,.T.); #298612=ORIENTED_EDGE('',*,*,#213872,.F.); #298613=ORIENTED_EDGE('',*,*,#213949,.F.); #298614=ORIENTED_EDGE('',*,*,#213907,.F.); #298615=ORIENTED_EDGE('',*,*,#213947,.T.); #298616=ORIENTED_EDGE('',*,*,#213905,.F.); #298617=ORIENTED_EDGE('',*,*,#213950,.F.); #298618=ORIENTED_EDGE('',*,*,#213509,.F.); #298619=ORIENTED_EDGE('',*,*,#213949,.T.); #298620=ORIENTED_EDGE('',*,*,#213885,.F.); #298621=ORIENTED_EDGE('',*,*,#213951,.F.); #298622=ORIENTED_EDGE('',*,*,#213908,.F.); #298623=ORIENTED_EDGE('',*,*,#213950,.T.); #298624=ORIENTED_EDGE('',*,*,#213904,.F.); #298625=ORIENTED_EDGE('',*,*,#213952,.F.); #298626=ORIENTED_EDGE('',*,*,#213502,.F.); #298627=ORIENTED_EDGE('',*,*,#213951,.T.); #298628=ORIENTED_EDGE('',*,*,#213888,.F.); #298629=ORIENTED_EDGE('',*,*,#213953,.F.); #298630=ORIENTED_EDGE('',*,*,#213901,.F.); #298631=ORIENTED_EDGE('',*,*,#213952,.T.); #298632=ORIENTED_EDGE('',*,*,#213903,.F.); #298633=ORIENTED_EDGE('',*,*,#213954,.F.); #298634=ORIENTED_EDGE('',*,*,#213894,.F.); #298635=ORIENTED_EDGE('',*,*,#213953,.T.); #298636=ORIENTED_EDGE('',*,*,#213892,.F.); #298637=ORIENTED_EDGE('',*,*,#213954,.T.); #298638=ORIENTED_EDGE('',*,*,#213902,.F.); #298639=ORIENTED_EDGE('',*,*,#213955,.F.); #298640=ORIENTED_EDGE('',*,*,#213795,.F.); #298641=ORIENTED_EDGE('',*,*,#213823,.F.); #298642=ORIENTED_EDGE('',*,*,#213846,.F.); #298643=ORIENTED_EDGE('',*,*,#213955,.T.); #298644=ORIENTED_EDGE('',*,*,#213845,.F.); #298645=ORIENTED_EDGE('',*,*,#213956,.F.); #298646=ORIENTED_EDGE('',*,*,#213870,.F.); #298647=ORIENTED_EDGE('',*,*,#213836,.T.); #298648=ORIENTED_EDGE('',*,*,#213859,.F.); #298649=ORIENTED_EDGE('',*,*,#213957,.F.); #298650=ORIENTED_EDGE('',*,*,#213827,.F.); #298651=ORIENTED_EDGE('',*,*,#213956,.T.); #298652=ORIENTED_EDGE('',*,*,#213844,.F.); #298653=ORIENTED_EDGE('',*,*,#213852,.F.); #298654=ORIENTED_EDGE('',*,*,#213867,.F.); #298655=ORIENTED_EDGE('',*,*,#213957,.T.); #298656=ORIENTED_EDGE('',*,*,#213862,.F.); #298657=ORIENTED_EDGE('',*,*,#213958,.F.); #298658=ORIENTED_EDGE('',*,*,#213828,.F.); #298659=ORIENTED_EDGE('',*,*,#213958,.T.); #298660=ORIENTED_EDGE('',*,*,#213865,.F.); #298661=ORIENTED_EDGE('',*,*,#213856,.T.); #298662=ORIENTED_EDGE('',*,*,#213829,.F.); #298663=ORIENTED_EDGE('',*,*,#213945,.F.); #298664=ORIENTED_EDGE('',*,*,#213959,.T.); #298665=ORIENTED_EDGE('',*,*,#213928,.F.); #298666=ORIENTED_EDGE('',*,*,#213960,.T.); #298667=ORIENTED_EDGE('',*,*,#213930,.F.); #298668=ORIENTED_EDGE('',*,*,#213961,.T.); #298669=ORIENTED_EDGE('',*,*,#213942,.F.); #298670=ORIENTED_EDGE('',*,*,#213962,.T.); #298671=ORIENTED_EDGE('',*,*,#213941,.T.); #298672=ORIENTED_EDGE('',*,*,#213933,.F.); #298673=ORIENTED_EDGE('',*,*,#213946,.F.); #298674=ORIENTED_EDGE('',*,*,#213960,.F.); #298675=ORIENTED_EDGE('',*,*,#213931,.F.); #298676=ORIENTED_EDGE('',*,*,#213962,.F.); #298677=ORIENTED_EDGE('',*,*,#213961,.F.); #298678=ORIENTED_EDGE('',*,*,#213929,.F.); #298679=ORIENTED_EDGE('',*,*,#213959,.F.); #298680=ORIENTED_EDGE('',*,*,#213944,.F.); #298681=ORIENTED_EDGE('',*,*,#213926,.F.); #298682=ORIENTED_EDGE('',*,*,#213943,.T.); #298683=ORIENTED_EDGE('',*,*,#213855,.T.); #298684=ORIENTED_EDGE('',*,*,#213521,.F.); #298685=ORIENTED_EDGE('',*,*,#213963,.F.); #298686=ORIENTED_EDGE('',*,*,#213895,.T.); #298687=ORIENTED_EDGE('',*,*,#213831,.T.); #298688=ORIENTED_EDGE('',*,*,#213854,.T.); #298689=ORIENTED_EDGE('',*,*,#213850,.T.); #298690=ORIENTED_EDGE('',*,*,#213897,.F.); #298691=ORIENTED_EDGE('',*,*,#213964,.F.); #298692=ORIENTED_EDGE('',*,*,#213519,.T.); #298693=ORIENTED_EDGE('',*,*,#213963,.T.); #298694=ORIENTED_EDGE('',*,*,#213520,.T.); #298695=ORIENTED_EDGE('',*,*,#213964,.T.); #298696=ORIENTED_EDGE('',*,*,#213896,.T.); #298697=ORIENTED_EDGE('',*,*,#213842,.F.); #298698=ORIENTED_EDGE('',*,*,#213900,.F.); #298699=ORIENTED_EDGE('',*,*,#213965,.T.); #298700=ORIENTED_EDGE('',*,*,#213515,.T.); #298701=ORIENTED_EDGE('',*,*,#213851,.F.); #298702=ORIENTED_EDGE('',*,*,#213517,.F.); #298703=ORIENTED_EDGE('',*,*,#213966,.T.); #298704=ORIENTED_EDGE('',*,*,#213898,.T.); #298705=ORIENTED_EDGE('',*,*,#213848,.F.); #298706=ORIENTED_EDGE('',*,*,#213853,.F.); #298707=ORIENTED_EDGE('',*,*,#213965,.F.); #298708=ORIENTED_EDGE('',*,*,#213899,.F.); #298709=ORIENTED_EDGE('',*,*,#213966,.F.); #298710=ORIENTED_EDGE('',*,*,#213516,.F.); #298711=ORIENTED_EDGE('',*,*,#213825,.F.); #298712=ORIENTED_EDGE('',*,*,#213880,.T.); #298713=ORIENTED_EDGE('',*,*,#213967,.T.); #298714=ORIENTED_EDGE('',*,*,#213799,.F.); #298715=ORIENTED_EDGE('',*,*,#213835,.F.); #298716=ORIENTED_EDGE('',*,*,#213811,.F.); #298717=ORIENTED_EDGE('',*,*,#213968,.F.); #298718=ORIENTED_EDGE('',*,*,#213969,.F.); #298719=ORIENTED_EDGE('',*,*,#213970,.T.); #298720=ORIENTED_EDGE('',*,*,#213789,.F.); #298721=ORIENTED_EDGE('',*,*,#213863,.F.); #298722=ORIENTED_EDGE('',*,*,#213857,.T.); #298723=ORIENTED_EDGE('',*,*,#213800,.T.); #298724=ORIENTED_EDGE('',*,*,#213967,.F.); #298725=ORIENTED_EDGE('',*,*,#213879,.T.); #298726=ORIENTED_EDGE('',*,*,#213971,.T.); #298727=ORIENTED_EDGE('',*,*,#213812,.F.); #298728=ORIENTED_EDGE('',*,*,#213971,.F.); #298729=ORIENTED_EDGE('',*,*,#213878,.T.); #298730=ORIENTED_EDGE('',*,*,#213972,.T.); #298731=ORIENTED_EDGE('',*,*,#213813,.F.); #298732=ORIENTED_EDGE('',*,*,#213972,.F.); #298733=ORIENTED_EDGE('',*,*,#213877,.T.); #298734=ORIENTED_EDGE('',*,*,#213820,.T.); #298735=ORIENTED_EDGE('',*,*,#213838,.F.); #298736=ORIENTED_EDGE('',*,*,#213973,.T.); #298737=ORIENTED_EDGE('',*,*,#213805,.T.); #298738=ORIENTED_EDGE('',*,*,#213974,.F.); #298739=ORIENTED_EDGE('',*,*,#213975,.F.); #298740=ORIENTED_EDGE('',*,*,#213976,.T.); #298741=ORIENTED_EDGE('',*,*,#213809,.T.); #298742=ORIENTED_EDGE('',*,*,#213977,.T.); #298743=ORIENTED_EDGE('',*,*,#213978,.F.); #298744=ORIENTED_EDGE('',*,*,#213979,.T.); #298745=ORIENTED_EDGE('',*,*,#213980,.T.); #298746=ORIENTED_EDGE('',*,*,#213981,.T.); #298747=ORIENTED_EDGE('',*,*,#213982,.F.); #298748=ORIENTED_EDGE('',*,*,#213983,.T.); #298749=ORIENTED_EDGE('',*,*,#213978,.T.); #298750=ORIENTED_EDGE('',*,*,#213984,.T.); #298751=ORIENTED_EDGE('',*,*,#213982,.T.); #298752=ORIENTED_EDGE('',*,*,#213821,.T.); #298753=ORIENTED_EDGE('',*,*,#213797,.T.); #298754=ORIENTED_EDGE('',*,*,#213985,.F.); #298755=ORIENTED_EDGE('',*,*,#213873,.F.); #298756=ORIENTED_EDGE('',*,*,#213839,.T.); #298757=ORIENTED_EDGE('',*,*,#213985,.T.); #298758=ORIENTED_EDGE('',*,*,#213796,.T.); #298759=ORIENTED_EDGE('',*,*,#213793,.F.); #298760=ORIENTED_EDGE('',*,*,#213869,.T.); #298761=ORIENTED_EDGE('',*,*,#213784,.T.); #298762=ORIENTED_EDGE('',*,*,#213986,.F.); #298763=ORIENTED_EDGE('',*,*,#213987,.F.); #298764=ORIENTED_EDGE('',*,*,#213988,.T.); #298765=ORIENTED_EDGE('',*,*,#213803,.T.); #298766=ORIENTED_EDGE('',*,*,#213989,.F.); #298767=ORIENTED_EDGE('',*,*,#213874,.F.); #298768=ORIENTED_EDGE('',*,*,#213816,.T.); #298769=ORIENTED_EDGE('',*,*,#213990,.F.); #298770=ORIENTED_EDGE('',*,*,#213875,.F.); #298771=ORIENTED_EDGE('',*,*,#213989,.T.); #298772=ORIENTED_EDGE('',*,*,#213837,.T.); #298773=ORIENTED_EDGE('',*,*,#213818,.F.); #298774=ORIENTED_EDGE('',*,*,#213876,.F.); #298775=ORIENTED_EDGE('',*,*,#213990,.T.); #298776=ORIENTED_EDGE('',*,*,#213815,.T.); #298777=ORIENTED_EDGE('',*,*,#213977,.F.); #298778=ORIENTED_EDGE('',*,*,#213808,.F.); #298779=ORIENTED_EDGE('',*,*,#213991,.T.); #298780=ORIENTED_EDGE('',*,*,#213979,.F.); #298781=ORIENTED_EDGE('',*,*,#213984,.F.); #298782=ORIENTED_EDGE('',*,*,#213981,.F.); #298783=ORIENTED_EDGE('',*,*,#213992,.T.); #298784=ORIENTED_EDGE('',*,*,#213806,.F.); #298785=ORIENTED_EDGE('',*,*,#213973,.F.); #298786=ORIENTED_EDGE('',*,*,#213983,.F.); #298787=ORIENTED_EDGE('',*,*,#213991,.F.); #298788=ORIENTED_EDGE('',*,*,#213807,.F.); #298789=ORIENTED_EDGE('',*,*,#213992,.F.); #298790=ORIENTED_EDGE('',*,*,#213980,.F.); #298791=ORIENTED_EDGE('',*,*,#213804,.T.); #298792=ORIENTED_EDGE('',*,*,#213988,.F.); #298793=ORIENTED_EDGE('',*,*,#213993,.F.); #298794=ORIENTED_EDGE('',*,*,#213974,.T.); #298795=ORIENTED_EDGE('',*,*,#213783,.T.); #298796=ORIENTED_EDGE('',*,*,#213994,.F.); #298797=ORIENTED_EDGE('',*,*,#213995,.F.); #298798=ORIENTED_EDGE('',*,*,#213986,.T.); #298799=ORIENTED_EDGE('',*,*,#213994,.T.); #298800=ORIENTED_EDGE('',*,*,#213996,.F.); #298801=ORIENTED_EDGE('',*,*,#213997,.T.); #298802=ORIENTED_EDGE('',*,*,#213998,.T.); #298803=ORIENTED_EDGE('',*,*,#213999,.F.); #298804=ORIENTED_EDGE('',*,*,#214000,.F.); #298805=ORIENTED_EDGE('',*,*,#213790,.T.); #298806=ORIENTED_EDGE('',*,*,#213970,.F.); #298807=ORIENTED_EDGE('',*,*,#214001,.F.); #298808=ORIENTED_EDGE('',*,*,#213999,.T.); #298809=ORIENTED_EDGE('',*,*,#213810,.T.); #298810=ORIENTED_EDGE('',*,*,#213976,.F.); #298811=ORIENTED_EDGE('',*,*,#214002,.F.); #298812=ORIENTED_EDGE('',*,*,#213968,.T.); #298813=ORIENTED_EDGE('',*,*,#213993,.T.); #298814=ORIENTED_EDGE('',*,*,#213987,.T.); #298815=ORIENTED_EDGE('',*,*,#213995,.T.); #298816=ORIENTED_EDGE('',*,*,#214000,.T.); #298817=ORIENTED_EDGE('',*,*,#214001,.T.); #298818=ORIENTED_EDGE('',*,*,#213969,.T.); #298819=ORIENTED_EDGE('',*,*,#214002,.T.); #298820=ORIENTED_EDGE('',*,*,#213975,.T.); #298821=ORIENTED_EDGE('',*,*,#214003,.F.); #298822=ORIENTED_EDGE('',*,*,#214004,.T.); #298823=ORIENTED_EDGE('',*,*,#214004,.F.); #298824=ORIENTED_EDGE('',*,*,#214005,.T.); #298825=ORIENTED_EDGE('',*,*,#214006,.T.); #298826=ORIENTED_EDGE('',*,*,#214005,.F.); #298827=ORIENTED_EDGE('',*,*,#214007,.T.); #298828=ORIENTED_EDGE('',*,*,#214003,.T.); #298829=ORIENTED_EDGE('',*,*,#214008,.T.); #298830=ORIENTED_EDGE('',*,*,#214009,.T.); #298831=ORIENTED_EDGE('',*,*,#214008,.F.); #298832=ORIENTED_EDGE('',*,*,#214010,.T.); #298833=ORIENTED_EDGE('',*,*,#214009,.F.); #298834=ORIENTED_EDGE('',*,*,#214011,.T.); #298835=ORIENTED_EDGE('',*,*,#214010,.F.); #298836=ORIENTED_EDGE('',*,*,#214011,.F.); #298837=ORIENTED_EDGE('',*,*,#214006,.F.); #298838=ORIENTED_EDGE('',*,*,#214012,.T.); #298839=ORIENTED_EDGE('',*,*,#214007,.F.); #298840=ORIENTED_EDGE('',*,*,#214012,.F.); #298841=ORIENTED_EDGE('',*,*,#213786,.F.); #298842=ORIENTED_EDGE('',*,*,#213868,.F.); #298843=ORIENTED_EDGE('',*,*,#213560,.F.); #298844=ORIENTED_EDGE('',*,*,#213557,.F.); #298845=ORIENTED_EDGE('',*,*,#213512,.F.); #298846=ORIENTED_EDGE('',*,*,#214013,.F.); #298847=ORIENTED_EDGE('',*,*,#214014,.F.); #298848=ORIENTED_EDGE('',*,*,#213562,.T.); #298849=ORIENTED_EDGE('',*,*,#214015,.F.); #298850=ORIENTED_EDGE('',*,*,#214016,.T.); #298851=ORIENTED_EDGE('',*,*,#214017,.F.); #298852=ORIENTED_EDGE('',*,*,#214018,.F.); #298853=ORIENTED_EDGE('',*,*,#213527,.T.); #298854=ORIENTED_EDGE('',*,*,#213864,.F.); #298855=ORIENTED_EDGE('',*,*,#214019,.F.); #298856=ORIENTED_EDGE('',*,*,#213549,.F.); #298857=ORIENTED_EDGE('',*,*,#213787,.F.); #298858=ORIENTED_EDGE('',*,*,#213550,.F.); #298859=ORIENTED_EDGE('',*,*,#214019,.T.); #298860=ORIENTED_EDGE('',*,*,#213860,.F.); #298861=ORIENTED_EDGE('',*,*,#213782,.F.); #298862=ORIENTED_EDGE('',*,*,#213764,.F.); #298863=ORIENTED_EDGE('',*,*,#214020,.T.); #298864=ORIENTED_EDGE('',*,*,#213996,.T.); #298865=ORIENTED_EDGE('',*,*,#213791,.F.); #298866=ORIENTED_EDGE('',*,*,#213998,.F.); #298867=ORIENTED_EDGE('',*,*,#214021,.T.); #298868=ORIENTED_EDGE('',*,*,#213762,.T.); #298869=ORIENTED_EDGE('',*,*,#214020,.F.); #298870=ORIENTED_EDGE('',*,*,#213763,.F.); #298871=ORIENTED_EDGE('',*,*,#214021,.F.); #298872=ORIENTED_EDGE('',*,*,#213997,.F.); #298873=ORIENTED_EDGE('',*,*,#213761,.T.); #298874=ORIENTED_EDGE('',*,*,#213766,.T.); #298875=ORIENTED_EDGE('',*,*,#213780,.F.); #298876=ORIENTED_EDGE('',*,*,#213689,.F.); #298877=ORIENTED_EDGE('',*,*,#214022,.F.); #298878=ORIENTED_EDGE('',*,*,#213696,.T.); #298879=ORIENTED_EDGE('',*,*,#213779,.T.); #298880=ORIENTED_EDGE('',*,*,#213698,.F.); #298881=ORIENTED_EDGE('',*,*,#214023,.F.); #298882=ORIENTED_EDGE('',*,*,#213708,.T.); #298883=ORIENTED_EDGE('',*,*,#213778,.T.); #298884=ORIENTED_EDGE('',*,*,#213710,.F.); #298885=ORIENTED_EDGE('',*,*,#214024,.F.); #298886=ORIENTED_EDGE('',*,*,#213720,.T.); #298887=ORIENTED_EDGE('',*,*,#213777,.T.); #298888=ORIENTED_EDGE('',*,*,#213722,.F.); #298889=ORIENTED_EDGE('',*,*,#214025,.F.); #298890=ORIENTED_EDGE('',*,*,#213732,.T.); #298891=ORIENTED_EDGE('',*,*,#213776,.T.); #298892=ORIENTED_EDGE('',*,*,#213734,.F.); #298893=ORIENTED_EDGE('',*,*,#214026,.F.); #298894=ORIENTED_EDGE('',*,*,#213744,.T.); #298895=ORIENTED_EDGE('',*,*,#213775,.T.); #298896=ORIENTED_EDGE('',*,*,#213746,.F.); #298897=ORIENTED_EDGE('',*,*,#214027,.F.); #298898=ORIENTED_EDGE('',*,*,#213679,.T.); #298899=ORIENTED_EDGE('',*,*,#213774,.F.); #298900=ORIENTED_EDGE('',*,*,#213677,.F.); #298901=ORIENTED_EDGE('',*,*,#214028,.F.); #298902=ORIENTED_EDGE('',*,*,#213667,.T.); #298903=ORIENTED_EDGE('',*,*,#213773,.F.); #298904=ORIENTED_EDGE('',*,*,#213665,.F.); #298905=ORIENTED_EDGE('',*,*,#214029,.F.); #298906=ORIENTED_EDGE('',*,*,#213655,.T.); #298907=ORIENTED_EDGE('',*,*,#213772,.F.); #298908=ORIENTED_EDGE('',*,*,#213653,.F.); #298909=ORIENTED_EDGE('',*,*,#214030,.F.); #298910=ORIENTED_EDGE('',*,*,#213643,.T.); #298911=ORIENTED_EDGE('',*,*,#213771,.F.); #298912=ORIENTED_EDGE('',*,*,#213641,.F.); #298913=ORIENTED_EDGE('',*,*,#214031,.F.); #298914=ORIENTED_EDGE('',*,*,#213631,.T.); #298915=ORIENTED_EDGE('',*,*,#213770,.F.); #298916=ORIENTED_EDGE('',*,*,#213629,.F.); #298917=ORIENTED_EDGE('',*,*,#214032,.F.); #298918=ORIENTED_EDGE('',*,*,#213619,.T.); #298919=ORIENTED_EDGE('',*,*,#213769,.F.); #298920=ORIENTED_EDGE('',*,*,#213617,.F.); #298921=ORIENTED_EDGE('',*,*,#214033,.F.); #298922=ORIENTED_EDGE('',*,*,#213756,.T.); #298923=ORIENTED_EDGE('',*,*,#213781,.T.); #298924=ORIENTED_EDGE('',*,*,#213758,.F.); #298925=ORIENTED_EDGE('',*,*,#214034,.F.); #298926=ORIENTED_EDGE('',*,*,#213754,.T.); #298927=ORIENTED_EDGE('',*,*,#214033,.T.); #298928=ORIENTED_EDGE('',*,*,#213616,.F.); #298929=ORIENTED_EDGE('',*,*,#213610,.F.); #298930=ORIENTED_EDGE('',*,*,#213751,.T.); #298931=ORIENTED_EDGE('',*,*,#214034,.T.); #298932=ORIENTED_EDGE('',*,*,#213613,.F.); #298933=ORIENTED_EDGE('',*,*,#214035,.T.); #298934=ORIENTED_EDGE('',*,*,#213749,.T.); #298935=ORIENTED_EDGE('',*,*,#213566,.F.); #298936=ORIENTED_EDGE('',*,*,#213683,.F.); #298937=ORIENTED_EDGE('',*,*,#214027,.T.); #298938=ORIENTED_EDGE('',*,*,#213745,.T.); #298939=ORIENTED_EDGE('',*,*,#214035,.F.); #298940=ORIENTED_EDGE('',*,*,#213680,.F.); #298941=ORIENTED_EDGE('',*,*,#213733,.T.); #298942=ORIENTED_EDGE('',*,*,#214036,.F.); #298943=ORIENTED_EDGE('',*,*,#213742,.T.); #298944=ORIENTED_EDGE('',*,*,#214026,.T.); #298945=ORIENTED_EDGE('',*,*,#213737,.T.); #298946=ORIENTED_EDGE('',*,*,#213570,.F.); #298947=ORIENTED_EDGE('',*,*,#213739,.T.); #298948=ORIENTED_EDGE('',*,*,#214036,.T.); #298949=ORIENTED_EDGE('',*,*,#213721,.T.); #298950=ORIENTED_EDGE('',*,*,#214037,.F.); #298951=ORIENTED_EDGE('',*,*,#213730,.T.); #298952=ORIENTED_EDGE('',*,*,#214025,.T.); #298953=ORIENTED_EDGE('',*,*,#213725,.T.); #298954=ORIENTED_EDGE('',*,*,#213574,.F.); #298955=ORIENTED_EDGE('',*,*,#213727,.T.); #298956=ORIENTED_EDGE('',*,*,#214037,.T.); #298957=ORIENTED_EDGE('',*,*,#213709,.T.); #298958=ORIENTED_EDGE('',*,*,#214038,.F.); #298959=ORIENTED_EDGE('',*,*,#213718,.T.); #298960=ORIENTED_EDGE('',*,*,#214024,.T.); #298961=ORIENTED_EDGE('',*,*,#213713,.T.); #298962=ORIENTED_EDGE('',*,*,#213578,.F.); #298963=ORIENTED_EDGE('',*,*,#213715,.T.); #298964=ORIENTED_EDGE('',*,*,#214038,.T.); #298965=ORIENTED_EDGE('',*,*,#213697,.T.); #298966=ORIENTED_EDGE('',*,*,#214039,.F.); #298967=ORIENTED_EDGE('',*,*,#213706,.T.); #298968=ORIENTED_EDGE('',*,*,#214023,.T.); #298969=ORIENTED_EDGE('',*,*,#213701,.T.); #298970=ORIENTED_EDGE('',*,*,#213582,.F.); #298971=ORIENTED_EDGE('',*,*,#213703,.T.); #298972=ORIENTED_EDGE('',*,*,#214039,.T.); #298973=ORIENTED_EDGE('',*,*,#214040,.F.); #298974=ORIENTED_EDGE('',*,*,#213694,.T.); #298975=ORIENTED_EDGE('',*,*,#214022,.T.); #298976=ORIENTED_EDGE('',*,*,#213688,.F.); #298977=ORIENTED_EDGE('',*,*,#213586,.F.); #298978=ORIENTED_EDGE('',*,*,#213691,.T.); #298979=ORIENTED_EDGE('',*,*,#214040,.T.); #298980=ORIENTED_EDGE('',*,*,#213685,.F.); #298981=ORIENTED_EDGE('',*,*,#214028,.T.); #298982=ORIENTED_EDGE('',*,*,#213676,.F.); #298983=ORIENTED_EDGE('',*,*,#214041,.F.); #298984=ORIENTED_EDGE('',*,*,#213668,.F.); #298985=ORIENTED_EDGE('',*,*,#214041,.T.); #298986=ORIENTED_EDGE('',*,*,#213673,.F.); #298987=ORIENTED_EDGE('',*,*,#213590,.F.); #298988=ORIENTED_EDGE('',*,*,#213671,.F.); #298989=ORIENTED_EDGE('',*,*,#214029,.T.); #298990=ORIENTED_EDGE('',*,*,#213664,.F.); #298991=ORIENTED_EDGE('',*,*,#214042,.F.); #298992=ORIENTED_EDGE('',*,*,#213656,.F.); #298993=ORIENTED_EDGE('',*,*,#214042,.T.); #298994=ORIENTED_EDGE('',*,*,#213661,.F.); #298995=ORIENTED_EDGE('',*,*,#213594,.F.); #298996=ORIENTED_EDGE('',*,*,#213659,.F.); #298997=ORIENTED_EDGE('',*,*,#214030,.T.); #298998=ORIENTED_EDGE('',*,*,#213652,.F.); #298999=ORIENTED_EDGE('',*,*,#214043,.F.); #299000=ORIENTED_EDGE('',*,*,#213644,.F.); #299001=ORIENTED_EDGE('',*,*,#214043,.T.); #299002=ORIENTED_EDGE('',*,*,#213649,.F.); #299003=ORIENTED_EDGE('',*,*,#213598,.F.); #299004=ORIENTED_EDGE('',*,*,#213647,.F.); #299005=ORIENTED_EDGE('',*,*,#214031,.T.); #299006=ORIENTED_EDGE('',*,*,#213640,.F.); #299007=ORIENTED_EDGE('',*,*,#214044,.F.); #299008=ORIENTED_EDGE('',*,*,#213632,.F.); #299009=ORIENTED_EDGE('',*,*,#214044,.T.); #299010=ORIENTED_EDGE('',*,*,#213637,.F.); #299011=ORIENTED_EDGE('',*,*,#213602,.F.); #299012=ORIENTED_EDGE('',*,*,#213635,.F.); #299013=ORIENTED_EDGE('',*,*,#214045,.T.); #299014=ORIENTED_EDGE('',*,*,#213625,.F.); #299015=ORIENTED_EDGE('',*,*,#213606,.F.); #299016=ORIENTED_EDGE('',*,*,#213623,.F.); #299017=ORIENTED_EDGE('',*,*,#214032,.T.); #299018=ORIENTED_EDGE('',*,*,#213628,.F.); #299019=ORIENTED_EDGE('',*,*,#214045,.F.); #299020=ORIENTED_EDGE('',*,*,#213620,.F.); #299021=ORIENTED_EDGE('',*,*,#214046,.T.); #299022=ORIENTED_EDGE('',*,*,#214047,.T.); #299023=ORIENTED_EDGE('',*,*,#214048,.T.); #299024=ORIENTED_EDGE('',*,*,#213480,.T.); #299025=ORIENTED_EDGE('',*,*,#214049,.F.); #299026=ORIENTED_EDGE('',*,*,#214050,.F.); #299027=ORIENTED_EDGE('',*,*,#213479,.T.); #299028=ORIENTED_EDGE('',*,*,#214051,.F.); #299029=ORIENTED_EDGE('',*,*,#214052,.F.); #299030=ORIENTED_EDGE('',*,*,#214049,.T.); #299031=ORIENTED_EDGE('',*,*,#214053,.F.); #299032=ORIENTED_EDGE('',*,*,#214013,.T.); #299033=ORIENTED_EDGE('',*,*,#213511,.F.); #299034=ORIENTED_EDGE('',*,*,#214054,.F.); #299035=ORIENTED_EDGE('',*,*,#214055,.T.); #299036=ORIENTED_EDGE('',*,*,#214056,.F.); #299037=ORIENTED_EDGE('',*,*,#214051,.T.); #299038=ORIENTED_EDGE('',*,*,#213478,.F.); #299039=ORIENTED_EDGE('',*,*,#213526,.T.); #299040=ORIENTED_EDGE('',*,*,#214057,.T.); #299041=ORIENTED_EDGE('',*,*,#213484,.T.); #299042=ORIENTED_EDGE('',*,*,#214058,.F.); #299043=ORIENTED_EDGE('',*,*,#213530,.F.); #299044=ORIENTED_EDGE('',*,*,#214059,.F.); #299045=ORIENTED_EDGE('',*,*,#214060,.F.); #299046=ORIENTED_EDGE('',*,*,#213525,.T.); #299047=ORIENTED_EDGE('',*,*,#213483,.T.); #299048=ORIENTED_EDGE('',*,*,#214061,.F.); #299049=ORIENTED_EDGE('',*,*,#213531,.F.); #299050=ORIENTED_EDGE('',*,*,#214058,.T.); #299051=ORIENTED_EDGE('',*,*,#214062,.T.); #299052=ORIENTED_EDGE('',*,*,#214063,.T.); #299053=ORIENTED_EDGE('',*,*,#214064,.F.); #299054=ORIENTED_EDGE('',*,*,#213532,.F.); #299055=ORIENTED_EDGE('',*,*,#214061,.T.); #299056=ORIENTED_EDGE('',*,*,#213482,.T.); #299057=ORIENTED_EDGE('',*,*,#214064,.T.); #299058=ORIENTED_EDGE('',*,*,#214065,.T.); #299059=ORIENTED_EDGE('',*,*,#214046,.F.); #299060=ORIENTED_EDGE('',*,*,#214066,.T.); #299061=ORIENTED_EDGE('',*,*,#214067,.F.); #299062=ORIENTED_EDGE('',*,*,#214068,.F.); #299063=ORIENTED_EDGE('',*,*,#214069,.T.); #299064=ORIENTED_EDGE('',*,*,#213533,.T.); #299065=ORIENTED_EDGE('',*,*,#214066,.F.); #299066=ORIENTED_EDGE('',*,*,#214050,.T.); #299067=ORIENTED_EDGE('',*,*,#214052,.T.); #299068=ORIENTED_EDGE('',*,*,#214056,.T.); #299069=ORIENTED_EDGE('',*,*,#214070,.F.); #299070=ORIENTED_EDGE('',*,*,#214071,.F.); #299071=ORIENTED_EDGE('',*,*,#214072,.F.); #299072=ORIENTED_EDGE('',*,*,#214073,.F.); #299073=ORIENTED_EDGE('',*,*,#214074,.F.); #299074=ORIENTED_EDGE('',*,*,#214075,.F.); #299075=ORIENTED_EDGE('',*,*,#214076,.F.); #299076=ORIENTED_EDGE('',*,*,#214077,.F.); #299077=ORIENTED_EDGE('',*,*,#214078,.F.); #299078=ORIENTED_EDGE('',*,*,#214079,.F.); #299079=ORIENTED_EDGE('',*,*,#214080,.F.); #299080=ORIENTED_EDGE('',*,*,#214081,.F.); #299081=ORIENTED_EDGE('',*,*,#214082,.F.); #299082=ORIENTED_EDGE('',*,*,#214083,.F.); #299083=ORIENTED_EDGE('',*,*,#214084,.F.); #299084=ORIENTED_EDGE('',*,*,#214085,.T.); #299085=ORIENTED_EDGE('',*,*,#214086,.T.); #299086=ORIENTED_EDGE('',*,*,#213554,.F.); #299087=ORIENTED_EDGE('',*,*,#213559,.F.); #299088=ORIENTED_EDGE('',*,*,#213475,.F.); #299089=ORIENTED_EDGE('',*,*,#214086,.F.); #299090=ORIENTED_EDGE('',*,*,#214087,.T.); #299091=ORIENTED_EDGE('',*,*,#214088,.T.); #299092=ORIENTED_EDGE('',*,*,#213564,.F.); #299093=ORIENTED_EDGE('',*,*,#214089,.F.); #299094=ORIENTED_EDGE('',*,*,#213476,.F.); #299095=ORIENTED_EDGE('',*,*,#214088,.F.); #299096=ORIENTED_EDGE('',*,*,#214090,.T.); #299097=ORIENTED_EDGE('',*,*,#213558,.T.); #299098=ORIENTED_EDGE('',*,*,#214090,.F.); #299099=ORIENTED_EDGE('',*,*,#214087,.F.); #299100=ORIENTED_EDGE('',*,*,#214085,.F.); #299101=ORIENTED_EDGE('',*,*,#214015,.T.); #299102=ORIENTED_EDGE('',*,*,#213548,.T.); #299103=ORIENTED_EDGE('',*,*,#214091,.F.); #299104=ORIENTED_EDGE('',*,*,#214092,.F.); #299105=ORIENTED_EDGE('',*,*,#213471,.T.); #299106=ORIENTED_EDGE('',*,*,#214093,.F.); #299107=ORIENTED_EDGE('',*,*,#214094,.F.); #299108=ORIENTED_EDGE('',*,*,#214091,.T.); #299109=ORIENTED_EDGE('',*,*,#214095,.T.); #299110=ORIENTED_EDGE('',*,*,#214017,.T.); #299111=ORIENTED_EDGE('',*,*,#214096,.F.); #299112=ORIENTED_EDGE('',*,*,#214093,.T.); #299113=ORIENTED_EDGE('',*,*,#213470,.T.); #299114=ORIENTED_EDGE('',*,*,#214092,.T.); #299115=ORIENTED_EDGE('',*,*,#214094,.T.); #299116=ORIENTED_EDGE('',*,*,#214096,.T.); #299117=ORIENTED_EDGE('',*,*,#214016,.F.); #299118=ORIENTED_EDGE('',*,*,#213529,.T.); #299119=ORIENTED_EDGE('',*,*,#214097,.F.); #299120=ORIENTED_EDGE('',*,*,#214098,.F.); #299121=ORIENTED_EDGE('',*,*,#214059,.T.); #299122=ORIENTED_EDGE('',*,*,#213547,.T.); #299123=ORIENTED_EDGE('',*,*,#214099,.F.); #299124=ORIENTED_EDGE('',*,*,#214100,.F.); #299125=ORIENTED_EDGE('',*,*,#214097,.T.); #299126=ORIENTED_EDGE('',*,*,#213546,.T.); #299127=ORIENTED_EDGE('',*,*,#214101,.F.); #299128=ORIENTED_EDGE('',*,*,#214102,.F.); #299129=ORIENTED_EDGE('',*,*,#214099,.T.); #299130=ORIENTED_EDGE('',*,*,#213545,.T.); #299131=ORIENTED_EDGE('',*,*,#214103,.F.); #299132=ORIENTED_EDGE('',*,*,#214104,.F.); #299133=ORIENTED_EDGE('',*,*,#214101,.T.); #299134=ORIENTED_EDGE('',*,*,#213544,.T.); #299135=ORIENTED_EDGE('',*,*,#214105,.F.); #299136=ORIENTED_EDGE('',*,*,#214106,.F.); #299137=ORIENTED_EDGE('',*,*,#214103,.T.); #299138=ORIENTED_EDGE('',*,*,#213543,.T.); #299139=ORIENTED_EDGE('',*,*,#214107,.F.); #299140=ORIENTED_EDGE('',*,*,#214108,.F.); #299141=ORIENTED_EDGE('',*,*,#214105,.T.); #299142=ORIENTED_EDGE('',*,*,#213542,.T.); #299143=ORIENTED_EDGE('',*,*,#214109,.F.); #299144=ORIENTED_EDGE('',*,*,#214110,.F.); #299145=ORIENTED_EDGE('',*,*,#214107,.T.); #299146=ORIENTED_EDGE('',*,*,#213541,.T.); #299147=ORIENTED_EDGE('',*,*,#214111,.F.); #299148=ORIENTED_EDGE('',*,*,#214112,.F.); #299149=ORIENTED_EDGE('',*,*,#214109,.T.); #299150=ORIENTED_EDGE('',*,*,#213540,.T.); #299151=ORIENTED_EDGE('',*,*,#214113,.F.); #299152=ORIENTED_EDGE('',*,*,#214114,.F.); #299153=ORIENTED_EDGE('',*,*,#214111,.T.); #299154=ORIENTED_EDGE('',*,*,#213539,.T.); #299155=ORIENTED_EDGE('',*,*,#214115,.F.); #299156=ORIENTED_EDGE('',*,*,#214116,.F.); #299157=ORIENTED_EDGE('',*,*,#214113,.T.); #299158=ORIENTED_EDGE('',*,*,#213538,.T.); #299159=ORIENTED_EDGE('',*,*,#214117,.F.); #299160=ORIENTED_EDGE('',*,*,#214118,.F.); #299161=ORIENTED_EDGE('',*,*,#214115,.T.); #299162=ORIENTED_EDGE('',*,*,#213537,.T.); #299163=ORIENTED_EDGE('',*,*,#214119,.F.); #299164=ORIENTED_EDGE('',*,*,#214120,.F.); #299165=ORIENTED_EDGE('',*,*,#214117,.T.); #299166=ORIENTED_EDGE('',*,*,#213536,.T.); #299167=ORIENTED_EDGE('',*,*,#214121,.F.); #299168=ORIENTED_EDGE('',*,*,#214122,.F.); #299169=ORIENTED_EDGE('',*,*,#214119,.T.); #299170=ORIENTED_EDGE('',*,*,#213535,.T.); #299171=ORIENTED_EDGE('',*,*,#214123,.F.); #299172=ORIENTED_EDGE('',*,*,#214124,.F.); #299173=ORIENTED_EDGE('',*,*,#214121,.T.); #299174=ORIENTED_EDGE('',*,*,#213534,.T.); #299175=ORIENTED_EDGE('',*,*,#214069,.F.); #299176=ORIENTED_EDGE('',*,*,#214125,.F.); #299177=ORIENTED_EDGE('',*,*,#214123,.T.); #299178=ORIENTED_EDGE('',*,*,#214084,.T.); #299179=ORIENTED_EDGE('',*,*,#214126,.F.); #299180=ORIENTED_EDGE('',*,*,#214127,.F.); #299181=ORIENTED_EDGE('',*,*,#214067,.T.); #299182=ORIENTED_EDGE('',*,*,#214083,.T.); #299183=ORIENTED_EDGE('',*,*,#214128,.F.); #299184=ORIENTED_EDGE('',*,*,#214129,.F.); #299185=ORIENTED_EDGE('',*,*,#214126,.T.); #299186=ORIENTED_EDGE('',*,*,#214082,.T.); #299187=ORIENTED_EDGE('',*,*,#214130,.F.); #299188=ORIENTED_EDGE('',*,*,#214131,.F.); #299189=ORIENTED_EDGE('',*,*,#214128,.T.); #299190=ORIENTED_EDGE('',*,*,#214081,.T.); #299191=ORIENTED_EDGE('',*,*,#214132,.F.); #299192=ORIENTED_EDGE('',*,*,#214133,.F.); #299193=ORIENTED_EDGE('',*,*,#214130,.T.); #299194=ORIENTED_EDGE('',*,*,#214080,.T.); #299195=ORIENTED_EDGE('',*,*,#214134,.F.); #299196=ORIENTED_EDGE('',*,*,#214135,.F.); #299197=ORIENTED_EDGE('',*,*,#214132,.T.); #299198=ORIENTED_EDGE('',*,*,#214079,.T.); #299199=ORIENTED_EDGE('',*,*,#214136,.F.); #299200=ORIENTED_EDGE('',*,*,#214137,.F.); #299201=ORIENTED_EDGE('',*,*,#214134,.T.); #299202=ORIENTED_EDGE('',*,*,#214078,.T.); #299203=ORIENTED_EDGE('',*,*,#214138,.F.); #299204=ORIENTED_EDGE('',*,*,#214139,.F.); #299205=ORIENTED_EDGE('',*,*,#214136,.T.); #299206=ORIENTED_EDGE('',*,*,#214077,.T.); #299207=ORIENTED_EDGE('',*,*,#214140,.F.); #299208=ORIENTED_EDGE('',*,*,#214141,.F.); #299209=ORIENTED_EDGE('',*,*,#214138,.T.); #299210=ORIENTED_EDGE('',*,*,#214076,.T.); #299211=ORIENTED_EDGE('',*,*,#214142,.F.); #299212=ORIENTED_EDGE('',*,*,#214143,.F.); #299213=ORIENTED_EDGE('',*,*,#214140,.T.); #299214=ORIENTED_EDGE('',*,*,#214075,.T.); #299215=ORIENTED_EDGE('',*,*,#214144,.F.); #299216=ORIENTED_EDGE('',*,*,#214145,.F.); #299217=ORIENTED_EDGE('',*,*,#214142,.T.); #299218=ORIENTED_EDGE('',*,*,#214074,.T.); #299219=ORIENTED_EDGE('',*,*,#214146,.F.); #299220=ORIENTED_EDGE('',*,*,#214147,.F.); #299221=ORIENTED_EDGE('',*,*,#214144,.T.); #299222=ORIENTED_EDGE('',*,*,#214073,.T.); #299223=ORIENTED_EDGE('',*,*,#214148,.F.); #299224=ORIENTED_EDGE('',*,*,#214149,.F.); #299225=ORIENTED_EDGE('',*,*,#214146,.T.); #299226=ORIENTED_EDGE('',*,*,#214072,.T.); #299227=ORIENTED_EDGE('',*,*,#214150,.F.); #299228=ORIENTED_EDGE('',*,*,#214151,.F.); #299229=ORIENTED_EDGE('',*,*,#214148,.T.); #299230=ORIENTED_EDGE('',*,*,#214071,.T.); #299231=ORIENTED_EDGE('',*,*,#214152,.F.); #299232=ORIENTED_EDGE('',*,*,#214153,.F.); #299233=ORIENTED_EDGE('',*,*,#214150,.T.); #299234=ORIENTED_EDGE('',*,*,#214070,.T.); #299235=ORIENTED_EDGE('',*,*,#214055,.F.); #299236=ORIENTED_EDGE('',*,*,#214154,.F.); #299237=ORIENTED_EDGE('',*,*,#214152,.T.); #299238=ORIENTED_EDGE('',*,*,#213510,.T.); #299239=ORIENTED_EDGE('',*,*,#214060,.T.); #299240=ORIENTED_EDGE('',*,*,#214098,.T.); #299241=ORIENTED_EDGE('',*,*,#214100,.T.); #299242=ORIENTED_EDGE('',*,*,#214102,.T.); #299243=ORIENTED_EDGE('',*,*,#214104,.T.); #299244=ORIENTED_EDGE('',*,*,#214106,.T.); #299245=ORIENTED_EDGE('',*,*,#214108,.T.); #299246=ORIENTED_EDGE('',*,*,#214110,.T.); #299247=ORIENTED_EDGE('',*,*,#214112,.T.); #299248=ORIENTED_EDGE('',*,*,#214114,.T.); #299249=ORIENTED_EDGE('',*,*,#214116,.T.); #299250=ORIENTED_EDGE('',*,*,#214118,.T.); #299251=ORIENTED_EDGE('',*,*,#214120,.T.); #299252=ORIENTED_EDGE('',*,*,#214122,.T.); #299253=ORIENTED_EDGE('',*,*,#214124,.T.); #299254=ORIENTED_EDGE('',*,*,#214125,.T.); #299255=ORIENTED_EDGE('',*,*,#214068,.T.); #299256=ORIENTED_EDGE('',*,*,#214127,.T.); #299257=ORIENTED_EDGE('',*,*,#214129,.T.); #299258=ORIENTED_EDGE('',*,*,#214131,.T.); #299259=ORIENTED_EDGE('',*,*,#214133,.T.); #299260=ORIENTED_EDGE('',*,*,#214135,.T.); #299261=ORIENTED_EDGE('',*,*,#214137,.T.); #299262=ORIENTED_EDGE('',*,*,#214139,.T.); #299263=ORIENTED_EDGE('',*,*,#214141,.T.); #299264=ORIENTED_EDGE('',*,*,#214143,.T.); #299265=ORIENTED_EDGE('',*,*,#214145,.T.); #299266=ORIENTED_EDGE('',*,*,#214147,.T.); #299267=ORIENTED_EDGE('',*,*,#214149,.T.); #299268=ORIENTED_EDGE('',*,*,#214151,.T.); #299269=ORIENTED_EDGE('',*,*,#214153,.T.); #299270=ORIENTED_EDGE('',*,*,#214154,.T.); #299271=ORIENTED_EDGE('',*,*,#214054,.T.); #299272=ORIENTED_EDGE('',*,*,#213490,.T.); #299273=ORIENTED_EDGE('',*,*,#214155,.T.); #299274=ORIENTED_EDGE('',*,*,#213498,.T.); #299275=ORIENTED_EDGE('',*,*,#213506,.T.); #299276=ORIENTED_EDGE('',*,*,#213497,.T.); #299277=ORIENTED_EDGE('',*,*,#214156,.T.); #299278=ORIENTED_EDGE('',*,*,#213499,.T.); #299279=ORIENTED_EDGE('',*,*,#214155,.F.); #299280=ORIENTED_EDGE('',*,*,#213496,.T.); #299281=ORIENTED_EDGE('',*,*,#213508,.T.); #299282=ORIENTED_EDGE('',*,*,#213500,.T.); #299283=ORIENTED_EDGE('',*,*,#214156,.F.); #299284=ORIENTED_EDGE('',*,*,#213487,.T.); #299285=ORIENTED_EDGE('',*,*,#213890,.F.); #299286=ORIENTED_EDGE('',*,*,#214157,.F.); #299287=ORIENTED_EDGE('',*,*,#213881,.F.); #299288=ORIENTED_EDGE('',*,*,#214158,.T.); #299289=ORIENTED_EDGE('',*,*,#213893,.F.); #299290=ORIENTED_EDGE('',*,*,#213886,.F.); #299291=ORIENTED_EDGE('',*,*,#213883,.F.); #299292=ORIENTED_EDGE('',*,*,#214157,.T.); #299293=ORIENTED_EDGE('',*,*,#213889,.F.); #299294=ORIENTED_EDGE('',*,*,#214158,.F.); #299295=ORIENTED_EDGE('',*,*,#213882,.F.); #299296=ORIENTED_EDGE('',*,*,#214089,.T.); #299297=ORIENTED_EDGE('',*,*,#213563,.T.); #299298=ORIENTED_EDGE('',*,*,#214014,.T.); #299299=ORIENTED_EDGE('',*,*,#214053,.T.); #299300=ORIENTED_EDGE('',*,*,#213477,.T.); #299301=ORIENTED_EDGE('',*,*,#214048,.F.); #299302=ORIENTED_EDGE('',*,*,#214159,.T.); #299303=ORIENTED_EDGE('',*,*,#214062,.F.); #299304=ORIENTED_EDGE('',*,*,#213481,.F.); #299305=ORIENTED_EDGE('',*,*,#213528,.T.); #299306=ORIENTED_EDGE('',*,*,#214018,.T.); #299307=ORIENTED_EDGE('',*,*,#214095,.F.); #299308=ORIENTED_EDGE('',*,*,#213485,.F.); #299309=ORIENTED_EDGE('',*,*,#214057,.F.); #299310=ORIENTED_EDGE('',*,*,#214063,.F.); #299311=ORIENTED_EDGE('',*,*,#214159,.F.); #299312=ORIENTED_EDGE('',*,*,#214047,.F.); #299313=ORIENTED_EDGE('',*,*,#214065,.F.); #299314=ORIENTED_EDGE('',*,*,#214160,.T.); #299315=ORIENTED_EDGE('',*,*,#214161,.F.); #299316=ORIENTED_EDGE('',*,*,#214162,.F.); #299317=ORIENTED_EDGE('',*,*,#214163,.T.); #299318=ORIENTED_EDGE('',*,*,#214164,.T.); #299319=ORIENTED_EDGE('',*,*,#214165,.T.); #299320=ORIENTED_EDGE('',*,*,#214166,.T.); #299321=ORIENTED_EDGE('',*,*,#214167,.T.); #299322=ORIENTED_EDGE('',*,*,#214168,.T.); #299323=ORIENTED_EDGE('',*,*,#214169,.T.); #299324=ORIENTED_EDGE('',*,*,#214170,.F.); #299325=ORIENTED_EDGE('',*,*,#214171,.F.); #299326=ORIENTED_EDGE('',*,*,#214172,.F.); #299327=ORIENTED_EDGE('',*,*,#214173,.F.); #299328=ORIENTED_EDGE('',*,*,#214174,.F.); #299329=ORIENTED_EDGE('',*,*,#214161,.T.); #299330=ORIENTED_EDGE('',*,*,#214175,.T.); #299331=ORIENTED_EDGE('',*,*,#214176,.F.); #299332=ORIENTED_EDGE('',*,*,#214177,.F.); #299333=ORIENTED_EDGE('',*,*,#214178,.T.); #299334=ORIENTED_EDGE('',*,*,#214179,.T.); #299335=ORIENTED_EDGE('',*,*,#214180,.T.); #299336=ORIENTED_EDGE('',*,*,#214181,.T.); #299337=ORIENTED_EDGE('',*,*,#214182,.F.); #299338=ORIENTED_EDGE('',*,*,#214183,.F.); #299339=ORIENTED_EDGE('',*,*,#214184,.F.); #299340=ORIENTED_EDGE('',*,*,#214185,.F.); #299341=ORIENTED_EDGE('',*,*,#214186,.F.); #299342=ORIENTED_EDGE('',*,*,#214187,.T.); #299343=ORIENTED_EDGE('',*,*,#214188,.F.); #299344=ORIENTED_EDGE('',*,*,#214189,.F.); #299345=ORIENTED_EDGE('',*,*,#214176,.T.); #299346=ORIENTED_EDGE('',*,*,#214190,.F.); #299347=ORIENTED_EDGE('',*,*,#214191,.T.); #299348=ORIENTED_EDGE('',*,*,#214192,.F.); #299349=ORIENTED_EDGE('',*,*,#214188,.T.); #299350=ORIENTED_EDGE('',*,*,#214193,.T.); #299351=ORIENTED_EDGE('',*,*,#214194,.T.); #299352=ORIENTED_EDGE('',*,*,#214195,.F.); #299353=ORIENTED_EDGE('',*,*,#214196,.T.); #299354=ORIENTED_EDGE('',*,*,#214190,.T.); #299355=ORIENTED_EDGE('',*,*,#214197,.T.); #299356=ORIENTED_EDGE('',*,*,#214198,.F.); #299357=ORIENTED_EDGE('',*,*,#214199,.T.); #299358=ORIENTED_EDGE('',*,*,#214195,.T.); #299359=ORIENTED_EDGE('',*,*,#214200,.T.); #299360=ORIENTED_EDGE('',*,*,#214201,.T.); #299361=ORIENTED_EDGE('',*,*,#214163,.F.); #299362=ORIENTED_EDGE('',*,*,#214192,.T.); #299363=ORIENTED_EDGE('',*,*,#214202,.T.); #299364=ORIENTED_EDGE('',*,*,#214198,.T.); #299365=ORIENTED_EDGE('',*,*,#214162,.T.); #299366=ORIENTED_EDGE('',*,*,#214174,.T.); #299367=ORIENTED_EDGE('',*,*,#214203,.T.); #299368=ORIENTED_EDGE('',*,*,#214177,.T.); #299369=ORIENTED_EDGE('',*,*,#214189,.T.); #299370=ORIENTED_EDGE('',*,*,#214201,.F.); #299371=ORIENTED_EDGE('',*,*,#214204,.T.); #299372=ORIENTED_EDGE('',*,*,#214193,.F.); #299373=ORIENTED_EDGE('',*,*,#214187,.F.); #299374=ORIENTED_EDGE('',*,*,#214175,.F.); #299375=ORIENTED_EDGE('',*,*,#214205,.F.); #299376=ORIENTED_EDGE('',*,*,#214164,.F.); #299377=ORIENTED_EDGE('',*,*,#214160,.F.); #299378=ORIENTED_EDGE('',*,*,#214169,.F.); #299379=ORIENTED_EDGE('',*,*,#214206,.F.); #299380=ORIENTED_EDGE('',*,*,#214182,.T.); #299381=ORIENTED_EDGE('',*,*,#214207,.T.); #299382=ORIENTED_EDGE('',*,*,#214173,.T.); #299383=ORIENTED_EDGE('',*,*,#214208,.F.); #299384=ORIENTED_EDGE('',*,*,#214178,.F.); #299385=ORIENTED_EDGE('',*,*,#214203,.F.); #299386=ORIENTED_EDGE('',*,*,#214172,.T.); #299387=ORIENTED_EDGE('',*,*,#214209,.F.); #299388=ORIENTED_EDGE('',*,*,#214179,.F.); #299389=ORIENTED_EDGE('',*,*,#214208,.T.); #299390=ORIENTED_EDGE('',*,*,#214171,.T.); #299391=ORIENTED_EDGE('',*,*,#214210,.F.); #299392=ORIENTED_EDGE('',*,*,#214180,.F.); #299393=ORIENTED_EDGE('',*,*,#214209,.T.); #299394=ORIENTED_EDGE('',*,*,#214170,.T.); #299395=ORIENTED_EDGE('',*,*,#214207,.F.); #299396=ORIENTED_EDGE('',*,*,#214181,.F.); #299397=ORIENTED_EDGE('',*,*,#214210,.T.); #299398=ORIENTED_EDGE('',*,*,#214165,.F.); #299399=ORIENTED_EDGE('',*,*,#214205,.T.); #299400=ORIENTED_EDGE('',*,*,#214186,.T.); #299401=ORIENTED_EDGE('',*,*,#214211,.T.); #299402=ORIENTED_EDGE('',*,*,#214166,.F.); #299403=ORIENTED_EDGE('',*,*,#214211,.F.); #299404=ORIENTED_EDGE('',*,*,#214185,.T.); #299405=ORIENTED_EDGE('',*,*,#214212,.T.); #299406=ORIENTED_EDGE('',*,*,#214167,.F.); #299407=ORIENTED_EDGE('',*,*,#214212,.F.); #299408=ORIENTED_EDGE('',*,*,#214184,.T.); #299409=ORIENTED_EDGE('',*,*,#214213,.T.); #299410=ORIENTED_EDGE('',*,*,#214168,.F.); #299411=ORIENTED_EDGE('',*,*,#214213,.F.); #299412=ORIENTED_EDGE('',*,*,#214183,.T.); #299413=ORIENTED_EDGE('',*,*,#214206,.T.); #299414=ORIENTED_EDGE('',*,*,#214191,.F.); #299415=ORIENTED_EDGE('',*,*,#214196,.F.); #299416=ORIENTED_EDGE('',*,*,#214199,.F.); #299417=ORIENTED_EDGE('',*,*,#214202,.F.); #299418=ORIENTED_EDGE('',*,*,#214194,.F.); #299419=ORIENTED_EDGE('',*,*,#214204,.F.); #299420=ORIENTED_EDGE('',*,*,#214200,.F.); #299421=ORIENTED_EDGE('',*,*,#214197,.F.); #299422=ORIENTED_EDGE('',*,*,#214214,.T.); #299423=ORIENTED_EDGE('',*,*,#214215,.F.); #299424=ORIENTED_EDGE('',*,*,#214216,.F.); #299425=ORIENTED_EDGE('',*,*,#214217,.T.); #299426=ORIENTED_EDGE('',*,*,#214218,.T.); #299427=ORIENTED_EDGE('',*,*,#214219,.T.); #299428=ORIENTED_EDGE('',*,*,#214220,.T.); #299429=ORIENTED_EDGE('',*,*,#214221,.T.); #299430=ORIENTED_EDGE('',*,*,#214222,.T.); #299431=ORIENTED_EDGE('',*,*,#214223,.T.); #299432=ORIENTED_EDGE('',*,*,#214224,.F.); #299433=ORIENTED_EDGE('',*,*,#214225,.F.); #299434=ORIENTED_EDGE('',*,*,#214226,.F.); #299435=ORIENTED_EDGE('',*,*,#214227,.F.); #299436=ORIENTED_EDGE('',*,*,#214228,.F.); #299437=ORIENTED_EDGE('',*,*,#214215,.T.); #299438=ORIENTED_EDGE('',*,*,#214229,.T.); #299439=ORIENTED_EDGE('',*,*,#214230,.F.); #299440=ORIENTED_EDGE('',*,*,#214231,.F.); #299441=ORIENTED_EDGE('',*,*,#214232,.T.); #299442=ORIENTED_EDGE('',*,*,#214233,.T.); #299443=ORIENTED_EDGE('',*,*,#214234,.T.); #299444=ORIENTED_EDGE('',*,*,#214235,.T.); #299445=ORIENTED_EDGE('',*,*,#214236,.F.); #299446=ORIENTED_EDGE('',*,*,#214237,.F.); #299447=ORIENTED_EDGE('',*,*,#214238,.F.); #299448=ORIENTED_EDGE('',*,*,#214239,.F.); #299449=ORIENTED_EDGE('',*,*,#214240,.F.); #299450=ORIENTED_EDGE('',*,*,#214241,.T.); #299451=ORIENTED_EDGE('',*,*,#214242,.F.); #299452=ORIENTED_EDGE('',*,*,#214243,.F.); #299453=ORIENTED_EDGE('',*,*,#214230,.T.); #299454=ORIENTED_EDGE('',*,*,#214244,.F.); #299455=ORIENTED_EDGE('',*,*,#214245,.T.); #299456=ORIENTED_EDGE('',*,*,#214246,.F.); #299457=ORIENTED_EDGE('',*,*,#214242,.T.); #299458=ORIENTED_EDGE('',*,*,#214247,.T.); #299459=ORIENTED_EDGE('',*,*,#214248,.T.); #299460=ORIENTED_EDGE('',*,*,#214249,.F.); #299461=ORIENTED_EDGE('',*,*,#214250,.T.); #299462=ORIENTED_EDGE('',*,*,#214244,.T.); #299463=ORIENTED_EDGE('',*,*,#214251,.T.); #299464=ORIENTED_EDGE('',*,*,#214252,.F.); #299465=ORIENTED_EDGE('',*,*,#214253,.T.); #299466=ORIENTED_EDGE('',*,*,#214249,.T.); #299467=ORIENTED_EDGE('',*,*,#214254,.T.); #299468=ORIENTED_EDGE('',*,*,#214255,.T.); #299469=ORIENTED_EDGE('',*,*,#214217,.F.); #299470=ORIENTED_EDGE('',*,*,#214246,.T.); #299471=ORIENTED_EDGE('',*,*,#214256,.T.); #299472=ORIENTED_EDGE('',*,*,#214252,.T.); #299473=ORIENTED_EDGE('',*,*,#214216,.T.); #299474=ORIENTED_EDGE('',*,*,#214228,.T.); #299475=ORIENTED_EDGE('',*,*,#214257,.T.); #299476=ORIENTED_EDGE('',*,*,#214231,.T.); #299477=ORIENTED_EDGE('',*,*,#214243,.T.); #299478=ORIENTED_EDGE('',*,*,#214255,.F.); #299479=ORIENTED_EDGE('',*,*,#214258,.T.); #299480=ORIENTED_EDGE('',*,*,#214247,.F.); #299481=ORIENTED_EDGE('',*,*,#214241,.F.); #299482=ORIENTED_EDGE('',*,*,#214229,.F.); #299483=ORIENTED_EDGE('',*,*,#214259,.F.); #299484=ORIENTED_EDGE('',*,*,#214218,.F.); #299485=ORIENTED_EDGE('',*,*,#214214,.F.); #299486=ORIENTED_EDGE('',*,*,#214223,.F.); #299487=ORIENTED_EDGE('',*,*,#214260,.F.); #299488=ORIENTED_EDGE('',*,*,#214236,.T.); #299489=ORIENTED_EDGE('',*,*,#214261,.T.); #299490=ORIENTED_EDGE('',*,*,#214227,.T.); #299491=ORIENTED_EDGE('',*,*,#214262,.F.); #299492=ORIENTED_EDGE('',*,*,#214232,.F.); #299493=ORIENTED_EDGE('',*,*,#214257,.F.); #299494=ORIENTED_EDGE('',*,*,#214226,.T.); #299495=ORIENTED_EDGE('',*,*,#214263,.F.); #299496=ORIENTED_EDGE('',*,*,#214233,.F.); #299497=ORIENTED_EDGE('',*,*,#214262,.T.); #299498=ORIENTED_EDGE('',*,*,#214225,.T.); #299499=ORIENTED_EDGE('',*,*,#214264,.F.); #299500=ORIENTED_EDGE('',*,*,#214234,.F.); #299501=ORIENTED_EDGE('',*,*,#214263,.T.); #299502=ORIENTED_EDGE('',*,*,#214224,.T.); #299503=ORIENTED_EDGE('',*,*,#214261,.F.); #299504=ORIENTED_EDGE('',*,*,#214235,.F.); #299505=ORIENTED_EDGE('',*,*,#214264,.T.); #299506=ORIENTED_EDGE('',*,*,#214219,.F.); #299507=ORIENTED_EDGE('',*,*,#214259,.T.); #299508=ORIENTED_EDGE('',*,*,#214240,.T.); #299509=ORIENTED_EDGE('',*,*,#214265,.T.); #299510=ORIENTED_EDGE('',*,*,#214220,.F.); #299511=ORIENTED_EDGE('',*,*,#214265,.F.); #299512=ORIENTED_EDGE('',*,*,#214239,.T.); #299513=ORIENTED_EDGE('',*,*,#214266,.T.); #299514=ORIENTED_EDGE('',*,*,#214221,.F.); #299515=ORIENTED_EDGE('',*,*,#214266,.F.); #299516=ORIENTED_EDGE('',*,*,#214238,.T.); #299517=ORIENTED_EDGE('',*,*,#214267,.T.); #299518=ORIENTED_EDGE('',*,*,#214222,.F.); #299519=ORIENTED_EDGE('',*,*,#214267,.F.); #299520=ORIENTED_EDGE('',*,*,#214237,.T.); #299521=ORIENTED_EDGE('',*,*,#214260,.T.); #299522=ORIENTED_EDGE('',*,*,#214245,.F.); #299523=ORIENTED_EDGE('',*,*,#214250,.F.); #299524=ORIENTED_EDGE('',*,*,#214253,.F.); #299525=ORIENTED_EDGE('',*,*,#214256,.F.); #299526=ORIENTED_EDGE('',*,*,#214248,.F.); #299527=ORIENTED_EDGE('',*,*,#214258,.F.); #299528=ORIENTED_EDGE('',*,*,#214254,.F.); #299529=ORIENTED_EDGE('',*,*,#214251,.F.); #299530=ORIENTED_EDGE('',*,*,#214268,.T.); #299531=ORIENTED_EDGE('',*,*,#214269,.F.); #299532=ORIENTED_EDGE('',*,*,#214270,.F.); #299533=ORIENTED_EDGE('',*,*,#214271,.T.); #299534=ORIENTED_EDGE('',*,*,#214272,.T.); #299535=ORIENTED_EDGE('',*,*,#214273,.T.); #299536=ORIENTED_EDGE('',*,*,#214274,.T.); #299537=ORIENTED_EDGE('',*,*,#214275,.T.); #299538=ORIENTED_EDGE('',*,*,#214276,.T.); #299539=ORIENTED_EDGE('',*,*,#214277,.T.); #299540=ORIENTED_EDGE('',*,*,#214278,.F.); #299541=ORIENTED_EDGE('',*,*,#214279,.F.); #299542=ORIENTED_EDGE('',*,*,#214280,.F.); #299543=ORIENTED_EDGE('',*,*,#214281,.F.); #299544=ORIENTED_EDGE('',*,*,#214282,.F.); #299545=ORIENTED_EDGE('',*,*,#214269,.T.); #299546=ORIENTED_EDGE('',*,*,#214283,.T.); #299547=ORIENTED_EDGE('',*,*,#214284,.F.); #299548=ORIENTED_EDGE('',*,*,#214285,.F.); #299549=ORIENTED_EDGE('',*,*,#214286,.T.); #299550=ORIENTED_EDGE('',*,*,#214287,.T.); #299551=ORIENTED_EDGE('',*,*,#214288,.T.); #299552=ORIENTED_EDGE('',*,*,#214289,.T.); #299553=ORIENTED_EDGE('',*,*,#214290,.F.); #299554=ORIENTED_EDGE('',*,*,#214291,.F.); #299555=ORIENTED_EDGE('',*,*,#214292,.F.); #299556=ORIENTED_EDGE('',*,*,#214293,.F.); #299557=ORIENTED_EDGE('',*,*,#214294,.F.); #299558=ORIENTED_EDGE('',*,*,#214295,.T.); #299559=ORIENTED_EDGE('',*,*,#214296,.F.); #299560=ORIENTED_EDGE('',*,*,#214297,.F.); #299561=ORIENTED_EDGE('',*,*,#214284,.T.); #299562=ORIENTED_EDGE('',*,*,#214298,.F.); #299563=ORIENTED_EDGE('',*,*,#214299,.T.); #299564=ORIENTED_EDGE('',*,*,#214300,.F.); #299565=ORIENTED_EDGE('',*,*,#214296,.T.); #299566=ORIENTED_EDGE('',*,*,#214301,.T.); #299567=ORIENTED_EDGE('',*,*,#214302,.T.); #299568=ORIENTED_EDGE('',*,*,#214303,.F.); #299569=ORIENTED_EDGE('',*,*,#214304,.T.); #299570=ORIENTED_EDGE('',*,*,#214298,.T.); #299571=ORIENTED_EDGE('',*,*,#214305,.T.); #299572=ORIENTED_EDGE('',*,*,#214306,.F.); #299573=ORIENTED_EDGE('',*,*,#214307,.T.); #299574=ORIENTED_EDGE('',*,*,#214303,.T.); #299575=ORIENTED_EDGE('',*,*,#214308,.T.); #299576=ORIENTED_EDGE('',*,*,#214309,.T.); #299577=ORIENTED_EDGE('',*,*,#214271,.F.); #299578=ORIENTED_EDGE('',*,*,#214300,.T.); #299579=ORIENTED_EDGE('',*,*,#214310,.T.); #299580=ORIENTED_EDGE('',*,*,#214306,.T.); #299581=ORIENTED_EDGE('',*,*,#214270,.T.); #299582=ORIENTED_EDGE('',*,*,#214282,.T.); #299583=ORIENTED_EDGE('',*,*,#214311,.T.); #299584=ORIENTED_EDGE('',*,*,#214285,.T.); #299585=ORIENTED_EDGE('',*,*,#214297,.T.); #299586=ORIENTED_EDGE('',*,*,#214309,.F.); #299587=ORIENTED_EDGE('',*,*,#214312,.T.); #299588=ORIENTED_EDGE('',*,*,#214301,.F.); #299589=ORIENTED_EDGE('',*,*,#214295,.F.); #299590=ORIENTED_EDGE('',*,*,#214283,.F.); #299591=ORIENTED_EDGE('',*,*,#214313,.F.); #299592=ORIENTED_EDGE('',*,*,#214272,.F.); #299593=ORIENTED_EDGE('',*,*,#214268,.F.); #299594=ORIENTED_EDGE('',*,*,#214277,.F.); #299595=ORIENTED_EDGE('',*,*,#214314,.F.); #299596=ORIENTED_EDGE('',*,*,#214290,.T.); #299597=ORIENTED_EDGE('',*,*,#214315,.T.); #299598=ORIENTED_EDGE('',*,*,#214281,.T.); #299599=ORIENTED_EDGE('',*,*,#214316,.F.); #299600=ORIENTED_EDGE('',*,*,#214286,.F.); #299601=ORIENTED_EDGE('',*,*,#214311,.F.); #299602=ORIENTED_EDGE('',*,*,#214280,.T.); #299603=ORIENTED_EDGE('',*,*,#214317,.F.); #299604=ORIENTED_EDGE('',*,*,#214287,.F.); #299605=ORIENTED_EDGE('',*,*,#214316,.T.); #299606=ORIENTED_EDGE('',*,*,#214279,.T.); #299607=ORIENTED_EDGE('',*,*,#214318,.F.); #299608=ORIENTED_EDGE('',*,*,#214288,.F.); #299609=ORIENTED_EDGE('',*,*,#214317,.T.); #299610=ORIENTED_EDGE('',*,*,#214278,.T.); #299611=ORIENTED_EDGE('',*,*,#214315,.F.); #299612=ORIENTED_EDGE('',*,*,#214289,.F.); #299613=ORIENTED_EDGE('',*,*,#214318,.T.); #299614=ORIENTED_EDGE('',*,*,#214273,.F.); #299615=ORIENTED_EDGE('',*,*,#214313,.T.); #299616=ORIENTED_EDGE('',*,*,#214294,.T.); #299617=ORIENTED_EDGE('',*,*,#214319,.T.); #299618=ORIENTED_EDGE('',*,*,#214274,.F.); #299619=ORIENTED_EDGE('',*,*,#214319,.F.); #299620=ORIENTED_EDGE('',*,*,#214293,.T.); #299621=ORIENTED_EDGE('',*,*,#214320,.T.); #299622=ORIENTED_EDGE('',*,*,#214275,.F.); #299623=ORIENTED_EDGE('',*,*,#214320,.F.); #299624=ORIENTED_EDGE('',*,*,#214292,.T.); #299625=ORIENTED_EDGE('',*,*,#214321,.T.); #299626=ORIENTED_EDGE('',*,*,#214276,.F.); #299627=ORIENTED_EDGE('',*,*,#214321,.F.); #299628=ORIENTED_EDGE('',*,*,#214291,.T.); #299629=ORIENTED_EDGE('',*,*,#214314,.T.); #299630=ORIENTED_EDGE('',*,*,#214299,.F.); #299631=ORIENTED_EDGE('',*,*,#214304,.F.); #299632=ORIENTED_EDGE('',*,*,#214307,.F.); #299633=ORIENTED_EDGE('',*,*,#214310,.F.); #299634=ORIENTED_EDGE('',*,*,#214302,.F.); #299635=ORIENTED_EDGE('',*,*,#214312,.F.); #299636=ORIENTED_EDGE('',*,*,#214308,.F.); #299637=ORIENTED_EDGE('',*,*,#214305,.F.); #299638=ORIENTED_EDGE('',*,*,#214322,.T.); #299639=ORIENTED_EDGE('',*,*,#214323,.F.); #299640=ORIENTED_EDGE('',*,*,#214324,.F.); #299641=ORIENTED_EDGE('',*,*,#214325,.T.); #299642=ORIENTED_EDGE('',*,*,#214326,.T.); #299643=ORIENTED_EDGE('',*,*,#214327,.T.); #299644=ORIENTED_EDGE('',*,*,#214328,.T.); #299645=ORIENTED_EDGE('',*,*,#214329,.T.); #299646=ORIENTED_EDGE('',*,*,#214330,.T.); #299647=ORIENTED_EDGE('',*,*,#214331,.T.); #299648=ORIENTED_EDGE('',*,*,#214332,.F.); #299649=ORIENTED_EDGE('',*,*,#214333,.F.); #299650=ORIENTED_EDGE('',*,*,#214334,.F.); #299651=ORIENTED_EDGE('',*,*,#214335,.F.); #299652=ORIENTED_EDGE('',*,*,#214336,.F.); #299653=ORIENTED_EDGE('',*,*,#214323,.T.); #299654=ORIENTED_EDGE('',*,*,#214337,.T.); #299655=ORIENTED_EDGE('',*,*,#214338,.F.); #299656=ORIENTED_EDGE('',*,*,#214339,.F.); #299657=ORIENTED_EDGE('',*,*,#214340,.T.); #299658=ORIENTED_EDGE('',*,*,#214341,.T.); #299659=ORIENTED_EDGE('',*,*,#214342,.T.); #299660=ORIENTED_EDGE('',*,*,#214343,.T.); #299661=ORIENTED_EDGE('',*,*,#214344,.F.); #299662=ORIENTED_EDGE('',*,*,#214345,.F.); #299663=ORIENTED_EDGE('',*,*,#214346,.F.); #299664=ORIENTED_EDGE('',*,*,#214347,.F.); #299665=ORIENTED_EDGE('',*,*,#214348,.F.); #299666=ORIENTED_EDGE('',*,*,#214349,.T.); #299667=ORIENTED_EDGE('',*,*,#214350,.F.); #299668=ORIENTED_EDGE('',*,*,#214351,.F.); #299669=ORIENTED_EDGE('',*,*,#214338,.T.); #299670=ORIENTED_EDGE('',*,*,#214352,.F.); #299671=ORIENTED_EDGE('',*,*,#214353,.T.); #299672=ORIENTED_EDGE('',*,*,#214354,.F.); #299673=ORIENTED_EDGE('',*,*,#214350,.T.); #299674=ORIENTED_EDGE('',*,*,#214355,.T.); #299675=ORIENTED_EDGE('',*,*,#214356,.T.); #299676=ORIENTED_EDGE('',*,*,#214357,.F.); #299677=ORIENTED_EDGE('',*,*,#214358,.T.); #299678=ORIENTED_EDGE('',*,*,#214352,.T.); #299679=ORIENTED_EDGE('',*,*,#214359,.T.); #299680=ORIENTED_EDGE('',*,*,#214360,.F.); #299681=ORIENTED_EDGE('',*,*,#214361,.T.); #299682=ORIENTED_EDGE('',*,*,#214357,.T.); #299683=ORIENTED_EDGE('',*,*,#214362,.T.); #299684=ORIENTED_EDGE('',*,*,#214363,.T.); #299685=ORIENTED_EDGE('',*,*,#214325,.F.); #299686=ORIENTED_EDGE('',*,*,#214354,.T.); #299687=ORIENTED_EDGE('',*,*,#214364,.T.); #299688=ORIENTED_EDGE('',*,*,#214360,.T.); #299689=ORIENTED_EDGE('',*,*,#214324,.T.); #299690=ORIENTED_EDGE('',*,*,#214336,.T.); #299691=ORIENTED_EDGE('',*,*,#214365,.T.); #299692=ORIENTED_EDGE('',*,*,#214339,.T.); #299693=ORIENTED_EDGE('',*,*,#214351,.T.); #299694=ORIENTED_EDGE('',*,*,#214363,.F.); #299695=ORIENTED_EDGE('',*,*,#214366,.T.); #299696=ORIENTED_EDGE('',*,*,#214355,.F.); #299697=ORIENTED_EDGE('',*,*,#214349,.F.); #299698=ORIENTED_EDGE('',*,*,#214337,.F.); #299699=ORIENTED_EDGE('',*,*,#214367,.F.); #299700=ORIENTED_EDGE('',*,*,#214326,.F.); #299701=ORIENTED_EDGE('',*,*,#214322,.F.); #299702=ORIENTED_EDGE('',*,*,#214331,.F.); #299703=ORIENTED_EDGE('',*,*,#214368,.F.); #299704=ORIENTED_EDGE('',*,*,#214344,.T.); #299705=ORIENTED_EDGE('',*,*,#214369,.T.); #299706=ORIENTED_EDGE('',*,*,#214335,.T.); #299707=ORIENTED_EDGE('',*,*,#214370,.F.); #299708=ORIENTED_EDGE('',*,*,#214340,.F.); #299709=ORIENTED_EDGE('',*,*,#214365,.F.); #299710=ORIENTED_EDGE('',*,*,#214334,.T.); #299711=ORIENTED_EDGE('',*,*,#214371,.F.); #299712=ORIENTED_EDGE('',*,*,#214341,.F.); #299713=ORIENTED_EDGE('',*,*,#214370,.T.); #299714=ORIENTED_EDGE('',*,*,#214333,.T.); #299715=ORIENTED_EDGE('',*,*,#214372,.F.); #299716=ORIENTED_EDGE('',*,*,#214342,.F.); #299717=ORIENTED_EDGE('',*,*,#214371,.T.); #299718=ORIENTED_EDGE('',*,*,#214332,.T.); #299719=ORIENTED_EDGE('',*,*,#214369,.F.); #299720=ORIENTED_EDGE('',*,*,#214343,.F.); #299721=ORIENTED_EDGE('',*,*,#214372,.T.); #299722=ORIENTED_EDGE('',*,*,#214327,.F.); #299723=ORIENTED_EDGE('',*,*,#214367,.T.); #299724=ORIENTED_EDGE('',*,*,#214348,.T.); #299725=ORIENTED_EDGE('',*,*,#214373,.T.); #299726=ORIENTED_EDGE('',*,*,#214328,.F.); #299727=ORIENTED_EDGE('',*,*,#214373,.F.); #299728=ORIENTED_EDGE('',*,*,#214347,.T.); #299729=ORIENTED_EDGE('',*,*,#214374,.T.); #299730=ORIENTED_EDGE('',*,*,#214329,.F.); #299731=ORIENTED_EDGE('',*,*,#214374,.F.); #299732=ORIENTED_EDGE('',*,*,#214346,.T.); #299733=ORIENTED_EDGE('',*,*,#214375,.T.); #299734=ORIENTED_EDGE('',*,*,#214330,.F.); #299735=ORIENTED_EDGE('',*,*,#214375,.F.); #299736=ORIENTED_EDGE('',*,*,#214345,.T.); #299737=ORIENTED_EDGE('',*,*,#214368,.T.); #299738=ORIENTED_EDGE('',*,*,#214353,.F.); #299739=ORIENTED_EDGE('',*,*,#214358,.F.); #299740=ORIENTED_EDGE('',*,*,#214361,.F.); #299741=ORIENTED_EDGE('',*,*,#214364,.F.); #299742=ORIENTED_EDGE('',*,*,#214356,.F.); #299743=ORIENTED_EDGE('',*,*,#214366,.F.); #299744=ORIENTED_EDGE('',*,*,#214362,.F.); #299745=ORIENTED_EDGE('',*,*,#214359,.F.); #299746=ORIENTED_EDGE('',*,*,#214376,.T.); #299747=ORIENTED_EDGE('',*,*,#214377,.F.); #299748=ORIENTED_EDGE('',*,*,#214378,.F.); #299749=ORIENTED_EDGE('',*,*,#214379,.T.); #299750=ORIENTED_EDGE('',*,*,#214380,.T.); #299751=ORIENTED_EDGE('',*,*,#214381,.T.); #299752=ORIENTED_EDGE('',*,*,#214382,.T.); #299753=ORIENTED_EDGE('',*,*,#214383,.T.); #299754=ORIENTED_EDGE('',*,*,#214384,.T.); #299755=ORIENTED_EDGE('',*,*,#214385,.T.); #299756=ORIENTED_EDGE('',*,*,#214386,.F.); #299757=ORIENTED_EDGE('',*,*,#214387,.F.); #299758=ORIENTED_EDGE('',*,*,#214388,.F.); #299759=ORIENTED_EDGE('',*,*,#214389,.F.); #299760=ORIENTED_EDGE('',*,*,#214390,.F.); #299761=ORIENTED_EDGE('',*,*,#214377,.T.); #299762=ORIENTED_EDGE('',*,*,#214391,.T.); #299763=ORIENTED_EDGE('',*,*,#214392,.F.); #299764=ORIENTED_EDGE('',*,*,#214393,.F.); #299765=ORIENTED_EDGE('',*,*,#214394,.T.); #299766=ORIENTED_EDGE('',*,*,#214395,.T.); #299767=ORIENTED_EDGE('',*,*,#214396,.T.); #299768=ORIENTED_EDGE('',*,*,#214397,.T.); #299769=ORIENTED_EDGE('',*,*,#214398,.F.); #299770=ORIENTED_EDGE('',*,*,#214399,.F.); #299771=ORIENTED_EDGE('',*,*,#214400,.F.); #299772=ORIENTED_EDGE('',*,*,#214401,.F.); #299773=ORIENTED_EDGE('',*,*,#214402,.F.); #299774=ORIENTED_EDGE('',*,*,#214403,.T.); #299775=ORIENTED_EDGE('',*,*,#214404,.F.); #299776=ORIENTED_EDGE('',*,*,#214405,.F.); #299777=ORIENTED_EDGE('',*,*,#214392,.T.); #299778=ORIENTED_EDGE('',*,*,#214406,.F.); #299779=ORIENTED_EDGE('',*,*,#214407,.T.); #299780=ORIENTED_EDGE('',*,*,#214408,.F.); #299781=ORIENTED_EDGE('',*,*,#214404,.T.); #299782=ORIENTED_EDGE('',*,*,#214409,.T.); #299783=ORIENTED_EDGE('',*,*,#214410,.T.); #299784=ORIENTED_EDGE('',*,*,#214411,.F.); #299785=ORIENTED_EDGE('',*,*,#214412,.T.); #299786=ORIENTED_EDGE('',*,*,#214406,.T.); #299787=ORIENTED_EDGE('',*,*,#214413,.T.); #299788=ORIENTED_EDGE('',*,*,#214414,.F.); #299789=ORIENTED_EDGE('',*,*,#214415,.T.); #299790=ORIENTED_EDGE('',*,*,#214411,.T.); #299791=ORIENTED_EDGE('',*,*,#214416,.T.); #299792=ORIENTED_EDGE('',*,*,#214417,.T.); #299793=ORIENTED_EDGE('',*,*,#214379,.F.); #299794=ORIENTED_EDGE('',*,*,#214408,.T.); #299795=ORIENTED_EDGE('',*,*,#214418,.T.); #299796=ORIENTED_EDGE('',*,*,#214414,.T.); #299797=ORIENTED_EDGE('',*,*,#214378,.T.); #299798=ORIENTED_EDGE('',*,*,#214390,.T.); #299799=ORIENTED_EDGE('',*,*,#214419,.T.); #299800=ORIENTED_EDGE('',*,*,#214393,.T.); #299801=ORIENTED_EDGE('',*,*,#214405,.T.); #299802=ORIENTED_EDGE('',*,*,#214417,.F.); #299803=ORIENTED_EDGE('',*,*,#214420,.T.); #299804=ORIENTED_EDGE('',*,*,#214409,.F.); #299805=ORIENTED_EDGE('',*,*,#214403,.F.); #299806=ORIENTED_EDGE('',*,*,#214391,.F.); #299807=ORIENTED_EDGE('',*,*,#214421,.F.); #299808=ORIENTED_EDGE('',*,*,#214380,.F.); #299809=ORIENTED_EDGE('',*,*,#214376,.F.); #299810=ORIENTED_EDGE('',*,*,#214385,.F.); #299811=ORIENTED_EDGE('',*,*,#214422,.F.); #299812=ORIENTED_EDGE('',*,*,#214398,.T.); #299813=ORIENTED_EDGE('',*,*,#214423,.T.); #299814=ORIENTED_EDGE('',*,*,#214389,.T.); #299815=ORIENTED_EDGE('',*,*,#214424,.F.); #299816=ORIENTED_EDGE('',*,*,#214394,.F.); #299817=ORIENTED_EDGE('',*,*,#214419,.F.); #299818=ORIENTED_EDGE('',*,*,#214388,.T.); #299819=ORIENTED_EDGE('',*,*,#214425,.F.); #299820=ORIENTED_EDGE('',*,*,#214395,.F.); #299821=ORIENTED_EDGE('',*,*,#214424,.T.); #299822=ORIENTED_EDGE('',*,*,#214387,.T.); #299823=ORIENTED_EDGE('',*,*,#214426,.F.); #299824=ORIENTED_EDGE('',*,*,#214396,.F.); #299825=ORIENTED_EDGE('',*,*,#214425,.T.); #299826=ORIENTED_EDGE('',*,*,#214386,.T.); #299827=ORIENTED_EDGE('',*,*,#214423,.F.); #299828=ORIENTED_EDGE('',*,*,#214397,.F.); #299829=ORIENTED_EDGE('',*,*,#214426,.T.); #299830=ORIENTED_EDGE('',*,*,#214381,.F.); #299831=ORIENTED_EDGE('',*,*,#214421,.T.); #299832=ORIENTED_EDGE('',*,*,#214402,.T.); #299833=ORIENTED_EDGE('',*,*,#214427,.T.); #299834=ORIENTED_EDGE('',*,*,#214382,.F.); #299835=ORIENTED_EDGE('',*,*,#214427,.F.); #299836=ORIENTED_EDGE('',*,*,#214401,.T.); #299837=ORIENTED_EDGE('',*,*,#214428,.T.); #299838=ORIENTED_EDGE('',*,*,#214383,.F.); #299839=ORIENTED_EDGE('',*,*,#214428,.F.); #299840=ORIENTED_EDGE('',*,*,#214400,.T.); #299841=ORIENTED_EDGE('',*,*,#214429,.T.); #299842=ORIENTED_EDGE('',*,*,#214384,.F.); #299843=ORIENTED_EDGE('',*,*,#214429,.F.); #299844=ORIENTED_EDGE('',*,*,#214399,.T.); #299845=ORIENTED_EDGE('',*,*,#214422,.T.); #299846=ORIENTED_EDGE('',*,*,#214407,.F.); #299847=ORIENTED_EDGE('',*,*,#214412,.F.); #299848=ORIENTED_EDGE('',*,*,#214415,.F.); #299849=ORIENTED_EDGE('',*,*,#214418,.F.); #299850=ORIENTED_EDGE('',*,*,#214410,.F.); #299851=ORIENTED_EDGE('',*,*,#214420,.F.); #299852=ORIENTED_EDGE('',*,*,#214416,.F.); #299853=ORIENTED_EDGE('',*,*,#214413,.F.); #299854=ORIENTED_EDGE('',*,*,#214430,.T.); #299855=ORIENTED_EDGE('',*,*,#214431,.F.); #299856=ORIENTED_EDGE('',*,*,#214432,.F.); #299857=ORIENTED_EDGE('',*,*,#214433,.T.); #299858=ORIENTED_EDGE('',*,*,#214434,.T.); #299859=ORIENTED_EDGE('',*,*,#214435,.T.); #299860=ORIENTED_EDGE('',*,*,#214436,.T.); #299861=ORIENTED_EDGE('',*,*,#214437,.T.); #299862=ORIENTED_EDGE('',*,*,#214438,.T.); #299863=ORIENTED_EDGE('',*,*,#214439,.T.); #299864=ORIENTED_EDGE('',*,*,#214440,.F.); #299865=ORIENTED_EDGE('',*,*,#214441,.F.); #299866=ORIENTED_EDGE('',*,*,#214442,.F.); #299867=ORIENTED_EDGE('',*,*,#214443,.F.); #299868=ORIENTED_EDGE('',*,*,#214444,.F.); #299869=ORIENTED_EDGE('',*,*,#214431,.T.); #299870=ORIENTED_EDGE('',*,*,#214445,.T.); #299871=ORIENTED_EDGE('',*,*,#214446,.F.); #299872=ORIENTED_EDGE('',*,*,#214447,.F.); #299873=ORIENTED_EDGE('',*,*,#214448,.T.); #299874=ORIENTED_EDGE('',*,*,#214449,.T.); #299875=ORIENTED_EDGE('',*,*,#214450,.T.); #299876=ORIENTED_EDGE('',*,*,#214451,.T.); #299877=ORIENTED_EDGE('',*,*,#214452,.F.); #299878=ORIENTED_EDGE('',*,*,#214453,.F.); #299879=ORIENTED_EDGE('',*,*,#214454,.F.); #299880=ORIENTED_EDGE('',*,*,#214455,.F.); #299881=ORIENTED_EDGE('',*,*,#214456,.F.); #299882=ORIENTED_EDGE('',*,*,#214457,.T.); #299883=ORIENTED_EDGE('',*,*,#214458,.F.); #299884=ORIENTED_EDGE('',*,*,#214459,.F.); #299885=ORIENTED_EDGE('',*,*,#214446,.T.); #299886=ORIENTED_EDGE('',*,*,#214460,.F.); #299887=ORIENTED_EDGE('',*,*,#214461,.T.); #299888=ORIENTED_EDGE('',*,*,#214462,.F.); #299889=ORIENTED_EDGE('',*,*,#214458,.T.); #299890=ORIENTED_EDGE('',*,*,#214463,.T.); #299891=ORIENTED_EDGE('',*,*,#214464,.T.); #299892=ORIENTED_EDGE('',*,*,#214465,.F.); #299893=ORIENTED_EDGE('',*,*,#214466,.T.); #299894=ORIENTED_EDGE('',*,*,#214460,.T.); #299895=ORIENTED_EDGE('',*,*,#214467,.T.); #299896=ORIENTED_EDGE('',*,*,#214468,.F.); #299897=ORIENTED_EDGE('',*,*,#214469,.T.); #299898=ORIENTED_EDGE('',*,*,#214465,.T.); #299899=ORIENTED_EDGE('',*,*,#214470,.T.); #299900=ORIENTED_EDGE('',*,*,#214471,.T.); #299901=ORIENTED_EDGE('',*,*,#214433,.F.); #299902=ORIENTED_EDGE('',*,*,#214462,.T.); #299903=ORIENTED_EDGE('',*,*,#214472,.T.); #299904=ORIENTED_EDGE('',*,*,#214468,.T.); #299905=ORIENTED_EDGE('',*,*,#214432,.T.); #299906=ORIENTED_EDGE('',*,*,#214444,.T.); #299907=ORIENTED_EDGE('',*,*,#214473,.T.); #299908=ORIENTED_EDGE('',*,*,#214447,.T.); #299909=ORIENTED_EDGE('',*,*,#214459,.T.); #299910=ORIENTED_EDGE('',*,*,#214471,.F.); #299911=ORIENTED_EDGE('',*,*,#214474,.T.); #299912=ORIENTED_EDGE('',*,*,#214463,.F.); #299913=ORIENTED_EDGE('',*,*,#214457,.F.); #299914=ORIENTED_EDGE('',*,*,#214445,.F.); #299915=ORIENTED_EDGE('',*,*,#214475,.F.); #299916=ORIENTED_EDGE('',*,*,#214434,.F.); #299917=ORIENTED_EDGE('',*,*,#214430,.F.); #299918=ORIENTED_EDGE('',*,*,#214439,.F.); #299919=ORIENTED_EDGE('',*,*,#214476,.F.); #299920=ORIENTED_EDGE('',*,*,#214452,.T.); #299921=ORIENTED_EDGE('',*,*,#214477,.T.); #299922=ORIENTED_EDGE('',*,*,#214443,.T.); #299923=ORIENTED_EDGE('',*,*,#214478,.F.); #299924=ORIENTED_EDGE('',*,*,#214448,.F.); #299925=ORIENTED_EDGE('',*,*,#214473,.F.); #299926=ORIENTED_EDGE('',*,*,#214442,.T.); #299927=ORIENTED_EDGE('',*,*,#214479,.F.); #299928=ORIENTED_EDGE('',*,*,#214449,.F.); #299929=ORIENTED_EDGE('',*,*,#214478,.T.); #299930=ORIENTED_EDGE('',*,*,#214441,.T.); #299931=ORIENTED_EDGE('',*,*,#214480,.F.); #299932=ORIENTED_EDGE('',*,*,#214450,.F.); #299933=ORIENTED_EDGE('',*,*,#214479,.T.); #299934=ORIENTED_EDGE('',*,*,#214440,.T.); #299935=ORIENTED_EDGE('',*,*,#214477,.F.); #299936=ORIENTED_EDGE('',*,*,#214451,.F.); #299937=ORIENTED_EDGE('',*,*,#214480,.T.); #299938=ORIENTED_EDGE('',*,*,#214435,.F.); #299939=ORIENTED_EDGE('',*,*,#214475,.T.); #299940=ORIENTED_EDGE('',*,*,#214456,.T.); #299941=ORIENTED_EDGE('',*,*,#214481,.T.); #299942=ORIENTED_EDGE('',*,*,#214436,.F.); #299943=ORIENTED_EDGE('',*,*,#214481,.F.); #299944=ORIENTED_EDGE('',*,*,#214455,.T.); #299945=ORIENTED_EDGE('',*,*,#214482,.T.); #299946=ORIENTED_EDGE('',*,*,#214437,.F.); #299947=ORIENTED_EDGE('',*,*,#214482,.F.); #299948=ORIENTED_EDGE('',*,*,#214454,.T.); #299949=ORIENTED_EDGE('',*,*,#214483,.T.); #299950=ORIENTED_EDGE('',*,*,#214438,.F.); #299951=ORIENTED_EDGE('',*,*,#214483,.F.); #299952=ORIENTED_EDGE('',*,*,#214453,.T.); #299953=ORIENTED_EDGE('',*,*,#214476,.T.); #299954=ORIENTED_EDGE('',*,*,#214461,.F.); #299955=ORIENTED_EDGE('',*,*,#214466,.F.); #299956=ORIENTED_EDGE('',*,*,#214469,.F.); #299957=ORIENTED_EDGE('',*,*,#214472,.F.); #299958=ORIENTED_EDGE('',*,*,#214464,.F.); #299959=ORIENTED_EDGE('',*,*,#214474,.F.); #299960=ORIENTED_EDGE('',*,*,#214470,.F.); #299961=ORIENTED_EDGE('',*,*,#214467,.F.); #299962=ORIENTED_EDGE('',*,*,#214484,.T.); #299963=ORIENTED_EDGE('',*,*,#214485,.F.); #299964=ORIENTED_EDGE('',*,*,#214486,.F.); #299965=ORIENTED_EDGE('',*,*,#214487,.T.); #299966=ORIENTED_EDGE('',*,*,#214488,.T.); #299967=ORIENTED_EDGE('',*,*,#214489,.T.); #299968=ORIENTED_EDGE('',*,*,#214490,.T.); #299969=ORIENTED_EDGE('',*,*,#214491,.T.); #299970=ORIENTED_EDGE('',*,*,#214492,.T.); #299971=ORIENTED_EDGE('',*,*,#214493,.T.); #299972=ORIENTED_EDGE('',*,*,#214494,.F.); #299973=ORIENTED_EDGE('',*,*,#214495,.F.); #299974=ORIENTED_EDGE('',*,*,#214496,.F.); #299975=ORIENTED_EDGE('',*,*,#214497,.F.); #299976=ORIENTED_EDGE('',*,*,#214498,.F.); #299977=ORIENTED_EDGE('',*,*,#214485,.T.); #299978=ORIENTED_EDGE('',*,*,#214499,.T.); #299979=ORIENTED_EDGE('',*,*,#214500,.F.); #299980=ORIENTED_EDGE('',*,*,#214501,.F.); #299981=ORIENTED_EDGE('',*,*,#214502,.T.); #299982=ORIENTED_EDGE('',*,*,#214503,.T.); #299983=ORIENTED_EDGE('',*,*,#214504,.T.); #299984=ORIENTED_EDGE('',*,*,#214505,.T.); #299985=ORIENTED_EDGE('',*,*,#214506,.F.); #299986=ORIENTED_EDGE('',*,*,#214507,.F.); #299987=ORIENTED_EDGE('',*,*,#214508,.F.); #299988=ORIENTED_EDGE('',*,*,#214509,.F.); #299989=ORIENTED_EDGE('',*,*,#214510,.F.); #299990=ORIENTED_EDGE('',*,*,#214511,.T.); #299991=ORIENTED_EDGE('',*,*,#214512,.F.); #299992=ORIENTED_EDGE('',*,*,#214513,.F.); #299993=ORIENTED_EDGE('',*,*,#214500,.T.); #299994=ORIENTED_EDGE('',*,*,#214514,.F.); #299995=ORIENTED_EDGE('',*,*,#214515,.T.); #299996=ORIENTED_EDGE('',*,*,#214516,.F.); #299997=ORIENTED_EDGE('',*,*,#214512,.T.); #299998=ORIENTED_EDGE('',*,*,#214517,.T.); #299999=ORIENTED_EDGE('',*,*,#214518,.T.); #300000=ORIENTED_EDGE('',*,*,#214519,.F.); #300001=ORIENTED_EDGE('',*,*,#214520,.T.); #300002=ORIENTED_EDGE('',*,*,#214514,.T.); #300003=ORIENTED_EDGE('',*,*,#214521,.T.); #300004=ORIENTED_EDGE('',*,*,#214522,.F.); #300005=ORIENTED_EDGE('',*,*,#214523,.T.); #300006=ORIENTED_EDGE('',*,*,#214519,.T.); #300007=ORIENTED_EDGE('',*,*,#214524,.T.); #300008=ORIENTED_EDGE('',*,*,#214525,.T.); #300009=ORIENTED_EDGE('',*,*,#214487,.F.); #300010=ORIENTED_EDGE('',*,*,#214516,.T.); #300011=ORIENTED_EDGE('',*,*,#214526,.T.); #300012=ORIENTED_EDGE('',*,*,#214522,.T.); #300013=ORIENTED_EDGE('',*,*,#214486,.T.); #300014=ORIENTED_EDGE('',*,*,#214498,.T.); #300015=ORIENTED_EDGE('',*,*,#214527,.T.); #300016=ORIENTED_EDGE('',*,*,#214501,.T.); #300017=ORIENTED_EDGE('',*,*,#214513,.T.); #300018=ORIENTED_EDGE('',*,*,#214525,.F.); #300019=ORIENTED_EDGE('',*,*,#214528,.T.); #300020=ORIENTED_EDGE('',*,*,#214517,.F.); #300021=ORIENTED_EDGE('',*,*,#214511,.F.); #300022=ORIENTED_EDGE('',*,*,#214499,.F.); #300023=ORIENTED_EDGE('',*,*,#214529,.F.); #300024=ORIENTED_EDGE('',*,*,#214488,.F.); #300025=ORIENTED_EDGE('',*,*,#214484,.F.); #300026=ORIENTED_EDGE('',*,*,#214493,.F.); #300027=ORIENTED_EDGE('',*,*,#214530,.F.); #300028=ORIENTED_EDGE('',*,*,#214506,.T.); #300029=ORIENTED_EDGE('',*,*,#214531,.T.); #300030=ORIENTED_EDGE('',*,*,#214497,.T.); #300031=ORIENTED_EDGE('',*,*,#214532,.F.); #300032=ORIENTED_EDGE('',*,*,#214502,.F.); #300033=ORIENTED_EDGE('',*,*,#214527,.F.); #300034=ORIENTED_EDGE('',*,*,#214496,.T.); #300035=ORIENTED_EDGE('',*,*,#214533,.F.); #300036=ORIENTED_EDGE('',*,*,#214503,.F.); #300037=ORIENTED_EDGE('',*,*,#214532,.T.); #300038=ORIENTED_EDGE('',*,*,#214495,.T.); #300039=ORIENTED_EDGE('',*,*,#214534,.F.); #300040=ORIENTED_EDGE('',*,*,#214504,.F.); #300041=ORIENTED_EDGE('',*,*,#214533,.T.); #300042=ORIENTED_EDGE('',*,*,#214494,.T.); #300043=ORIENTED_EDGE('',*,*,#214531,.F.); #300044=ORIENTED_EDGE('',*,*,#214505,.F.); #300045=ORIENTED_EDGE('',*,*,#214534,.T.); #300046=ORIENTED_EDGE('',*,*,#214489,.F.); #300047=ORIENTED_EDGE('',*,*,#214529,.T.); #300048=ORIENTED_EDGE('',*,*,#214510,.T.); #300049=ORIENTED_EDGE('',*,*,#214535,.T.); #300050=ORIENTED_EDGE('',*,*,#214490,.F.); #300051=ORIENTED_EDGE('',*,*,#214535,.F.); #300052=ORIENTED_EDGE('',*,*,#214509,.T.); #300053=ORIENTED_EDGE('',*,*,#214536,.T.); #300054=ORIENTED_EDGE('',*,*,#214491,.F.); #300055=ORIENTED_EDGE('',*,*,#214536,.F.); #300056=ORIENTED_EDGE('',*,*,#214508,.T.); #300057=ORIENTED_EDGE('',*,*,#214537,.T.); #300058=ORIENTED_EDGE('',*,*,#214492,.F.); #300059=ORIENTED_EDGE('',*,*,#214537,.F.); #300060=ORIENTED_EDGE('',*,*,#214507,.T.); #300061=ORIENTED_EDGE('',*,*,#214530,.T.); #300062=ORIENTED_EDGE('',*,*,#214515,.F.); #300063=ORIENTED_EDGE('',*,*,#214520,.F.); #300064=ORIENTED_EDGE('',*,*,#214523,.F.); #300065=ORIENTED_EDGE('',*,*,#214526,.F.); #300066=ORIENTED_EDGE('',*,*,#214518,.F.); #300067=ORIENTED_EDGE('',*,*,#214528,.F.); #300068=ORIENTED_EDGE('',*,*,#214524,.F.); #300069=ORIENTED_EDGE('',*,*,#214521,.F.); #300070=ORIENTED_EDGE('',*,*,#214538,.F.); #300071=ORIENTED_EDGE('',*,*,#214539,.F.); #300072=ORIENTED_EDGE('',*,*,#214540,.T.); #300073=ORIENTED_EDGE('',*,*,#214541,.T.); #300074=ORIENTED_EDGE('',*,*,#214542,.F.); #300075=ORIENTED_EDGE('',*,*,#214541,.F.); #300076=ORIENTED_EDGE('',*,*,#214543,.T.); #300077=ORIENTED_EDGE('',*,*,#214544,.T.); #300078=ORIENTED_EDGE('',*,*,#214545,.T.); #300079=ORIENTED_EDGE('',*,*,#214546,.T.); #300080=ORIENTED_EDGE('',*,*,#214547,.T.); #300081=ORIENTED_EDGE('',*,*,#214548,.F.); #300082=ORIENTED_EDGE('',*,*,#214549,.F.); #300083=ORIENTED_EDGE('',*,*,#214550,.F.); #300084=ORIENTED_EDGE('',*,*,#214551,.F.); #300085=ORIENTED_EDGE('',*,*,#214552,.F.); #300086=ORIENTED_EDGE('',*,*,#214553,.F.); #300087=ORIENTED_EDGE('',*,*,#214554,.T.); #300088=ORIENTED_EDGE('',*,*,#214555,.T.); #300089=ORIENTED_EDGE('',*,*,#214556,.T.); #300090=ORIENTED_EDGE('',*,*,#214557,.T.); #300091=ORIENTED_EDGE('',*,*,#214558,.T.); #300092=ORIENTED_EDGE('',*,*,#214559,.F.); #300093=ORIENTED_EDGE('',*,*,#214560,.F.); #300094=ORIENTED_EDGE('',*,*,#214561,.F.); #300095=ORIENTED_EDGE('',*,*,#214562,.F.); #300096=ORIENTED_EDGE('',*,*,#214563,.T.); #300097=ORIENTED_EDGE('',*,*,#214564,.T.); #300098=ORIENTED_EDGE('',*,*,#214565,.F.); #300099=ORIENTED_EDGE('',*,*,#214564,.F.); #300100=ORIENTED_EDGE('',*,*,#214566,.T.); #300101=ORIENTED_EDGE('',*,*,#214567,.T.); #300102=ORIENTED_EDGE('',*,*,#214568,.T.); #300103=ORIENTED_EDGE('',*,*,#214569,.F.); #300104=ORIENTED_EDGE('',*,*,#214570,.F.); #300105=ORIENTED_EDGE('',*,*,#214567,.F.); #300106=ORIENTED_EDGE('',*,*,#214571,.T.); #300107=ORIENTED_EDGE('',*,*,#214572,.F.); #300108=ORIENTED_EDGE('',*,*,#214573,.T.); #300109=ORIENTED_EDGE('',*,*,#214574,.F.); #300110=ORIENTED_EDGE('',*,*,#214568,.F.); #300111=ORIENTED_EDGE('',*,*,#214575,.F.); #300112=ORIENTED_EDGE('',*,*,#214576,.T.); #300113=ORIENTED_EDGE('',*,*,#214539,.T.); #300114=ORIENTED_EDGE('',*,*,#214577,.F.); #300115=ORIENTED_EDGE('',*,*,#214578,.F.); #300116=ORIENTED_EDGE('',*,*,#214573,.F.); #300117=ORIENTED_EDGE('',*,*,#214579,.F.); #300118=ORIENTED_EDGE('',*,*,#214571,.F.); #300119=ORIENTED_EDGE('',*,*,#214566,.F.); #300120=ORIENTED_EDGE('',*,*,#214563,.F.); #300121=ORIENTED_EDGE('',*,*,#214580,.F.); #300122=ORIENTED_EDGE('',*,*,#214543,.F.); #300123=ORIENTED_EDGE('',*,*,#214540,.F.); #300124=ORIENTED_EDGE('',*,*,#214576,.F.); #300125=ORIENTED_EDGE('',*,*,#214581,.F.); #300126=ORIENTED_EDGE('',*,*,#214577,.T.); #300127=ORIENTED_EDGE('',*,*,#214538,.T.); #300128=ORIENTED_EDGE('',*,*,#214542,.T.); #300129=ORIENTED_EDGE('',*,*,#214582,.T.); #300130=ORIENTED_EDGE('',*,*,#214553,.T.); #300131=ORIENTED_EDGE('',*,*,#214565,.T.); #300132=ORIENTED_EDGE('',*,*,#214570,.T.); #300133=ORIENTED_EDGE('',*,*,#214583,.F.); #300134=ORIENTED_EDGE('',*,*,#214548,.T.); #300135=ORIENTED_EDGE('',*,*,#214584,.F.); #300136=ORIENTED_EDGE('',*,*,#214558,.F.); #300137=ORIENTED_EDGE('',*,*,#214585,.T.); #300138=ORIENTED_EDGE('',*,*,#214544,.F.); #300139=ORIENTED_EDGE('',*,*,#214580,.T.); #300140=ORIENTED_EDGE('',*,*,#214562,.T.); #300141=ORIENTED_EDGE('',*,*,#214586,.T.); #300142=ORIENTED_EDGE('',*,*,#214545,.F.); #300143=ORIENTED_EDGE('',*,*,#214586,.F.); #300144=ORIENTED_EDGE('',*,*,#214561,.T.); #300145=ORIENTED_EDGE('',*,*,#214587,.T.); #300146=ORIENTED_EDGE('',*,*,#214546,.F.); #300147=ORIENTED_EDGE('',*,*,#214587,.F.); #300148=ORIENTED_EDGE('',*,*,#214560,.T.); #300149=ORIENTED_EDGE('',*,*,#214588,.T.); #300150=ORIENTED_EDGE('',*,*,#214547,.F.); #300151=ORIENTED_EDGE('',*,*,#214588,.F.); #300152=ORIENTED_EDGE('',*,*,#214559,.T.); #300153=ORIENTED_EDGE('',*,*,#214584,.T.); #300154=ORIENTED_EDGE('',*,*,#214552,.T.); #300155=ORIENTED_EDGE('',*,*,#214589,.F.); #300156=ORIENTED_EDGE('',*,*,#214554,.F.); #300157=ORIENTED_EDGE('',*,*,#214582,.F.); #300158=ORIENTED_EDGE('',*,*,#214551,.T.); #300159=ORIENTED_EDGE('',*,*,#214590,.F.); #300160=ORIENTED_EDGE('',*,*,#214555,.F.); #300161=ORIENTED_EDGE('',*,*,#214589,.T.); #300162=ORIENTED_EDGE('',*,*,#214550,.T.); #300163=ORIENTED_EDGE('',*,*,#214591,.F.); #300164=ORIENTED_EDGE('',*,*,#214556,.F.); #300165=ORIENTED_EDGE('',*,*,#214590,.T.); #300166=ORIENTED_EDGE('',*,*,#214549,.T.); #300167=ORIENTED_EDGE('',*,*,#214585,.F.); #300168=ORIENTED_EDGE('',*,*,#214557,.F.); #300169=ORIENTED_EDGE('',*,*,#214591,.T.); #300170=ORIENTED_EDGE('',*,*,#214572,.T.); #300171=ORIENTED_EDGE('',*,*,#214581,.T.); #300172=ORIENTED_EDGE('',*,*,#214579,.T.); #300173=ORIENTED_EDGE('',*,*,#214575,.T.); #300174=ORIENTED_EDGE('',*,*,#214569,.T.); #300175=ORIENTED_EDGE('',*,*,#214574,.T.); #300176=ORIENTED_EDGE('',*,*,#214578,.T.); #300177=ORIENTED_EDGE('',*,*,#214583,.T.); #300178=ORIENTED_EDGE('',*,*,#214592,.F.); #300179=ORIENTED_EDGE('',*,*,#214593,.F.); #300180=ORIENTED_EDGE('',*,*,#214594,.T.); #300181=ORIENTED_EDGE('',*,*,#214595,.T.); #300182=ORIENTED_EDGE('',*,*,#214596,.F.); #300183=ORIENTED_EDGE('',*,*,#214595,.F.); #300184=ORIENTED_EDGE('',*,*,#214597,.T.); #300185=ORIENTED_EDGE('',*,*,#214598,.T.); #300186=ORIENTED_EDGE('',*,*,#214599,.T.); #300187=ORIENTED_EDGE('',*,*,#214600,.T.); #300188=ORIENTED_EDGE('',*,*,#214601,.T.); #300189=ORIENTED_EDGE('',*,*,#214602,.F.); #300190=ORIENTED_EDGE('',*,*,#214603,.F.); #300191=ORIENTED_EDGE('',*,*,#214604,.F.); #300192=ORIENTED_EDGE('',*,*,#214605,.F.); #300193=ORIENTED_EDGE('',*,*,#214606,.F.); #300194=ORIENTED_EDGE('',*,*,#214607,.F.); #300195=ORIENTED_EDGE('',*,*,#214608,.T.); #300196=ORIENTED_EDGE('',*,*,#214609,.T.); #300197=ORIENTED_EDGE('',*,*,#214610,.T.); #300198=ORIENTED_EDGE('',*,*,#214611,.T.); #300199=ORIENTED_EDGE('',*,*,#214612,.T.); #300200=ORIENTED_EDGE('',*,*,#214613,.F.); #300201=ORIENTED_EDGE('',*,*,#214614,.F.); #300202=ORIENTED_EDGE('',*,*,#214615,.F.); #300203=ORIENTED_EDGE('',*,*,#214616,.F.); #300204=ORIENTED_EDGE('',*,*,#214617,.T.); #300205=ORIENTED_EDGE('',*,*,#214618,.T.); #300206=ORIENTED_EDGE('',*,*,#214619,.F.); #300207=ORIENTED_EDGE('',*,*,#214618,.F.); #300208=ORIENTED_EDGE('',*,*,#214620,.T.); #300209=ORIENTED_EDGE('',*,*,#214621,.T.); #300210=ORIENTED_EDGE('',*,*,#214622,.T.); #300211=ORIENTED_EDGE('',*,*,#214623,.F.); #300212=ORIENTED_EDGE('',*,*,#214624,.F.); #300213=ORIENTED_EDGE('',*,*,#214621,.F.); #300214=ORIENTED_EDGE('',*,*,#214625,.T.); #300215=ORIENTED_EDGE('',*,*,#214626,.F.); #300216=ORIENTED_EDGE('',*,*,#214627,.T.); #300217=ORIENTED_EDGE('',*,*,#214628,.F.); #300218=ORIENTED_EDGE('',*,*,#214622,.F.); #300219=ORIENTED_EDGE('',*,*,#214629,.F.); #300220=ORIENTED_EDGE('',*,*,#214630,.T.); #300221=ORIENTED_EDGE('',*,*,#214593,.T.); #300222=ORIENTED_EDGE('',*,*,#214631,.F.); #300223=ORIENTED_EDGE('',*,*,#214632,.F.); #300224=ORIENTED_EDGE('',*,*,#214627,.F.); #300225=ORIENTED_EDGE('',*,*,#214633,.F.); #300226=ORIENTED_EDGE('',*,*,#214625,.F.); #300227=ORIENTED_EDGE('',*,*,#214620,.F.); #300228=ORIENTED_EDGE('',*,*,#214617,.F.); #300229=ORIENTED_EDGE('',*,*,#214634,.F.); #300230=ORIENTED_EDGE('',*,*,#214597,.F.); #300231=ORIENTED_EDGE('',*,*,#214594,.F.); #300232=ORIENTED_EDGE('',*,*,#214630,.F.); #300233=ORIENTED_EDGE('',*,*,#214635,.F.); #300234=ORIENTED_EDGE('',*,*,#214631,.T.); #300235=ORIENTED_EDGE('',*,*,#214592,.T.); #300236=ORIENTED_EDGE('',*,*,#214596,.T.); #300237=ORIENTED_EDGE('',*,*,#214636,.T.); #300238=ORIENTED_EDGE('',*,*,#214607,.T.); #300239=ORIENTED_EDGE('',*,*,#214619,.T.); #300240=ORIENTED_EDGE('',*,*,#214624,.T.); #300241=ORIENTED_EDGE('',*,*,#214637,.F.); #300242=ORIENTED_EDGE('',*,*,#214602,.T.); #300243=ORIENTED_EDGE('',*,*,#214638,.F.); #300244=ORIENTED_EDGE('',*,*,#214612,.F.); #300245=ORIENTED_EDGE('',*,*,#214639,.T.); #300246=ORIENTED_EDGE('',*,*,#214598,.F.); #300247=ORIENTED_EDGE('',*,*,#214634,.T.); #300248=ORIENTED_EDGE('',*,*,#214616,.T.); #300249=ORIENTED_EDGE('',*,*,#214640,.T.); #300250=ORIENTED_EDGE('',*,*,#214599,.F.); #300251=ORIENTED_EDGE('',*,*,#214640,.F.); #300252=ORIENTED_EDGE('',*,*,#214615,.T.); #300253=ORIENTED_EDGE('',*,*,#214641,.T.); #300254=ORIENTED_EDGE('',*,*,#214600,.F.); #300255=ORIENTED_EDGE('',*,*,#214641,.F.); #300256=ORIENTED_EDGE('',*,*,#214614,.T.); #300257=ORIENTED_EDGE('',*,*,#214642,.T.); #300258=ORIENTED_EDGE('',*,*,#214601,.F.); #300259=ORIENTED_EDGE('',*,*,#214642,.F.); #300260=ORIENTED_EDGE('',*,*,#214613,.T.); #300261=ORIENTED_EDGE('',*,*,#214638,.T.); #300262=ORIENTED_EDGE('',*,*,#214606,.T.); #300263=ORIENTED_EDGE('',*,*,#214643,.F.); #300264=ORIENTED_EDGE('',*,*,#214608,.F.); #300265=ORIENTED_EDGE('',*,*,#214636,.F.); #300266=ORIENTED_EDGE('',*,*,#214605,.T.); #300267=ORIENTED_EDGE('',*,*,#214644,.F.); #300268=ORIENTED_EDGE('',*,*,#214609,.F.); #300269=ORIENTED_EDGE('',*,*,#214643,.T.); #300270=ORIENTED_EDGE('',*,*,#214604,.T.); #300271=ORIENTED_EDGE('',*,*,#214645,.F.); #300272=ORIENTED_EDGE('',*,*,#214610,.F.); #300273=ORIENTED_EDGE('',*,*,#214644,.T.); #300274=ORIENTED_EDGE('',*,*,#214603,.T.); #300275=ORIENTED_EDGE('',*,*,#214639,.F.); #300276=ORIENTED_EDGE('',*,*,#214611,.F.); #300277=ORIENTED_EDGE('',*,*,#214645,.T.); #300278=ORIENTED_EDGE('',*,*,#214626,.T.); #300279=ORIENTED_EDGE('',*,*,#214635,.T.); #300280=ORIENTED_EDGE('',*,*,#214633,.T.); #300281=ORIENTED_EDGE('',*,*,#214629,.T.); #300282=ORIENTED_EDGE('',*,*,#214623,.T.); #300283=ORIENTED_EDGE('',*,*,#214628,.T.); #300284=ORIENTED_EDGE('',*,*,#214632,.T.); #300285=ORIENTED_EDGE('',*,*,#214637,.T.); #300286=ORIENTED_EDGE('',*,*,#214646,.F.); #300287=ORIENTED_EDGE('',*,*,#214647,.F.); #300288=ORIENTED_EDGE('',*,*,#214648,.T.); #300289=ORIENTED_EDGE('',*,*,#214649,.T.); #300290=ORIENTED_EDGE('',*,*,#214650,.F.); #300291=ORIENTED_EDGE('',*,*,#214649,.F.); #300292=ORIENTED_EDGE('',*,*,#214651,.T.); #300293=ORIENTED_EDGE('',*,*,#214652,.T.); #300294=ORIENTED_EDGE('',*,*,#214653,.T.); #300295=ORIENTED_EDGE('',*,*,#214654,.T.); #300296=ORIENTED_EDGE('',*,*,#214655,.T.); #300297=ORIENTED_EDGE('',*,*,#214656,.F.); #300298=ORIENTED_EDGE('',*,*,#214657,.F.); #300299=ORIENTED_EDGE('',*,*,#214658,.F.); #300300=ORIENTED_EDGE('',*,*,#214659,.F.); #300301=ORIENTED_EDGE('',*,*,#214660,.F.); #300302=ORIENTED_EDGE('',*,*,#214661,.F.); #300303=ORIENTED_EDGE('',*,*,#214662,.T.); #300304=ORIENTED_EDGE('',*,*,#214663,.T.); #300305=ORIENTED_EDGE('',*,*,#214664,.T.); #300306=ORIENTED_EDGE('',*,*,#214665,.T.); #300307=ORIENTED_EDGE('',*,*,#214666,.T.); #300308=ORIENTED_EDGE('',*,*,#214667,.F.); #300309=ORIENTED_EDGE('',*,*,#214668,.F.); #300310=ORIENTED_EDGE('',*,*,#214669,.F.); #300311=ORIENTED_EDGE('',*,*,#214670,.F.); #300312=ORIENTED_EDGE('',*,*,#214671,.T.); #300313=ORIENTED_EDGE('',*,*,#214672,.T.); #300314=ORIENTED_EDGE('',*,*,#214673,.F.); #300315=ORIENTED_EDGE('',*,*,#214672,.F.); #300316=ORIENTED_EDGE('',*,*,#214674,.T.); #300317=ORIENTED_EDGE('',*,*,#214675,.T.); #300318=ORIENTED_EDGE('',*,*,#214676,.T.); #300319=ORIENTED_EDGE('',*,*,#214677,.F.); #300320=ORIENTED_EDGE('',*,*,#214678,.F.); #300321=ORIENTED_EDGE('',*,*,#214675,.F.); #300322=ORIENTED_EDGE('',*,*,#214679,.T.); #300323=ORIENTED_EDGE('',*,*,#214680,.F.); #300324=ORIENTED_EDGE('',*,*,#214681,.T.); #300325=ORIENTED_EDGE('',*,*,#214682,.F.); #300326=ORIENTED_EDGE('',*,*,#214676,.F.); #300327=ORIENTED_EDGE('',*,*,#214683,.F.); #300328=ORIENTED_EDGE('',*,*,#214684,.T.); #300329=ORIENTED_EDGE('',*,*,#214647,.T.); #300330=ORIENTED_EDGE('',*,*,#214685,.F.); #300331=ORIENTED_EDGE('',*,*,#214686,.F.); #300332=ORIENTED_EDGE('',*,*,#214681,.F.); #300333=ORIENTED_EDGE('',*,*,#214687,.F.); #300334=ORIENTED_EDGE('',*,*,#214679,.F.); #300335=ORIENTED_EDGE('',*,*,#214674,.F.); #300336=ORIENTED_EDGE('',*,*,#214671,.F.); #300337=ORIENTED_EDGE('',*,*,#214688,.F.); #300338=ORIENTED_EDGE('',*,*,#214651,.F.); #300339=ORIENTED_EDGE('',*,*,#214648,.F.); #300340=ORIENTED_EDGE('',*,*,#214684,.F.); #300341=ORIENTED_EDGE('',*,*,#214689,.F.); #300342=ORIENTED_EDGE('',*,*,#214685,.T.); #300343=ORIENTED_EDGE('',*,*,#214646,.T.); #300344=ORIENTED_EDGE('',*,*,#214650,.T.); #300345=ORIENTED_EDGE('',*,*,#214690,.T.); #300346=ORIENTED_EDGE('',*,*,#214661,.T.); #300347=ORIENTED_EDGE('',*,*,#214673,.T.); #300348=ORIENTED_EDGE('',*,*,#214678,.T.); #300349=ORIENTED_EDGE('',*,*,#214691,.F.); #300350=ORIENTED_EDGE('',*,*,#214656,.T.); #300351=ORIENTED_EDGE('',*,*,#214692,.F.); #300352=ORIENTED_EDGE('',*,*,#214666,.F.); #300353=ORIENTED_EDGE('',*,*,#214693,.T.); #300354=ORIENTED_EDGE('',*,*,#214652,.F.); #300355=ORIENTED_EDGE('',*,*,#214688,.T.); #300356=ORIENTED_EDGE('',*,*,#214670,.T.); #300357=ORIENTED_EDGE('',*,*,#214694,.T.); #300358=ORIENTED_EDGE('',*,*,#214653,.F.); #300359=ORIENTED_EDGE('',*,*,#214694,.F.); #300360=ORIENTED_EDGE('',*,*,#214669,.T.); #300361=ORIENTED_EDGE('',*,*,#214695,.T.); #300362=ORIENTED_EDGE('',*,*,#214654,.F.); #300363=ORIENTED_EDGE('',*,*,#214695,.F.); #300364=ORIENTED_EDGE('',*,*,#214668,.T.); #300365=ORIENTED_EDGE('',*,*,#214696,.T.); #300366=ORIENTED_EDGE('',*,*,#214655,.F.); #300367=ORIENTED_EDGE('',*,*,#214696,.F.); #300368=ORIENTED_EDGE('',*,*,#214667,.T.); #300369=ORIENTED_EDGE('',*,*,#214692,.T.); #300370=ORIENTED_EDGE('',*,*,#214660,.T.); #300371=ORIENTED_EDGE('',*,*,#214697,.F.); #300372=ORIENTED_EDGE('',*,*,#214662,.F.); #300373=ORIENTED_EDGE('',*,*,#214690,.F.); #300374=ORIENTED_EDGE('',*,*,#214659,.T.); #300375=ORIENTED_EDGE('',*,*,#214698,.F.); #300376=ORIENTED_EDGE('',*,*,#214663,.F.); #300377=ORIENTED_EDGE('',*,*,#214697,.T.); #300378=ORIENTED_EDGE('',*,*,#214658,.T.); #300379=ORIENTED_EDGE('',*,*,#214699,.F.); #300380=ORIENTED_EDGE('',*,*,#214664,.F.); #300381=ORIENTED_EDGE('',*,*,#214698,.T.); #300382=ORIENTED_EDGE('',*,*,#214657,.T.); #300383=ORIENTED_EDGE('',*,*,#214693,.F.); #300384=ORIENTED_EDGE('',*,*,#214665,.F.); #300385=ORIENTED_EDGE('',*,*,#214699,.T.); #300386=ORIENTED_EDGE('',*,*,#214680,.T.); #300387=ORIENTED_EDGE('',*,*,#214689,.T.); #300388=ORIENTED_EDGE('',*,*,#214687,.T.); #300389=ORIENTED_EDGE('',*,*,#214683,.T.); #300390=ORIENTED_EDGE('',*,*,#214677,.T.); #300391=ORIENTED_EDGE('',*,*,#214682,.T.); #300392=ORIENTED_EDGE('',*,*,#214686,.T.); #300393=ORIENTED_EDGE('',*,*,#214691,.T.); #300394=ORIENTED_EDGE('',*,*,#214700,.F.); #300395=ORIENTED_EDGE('',*,*,#214701,.F.); #300396=ORIENTED_EDGE('',*,*,#214702,.T.); #300397=ORIENTED_EDGE('',*,*,#214703,.T.); #300398=ORIENTED_EDGE('',*,*,#214704,.F.); #300399=ORIENTED_EDGE('',*,*,#214703,.F.); #300400=ORIENTED_EDGE('',*,*,#214705,.T.); #300401=ORIENTED_EDGE('',*,*,#214706,.T.); #300402=ORIENTED_EDGE('',*,*,#214707,.T.); #300403=ORIENTED_EDGE('',*,*,#214708,.T.); #300404=ORIENTED_EDGE('',*,*,#214709,.T.); #300405=ORIENTED_EDGE('',*,*,#214710,.F.); #300406=ORIENTED_EDGE('',*,*,#214711,.F.); #300407=ORIENTED_EDGE('',*,*,#214712,.F.); #300408=ORIENTED_EDGE('',*,*,#214713,.F.); #300409=ORIENTED_EDGE('',*,*,#214714,.F.); #300410=ORIENTED_EDGE('',*,*,#214715,.F.); #300411=ORIENTED_EDGE('',*,*,#214716,.T.); #300412=ORIENTED_EDGE('',*,*,#214717,.T.); #300413=ORIENTED_EDGE('',*,*,#214718,.T.); #300414=ORIENTED_EDGE('',*,*,#214719,.T.); #300415=ORIENTED_EDGE('',*,*,#214720,.T.); #300416=ORIENTED_EDGE('',*,*,#214721,.F.); #300417=ORIENTED_EDGE('',*,*,#214722,.F.); #300418=ORIENTED_EDGE('',*,*,#214723,.F.); #300419=ORIENTED_EDGE('',*,*,#214724,.F.); #300420=ORIENTED_EDGE('',*,*,#214725,.T.); #300421=ORIENTED_EDGE('',*,*,#214726,.T.); #300422=ORIENTED_EDGE('',*,*,#214727,.F.); #300423=ORIENTED_EDGE('',*,*,#214726,.F.); #300424=ORIENTED_EDGE('',*,*,#214728,.T.); #300425=ORIENTED_EDGE('',*,*,#214729,.T.); #300426=ORIENTED_EDGE('',*,*,#214730,.T.); #300427=ORIENTED_EDGE('',*,*,#214731,.F.); #300428=ORIENTED_EDGE('',*,*,#214732,.F.); #300429=ORIENTED_EDGE('',*,*,#214729,.F.); #300430=ORIENTED_EDGE('',*,*,#214733,.T.); #300431=ORIENTED_EDGE('',*,*,#214734,.F.); #300432=ORIENTED_EDGE('',*,*,#214735,.T.); #300433=ORIENTED_EDGE('',*,*,#214736,.F.); #300434=ORIENTED_EDGE('',*,*,#214730,.F.); #300435=ORIENTED_EDGE('',*,*,#214737,.F.); #300436=ORIENTED_EDGE('',*,*,#214738,.T.); #300437=ORIENTED_EDGE('',*,*,#214701,.T.); #300438=ORIENTED_EDGE('',*,*,#214739,.F.); #300439=ORIENTED_EDGE('',*,*,#214740,.F.); #300440=ORIENTED_EDGE('',*,*,#214735,.F.); #300441=ORIENTED_EDGE('',*,*,#214741,.F.); #300442=ORIENTED_EDGE('',*,*,#214733,.F.); #300443=ORIENTED_EDGE('',*,*,#214728,.F.); #300444=ORIENTED_EDGE('',*,*,#214725,.F.); #300445=ORIENTED_EDGE('',*,*,#214742,.F.); #300446=ORIENTED_EDGE('',*,*,#214705,.F.); #300447=ORIENTED_EDGE('',*,*,#214702,.F.); #300448=ORIENTED_EDGE('',*,*,#214738,.F.); #300449=ORIENTED_EDGE('',*,*,#214743,.F.); #300450=ORIENTED_EDGE('',*,*,#214739,.T.); #300451=ORIENTED_EDGE('',*,*,#214700,.T.); #300452=ORIENTED_EDGE('',*,*,#214704,.T.); #300453=ORIENTED_EDGE('',*,*,#214744,.T.); #300454=ORIENTED_EDGE('',*,*,#214715,.T.); #300455=ORIENTED_EDGE('',*,*,#214727,.T.); #300456=ORIENTED_EDGE('',*,*,#214732,.T.); #300457=ORIENTED_EDGE('',*,*,#214745,.F.); #300458=ORIENTED_EDGE('',*,*,#214710,.T.); #300459=ORIENTED_EDGE('',*,*,#214746,.F.); #300460=ORIENTED_EDGE('',*,*,#214720,.F.); #300461=ORIENTED_EDGE('',*,*,#214747,.T.); #300462=ORIENTED_EDGE('',*,*,#214706,.F.); #300463=ORIENTED_EDGE('',*,*,#214742,.T.); #300464=ORIENTED_EDGE('',*,*,#214724,.T.); #300465=ORIENTED_EDGE('',*,*,#214748,.T.); #300466=ORIENTED_EDGE('',*,*,#214707,.F.); #300467=ORIENTED_EDGE('',*,*,#214748,.F.); #300468=ORIENTED_EDGE('',*,*,#214723,.T.); #300469=ORIENTED_EDGE('',*,*,#214749,.T.); #300470=ORIENTED_EDGE('',*,*,#214708,.F.); #300471=ORIENTED_EDGE('',*,*,#214749,.F.); #300472=ORIENTED_EDGE('',*,*,#214722,.T.); #300473=ORIENTED_EDGE('',*,*,#214750,.T.); #300474=ORIENTED_EDGE('',*,*,#214709,.F.); #300475=ORIENTED_EDGE('',*,*,#214750,.F.); #300476=ORIENTED_EDGE('',*,*,#214721,.T.); #300477=ORIENTED_EDGE('',*,*,#214746,.T.); #300478=ORIENTED_EDGE('',*,*,#214714,.T.); #300479=ORIENTED_EDGE('',*,*,#214751,.F.); #300480=ORIENTED_EDGE('',*,*,#214716,.F.); #300481=ORIENTED_EDGE('',*,*,#214744,.F.); #300482=ORIENTED_EDGE('',*,*,#214713,.T.); #300483=ORIENTED_EDGE('',*,*,#214752,.F.); #300484=ORIENTED_EDGE('',*,*,#214717,.F.); #300485=ORIENTED_EDGE('',*,*,#214751,.T.); #300486=ORIENTED_EDGE('',*,*,#214712,.T.); #300487=ORIENTED_EDGE('',*,*,#214753,.F.); #300488=ORIENTED_EDGE('',*,*,#214718,.F.); #300489=ORIENTED_EDGE('',*,*,#214752,.T.); #300490=ORIENTED_EDGE('',*,*,#214711,.T.); #300491=ORIENTED_EDGE('',*,*,#214747,.F.); #300492=ORIENTED_EDGE('',*,*,#214719,.F.); #300493=ORIENTED_EDGE('',*,*,#214753,.T.); #300494=ORIENTED_EDGE('',*,*,#214734,.T.); #300495=ORIENTED_EDGE('',*,*,#214743,.T.); #300496=ORIENTED_EDGE('',*,*,#214741,.T.); #300497=ORIENTED_EDGE('',*,*,#214737,.T.); #300498=ORIENTED_EDGE('',*,*,#214731,.T.); #300499=ORIENTED_EDGE('',*,*,#214736,.T.); #300500=ORIENTED_EDGE('',*,*,#214740,.T.); #300501=ORIENTED_EDGE('',*,*,#214745,.T.); #300502=ORIENTED_EDGE('',*,*,#214754,.F.); #300503=ORIENTED_EDGE('',*,*,#214755,.F.); #300504=ORIENTED_EDGE('',*,*,#214756,.T.); #300505=ORIENTED_EDGE('',*,*,#214757,.T.); #300506=ORIENTED_EDGE('',*,*,#214758,.F.); #300507=ORIENTED_EDGE('',*,*,#214757,.F.); #300508=ORIENTED_EDGE('',*,*,#214759,.T.); #300509=ORIENTED_EDGE('',*,*,#214760,.T.); #300510=ORIENTED_EDGE('',*,*,#214761,.T.); #300511=ORIENTED_EDGE('',*,*,#214762,.T.); #300512=ORIENTED_EDGE('',*,*,#214763,.T.); #300513=ORIENTED_EDGE('',*,*,#214764,.F.); #300514=ORIENTED_EDGE('',*,*,#214765,.F.); #300515=ORIENTED_EDGE('',*,*,#214766,.F.); #300516=ORIENTED_EDGE('',*,*,#214767,.F.); #300517=ORIENTED_EDGE('',*,*,#214768,.F.); #300518=ORIENTED_EDGE('',*,*,#214769,.F.); #300519=ORIENTED_EDGE('',*,*,#214770,.T.); #300520=ORIENTED_EDGE('',*,*,#214771,.T.); #300521=ORIENTED_EDGE('',*,*,#214772,.T.); #300522=ORIENTED_EDGE('',*,*,#214773,.T.); #300523=ORIENTED_EDGE('',*,*,#214774,.T.); #300524=ORIENTED_EDGE('',*,*,#214775,.F.); #300525=ORIENTED_EDGE('',*,*,#214776,.F.); #300526=ORIENTED_EDGE('',*,*,#214777,.F.); #300527=ORIENTED_EDGE('',*,*,#214778,.F.); #300528=ORIENTED_EDGE('',*,*,#214779,.T.); #300529=ORIENTED_EDGE('',*,*,#214780,.T.); #300530=ORIENTED_EDGE('',*,*,#214781,.F.); #300531=ORIENTED_EDGE('',*,*,#214780,.F.); #300532=ORIENTED_EDGE('',*,*,#214782,.T.); #300533=ORIENTED_EDGE('',*,*,#214783,.T.); #300534=ORIENTED_EDGE('',*,*,#214784,.T.); #300535=ORIENTED_EDGE('',*,*,#214785,.F.); #300536=ORIENTED_EDGE('',*,*,#214786,.F.); #300537=ORIENTED_EDGE('',*,*,#214783,.F.); #300538=ORIENTED_EDGE('',*,*,#214787,.T.); #300539=ORIENTED_EDGE('',*,*,#214788,.F.); #300540=ORIENTED_EDGE('',*,*,#214789,.T.); #300541=ORIENTED_EDGE('',*,*,#214790,.F.); #300542=ORIENTED_EDGE('',*,*,#214784,.F.); #300543=ORIENTED_EDGE('',*,*,#214791,.F.); #300544=ORIENTED_EDGE('',*,*,#214792,.T.); #300545=ORIENTED_EDGE('',*,*,#214755,.T.); #300546=ORIENTED_EDGE('',*,*,#214793,.F.); #300547=ORIENTED_EDGE('',*,*,#214794,.F.); #300548=ORIENTED_EDGE('',*,*,#214789,.F.); #300549=ORIENTED_EDGE('',*,*,#214795,.F.); #300550=ORIENTED_EDGE('',*,*,#214787,.F.); #300551=ORIENTED_EDGE('',*,*,#214782,.F.); #300552=ORIENTED_EDGE('',*,*,#214779,.F.); #300553=ORIENTED_EDGE('',*,*,#214796,.F.); #300554=ORIENTED_EDGE('',*,*,#214759,.F.); #300555=ORIENTED_EDGE('',*,*,#214756,.F.); #300556=ORIENTED_EDGE('',*,*,#214792,.F.); #300557=ORIENTED_EDGE('',*,*,#214797,.F.); #300558=ORIENTED_EDGE('',*,*,#214793,.T.); #300559=ORIENTED_EDGE('',*,*,#214754,.T.); #300560=ORIENTED_EDGE('',*,*,#214758,.T.); #300561=ORIENTED_EDGE('',*,*,#214798,.T.); #300562=ORIENTED_EDGE('',*,*,#214769,.T.); #300563=ORIENTED_EDGE('',*,*,#214781,.T.); #300564=ORIENTED_EDGE('',*,*,#214786,.T.); #300565=ORIENTED_EDGE('',*,*,#214799,.F.); #300566=ORIENTED_EDGE('',*,*,#214764,.T.); #300567=ORIENTED_EDGE('',*,*,#214800,.F.); #300568=ORIENTED_EDGE('',*,*,#214774,.F.); #300569=ORIENTED_EDGE('',*,*,#214801,.T.); #300570=ORIENTED_EDGE('',*,*,#214760,.F.); #300571=ORIENTED_EDGE('',*,*,#214796,.T.); #300572=ORIENTED_EDGE('',*,*,#214778,.T.); #300573=ORIENTED_EDGE('',*,*,#214802,.T.); #300574=ORIENTED_EDGE('',*,*,#214761,.F.); #300575=ORIENTED_EDGE('',*,*,#214802,.F.); #300576=ORIENTED_EDGE('',*,*,#214777,.T.); #300577=ORIENTED_EDGE('',*,*,#214803,.T.); #300578=ORIENTED_EDGE('',*,*,#214762,.F.); #300579=ORIENTED_EDGE('',*,*,#214803,.F.); #300580=ORIENTED_EDGE('',*,*,#214776,.T.); #300581=ORIENTED_EDGE('',*,*,#214804,.T.); #300582=ORIENTED_EDGE('',*,*,#214763,.F.); #300583=ORIENTED_EDGE('',*,*,#214804,.F.); #300584=ORIENTED_EDGE('',*,*,#214775,.T.); #300585=ORIENTED_EDGE('',*,*,#214800,.T.); #300586=ORIENTED_EDGE('',*,*,#214768,.T.); #300587=ORIENTED_EDGE('',*,*,#214805,.F.); #300588=ORIENTED_EDGE('',*,*,#214770,.F.); #300589=ORIENTED_EDGE('',*,*,#214798,.F.); #300590=ORIENTED_EDGE('',*,*,#214767,.T.); #300591=ORIENTED_EDGE('',*,*,#214806,.F.); #300592=ORIENTED_EDGE('',*,*,#214771,.F.); #300593=ORIENTED_EDGE('',*,*,#214805,.T.); #300594=ORIENTED_EDGE('',*,*,#214766,.T.); #300595=ORIENTED_EDGE('',*,*,#214807,.F.); #300596=ORIENTED_EDGE('',*,*,#214772,.F.); #300597=ORIENTED_EDGE('',*,*,#214806,.T.); #300598=ORIENTED_EDGE('',*,*,#214765,.T.); #300599=ORIENTED_EDGE('',*,*,#214801,.F.); #300600=ORIENTED_EDGE('',*,*,#214773,.F.); #300601=ORIENTED_EDGE('',*,*,#214807,.T.); #300602=ORIENTED_EDGE('',*,*,#214788,.T.); #300603=ORIENTED_EDGE('',*,*,#214797,.T.); #300604=ORIENTED_EDGE('',*,*,#214795,.T.); #300605=ORIENTED_EDGE('',*,*,#214791,.T.); #300606=ORIENTED_EDGE('',*,*,#214785,.T.); #300607=ORIENTED_EDGE('',*,*,#214790,.T.); #300608=ORIENTED_EDGE('',*,*,#214794,.T.); #300609=ORIENTED_EDGE('',*,*,#214799,.T.); #300610=ORIENTED_EDGE('',*,*,#214808,.F.); #300611=ORIENTED_EDGE('',*,*,#214809,.F.); #300612=ORIENTED_EDGE('',*,*,#214810,.T.); #300613=ORIENTED_EDGE('',*,*,#214811,.T.); #300614=ORIENTED_EDGE('',*,*,#214812,.F.); #300615=ORIENTED_EDGE('',*,*,#214811,.F.); #300616=ORIENTED_EDGE('',*,*,#214813,.T.); #300617=ORIENTED_EDGE('',*,*,#214814,.T.); #300618=ORIENTED_EDGE('',*,*,#214815,.T.); #300619=ORIENTED_EDGE('',*,*,#214816,.T.); #300620=ORIENTED_EDGE('',*,*,#214817,.T.); #300621=ORIENTED_EDGE('',*,*,#214818,.F.); #300622=ORIENTED_EDGE('',*,*,#214819,.F.); #300623=ORIENTED_EDGE('',*,*,#214820,.F.); #300624=ORIENTED_EDGE('',*,*,#214821,.F.); #300625=ORIENTED_EDGE('',*,*,#214822,.F.); #300626=ORIENTED_EDGE('',*,*,#214823,.F.); #300627=ORIENTED_EDGE('',*,*,#214824,.T.); #300628=ORIENTED_EDGE('',*,*,#214825,.T.); #300629=ORIENTED_EDGE('',*,*,#214826,.T.); #300630=ORIENTED_EDGE('',*,*,#214827,.T.); #300631=ORIENTED_EDGE('',*,*,#214828,.T.); #300632=ORIENTED_EDGE('',*,*,#214829,.F.); #300633=ORIENTED_EDGE('',*,*,#214830,.F.); #300634=ORIENTED_EDGE('',*,*,#214831,.F.); #300635=ORIENTED_EDGE('',*,*,#214832,.F.); #300636=ORIENTED_EDGE('',*,*,#214833,.T.); #300637=ORIENTED_EDGE('',*,*,#214834,.T.); #300638=ORIENTED_EDGE('',*,*,#214835,.F.); #300639=ORIENTED_EDGE('',*,*,#214834,.F.); #300640=ORIENTED_EDGE('',*,*,#214836,.T.); #300641=ORIENTED_EDGE('',*,*,#214837,.T.); #300642=ORIENTED_EDGE('',*,*,#214838,.T.); #300643=ORIENTED_EDGE('',*,*,#214839,.F.); #300644=ORIENTED_EDGE('',*,*,#214840,.F.); #300645=ORIENTED_EDGE('',*,*,#214837,.F.); #300646=ORIENTED_EDGE('',*,*,#214841,.T.); #300647=ORIENTED_EDGE('',*,*,#214842,.F.); #300648=ORIENTED_EDGE('',*,*,#214843,.T.); #300649=ORIENTED_EDGE('',*,*,#214844,.F.); #300650=ORIENTED_EDGE('',*,*,#214838,.F.); #300651=ORIENTED_EDGE('',*,*,#214845,.F.); #300652=ORIENTED_EDGE('',*,*,#214846,.T.); #300653=ORIENTED_EDGE('',*,*,#214809,.T.); #300654=ORIENTED_EDGE('',*,*,#214847,.F.); #300655=ORIENTED_EDGE('',*,*,#214848,.F.); #300656=ORIENTED_EDGE('',*,*,#214843,.F.); #300657=ORIENTED_EDGE('',*,*,#214849,.F.); #300658=ORIENTED_EDGE('',*,*,#214841,.F.); #300659=ORIENTED_EDGE('',*,*,#214836,.F.); #300660=ORIENTED_EDGE('',*,*,#214833,.F.); #300661=ORIENTED_EDGE('',*,*,#214850,.F.); #300662=ORIENTED_EDGE('',*,*,#214813,.F.); #300663=ORIENTED_EDGE('',*,*,#214810,.F.); #300664=ORIENTED_EDGE('',*,*,#214846,.F.); #300665=ORIENTED_EDGE('',*,*,#214851,.F.); #300666=ORIENTED_EDGE('',*,*,#214847,.T.); #300667=ORIENTED_EDGE('',*,*,#214808,.T.); #300668=ORIENTED_EDGE('',*,*,#214812,.T.); #300669=ORIENTED_EDGE('',*,*,#214852,.T.); #300670=ORIENTED_EDGE('',*,*,#214823,.T.); #300671=ORIENTED_EDGE('',*,*,#214835,.T.); #300672=ORIENTED_EDGE('',*,*,#214840,.T.); #300673=ORIENTED_EDGE('',*,*,#214853,.F.); #300674=ORIENTED_EDGE('',*,*,#214818,.T.); #300675=ORIENTED_EDGE('',*,*,#214854,.F.); #300676=ORIENTED_EDGE('',*,*,#214828,.F.); #300677=ORIENTED_EDGE('',*,*,#214855,.T.); #300678=ORIENTED_EDGE('',*,*,#214814,.F.); #300679=ORIENTED_EDGE('',*,*,#214850,.T.); #300680=ORIENTED_EDGE('',*,*,#214832,.T.); #300681=ORIENTED_EDGE('',*,*,#214856,.T.); #300682=ORIENTED_EDGE('',*,*,#214815,.F.); #300683=ORIENTED_EDGE('',*,*,#214856,.F.); #300684=ORIENTED_EDGE('',*,*,#214831,.T.); #300685=ORIENTED_EDGE('',*,*,#214857,.T.); #300686=ORIENTED_EDGE('',*,*,#214816,.F.); #300687=ORIENTED_EDGE('',*,*,#214857,.F.); #300688=ORIENTED_EDGE('',*,*,#214830,.T.); #300689=ORIENTED_EDGE('',*,*,#214858,.T.); #300690=ORIENTED_EDGE('',*,*,#214817,.F.); #300691=ORIENTED_EDGE('',*,*,#214858,.F.); #300692=ORIENTED_EDGE('',*,*,#214829,.T.); #300693=ORIENTED_EDGE('',*,*,#214854,.T.); #300694=ORIENTED_EDGE('',*,*,#214822,.T.); #300695=ORIENTED_EDGE('',*,*,#214859,.F.); #300696=ORIENTED_EDGE('',*,*,#214824,.F.); #300697=ORIENTED_EDGE('',*,*,#214852,.F.); #300698=ORIENTED_EDGE('',*,*,#214821,.T.); #300699=ORIENTED_EDGE('',*,*,#214860,.F.); #300700=ORIENTED_EDGE('',*,*,#214825,.F.); #300701=ORIENTED_EDGE('',*,*,#214859,.T.); #300702=ORIENTED_EDGE('',*,*,#214820,.T.); #300703=ORIENTED_EDGE('',*,*,#214861,.F.); #300704=ORIENTED_EDGE('',*,*,#214826,.F.); #300705=ORIENTED_EDGE('',*,*,#214860,.T.); #300706=ORIENTED_EDGE('',*,*,#214819,.T.); #300707=ORIENTED_EDGE('',*,*,#214855,.F.); #300708=ORIENTED_EDGE('',*,*,#214827,.F.); #300709=ORIENTED_EDGE('',*,*,#214861,.T.); #300710=ORIENTED_EDGE('',*,*,#214842,.T.); #300711=ORIENTED_EDGE('',*,*,#214851,.T.); #300712=ORIENTED_EDGE('',*,*,#214849,.T.); #300713=ORIENTED_EDGE('',*,*,#214845,.T.); #300714=ORIENTED_EDGE('',*,*,#214839,.T.); #300715=ORIENTED_EDGE('',*,*,#214844,.T.); #300716=ORIENTED_EDGE('',*,*,#214848,.T.); #300717=ORIENTED_EDGE('',*,*,#214853,.T.); #300718=ORIENTED_EDGE('',*,*,#214862,.F.); #300719=ORIENTED_EDGE('',*,*,#214863,.F.); #300720=ORIENTED_EDGE('',*,*,#214864,.T.); #300721=ORIENTED_EDGE('',*,*,#214865,.T.); #300722=ORIENTED_EDGE('',*,*,#214866,.F.); #300723=ORIENTED_EDGE('',*,*,#214865,.F.); #300724=ORIENTED_EDGE('',*,*,#214867,.T.); #300725=ORIENTED_EDGE('',*,*,#214868,.T.); #300726=ORIENTED_EDGE('',*,*,#214869,.T.); #300727=ORIENTED_EDGE('',*,*,#214870,.T.); #300728=ORIENTED_EDGE('',*,*,#214871,.T.); #300729=ORIENTED_EDGE('',*,*,#214872,.F.); #300730=ORIENTED_EDGE('',*,*,#214873,.F.); #300731=ORIENTED_EDGE('',*,*,#214874,.F.); #300732=ORIENTED_EDGE('',*,*,#214875,.F.); #300733=ORIENTED_EDGE('',*,*,#214876,.F.); #300734=ORIENTED_EDGE('',*,*,#214877,.F.); #300735=ORIENTED_EDGE('',*,*,#214878,.T.); #300736=ORIENTED_EDGE('',*,*,#214879,.T.); #300737=ORIENTED_EDGE('',*,*,#214880,.T.); #300738=ORIENTED_EDGE('',*,*,#214881,.T.); #300739=ORIENTED_EDGE('',*,*,#214882,.T.); #300740=ORIENTED_EDGE('',*,*,#214883,.F.); #300741=ORIENTED_EDGE('',*,*,#214884,.F.); #300742=ORIENTED_EDGE('',*,*,#214885,.F.); #300743=ORIENTED_EDGE('',*,*,#214886,.F.); #300744=ORIENTED_EDGE('',*,*,#214887,.T.); #300745=ORIENTED_EDGE('',*,*,#214888,.T.); #300746=ORIENTED_EDGE('',*,*,#214889,.F.); #300747=ORIENTED_EDGE('',*,*,#214888,.F.); #300748=ORIENTED_EDGE('',*,*,#214890,.T.); #300749=ORIENTED_EDGE('',*,*,#214891,.T.); #300750=ORIENTED_EDGE('',*,*,#214892,.T.); #300751=ORIENTED_EDGE('',*,*,#214893,.F.); #300752=ORIENTED_EDGE('',*,*,#214894,.F.); #300753=ORIENTED_EDGE('',*,*,#214891,.F.); #300754=ORIENTED_EDGE('',*,*,#214895,.T.); #300755=ORIENTED_EDGE('',*,*,#214896,.F.); #300756=ORIENTED_EDGE('',*,*,#214897,.T.); #300757=ORIENTED_EDGE('',*,*,#214898,.F.); #300758=ORIENTED_EDGE('',*,*,#214892,.F.); #300759=ORIENTED_EDGE('',*,*,#214899,.F.); #300760=ORIENTED_EDGE('',*,*,#214900,.T.); #300761=ORIENTED_EDGE('',*,*,#214863,.T.); #300762=ORIENTED_EDGE('',*,*,#214901,.F.); #300763=ORIENTED_EDGE('',*,*,#214902,.F.); #300764=ORIENTED_EDGE('',*,*,#214897,.F.); #300765=ORIENTED_EDGE('',*,*,#214903,.F.); #300766=ORIENTED_EDGE('',*,*,#214895,.F.); #300767=ORIENTED_EDGE('',*,*,#214890,.F.); #300768=ORIENTED_EDGE('',*,*,#214887,.F.); #300769=ORIENTED_EDGE('',*,*,#214904,.F.); #300770=ORIENTED_EDGE('',*,*,#214867,.F.); #300771=ORIENTED_EDGE('',*,*,#214864,.F.); #300772=ORIENTED_EDGE('',*,*,#214900,.F.); #300773=ORIENTED_EDGE('',*,*,#214905,.F.); #300774=ORIENTED_EDGE('',*,*,#214901,.T.); #300775=ORIENTED_EDGE('',*,*,#214862,.T.); #300776=ORIENTED_EDGE('',*,*,#214866,.T.); #300777=ORIENTED_EDGE('',*,*,#214906,.T.); #300778=ORIENTED_EDGE('',*,*,#214877,.T.); #300779=ORIENTED_EDGE('',*,*,#214889,.T.); #300780=ORIENTED_EDGE('',*,*,#214894,.T.); #300781=ORIENTED_EDGE('',*,*,#214907,.F.); #300782=ORIENTED_EDGE('',*,*,#214872,.T.); #300783=ORIENTED_EDGE('',*,*,#214908,.F.); #300784=ORIENTED_EDGE('',*,*,#214882,.F.); #300785=ORIENTED_EDGE('',*,*,#214909,.T.); #300786=ORIENTED_EDGE('',*,*,#214868,.F.); #300787=ORIENTED_EDGE('',*,*,#214904,.T.); #300788=ORIENTED_EDGE('',*,*,#214886,.T.); #300789=ORIENTED_EDGE('',*,*,#214910,.T.); #300790=ORIENTED_EDGE('',*,*,#214869,.F.); #300791=ORIENTED_EDGE('',*,*,#214910,.F.); #300792=ORIENTED_EDGE('',*,*,#214885,.T.); #300793=ORIENTED_EDGE('',*,*,#214911,.T.); #300794=ORIENTED_EDGE('',*,*,#214870,.F.); #300795=ORIENTED_EDGE('',*,*,#214911,.F.); #300796=ORIENTED_EDGE('',*,*,#214884,.T.); #300797=ORIENTED_EDGE('',*,*,#214912,.T.); #300798=ORIENTED_EDGE('',*,*,#214871,.F.); #300799=ORIENTED_EDGE('',*,*,#214912,.F.); #300800=ORIENTED_EDGE('',*,*,#214883,.T.); #300801=ORIENTED_EDGE('',*,*,#214908,.T.); #300802=ORIENTED_EDGE('',*,*,#214876,.T.); #300803=ORIENTED_EDGE('',*,*,#214913,.F.); #300804=ORIENTED_EDGE('',*,*,#214878,.F.); #300805=ORIENTED_EDGE('',*,*,#214906,.F.); #300806=ORIENTED_EDGE('',*,*,#214875,.T.); #300807=ORIENTED_EDGE('',*,*,#214914,.F.); #300808=ORIENTED_EDGE('',*,*,#214879,.F.); #300809=ORIENTED_EDGE('',*,*,#214913,.T.); #300810=ORIENTED_EDGE('',*,*,#214874,.T.); #300811=ORIENTED_EDGE('',*,*,#214915,.F.); #300812=ORIENTED_EDGE('',*,*,#214880,.F.); #300813=ORIENTED_EDGE('',*,*,#214914,.T.); #300814=ORIENTED_EDGE('',*,*,#214873,.T.); #300815=ORIENTED_EDGE('',*,*,#214909,.F.); #300816=ORIENTED_EDGE('',*,*,#214881,.F.); #300817=ORIENTED_EDGE('',*,*,#214915,.T.); #300818=ORIENTED_EDGE('',*,*,#214896,.T.); #300819=ORIENTED_EDGE('',*,*,#214905,.T.); #300820=ORIENTED_EDGE('',*,*,#214903,.T.); #300821=ORIENTED_EDGE('',*,*,#214899,.T.); #300822=ORIENTED_EDGE('',*,*,#214893,.T.); #300823=ORIENTED_EDGE('',*,*,#214898,.T.); #300824=ORIENTED_EDGE('',*,*,#214902,.T.); #300825=ORIENTED_EDGE('',*,*,#214907,.T.); #300826=ORIENTED_EDGE('',*,*,#214916,.T.); #300827=ORIENTED_EDGE('',*,*,#214917,.F.); #300828=ORIENTED_EDGE('',*,*,#214918,.F.); #300829=ORIENTED_EDGE('',*,*,#214919,.T.); #300830=ORIENTED_EDGE('',*,*,#214920,.T.); #300831=ORIENTED_EDGE('',*,*,#214921,.F.); #300832=ORIENTED_EDGE('',*,*,#214922,.F.); #300833=ORIENTED_EDGE('',*,*,#214917,.T.); #300834=ORIENTED_EDGE('',*,*,#214923,.T.); #300835=ORIENTED_EDGE('',*,*,#214924,.F.); #300836=ORIENTED_EDGE('',*,*,#214925,.F.); #300837=ORIENTED_EDGE('',*,*,#214921,.T.); #300838=ORIENTED_EDGE('',*,*,#214926,.T.); #300839=ORIENTED_EDGE('',*,*,#214927,.F.); #300840=ORIENTED_EDGE('',*,*,#214928,.F.); #300841=ORIENTED_EDGE('',*,*,#214924,.T.); #300842=ORIENTED_EDGE('',*,*,#214929,.T.); #300843=ORIENTED_EDGE('',*,*,#214930,.F.); #300844=ORIENTED_EDGE('',*,*,#214931,.F.); #300845=ORIENTED_EDGE('',*,*,#214927,.T.); #300846=ORIENTED_EDGE('',*,*,#214932,.T.); #300847=ORIENTED_EDGE('',*,*,#214933,.F.); #300848=ORIENTED_EDGE('',*,*,#214934,.F.); #300849=ORIENTED_EDGE('',*,*,#214930,.T.); #300850=ORIENTED_EDGE('',*,*,#214935,.T.); #300851=ORIENTED_EDGE('',*,*,#214936,.F.); #300852=ORIENTED_EDGE('',*,*,#214937,.F.); #300853=ORIENTED_EDGE('',*,*,#214933,.T.); #300854=ORIENTED_EDGE('',*,*,#214938,.T.); #300855=ORIENTED_EDGE('',*,*,#214939,.F.); #300856=ORIENTED_EDGE('',*,*,#214940,.F.); #300857=ORIENTED_EDGE('',*,*,#214936,.T.); #300858=ORIENTED_EDGE('',*,*,#214941,.T.); #300859=ORIENTED_EDGE('',*,*,#214942,.F.); #300860=ORIENTED_EDGE('',*,*,#214943,.F.); #300861=ORIENTED_EDGE('',*,*,#214939,.T.); #300862=ORIENTED_EDGE('',*,*,#214944,.T.); #300863=ORIENTED_EDGE('',*,*,#214945,.F.); #300864=ORIENTED_EDGE('',*,*,#214946,.F.); #300865=ORIENTED_EDGE('',*,*,#214942,.T.); #300866=ORIENTED_EDGE('',*,*,#214947,.T.); #300867=ORIENTED_EDGE('',*,*,#214948,.F.); #300868=ORIENTED_EDGE('',*,*,#214949,.F.); #300869=ORIENTED_EDGE('',*,*,#214945,.T.); #300870=ORIENTED_EDGE('',*,*,#214950,.T.); #300871=ORIENTED_EDGE('',*,*,#214951,.F.); #300872=ORIENTED_EDGE('',*,*,#214952,.F.); #300873=ORIENTED_EDGE('',*,*,#214948,.T.); #300874=ORIENTED_EDGE('',*,*,#214953,.T.); #300875=ORIENTED_EDGE('',*,*,#214954,.F.); #300876=ORIENTED_EDGE('',*,*,#214955,.F.); #300877=ORIENTED_EDGE('',*,*,#214951,.T.); #300878=ORIENTED_EDGE('',*,*,#214956,.T.); #300879=ORIENTED_EDGE('',*,*,#214957,.F.); #300880=ORIENTED_EDGE('',*,*,#214958,.F.); #300881=ORIENTED_EDGE('',*,*,#214954,.T.); #300882=ORIENTED_EDGE('',*,*,#214959,.T.); #300883=ORIENTED_EDGE('',*,*,#214960,.F.); #300884=ORIENTED_EDGE('',*,*,#214961,.F.); #300885=ORIENTED_EDGE('',*,*,#214957,.T.); #300886=ORIENTED_EDGE('',*,*,#214962,.T.); #300887=ORIENTED_EDGE('',*,*,#214963,.F.); #300888=ORIENTED_EDGE('',*,*,#214964,.F.); #300889=ORIENTED_EDGE('',*,*,#214960,.T.); #300890=ORIENTED_EDGE('',*,*,#214965,.T.); #300891=ORIENTED_EDGE('',*,*,#214966,.F.); #300892=ORIENTED_EDGE('',*,*,#214967,.F.); #300893=ORIENTED_EDGE('',*,*,#214963,.T.); #300894=ORIENTED_EDGE('',*,*,#214968,.T.); #300895=ORIENTED_EDGE('',*,*,#214969,.F.); #300896=ORIENTED_EDGE('',*,*,#214970,.F.); #300897=ORIENTED_EDGE('',*,*,#214966,.T.); #300898=ORIENTED_EDGE('',*,*,#214971,.T.); #300899=ORIENTED_EDGE('',*,*,#214972,.F.); #300900=ORIENTED_EDGE('',*,*,#214973,.F.); #300901=ORIENTED_EDGE('',*,*,#214969,.T.); #300902=ORIENTED_EDGE('',*,*,#214974,.T.); #300903=ORIENTED_EDGE('',*,*,#214975,.F.); #300904=ORIENTED_EDGE('',*,*,#214976,.F.); #300905=ORIENTED_EDGE('',*,*,#214972,.T.); #300906=ORIENTED_EDGE('',*,*,#214977,.T.); #300907=ORIENTED_EDGE('',*,*,#214978,.F.); #300908=ORIENTED_EDGE('',*,*,#214979,.F.); #300909=ORIENTED_EDGE('',*,*,#214975,.T.); #300910=ORIENTED_EDGE('',*,*,#214980,.T.); #300911=ORIENTED_EDGE('',*,*,#214981,.F.); #300912=ORIENTED_EDGE('',*,*,#214982,.F.); #300913=ORIENTED_EDGE('',*,*,#214978,.T.); #300914=ORIENTED_EDGE('',*,*,#214983,.T.); #300915=ORIENTED_EDGE('',*,*,#214984,.F.); #300916=ORIENTED_EDGE('',*,*,#214985,.F.); #300917=ORIENTED_EDGE('',*,*,#214981,.T.); #300918=ORIENTED_EDGE('',*,*,#214986,.T.); #300919=ORIENTED_EDGE('',*,*,#214987,.F.); #300920=ORIENTED_EDGE('',*,*,#214988,.F.); #300921=ORIENTED_EDGE('',*,*,#214984,.T.); #300922=ORIENTED_EDGE('',*,*,#214989,.T.); #300923=ORIENTED_EDGE('',*,*,#214990,.F.); #300924=ORIENTED_EDGE('',*,*,#214991,.F.); #300925=ORIENTED_EDGE('',*,*,#214987,.T.); #300926=ORIENTED_EDGE('',*,*,#214992,.T.); #300927=ORIENTED_EDGE('',*,*,#214993,.F.); #300928=ORIENTED_EDGE('',*,*,#214994,.F.); #300929=ORIENTED_EDGE('',*,*,#214990,.T.); #300930=ORIENTED_EDGE('',*,*,#214995,.T.); #300931=ORIENTED_EDGE('',*,*,#214996,.F.); #300932=ORIENTED_EDGE('',*,*,#214997,.F.); #300933=ORIENTED_EDGE('',*,*,#214993,.T.); #300934=ORIENTED_EDGE('',*,*,#214998,.T.); #300935=ORIENTED_EDGE('',*,*,#214999,.F.); #300936=ORIENTED_EDGE('',*,*,#215000,.F.); #300937=ORIENTED_EDGE('',*,*,#214996,.T.); #300938=ORIENTED_EDGE('',*,*,#215001,.T.); #300939=ORIENTED_EDGE('',*,*,#215002,.F.); #300940=ORIENTED_EDGE('',*,*,#215003,.F.); #300941=ORIENTED_EDGE('',*,*,#214999,.T.); #300942=ORIENTED_EDGE('',*,*,#215004,.T.); #300943=ORIENTED_EDGE('',*,*,#215005,.F.); #300944=ORIENTED_EDGE('',*,*,#215006,.F.); #300945=ORIENTED_EDGE('',*,*,#215002,.T.); #300946=ORIENTED_EDGE('',*,*,#215007,.T.); #300947=ORIENTED_EDGE('',*,*,#215008,.F.); #300948=ORIENTED_EDGE('',*,*,#215009,.F.); #300949=ORIENTED_EDGE('',*,*,#215005,.T.); #300950=ORIENTED_EDGE('',*,*,#215010,.T.); #300951=ORIENTED_EDGE('',*,*,#215011,.F.); #300952=ORIENTED_EDGE('',*,*,#215012,.F.); #300953=ORIENTED_EDGE('',*,*,#215008,.T.); #300954=ORIENTED_EDGE('',*,*,#215013,.T.); #300955=ORIENTED_EDGE('',*,*,#215014,.F.); #300956=ORIENTED_EDGE('',*,*,#215015,.F.); #300957=ORIENTED_EDGE('',*,*,#215011,.T.); #300958=ORIENTED_EDGE('',*,*,#215016,.T.); #300959=ORIENTED_EDGE('',*,*,#215017,.F.); #300960=ORIENTED_EDGE('',*,*,#215018,.F.); #300961=ORIENTED_EDGE('',*,*,#215014,.T.); #300962=ORIENTED_EDGE('',*,*,#215019,.T.); #300963=ORIENTED_EDGE('',*,*,#215020,.F.); #300964=ORIENTED_EDGE('',*,*,#215021,.F.); #300965=ORIENTED_EDGE('',*,*,#215017,.T.); #300966=ORIENTED_EDGE('',*,*,#215022,.T.); #300967=ORIENTED_EDGE('',*,*,#214919,.F.); #300968=ORIENTED_EDGE('',*,*,#215023,.F.); #300969=ORIENTED_EDGE('',*,*,#215020,.T.); #300970=ORIENTED_EDGE('',*,*,#214918,.T.); #300971=ORIENTED_EDGE('',*,*,#214922,.T.); #300972=ORIENTED_EDGE('',*,*,#214925,.T.); #300973=ORIENTED_EDGE('',*,*,#214928,.T.); #300974=ORIENTED_EDGE('',*,*,#214931,.T.); #300975=ORIENTED_EDGE('',*,*,#214934,.T.); #300976=ORIENTED_EDGE('',*,*,#214937,.T.); #300977=ORIENTED_EDGE('',*,*,#214940,.T.); #300978=ORIENTED_EDGE('',*,*,#214943,.T.); #300979=ORIENTED_EDGE('',*,*,#214946,.T.); #300980=ORIENTED_EDGE('',*,*,#214949,.T.); #300981=ORIENTED_EDGE('',*,*,#214952,.T.); #300982=ORIENTED_EDGE('',*,*,#214955,.T.); #300983=ORIENTED_EDGE('',*,*,#214958,.T.); #300984=ORIENTED_EDGE('',*,*,#214961,.T.); #300985=ORIENTED_EDGE('',*,*,#214964,.T.); #300986=ORIENTED_EDGE('',*,*,#214967,.T.); #300987=ORIENTED_EDGE('',*,*,#214970,.T.); #300988=ORIENTED_EDGE('',*,*,#214973,.T.); #300989=ORIENTED_EDGE('',*,*,#214976,.T.); #300990=ORIENTED_EDGE('',*,*,#214979,.T.); #300991=ORIENTED_EDGE('',*,*,#214982,.T.); #300992=ORIENTED_EDGE('',*,*,#214985,.T.); #300993=ORIENTED_EDGE('',*,*,#214988,.T.); #300994=ORIENTED_EDGE('',*,*,#214991,.T.); #300995=ORIENTED_EDGE('',*,*,#214994,.T.); #300996=ORIENTED_EDGE('',*,*,#214997,.T.); #300997=ORIENTED_EDGE('',*,*,#215000,.T.); #300998=ORIENTED_EDGE('',*,*,#215003,.T.); #300999=ORIENTED_EDGE('',*,*,#215006,.T.); #301000=ORIENTED_EDGE('',*,*,#215009,.T.); #301001=ORIENTED_EDGE('',*,*,#215012,.T.); #301002=ORIENTED_EDGE('',*,*,#215015,.T.); #301003=ORIENTED_EDGE('',*,*,#215018,.T.); #301004=ORIENTED_EDGE('',*,*,#215021,.T.); #301005=ORIENTED_EDGE('',*,*,#215023,.T.); #301006=ORIENTED_EDGE('',*,*,#214916,.F.); #301007=ORIENTED_EDGE('',*,*,#215022,.F.); #301008=ORIENTED_EDGE('',*,*,#215019,.F.); #301009=ORIENTED_EDGE('',*,*,#215016,.F.); #301010=ORIENTED_EDGE('',*,*,#215013,.F.); #301011=ORIENTED_EDGE('',*,*,#215010,.F.); #301012=ORIENTED_EDGE('',*,*,#215007,.F.); #301013=ORIENTED_EDGE('',*,*,#215004,.F.); #301014=ORIENTED_EDGE('',*,*,#215001,.F.); #301015=ORIENTED_EDGE('',*,*,#214998,.F.); #301016=ORIENTED_EDGE('',*,*,#214995,.F.); #301017=ORIENTED_EDGE('',*,*,#214992,.F.); #301018=ORIENTED_EDGE('',*,*,#214989,.F.); #301019=ORIENTED_EDGE('',*,*,#214986,.F.); #301020=ORIENTED_EDGE('',*,*,#214983,.F.); #301021=ORIENTED_EDGE('',*,*,#214980,.F.); #301022=ORIENTED_EDGE('',*,*,#214977,.F.); #301023=ORIENTED_EDGE('',*,*,#214974,.F.); #301024=ORIENTED_EDGE('',*,*,#214971,.F.); #301025=ORIENTED_EDGE('',*,*,#214968,.F.); #301026=ORIENTED_EDGE('',*,*,#214965,.F.); #301027=ORIENTED_EDGE('',*,*,#214962,.F.); #301028=ORIENTED_EDGE('',*,*,#214959,.F.); #301029=ORIENTED_EDGE('',*,*,#214956,.F.); #301030=ORIENTED_EDGE('',*,*,#214953,.F.); #301031=ORIENTED_EDGE('',*,*,#214950,.F.); #301032=ORIENTED_EDGE('',*,*,#214947,.F.); #301033=ORIENTED_EDGE('',*,*,#214944,.F.); #301034=ORIENTED_EDGE('',*,*,#214941,.F.); #301035=ORIENTED_EDGE('',*,*,#214938,.F.); #301036=ORIENTED_EDGE('',*,*,#214935,.F.); #301037=ORIENTED_EDGE('',*,*,#214932,.F.); #301038=ORIENTED_EDGE('',*,*,#214929,.F.); #301039=ORIENTED_EDGE('',*,*,#214926,.F.); #301040=ORIENTED_EDGE('',*,*,#214923,.F.); #301041=ORIENTED_EDGE('',*,*,#214920,.F.); #301042=ORIENTED_EDGE('',*,*,#215024,.T.); #301043=ORIENTED_EDGE('',*,*,#215025,.T.); #301044=ORIENTED_EDGE('',*,*,#215026,.F.); #301045=ORIENTED_EDGE('',*,*,#215027,.F.); #301046=ORIENTED_EDGE('',*,*,#215028,.F.); #301047=ORIENTED_EDGE('',*,*,#215029,.T.); #301048=ORIENTED_EDGE('',*,*,#215030,.T.); #301049=ORIENTED_EDGE('',*,*,#215031,.F.); #301050=ORIENTED_EDGE('',*,*,#215032,.F.); #301051=ORIENTED_EDGE('',*,*,#215033,.F.); #301052=ORIENTED_EDGE('',*,*,#215034,.F.); #301053=ORIENTED_EDGE('',*,*,#215035,.F.); #301054=ORIENTED_EDGE('',*,*,#215036,.F.); #301055=ORIENTED_EDGE('',*,*,#215037,.F.); #301056=ORIENTED_EDGE('',*,*,#215038,.F.); #301057=ORIENTED_EDGE('',*,*,#215039,.T.); #301058=ORIENTED_EDGE('',*,*,#215040,.T.); #301059=ORIENTED_EDGE('',*,*,#215041,.T.); #301060=ORIENTED_EDGE('',*,*,#215042,.T.); #301061=ORIENTED_EDGE('',*,*,#215043,.T.); #301062=ORIENTED_EDGE('',*,*,#215044,.T.); #301063=ORIENTED_EDGE('',*,*,#215045,.T.); #301064=ORIENTED_EDGE('',*,*,#215046,.T.); #301065=ORIENTED_EDGE('',*,*,#215047,.T.); #301066=ORIENTED_EDGE('',*,*,#215048,.T.); #301067=ORIENTED_EDGE('',*,*,#215049,.F.); #301068=ORIENTED_EDGE('',*,*,#215050,.F.); #301069=ORIENTED_EDGE('',*,*,#215051,.F.); #301070=ORIENTED_EDGE('',*,*,#215052,.F.); #301071=ORIENTED_EDGE('',*,*,#215053,.F.); #301072=ORIENTED_EDGE('',*,*,#215054,.F.); #301073=ORIENTED_EDGE('',*,*,#215055,.F.); #301074=ORIENTED_EDGE('',*,*,#215056,.F.); #301075=ORIENTED_EDGE('',*,*,#215057,.F.); #301076=ORIENTED_EDGE('',*,*,#215058,.F.); #301077=ORIENTED_EDGE('',*,*,#215059,.F.); #301078=ORIENTED_EDGE('',*,*,#215060,.F.); #301079=ORIENTED_EDGE('',*,*,#215061,.F.); #301080=ORIENTED_EDGE('',*,*,#215062,.F.); #301081=ORIENTED_EDGE('',*,*,#215063,.F.); #301082=ORIENTED_EDGE('',*,*,#215064,.F.); #301083=ORIENTED_EDGE('',*,*,#215065,.F.); #301084=ORIENTED_EDGE('',*,*,#215066,.F.); #301085=ORIENTED_EDGE('',*,*,#215067,.T.); #301086=ORIENTED_EDGE('',*,*,#215068,.F.); #301087=ORIENTED_EDGE('',*,*,#215069,.F.); #301088=ORIENTED_EDGE('',*,*,#215070,.T.); #301089=ORIENTED_EDGE('',*,*,#215071,.T.); #301090=ORIENTED_EDGE('',*,*,#215072,.T.); #301091=ORIENTED_EDGE('',*,*,#215073,.F.); #301092=ORIENTED_EDGE('',*,*,#215074,.T.); #301093=ORIENTED_EDGE('',*,*,#215075,.T.); #301094=ORIENTED_EDGE('',*,*,#215076,.T.); #301095=ORIENTED_EDGE('',*,*,#215077,.T.); #301096=ORIENTED_EDGE('',*,*,#215078,.T.); #301097=ORIENTED_EDGE('',*,*,#215079,.F.); #301098=ORIENTED_EDGE('',*,*,#215080,.F.); #301099=ORIENTED_EDGE('',*,*,#215081,.F.); #301100=ORIENTED_EDGE('',*,*,#215082,.F.); #301101=ORIENTED_EDGE('',*,*,#215083,.F.); #301102=ORIENTED_EDGE('',*,*,#215084,.F.); #301103=ORIENTED_EDGE('',*,*,#215085,.F.); #301104=ORIENTED_EDGE('',*,*,#215086,.F.); #301105=ORIENTED_EDGE('',*,*,#215087,.F.); #301106=ORIENTED_EDGE('',*,*,#215088,.F.); #301107=ORIENTED_EDGE('',*,*,#215089,.F.); #301108=ORIENTED_EDGE('',*,*,#215090,.F.); #301109=ORIENTED_EDGE('',*,*,#215091,.T.); #301110=ORIENTED_EDGE('',*,*,#215092,.F.); #301111=ORIENTED_EDGE('',*,*,#215093,.F.); #301112=ORIENTED_EDGE('',*,*,#215094,.F.); #301113=ORIENTED_EDGE('',*,*,#215095,.F.); #301114=ORIENTED_EDGE('',*,*,#215096,.F.); #301115=ORIENTED_EDGE('',*,*,#215097,.F.); #301116=ORIENTED_EDGE('',*,*,#215098,.F.); #301117=ORIENTED_EDGE('',*,*,#215099,.F.); #301118=ORIENTED_EDGE('',*,*,#215100,.F.); #301119=ORIENTED_EDGE('',*,*,#215101,.F.); #301120=ORIENTED_EDGE('',*,*,#215102,.T.); #301121=ORIENTED_EDGE('',*,*,#215103,.T.); #301122=ORIENTED_EDGE('',*,*,#215104,.F.); #301123=ORIENTED_EDGE('',*,*,#215105,.T.); #301124=ORIENTED_EDGE('',*,*,#215106,.T.); #301125=ORIENTED_EDGE('',*,*,#215107,.T.); #301126=ORIENTED_EDGE('',*,*,#215108,.T.); #301127=ORIENTED_EDGE('',*,*,#215109,.T.); #301128=ORIENTED_EDGE('',*,*,#215110,.T.); #301129=ORIENTED_EDGE('',*,*,#215111,.T.); #301130=ORIENTED_EDGE('',*,*,#215112,.F.); #301131=ORIENTED_EDGE('',*,*,#215113,.F.); #301132=ORIENTED_EDGE('',*,*,#215114,.F.); #301133=ORIENTED_EDGE('',*,*,#215115,.F.); #301134=ORIENTED_EDGE('',*,*,#215116,.F.); #301135=ORIENTED_EDGE('',*,*,#215117,.F.); #301136=ORIENTED_EDGE('',*,*,#215118,.F.); #301137=ORIENTED_EDGE('',*,*,#215119,.F.); #301138=ORIENTED_EDGE('',*,*,#215120,.F.); #301139=ORIENTED_EDGE('',*,*,#215121,.F.); #301140=ORIENTED_EDGE('',*,*,#215122,.F.); #301141=ORIENTED_EDGE('',*,*,#215123,.F.); #301142=ORIENTED_EDGE('',*,*,#215124,.F.); #301143=ORIENTED_EDGE('',*,*,#215125,.T.); #301144=ORIENTED_EDGE('',*,*,#215081,.T.); #301145=ORIENTED_EDGE('',*,*,#215126,.F.); #301146=ORIENTED_EDGE('',*,*,#215070,.F.); #301147=ORIENTED_EDGE('',*,*,#215127,.F.); #301148=ORIENTED_EDGE('',*,*,#215047,.F.); #301149=ORIENTED_EDGE('',*,*,#215128,.T.); #301150=ORIENTED_EDGE('',*,*,#215104,.T.); #301151=ORIENTED_EDGE('',*,*,#215129,.T.); #301152=ORIENTED_EDGE('',*,*,#215130,.T.); #301153=ORIENTED_EDGE('',*,*,#215131,.T.); #301154=ORIENTED_EDGE('',*,*,#215132,.T.); #301155=ORIENTED_EDGE('',*,*,#215133,.T.); #301156=ORIENTED_EDGE('',*,*,#215134,.F.); #301157=ORIENTED_EDGE('',*,*,#215135,.F.); #301158=ORIENTED_EDGE('',*,*,#215136,.T.); #301159=ORIENTED_EDGE('',*,*,#215137,.T.); #301160=ORIENTED_EDGE('',*,*,#215138,.T.); #301161=ORIENTED_EDGE('',*,*,#215139,.F.); #301162=ORIENTED_EDGE('',*,*,#215140,.F.); #301163=ORIENTED_EDGE('',*,*,#215141,.F.); #301164=ORIENTED_EDGE('',*,*,#215142,.T.); #301165=ORIENTED_EDGE('',*,*,#215136,.F.); #301166=ORIENTED_EDGE('',*,*,#215143,.T.); #301167=ORIENTED_EDGE('',*,*,#215144,.F.); #301168=ORIENTED_EDGE('',*,*,#215145,.T.); #301169=ORIENTED_EDGE('',*,*,#215131,.F.); #301170=ORIENTED_EDGE('',*,*,#215146,.T.); #301171=ORIENTED_EDGE('',*,*,#215147,.T.); #301172=ORIENTED_EDGE('',*,*,#215148,.T.); #301173=ORIENTED_EDGE('',*,*,#215149,.F.); #301174=ORIENTED_EDGE('',*,*,#215150,.T.); #301175=ORIENTED_EDGE('',*,*,#215151,.T.); #301176=ORIENTED_EDGE('',*,*,#215152,.T.); #301177=ORIENTED_EDGE('',*,*,#215153,.T.); #301178=ORIENTED_EDGE('',*,*,#215154,.T.); #301179=ORIENTED_EDGE('',*,*,#215155,.T.); #301180=ORIENTED_EDGE('',*,*,#215156,.F.); #301181=ORIENTED_EDGE('',*,*,#215157,.T.); #301182=ORIENTED_EDGE('',*,*,#215158,.T.); #301183=ORIENTED_EDGE('',*,*,#215159,.T.); #301184=ORIENTED_EDGE('',*,*,#215160,.T.); #301185=ORIENTED_EDGE('',*,*,#215161,.F.); #301186=ORIENTED_EDGE('',*,*,#215162,.T.); #301187=ORIENTED_EDGE('',*,*,#215163,.T.); #301188=ORIENTED_EDGE('',*,*,#215164,.T.); #301189=ORIENTED_EDGE('',*,*,#215165,.T.); #301190=ORIENTED_EDGE('',*,*,#215166,.T.); #301191=ORIENTED_EDGE('',*,*,#215167,.F.); #301192=ORIENTED_EDGE('',*,*,#215168,.T.); #301193=ORIENTED_EDGE('',*,*,#215169,.T.); #301194=ORIENTED_EDGE('',*,*,#215170,.T.); #301195=ORIENTED_EDGE('',*,*,#215171,.T.); #301196=ORIENTED_EDGE('',*,*,#215172,.T.); #301197=ORIENTED_EDGE('',*,*,#215173,.T.); #301198=ORIENTED_EDGE('',*,*,#215174,.T.); #301199=ORIENTED_EDGE('',*,*,#215175,.T.); #301200=ORIENTED_EDGE('',*,*,#215176,.T.); #301201=ORIENTED_EDGE('',*,*,#215177,.T.); #301202=ORIENTED_EDGE('',*,*,#215178,.T.); #301203=ORIENTED_EDGE('',*,*,#215179,.T.); #301204=ORIENTED_EDGE('',*,*,#215180,.T.); #301205=ORIENTED_EDGE('',*,*,#215181,.T.); #301206=ORIENTED_EDGE('',*,*,#215182,.T.); #301207=ORIENTED_EDGE('',*,*,#215183,.T.); #301208=ORIENTED_EDGE('',*,*,#215184,.T.); #301209=ORIENTED_EDGE('',*,*,#215185,.T.); #301210=ORIENTED_EDGE('',*,*,#215186,.T.); #301211=ORIENTED_EDGE('',*,*,#215187,.T.); #301212=ORIENTED_EDGE('',*,*,#215188,.F.); #301213=ORIENTED_EDGE('',*,*,#215189,.T.); #301214=ORIENTED_EDGE('',*,*,#215190,.T.); #301215=ORIENTED_EDGE('',*,*,#215191,.F.); #301216=ORIENTED_EDGE('',*,*,#215192,.F.); #301217=ORIENTED_EDGE('',*,*,#215193,.F.); #301218=ORIENTED_EDGE('',*,*,#215194,.F.); #301219=ORIENTED_EDGE('',*,*,#215195,.F.); #301220=ORIENTED_EDGE('',*,*,#215196,.F.); #301221=ORIENTED_EDGE('',*,*,#215197,.F.); #301222=ORIENTED_EDGE('',*,*,#215198,.F.); #301223=ORIENTED_EDGE('',*,*,#215199,.F.); #301224=ORIENTED_EDGE('',*,*,#215200,.F.); #301225=ORIENTED_EDGE('',*,*,#215201,.F.); #301226=ORIENTED_EDGE('',*,*,#215202,.F.); #301227=ORIENTED_EDGE('',*,*,#215203,.F.); #301228=ORIENTED_EDGE('',*,*,#215204,.F.); #301229=ORIENTED_EDGE('',*,*,#215205,.F.); #301230=ORIENTED_EDGE('',*,*,#215206,.T.); #301231=ORIENTED_EDGE('',*,*,#215207,.T.); #301232=ORIENTED_EDGE('',*,*,#215208,.F.); #301233=ORIENTED_EDGE('',*,*,#215209,.F.); #301234=ORIENTED_EDGE('',*,*,#215210,.T.); #301235=ORIENTED_EDGE('',*,*,#215211,.T.); #301236=ORIENTED_EDGE('',*,*,#215212,.F.); #301237=ORIENTED_EDGE('',*,*,#215213,.T.); #301238=ORIENTED_EDGE('',*,*,#215214,.F.); #301239=ORIENTED_EDGE('',*,*,#215215,.T.); #301240=ORIENTED_EDGE('',*,*,#215216,.T.); #301241=ORIENTED_EDGE('',*,*,#215217,.T.); #301242=ORIENTED_EDGE('',*,*,#215218,.T.); #301243=ORIENTED_EDGE('',*,*,#215219,.T.); #301244=ORIENTED_EDGE('',*,*,#215220,.F.); #301245=ORIENTED_EDGE('',*,*,#215221,.F.); #301246=ORIENTED_EDGE('',*,*,#215222,.T.); #301247=ORIENTED_EDGE('',*,*,#215213,.F.); #301248=ORIENTED_EDGE('',*,*,#215223,.F.); #301249=ORIENTED_EDGE('',*,*,#215224,.F.); #301250=ORIENTED_EDGE('',*,*,#215225,.F.); #301251=ORIENTED_EDGE('',*,*,#215226,.F.); #301252=ORIENTED_EDGE('',*,*,#215227,.F.); #301253=ORIENTED_EDGE('',*,*,#215228,.T.); #301254=ORIENTED_EDGE('',*,*,#215229,.T.); #301255=ORIENTED_EDGE('',*,*,#215230,.T.); #301256=ORIENTED_EDGE('',*,*,#215231,.T.); #301257=ORIENTED_EDGE('',*,*,#215232,.T.); #301258=ORIENTED_EDGE('',*,*,#215233,.T.); #301259=ORIENTED_EDGE('',*,*,#215234,.T.); #301260=ORIENTED_EDGE('',*,*,#215235,.T.); #301261=ORIENTED_EDGE('',*,*,#215236,.T.); #301262=ORIENTED_EDGE('',*,*,#215237,.T.); #301263=ORIENTED_EDGE('',*,*,#215238,.T.); #301264=ORIENTED_EDGE('',*,*,#215239,.T.); #301265=ORIENTED_EDGE('',*,*,#215240,.T.); #301266=ORIENTED_EDGE('',*,*,#215241,.F.); #301267=ORIENTED_EDGE('',*,*,#215242,.F.); #301268=ORIENTED_EDGE('',*,*,#215243,.F.); #301269=ORIENTED_EDGE('',*,*,#215244,.F.); #301270=ORIENTED_EDGE('',*,*,#215245,.F.); #301271=ORIENTED_EDGE('',*,*,#215246,.F.); #301272=ORIENTED_EDGE('',*,*,#215247,.F.); #301273=ORIENTED_EDGE('',*,*,#215248,.F.); #301274=ORIENTED_EDGE('',*,*,#215029,.F.); #301275=ORIENTED_EDGE('',*,*,#215249,.T.); #301276=ORIENTED_EDGE('',*,*,#215250,.T.); #301277=ORIENTED_EDGE('',*,*,#215251,.T.); #301278=ORIENTED_EDGE('',*,*,#215252,.T.); #301279=ORIENTED_EDGE('',*,*,#215253,.T.); #301280=ORIENTED_EDGE('',*,*,#215254,.T.); #301281=ORIENTED_EDGE('',*,*,#215255,.T.); #301282=ORIENTED_EDGE('',*,*,#215024,.F.); #301283=ORIENTED_EDGE('',*,*,#215256,.F.); #301284=ORIENTED_EDGE('',*,*,#215257,.T.); #301285=ORIENTED_EDGE('',*,*,#215258,.T.); #301286=ORIENTED_EDGE('',*,*,#215259,.T.); #301287=ORIENTED_EDGE('',*,*,#215260,.T.); #301288=ORIENTED_EDGE('',*,*,#215261,.T.); #301289=ORIENTED_EDGE('',*,*,#215262,.F.); #301290=ORIENTED_EDGE('',*,*,#215263,.T.); #301291=ORIENTED_EDGE('',*,*,#215264,.T.); #301292=ORIENTED_EDGE('',*,*,#215265,.F.); #301293=ORIENTED_EDGE('',*,*,#215257,.F.); #301294=ORIENTED_EDGE('',*,*,#215266,.T.); #301295=ORIENTED_EDGE('',*,*,#215267,.T.); #301296=ORIENTED_EDGE('',*,*,#215268,.F.); #301297=ORIENTED_EDGE('',*,*,#215261,.F.); #301298=ORIENTED_EDGE('',*,*,#215269,.T.); #301299=ORIENTED_EDGE('',*,*,#215270,.T.); #301300=ORIENTED_EDGE('',*,*,#215271,.F.); #301301=ORIENTED_EDGE('',*,*,#215160,.F.); #301302=ORIENTED_EDGE('',*,*,#215272,.T.); #301303=ORIENTED_EDGE('',*,*,#215273,.T.); #301304=ORIENTED_EDGE('',*,*,#215274,.F.); #301305=ORIENTED_EDGE('',*,*,#215164,.F.); #301306=ORIENTED_EDGE('',*,*,#215275,.T.); #301307=ORIENTED_EDGE('',*,*,#215276,.T.); #301308=ORIENTED_EDGE('',*,*,#215277,.F.); #301309=ORIENTED_EDGE('',*,*,#215278,.F.); #301310=ORIENTED_EDGE('',*,*,#215279,.F.); #301311=ORIENTED_EDGE('',*,*,#215280,.F.); #301312=ORIENTED_EDGE('',*,*,#215281,.F.); #301313=ORIENTED_EDGE('',*,*,#215282,.F.); #301314=ORIENTED_EDGE('',*,*,#215185,.F.); #301315=ORIENTED_EDGE('',*,*,#215283,.T.); #301316=ORIENTED_EDGE('',*,*,#215284,.T.); #301317=ORIENTED_EDGE('',*,*,#215285,.T.); #301318=ORIENTED_EDGE('',*,*,#215286,.F.); #301319=ORIENTED_EDGE('',*,*,#215150,.F.); #301320=ORIENTED_EDGE('',*,*,#215287,.F.); #301321=ORIENTED_EDGE('',*,*,#215288,.T.); #301322=ORIENTED_EDGE('',*,*,#215289,.T.); #301323=ORIENTED_EDGE('',*,*,#215290,.F.); #301324=ORIENTED_EDGE('',*,*,#215291,.T.); #301325=ORIENTED_EDGE('',*,*,#215292,.T.); #301326=ORIENTED_EDGE('',*,*,#215293,.T.); #301327=ORIENTED_EDGE('',*,*,#215294,.T.); #301328=ORIENTED_EDGE('',*,*,#215295,.T.); #301329=ORIENTED_EDGE('',*,*,#215296,.T.); #301330=ORIENTED_EDGE('',*,*,#215297,.T.); #301331=ORIENTED_EDGE('',*,*,#215298,.T.); #301332=ORIENTED_EDGE('',*,*,#215299,.T.); #301333=ORIENTED_EDGE('',*,*,#215300,.T.); #301334=ORIENTED_EDGE('',*,*,#215301,.T.); #301335=ORIENTED_EDGE('',*,*,#215302,.T.); #301336=ORIENTED_EDGE('',*,*,#215303,.T.); #301337=ORIENTED_EDGE('',*,*,#215304,.T.); #301338=ORIENTED_EDGE('',*,*,#215305,.T.); #301339=ORIENTED_EDGE('',*,*,#215306,.T.); #301340=ORIENTED_EDGE('',*,*,#215307,.T.); #301341=ORIENTED_EDGE('',*,*,#215308,.T.); #301342=ORIENTED_EDGE('',*,*,#215309,.F.); #301343=ORIENTED_EDGE('',*,*,#215310,.T.); #301344=ORIENTED_EDGE('',*,*,#215311,.F.); #301345=ORIENTED_EDGE('',*,*,#215312,.T.); #301346=ORIENTED_EDGE('',*,*,#215313,.F.); #301347=ORIENTED_EDGE('',*,*,#215314,.F.); #301348=ORIENTED_EDGE('',*,*,#215315,.F.); #301349=ORIENTED_EDGE('',*,*,#215316,.F.); #301350=ORIENTED_EDGE('',*,*,#215317,.F.); #301351=ORIENTED_EDGE('',*,*,#215318,.F.); #301352=ORIENTED_EDGE('',*,*,#215319,.F.); #301353=ORIENTED_EDGE('',*,*,#215320,.F.); #301354=ORIENTED_EDGE('',*,*,#215321,.F.); #301355=ORIENTED_EDGE('',*,*,#215322,.F.); #301356=ORIENTED_EDGE('',*,*,#215323,.F.); #301357=ORIENTED_EDGE('',*,*,#215324,.F.); #301358=ORIENTED_EDGE('',*,*,#215325,.F.); #301359=ORIENTED_EDGE('',*,*,#215326,.F.); #301360=ORIENTED_EDGE('',*,*,#215327,.F.); #301361=ORIENTED_EDGE('',*,*,#215328,.T.); #301362=ORIENTED_EDGE('',*,*,#215329,.F.); #301363=ORIENTED_EDGE('',*,*,#215330,.F.); #301364=ORIENTED_EDGE('',*,*,#215331,.T.); #301365=ORIENTED_EDGE('',*,*,#215332,.T.); #301366=ORIENTED_EDGE('',*,*,#215333,.F.); #301367=ORIENTED_EDGE('',*,*,#215068,.T.); #301368=ORIENTED_EDGE('',*,*,#215334,.T.); #301369=ORIENTED_EDGE('',*,*,#215335,.T.); #301370=ORIENTED_EDGE('',*,*,#215083,.T.); #301371=ORIENTED_EDGE('',*,*,#215336,.F.); #301372=ORIENTED_EDGE('',*,*,#215337,.F.); #301373=ORIENTED_EDGE('',*,*,#215072,.F.); #301374=ORIENTED_EDGE('',*,*,#215338,.T.); #301375=ORIENTED_EDGE('',*,*,#215118,.T.); #301376=ORIENTED_EDGE('',*,*,#215339,.F.); #301377=ORIENTED_EDGE('',*,*,#215076,.F.); #301378=ORIENTED_EDGE('',*,*,#215340,.T.); #301379=ORIENTED_EDGE('',*,*,#215122,.T.); #301380=ORIENTED_EDGE('',*,*,#215341,.F.); #301381=ORIENTED_EDGE('',*,*,#215151,.F.); #301382=ORIENTED_EDGE('',*,*,#215342,.T.); #301383=ORIENTED_EDGE('',*,*,#215343,.T.); #301384=ORIENTED_EDGE('',*,*,#215344,.F.); #301385=ORIENTED_EDGE('',*,*,#215155,.F.); #301386=ORIENTED_EDGE('',*,*,#215345,.T.); #301387=ORIENTED_EDGE('',*,*,#215346,.T.); #301388=ORIENTED_EDGE('',*,*,#215347,.T.); #301389=ORIENTED_EDGE('',*,*,#215348,.T.); #301390=ORIENTED_EDGE('',*,*,#215349,.T.); #301391=ORIENTED_EDGE('',*,*,#215350,.F.); #301392=ORIENTED_EDGE('',*,*,#215351,.F.); #301393=ORIENTED_EDGE('',*,*,#215352,.T.); #301394=ORIENTED_EDGE('',*,*,#215353,.T.); #301395=ORIENTED_EDGE('',*,*,#215354,.T.); #301396=ORIENTED_EDGE('',*,*,#215355,.T.); #301397=ORIENTED_EDGE('',*,*,#215356,.T.); #301398=ORIENTED_EDGE('',*,*,#215357,.T.); #301399=ORIENTED_EDGE('',*,*,#215358,.T.); #301400=ORIENTED_EDGE('',*,*,#215359,.T.); #301401=ORIENTED_EDGE('',*,*,#215360,.T.); #301402=ORIENTED_EDGE('',*,*,#215361,.T.); #301403=ORIENTED_EDGE('',*,*,#215362,.T.); #301404=ORIENTED_EDGE('',*,*,#215363,.T.); #301405=ORIENTED_EDGE('',*,*,#215364,.T.); #301406=ORIENTED_EDGE('',*,*,#215365,.T.); #301407=ORIENTED_EDGE('',*,*,#215366,.T.); #301408=ORIENTED_EDGE('',*,*,#215367,.T.); #301409=ORIENTED_EDGE('',*,*,#215368,.T.); #301410=ORIENTED_EDGE('',*,*,#215369,.T.); #301411=ORIENTED_EDGE('',*,*,#215370,.F.); #301412=ORIENTED_EDGE('',*,*,#215371,.F.); #301413=ORIENTED_EDGE('',*,*,#215372,.T.); #301414=ORIENTED_EDGE('',*,*,#215373,.F.); #301415=ORIENTED_EDGE('',*,*,#215374,.F.); #301416=ORIENTED_EDGE('',*,*,#215375,.F.); #301417=ORIENTED_EDGE('',*,*,#215376,.F.); #301418=ORIENTED_EDGE('',*,*,#215377,.F.); #301419=ORIENTED_EDGE('',*,*,#215378,.F.); #301420=ORIENTED_EDGE('',*,*,#215379,.F.); #301421=ORIENTED_EDGE('',*,*,#215380,.F.); #301422=ORIENTED_EDGE('',*,*,#215381,.F.); #301423=ORIENTED_EDGE('',*,*,#215382,.F.); #301424=ORIENTED_EDGE('',*,*,#215383,.F.); #301425=ORIENTED_EDGE('',*,*,#215384,.F.); #301426=ORIENTED_EDGE('',*,*,#215385,.T.); #301427=ORIENTED_EDGE('',*,*,#215386,.F.); #301428=ORIENTED_EDGE('',*,*,#215387,.T.); #301429=ORIENTED_EDGE('',*,*,#215388,.T.); #301430=ORIENTED_EDGE('',*,*,#215389,.T.); #301431=ORIENTED_EDGE('',*,*,#215390,.F.); #301432=ORIENTED_EDGE('',*,*,#215391,.T.); #301433=ORIENTED_EDGE('',*,*,#215392,.F.); #301434=ORIENTED_EDGE('',*,*,#215347,.F.); #301435=ORIENTED_EDGE('',*,*,#215393,.F.); #301436=ORIENTED_EDGE('',*,*,#215394,.F.); #301437=ORIENTED_EDGE('',*,*,#215395,.F.); #301438=ORIENTED_EDGE('',*,*,#215396,.F.); #301439=ORIENTED_EDGE('',*,*,#215397,.F.); #301440=ORIENTED_EDGE('',*,*,#215398,.F.); #301441=ORIENTED_EDGE('',*,*,#215399,.F.); #301442=ORIENTED_EDGE('',*,*,#215026,.T.); #301443=ORIENTED_EDGE('',*,*,#215400,.F.); #301444=ORIENTED_EDGE('',*,*,#215401,.F.); #301445=ORIENTED_EDGE('',*,*,#215402,.F.); #301446=ORIENTED_EDGE('',*,*,#215403,.F.); #301447=ORIENTED_EDGE('',*,*,#215404,.F.); #301448=ORIENTED_EDGE('',*,*,#215405,.F.); #301449=ORIENTED_EDGE('',*,*,#215406,.F.); #301450=ORIENTED_EDGE('',*,*,#215031,.T.); #301451=ORIENTED_EDGE('',*,*,#215407,.T.); #301452=ORIENTED_EDGE('',*,*,#215408,.T.); #301453=ORIENTED_EDGE('',*,*,#215409,.T.); #301454=ORIENTED_EDGE('',*,*,#215410,.T.); #301455=ORIENTED_EDGE('',*,*,#215411,.T.); #301456=ORIENTED_EDGE('',*,*,#215412,.T.); #301457=ORIENTED_EDGE('',*,*,#215413,.T.); #301458=ORIENTED_EDGE('',*,*,#215414,.T.); #301459=ORIENTED_EDGE('',*,*,#215415,.T.); #301460=ORIENTED_EDGE('',*,*,#215416,.F.); #301461=ORIENTED_EDGE('',*,*,#215417,.T.); #301462=ORIENTED_EDGE('',*,*,#215418,.T.); #301463=ORIENTED_EDGE('',*,*,#215419,.T.); #301464=ORIENTED_EDGE('',*,*,#215420,.T.); #301465=ORIENTED_EDGE('',*,*,#215421,.T.); #301466=ORIENTED_EDGE('',*,*,#215422,.T.); #301467=ORIENTED_EDGE('',*,*,#215423,.T.); #301468=ORIENTED_EDGE('',*,*,#215424,.T.); #301469=ORIENTED_EDGE('',*,*,#215425,.T.); #301470=ORIENTED_EDGE('',*,*,#215426,.T.); #301471=ORIENTED_EDGE('',*,*,#215427,.F.); #301472=ORIENTED_EDGE('',*,*,#215428,.F.); #301473=ORIENTED_EDGE('',*,*,#215429,.F.); #301474=ORIENTED_EDGE('',*,*,#215430,.F.); #301475=ORIENTED_EDGE('',*,*,#215431,.F.); #301476=ORIENTED_EDGE('',*,*,#215432,.F.); #301477=ORIENTED_EDGE('',*,*,#215219,.F.); #301478=ORIENTED_EDGE('',*,*,#215433,.F.); #301479=ORIENTED_EDGE('',*,*,#215434,.F.); #301480=ORIENTED_EDGE('',*,*,#215435,.F.); #301481=ORIENTED_EDGE('',*,*,#215267,.F.); #301482=ORIENTED_EDGE('',*,*,#215436,.F.); #301483=ORIENTED_EDGE('',*,*,#215437,.F.); #301484=ORIENTED_EDGE('',*,*,#215438,.T.); #301485=ORIENTED_EDGE('',*,*,#215270,.F.); #301486=ORIENTED_EDGE('',*,*,#215188,.T.); #301487=ORIENTED_EDGE('',*,*,#215439,.T.); #301488=ORIENTED_EDGE('',*,*,#215239,.F.); #301489=ORIENTED_EDGE('',*,*,#215440,.T.); #301490=ORIENTED_EDGE('',*,*,#215441,.T.); #301491=ORIENTED_EDGE('',*,*,#215442,.T.); #301492=ORIENTED_EDGE('',*,*,#215228,.F.); #301493=ORIENTED_EDGE('',*,*,#215443,.F.); #301494=ORIENTED_EDGE('',*,*,#215444,.F.); #301495=ORIENTED_EDGE('',*,*,#215208,.T.); #301496=ORIENTED_EDGE('',*,*,#215445,.F.); #301497=ORIENTED_EDGE('',*,*,#215416,.T.); #301498=ORIENTED_EDGE('',*,*,#215446,.T.); #301499=ORIENTED_EDGE('',*,*,#215447,.F.); #301500=ORIENTED_EDGE('',*,*,#215448,.F.); #301501=ORIENTED_EDGE('',*,*,#215449,.F.); #301502=ORIENTED_EDGE('',*,*,#215450,.F.); #301503=ORIENTED_EDGE('',*,*,#215451,.T.); #301504=ORIENTED_EDGE('',*,*,#215452,.T.); #301505=ORIENTED_EDGE('',*,*,#215427,.T.); #301506=ORIENTED_EDGE('',*,*,#215049,.T.); #301507=ORIENTED_EDGE('',*,*,#215453,.T.); #301508=ORIENTED_EDGE('',*,*,#215288,.F.); #301509=ORIENTED_EDGE('',*,*,#215454,.F.); #301510=ORIENTED_EDGE('',*,*,#215102,.F.); #301511=ORIENTED_EDGE('',*,*,#215455,.T.); #301512=ORIENTED_EDGE('',*,*,#215350,.T.); #301513=ORIENTED_EDGE('',*,*,#215456,.T.); #301514=ORIENTED_EDGE('',*,*,#215457,.T.); #301515=ORIENTED_EDGE('',*,*,#215112,.T.); #301516=ORIENTED_EDGE('',*,*,#215458,.F.); #301517=ORIENTED_EDGE('',*,*,#215039,.F.); #301518=ORIENTED_EDGE('',*,*,#215459,.F.); #301519=ORIENTED_EDGE('',*,*,#215241,.T.); #301520=ORIENTED_EDGE('',*,*,#215460,.T.); #301521=ORIENTED_EDGE('',*,*,#215461,.F.); #301522=ORIENTED_EDGE('',*,*,#215414,.F.); #301523=ORIENTED_EDGE('',*,*,#215462,.F.); #301524=ORIENTED_EDGE('',*,*,#215291,.F.); #301525=ORIENTED_EDGE('',*,*,#215463,.F.); #301526=ORIENTED_EDGE('',*,*,#215146,.F.); #301527=ORIENTED_EDGE('',*,*,#215135,.T.); #301528=ORIENTED_EDGE('',*,*,#215464,.T.); #301529=ORIENTED_EDGE('',*,*,#215465,.T.); #301530=ORIENTED_EDGE('',*,*,#215466,.F.); #301531=ORIENTED_EDGE('',*,*,#215143,.F.); #301532=ORIENTED_EDGE('',*,*,#215140,.T.); #301533=ORIENTED_EDGE('',*,*,#215467,.T.); #301534=ORIENTED_EDGE('',*,*,#215461,.T.); #301535=ORIENTED_EDGE('',*,*,#215468,.T.); #301536=ORIENTED_EDGE('',*,*,#215469,.T.); #301537=ORIENTED_EDGE('',*,*,#215470,.T.); #301538=ORIENTED_EDGE('',*,*,#215471,.T.); #301539=ORIENTED_EDGE('',*,*,#215472,.T.); #301540=ORIENTED_EDGE('',*,*,#215473,.T.); #301541=ORIENTED_EDGE('',*,*,#215474,.T.); #301542=ORIENTED_EDGE('',*,*,#215475,.T.); #301543=ORIENTED_EDGE('',*,*,#215476,.T.); #301544=ORIENTED_EDGE('',*,*,#215129,.F.); #301545=ORIENTED_EDGE('',*,*,#215103,.F.); #301546=ORIENTED_EDGE('',*,*,#215456,.F.); #301547=ORIENTED_EDGE('',*,*,#215349,.F.); #301548=ORIENTED_EDGE('',*,*,#215477,.F.); #301549=ORIENTED_EDGE('',*,*,#215478,.F.); #301550=ORIENTED_EDGE('',*,*,#215479,.F.); #301551=ORIENTED_EDGE('',*,*,#215480,.F.); #301552=ORIENTED_EDGE('',*,*,#215446,.F.); #301553=ORIENTED_EDGE('',*,*,#215415,.F.); #301554=ORIENTED_EDGE('',*,*,#215149,.T.); #301555=ORIENTED_EDGE('',*,*,#215481,.T.); #301556=ORIENTED_EDGE('',*,*,#215186,.F.); #301557=ORIENTED_EDGE('',*,*,#215287,.T.); #301558=ORIENTED_EDGE('',*,*,#215290,.T.); #301559=ORIENTED_EDGE('',*,*,#215482,.T.); #301560=ORIENTED_EDGE('',*,*,#215147,.F.); #301561=ORIENTED_EDGE('',*,*,#215463,.T.); #301562=ORIENTED_EDGE('',*,*,#215483,.F.); #301563=ORIENTED_EDGE('',*,*,#215484,.F.); #301564=ORIENTED_EDGE('',*,*,#215310,.F.); #301565=ORIENTED_EDGE('',*,*,#215485,.F.); #301566=ORIENTED_EDGE('',*,*,#215486,.F.); #301567=ORIENTED_EDGE('',*,*,#215060,.T.); #301568=ORIENTED_EDGE('',*,*,#215487,.F.); #301569=ORIENTED_EDGE('',*,*,#215282,.T.); #301570=ORIENTED_EDGE('',*,*,#215488,.T.); #301571=ORIENTED_EDGE('',*,*,#215489,.F.); #301572=ORIENTED_EDGE('',*,*,#215490,.F.); #301573=ORIENTED_EDGE('',*,*,#215491,.F.); #301574=ORIENTED_EDGE('',*,*,#215492,.F.); #301575=ORIENTED_EDGE('',*,*,#215493,.F.); #301576=ORIENTED_EDGE('',*,*,#215494,.F.); #301577=ORIENTED_EDGE('',*,*,#215495,.F.); #301578=ORIENTED_EDGE('',*,*,#215496,.F.); #301579=ORIENTED_EDGE('',*,*,#215497,.F.); #301580=ORIENTED_EDGE('',*,*,#215498,.F.); #301581=ORIENTED_EDGE('',*,*,#215499,.F.); #301582=ORIENTED_EDGE('',*,*,#215500,.F.); #301583=ORIENTED_EDGE('',*,*,#215501,.T.); #301584=ORIENTED_EDGE('',*,*,#215502,.T.); #301585=ORIENTED_EDGE('',*,*,#215450,.T.); #301586=ORIENTED_EDGE('',*,*,#215503,.F.); #301587=ORIENTED_EDGE('',*,*,#215504,.T.); #301588=ORIENTED_EDGE('',*,*,#215505,.T.); #301589=ORIENTED_EDGE('',*,*,#215506,.T.); #301590=ORIENTED_EDGE('',*,*,#215507,.T.); #301591=ORIENTED_EDGE('',*,*,#215508,.T.); #301592=ORIENTED_EDGE('',*,*,#215509,.T.); #301593=ORIENTED_EDGE('',*,*,#215510,.T.); #301594=ORIENTED_EDGE('',*,*,#215511,.T.); #301595=ORIENTED_EDGE('',*,*,#215512,.T.); #301596=ORIENTED_EDGE('',*,*,#215513,.T.); #301597=ORIENTED_EDGE('',*,*,#215514,.T.); #301598=ORIENTED_EDGE('',*,*,#215515,.T.); #301599=ORIENTED_EDGE('',*,*,#215516,.T.); #301600=ORIENTED_EDGE('',*,*,#215517,.T.); #301601=ORIENTED_EDGE('',*,*,#215518,.T.); #301602=ORIENTED_EDGE('',*,*,#215519,.T.); #301603=ORIENTED_EDGE('',*,*,#215520,.T.); #301604=ORIENTED_EDGE('',*,*,#215521,.T.); #301605=ORIENTED_EDGE('',*,*,#215447,.T.); #301606=ORIENTED_EDGE('',*,*,#215480,.T.); #301607=ORIENTED_EDGE('',*,*,#215522,.F.); #301608=ORIENTED_EDGE('',*,*,#215523,.T.); #301609=ORIENTED_EDGE('',*,*,#215524,.T.); #301610=ORIENTED_EDGE('',*,*,#215525,.T.); #301611=ORIENTED_EDGE('',*,*,#215526,.F.); #301612=ORIENTED_EDGE('',*,*,#215527,.T.); #301613=ORIENTED_EDGE('',*,*,#215479,.T.); #301614=ORIENTED_EDGE('',*,*,#215528,.F.); #301615=ORIENTED_EDGE('',*,*,#215284,.F.); #301616=ORIENTED_EDGE('',*,*,#215529,.F.); #301617=ORIENTED_EDGE('',*,*,#215277,.T.); #301618=ORIENTED_EDGE('',*,*,#215487,.T.); #301619=ORIENTED_EDGE('',*,*,#215530,.F.); #301620=ORIENTED_EDGE('',*,*,#215531,.T.); #301621=ORIENTED_EDGE('',*,*,#215285,.F.); #301622=ORIENTED_EDGE('',*,*,#215528,.T.); #301623=ORIENTED_EDGE('',*,*,#215478,.T.); #301624=ORIENTED_EDGE('',*,*,#215532,.F.); #301625=ORIENTED_EDGE('',*,*,#215464,.F.); #301626=ORIENTED_EDGE('',*,*,#215134,.T.); #301627=ORIENTED_EDGE('',*,*,#215533,.F.); #301628=ORIENTED_EDGE('',*,*,#215534,.T.); #301629=ORIENTED_EDGE('',*,*,#215467,.F.); #301630=ORIENTED_EDGE('',*,*,#215139,.T.); #301631=ORIENTED_EDGE('',*,*,#215535,.F.); #301632=ORIENTED_EDGE('',*,*,#215536,.F.); #301633=ORIENTED_EDGE('',*,*,#215537,.F.); #301634=ORIENTED_EDGE('',*,*,#215343,.F.); #301635=ORIENTED_EDGE('',*,*,#215538,.F.); #301636=ORIENTED_EDGE('',*,*,#215539,.F.); #301637=ORIENTED_EDGE('',*,*,#215540,.T.); #301638=ORIENTED_EDGE('',*,*,#215346,.F.); #301639=ORIENTED_EDGE('',*,*,#215273,.F.); #301640=ORIENTED_EDGE('',*,*,#215541,.F.); #301641=ORIENTED_EDGE('',*,*,#215542,.F.); #301642=ORIENTED_EDGE('',*,*,#215543,.F.); #301643=ORIENTED_EDGE('',*,*,#215276,.F.); #301644=ORIENTED_EDGE('',*,*,#215544,.F.); #301645=ORIENTED_EDGE('',*,*,#215545,.F.); #301646=ORIENTED_EDGE('',*,*,#215546,.T.); #301647=ORIENTED_EDGE('',*,*,#215477,.T.); #301648=ORIENTED_EDGE('',*,*,#215348,.F.); #301649=ORIENTED_EDGE('',*,*,#215392,.T.); #301650=ORIENTED_EDGE('',*,*,#215547,.F.); #301651=ORIENTED_EDGE('',*,*,#215465,.F.); #301652=ORIENTED_EDGE('',*,*,#215532,.T.); #301653=ORIENTED_EDGE('',*,*,#215548,.T.); #301654=ORIENTED_EDGE('',*,*,#215549,.T.); #301655=ORIENTED_EDGE('',*,*,#215091,.F.); #301656=ORIENTED_EDGE('',*,*,#215550,.T.); #301657=ORIENTED_EDGE('',*,*,#215551,.T.); #301658=ORIENTED_EDGE('',*,*,#215370,.T.); #301659=ORIENTED_EDGE('',*,*,#215552,.F.); #301660=ORIENTED_EDGE('',*,*,#215144,.T.); #301661=ORIENTED_EDGE('',*,*,#215466,.T.); #301662=ORIENTED_EDGE('',*,*,#215534,.F.); #301663=ORIENTED_EDGE('',*,*,#215553,.F.); #301664=ORIENTED_EDGE('',*,*,#215554,.F.); #301665=ORIENTED_EDGE('',*,*,#215141,.T.); #301666=ORIENTED_EDGE('',*,*,#215286,.T.); #301667=ORIENTED_EDGE('',*,*,#215531,.F.); #301668=ORIENTED_EDGE('',*,*,#215555,.F.); #301669=ORIENTED_EDGE('',*,*,#215486,.T.); #301670=ORIENTED_EDGE('',*,*,#215556,.T.); #301671=ORIENTED_EDGE('',*,*,#215550,.F.); #301672=ORIENTED_EDGE('',*,*,#215557,.F.); #301673=ORIENTED_EDGE('',*,*,#215309,.T.); #301674=ORIENTED_EDGE('',*,*,#215558,.T.); #301675=ORIENTED_EDGE('',*,*,#215371,.T.); #301676=ORIENTED_EDGE('',*,*,#215551,.F.); #301677=ORIENTED_EDGE('',*,*,#215556,.F.); #301678=ORIENTED_EDGE('',*,*,#215485,.T.); #301679=ORIENTED_EDGE('',*,*,#215085,.T.); #301680=ORIENTED_EDGE('',*,*,#215559,.T.); #301681=ORIENTED_EDGE('',*,*,#215560,.T.); #301682=ORIENTED_EDGE('',*,*,#215561,.F.); #301683=ORIENTED_EDGE('',*,*,#215562,.T.); #301684=ORIENTED_EDGE('',*,*,#215563,.F.); #301685=ORIENTED_EDGE('',*,*,#215066,.T.); #301686=ORIENTED_EDGE('',*,*,#215564,.T.); #301687=ORIENTED_EDGE('',*,*,#215065,.T.); #301688=ORIENTED_EDGE('',*,*,#215565,.T.); #301689=ORIENTED_EDGE('',*,*,#215086,.T.); #301690=ORIENTED_EDGE('',*,*,#215564,.F.); #301691=ORIENTED_EDGE('',*,*,#215064,.T.); #301692=ORIENTED_EDGE('',*,*,#215566,.T.); #301693=ORIENTED_EDGE('',*,*,#215087,.T.); #301694=ORIENTED_EDGE('',*,*,#215565,.F.); #301695=ORIENTED_EDGE('',*,*,#215063,.T.); #301696=ORIENTED_EDGE('',*,*,#215567,.T.); #301697=ORIENTED_EDGE('',*,*,#215088,.T.); #301698=ORIENTED_EDGE('',*,*,#215566,.F.); #301699=ORIENTED_EDGE('',*,*,#215062,.T.); #301700=ORIENTED_EDGE('',*,*,#215568,.T.); #301701=ORIENTED_EDGE('',*,*,#215089,.T.); #301702=ORIENTED_EDGE('',*,*,#215567,.F.); #301703=ORIENTED_EDGE('',*,*,#215127,.T.); #301704=ORIENTED_EDGE('',*,*,#215069,.T.); #301705=ORIENTED_EDGE('',*,*,#215336,.T.); #301706=ORIENTED_EDGE('',*,*,#215082,.T.); #301707=ORIENTED_EDGE('',*,*,#215061,.T.); #301708=ORIENTED_EDGE('',*,*,#215557,.T.); #301709=ORIENTED_EDGE('',*,*,#215090,.T.); #301710=ORIENTED_EDGE('',*,*,#215568,.F.); #301711=ORIENTED_EDGE('',*,*,#215226,.T.); #301712=ORIENTED_EDGE('',*,*,#215569,.T.); #301713=ORIENTED_EDGE('',*,*,#215429,.T.); #301714=ORIENTED_EDGE('',*,*,#215570,.F.); #301715=ORIENTED_EDGE('',*,*,#215225,.T.); #301716=ORIENTED_EDGE('',*,*,#215571,.T.); #301717=ORIENTED_EDGE('',*,*,#215430,.T.); #301718=ORIENTED_EDGE('',*,*,#215569,.F.); #301719=ORIENTED_EDGE('',*,*,#215224,.T.); #301720=ORIENTED_EDGE('',*,*,#215572,.T.); #301721=ORIENTED_EDGE('',*,*,#215431,.T.); #301722=ORIENTED_EDGE('',*,*,#215571,.F.); #301723=ORIENTED_EDGE('',*,*,#215223,.T.); #301724=ORIENTED_EDGE('',*,*,#215220,.T.); #301725=ORIENTED_EDGE('',*,*,#215432,.T.); #301726=ORIENTED_EDGE('',*,*,#215572,.F.); #301727=ORIENTED_EDGE('',*,*,#215502,.F.); #301728=ORIENTED_EDGE('',*,*,#215573,.T.); #301729=ORIENTED_EDGE('',*,*,#215167,.T.); #301730=ORIENTED_EDGE('',*,*,#215444,.T.); #301731=ORIENTED_EDGE('',*,*,#215574,.T.); #301732=ORIENTED_EDGE('',*,*,#215451,.F.); #301733=ORIENTED_EDGE('',*,*,#215443,.T.); #301734=ORIENTED_EDGE('',*,*,#215575,.T.); #301735=ORIENTED_EDGE('',*,*,#215452,.F.); #301736=ORIENTED_EDGE('',*,*,#215574,.F.); #301737=ORIENTED_EDGE('',*,*,#215227,.T.); #301738=ORIENTED_EDGE('',*,*,#215570,.T.); #301739=ORIENTED_EDGE('',*,*,#215428,.T.); #301740=ORIENTED_EDGE('',*,*,#215575,.F.); #301741=ORIENTED_EDGE('',*,*,#215027,.T.); #301742=ORIENTED_EDGE('',*,*,#215399,.T.); #301743=ORIENTED_EDGE('',*,*,#215576,.F.); #301744=ORIENTED_EDGE('',*,*,#215256,.T.); #301745=ORIENTED_EDGE('',*,*,#215577,.F.); #301746=ORIENTED_EDGE('',*,*,#215221,.T.); #301747=ORIENTED_EDGE('',*,*,#215576,.T.); #301748=ORIENTED_EDGE('',*,*,#215398,.T.); #301749=ORIENTED_EDGE('',*,*,#215578,.F.); #301750=ORIENTED_EDGE('',*,*,#215329,.T.); #301751=ORIENTED_EDGE('',*,*,#215579,.T.); #301752=ORIENTED_EDGE('',*,*,#215351,.T.); #301753=ORIENTED_EDGE('',*,*,#215455,.F.); #301754=ORIENTED_EDGE('',*,*,#215101,.T.); #301755=ORIENTED_EDGE('',*,*,#215580,.F.); #301756=ORIENTED_EDGE('',*,*,#215050,.T.); #301757=ORIENTED_EDGE('',*,*,#215454,.T.); #301758=ORIENTED_EDGE('',*,*,#215059,.T.); #301759=ORIENTED_EDGE('',*,*,#215581,.T.); #301760=ORIENTED_EDGE('',*,*,#215092,.T.); #301761=ORIENTED_EDGE('',*,*,#215549,.F.); #301762=ORIENTED_EDGE('',*,*,#215582,.F.); #301763=ORIENTED_EDGE('',*,*,#215483,.T.); #301764=ORIENTED_EDGE('',*,*,#215369,.F.); #301765=ORIENTED_EDGE('',*,*,#215583,.T.); #301766=ORIENTED_EDGE('',*,*,#215311,.T.); #301767=ORIENTED_EDGE('',*,*,#215484,.T.); #301768=ORIENTED_EDGE('',*,*,#215582,.T.); #301769=ORIENTED_EDGE('',*,*,#215548,.F.); #301770=ORIENTED_EDGE('',*,*,#215051,.T.); #301771=ORIENTED_EDGE('',*,*,#215580,.T.); #301772=ORIENTED_EDGE('',*,*,#215100,.T.); #301773=ORIENTED_EDGE('',*,*,#215584,.F.); #301774=ORIENTED_EDGE('',*,*,#215052,.T.); #301775=ORIENTED_EDGE('',*,*,#215584,.T.); #301776=ORIENTED_EDGE('',*,*,#215099,.T.); #301777=ORIENTED_EDGE('',*,*,#215585,.F.); #301778=ORIENTED_EDGE('',*,*,#215053,.T.); #301779=ORIENTED_EDGE('',*,*,#215585,.T.); #301780=ORIENTED_EDGE('',*,*,#215098,.T.); #301781=ORIENTED_EDGE('',*,*,#215586,.F.); #301782=ORIENTED_EDGE('',*,*,#215054,.T.); #301783=ORIENTED_EDGE('',*,*,#215586,.T.); #301784=ORIENTED_EDGE('',*,*,#215097,.T.); #301785=ORIENTED_EDGE('',*,*,#215587,.F.); #301786=ORIENTED_EDGE('',*,*,#215055,.T.); #301787=ORIENTED_EDGE('',*,*,#215587,.T.); #301788=ORIENTED_EDGE('',*,*,#215096,.T.); #301789=ORIENTED_EDGE('',*,*,#215588,.F.); #301790=ORIENTED_EDGE('',*,*,#215056,.T.); #301791=ORIENTED_EDGE('',*,*,#215588,.T.); #301792=ORIENTED_EDGE('',*,*,#215095,.T.); #301793=ORIENTED_EDGE('',*,*,#215589,.F.); #301794=ORIENTED_EDGE('',*,*,#215057,.T.); #301795=ORIENTED_EDGE('',*,*,#215589,.T.); #301796=ORIENTED_EDGE('',*,*,#215094,.T.); #301797=ORIENTED_EDGE('',*,*,#215590,.F.); #301798=ORIENTED_EDGE('',*,*,#215058,.T.); #301799=ORIENTED_EDGE('',*,*,#215590,.T.); #301800=ORIENTED_EDGE('',*,*,#215093,.T.); #301801=ORIENTED_EDGE('',*,*,#215581,.F.); #301802=ORIENTED_EDGE('',*,*,#215521,.F.); #301803=ORIENTED_EDGE('',*,*,#215591,.T.); #301804=ORIENTED_EDGE('',*,*,#215189,.F.); #301805=ORIENTED_EDGE('',*,*,#215440,.F.); #301806=ORIENTED_EDGE('',*,*,#215238,.F.); #301807=ORIENTED_EDGE('',*,*,#215592,.T.); #301808=ORIENTED_EDGE('',*,*,#215417,.F.); #301809=ORIENTED_EDGE('',*,*,#215445,.T.); #301810=ORIENTED_EDGE('',*,*,#215442,.F.); #301811=ORIENTED_EDGE('',*,*,#215593,.T.); #301812=ORIENTED_EDGE('',*,*,#215448,.T.); #301813=ORIENTED_EDGE('',*,*,#215426,.F.); #301814=ORIENTED_EDGE('',*,*,#215594,.F.); #301815=ORIENTED_EDGE('',*,*,#215229,.F.); #301816=ORIENTED_EDGE('',*,*,#215207,.F.); #301817=ORIENTED_EDGE('',*,*,#215595,.T.); #301818=ORIENTED_EDGE('',*,*,#215503,.T.); #301819=ORIENTED_EDGE('',*,*,#215449,.T.); #301820=ORIENTED_EDGE('',*,*,#215593,.F.); #301821=ORIENTED_EDGE('',*,*,#215441,.F.); #301822=ORIENTED_EDGE('',*,*,#215596,.T.); #301823=ORIENTED_EDGE('',*,*,#215418,.F.); #301824=ORIENTED_EDGE('',*,*,#215592,.F.); #301825=ORIENTED_EDGE('',*,*,#215237,.F.); #301826=ORIENTED_EDGE('',*,*,#215597,.T.); #301827=ORIENTED_EDGE('',*,*,#215419,.F.); #301828=ORIENTED_EDGE('',*,*,#215596,.F.); #301829=ORIENTED_EDGE('',*,*,#215236,.F.); #301830=ORIENTED_EDGE('',*,*,#215598,.T.); #301831=ORIENTED_EDGE('',*,*,#215420,.F.); #301832=ORIENTED_EDGE('',*,*,#215597,.F.); #301833=ORIENTED_EDGE('',*,*,#215235,.F.); #301834=ORIENTED_EDGE('',*,*,#215599,.T.); #301835=ORIENTED_EDGE('',*,*,#215421,.F.); #301836=ORIENTED_EDGE('',*,*,#215598,.F.); #301837=ORIENTED_EDGE('',*,*,#215234,.F.); #301838=ORIENTED_EDGE('',*,*,#215600,.T.); #301839=ORIENTED_EDGE('',*,*,#215422,.F.); #301840=ORIENTED_EDGE('',*,*,#215599,.F.); #301841=ORIENTED_EDGE('',*,*,#215233,.F.); #301842=ORIENTED_EDGE('',*,*,#215601,.T.); #301843=ORIENTED_EDGE('',*,*,#215423,.F.); #301844=ORIENTED_EDGE('',*,*,#215600,.F.); #301845=ORIENTED_EDGE('',*,*,#215232,.F.); #301846=ORIENTED_EDGE('',*,*,#215602,.T.); #301847=ORIENTED_EDGE('',*,*,#215424,.F.); #301848=ORIENTED_EDGE('',*,*,#215601,.F.); #301849=ORIENTED_EDGE('',*,*,#215231,.F.); #301850=ORIENTED_EDGE('',*,*,#215594,.T.); #301851=ORIENTED_EDGE('',*,*,#215425,.F.); #301852=ORIENTED_EDGE('',*,*,#215602,.F.); #301853=ORIENTED_EDGE('',*,*,#215230,.F.); #301854=ORIENTED_EDGE('',*,*,#215353,.F.); #301855=ORIENTED_EDGE('',*,*,#215603,.T.); #301856=ORIENTED_EDGE('',*,*,#215327,.T.); #301857=ORIENTED_EDGE('',*,*,#215604,.T.); #301858=ORIENTED_EDGE('',*,*,#215354,.F.); #301859=ORIENTED_EDGE('',*,*,#215604,.F.); #301860=ORIENTED_EDGE('',*,*,#215326,.T.); #301861=ORIENTED_EDGE('',*,*,#215605,.T.); #301862=ORIENTED_EDGE('',*,*,#215355,.F.); #301863=ORIENTED_EDGE('',*,*,#215605,.F.); #301864=ORIENTED_EDGE('',*,*,#215325,.T.); #301865=ORIENTED_EDGE('',*,*,#215606,.T.); #301866=ORIENTED_EDGE('',*,*,#215356,.F.); #301867=ORIENTED_EDGE('',*,*,#215606,.F.); #301868=ORIENTED_EDGE('',*,*,#215324,.T.); #301869=ORIENTED_EDGE('',*,*,#215607,.T.); #301870=ORIENTED_EDGE('',*,*,#215357,.F.); #301871=ORIENTED_EDGE('',*,*,#215607,.F.); #301872=ORIENTED_EDGE('',*,*,#215323,.T.); #301873=ORIENTED_EDGE('',*,*,#215608,.T.); #301874=ORIENTED_EDGE('',*,*,#215358,.F.); #301875=ORIENTED_EDGE('',*,*,#215608,.F.); #301876=ORIENTED_EDGE('',*,*,#215322,.T.); #301877=ORIENTED_EDGE('',*,*,#215609,.T.); #301878=ORIENTED_EDGE('',*,*,#215359,.F.); #301879=ORIENTED_EDGE('',*,*,#215609,.F.); #301880=ORIENTED_EDGE('',*,*,#215321,.T.); #301881=ORIENTED_EDGE('',*,*,#215610,.T.); #301882=ORIENTED_EDGE('',*,*,#215360,.F.); #301883=ORIENTED_EDGE('',*,*,#215610,.F.); #301884=ORIENTED_EDGE('',*,*,#215320,.T.); #301885=ORIENTED_EDGE('',*,*,#215611,.T.); #301886=ORIENTED_EDGE('',*,*,#215361,.F.); #301887=ORIENTED_EDGE('',*,*,#215611,.F.); #301888=ORIENTED_EDGE('',*,*,#215319,.T.); #301889=ORIENTED_EDGE('',*,*,#215612,.T.); #301890=ORIENTED_EDGE('',*,*,#215362,.F.); #301891=ORIENTED_EDGE('',*,*,#215612,.F.); #301892=ORIENTED_EDGE('',*,*,#215318,.T.); #301893=ORIENTED_EDGE('',*,*,#215613,.T.); #301894=ORIENTED_EDGE('',*,*,#215363,.F.); #301895=ORIENTED_EDGE('',*,*,#215613,.F.); #301896=ORIENTED_EDGE('',*,*,#215317,.T.); #301897=ORIENTED_EDGE('',*,*,#215614,.T.); #301898=ORIENTED_EDGE('',*,*,#215364,.F.); #301899=ORIENTED_EDGE('',*,*,#215614,.F.); #301900=ORIENTED_EDGE('',*,*,#215316,.T.); #301901=ORIENTED_EDGE('',*,*,#215615,.T.); #301902=ORIENTED_EDGE('',*,*,#215365,.F.); #301903=ORIENTED_EDGE('',*,*,#215615,.F.); #301904=ORIENTED_EDGE('',*,*,#215315,.T.); #301905=ORIENTED_EDGE('',*,*,#215616,.T.); #301906=ORIENTED_EDGE('',*,*,#215366,.F.); #301907=ORIENTED_EDGE('',*,*,#215616,.F.); #301908=ORIENTED_EDGE('',*,*,#215314,.T.); #301909=ORIENTED_EDGE('',*,*,#215617,.T.); #301910=ORIENTED_EDGE('',*,*,#215313,.T.); #301911=ORIENTED_EDGE('',*,*,#215618,.T.); #301912=ORIENTED_EDGE('',*,*,#215367,.F.); #301913=ORIENTED_EDGE('',*,*,#215617,.F.); #301914=ORIENTED_EDGE('',*,*,#215462,.T.); #301915=ORIENTED_EDGE('',*,*,#215547,.T.); #301916=ORIENTED_EDGE('',*,*,#215396,.T.); #301917=ORIENTED_EDGE('',*,*,#215619,.F.); #301918=ORIENTED_EDGE('',*,*,#215292,.F.); #301919=ORIENTED_EDGE('',*,*,#215619,.T.); #301920=ORIENTED_EDGE('',*,*,#215395,.T.); #301921=ORIENTED_EDGE('',*,*,#215620,.F.); #301922=ORIENTED_EDGE('',*,*,#215293,.F.); #301923=ORIENTED_EDGE('',*,*,#215620,.T.); #301924=ORIENTED_EDGE('',*,*,#215394,.T.); #301925=ORIENTED_EDGE('',*,*,#215621,.F.); #301926=ORIENTED_EDGE('',*,*,#215294,.F.); #301927=ORIENTED_EDGE('',*,*,#215621,.T.); #301928=ORIENTED_EDGE('',*,*,#215622,.T.); #301929=ORIENTED_EDGE('',*,*,#215623,.F.); #301930=ORIENTED_EDGE('',*,*,#215295,.F.); #301931=ORIENTED_EDGE('',*,*,#215623,.T.); #301932=ORIENTED_EDGE('',*,*,#215624,.T.); #301933=ORIENTED_EDGE('',*,*,#215384,.T.); #301934=ORIENTED_EDGE('',*,*,#215625,.F.); #301935=ORIENTED_EDGE('',*,*,#215296,.F.); #301936=ORIENTED_EDGE('',*,*,#215383,.T.); #301937=ORIENTED_EDGE('',*,*,#215626,.F.); #301938=ORIENTED_EDGE('',*,*,#215297,.F.); #301939=ORIENTED_EDGE('',*,*,#215625,.T.); #301940=ORIENTED_EDGE('',*,*,#215382,.T.); #301941=ORIENTED_EDGE('',*,*,#215627,.F.); #301942=ORIENTED_EDGE('',*,*,#215298,.F.); #301943=ORIENTED_EDGE('',*,*,#215626,.T.); #301944=ORIENTED_EDGE('',*,*,#215381,.T.); #301945=ORIENTED_EDGE('',*,*,#215628,.F.); #301946=ORIENTED_EDGE('',*,*,#215299,.F.); #301947=ORIENTED_EDGE('',*,*,#215627,.T.); #301948=ORIENTED_EDGE('',*,*,#215380,.T.); #301949=ORIENTED_EDGE('',*,*,#215629,.F.); #301950=ORIENTED_EDGE('',*,*,#215300,.F.); #301951=ORIENTED_EDGE('',*,*,#215628,.T.); #301952=ORIENTED_EDGE('',*,*,#215379,.T.); #301953=ORIENTED_EDGE('',*,*,#215630,.F.); #301954=ORIENTED_EDGE('',*,*,#215301,.F.); #301955=ORIENTED_EDGE('',*,*,#215629,.T.); #301956=ORIENTED_EDGE('',*,*,#215378,.T.); #301957=ORIENTED_EDGE('',*,*,#215631,.F.); #301958=ORIENTED_EDGE('',*,*,#215302,.F.); #301959=ORIENTED_EDGE('',*,*,#215630,.T.); #301960=ORIENTED_EDGE('',*,*,#215377,.T.); #301961=ORIENTED_EDGE('',*,*,#215632,.F.); #301962=ORIENTED_EDGE('',*,*,#215303,.F.); #301963=ORIENTED_EDGE('',*,*,#215631,.T.); #301964=ORIENTED_EDGE('',*,*,#215376,.T.); #301965=ORIENTED_EDGE('',*,*,#215633,.F.); #301966=ORIENTED_EDGE('',*,*,#215304,.F.); #301967=ORIENTED_EDGE('',*,*,#215632,.T.); #301968=ORIENTED_EDGE('',*,*,#215375,.T.); #301969=ORIENTED_EDGE('',*,*,#215634,.F.); #301970=ORIENTED_EDGE('',*,*,#215305,.F.); #301971=ORIENTED_EDGE('',*,*,#215633,.T.); #301972=ORIENTED_EDGE('',*,*,#215374,.T.); #301973=ORIENTED_EDGE('',*,*,#215635,.F.); #301974=ORIENTED_EDGE('',*,*,#215306,.F.); #301975=ORIENTED_EDGE('',*,*,#215634,.T.); #301976=ORIENTED_EDGE('',*,*,#215373,.T.); #301977=ORIENTED_EDGE('',*,*,#215636,.T.); #301978=ORIENTED_EDGE('',*,*,#215307,.F.); #301979=ORIENTED_EDGE('',*,*,#215635,.T.); #301980=ORIENTED_EDGE('',*,*,#215393,.T.); #301981=ORIENTED_EDGE('',*,*,#215385,.F.); #301982=ORIENTED_EDGE('',*,*,#215624,.F.); #301983=ORIENTED_EDGE('',*,*,#215622,.F.); #301984=ORIENTED_EDGE('',*,*,#215558,.F.); #301985=ORIENTED_EDGE('',*,*,#215308,.F.); #301986=ORIENTED_EDGE('',*,*,#215636,.F.); #301987=ORIENTED_EDGE('',*,*,#215372,.F.); #301988=ORIENTED_EDGE('',*,*,#215583,.F.); #301989=ORIENTED_EDGE('',*,*,#215368,.F.); #301990=ORIENTED_EDGE('',*,*,#215618,.F.); #301991=ORIENTED_EDGE('',*,*,#215312,.F.); #301992=ORIENTED_EDGE('',*,*,#215579,.F.); #301993=ORIENTED_EDGE('',*,*,#215328,.F.); #301994=ORIENTED_EDGE('',*,*,#215603,.F.); #301995=ORIENTED_EDGE('',*,*,#215352,.F.); #301996=ORIENTED_EDGE('',*,*,#215130,.F.); #301997=ORIENTED_EDGE('',*,*,#215476,.F.); #301998=ORIENTED_EDGE('',*,*,#215637,.F.); #301999=ORIENTED_EDGE('',*,*,#215048,.F.); #302000=ORIENTED_EDGE('',*,*,#215637,.T.); #302001=ORIENTED_EDGE('',*,*,#215475,.F.); #302002=ORIENTED_EDGE('',*,*,#215638,.F.); #302003=ORIENTED_EDGE('',*,*,#215453,.F.); #302004=ORIENTED_EDGE('',*,*,#215638,.T.); #302005=ORIENTED_EDGE('',*,*,#215474,.F.); #302006=ORIENTED_EDGE('',*,*,#215639,.F.); #302007=ORIENTED_EDGE('',*,*,#215289,.F.); #302008=ORIENTED_EDGE('',*,*,#215639,.T.); #302009=ORIENTED_EDGE('',*,*,#215473,.F.); #302010=ORIENTED_EDGE('',*,*,#215640,.F.); #302011=ORIENTED_EDGE('',*,*,#215482,.F.); #302012=ORIENTED_EDGE('',*,*,#215640,.T.); #302013=ORIENTED_EDGE('',*,*,#215472,.F.); #302014=ORIENTED_EDGE('',*,*,#215641,.F.); #302015=ORIENTED_EDGE('',*,*,#215148,.F.); #302016=ORIENTED_EDGE('',*,*,#215641,.T.); #302017=ORIENTED_EDGE('',*,*,#215471,.F.); #302018=ORIENTED_EDGE('',*,*,#215642,.F.); #302019=ORIENTED_EDGE('',*,*,#215481,.F.); #302020=ORIENTED_EDGE('',*,*,#215642,.T.); #302021=ORIENTED_EDGE('',*,*,#215470,.F.); #302022=ORIENTED_EDGE('',*,*,#215643,.F.); #302023=ORIENTED_EDGE('',*,*,#215187,.F.); #302024=ORIENTED_EDGE('',*,*,#215643,.T.); #302025=ORIENTED_EDGE('',*,*,#215469,.F.); #302026=ORIENTED_EDGE('',*,*,#215644,.F.); #302027=ORIENTED_EDGE('',*,*,#215439,.F.); #302028=ORIENTED_EDGE('',*,*,#215460,.F.); #302029=ORIENTED_EDGE('',*,*,#215240,.F.); #302030=ORIENTED_EDGE('',*,*,#215644,.T.); #302031=ORIENTED_EDGE('',*,*,#215468,.F.); #302032=ORIENTED_EDGE('',*,*,#215153,.F.); #302033=ORIENTED_EDGE('',*,*,#215645,.T.); #302034=ORIENTED_EDGE('',*,*,#215536,.T.); #302035=ORIENTED_EDGE('',*,*,#215646,.F.); #302036=ORIENTED_EDGE('',*,*,#215535,.T.); #302037=ORIENTED_EDGE('',*,*,#215345,.F.); #302038=ORIENTED_EDGE('',*,*,#215154,.F.); #302039=ORIENTED_EDGE('',*,*,#215646,.T.); #302040=ORIENTED_EDGE('',*,*,#215157,.F.); #302041=ORIENTED_EDGE('',*,*,#215647,.T.); #302042=ORIENTED_EDGE('',*,*,#215539,.T.); #302043=ORIENTED_EDGE('',*,*,#215648,.F.); #302044=ORIENTED_EDGE('',*,*,#215538,.T.); #302045=ORIENTED_EDGE('',*,*,#215342,.F.); #302046=ORIENTED_EDGE('',*,*,#215158,.F.); #302047=ORIENTED_EDGE('',*,*,#215648,.T.); #302048=ORIENTED_EDGE('',*,*,#215649,.T.); #302049=ORIENTED_EDGE('',*,*,#215650,.T.); #302050=ORIENTED_EDGE('',*,*,#215651,.T.); #302051=ORIENTED_EDGE('',*,*,#215652,.T.); #302052=ORIENTED_EDGE('',*,*,#215653,.T.); #302053=ORIENTED_EDGE('',*,*,#215654,.T.); #302054=ORIENTED_EDGE('',*,*,#215655,.T.); #302055=ORIENTED_EDGE('',*,*,#215647,.F.); #302056=ORIENTED_EDGE('',*,*,#215656,.F.); #302057=ORIENTED_EDGE('',*,*,#215657,.F.); #302058=ORIENTED_EDGE('',*,*,#215658,.F.); #302059=ORIENTED_EDGE('',*,*,#215659,.F.); #302060=ORIENTED_EDGE('',*,*,#215660,.F.); #302061=ORIENTED_EDGE('',*,*,#215661,.F.); #302062=ORIENTED_EDGE('',*,*,#215662,.F.); #302063=ORIENTED_EDGE('',*,*,#215341,.T.); #302064=ORIENTED_EDGE('',*,*,#215663,.T.); #302065=ORIENTED_EDGE('',*,*,#215664,.T.); #302066=ORIENTED_EDGE('',*,*,#215665,.T.); #302067=ORIENTED_EDGE('',*,*,#215666,.T.); #302068=ORIENTED_EDGE('',*,*,#215667,.T.); #302069=ORIENTED_EDGE('',*,*,#215668,.T.); #302070=ORIENTED_EDGE('',*,*,#215344,.T.); #302071=ORIENTED_EDGE('',*,*,#215669,.F.); #302072=ORIENTED_EDGE('',*,*,#215670,.F.); #302073=ORIENTED_EDGE('',*,*,#215671,.F.); #302074=ORIENTED_EDGE('',*,*,#215672,.F.); #302075=ORIENTED_EDGE('',*,*,#215673,.F.); #302076=ORIENTED_EDGE('',*,*,#215674,.F.); #302077=ORIENTED_EDGE('',*,*,#215675,.F.); #302078=ORIENTED_EDGE('',*,*,#215645,.F.); #302079=ORIENTED_EDGE('',*,*,#215676,.T.); #302080=ORIENTED_EDGE('',*,*,#215675,.T.); #302081=ORIENTED_EDGE('',*,*,#215677,.F.); #302082=ORIENTED_EDGE('',*,*,#215649,.F.); #302083=ORIENTED_EDGE('',*,*,#215537,.T.); #302084=ORIENTED_EDGE('',*,*,#215674,.T.); #302085=ORIENTED_EDGE('',*,*,#215678,.F.); #302086=ORIENTED_EDGE('',*,*,#215650,.F.); #302087=ORIENTED_EDGE('',*,*,#215677,.T.); #302088=ORIENTED_EDGE('',*,*,#215673,.T.); #302089=ORIENTED_EDGE('',*,*,#215679,.F.); #302090=ORIENTED_EDGE('',*,*,#215651,.F.); #302091=ORIENTED_EDGE('',*,*,#215678,.T.); #302092=ORIENTED_EDGE('',*,*,#215672,.T.); #302093=ORIENTED_EDGE('',*,*,#215680,.F.); #302094=ORIENTED_EDGE('',*,*,#215652,.F.); #302095=ORIENTED_EDGE('',*,*,#215679,.T.); #302096=ORIENTED_EDGE('',*,*,#215671,.T.); #302097=ORIENTED_EDGE('',*,*,#215681,.F.); #302098=ORIENTED_EDGE('',*,*,#215653,.F.); #302099=ORIENTED_EDGE('',*,*,#215680,.T.); #302100=ORIENTED_EDGE('',*,*,#215670,.T.); #302101=ORIENTED_EDGE('',*,*,#215682,.F.); #302102=ORIENTED_EDGE('',*,*,#215654,.F.); #302103=ORIENTED_EDGE('',*,*,#215681,.T.); #302104=ORIENTED_EDGE('',*,*,#215669,.T.); #302105=ORIENTED_EDGE('',*,*,#215540,.F.); #302106=ORIENTED_EDGE('',*,*,#215655,.F.); #302107=ORIENTED_EDGE('',*,*,#215682,.T.); #302108=ORIENTED_EDGE('',*,*,#215676,.F.); #302109=ORIENTED_EDGE('',*,*,#215152,.F.); #302110=ORIENTED_EDGE('',*,*,#215662,.T.); #302111=ORIENTED_EDGE('',*,*,#215683,.T.); #302112=ORIENTED_EDGE('',*,*,#215663,.F.); #302113=ORIENTED_EDGE('',*,*,#215683,.F.); #302114=ORIENTED_EDGE('',*,*,#215661,.T.); #302115=ORIENTED_EDGE('',*,*,#215684,.T.); #302116=ORIENTED_EDGE('',*,*,#215664,.F.); #302117=ORIENTED_EDGE('',*,*,#215684,.F.); #302118=ORIENTED_EDGE('',*,*,#215660,.T.); #302119=ORIENTED_EDGE('',*,*,#215685,.T.); #302120=ORIENTED_EDGE('',*,*,#215665,.F.); #302121=ORIENTED_EDGE('',*,*,#215685,.F.); #302122=ORIENTED_EDGE('',*,*,#215659,.T.); #302123=ORIENTED_EDGE('',*,*,#215686,.T.); #302124=ORIENTED_EDGE('',*,*,#215666,.F.); #302125=ORIENTED_EDGE('',*,*,#215686,.F.); #302126=ORIENTED_EDGE('',*,*,#215658,.T.); #302127=ORIENTED_EDGE('',*,*,#215687,.T.); #302128=ORIENTED_EDGE('',*,*,#215667,.F.); #302129=ORIENTED_EDGE('',*,*,#215687,.F.); #302130=ORIENTED_EDGE('',*,*,#215657,.T.); #302131=ORIENTED_EDGE('',*,*,#215688,.T.); #302132=ORIENTED_EDGE('',*,*,#215668,.F.); #302133=ORIENTED_EDGE('',*,*,#215688,.F.); #302134=ORIENTED_EDGE('',*,*,#215656,.T.); #302135=ORIENTED_EDGE('',*,*,#215156,.T.); #302136=ORIENTED_EDGE('',*,*,#215119,.T.); #302137=ORIENTED_EDGE('',*,*,#215338,.F.); #302138=ORIENTED_EDGE('',*,*,#215071,.F.); #302139=ORIENTED_EDGE('',*,*,#215689,.T.); #302140=ORIENTED_EDGE('',*,*,#215074,.F.); #302141=ORIENTED_EDGE('',*,*,#215690,.T.); #302142=ORIENTED_EDGE('',*,*,#215124,.T.); #302143=ORIENTED_EDGE('',*,*,#215691,.F.); #302144=ORIENTED_EDGE('',*,*,#215123,.T.); #302145=ORIENTED_EDGE('',*,*,#215340,.F.); #302146=ORIENTED_EDGE('',*,*,#215075,.F.); #302147=ORIENTED_EDGE('',*,*,#215691,.T.); #302148=ORIENTED_EDGE('',*,*,#215078,.F.); #302149=ORIENTED_EDGE('',*,*,#215692,.T.); #302150=ORIENTED_EDGE('',*,*,#215120,.T.); #302151=ORIENTED_EDGE('',*,*,#215689,.F.); #302152=ORIENTED_EDGE('',*,*,#215693,.T.); #302153=ORIENTED_EDGE('',*,*,#215694,.T.); #302154=ORIENTED_EDGE('',*,*,#215695,.T.); #302155=ORIENTED_EDGE('',*,*,#215696,.T.); #302156=ORIENTED_EDGE('',*,*,#215697,.T.); #302157=ORIENTED_EDGE('',*,*,#215698,.T.); #302158=ORIENTED_EDGE('',*,*,#215699,.T.); #302159=ORIENTED_EDGE('',*,*,#215690,.F.); #302160=ORIENTED_EDGE('',*,*,#215700,.F.); #302161=ORIENTED_EDGE('',*,*,#215701,.F.); #302162=ORIENTED_EDGE('',*,*,#215702,.F.); #302163=ORIENTED_EDGE('',*,*,#215703,.F.); #302164=ORIENTED_EDGE('',*,*,#215704,.F.); #302165=ORIENTED_EDGE('',*,*,#215705,.F.); #302166=ORIENTED_EDGE('',*,*,#215706,.F.); #302167=ORIENTED_EDGE('',*,*,#215339,.T.); #302168=ORIENTED_EDGE('',*,*,#215707,.T.); #302169=ORIENTED_EDGE('',*,*,#215708,.T.); #302170=ORIENTED_EDGE('',*,*,#215709,.T.); #302171=ORIENTED_EDGE('',*,*,#215710,.T.); #302172=ORIENTED_EDGE('',*,*,#215711,.T.); #302173=ORIENTED_EDGE('',*,*,#215712,.T.); #302174=ORIENTED_EDGE('',*,*,#215337,.T.); #302175=ORIENTED_EDGE('',*,*,#215713,.F.); #302176=ORIENTED_EDGE('',*,*,#215714,.F.); #302177=ORIENTED_EDGE('',*,*,#215715,.F.); #302178=ORIENTED_EDGE('',*,*,#215716,.F.); #302179=ORIENTED_EDGE('',*,*,#215717,.F.); #302180=ORIENTED_EDGE('',*,*,#215718,.F.); #302181=ORIENTED_EDGE('',*,*,#215719,.F.); #302182=ORIENTED_EDGE('',*,*,#215692,.F.); #302183=ORIENTED_EDGE('',*,*,#215720,.T.); #302184=ORIENTED_EDGE('',*,*,#215719,.T.); #302185=ORIENTED_EDGE('',*,*,#215721,.F.); #302186=ORIENTED_EDGE('',*,*,#215693,.F.); #302187=ORIENTED_EDGE('',*,*,#215121,.T.); #302188=ORIENTED_EDGE('',*,*,#215718,.T.); #302189=ORIENTED_EDGE('',*,*,#215722,.F.); #302190=ORIENTED_EDGE('',*,*,#215694,.F.); #302191=ORIENTED_EDGE('',*,*,#215721,.T.); #302192=ORIENTED_EDGE('',*,*,#215717,.T.); #302193=ORIENTED_EDGE('',*,*,#215723,.F.); #302194=ORIENTED_EDGE('',*,*,#215695,.F.); #302195=ORIENTED_EDGE('',*,*,#215722,.T.); #302196=ORIENTED_EDGE('',*,*,#215716,.T.); #302197=ORIENTED_EDGE('',*,*,#215724,.F.); #302198=ORIENTED_EDGE('',*,*,#215696,.F.); #302199=ORIENTED_EDGE('',*,*,#215723,.T.); #302200=ORIENTED_EDGE('',*,*,#215715,.T.); #302201=ORIENTED_EDGE('',*,*,#215725,.F.); #302202=ORIENTED_EDGE('',*,*,#215697,.F.); #302203=ORIENTED_EDGE('',*,*,#215724,.T.); #302204=ORIENTED_EDGE('',*,*,#215714,.T.); #302205=ORIENTED_EDGE('',*,*,#215726,.F.); #302206=ORIENTED_EDGE('',*,*,#215698,.F.); #302207=ORIENTED_EDGE('',*,*,#215725,.T.); #302208=ORIENTED_EDGE('',*,*,#215713,.T.); #302209=ORIENTED_EDGE('',*,*,#215125,.F.); #302210=ORIENTED_EDGE('',*,*,#215699,.F.); #302211=ORIENTED_EDGE('',*,*,#215726,.T.); #302212=ORIENTED_EDGE('',*,*,#215720,.F.); #302213=ORIENTED_EDGE('',*,*,#215077,.F.); #302214=ORIENTED_EDGE('',*,*,#215706,.T.); #302215=ORIENTED_EDGE('',*,*,#215727,.T.); #302216=ORIENTED_EDGE('',*,*,#215707,.F.); #302217=ORIENTED_EDGE('',*,*,#215727,.F.); #302218=ORIENTED_EDGE('',*,*,#215705,.T.); #302219=ORIENTED_EDGE('',*,*,#215728,.T.); #302220=ORIENTED_EDGE('',*,*,#215708,.F.); #302221=ORIENTED_EDGE('',*,*,#215728,.F.); #302222=ORIENTED_EDGE('',*,*,#215704,.T.); #302223=ORIENTED_EDGE('',*,*,#215729,.T.); #302224=ORIENTED_EDGE('',*,*,#215709,.F.); #302225=ORIENTED_EDGE('',*,*,#215729,.F.); #302226=ORIENTED_EDGE('',*,*,#215703,.T.); #302227=ORIENTED_EDGE('',*,*,#215730,.T.); #302228=ORIENTED_EDGE('',*,*,#215710,.F.); #302229=ORIENTED_EDGE('',*,*,#215730,.F.); #302230=ORIENTED_EDGE('',*,*,#215702,.T.); #302231=ORIENTED_EDGE('',*,*,#215731,.T.); #302232=ORIENTED_EDGE('',*,*,#215711,.F.); #302233=ORIENTED_EDGE('',*,*,#215731,.F.); #302234=ORIENTED_EDGE('',*,*,#215701,.T.); #302235=ORIENTED_EDGE('',*,*,#215732,.T.); #302236=ORIENTED_EDGE('',*,*,#215712,.F.); #302237=ORIENTED_EDGE('',*,*,#215732,.F.); #302238=ORIENTED_EDGE('',*,*,#215700,.T.); #302239=ORIENTED_EDGE('',*,*,#215073,.T.); #302240=ORIENTED_EDGE('',*,*,#215733,.T.); #302241=ORIENTED_EDGE('',*,*,#215734,.T.); #302242=ORIENTED_EDGE('',*,*,#215735,.T.); #302243=ORIENTED_EDGE('',*,*,#215736,.F.); #302244=ORIENTED_EDGE('',*,*,#215737,.T.); #302245=ORIENTED_EDGE('',*,*,#215736,.T.); #302246=ORIENTED_EDGE('',*,*,#215738,.T.); #302247=ORIENTED_EDGE('',*,*,#215739,.F.); #302248=ORIENTED_EDGE('',*,*,#215740,.T.); #302249=ORIENTED_EDGE('',*,*,#215739,.T.); #302250=ORIENTED_EDGE('',*,*,#215741,.T.); #302251=ORIENTED_EDGE('',*,*,#215742,.F.); #302252=ORIENTED_EDGE('',*,*,#215743,.T.); #302253=ORIENTED_EDGE('',*,*,#215561,.T.); #302254=ORIENTED_EDGE('',*,*,#215744,.T.); #302255=ORIENTED_EDGE('',*,*,#215734,.F.); #302256=ORIENTED_EDGE('',*,*,#215560,.F.); #302257=ORIENTED_EDGE('',*,*,#215745,.T.); #302258=ORIENTED_EDGE('',*,*,#215746,.F.); #302259=ORIENTED_EDGE('',*,*,#215741,.F.); #302260=ORIENTED_EDGE('',*,*,#215738,.F.); #302261=ORIENTED_EDGE('',*,*,#215735,.F.); #302262=ORIENTED_EDGE('',*,*,#215744,.F.); #302263=ORIENTED_EDGE('',*,*,#215747,.F.); #302264=ORIENTED_EDGE('',*,*,#215748,.T.); #302265=ORIENTED_EDGE('',*,*,#215742,.T.); #302266=ORIENTED_EDGE('',*,*,#215746,.T.); #302267=ORIENTED_EDGE('',*,*,#215749,.F.); #302268=ORIENTED_EDGE('',*,*,#215750,.F.); #302269=ORIENTED_EDGE('',*,*,#215562,.F.); #302270=ORIENTED_EDGE('',*,*,#215743,.F.); #302271=ORIENTED_EDGE('',*,*,#215733,.F.); #302272=ORIENTED_EDGE('',*,*,#215737,.F.); #302273=ORIENTED_EDGE('',*,*,#215740,.F.); #302274=ORIENTED_EDGE('',*,*,#215748,.F.); #302275=ORIENTED_EDGE('',*,*,#215334,.F.); #302276=ORIENTED_EDGE('',*,*,#215751,.F.); #302277=ORIENTED_EDGE('',*,*,#215747,.T.); #302278=ORIENTED_EDGE('',*,*,#215749,.T.); #302279=ORIENTED_EDGE('',*,*,#215752,.T.); #302280=ORIENTED_EDGE('',*,*,#215335,.F.); #302281=ORIENTED_EDGE('',*,*,#215752,.F.); #302282=ORIENTED_EDGE('',*,*,#215745,.F.); #302283=ORIENTED_EDGE('',*,*,#215559,.F.); #302284=ORIENTED_EDGE('',*,*,#215084,.T.); #302285=ORIENTED_EDGE('',*,*,#215751,.T.); #302286=ORIENTED_EDGE('',*,*,#215067,.F.); #302287=ORIENTED_EDGE('',*,*,#215563,.T.); #302288=ORIENTED_EDGE('',*,*,#215750,.T.); #302289=ORIENTED_EDGE('',*,*,#215753,.T.); #302290=ORIENTED_EDGE('',*,*,#215754,.T.); #302291=ORIENTED_EDGE('',*,*,#215388,.F.); #302292=ORIENTED_EDGE('',*,*,#215755,.T.); #302293=ORIENTED_EDGE('',*,*,#215756,.T.); #302294=ORIENTED_EDGE('',*,*,#215757,.T.); #302295=ORIENTED_EDGE('',*,*,#215753,.F.); #302296=ORIENTED_EDGE('',*,*,#215758,.T.); #302297=ORIENTED_EDGE('',*,*,#215386,.T.); #302298=ORIENTED_EDGE('',*,*,#215759,.T.); #302299=ORIENTED_EDGE('',*,*,#215756,.F.); #302300=ORIENTED_EDGE('',*,*,#215760,.T.); #302301=ORIENTED_EDGE('',*,*,#215387,.F.); #302302=ORIENTED_EDGE('',*,*,#215760,.F.); #302303=ORIENTED_EDGE('',*,*,#215758,.F.); #302304=ORIENTED_EDGE('',*,*,#215755,.F.); #302305=ORIENTED_EDGE('',*,*,#215759,.F.); #302306=ORIENTED_EDGE('',*,*,#215391,.F.); #302307=ORIENTED_EDGE('',*,*,#215761,.F.); #302308=ORIENTED_EDGE('',*,*,#215761,.T.); #302309=ORIENTED_EDGE('',*,*,#215390,.T.); #302310=ORIENTED_EDGE('',*,*,#215762,.F.); #302311=ORIENTED_EDGE('',*,*,#215757,.F.); #302312=ORIENTED_EDGE('',*,*,#215389,.F.); #302313=ORIENTED_EDGE('',*,*,#215754,.F.); #302314=ORIENTED_EDGE('',*,*,#215762,.T.); #302315=ORIENTED_EDGE('',*,*,#215763,.F.); #302316=ORIENTED_EDGE('',*,*,#215764,.F.); #302317=ORIENTED_EDGE('',*,*,#215765,.T.); #302318=ORIENTED_EDGE('',*,*,#215330,.T.); #302319=ORIENTED_EDGE('',*,*,#215766,.F.); #302320=ORIENTED_EDGE('',*,*,#215332,.F.); #302321=ORIENTED_EDGE('',*,*,#215767,.T.); #302322=ORIENTED_EDGE('',*,*,#215768,.T.); #302323=ORIENTED_EDGE('',*,*,#215769,.F.); #302324=ORIENTED_EDGE('',*,*,#215768,.F.); #302325=ORIENTED_EDGE('',*,*,#215770,.T.); #302326=ORIENTED_EDGE('',*,*,#215764,.T.); #302327=ORIENTED_EDGE('',*,*,#215765,.F.); #302328=ORIENTED_EDGE('',*,*,#215770,.F.); #302329=ORIENTED_EDGE('',*,*,#215767,.F.); #302330=ORIENTED_EDGE('',*,*,#215331,.F.); #302331=ORIENTED_EDGE('',*,*,#215763,.T.); #302332=ORIENTED_EDGE('',*,*,#215333,.T.); #302333=ORIENTED_EDGE('',*,*,#215766,.T.); #302334=ORIENTED_EDGE('',*,*,#215769,.T.); #302335=ORIENTED_EDGE('',*,*,#215191,.T.); #302336=ORIENTED_EDGE('',*,*,#215771,.T.); #302337=ORIENTED_EDGE('',*,*,#215519,.F.); #302338=ORIENTED_EDGE('',*,*,#215772,.F.); #302339=ORIENTED_EDGE('',*,*,#215505,.F.); #302340=ORIENTED_EDGE('',*,*,#215773,.T.); #302341=ORIENTED_EDGE('',*,*,#215205,.T.); #302342=ORIENTED_EDGE('',*,*,#215774,.T.); #302343=ORIENTED_EDGE('',*,*,#215506,.F.); #302344=ORIENTED_EDGE('',*,*,#215774,.F.); #302345=ORIENTED_EDGE('',*,*,#215204,.T.); #302346=ORIENTED_EDGE('',*,*,#215775,.T.); #302347=ORIENTED_EDGE('',*,*,#215507,.F.); #302348=ORIENTED_EDGE('',*,*,#215775,.F.); #302349=ORIENTED_EDGE('',*,*,#215203,.T.); #302350=ORIENTED_EDGE('',*,*,#215776,.T.); #302351=ORIENTED_EDGE('',*,*,#215508,.F.); #302352=ORIENTED_EDGE('',*,*,#215776,.F.); #302353=ORIENTED_EDGE('',*,*,#215202,.T.); #302354=ORIENTED_EDGE('',*,*,#215777,.T.); #302355=ORIENTED_EDGE('',*,*,#215509,.F.); #302356=ORIENTED_EDGE('',*,*,#215777,.F.); #302357=ORIENTED_EDGE('',*,*,#215201,.T.); #302358=ORIENTED_EDGE('',*,*,#215778,.T.); #302359=ORIENTED_EDGE('',*,*,#215510,.F.); #302360=ORIENTED_EDGE('',*,*,#215778,.F.); #302361=ORIENTED_EDGE('',*,*,#215200,.T.); #302362=ORIENTED_EDGE('',*,*,#215779,.T.); #302363=ORIENTED_EDGE('',*,*,#215511,.F.); #302364=ORIENTED_EDGE('',*,*,#215779,.F.); #302365=ORIENTED_EDGE('',*,*,#215199,.T.); #302366=ORIENTED_EDGE('',*,*,#215780,.T.); #302367=ORIENTED_EDGE('',*,*,#215512,.F.); #302368=ORIENTED_EDGE('',*,*,#215780,.F.); #302369=ORIENTED_EDGE('',*,*,#215198,.T.); #302370=ORIENTED_EDGE('',*,*,#215781,.T.); #302371=ORIENTED_EDGE('',*,*,#215513,.F.); #302372=ORIENTED_EDGE('',*,*,#215781,.F.); #302373=ORIENTED_EDGE('',*,*,#215197,.T.); #302374=ORIENTED_EDGE('',*,*,#215782,.T.); #302375=ORIENTED_EDGE('',*,*,#215514,.F.); #302376=ORIENTED_EDGE('',*,*,#215782,.F.); #302377=ORIENTED_EDGE('',*,*,#215196,.T.); #302378=ORIENTED_EDGE('',*,*,#215783,.T.); #302379=ORIENTED_EDGE('',*,*,#215515,.F.); #302380=ORIENTED_EDGE('',*,*,#215783,.F.); #302381=ORIENTED_EDGE('',*,*,#215195,.T.); #302382=ORIENTED_EDGE('',*,*,#215784,.T.); #302383=ORIENTED_EDGE('',*,*,#215516,.F.); #302384=ORIENTED_EDGE('',*,*,#215784,.F.); #302385=ORIENTED_EDGE('',*,*,#215194,.T.); #302386=ORIENTED_EDGE('',*,*,#215785,.T.); #302387=ORIENTED_EDGE('',*,*,#215517,.F.); #302388=ORIENTED_EDGE('',*,*,#215785,.F.); #302389=ORIENTED_EDGE('',*,*,#215193,.T.); #302390=ORIENTED_EDGE('',*,*,#215786,.T.); #302391=ORIENTED_EDGE('',*,*,#215518,.F.); #302392=ORIENTED_EDGE('',*,*,#215786,.F.); #302393=ORIENTED_EDGE('',*,*,#215192,.T.); #302394=ORIENTED_EDGE('',*,*,#215772,.T.); #302395=ORIENTED_EDGE('',*,*,#215169,.F.); #302396=ORIENTED_EDGE('',*,*,#215787,.T.); #302397=ORIENTED_EDGE('',*,*,#215500,.T.); #302398=ORIENTED_EDGE('',*,*,#215788,.F.); #302399=ORIENTED_EDGE('',*,*,#215499,.T.); #302400=ORIENTED_EDGE('',*,*,#215789,.F.); #302401=ORIENTED_EDGE('',*,*,#215170,.F.); #302402=ORIENTED_EDGE('',*,*,#215788,.T.); #302403=ORIENTED_EDGE('',*,*,#215498,.T.); #302404=ORIENTED_EDGE('',*,*,#215790,.F.); #302405=ORIENTED_EDGE('',*,*,#215171,.F.); #302406=ORIENTED_EDGE('',*,*,#215789,.T.); #302407=ORIENTED_EDGE('',*,*,#215497,.T.); #302408=ORIENTED_EDGE('',*,*,#215791,.F.); #302409=ORIENTED_EDGE('',*,*,#215172,.F.); #302410=ORIENTED_EDGE('',*,*,#215790,.T.); #302411=ORIENTED_EDGE('',*,*,#215496,.T.); #302412=ORIENTED_EDGE('',*,*,#215792,.F.); #302413=ORIENTED_EDGE('',*,*,#215173,.F.); #302414=ORIENTED_EDGE('',*,*,#215791,.T.); #302415=ORIENTED_EDGE('',*,*,#215495,.T.); #302416=ORIENTED_EDGE('',*,*,#215793,.F.); #302417=ORIENTED_EDGE('',*,*,#215174,.F.); #302418=ORIENTED_EDGE('',*,*,#215792,.T.); #302419=ORIENTED_EDGE('',*,*,#215494,.T.); #302420=ORIENTED_EDGE('',*,*,#215794,.F.); #302421=ORIENTED_EDGE('',*,*,#215175,.F.); #302422=ORIENTED_EDGE('',*,*,#215793,.T.); #302423=ORIENTED_EDGE('',*,*,#215493,.T.); #302424=ORIENTED_EDGE('',*,*,#215795,.F.); #302425=ORIENTED_EDGE('',*,*,#215176,.F.); #302426=ORIENTED_EDGE('',*,*,#215794,.T.); #302427=ORIENTED_EDGE('',*,*,#215492,.T.); #302428=ORIENTED_EDGE('',*,*,#215796,.F.); #302429=ORIENTED_EDGE('',*,*,#215177,.F.); #302430=ORIENTED_EDGE('',*,*,#215795,.T.); #302431=ORIENTED_EDGE('',*,*,#215491,.T.); #302432=ORIENTED_EDGE('',*,*,#215797,.F.); #302433=ORIENTED_EDGE('',*,*,#215178,.F.); #302434=ORIENTED_EDGE('',*,*,#215796,.T.); #302435=ORIENTED_EDGE('',*,*,#215490,.T.); #302436=ORIENTED_EDGE('',*,*,#215798,.F.); #302437=ORIENTED_EDGE('',*,*,#215179,.F.); #302438=ORIENTED_EDGE('',*,*,#215797,.T.); #302439=ORIENTED_EDGE('',*,*,#215489,.T.); #302440=ORIENTED_EDGE('',*,*,#215799,.T.); #302441=ORIENTED_EDGE('',*,*,#215800,.F.); #302442=ORIENTED_EDGE('',*,*,#215180,.F.); #302443=ORIENTED_EDGE('',*,*,#215798,.T.); #302444=ORIENTED_EDGE('',*,*,#215800,.T.); #302445=ORIENTED_EDGE('',*,*,#215801,.T.); #302446=ORIENTED_EDGE('',*,*,#215802,.F.); #302447=ORIENTED_EDGE('',*,*,#215181,.F.); #302448=ORIENTED_EDGE('',*,*,#215802,.T.); #302449=ORIENTED_EDGE('',*,*,#215280,.T.); #302450=ORIENTED_EDGE('',*,*,#215803,.F.); #302451=ORIENTED_EDGE('',*,*,#215182,.F.); #302452=ORIENTED_EDGE('',*,*,#215803,.T.); #302453=ORIENTED_EDGE('',*,*,#215279,.T.); #302454=ORIENTED_EDGE('',*,*,#215804,.F.); #302455=ORIENTED_EDGE('',*,*,#215183,.F.); #302456=ORIENTED_EDGE('',*,*,#215278,.T.); #302457=ORIENTED_EDGE('',*,*,#215529,.T.); #302458=ORIENTED_EDGE('',*,*,#215283,.F.); #302459=ORIENTED_EDGE('',*,*,#215184,.F.); #302460=ORIENTED_EDGE('',*,*,#215804,.T.); #302461=ORIENTED_EDGE('',*,*,#215799,.F.); #302462=ORIENTED_EDGE('',*,*,#215488,.F.); #302463=ORIENTED_EDGE('',*,*,#215281,.T.); #302464=ORIENTED_EDGE('',*,*,#215801,.F.); #302465=ORIENTED_EDGE('',*,*,#215573,.F.); #302466=ORIENTED_EDGE('',*,*,#215501,.F.); #302467=ORIENTED_EDGE('',*,*,#215787,.F.); #302468=ORIENTED_EDGE('',*,*,#215168,.F.); #302469=ORIENTED_EDGE('',*,*,#215595,.F.); #302470=ORIENTED_EDGE('',*,*,#215206,.F.); #302471=ORIENTED_EDGE('',*,*,#215773,.F.); #302472=ORIENTED_EDGE('',*,*,#215504,.F.); #302473=ORIENTED_EDGE('',*,*,#215591,.F.); #302474=ORIENTED_EDGE('',*,*,#215520,.F.); #302475=ORIENTED_EDGE('',*,*,#215771,.F.); #302476=ORIENTED_EDGE('',*,*,#215190,.F.); #302477=ORIENTED_EDGE('',*,*,#215541,.T.); #302478=ORIENTED_EDGE('',*,*,#215272,.F.); #302479=ORIENTED_EDGE('',*,*,#215159,.F.); #302480=ORIENTED_EDGE('',*,*,#215805,.T.); #302481=ORIENTED_EDGE('',*,*,#215162,.F.); #302482=ORIENTED_EDGE('',*,*,#215806,.T.); #302483=ORIENTED_EDGE('',*,*,#215545,.T.); #302484=ORIENTED_EDGE('',*,*,#215807,.F.); #302485=ORIENTED_EDGE('',*,*,#215544,.T.); #302486=ORIENTED_EDGE('',*,*,#215275,.F.); #302487=ORIENTED_EDGE('',*,*,#215163,.F.); #302488=ORIENTED_EDGE('',*,*,#215807,.T.); #302489=ORIENTED_EDGE('',*,*,#215166,.F.); #302490=ORIENTED_EDGE('',*,*,#215808,.T.); #302491=ORIENTED_EDGE('',*,*,#215542,.T.); #302492=ORIENTED_EDGE('',*,*,#215805,.F.); #302493=ORIENTED_EDGE('',*,*,#215809,.T.); #302494=ORIENTED_EDGE('',*,*,#215810,.T.); #302495=ORIENTED_EDGE('',*,*,#215811,.T.); #302496=ORIENTED_EDGE('',*,*,#215812,.T.); #302497=ORIENTED_EDGE('',*,*,#215813,.T.); #302498=ORIENTED_EDGE('',*,*,#215814,.T.); #302499=ORIENTED_EDGE('',*,*,#215815,.T.); #302500=ORIENTED_EDGE('',*,*,#215806,.F.); #302501=ORIENTED_EDGE('',*,*,#215816,.F.); #302502=ORIENTED_EDGE('',*,*,#215817,.F.); #302503=ORIENTED_EDGE('',*,*,#215818,.F.); #302504=ORIENTED_EDGE('',*,*,#215819,.F.); #302505=ORIENTED_EDGE('',*,*,#215820,.F.); #302506=ORIENTED_EDGE('',*,*,#215821,.F.); #302507=ORIENTED_EDGE('',*,*,#215822,.F.); #302508=ORIENTED_EDGE('',*,*,#215274,.T.); #302509=ORIENTED_EDGE('',*,*,#215823,.T.); #302510=ORIENTED_EDGE('',*,*,#215824,.T.); #302511=ORIENTED_EDGE('',*,*,#215825,.T.); #302512=ORIENTED_EDGE('',*,*,#215826,.T.); #302513=ORIENTED_EDGE('',*,*,#215827,.T.); #302514=ORIENTED_EDGE('',*,*,#215828,.T.); #302515=ORIENTED_EDGE('',*,*,#215271,.T.); #302516=ORIENTED_EDGE('',*,*,#215829,.F.); #302517=ORIENTED_EDGE('',*,*,#215830,.F.); #302518=ORIENTED_EDGE('',*,*,#215831,.F.); #302519=ORIENTED_EDGE('',*,*,#215832,.F.); #302520=ORIENTED_EDGE('',*,*,#215833,.F.); #302521=ORIENTED_EDGE('',*,*,#215834,.F.); #302522=ORIENTED_EDGE('',*,*,#215835,.F.); #302523=ORIENTED_EDGE('',*,*,#215808,.F.); #302524=ORIENTED_EDGE('',*,*,#215836,.T.); #302525=ORIENTED_EDGE('',*,*,#215835,.T.); #302526=ORIENTED_EDGE('',*,*,#215837,.F.); #302527=ORIENTED_EDGE('',*,*,#215809,.F.); #302528=ORIENTED_EDGE('',*,*,#215543,.T.); #302529=ORIENTED_EDGE('',*,*,#215834,.T.); #302530=ORIENTED_EDGE('',*,*,#215838,.F.); #302531=ORIENTED_EDGE('',*,*,#215810,.F.); #302532=ORIENTED_EDGE('',*,*,#215837,.T.); #302533=ORIENTED_EDGE('',*,*,#215833,.T.); #302534=ORIENTED_EDGE('',*,*,#215839,.F.); #302535=ORIENTED_EDGE('',*,*,#215811,.F.); #302536=ORIENTED_EDGE('',*,*,#215838,.T.); #302537=ORIENTED_EDGE('',*,*,#215832,.T.); #302538=ORIENTED_EDGE('',*,*,#215840,.F.); #302539=ORIENTED_EDGE('',*,*,#215812,.F.); #302540=ORIENTED_EDGE('',*,*,#215839,.T.); #302541=ORIENTED_EDGE('',*,*,#215831,.T.); #302542=ORIENTED_EDGE('',*,*,#215841,.F.); #302543=ORIENTED_EDGE('',*,*,#215813,.F.); #302544=ORIENTED_EDGE('',*,*,#215840,.T.); #302545=ORIENTED_EDGE('',*,*,#215830,.T.); #302546=ORIENTED_EDGE('',*,*,#215842,.F.); #302547=ORIENTED_EDGE('',*,*,#215814,.F.); #302548=ORIENTED_EDGE('',*,*,#215841,.T.); #302549=ORIENTED_EDGE('',*,*,#215829,.T.); #302550=ORIENTED_EDGE('',*,*,#215546,.F.); #302551=ORIENTED_EDGE('',*,*,#215815,.F.); #302552=ORIENTED_EDGE('',*,*,#215842,.T.); #302553=ORIENTED_EDGE('',*,*,#215836,.F.); #302554=ORIENTED_EDGE('',*,*,#215165,.F.); #302555=ORIENTED_EDGE('',*,*,#215822,.T.); #302556=ORIENTED_EDGE('',*,*,#215843,.T.); #302557=ORIENTED_EDGE('',*,*,#215823,.F.); #302558=ORIENTED_EDGE('',*,*,#215843,.F.); #302559=ORIENTED_EDGE('',*,*,#215821,.T.); #302560=ORIENTED_EDGE('',*,*,#215844,.T.); #302561=ORIENTED_EDGE('',*,*,#215824,.F.); #302562=ORIENTED_EDGE('',*,*,#215844,.F.); #302563=ORIENTED_EDGE('',*,*,#215820,.T.); #302564=ORIENTED_EDGE('',*,*,#215845,.T.); #302565=ORIENTED_EDGE('',*,*,#215825,.F.); #302566=ORIENTED_EDGE('',*,*,#215845,.F.); #302567=ORIENTED_EDGE('',*,*,#215819,.T.); #302568=ORIENTED_EDGE('',*,*,#215846,.T.); #302569=ORIENTED_EDGE('',*,*,#215826,.F.); #302570=ORIENTED_EDGE('',*,*,#215846,.F.); #302571=ORIENTED_EDGE('',*,*,#215818,.T.); #302572=ORIENTED_EDGE('',*,*,#215847,.T.); #302573=ORIENTED_EDGE('',*,*,#215827,.F.); #302574=ORIENTED_EDGE('',*,*,#215847,.F.); #302575=ORIENTED_EDGE('',*,*,#215817,.T.); #302576=ORIENTED_EDGE('',*,*,#215848,.T.); #302577=ORIENTED_EDGE('',*,*,#215828,.F.); #302578=ORIENTED_EDGE('',*,*,#215848,.F.); #302579=ORIENTED_EDGE('',*,*,#215816,.T.); #302580=ORIENTED_EDGE('',*,*,#215161,.T.); #302581=ORIENTED_EDGE('',*,*,#215259,.F.); #302582=ORIENTED_EDGE('',*,*,#215849,.T.); #302583=ORIENTED_EDGE('',*,*,#215434,.T.); #302584=ORIENTED_EDGE('',*,*,#215850,.F.); #302585=ORIENTED_EDGE('',*,*,#215433,.T.); #302586=ORIENTED_EDGE('',*,*,#215269,.F.); #302587=ORIENTED_EDGE('',*,*,#215260,.F.); #302588=ORIENTED_EDGE('',*,*,#215850,.T.); #302589=ORIENTED_EDGE('',*,*,#215263,.F.); #302590=ORIENTED_EDGE('',*,*,#215851,.T.); #302591=ORIENTED_EDGE('',*,*,#215437,.T.); #302592=ORIENTED_EDGE('',*,*,#215852,.F.); #302593=ORIENTED_EDGE('',*,*,#215436,.T.); #302594=ORIENTED_EDGE('',*,*,#215266,.F.); #302595=ORIENTED_EDGE('',*,*,#215264,.F.); #302596=ORIENTED_EDGE('',*,*,#215852,.T.); #302597=ORIENTED_EDGE('',*,*,#215853,.T.); #302598=ORIENTED_EDGE('',*,*,#215854,.T.); #302599=ORIENTED_EDGE('',*,*,#215855,.T.); #302600=ORIENTED_EDGE('',*,*,#215856,.T.); #302601=ORIENTED_EDGE('',*,*,#215857,.T.); #302602=ORIENTED_EDGE('',*,*,#215858,.T.); #302603=ORIENTED_EDGE('',*,*,#215859,.T.); #302604=ORIENTED_EDGE('',*,*,#215851,.F.); #302605=ORIENTED_EDGE('',*,*,#215860,.F.); #302606=ORIENTED_EDGE('',*,*,#215861,.F.); #302607=ORIENTED_EDGE('',*,*,#215862,.F.); #302608=ORIENTED_EDGE('',*,*,#215863,.F.); #302609=ORIENTED_EDGE('',*,*,#215864,.F.); #302610=ORIENTED_EDGE('',*,*,#215865,.F.); #302611=ORIENTED_EDGE('',*,*,#215866,.F.); #302612=ORIENTED_EDGE('',*,*,#215265,.T.); #302613=ORIENTED_EDGE('',*,*,#215867,.T.); #302614=ORIENTED_EDGE('',*,*,#215868,.T.); #302615=ORIENTED_EDGE('',*,*,#215869,.T.); #302616=ORIENTED_EDGE('',*,*,#215870,.T.); #302617=ORIENTED_EDGE('',*,*,#215871,.T.); #302618=ORIENTED_EDGE('',*,*,#215872,.T.); #302619=ORIENTED_EDGE('',*,*,#215268,.T.); #302620=ORIENTED_EDGE('',*,*,#215873,.F.); #302621=ORIENTED_EDGE('',*,*,#215874,.F.); #302622=ORIENTED_EDGE('',*,*,#215875,.F.); #302623=ORIENTED_EDGE('',*,*,#215876,.F.); #302624=ORIENTED_EDGE('',*,*,#215877,.F.); #302625=ORIENTED_EDGE('',*,*,#215878,.F.); #302626=ORIENTED_EDGE('',*,*,#215879,.F.); #302627=ORIENTED_EDGE('',*,*,#215849,.F.); #302628=ORIENTED_EDGE('',*,*,#215880,.T.); #302629=ORIENTED_EDGE('',*,*,#215879,.T.); #302630=ORIENTED_EDGE('',*,*,#215881,.F.); #302631=ORIENTED_EDGE('',*,*,#215853,.F.); #302632=ORIENTED_EDGE('',*,*,#215435,.T.); #302633=ORIENTED_EDGE('',*,*,#215878,.T.); #302634=ORIENTED_EDGE('',*,*,#215882,.F.); #302635=ORIENTED_EDGE('',*,*,#215854,.F.); #302636=ORIENTED_EDGE('',*,*,#215881,.T.); #302637=ORIENTED_EDGE('',*,*,#215877,.T.); #302638=ORIENTED_EDGE('',*,*,#215883,.F.); #302639=ORIENTED_EDGE('',*,*,#215855,.F.); #302640=ORIENTED_EDGE('',*,*,#215882,.T.); #302641=ORIENTED_EDGE('',*,*,#215876,.T.); #302642=ORIENTED_EDGE('',*,*,#215884,.F.); #302643=ORIENTED_EDGE('',*,*,#215856,.F.); #302644=ORIENTED_EDGE('',*,*,#215883,.T.); #302645=ORIENTED_EDGE('',*,*,#215875,.T.); #302646=ORIENTED_EDGE('',*,*,#215885,.F.); #302647=ORIENTED_EDGE('',*,*,#215857,.F.); #302648=ORIENTED_EDGE('',*,*,#215884,.T.); #302649=ORIENTED_EDGE('',*,*,#215874,.T.); #302650=ORIENTED_EDGE('',*,*,#215886,.F.); #302651=ORIENTED_EDGE('',*,*,#215858,.F.); #302652=ORIENTED_EDGE('',*,*,#215885,.T.); #302653=ORIENTED_EDGE('',*,*,#215873,.T.); #302654=ORIENTED_EDGE('',*,*,#215438,.F.); #302655=ORIENTED_EDGE('',*,*,#215859,.F.); #302656=ORIENTED_EDGE('',*,*,#215886,.T.); #302657=ORIENTED_EDGE('',*,*,#215880,.F.); #302658=ORIENTED_EDGE('',*,*,#215258,.F.); #302659=ORIENTED_EDGE('',*,*,#215866,.T.); #302660=ORIENTED_EDGE('',*,*,#215887,.T.); #302661=ORIENTED_EDGE('',*,*,#215867,.F.); #302662=ORIENTED_EDGE('',*,*,#215887,.F.); #302663=ORIENTED_EDGE('',*,*,#215865,.T.); #302664=ORIENTED_EDGE('',*,*,#215888,.T.); #302665=ORIENTED_EDGE('',*,*,#215868,.F.); #302666=ORIENTED_EDGE('',*,*,#215888,.F.); #302667=ORIENTED_EDGE('',*,*,#215864,.T.); #302668=ORIENTED_EDGE('',*,*,#215889,.T.); #302669=ORIENTED_EDGE('',*,*,#215869,.F.); #302670=ORIENTED_EDGE('',*,*,#215889,.F.); #302671=ORIENTED_EDGE('',*,*,#215863,.T.); #302672=ORIENTED_EDGE('',*,*,#215890,.T.); #302673=ORIENTED_EDGE('',*,*,#215870,.F.); #302674=ORIENTED_EDGE('',*,*,#215890,.F.); #302675=ORIENTED_EDGE('',*,*,#215862,.T.); #302676=ORIENTED_EDGE('',*,*,#215891,.T.); #302677=ORIENTED_EDGE('',*,*,#215871,.F.); #302678=ORIENTED_EDGE('',*,*,#215891,.F.); #302679=ORIENTED_EDGE('',*,*,#215861,.T.); #302680=ORIENTED_EDGE('',*,*,#215892,.T.); #302681=ORIENTED_EDGE('',*,*,#215872,.F.); #302682=ORIENTED_EDGE('',*,*,#215892,.F.); #302683=ORIENTED_EDGE('',*,*,#215860,.T.); #302684=ORIENTED_EDGE('',*,*,#215262,.T.); #302685=ORIENTED_EDGE('',*,*,#215893,.T.); #302686=ORIENTED_EDGE('',*,*,#215894,.T.); #302687=ORIENTED_EDGE('',*,*,#215895,.T.); #302688=ORIENTED_EDGE('',*,*,#215896,.F.); #302689=ORIENTED_EDGE('',*,*,#215897,.T.); #302690=ORIENTED_EDGE('',*,*,#215896,.T.); #302691=ORIENTED_EDGE('',*,*,#215898,.T.); #302692=ORIENTED_EDGE('',*,*,#215899,.F.); #302693=ORIENTED_EDGE('',*,*,#215900,.T.); #302694=ORIENTED_EDGE('',*,*,#215899,.T.); #302695=ORIENTED_EDGE('',*,*,#215901,.T.); #302696=ORIENTED_EDGE('',*,*,#215216,.F.); #302697=ORIENTED_EDGE('',*,*,#215902,.T.); #302698=ORIENTED_EDGE('',*,*,#215903,.T.); #302699=ORIENTED_EDGE('',*,*,#215894,.F.); #302700=ORIENTED_EDGE('',*,*,#215904,.T.); #302701=ORIENTED_EDGE('',*,*,#215905,.T.); #302702=ORIENTED_EDGE('',*,*,#215906,.T.); #302703=ORIENTED_EDGE('',*,*,#215907,.T.); #302704=ORIENTED_EDGE('',*,*,#215908,.T.); #302705=ORIENTED_EDGE('',*,*,#215902,.F.); #302706=ORIENTED_EDGE('',*,*,#215908,.F.); #302707=ORIENTED_EDGE('',*,*,#215909,.T.); #302708=ORIENTED_EDGE('',*,*,#215217,.F.); #302709=ORIENTED_EDGE('',*,*,#215901,.F.); #302710=ORIENTED_EDGE('',*,*,#215898,.F.); #302711=ORIENTED_EDGE('',*,*,#215895,.F.); #302712=ORIENTED_EDGE('',*,*,#215903,.F.); #302713=ORIENTED_EDGE('',*,*,#215910,.F.); #302714=ORIENTED_EDGE('',*,*,#215905,.F.); #302715=ORIENTED_EDGE('',*,*,#215904,.F.); #302716=ORIENTED_EDGE('',*,*,#215893,.F.); #302717=ORIENTED_EDGE('',*,*,#215897,.F.); #302718=ORIENTED_EDGE('',*,*,#215900,.F.); #302719=ORIENTED_EDGE('',*,*,#215215,.F.); #302720=ORIENTED_EDGE('',*,*,#215906,.F.); #302721=ORIENTED_EDGE('',*,*,#215911,.F.); #302722=ORIENTED_EDGE('',*,*,#215577,.T.); #302723=ORIENTED_EDGE('',*,*,#215578,.T.); #302724=ORIENTED_EDGE('',*,*,#215912,.T.); #302725=ORIENTED_EDGE('',*,*,#215907,.F.); #302726=ORIENTED_EDGE('',*,*,#215218,.F.); #302727=ORIENTED_EDGE('',*,*,#215909,.F.); #302728=ORIENTED_EDGE('',*,*,#215912,.F.); #302729=ORIENTED_EDGE('',*,*,#215397,.T.); #302730=ORIENTED_EDGE('',*,*,#215214,.T.); #302731=ORIENTED_EDGE('',*,*,#215222,.F.); #302732=ORIENTED_EDGE('',*,*,#215911,.T.); #302733=ORIENTED_EDGE('',*,*,#215910,.T.); #302734=ORIENTED_EDGE('',*,*,#215522,.T.); #302735=ORIENTED_EDGE('',*,*,#215913,.T.); #302736=ORIENTED_EDGE('',*,*,#215914,.F.); #302737=ORIENTED_EDGE('',*,*,#215915,.T.); #302738=ORIENTED_EDGE('',*,*,#215916,.T.); #302739=ORIENTED_EDGE('',*,*,#215917,.T.); #302740=ORIENTED_EDGE('',*,*,#215524,.F.); #302741=ORIENTED_EDGE('',*,*,#215918,.T.); #302742=ORIENTED_EDGE('',*,*,#215914,.T.); #302743=ORIENTED_EDGE('',*,*,#215919,.T.); #302744=ORIENTED_EDGE('',*,*,#215916,.F.); #302745=ORIENTED_EDGE('',*,*,#215920,.T.); #302746=ORIENTED_EDGE('',*,*,#215915,.F.); #302747=ORIENTED_EDGE('',*,*,#215920,.F.); #302748=ORIENTED_EDGE('',*,*,#215918,.F.); #302749=ORIENTED_EDGE('',*,*,#215523,.F.); #302750=ORIENTED_EDGE('',*,*,#215525,.F.); #302751=ORIENTED_EDGE('',*,*,#215917,.F.); #302752=ORIENTED_EDGE('',*,*,#215921,.F.); #302753=ORIENTED_EDGE('',*,*,#215921,.T.); #302754=ORIENTED_EDGE('',*,*,#215919,.F.); #302755=ORIENTED_EDGE('',*,*,#215922,.F.); #302756=ORIENTED_EDGE('',*,*,#215526,.T.); #302757=ORIENTED_EDGE('',*,*,#215922,.T.); #302758=ORIENTED_EDGE('',*,*,#215913,.F.); #302759=ORIENTED_EDGE('',*,*,#215527,.F.); #302760=ORIENTED_EDGE('',*,*,#215923,.F.); #302761=ORIENTED_EDGE('',*,*,#215211,.F.); #302762=ORIENTED_EDGE('',*,*,#215924,.T.); #302763=ORIENTED_EDGE('',*,*,#215925,.T.); #302764=ORIENTED_EDGE('',*,*,#215926,.F.); #302765=ORIENTED_EDGE('',*,*,#215925,.F.); #302766=ORIENTED_EDGE('',*,*,#215927,.T.); #302767=ORIENTED_EDGE('',*,*,#215928,.T.); #302768=ORIENTED_EDGE('',*,*,#215929,.F.); #302769=ORIENTED_EDGE('',*,*,#215928,.F.); #302770=ORIENTED_EDGE('',*,*,#215930,.T.); #302771=ORIENTED_EDGE('',*,*,#215209,.T.); #302772=ORIENTED_EDGE('',*,*,#215210,.F.); #302773=ORIENTED_EDGE('',*,*,#215930,.F.); #302774=ORIENTED_EDGE('',*,*,#215927,.F.); #302775=ORIENTED_EDGE('',*,*,#215924,.F.); #302776=ORIENTED_EDGE('',*,*,#215212,.T.); #302777=ORIENTED_EDGE('',*,*,#215923,.T.); #302778=ORIENTED_EDGE('',*,*,#215926,.T.); #302779=ORIENTED_EDGE('',*,*,#215929,.T.); #302780=ORIENTED_EDGE('',*,*,#215931,.F.); #302781=ORIENTED_EDGE('',*,*,#215932,.T.); #302782=ORIENTED_EDGE('',*,*,#215933,.T.); #302783=ORIENTED_EDGE('',*,*,#215934,.F.); #302784=ORIENTED_EDGE('',*,*,#215933,.F.); #302785=ORIENTED_EDGE('',*,*,#215935,.T.); #302786=ORIENTED_EDGE('',*,*,#215936,.T.); #302787=ORIENTED_EDGE('',*,*,#215937,.F.); #302788=ORIENTED_EDGE('',*,*,#215938,.F.); #302789=ORIENTED_EDGE('',*,*,#215939,.F.); #302790=ORIENTED_EDGE('',*,*,#215940,.T.); #302791=ORIENTED_EDGE('',*,*,#215941,.T.); #302792=ORIENTED_EDGE('',*,*,#215942,.F.); #302793=ORIENTED_EDGE('',*,*,#215941,.F.); #302794=ORIENTED_EDGE('',*,*,#215943,.T.); #302795=ORIENTED_EDGE('',*,*,#215944,.T.); #302796=ORIENTED_EDGE('',*,*,#215945,.F.); #302797=ORIENTED_EDGE('',*,*,#215944,.F.); #302798=ORIENTED_EDGE('',*,*,#215946,.T.); #302799=ORIENTED_EDGE('',*,*,#215947,.T.); #302800=ORIENTED_EDGE('',*,*,#215948,.F.); #302801=ORIENTED_EDGE('',*,*,#215947,.F.); #302802=ORIENTED_EDGE('',*,*,#215949,.T.); #302803=ORIENTED_EDGE('',*,*,#215950,.T.); #302804=ORIENTED_EDGE('',*,*,#215951,.F.); #302805=ORIENTED_EDGE('',*,*,#215950,.F.); #302806=ORIENTED_EDGE('',*,*,#215952,.T.); #302807=ORIENTED_EDGE('',*,*,#215953,.T.); #302808=ORIENTED_EDGE('',*,*,#215954,.F.); #302809=ORIENTED_EDGE('',*,*,#215953,.F.); #302810=ORIENTED_EDGE('',*,*,#215955,.T.); #302811=ORIENTED_EDGE('',*,*,#215956,.T.); #302812=ORIENTED_EDGE('',*,*,#215957,.F.); #302813=ORIENTED_EDGE('',*,*,#215956,.F.); #302814=ORIENTED_EDGE('',*,*,#215958,.T.); #302815=ORIENTED_EDGE('',*,*,#215959,.T.); #302816=ORIENTED_EDGE('',*,*,#215960,.F.); #302817=ORIENTED_EDGE('',*,*,#215959,.F.); #302818=ORIENTED_EDGE('',*,*,#215961,.T.); #302819=ORIENTED_EDGE('',*,*,#215962,.T.); #302820=ORIENTED_EDGE('',*,*,#215963,.F.); #302821=ORIENTED_EDGE('',*,*,#215962,.F.); #302822=ORIENTED_EDGE('',*,*,#215964,.T.); #302823=ORIENTED_EDGE('',*,*,#215965,.T.); #302824=ORIENTED_EDGE('',*,*,#215966,.F.); #302825=ORIENTED_EDGE('',*,*,#215965,.F.); #302826=ORIENTED_EDGE('',*,*,#215967,.T.); #302827=ORIENTED_EDGE('',*,*,#215968,.T.); #302828=ORIENTED_EDGE('',*,*,#215969,.F.); #302829=ORIENTED_EDGE('',*,*,#215968,.F.); #302830=ORIENTED_EDGE('',*,*,#215970,.T.); #302831=ORIENTED_EDGE('',*,*,#215971,.T.); #302832=ORIENTED_EDGE('',*,*,#215972,.F.); #302833=ORIENTED_EDGE('',*,*,#215971,.F.); #302834=ORIENTED_EDGE('',*,*,#215973,.T.); #302835=ORIENTED_EDGE('',*,*,#215939,.T.); #302836=ORIENTED_EDGE('',*,*,#215974,.F.); #302837=ORIENTED_EDGE('',*,*,#215975,.F.); #302838=ORIENTED_EDGE('',*,*,#215976,.T.); #302839=ORIENTED_EDGE('',*,*,#215977,.T.); #302840=ORIENTED_EDGE('',*,*,#215978,.F.); #302841=ORIENTED_EDGE('',*,*,#215979,.F.); #302842=ORIENTED_EDGE('',*,*,#215980,.T.); #302843=ORIENTED_EDGE('',*,*,#215975,.T.); #302844=ORIENTED_EDGE('',*,*,#215981,.F.); #302845=ORIENTED_EDGE('',*,*,#215982,.F.); #302846=ORIENTED_EDGE('',*,*,#215983,.T.); #302847=ORIENTED_EDGE('',*,*,#215979,.T.); #302848=ORIENTED_EDGE('',*,*,#215984,.F.); #302849=ORIENTED_EDGE('',*,*,#215985,.F.); #302850=ORIENTED_EDGE('',*,*,#215986,.T.); #302851=ORIENTED_EDGE('',*,*,#215982,.T.); #302852=ORIENTED_EDGE('',*,*,#215987,.F.); #302853=ORIENTED_EDGE('',*,*,#215988,.F.); #302854=ORIENTED_EDGE('',*,*,#215989,.T.); #302855=ORIENTED_EDGE('',*,*,#215985,.T.); #302856=ORIENTED_EDGE('',*,*,#215990,.F.); #302857=ORIENTED_EDGE('',*,*,#215991,.F.); #302858=ORIENTED_EDGE('',*,*,#215992,.T.); #302859=ORIENTED_EDGE('',*,*,#215988,.T.); #302860=ORIENTED_EDGE('',*,*,#215993,.F.); #302861=ORIENTED_EDGE('',*,*,#215994,.F.); #302862=ORIENTED_EDGE('',*,*,#215995,.T.); #302863=ORIENTED_EDGE('',*,*,#215991,.T.); #302864=ORIENTED_EDGE('',*,*,#215996,.F.); #302865=ORIENTED_EDGE('',*,*,#215997,.F.); #302866=ORIENTED_EDGE('',*,*,#215998,.T.); #302867=ORIENTED_EDGE('',*,*,#215994,.T.); #302868=ORIENTED_EDGE('',*,*,#215999,.F.); #302869=ORIENTED_EDGE('',*,*,#216000,.F.); #302870=ORIENTED_EDGE('',*,*,#216001,.T.); #302871=ORIENTED_EDGE('',*,*,#215997,.T.); #302872=ORIENTED_EDGE('',*,*,#216002,.F.); #302873=ORIENTED_EDGE('',*,*,#216003,.F.); #302874=ORIENTED_EDGE('',*,*,#216004,.T.); #302875=ORIENTED_EDGE('',*,*,#216000,.T.); #302876=ORIENTED_EDGE('',*,*,#216005,.F.); #302877=ORIENTED_EDGE('',*,*,#216006,.F.); #302878=ORIENTED_EDGE('',*,*,#216007,.T.); #302879=ORIENTED_EDGE('',*,*,#216003,.T.); #302880=ORIENTED_EDGE('',*,*,#216008,.F.); #302881=ORIENTED_EDGE('',*,*,#215977,.F.); #302882=ORIENTED_EDGE('',*,*,#216009,.T.); #302883=ORIENTED_EDGE('',*,*,#216006,.T.); #302884=ORIENTED_EDGE('',*,*,#216010,.F.); #302885=ORIENTED_EDGE('',*,*,#216011,.T.); #302886=ORIENTED_EDGE('',*,*,#216012,.T.); #302887=ORIENTED_EDGE('',*,*,#216013,.F.); #302888=ORIENTED_EDGE('',*,*,#216014,.F.); #302889=ORIENTED_EDGE('',*,*,#216015,.T.); #302890=ORIENTED_EDGE('',*,*,#216010,.T.); #302891=ORIENTED_EDGE('',*,*,#216016,.F.); #302892=ORIENTED_EDGE('',*,*,#216017,.F.); #302893=ORIENTED_EDGE('',*,*,#216018,.T.); #302894=ORIENTED_EDGE('',*,*,#216019,.T.); #302895=ORIENTED_EDGE('',*,*,#216020,.T.); #302896=ORIENTED_EDGE('',*,*,#216021,.F.); #302897=ORIENTED_EDGE('',*,*,#216022,.F.); #302898=ORIENTED_EDGE('',*,*,#216023,.T.); #302899=ORIENTED_EDGE('',*,*,#216017,.T.); #302900=ORIENTED_EDGE('',*,*,#216024,.F.); #302901=ORIENTED_EDGE('',*,*,#215936,.F.); #302902=ORIENTED_EDGE('',*,*,#216025,.T.); #302903=ORIENTED_EDGE('',*,*,#216026,.T.); #302904=ORIENTED_EDGE('',*,*,#216027,.T.); #302905=ORIENTED_EDGE('',*,*,#216022,.T.); #302906=ORIENTED_EDGE('',*,*,#216028,.F.); #302907=ORIENTED_EDGE('',*,*,#216029,.F.); #302908=ORIENTED_EDGE('',*,*,#216029,.T.); #302909=ORIENTED_EDGE('',*,*,#216030,.T.); #302910=ORIENTED_EDGE('',*,*,#216031,.T.); #302911=ORIENTED_EDGE('',*,*,#216032,.T.); #302912=ORIENTED_EDGE('',*,*,#215934,.T.); #302913=ORIENTED_EDGE('',*,*,#215937,.T.); #302914=ORIENTED_EDGE('',*,*,#215969,.T.); #302915=ORIENTED_EDGE('',*,*,#215972,.T.); #302916=ORIENTED_EDGE('',*,*,#215938,.T.); #302917=ORIENTED_EDGE('',*,*,#215942,.T.); #302918=ORIENTED_EDGE('',*,*,#215945,.T.); #302919=ORIENTED_EDGE('',*,*,#215948,.T.); #302920=ORIENTED_EDGE('',*,*,#215951,.T.); #302921=ORIENTED_EDGE('',*,*,#215954,.T.); #302922=ORIENTED_EDGE('',*,*,#215957,.T.); #302923=ORIENTED_EDGE('',*,*,#215960,.T.); #302924=ORIENTED_EDGE('',*,*,#215963,.T.); #302925=ORIENTED_EDGE('',*,*,#215966,.T.); #302926=ORIENTED_EDGE('',*,*,#216033,.F.); #302927=ORIENTED_EDGE('',*,*,#216034,.T.); #302928=ORIENTED_EDGE('',*,*,#215931,.T.); #302929=ORIENTED_EDGE('',*,*,#216032,.F.); #302930=ORIENTED_EDGE('',*,*,#216035,.F.); #302931=ORIENTED_EDGE('',*,*,#216036,.F.); #302932=ORIENTED_EDGE('',*,*,#216025,.F.); #302933=ORIENTED_EDGE('',*,*,#215935,.F.); #302934=ORIENTED_EDGE('',*,*,#215932,.F.); #302935=ORIENTED_EDGE('',*,*,#216034,.F.); #302936=ORIENTED_EDGE('',*,*,#216026,.F.); #302937=ORIENTED_EDGE('',*,*,#216036,.T.); #302938=ORIENTED_EDGE('',*,*,#216037,.F.); #302939=ORIENTED_EDGE('',*,*,#216038,.F.); #302940=ORIENTED_EDGE('',*,*,#215973,.F.); #302941=ORIENTED_EDGE('',*,*,#215970,.F.); #302942=ORIENTED_EDGE('',*,*,#215967,.F.); #302943=ORIENTED_EDGE('',*,*,#215964,.F.); #302944=ORIENTED_EDGE('',*,*,#215961,.F.); #302945=ORIENTED_EDGE('',*,*,#215958,.F.); #302946=ORIENTED_EDGE('',*,*,#215955,.F.); #302947=ORIENTED_EDGE('',*,*,#215952,.F.); #302948=ORIENTED_EDGE('',*,*,#215949,.F.); #302949=ORIENTED_EDGE('',*,*,#215946,.F.); #302950=ORIENTED_EDGE('',*,*,#215943,.F.); #302951=ORIENTED_EDGE('',*,*,#215940,.F.); #302952=ORIENTED_EDGE('',*,*,#216039,.F.); #302953=ORIENTED_EDGE('',*,*,#216040,.T.); #302954=ORIENTED_EDGE('',*,*,#216041,.F.); #302955=ORIENTED_EDGE('',*,*,#216042,.F.); #302956=ORIENTED_EDGE('',*,*,#216007,.F.); #302957=ORIENTED_EDGE('',*,*,#216009,.F.); #302958=ORIENTED_EDGE('',*,*,#215976,.F.); #302959=ORIENTED_EDGE('',*,*,#215980,.F.); #302960=ORIENTED_EDGE('',*,*,#215983,.F.); #302961=ORIENTED_EDGE('',*,*,#215986,.F.); #302962=ORIENTED_EDGE('',*,*,#215989,.F.); #302963=ORIENTED_EDGE('',*,*,#215992,.F.); #302964=ORIENTED_EDGE('',*,*,#215995,.F.); #302965=ORIENTED_EDGE('',*,*,#215998,.F.); #302966=ORIENTED_EDGE('',*,*,#216001,.F.); #302967=ORIENTED_EDGE('',*,*,#216004,.F.); #302968=ORIENTED_EDGE('',*,*,#216043,.F.); #302969=ORIENTED_EDGE('',*,*,#216040,.F.); #302970=ORIENTED_EDGE('',*,*,#216044,.F.); #302971=ORIENTED_EDGE('',*,*,#216045,.F.); #302972=ORIENTED_EDGE('',*,*,#216011,.F.); #302973=ORIENTED_EDGE('',*,*,#216015,.F.); #302974=ORIENTED_EDGE('',*,*,#216012,.F.); #302975=ORIENTED_EDGE('',*,*,#216045,.T.); #302976=ORIENTED_EDGE('',*,*,#216046,.T.); #302977=ORIENTED_EDGE('',*,*,#216047,.F.); #302978=ORIENTED_EDGE('',*,*,#216048,.F.); #302979=ORIENTED_EDGE('',*,*,#216049,.T.); #302980=ORIENTED_EDGE('',*,*,#216050,.T.); #302981=ORIENTED_EDGE('',*,*,#216051,.F.); #302982=ORIENTED_EDGE('',*,*,#216052,.T.); #302983=ORIENTED_EDGE('',*,*,#216053,.T.); #302984=ORIENTED_EDGE('',*,*,#216054,.T.); #302985=ORIENTED_EDGE('',*,*,#216016,.T.); #302986=ORIENTED_EDGE('',*,*,#216013,.T.); #302987=ORIENTED_EDGE('',*,*,#216047,.T.); #302988=ORIENTED_EDGE('',*,*,#216008,.T.); #302989=ORIENTED_EDGE('',*,*,#216005,.T.); #302990=ORIENTED_EDGE('',*,*,#216002,.T.); #302991=ORIENTED_EDGE('',*,*,#215999,.T.); #302992=ORIENTED_EDGE('',*,*,#215996,.T.); #302993=ORIENTED_EDGE('',*,*,#215993,.T.); #302994=ORIENTED_EDGE('',*,*,#215990,.T.); #302995=ORIENTED_EDGE('',*,*,#215987,.T.); #302996=ORIENTED_EDGE('',*,*,#215984,.T.); #302997=ORIENTED_EDGE('',*,*,#215981,.T.); #302998=ORIENTED_EDGE('',*,*,#215978,.T.); #302999=ORIENTED_EDGE('',*,*,#215974,.T.); #303000=ORIENTED_EDGE('',*,*,#216041,.T.); #303001=ORIENTED_EDGE('',*,*,#216043,.T.); #303002=ORIENTED_EDGE('',*,*,#216014,.T.); #303003=ORIENTED_EDGE('',*,*,#216054,.F.); #303004=ORIENTED_EDGE('',*,*,#216055,.F.); #303005=ORIENTED_EDGE('',*,*,#216050,.F.); #303006=ORIENTED_EDGE('',*,*,#216056,.T.); #303007=ORIENTED_EDGE('',*,*,#216057,.F.); #303008=ORIENTED_EDGE('',*,*,#216058,.T.); #303009=ORIENTED_EDGE('',*,*,#216048,.T.); #303010=ORIENTED_EDGE('',*,*,#216059,.F.); #303011=ORIENTED_EDGE('',*,*,#216060,.F.); #303012=ORIENTED_EDGE('',*,*,#216056,.F.); #303013=ORIENTED_EDGE('',*,*,#216049,.F.); #303014=ORIENTED_EDGE('',*,*,#216058,.F.); #303015=ORIENTED_EDGE('',*,*,#216061,.F.); #303016=ORIENTED_EDGE('',*,*,#216062,.F.); #303017=ORIENTED_EDGE('',*,*,#216042,.T.); #303018=ORIENTED_EDGE('',*,*,#216063,.T.); #303019=ORIENTED_EDGE('',*,*,#216064,.T.); #303020=ORIENTED_EDGE('',*,*,#216065,.F.); #303021=ORIENTED_EDGE('',*,*,#216066,.T.); #303022=ORIENTED_EDGE('',*,*,#216067,.T.); #303023=ORIENTED_EDGE('',*,*,#216068,.T.); #303024=ORIENTED_EDGE('',*,*,#216069,.F.); #303025=ORIENTED_EDGE('',*,*,#216070,.T.); #303026=ORIENTED_EDGE('',*,*,#216071,.F.); #303027=ORIENTED_EDGE('',*,*,#216072,.F.); #303028=ORIENTED_EDGE('',*,*,#216018,.F.); #303029=ORIENTED_EDGE('',*,*,#216023,.F.); #303030=ORIENTED_EDGE('',*,*,#216027,.F.); #303031=ORIENTED_EDGE('',*,*,#216038,.T.); #303032=ORIENTED_EDGE('',*,*,#216073,.F.); #303033=ORIENTED_EDGE('',*,*,#216074,.F.); #303034=ORIENTED_EDGE('',*,*,#216075,.T.); #303035=ORIENTED_EDGE('',*,*,#216076,.T.); #303036=ORIENTED_EDGE('',*,*,#216077,.F.); #303037=ORIENTED_EDGE('',*,*,#216078,.F.); #303038=ORIENTED_EDGE('',*,*,#216079,.T.); #303039=ORIENTED_EDGE('',*,*,#216074,.T.); #303040=ORIENTED_EDGE('',*,*,#216080,.F.); #303041=ORIENTED_EDGE('',*,*,#216081,.F.); #303042=ORIENTED_EDGE('',*,*,#216082,.T.); #303043=ORIENTED_EDGE('',*,*,#216078,.T.); #303044=ORIENTED_EDGE('',*,*,#216019,.F.); #303045=ORIENTED_EDGE('',*,*,#216083,.T.); #303046=ORIENTED_EDGE('',*,*,#216081,.T.); #303047=ORIENTED_EDGE('',*,*,#216084,.F.); #303048=ORIENTED_EDGE('',*,*,#216020,.F.); #303049=ORIENTED_EDGE('',*,*,#216085,.T.); #303050=ORIENTED_EDGE('',*,*,#216030,.F.); #303051=ORIENTED_EDGE('',*,*,#216028,.T.); #303052=ORIENTED_EDGE('',*,*,#216024,.T.); #303053=ORIENTED_EDGE('',*,*,#216021,.T.); #303054=ORIENTED_EDGE('',*,*,#216086,.T.); #303055=ORIENTED_EDGE('',*,*,#216037,.T.); #303056=ORIENTED_EDGE('',*,*,#216035,.T.); #303057=ORIENTED_EDGE('',*,*,#216033,.T.); #303058=ORIENTED_EDGE('',*,*,#216031,.F.); #303059=ORIENTED_EDGE('',*,*,#216085,.F.); #303060=ORIENTED_EDGE('',*,*,#216087,.F.); #303061=ORIENTED_EDGE('',*,*,#216088,.F.); #303062=ORIENTED_EDGE('',*,*,#216089,.T.); #303063=ORIENTED_EDGE('',*,*,#216071,.T.); #303064=ORIENTED_EDGE('',*,*,#216090,.F.); #303065=ORIENTED_EDGE('',*,*,#216091,.F.); #303066=ORIENTED_EDGE('',*,*,#216092,.T.); #303067=ORIENTED_EDGE('',*,*,#216088,.T.); #303068=ORIENTED_EDGE('',*,*,#216093,.F.); #303069=ORIENTED_EDGE('',*,*,#216094,.F.); #303070=ORIENTED_EDGE('',*,*,#216095,.T.); #303071=ORIENTED_EDGE('',*,*,#216091,.T.); #303072=ORIENTED_EDGE('',*,*,#216096,.F.); #303073=ORIENTED_EDGE('',*,*,#216097,.F.); #303074=ORIENTED_EDGE('',*,*,#216098,.T.); #303075=ORIENTED_EDGE('',*,*,#216094,.T.); #303076=ORIENTED_EDGE('',*,*,#216099,.F.); #303077=ORIENTED_EDGE('',*,*,#216100,.F.); #303078=ORIENTED_EDGE('',*,*,#216101,.T.); #303079=ORIENTED_EDGE('',*,*,#216097,.T.); #303080=ORIENTED_EDGE('',*,*,#216102,.F.); #303081=ORIENTED_EDGE('',*,*,#216103,.F.); #303082=ORIENTED_EDGE('',*,*,#216104,.T.); #303083=ORIENTED_EDGE('',*,*,#216100,.T.); #303084=ORIENTED_EDGE('',*,*,#216105,.F.); #303085=ORIENTED_EDGE('',*,*,#216106,.T.); #303086=ORIENTED_EDGE('',*,*,#216067,.F.); #303087=ORIENTED_EDGE('',*,*,#216107,.T.); #303088=ORIENTED_EDGE('',*,*,#216103,.T.); #303089=ORIENTED_EDGE('',*,*,#216108,.F.); #303090=ORIENTED_EDGE('',*,*,#216068,.F.); #303091=ORIENTED_EDGE('',*,*,#216106,.F.); #303092=ORIENTED_EDGE('',*,*,#216109,.F.); #303093=ORIENTED_EDGE('',*,*,#216069,.T.); #303094=ORIENTED_EDGE('',*,*,#216108,.T.); #303095=ORIENTED_EDGE('',*,*,#216110,.F.); #303096=ORIENTED_EDGE('',*,*,#216111,.F.); #303097=ORIENTED_EDGE('',*,*,#216112,.T.); #303098=ORIENTED_EDGE('',*,*,#216113,.F.); #303099=ORIENTED_EDGE('',*,*,#216114,.F.); #303100=ORIENTED_EDGE('',*,*,#216115,.T.); #303101=ORIENTED_EDGE('',*,*,#216111,.T.); #303102=ORIENTED_EDGE('',*,*,#216116,.F.); #303103=ORIENTED_EDGE('',*,*,#216117,.F.); #303104=ORIENTED_EDGE('',*,*,#216118,.T.); #303105=ORIENTED_EDGE('',*,*,#216114,.T.); #303106=ORIENTED_EDGE('',*,*,#216119,.F.); #303107=ORIENTED_EDGE('',*,*,#216120,.F.); #303108=ORIENTED_EDGE('',*,*,#216121,.T.); #303109=ORIENTED_EDGE('',*,*,#216117,.T.); #303110=ORIENTED_EDGE('',*,*,#216122,.F.); #303111=ORIENTED_EDGE('',*,*,#216123,.T.); #303112=ORIENTED_EDGE('',*,*,#216063,.F.); #303113=ORIENTED_EDGE('',*,*,#216124,.T.); #303114=ORIENTED_EDGE('',*,*,#216120,.T.); #303115=ORIENTED_EDGE('',*,*,#216125,.F.); #303116=ORIENTED_EDGE('',*,*,#216064,.F.); #303117=ORIENTED_EDGE('',*,*,#216123,.F.); #303118=ORIENTED_EDGE('',*,*,#216126,.F.); #303119=ORIENTED_EDGE('',*,*,#216065,.T.); #303120=ORIENTED_EDGE('',*,*,#216125,.T.); #303121=ORIENTED_EDGE('',*,*,#216127,.F.); #303122=ORIENTED_EDGE('',*,*,#216128,.F.); #303123=ORIENTED_EDGE('',*,*,#216129,.T.); #303124=ORIENTED_EDGE('',*,*,#216130,.F.); #303125=ORIENTED_EDGE('',*,*,#216131,.F.); #303126=ORIENTED_EDGE('',*,*,#216132,.T.); #303127=ORIENTED_EDGE('',*,*,#216128,.T.); #303128=ORIENTED_EDGE('',*,*,#216133,.F.); #303129=ORIENTED_EDGE('',*,*,#216134,.F.); #303130=ORIENTED_EDGE('',*,*,#216135,.T.); #303131=ORIENTED_EDGE('',*,*,#216131,.T.); #303132=ORIENTED_EDGE('',*,*,#216136,.F.); #303133=ORIENTED_EDGE('',*,*,#216137,.F.); #303134=ORIENTED_EDGE('',*,*,#216138,.T.); #303135=ORIENTED_EDGE('',*,*,#216134,.T.); #303136=ORIENTED_EDGE('',*,*,#216139,.F.); #303137=ORIENTED_EDGE('',*,*,#216140,.F.); #303138=ORIENTED_EDGE('',*,*,#216141,.T.); #303139=ORIENTED_EDGE('',*,*,#216137,.T.); #303140=ORIENTED_EDGE('',*,*,#216142,.F.); #303141=ORIENTED_EDGE('',*,*,#216143,.F.); #303142=ORIENTED_EDGE('',*,*,#216144,.T.); #303143=ORIENTED_EDGE('',*,*,#216140,.T.); #303144=ORIENTED_EDGE('',*,*,#216046,.F.); #303145=ORIENTED_EDGE('',*,*,#216044,.T.); #303146=ORIENTED_EDGE('',*,*,#216039,.T.); #303147=ORIENTED_EDGE('',*,*,#216062,.T.); #303148=ORIENTED_EDGE('',*,*,#216145,.T.); #303149=ORIENTED_EDGE('',*,*,#216143,.T.); #303150=ORIENTED_EDGE('',*,*,#216146,.F.); #303151=ORIENTED_EDGE('',*,*,#216147,.F.); #303152=ORIENTED_EDGE('',*,*,#216052,.F.); #303153=ORIENTED_EDGE('',*,*,#216055,.T.); #303154=ORIENTED_EDGE('',*,*,#216053,.F.); #303155=ORIENTED_EDGE('',*,*,#216147,.T.); #303156=ORIENTED_EDGE('',*,*,#216148,.T.); #303157=ORIENTED_EDGE('',*,*,#216059,.T.); #303158=ORIENTED_EDGE('',*,*,#216051,.T.); #303159=ORIENTED_EDGE('',*,*,#216149,.T.); #303160=ORIENTED_EDGE('',*,*,#216057,.T.); #303161=ORIENTED_EDGE('',*,*,#216060,.T.); #303162=ORIENTED_EDGE('',*,*,#216150,.F.); #303163=ORIENTED_EDGE('',*,*,#216151,.F.); #303164=ORIENTED_EDGE('',*,*,#216152,.F.); #303165=ORIENTED_EDGE('',*,*,#216153,.F.); #303166=ORIENTED_EDGE('',*,*,#216154,.T.); #303167=ORIENTED_EDGE('',*,*,#216151,.T.); #303168=ORIENTED_EDGE('',*,*,#216155,.F.); #303169=ORIENTED_EDGE('',*,*,#216156,.F.); #303170=ORIENTED_EDGE('',*,*,#216157,.T.); #303171=ORIENTED_EDGE('',*,*,#216153,.T.); #303172=ORIENTED_EDGE('',*,*,#216158,.F.); #303173=ORIENTED_EDGE('',*,*,#216159,.F.); #303174=ORIENTED_EDGE('',*,*,#216160,.T.); #303175=ORIENTED_EDGE('',*,*,#216156,.T.); #303176=ORIENTED_EDGE('',*,*,#216161,.F.); #303177=ORIENTED_EDGE('',*,*,#216162,.F.); #303178=ORIENTED_EDGE('',*,*,#216163,.T.); #303179=ORIENTED_EDGE('',*,*,#216164,.T.); #303180=ORIENTED_EDGE('',*,*,#216165,.F.); #303181=ORIENTED_EDGE('',*,*,#216166,.F.); #303182=ORIENTED_EDGE('',*,*,#216167,.T.); #303183=ORIENTED_EDGE('',*,*,#216162,.T.); #303184=ORIENTED_EDGE('',*,*,#216168,.F.); #303185=ORIENTED_EDGE('',*,*,#216164,.F.); #303186=ORIENTED_EDGE('',*,*,#216169,.T.); #303187=ORIENTED_EDGE('',*,*,#216170,.T.); #303188=ORIENTED_EDGE('',*,*,#216171,.T.); #303189=ORIENTED_EDGE('',*,*,#216172,.T.); #303190=ORIENTED_EDGE('',*,*,#216173,.T.); #303191=ORIENTED_EDGE('',*,*,#216174,.F.); #303192=ORIENTED_EDGE('',*,*,#216175,.F.); #303193=ORIENTED_EDGE('',*,*,#216170,.F.); #303194=ORIENTED_EDGE('',*,*,#216176,.T.); #303195=ORIENTED_EDGE('',*,*,#216177,.T.); #303196=ORIENTED_EDGE('',*,*,#216178,.T.); #303197=ORIENTED_EDGE('',*,*,#216165,.T.); #303198=ORIENTED_EDGE('',*,*,#216161,.T.); #303199=ORIENTED_EDGE('',*,*,#216168,.T.); #303200=ORIENTED_EDGE('',*,*,#216175,.T.); #303201=ORIENTED_EDGE('',*,*,#216179,.T.); #303202=ORIENTED_EDGE('',*,*,#216180,.T.); #303203=ORIENTED_EDGE('',*,*,#216158,.T.); #303204=ORIENTED_EDGE('',*,*,#216155,.T.); #303205=ORIENTED_EDGE('',*,*,#216152,.T.); #303206=ORIENTED_EDGE('',*,*,#216150,.T.); #303207=ORIENTED_EDGE('',*,*,#216148,.F.); #303208=ORIENTED_EDGE('',*,*,#216146,.T.); #303209=ORIENTED_EDGE('',*,*,#216142,.T.); #303210=ORIENTED_EDGE('',*,*,#216139,.T.); #303211=ORIENTED_EDGE('',*,*,#216136,.T.); #303212=ORIENTED_EDGE('',*,*,#216133,.T.); #303213=ORIENTED_EDGE('',*,*,#216130,.T.); #303214=ORIENTED_EDGE('',*,*,#216127,.T.); #303215=ORIENTED_EDGE('',*,*,#216126,.T.); #303216=ORIENTED_EDGE('',*,*,#216122,.T.); #303217=ORIENTED_EDGE('',*,*,#216119,.T.); #303218=ORIENTED_EDGE('',*,*,#216116,.T.); #303219=ORIENTED_EDGE('',*,*,#216113,.T.); #303220=ORIENTED_EDGE('',*,*,#216110,.T.); #303221=ORIENTED_EDGE('',*,*,#216109,.T.); #303222=ORIENTED_EDGE('',*,*,#216105,.T.); #303223=ORIENTED_EDGE('',*,*,#216102,.T.); #303224=ORIENTED_EDGE('',*,*,#216099,.T.); #303225=ORIENTED_EDGE('',*,*,#216096,.T.); #303226=ORIENTED_EDGE('',*,*,#216093,.T.); #303227=ORIENTED_EDGE('',*,*,#216090,.T.); #303228=ORIENTED_EDGE('',*,*,#216087,.T.); #303229=ORIENTED_EDGE('',*,*,#216086,.F.); #303230=ORIENTED_EDGE('',*,*,#216084,.T.); #303231=ORIENTED_EDGE('',*,*,#216080,.T.); #303232=ORIENTED_EDGE('',*,*,#216077,.T.); #303233=ORIENTED_EDGE('',*,*,#216073,.T.); #303234=ORIENTED_EDGE('',*,*,#216181,.F.); #303235=ORIENTED_EDGE('',*,*,#216182,.T.); #303236=ORIENTED_EDGE('',*,*,#216159,.T.); #303237=ORIENTED_EDGE('',*,*,#216180,.F.); #303238=ORIENTED_EDGE('',*,*,#216183,.F.); #303239=ORIENTED_EDGE('',*,*,#216184,.F.); #303240=ORIENTED_EDGE('',*,*,#216185,.F.); #303241=ORIENTED_EDGE('',*,*,#216075,.F.); #303242=ORIENTED_EDGE('',*,*,#216079,.F.); #303243=ORIENTED_EDGE('',*,*,#216082,.F.); #303244=ORIENTED_EDGE('',*,*,#216083,.F.); #303245=ORIENTED_EDGE('',*,*,#216072,.T.); #303246=ORIENTED_EDGE('',*,*,#216089,.F.); #303247=ORIENTED_EDGE('',*,*,#216092,.F.); #303248=ORIENTED_EDGE('',*,*,#216095,.F.); #303249=ORIENTED_EDGE('',*,*,#216098,.F.); #303250=ORIENTED_EDGE('',*,*,#216101,.F.); #303251=ORIENTED_EDGE('',*,*,#216104,.F.); #303252=ORIENTED_EDGE('',*,*,#216107,.F.); #303253=ORIENTED_EDGE('',*,*,#216070,.F.); #303254=ORIENTED_EDGE('',*,*,#216112,.F.); #303255=ORIENTED_EDGE('',*,*,#216115,.F.); #303256=ORIENTED_EDGE('',*,*,#216118,.F.); #303257=ORIENTED_EDGE('',*,*,#216121,.F.); #303258=ORIENTED_EDGE('',*,*,#216124,.F.); #303259=ORIENTED_EDGE('',*,*,#216066,.F.); #303260=ORIENTED_EDGE('',*,*,#216129,.F.); #303261=ORIENTED_EDGE('',*,*,#216132,.F.); #303262=ORIENTED_EDGE('',*,*,#216135,.F.); #303263=ORIENTED_EDGE('',*,*,#216138,.F.); #303264=ORIENTED_EDGE('',*,*,#216141,.F.); #303265=ORIENTED_EDGE('',*,*,#216144,.F.); #303266=ORIENTED_EDGE('',*,*,#216145,.F.); #303267=ORIENTED_EDGE('',*,*,#216061,.T.); #303268=ORIENTED_EDGE('',*,*,#216149,.F.); #303269=ORIENTED_EDGE('',*,*,#216154,.F.); #303270=ORIENTED_EDGE('',*,*,#216157,.F.); #303271=ORIENTED_EDGE('',*,*,#216160,.F.); #303272=ORIENTED_EDGE('',*,*,#216182,.F.); #303273=ORIENTED_EDGE('',*,*,#216186,.F.); #303274=ORIENTED_EDGE('',*,*,#216171,.F.); #303275=ORIENTED_EDGE('',*,*,#216169,.F.); #303276=ORIENTED_EDGE('',*,*,#216163,.F.); #303277=ORIENTED_EDGE('',*,*,#216167,.F.); #303278=ORIENTED_EDGE('',*,*,#216187,.F.); #303279=ORIENTED_EDGE('',*,*,#216185,.T.); #303280=ORIENTED_EDGE('',*,*,#216188,.T.); #303281=ORIENTED_EDGE('',*,*,#216189,.T.); #303282=ORIENTED_EDGE('',*,*,#216176,.F.); #303283=ORIENTED_EDGE('',*,*,#216076,.F.); #303284=ORIENTED_EDGE('',*,*,#216178,.F.); #303285=ORIENTED_EDGE('',*,*,#216190,.F.); #303286=ORIENTED_EDGE('',*,*,#216191,.F.); #303287=ORIENTED_EDGE('',*,*,#216192,.T.); #303288=ORIENTED_EDGE('',*,*,#216187,.T.); #303289=ORIENTED_EDGE('',*,*,#216166,.T.); #303290=ORIENTED_EDGE('',*,*,#216188,.F.); #303291=ORIENTED_EDGE('',*,*,#216193,.T.); #303292=ORIENTED_EDGE('',*,*,#216194,.T.); #303293=ORIENTED_EDGE('',*,*,#216195,.F.); #303294=ORIENTED_EDGE('',*,*,#216189,.F.); #303295=ORIENTED_EDGE('',*,*,#216194,.F.); #303296=ORIENTED_EDGE('',*,*,#216196,.T.); #303297=ORIENTED_EDGE('',*,*,#216197,.T.); #303298=ORIENTED_EDGE('',*,*,#216198,.F.); #303299=ORIENTED_EDGE('',*,*,#216197,.F.); #303300=ORIENTED_EDGE('',*,*,#216199,.T.); #303301=ORIENTED_EDGE('',*,*,#215554,.T.); #303302=ORIENTED_EDGE('',*,*,#215555,.T.); #303303=ORIENTED_EDGE('',*,*,#216200,.F.); #303304=ORIENTED_EDGE('',*,*,#215137,.F.); #303305=ORIENTED_EDGE('',*,*,#216201,.T.); #303306=ORIENTED_EDGE('',*,*,#216191,.T.); #303307=ORIENTED_EDGE('',*,*,#216202,.F.); #303308=ORIENTED_EDGE('',*,*,#215138,.F.); #303309=ORIENTED_EDGE('',*,*,#216190,.T.); #303310=ORIENTED_EDGE('',*,*,#216177,.F.); #303311=ORIENTED_EDGE('',*,*,#216195,.T.); #303312=ORIENTED_EDGE('',*,*,#216198,.T.); #303313=ORIENTED_EDGE('',*,*,#216200,.T.); #303314=ORIENTED_EDGE('',*,*,#215530,.T.); #303315=ORIENTED_EDGE('',*,*,#216202,.T.); #303316=ORIENTED_EDGE('',*,*,#216192,.F.); #303317=ORIENTED_EDGE('',*,*,#216201,.F.); #303318=ORIENTED_EDGE('',*,*,#215142,.F.); #303319=ORIENTED_EDGE('',*,*,#216199,.F.); #303320=ORIENTED_EDGE('',*,*,#216196,.F.); #303321=ORIENTED_EDGE('',*,*,#216193,.F.); #303322=ORIENTED_EDGE('',*,*,#216184,.T.); #303323=ORIENTED_EDGE('',*,*,#216173,.F.); #303324=ORIENTED_EDGE('',*,*,#216203,.T.); #303325=ORIENTED_EDGE('',*,*,#215552,.T.); #303326=ORIENTED_EDGE('',*,*,#215553,.T.); #303327=ORIENTED_EDGE('',*,*,#216204,.F.); #303328=ORIENTED_EDGE('',*,*,#215132,.F.); #303329=ORIENTED_EDGE('',*,*,#216205,.T.); #303330=ORIENTED_EDGE('',*,*,#216206,.T.); #303331=ORIENTED_EDGE('',*,*,#216207,.F.); #303332=ORIENTED_EDGE('',*,*,#215133,.F.); #303333=ORIENTED_EDGE('',*,*,#216206,.F.); #303334=ORIENTED_EDGE('',*,*,#216208,.T.); #303335=ORIENTED_EDGE('',*,*,#216209,.T.); #303336=ORIENTED_EDGE('',*,*,#216210,.F.); #303337=ORIENTED_EDGE('',*,*,#216209,.F.); #303338=ORIENTED_EDGE('',*,*,#216211,.T.); #303339=ORIENTED_EDGE('',*,*,#216181,.T.); #303340=ORIENTED_EDGE('',*,*,#216183,.T.); #303341=ORIENTED_EDGE('',*,*,#216212,.F.); #303342=ORIENTED_EDGE('',*,*,#216212,.T.); #303343=ORIENTED_EDGE('',*,*,#216179,.F.); #303344=ORIENTED_EDGE('',*,*,#216174,.T.); #303345=ORIENTED_EDGE('',*,*,#216204,.T.); #303346=ORIENTED_EDGE('',*,*,#215533,.T.); #303347=ORIENTED_EDGE('',*,*,#216207,.T.); #303348=ORIENTED_EDGE('',*,*,#216210,.T.); #303349=ORIENTED_EDGE('',*,*,#216211,.F.); #303350=ORIENTED_EDGE('',*,*,#216208,.F.); #303351=ORIENTED_EDGE('',*,*,#216205,.F.); #303352=ORIENTED_EDGE('',*,*,#215145,.F.); #303353=ORIENTED_EDGE('',*,*,#216203,.F.); #303354=ORIENTED_EDGE('',*,*,#216172,.F.); #303355=ORIENTED_EDGE('',*,*,#216186,.T.); #303356=ORIENTED_EDGE('',*,*,#216213,.T.); #303357=ORIENTED_EDGE('',*,*,#215105,.F.); #303358=ORIENTED_EDGE('',*,*,#215128,.F.); #303359=ORIENTED_EDGE('',*,*,#215046,.F.); #303360=ORIENTED_EDGE('',*,*,#216214,.T.); #303361=ORIENTED_EDGE('',*,*,#215106,.F.); #303362=ORIENTED_EDGE('',*,*,#216213,.F.); #303363=ORIENTED_EDGE('',*,*,#215045,.F.); #303364=ORIENTED_EDGE('',*,*,#216215,.T.); #303365=ORIENTED_EDGE('',*,*,#215107,.F.); #303366=ORIENTED_EDGE('',*,*,#216214,.F.); #303367=ORIENTED_EDGE('',*,*,#215044,.F.); #303368=ORIENTED_EDGE('',*,*,#216216,.T.); #303369=ORIENTED_EDGE('',*,*,#215108,.F.); #303370=ORIENTED_EDGE('',*,*,#216215,.F.); #303371=ORIENTED_EDGE('',*,*,#215043,.F.); #303372=ORIENTED_EDGE('',*,*,#216217,.T.); #303373=ORIENTED_EDGE('',*,*,#215109,.F.); #303374=ORIENTED_EDGE('',*,*,#216216,.F.); #303375=ORIENTED_EDGE('',*,*,#215042,.F.); #303376=ORIENTED_EDGE('',*,*,#216218,.T.); #303377=ORIENTED_EDGE('',*,*,#215110,.F.); #303378=ORIENTED_EDGE('',*,*,#216217,.F.); #303379=ORIENTED_EDGE('',*,*,#215041,.F.); #303380=ORIENTED_EDGE('',*,*,#215458,.T.); #303381=ORIENTED_EDGE('',*,*,#215111,.F.); #303382=ORIENTED_EDGE('',*,*,#216218,.F.); #303383=ORIENTED_EDGE('',*,*,#215040,.F.); #303384=ORIENTED_EDGE('',*,*,#215032,.T.); #303385=ORIENTED_EDGE('',*,*,#215126,.T.); #303386=ORIENTED_EDGE('',*,*,#215080,.T.); #303387=ORIENTED_EDGE('',*,*,#216219,.F.); #303388=ORIENTED_EDGE('',*,*,#215033,.T.); #303389=ORIENTED_EDGE('',*,*,#216219,.T.); #303390=ORIENTED_EDGE('',*,*,#215079,.T.); #303391=ORIENTED_EDGE('',*,*,#216220,.F.); #303392=ORIENTED_EDGE('',*,*,#215034,.T.); #303393=ORIENTED_EDGE('',*,*,#216220,.T.); #303394=ORIENTED_EDGE('',*,*,#215117,.T.); #303395=ORIENTED_EDGE('',*,*,#216221,.F.); #303396=ORIENTED_EDGE('',*,*,#215035,.T.); #303397=ORIENTED_EDGE('',*,*,#216221,.T.); #303398=ORIENTED_EDGE('',*,*,#215116,.T.); #303399=ORIENTED_EDGE('',*,*,#216222,.F.); #303400=ORIENTED_EDGE('',*,*,#215036,.T.); #303401=ORIENTED_EDGE('',*,*,#216222,.T.); #303402=ORIENTED_EDGE('',*,*,#215115,.T.); #303403=ORIENTED_EDGE('',*,*,#216223,.F.); #303404=ORIENTED_EDGE('',*,*,#215037,.T.); #303405=ORIENTED_EDGE('',*,*,#216223,.T.); #303406=ORIENTED_EDGE('',*,*,#215114,.T.); #303407=ORIENTED_EDGE('',*,*,#216224,.F.); #303408=ORIENTED_EDGE('',*,*,#215038,.T.); #303409=ORIENTED_EDGE('',*,*,#216224,.T.); #303410=ORIENTED_EDGE('',*,*,#215113,.T.); #303411=ORIENTED_EDGE('',*,*,#215457,.F.); #303412=ORIENTED_EDGE('',*,*,#215407,.F.); #303413=ORIENTED_EDGE('',*,*,#215030,.F.); #303414=ORIENTED_EDGE('',*,*,#215248,.T.); #303415=ORIENTED_EDGE('',*,*,#216225,.T.); #303416=ORIENTED_EDGE('',*,*,#215408,.F.); #303417=ORIENTED_EDGE('',*,*,#216225,.F.); #303418=ORIENTED_EDGE('',*,*,#215247,.T.); #303419=ORIENTED_EDGE('',*,*,#216226,.T.); #303420=ORIENTED_EDGE('',*,*,#215409,.F.); #303421=ORIENTED_EDGE('',*,*,#216226,.F.); #303422=ORIENTED_EDGE('',*,*,#215246,.T.); #303423=ORIENTED_EDGE('',*,*,#216227,.T.); #303424=ORIENTED_EDGE('',*,*,#215410,.F.); #303425=ORIENTED_EDGE('',*,*,#216227,.F.); #303426=ORIENTED_EDGE('',*,*,#215245,.T.); #303427=ORIENTED_EDGE('',*,*,#216228,.T.); #303428=ORIENTED_EDGE('',*,*,#215411,.F.); #303429=ORIENTED_EDGE('',*,*,#216228,.F.); #303430=ORIENTED_EDGE('',*,*,#215244,.T.); #303431=ORIENTED_EDGE('',*,*,#216229,.T.); #303432=ORIENTED_EDGE('',*,*,#215412,.F.); #303433=ORIENTED_EDGE('',*,*,#216229,.F.); #303434=ORIENTED_EDGE('',*,*,#215243,.T.); #303435=ORIENTED_EDGE('',*,*,#216230,.T.); #303436=ORIENTED_EDGE('',*,*,#215413,.F.); #303437=ORIENTED_EDGE('',*,*,#216230,.F.); #303438=ORIENTED_EDGE('',*,*,#215242,.T.); #303439=ORIENTED_EDGE('',*,*,#215459,.T.); #303440=ORIENTED_EDGE('',*,*,#215406,.T.); #303441=ORIENTED_EDGE('',*,*,#216231,.F.); #303442=ORIENTED_EDGE('',*,*,#215249,.F.); #303443=ORIENTED_EDGE('',*,*,#215028,.T.); #303444=ORIENTED_EDGE('',*,*,#215405,.T.); #303445=ORIENTED_EDGE('',*,*,#216232,.F.); #303446=ORIENTED_EDGE('',*,*,#215250,.F.); #303447=ORIENTED_EDGE('',*,*,#216231,.T.); #303448=ORIENTED_EDGE('',*,*,#215404,.T.); #303449=ORIENTED_EDGE('',*,*,#216233,.F.); #303450=ORIENTED_EDGE('',*,*,#215251,.F.); #303451=ORIENTED_EDGE('',*,*,#216232,.T.); #303452=ORIENTED_EDGE('',*,*,#215403,.T.); #303453=ORIENTED_EDGE('',*,*,#216234,.F.); #303454=ORIENTED_EDGE('',*,*,#215252,.F.); #303455=ORIENTED_EDGE('',*,*,#216233,.T.); #303456=ORIENTED_EDGE('',*,*,#215402,.T.); #303457=ORIENTED_EDGE('',*,*,#216235,.F.); #303458=ORIENTED_EDGE('',*,*,#215253,.F.); #303459=ORIENTED_EDGE('',*,*,#216234,.T.); #303460=ORIENTED_EDGE('',*,*,#215401,.T.); #303461=ORIENTED_EDGE('',*,*,#216236,.F.); #303462=ORIENTED_EDGE('',*,*,#215254,.F.); #303463=ORIENTED_EDGE('',*,*,#216235,.T.); #303464=ORIENTED_EDGE('',*,*,#215400,.T.); #303465=ORIENTED_EDGE('',*,*,#215025,.F.); #303466=ORIENTED_EDGE('',*,*,#215255,.F.); #303467=ORIENTED_EDGE('',*,*,#216236,.T.); #303468=ORIENTED_EDGE('',*,*,#216237,.T.); #303469=ORIENTED_EDGE('',*,*,#216238,.F.); #303470=ORIENTED_EDGE('',*,*,#216239,.F.); #303471=ORIENTED_EDGE('',*,*,#216240,.T.); #303472=ORIENTED_EDGE('',*,*,#216241,.T.); #303473=ORIENTED_EDGE('',*,*,#216242,.T.); #303474=ORIENTED_EDGE('',*,*,#216243,.T.); #303475=ORIENTED_EDGE('',*,*,#216244,.T.); #303476=ORIENTED_EDGE('',*,*,#216245,.T.); #303477=ORIENTED_EDGE('',*,*,#216246,.T.); #303478=ORIENTED_EDGE('',*,*,#216247,.F.); #303479=ORIENTED_EDGE('',*,*,#216248,.F.); #303480=ORIENTED_EDGE('',*,*,#216249,.F.); #303481=ORIENTED_EDGE('',*,*,#216250,.F.); #303482=ORIENTED_EDGE('',*,*,#216251,.F.); #303483=ORIENTED_EDGE('',*,*,#216238,.T.); #303484=ORIENTED_EDGE('',*,*,#216252,.T.); #303485=ORIENTED_EDGE('',*,*,#216253,.F.); #303486=ORIENTED_EDGE('',*,*,#216254,.F.); #303487=ORIENTED_EDGE('',*,*,#216255,.T.); #303488=ORIENTED_EDGE('',*,*,#216256,.T.); #303489=ORIENTED_EDGE('',*,*,#216257,.T.); #303490=ORIENTED_EDGE('',*,*,#216258,.T.); #303491=ORIENTED_EDGE('',*,*,#216259,.F.); #303492=ORIENTED_EDGE('',*,*,#216260,.F.); #303493=ORIENTED_EDGE('',*,*,#216261,.F.); #303494=ORIENTED_EDGE('',*,*,#216262,.F.); #303495=ORIENTED_EDGE('',*,*,#216263,.F.); #303496=ORIENTED_EDGE('',*,*,#216264,.T.); #303497=ORIENTED_EDGE('',*,*,#216265,.F.); #303498=ORIENTED_EDGE('',*,*,#216266,.F.); #303499=ORIENTED_EDGE('',*,*,#216253,.T.); #303500=ORIENTED_EDGE('',*,*,#216267,.F.); #303501=ORIENTED_EDGE('',*,*,#216268,.T.); #303502=ORIENTED_EDGE('',*,*,#216269,.F.); #303503=ORIENTED_EDGE('',*,*,#216265,.T.); #303504=ORIENTED_EDGE('',*,*,#216270,.T.); #303505=ORIENTED_EDGE('',*,*,#216271,.T.); #303506=ORIENTED_EDGE('',*,*,#216272,.F.); #303507=ORIENTED_EDGE('',*,*,#216273,.T.); #303508=ORIENTED_EDGE('',*,*,#216267,.T.); #303509=ORIENTED_EDGE('',*,*,#216274,.T.); #303510=ORIENTED_EDGE('',*,*,#216275,.F.); #303511=ORIENTED_EDGE('',*,*,#216276,.T.); #303512=ORIENTED_EDGE('',*,*,#216272,.T.); #303513=ORIENTED_EDGE('',*,*,#216277,.T.); #303514=ORIENTED_EDGE('',*,*,#216278,.T.); #303515=ORIENTED_EDGE('',*,*,#216240,.F.); #303516=ORIENTED_EDGE('',*,*,#216269,.T.); #303517=ORIENTED_EDGE('',*,*,#216279,.T.); #303518=ORIENTED_EDGE('',*,*,#216275,.T.); #303519=ORIENTED_EDGE('',*,*,#216239,.T.); #303520=ORIENTED_EDGE('',*,*,#216251,.T.); #303521=ORIENTED_EDGE('',*,*,#216280,.T.); #303522=ORIENTED_EDGE('',*,*,#216254,.T.); #303523=ORIENTED_EDGE('',*,*,#216266,.T.); #303524=ORIENTED_EDGE('',*,*,#216278,.F.); #303525=ORIENTED_EDGE('',*,*,#216281,.T.); #303526=ORIENTED_EDGE('',*,*,#216270,.F.); #303527=ORIENTED_EDGE('',*,*,#216264,.F.); #303528=ORIENTED_EDGE('',*,*,#216252,.F.); #303529=ORIENTED_EDGE('',*,*,#216282,.F.); #303530=ORIENTED_EDGE('',*,*,#216241,.F.); #303531=ORIENTED_EDGE('',*,*,#216237,.F.); #303532=ORIENTED_EDGE('',*,*,#216246,.F.); #303533=ORIENTED_EDGE('',*,*,#216283,.F.); #303534=ORIENTED_EDGE('',*,*,#216259,.T.); #303535=ORIENTED_EDGE('',*,*,#216284,.T.); #303536=ORIENTED_EDGE('',*,*,#216250,.T.); #303537=ORIENTED_EDGE('',*,*,#216285,.F.); #303538=ORIENTED_EDGE('',*,*,#216255,.F.); #303539=ORIENTED_EDGE('',*,*,#216280,.F.); #303540=ORIENTED_EDGE('',*,*,#216249,.T.); #303541=ORIENTED_EDGE('',*,*,#216286,.F.); #303542=ORIENTED_EDGE('',*,*,#216256,.F.); #303543=ORIENTED_EDGE('',*,*,#216285,.T.); #303544=ORIENTED_EDGE('',*,*,#216248,.T.); #303545=ORIENTED_EDGE('',*,*,#216287,.F.); #303546=ORIENTED_EDGE('',*,*,#216257,.F.); #303547=ORIENTED_EDGE('',*,*,#216286,.T.); #303548=ORIENTED_EDGE('',*,*,#216247,.T.); #303549=ORIENTED_EDGE('',*,*,#216284,.F.); #303550=ORIENTED_EDGE('',*,*,#216258,.F.); #303551=ORIENTED_EDGE('',*,*,#216287,.T.); #303552=ORIENTED_EDGE('',*,*,#216242,.F.); #303553=ORIENTED_EDGE('',*,*,#216282,.T.); #303554=ORIENTED_EDGE('',*,*,#216263,.T.); #303555=ORIENTED_EDGE('',*,*,#216288,.T.); #303556=ORIENTED_EDGE('',*,*,#216243,.F.); #303557=ORIENTED_EDGE('',*,*,#216288,.F.); #303558=ORIENTED_EDGE('',*,*,#216262,.T.); #303559=ORIENTED_EDGE('',*,*,#216289,.T.); #303560=ORIENTED_EDGE('',*,*,#216244,.F.); #303561=ORIENTED_EDGE('',*,*,#216289,.F.); #303562=ORIENTED_EDGE('',*,*,#216261,.T.); #303563=ORIENTED_EDGE('',*,*,#216290,.T.); #303564=ORIENTED_EDGE('',*,*,#216245,.F.); #303565=ORIENTED_EDGE('',*,*,#216290,.F.); #303566=ORIENTED_EDGE('',*,*,#216260,.T.); #303567=ORIENTED_EDGE('',*,*,#216283,.T.); #303568=ORIENTED_EDGE('',*,*,#216268,.F.); #303569=ORIENTED_EDGE('',*,*,#216273,.F.); #303570=ORIENTED_EDGE('',*,*,#216276,.F.); #303571=ORIENTED_EDGE('',*,*,#216279,.F.); #303572=ORIENTED_EDGE('',*,*,#216271,.F.); #303573=ORIENTED_EDGE('',*,*,#216281,.F.); #303574=ORIENTED_EDGE('',*,*,#216277,.F.); #303575=ORIENTED_EDGE('',*,*,#216274,.F.); #303576=ORIENTED_EDGE('',*,*,#216291,.T.); #303577=ORIENTED_EDGE('',*,*,#216292,.F.); #303578=ORIENTED_EDGE('',*,*,#216293,.F.); #303579=ORIENTED_EDGE('',*,*,#216294,.T.); #303580=ORIENTED_EDGE('',*,*,#216295,.T.); #303581=ORIENTED_EDGE('',*,*,#216296,.F.); #303582=ORIENTED_EDGE('',*,*,#216297,.F.); #303583=ORIENTED_EDGE('',*,*,#216298,.T.); #303584=ORIENTED_EDGE('',*,*,#216299,.T.); #303585=ORIENTED_EDGE('',*,*,#216300,.F.); #303586=ORIENTED_EDGE('',*,*,#216301,.F.); #303587=ORIENTED_EDGE('',*,*,#216302,.T.); #303588=ORIENTED_EDGE('',*,*,#216303,.F.); #303589=ORIENTED_EDGE('',*,*,#216304,.T.); #303590=ORIENTED_EDGE('',*,*,#216305,.T.); #303591=ORIENTED_EDGE('',*,*,#216306,.F.); #303592=ORIENTED_EDGE('',*,*,#216307,.T.); #303593=ORIENTED_EDGE('',*,*,#216308,.F.); #303594=ORIENTED_EDGE('',*,*,#216309,.T.); #303595=ORIENTED_EDGE('',*,*,#216310,.T.); #303596=ORIENTED_EDGE('',*,*,#216311,.T.); #303597=ORIENTED_EDGE('',*,*,#216312,.T.); #303598=ORIENTED_EDGE('',*,*,#216313,.T.); #303599=ORIENTED_EDGE('',*,*,#216314,.T.); #303600=ORIENTED_EDGE('',*,*,#216315,.T.); #303601=ORIENTED_EDGE('',*,*,#216316,.T.); #303602=ORIENTED_EDGE('',*,*,#216317,.F.); #303603=ORIENTED_EDGE('',*,*,#216318,.F.); #303604=ORIENTED_EDGE('',*,*,#216319,.T.); #303605=ORIENTED_EDGE('',*,*,#216320,.T.); #303606=ORIENTED_EDGE('',*,*,#216321,.T.); #303607=ORIENTED_EDGE('',*,*,#216322,.T.); #303608=ORIENTED_EDGE('',*,*,#216323,.T.); #303609=ORIENTED_EDGE('',*,*,#216324,.T.); #303610=ORIENTED_EDGE('',*,*,#216325,.F.); #303611=ORIENTED_EDGE('',*,*,#216326,.F.); #303612=ORIENTED_EDGE('',*,*,#216327,.T.); #303613=ORIENTED_EDGE('',*,*,#216328,.T.); #303614=ORIENTED_EDGE('',*,*,#216329,.T.); #303615=ORIENTED_EDGE('',*,*,#216330,.T.); #303616=ORIENTED_EDGE('',*,*,#216331,.F.); #303617=ORIENTED_EDGE('',*,*,#216332,.F.); #303618=ORIENTED_EDGE('',*,*,#216333,.F.); #303619=ORIENTED_EDGE('',*,*,#216334,.F.); #303620=ORIENTED_EDGE('',*,*,#216335,.F.); #303621=ORIENTED_EDGE('',*,*,#216336,.F.); #303622=ORIENTED_EDGE('',*,*,#216337,.F.); #303623=ORIENTED_EDGE('',*,*,#216338,.F.); #303624=ORIENTED_EDGE('',*,*,#216339,.T.); #303625=ORIENTED_EDGE('',*,*,#216313,.F.); #303626=ORIENTED_EDGE('',*,*,#216340,.T.); #303627=ORIENTED_EDGE('',*,*,#216292,.T.); #303628=ORIENTED_EDGE('',*,*,#216341,.T.); #303629=ORIENTED_EDGE('',*,*,#216342,.F.); #303630=ORIENTED_EDGE('',*,*,#216311,.F.); #303631=ORIENTED_EDGE('',*,*,#216343,.T.); #303632=ORIENTED_EDGE('',*,*,#216344,.T.); #303633=ORIENTED_EDGE('',*,*,#216345,.T.); #303634=ORIENTED_EDGE('',*,*,#216294,.F.); #303635=ORIENTED_EDGE('',*,*,#216346,.T.); #303636=ORIENTED_EDGE('',*,*,#216347,.F.); #303637=ORIENTED_EDGE('',*,*,#216341,.F.); #303638=ORIENTED_EDGE('',*,*,#216291,.F.); #303639=ORIENTED_EDGE('',*,*,#216348,.F.); #303640=ORIENTED_EDGE('',*,*,#216349,.T.); #303641=ORIENTED_EDGE('',*,*,#216321,.F.); #303642=ORIENTED_EDGE('',*,*,#216350,.T.); #303643=ORIENTED_EDGE('',*,*,#216300,.T.); #303644=ORIENTED_EDGE('',*,*,#216351,.T.); #303645=ORIENTED_EDGE('',*,*,#216352,.F.); #303646=ORIENTED_EDGE('',*,*,#216319,.F.); #303647=ORIENTED_EDGE('',*,*,#216353,.T.); #303648=ORIENTED_EDGE('',*,*,#216354,.T.); #303649=ORIENTED_EDGE('',*,*,#216348,.T.); #303650=ORIENTED_EDGE('',*,*,#216302,.F.); #303651=ORIENTED_EDGE('',*,*,#216355,.T.); #303652=ORIENTED_EDGE('',*,*,#216356,.F.); #303653=ORIENTED_EDGE('',*,*,#216351,.F.); #303654=ORIENTED_EDGE('',*,*,#216299,.F.); #303655=ORIENTED_EDGE('',*,*,#216357,.F.); #303656=ORIENTED_EDGE('',*,*,#216358,.F.); #303657=ORIENTED_EDGE('',*,*,#216359,.T.); #303658=ORIENTED_EDGE('',*,*,#216360,.T.); #303659=ORIENTED_EDGE('',*,*,#216361,.T.); #303660=ORIENTED_EDGE('',*,*,#216362,.F.); #303661=ORIENTED_EDGE('',*,*,#216363,.T.); #303662=ORIENTED_EDGE('',*,*,#216297,.T.); #303663=ORIENTED_EDGE('',*,*,#216364,.T.); #303664=ORIENTED_EDGE('',*,*,#216365,.F.); #303665=ORIENTED_EDGE('',*,*,#216366,.T.); #303666=ORIENTED_EDGE('',*,*,#216367,.T.); #303667=ORIENTED_EDGE('',*,*,#216307,.F.); #303668=ORIENTED_EDGE('',*,*,#216368,.T.); #303669=ORIENTED_EDGE('',*,*,#216357,.T.); #303670=ORIENTED_EDGE('',*,*,#216298,.F.); #303671=ORIENTED_EDGE('',*,*,#216363,.F.); #303672=ORIENTED_EDGE('',*,*,#216369,.F.); #303673=ORIENTED_EDGE('',*,*,#216304,.F.); #303674=ORIENTED_EDGE('',*,*,#216370,.F.); #303675=ORIENTED_EDGE('',*,*,#216371,.T.); #303676=ORIENTED_EDGE('',*,*,#216372,.F.); #303677=ORIENTED_EDGE('',*,*,#216373,.T.); #303678=ORIENTED_EDGE('',*,*,#216364,.F.); #303679=ORIENTED_EDGE('',*,*,#216296,.T.); #303680=ORIENTED_EDGE('',*,*,#216374,.T.); #303681=ORIENTED_EDGE('',*,*,#216375,.F.); #303682=ORIENTED_EDGE('',*,*,#216376,.F.); #303683=ORIENTED_EDGE('',*,*,#216377,.F.); #303684=ORIENTED_EDGE('',*,*,#216378,.F.); #303685=ORIENTED_EDGE('',*,*,#216379,.F.); #303686=ORIENTED_EDGE('',*,*,#216380,.F.); #303687=ORIENTED_EDGE('',*,*,#216381,.F.); #303688=ORIENTED_EDGE('',*,*,#216382,.F.); #303689=ORIENTED_EDGE('',*,*,#216305,.F.); #303690=ORIENTED_EDGE('',*,*,#216375,.T.); #303691=ORIENTED_EDGE('',*,*,#216383,.T.); #303692=ORIENTED_EDGE('',*,*,#216344,.F.); #303693=ORIENTED_EDGE('',*,*,#216384,.F.); #303694=ORIENTED_EDGE('',*,*,#216342,.T.); #303695=ORIENTED_EDGE('',*,*,#216347,.T.); #303696=ORIENTED_EDGE('',*,*,#216354,.F.); #303697=ORIENTED_EDGE('',*,*,#216385,.F.); #303698=ORIENTED_EDGE('',*,*,#216352,.T.); #303699=ORIENTED_EDGE('',*,*,#216356,.T.); #303700=ORIENTED_EDGE('',*,*,#216368,.F.); #303701=ORIENTED_EDGE('',*,*,#216310,.F.); #303702=ORIENTED_EDGE('',*,*,#216386,.F.); #303703=ORIENTED_EDGE('',*,*,#216387,.F.); #303704=ORIENTED_EDGE('',*,*,#216388,.F.); #303705=ORIENTED_EDGE('',*,*,#216389,.F.); #303706=ORIENTED_EDGE('',*,*,#216295,.F.); #303707=ORIENTED_EDGE('',*,*,#216345,.F.); #303708=ORIENTED_EDGE('',*,*,#216383,.F.); #303709=ORIENTED_EDGE('',*,*,#216374,.F.); #303710=ORIENTED_EDGE('',*,*,#216390,.T.); #303711=ORIENTED_EDGE('',*,*,#216391,.T.); #303712=ORIENTED_EDGE('',*,*,#216392,.T.); #303713=ORIENTED_EDGE('',*,*,#216393,.T.); #303714=ORIENTED_EDGE('',*,*,#216394,.T.); #303715=ORIENTED_EDGE('',*,*,#216395,.T.); #303716=ORIENTED_EDGE('',*,*,#216396,.T.); #303717=ORIENTED_EDGE('',*,*,#216308,.T.); #303718=ORIENTED_EDGE('',*,*,#216367,.F.); #303719=ORIENTED_EDGE('',*,*,#216397,.T.); #303720=ORIENTED_EDGE('',*,*,#216398,.F.); #303721=ORIENTED_EDGE('',*,*,#216327,.F.); #303722=ORIENTED_EDGE('',*,*,#216399,.T.); #303723=ORIENTED_EDGE('',*,*,#216400,.T.); #303724=ORIENTED_EDGE('',*,*,#216370,.T.); #303725=ORIENTED_EDGE('',*,*,#216303,.T.); #303726=ORIENTED_EDGE('',*,*,#216401,.T.); #303727=ORIENTED_EDGE('',*,*,#216402,.T.); #303728=ORIENTED_EDGE('',*,*,#216403,.T.); #303729=ORIENTED_EDGE('',*,*,#216404,.T.); #303730=ORIENTED_EDGE('',*,*,#216405,.T.); #303731=ORIENTED_EDGE('',*,*,#216406,.T.); #303732=ORIENTED_EDGE('',*,*,#216407,.F.); #303733=ORIENTED_EDGE('',*,*,#216408,.F.); #303734=ORIENTED_EDGE('',*,*,#216409,.T.); #303735=ORIENTED_EDGE('',*,*,#216399,.F.); #303736=ORIENTED_EDGE('',*,*,#216330,.F.); #303737=ORIENTED_EDGE('',*,*,#216410,.T.); #303738=ORIENTED_EDGE('',*,*,#216411,.T.); #303739=ORIENTED_EDGE('',*,*,#216405,.F.); #303740=ORIENTED_EDGE('',*,*,#216329,.F.); #303741=ORIENTED_EDGE('',*,*,#216412,.T.); #303742=ORIENTED_EDGE('',*,*,#216413,.T.); #303743=ORIENTED_EDGE('',*,*,#216410,.F.); #303744=ORIENTED_EDGE('',*,*,#216328,.F.); #303745=ORIENTED_EDGE('',*,*,#216398,.T.); #303746=ORIENTED_EDGE('',*,*,#216414,.T.); #303747=ORIENTED_EDGE('',*,*,#216415,.F.); #303748=ORIENTED_EDGE('',*,*,#216416,.T.); #303749=ORIENTED_EDGE('',*,*,#216386,.T.); #303750=ORIENTED_EDGE('',*,*,#216309,.F.); #303751=ORIENTED_EDGE('',*,*,#216396,.F.); #303752=ORIENTED_EDGE('',*,*,#216417,.T.); #303753=ORIENTED_EDGE('',*,*,#216387,.T.); #303754=ORIENTED_EDGE('',*,*,#216416,.F.); #303755=ORIENTED_EDGE('',*,*,#216395,.F.); #303756=ORIENTED_EDGE('',*,*,#216418,.T.); #303757=ORIENTED_EDGE('',*,*,#216388,.T.); #303758=ORIENTED_EDGE('',*,*,#216417,.F.); #303759=ORIENTED_EDGE('',*,*,#216394,.F.); #303760=ORIENTED_EDGE('',*,*,#216419,.T.); #303761=ORIENTED_EDGE('',*,*,#216389,.T.); #303762=ORIENTED_EDGE('',*,*,#216418,.F.); #303763=ORIENTED_EDGE('',*,*,#216393,.F.); #303764=ORIENTED_EDGE('',*,*,#216392,.F.); #303765=ORIENTED_EDGE('',*,*,#216420,.T.); #303766=ORIENTED_EDGE('',*,*,#216376,.T.); #303767=ORIENTED_EDGE('',*,*,#216419,.F.); #303768=ORIENTED_EDGE('',*,*,#216306,.T.); #303769=ORIENTED_EDGE('',*,*,#216382,.T.); #303770=ORIENTED_EDGE('',*,*,#216421,.F.); #303771=ORIENTED_EDGE('',*,*,#216401,.F.); #303772=ORIENTED_EDGE('',*,*,#216422,.T.); #303773=ORIENTED_EDGE('',*,*,#216377,.T.); #303774=ORIENTED_EDGE('',*,*,#216420,.F.); #303775=ORIENTED_EDGE('',*,*,#216391,.F.); #303776=ORIENTED_EDGE('',*,*,#216390,.F.); #303777=ORIENTED_EDGE('',*,*,#216423,.T.); #303778=ORIENTED_EDGE('',*,*,#216378,.T.); #303779=ORIENTED_EDGE('',*,*,#216422,.F.); #303780=ORIENTED_EDGE('',*,*,#216424,.T.); #303781=ORIENTED_EDGE('',*,*,#216379,.T.); #303782=ORIENTED_EDGE('',*,*,#216423,.F.); #303783=ORIENTED_EDGE('',*,*,#216404,.F.); #303784=ORIENTED_EDGE('',*,*,#216425,.T.); #303785=ORIENTED_EDGE('',*,*,#216380,.T.); #303786=ORIENTED_EDGE('',*,*,#216424,.F.); #303787=ORIENTED_EDGE('',*,*,#216403,.F.); #303788=ORIENTED_EDGE('',*,*,#216421,.T.); #303789=ORIENTED_EDGE('',*,*,#216381,.T.); #303790=ORIENTED_EDGE('',*,*,#216425,.F.); #303791=ORIENTED_EDGE('',*,*,#216402,.F.); #303792=ORIENTED_EDGE('',*,*,#216349,.F.); #303793=ORIENTED_EDGE('',*,*,#216385,.T.); #303794=ORIENTED_EDGE('',*,*,#216353,.F.); #303795=ORIENTED_EDGE('',*,*,#216322,.F.); #303796=ORIENTED_EDGE('',*,*,#216355,.F.); #303797=ORIENTED_EDGE('',*,*,#216301,.T.); #303798=ORIENTED_EDGE('',*,*,#216350,.F.); #303799=ORIENTED_EDGE('',*,*,#216320,.F.); #303800=ORIENTED_EDGE('',*,*,#216339,.F.); #303801=ORIENTED_EDGE('',*,*,#216384,.T.); #303802=ORIENTED_EDGE('',*,*,#216343,.F.); #303803=ORIENTED_EDGE('',*,*,#216314,.F.); #303804=ORIENTED_EDGE('',*,*,#216346,.F.); #303805=ORIENTED_EDGE('',*,*,#216293,.T.); #303806=ORIENTED_EDGE('',*,*,#216340,.F.); #303807=ORIENTED_EDGE('',*,*,#216312,.F.); #303808=ORIENTED_EDGE('',*,*,#216426,.F.); #303809=ORIENTED_EDGE('',*,*,#216427,.T.); #303810=ORIENTED_EDGE('',*,*,#216428,.T.); #303811=ORIENTED_EDGE('',*,*,#216332,.T.); #303812=ORIENTED_EDGE('',*,*,#216429,.F.); #303813=ORIENTED_EDGE('',*,*,#216430,.T.); #303814=ORIENTED_EDGE('',*,*,#216426,.T.); #303815=ORIENTED_EDGE('',*,*,#216331,.T.); #303816=ORIENTED_EDGE('',*,*,#216431,.F.); #303817=ORIENTED_EDGE('',*,*,#216432,.T.); #303818=ORIENTED_EDGE('',*,*,#216429,.T.); #303819=ORIENTED_EDGE('',*,*,#216334,.T.); #303820=ORIENTED_EDGE('',*,*,#216428,.F.); #303821=ORIENTED_EDGE('',*,*,#216433,.T.); #303822=ORIENTED_EDGE('',*,*,#216431,.T.); #303823=ORIENTED_EDGE('',*,*,#216333,.T.); #303824=ORIENTED_EDGE('',*,*,#216434,.T.); #303825=ORIENTED_EDGE('',*,*,#216435,.T.); #303826=ORIENTED_EDGE('',*,*,#216436,.T.); #303827=ORIENTED_EDGE('',*,*,#216437,.T.); #303828=ORIENTED_EDGE('',*,*,#216438,.F.); #303829=ORIENTED_EDGE('',*,*,#216430,.F.); #303830=ORIENTED_EDGE('',*,*,#216439,.F.); #303831=ORIENTED_EDGE('',*,*,#216437,.F.); #303832=ORIENTED_EDGE('',*,*,#216439,.T.); #303833=ORIENTED_EDGE('',*,*,#216432,.F.); #303834=ORIENTED_EDGE('',*,*,#216440,.F.); #303835=ORIENTED_EDGE('',*,*,#216434,.F.); #303836=ORIENTED_EDGE('',*,*,#216438,.T.); #303837=ORIENTED_EDGE('',*,*,#216436,.F.); #303838=ORIENTED_EDGE('',*,*,#216441,.F.); #303839=ORIENTED_EDGE('',*,*,#216427,.F.); #303840=ORIENTED_EDGE('',*,*,#216440,.T.); #303841=ORIENTED_EDGE('',*,*,#216433,.F.); #303842=ORIENTED_EDGE('',*,*,#216441,.T.); #303843=ORIENTED_EDGE('',*,*,#216435,.F.); #303844=ORIENTED_EDGE('',*,*,#216442,.F.); #303845=ORIENTED_EDGE('',*,*,#216443,.T.); #303846=ORIENTED_EDGE('',*,*,#216444,.T.); #303847=ORIENTED_EDGE('',*,*,#216336,.T.); #303848=ORIENTED_EDGE('',*,*,#216445,.F.); #303849=ORIENTED_EDGE('',*,*,#216446,.T.); #303850=ORIENTED_EDGE('',*,*,#216442,.T.); #303851=ORIENTED_EDGE('',*,*,#216335,.T.); #303852=ORIENTED_EDGE('',*,*,#216447,.F.); #303853=ORIENTED_EDGE('',*,*,#216448,.T.); #303854=ORIENTED_EDGE('',*,*,#216445,.T.); #303855=ORIENTED_EDGE('',*,*,#216338,.T.); #303856=ORIENTED_EDGE('',*,*,#216444,.F.); #303857=ORIENTED_EDGE('',*,*,#216449,.T.); #303858=ORIENTED_EDGE('',*,*,#216447,.T.); #303859=ORIENTED_EDGE('',*,*,#216337,.T.); #303860=ORIENTED_EDGE('',*,*,#216450,.T.); #303861=ORIENTED_EDGE('',*,*,#216451,.T.); #303862=ORIENTED_EDGE('',*,*,#216452,.T.); #303863=ORIENTED_EDGE('',*,*,#216453,.T.); #303864=ORIENTED_EDGE('',*,*,#216454,.F.); #303865=ORIENTED_EDGE('',*,*,#216446,.F.); #303866=ORIENTED_EDGE('',*,*,#216455,.F.); #303867=ORIENTED_EDGE('',*,*,#216453,.F.); #303868=ORIENTED_EDGE('',*,*,#216455,.T.); #303869=ORIENTED_EDGE('',*,*,#216448,.F.); #303870=ORIENTED_EDGE('',*,*,#216456,.F.); #303871=ORIENTED_EDGE('',*,*,#216450,.F.); #303872=ORIENTED_EDGE('',*,*,#216454,.T.); #303873=ORIENTED_EDGE('',*,*,#216452,.F.); #303874=ORIENTED_EDGE('',*,*,#216457,.F.); #303875=ORIENTED_EDGE('',*,*,#216443,.F.); #303876=ORIENTED_EDGE('',*,*,#216456,.T.); #303877=ORIENTED_EDGE('',*,*,#216449,.F.); #303878=ORIENTED_EDGE('',*,*,#216457,.T.); #303879=ORIENTED_EDGE('',*,*,#216451,.F.); #303880=ORIENTED_EDGE('',*,*,#216458,.T.); #303881=ORIENTED_EDGE('',*,*,#216459,.T.); #303882=ORIENTED_EDGE('',*,*,#216460,.F.); #303883=ORIENTED_EDGE('',*,*,#216323,.F.); #303884=ORIENTED_EDGE('',*,*,#216461,.T.); #303885=ORIENTED_EDGE('',*,*,#216462,.T.); #303886=ORIENTED_EDGE('',*,*,#216463,.T.); #303887=ORIENTED_EDGE('',*,*,#216464,.T.); #303888=ORIENTED_EDGE('',*,*,#216465,.T.); #303889=ORIENTED_EDGE('',*,*,#216466,.T.); #303890=ORIENTED_EDGE('',*,*,#216467,.F.); #303891=ORIENTED_EDGE('',*,*,#216325,.T.); #303892=ORIENTED_EDGE('',*,*,#216460,.T.); #303893=ORIENTED_EDGE('',*,*,#216468,.T.); #303894=ORIENTED_EDGE('',*,*,#216465,.F.); #303895=ORIENTED_EDGE('',*,*,#216324,.F.); #303896=ORIENTED_EDGE('',*,*,#216467,.T.); #303897=ORIENTED_EDGE('',*,*,#216469,.T.); #303898=ORIENTED_EDGE('',*,*,#216458,.F.); #303899=ORIENTED_EDGE('',*,*,#216326,.T.); #303900=ORIENTED_EDGE('',*,*,#216470,.F.); #303901=ORIENTED_EDGE('',*,*,#216466,.F.); #303902=ORIENTED_EDGE('',*,*,#216471,.F.); #303903=ORIENTED_EDGE('',*,*,#216464,.F.); #303904=ORIENTED_EDGE('',*,*,#216471,.T.); #303905=ORIENTED_EDGE('',*,*,#216468,.F.); #303906=ORIENTED_EDGE('',*,*,#216472,.F.); #303907=ORIENTED_EDGE('',*,*,#216461,.F.); #303908=ORIENTED_EDGE('',*,*,#216470,.T.); #303909=ORIENTED_EDGE('',*,*,#216463,.F.); #303910=ORIENTED_EDGE('',*,*,#216473,.F.); #303911=ORIENTED_EDGE('',*,*,#216469,.F.); #303912=ORIENTED_EDGE('',*,*,#216472,.T.); #303913=ORIENTED_EDGE('',*,*,#216459,.F.); #303914=ORIENTED_EDGE('',*,*,#216473,.T.); #303915=ORIENTED_EDGE('',*,*,#216462,.F.); #303916=ORIENTED_EDGE('',*,*,#216474,.T.); #303917=ORIENTED_EDGE('',*,*,#216475,.T.); #303918=ORIENTED_EDGE('',*,*,#216476,.F.); #303919=ORIENTED_EDGE('',*,*,#216315,.F.); #303920=ORIENTED_EDGE('',*,*,#216477,.T.); #303921=ORIENTED_EDGE('',*,*,#216478,.T.); #303922=ORIENTED_EDGE('',*,*,#216479,.T.); #303923=ORIENTED_EDGE('',*,*,#216480,.T.); #303924=ORIENTED_EDGE('',*,*,#216481,.T.); #303925=ORIENTED_EDGE('',*,*,#216482,.T.); #303926=ORIENTED_EDGE('',*,*,#216483,.F.); #303927=ORIENTED_EDGE('',*,*,#216317,.T.); #303928=ORIENTED_EDGE('',*,*,#216476,.T.); #303929=ORIENTED_EDGE('',*,*,#216484,.T.); #303930=ORIENTED_EDGE('',*,*,#216481,.F.); #303931=ORIENTED_EDGE('',*,*,#216316,.F.); #303932=ORIENTED_EDGE('',*,*,#216483,.T.); #303933=ORIENTED_EDGE('',*,*,#216485,.T.); #303934=ORIENTED_EDGE('',*,*,#216474,.F.); #303935=ORIENTED_EDGE('',*,*,#216318,.T.); #303936=ORIENTED_EDGE('',*,*,#216486,.F.); #303937=ORIENTED_EDGE('',*,*,#216482,.F.); #303938=ORIENTED_EDGE('',*,*,#216487,.F.); #303939=ORIENTED_EDGE('',*,*,#216480,.F.); #303940=ORIENTED_EDGE('',*,*,#216487,.T.); #303941=ORIENTED_EDGE('',*,*,#216484,.F.); #303942=ORIENTED_EDGE('',*,*,#216488,.F.); #303943=ORIENTED_EDGE('',*,*,#216477,.F.); #303944=ORIENTED_EDGE('',*,*,#216486,.T.); #303945=ORIENTED_EDGE('',*,*,#216479,.F.); #303946=ORIENTED_EDGE('',*,*,#216489,.F.); #303947=ORIENTED_EDGE('',*,*,#216485,.F.); #303948=ORIENTED_EDGE('',*,*,#216488,.T.); #303949=ORIENTED_EDGE('',*,*,#216475,.F.); #303950=ORIENTED_EDGE('',*,*,#216489,.T.); #303951=ORIENTED_EDGE('',*,*,#216478,.F.); #303952=ORIENTED_EDGE('',*,*,#216362,.T.); #303953=ORIENTED_EDGE('',*,*,#216490,.T.); #303954=ORIENTED_EDGE('',*,*,#216412,.F.); #303955=ORIENTED_EDGE('',*,*,#216491,.F.); #303956=ORIENTED_EDGE('',*,*,#216369,.T.); #303957=ORIENTED_EDGE('',*,*,#216415,.T.); #303958=ORIENTED_EDGE('',*,*,#216492,.T.); #303959=ORIENTED_EDGE('',*,*,#216365,.T.); #303960=ORIENTED_EDGE('',*,*,#216491,.T.); #303961=ORIENTED_EDGE('',*,*,#216407,.T.); #303962=ORIENTED_EDGE('',*,*,#216493,.T.); #303963=ORIENTED_EDGE('',*,*,#216358,.T.); #303964=ORIENTED_EDGE('',*,*,#216373,.F.); #303965=ORIENTED_EDGE('',*,*,#216494,.F.); #303966=ORIENTED_EDGE('',*,*,#216372,.T.); #303967=ORIENTED_EDGE('',*,*,#216495,.T.); #303968=ORIENTED_EDGE('',*,*,#216408,.T.); #303969=ORIENTED_EDGE('',*,*,#216494,.T.); #303970=ORIENTED_EDGE('',*,*,#216492,.F.); #303971=ORIENTED_EDGE('',*,*,#216414,.F.); #303972=ORIENTED_EDGE('',*,*,#216397,.F.); #303973=ORIENTED_EDGE('',*,*,#216366,.F.); #303974=ORIENTED_EDGE('',*,*,#216495,.F.); #303975=ORIENTED_EDGE('',*,*,#216371,.F.); #303976=ORIENTED_EDGE('',*,*,#216400,.F.); #303977=ORIENTED_EDGE('',*,*,#216409,.F.); #303978=ORIENTED_EDGE('',*,*,#216490,.F.); #303979=ORIENTED_EDGE('',*,*,#216361,.F.); #303980=ORIENTED_EDGE('',*,*,#216496,.F.); #303981=ORIENTED_EDGE('',*,*,#216413,.F.); #303982=ORIENTED_EDGE('',*,*,#216496,.T.); #303983=ORIENTED_EDGE('',*,*,#216360,.F.); #303984=ORIENTED_EDGE('',*,*,#216497,.F.); #303985=ORIENTED_EDGE('',*,*,#216411,.F.); #303986=ORIENTED_EDGE('',*,*,#216497,.T.); #303987=ORIENTED_EDGE('',*,*,#216359,.F.); #303988=ORIENTED_EDGE('',*,*,#216493,.F.); #303989=ORIENTED_EDGE('',*,*,#216406,.F.); #303990=ORIENTED_EDGE('',*,*,#216498,.F.); #303991=ORIENTED_EDGE('',*,*,#216499,.F.); #303992=ORIENTED_EDGE('',*,*,#216500,.F.); #303993=ORIENTED_EDGE('',*,*,#216501,.F.); #303994=ORIENTED_EDGE('',*,*,#216502,.F.); #303995=ORIENTED_EDGE('',*,*,#216503,.F.); #303996=ORIENTED_EDGE('',*,*,#216504,.F.); #303997=ORIENTED_EDGE('',*,*,#216505,.F.); #303998=ORIENTED_EDGE('',*,*,#216506,.F.); #303999=ORIENTED_EDGE('',*,*,#216507,.F.); #304000=ORIENTED_EDGE('',*,*,#216508,.F.); #304001=ORIENTED_EDGE('',*,*,#216509,.F.); #304002=ORIENTED_EDGE('',*,*,#216510,.F.); #304003=ORIENTED_EDGE('',*,*,#216511,.F.); #304004=ORIENTED_EDGE('',*,*,#216512,.F.); #304005=ORIENTED_EDGE('',*,*,#216511,.T.); #304006=ORIENTED_EDGE('',*,*,#216513,.T.); #304007=ORIENTED_EDGE('',*,*,#216506,.T.); #304008=ORIENTED_EDGE('',*,*,#216514,.T.); #304009=ORIENTED_EDGE('',*,*,#216515,.F.); #304010=ORIENTED_EDGE('',*,*,#216516,.F.); #304011=ORIENTED_EDGE('',*,*,#216517,.F.); #304012=ORIENTED_EDGE('',*,*,#216518,.F.); #304013=ORIENTED_EDGE('',*,*,#216519,.F.); #304014=ORIENTED_EDGE('',*,*,#216520,.F.); #304015=ORIENTED_EDGE('',*,*,#216505,.T.); #304016=ORIENTED_EDGE('',*,*,#216521,.T.); #304017=ORIENTED_EDGE('',*,*,#216519,.T.); #304018=ORIENTED_EDGE('',*,*,#216522,.T.); #304019=ORIENTED_EDGE('',*,*,#216508,.T.); #304020=ORIENTED_EDGE('',*,*,#216523,.T.); #304021=ORIENTED_EDGE('',*,*,#216520,.T.); #304022=ORIENTED_EDGE('',*,*,#216524,.T.); #304023=ORIENTED_EDGE('',*,*,#216525,.F.); #304024=ORIENTED_EDGE('',*,*,#216526,.F.); #304025=ORIENTED_EDGE('',*,*,#216527,.F.); #304026=ORIENTED_EDGE('',*,*,#216512,.T.); #304027=ORIENTED_EDGE('',*,*,#216528,.T.); #304028=ORIENTED_EDGE('',*,*,#216526,.T.); #304029=ORIENTED_EDGE('',*,*,#216529,.T.); #304030=ORIENTED_EDGE('',*,*,#216518,.T.); #304031=ORIENTED_EDGE('',*,*,#216530,.T.); #304032=ORIENTED_EDGE('',*,*,#216527,.T.); #304033=ORIENTED_EDGE('',*,*,#216531,.T.); #304034=ORIENTED_EDGE('',*,*,#216516,.T.); #304035=ORIENTED_EDGE('',*,*,#216532,.T.); #304036=ORIENTED_EDGE('',*,*,#216525,.T.); #304037=ORIENTED_EDGE('',*,*,#216533,.T.); #304038=ORIENTED_EDGE('',*,*,#216507,.T.); #304039=ORIENTED_EDGE('',*,*,#216534,.T.); #304040=ORIENTED_EDGE('',*,*,#216517,.T.); #304041=ORIENTED_EDGE('',*,*,#216535,.T.); #304042=ORIENTED_EDGE('',*,*,#216515,.T.); #304043=ORIENTED_EDGE('',*,*,#216536,.T.); #304044=ORIENTED_EDGE('',*,*,#216503,.T.); #304045=ORIENTED_EDGE('',*,*,#216537,.T.); #304046=ORIENTED_EDGE('',*,*,#216502,.T.); #304047=ORIENTED_EDGE('',*,*,#216538,.T.); #304048=ORIENTED_EDGE('',*,*,#216510,.T.); #304049=ORIENTED_EDGE('',*,*,#216539,.T.); #304050=ORIENTED_EDGE('',*,*,#216498,.T.); #304051=ORIENTED_EDGE('',*,*,#216540,.T.); #304052=ORIENTED_EDGE('',*,*,#216509,.T.); #304053=ORIENTED_EDGE('',*,*,#216541,.T.); #304054=ORIENTED_EDGE('',*,*,#216499,.T.); #304055=ORIENTED_EDGE('',*,*,#216542,.T.); #304056=ORIENTED_EDGE('',*,*,#216504,.T.); #304057=ORIENTED_EDGE('',*,*,#216543,.T.); #304058=ORIENTED_EDGE('',*,*,#216501,.T.); #304059=ORIENTED_EDGE('',*,*,#216544,.T.); #304060=ORIENTED_EDGE('',*,*,#216500,.T.); #304061=ORIENTED_EDGE('',*,*,#216545,.T.); #304062=ORIENTED_EDGE('',*,*,#216513,.F.); #304063=ORIENTED_EDGE('',*,*,#216538,.F.); #304064=ORIENTED_EDGE('',*,*,#216545,.F.); #304065=ORIENTED_EDGE('',*,*,#216543,.F.); #304066=ORIENTED_EDGE('',*,*,#216514,.F.); #304067=ORIENTED_EDGE('',*,*,#216522,.F.); #304068=ORIENTED_EDGE('',*,*,#216531,.F.); #304069=ORIENTED_EDGE('',*,*,#216528,.F.); #304070=ORIENTED_EDGE('',*,*,#216521,.F.); #304071=ORIENTED_EDGE('',*,*,#216542,.F.); #304072=ORIENTED_EDGE('',*,*,#216541,.F.); #304073=ORIENTED_EDGE('',*,*,#216524,.F.); #304074=ORIENTED_EDGE('',*,*,#216523,.F.); #304075=ORIENTED_EDGE('',*,*,#216535,.F.); #304076=ORIENTED_EDGE('',*,*,#216533,.F.); #304077=ORIENTED_EDGE('',*,*,#216530,.F.); #304078=ORIENTED_EDGE('',*,*,#216529,.F.); #304079=ORIENTED_EDGE('',*,*,#216532,.F.); #304080=ORIENTED_EDGE('',*,*,#216537,.F.); #304081=ORIENTED_EDGE('',*,*,#216539,.F.); #304082=ORIENTED_EDGE('',*,*,#216534,.F.); #304083=ORIENTED_EDGE('',*,*,#216540,.F.); #304084=ORIENTED_EDGE('',*,*,#216544,.F.); #304085=ORIENTED_EDGE('',*,*,#216536,.F.); #304086=ORIENTED_EDGE('',*,*,#216546,.F.); #304087=ORIENTED_EDGE('',*,*,#216547,.F.); #304088=ORIENTED_EDGE('',*,*,#216548,.F.); #304089=ORIENTED_EDGE('',*,*,#216549,.F.); #304090=ORIENTED_EDGE('',*,*,#216550,.F.); #304091=ORIENTED_EDGE('',*,*,#216551,.F.); #304092=ORIENTED_EDGE('',*,*,#216552,.F.); #304093=ORIENTED_EDGE('',*,*,#216553,.F.); #304094=ORIENTED_EDGE('',*,*,#216554,.F.); #304095=ORIENTED_EDGE('',*,*,#216555,.F.); #304096=ORIENTED_EDGE('',*,*,#216556,.F.); #304097=ORIENTED_EDGE('',*,*,#216557,.F.); #304098=ORIENTED_EDGE('',*,*,#216558,.F.); #304099=ORIENTED_EDGE('',*,*,#216559,.F.); #304100=ORIENTED_EDGE('',*,*,#216560,.F.); #304101=ORIENTED_EDGE('',*,*,#216559,.T.); #304102=ORIENTED_EDGE('',*,*,#216561,.T.); #304103=ORIENTED_EDGE('',*,*,#216554,.T.); #304104=ORIENTED_EDGE('',*,*,#216562,.T.); #304105=ORIENTED_EDGE('',*,*,#216563,.F.); #304106=ORIENTED_EDGE('',*,*,#216564,.F.); #304107=ORIENTED_EDGE('',*,*,#216565,.F.); #304108=ORIENTED_EDGE('',*,*,#216566,.F.); #304109=ORIENTED_EDGE('',*,*,#216567,.F.); #304110=ORIENTED_EDGE('',*,*,#216568,.F.); #304111=ORIENTED_EDGE('',*,*,#216553,.T.); #304112=ORIENTED_EDGE('',*,*,#216569,.T.); #304113=ORIENTED_EDGE('',*,*,#216567,.T.); #304114=ORIENTED_EDGE('',*,*,#216570,.T.); #304115=ORIENTED_EDGE('',*,*,#216556,.T.); #304116=ORIENTED_EDGE('',*,*,#216571,.T.); #304117=ORIENTED_EDGE('',*,*,#216568,.T.); #304118=ORIENTED_EDGE('',*,*,#216572,.T.); #304119=ORIENTED_EDGE('',*,*,#216573,.F.); #304120=ORIENTED_EDGE('',*,*,#216574,.F.); #304121=ORIENTED_EDGE('',*,*,#216575,.F.); #304122=ORIENTED_EDGE('',*,*,#216574,.T.); #304123=ORIENTED_EDGE('',*,*,#216576,.T.); #304124=ORIENTED_EDGE('',*,*,#216560,.T.); #304125=ORIENTED_EDGE('',*,*,#216577,.T.); #304126=ORIENTED_EDGE('',*,*,#216566,.T.); #304127=ORIENTED_EDGE('',*,*,#216578,.T.); #304128=ORIENTED_EDGE('',*,*,#216575,.T.); #304129=ORIENTED_EDGE('',*,*,#216579,.T.); #304130=ORIENTED_EDGE('',*,*,#216564,.T.); #304131=ORIENTED_EDGE('',*,*,#216580,.T.); #304132=ORIENTED_EDGE('',*,*,#216573,.T.); #304133=ORIENTED_EDGE('',*,*,#216581,.T.); #304134=ORIENTED_EDGE('',*,*,#216555,.T.); #304135=ORIENTED_EDGE('',*,*,#216582,.T.); #304136=ORIENTED_EDGE('',*,*,#216565,.T.); #304137=ORIENTED_EDGE('',*,*,#216583,.T.); #304138=ORIENTED_EDGE('',*,*,#216563,.T.); #304139=ORIENTED_EDGE('',*,*,#216584,.T.); #304140=ORIENTED_EDGE('',*,*,#216551,.T.); #304141=ORIENTED_EDGE('',*,*,#216585,.T.); #304142=ORIENTED_EDGE('',*,*,#216550,.T.); #304143=ORIENTED_EDGE('',*,*,#216586,.T.); #304144=ORIENTED_EDGE('',*,*,#216558,.T.); #304145=ORIENTED_EDGE('',*,*,#216587,.T.); #304146=ORIENTED_EDGE('',*,*,#216546,.T.); #304147=ORIENTED_EDGE('',*,*,#216588,.T.); #304148=ORIENTED_EDGE('',*,*,#216557,.T.); #304149=ORIENTED_EDGE('',*,*,#216589,.T.); #304150=ORIENTED_EDGE('',*,*,#216547,.T.); #304151=ORIENTED_EDGE('',*,*,#216590,.T.); #304152=ORIENTED_EDGE('',*,*,#216552,.T.); #304153=ORIENTED_EDGE('',*,*,#216591,.T.); #304154=ORIENTED_EDGE('',*,*,#216549,.T.); #304155=ORIENTED_EDGE('',*,*,#216592,.T.); #304156=ORIENTED_EDGE('',*,*,#216548,.T.); #304157=ORIENTED_EDGE('',*,*,#216593,.T.); #304158=ORIENTED_EDGE('',*,*,#216561,.F.); #304159=ORIENTED_EDGE('',*,*,#216586,.F.); #304160=ORIENTED_EDGE('',*,*,#216593,.F.); #304161=ORIENTED_EDGE('',*,*,#216591,.F.); #304162=ORIENTED_EDGE('',*,*,#216562,.F.); #304163=ORIENTED_EDGE('',*,*,#216570,.F.); #304164=ORIENTED_EDGE('',*,*,#216579,.F.); #304165=ORIENTED_EDGE('',*,*,#216577,.F.); #304166=ORIENTED_EDGE('',*,*,#216569,.F.); #304167=ORIENTED_EDGE('',*,*,#216590,.F.); #304168=ORIENTED_EDGE('',*,*,#216589,.F.); #304169=ORIENTED_EDGE('',*,*,#216572,.F.); #304170=ORIENTED_EDGE('',*,*,#216571,.F.); #304171=ORIENTED_EDGE('',*,*,#216583,.F.); #304172=ORIENTED_EDGE('',*,*,#216581,.F.); #304173=ORIENTED_EDGE('',*,*,#216578,.F.); #304174=ORIENTED_EDGE('',*,*,#216576,.F.); #304175=ORIENTED_EDGE('',*,*,#216580,.F.); #304176=ORIENTED_EDGE('',*,*,#216585,.F.); #304177=ORIENTED_EDGE('',*,*,#216587,.F.); #304178=ORIENTED_EDGE('',*,*,#216582,.F.); #304179=ORIENTED_EDGE('',*,*,#216588,.F.); #304180=ORIENTED_EDGE('',*,*,#216592,.F.); #304181=ORIENTED_EDGE('',*,*,#216584,.F.); #304182=ORIENTED_EDGE('',*,*,#216594,.F.); #304183=ORIENTED_EDGE('',*,*,#216595,.T.); #304184=ORIENTED_EDGE('',*,*,#216596,.F.); #304185=ORIENTED_EDGE('',*,*,#216597,.F.); #304186=ORIENTED_EDGE('',*,*,#216598,.T.); #304187=ORIENTED_EDGE('',*,*,#216597,.T.); #304188=ORIENTED_EDGE('',*,*,#216599,.F.); #304189=ORIENTED_EDGE('',*,*,#216600,.F.); #304190=ORIENTED_EDGE('',*,*,#216601,.T.); #304191=ORIENTED_EDGE('',*,*,#216600,.T.); #304192=ORIENTED_EDGE('',*,*,#216602,.F.); #304193=ORIENTED_EDGE('',*,*,#216603,.F.); #304194=ORIENTED_EDGE('',*,*,#216604,.T.); #304195=ORIENTED_EDGE('',*,*,#216603,.T.); #304196=ORIENTED_EDGE('',*,*,#216605,.F.); #304197=ORIENTED_EDGE('',*,*,#216595,.F.); #304198=ORIENTED_EDGE('',*,*,#216605,.T.); #304199=ORIENTED_EDGE('',*,*,#216602,.T.); #304200=ORIENTED_EDGE('',*,*,#216599,.T.); #304201=ORIENTED_EDGE('',*,*,#216596,.T.); #304202=ORIENTED_EDGE('',*,*,#216604,.F.); #304203=ORIENTED_EDGE('',*,*,#216594,.T.); #304204=ORIENTED_EDGE('',*,*,#216598,.F.); #304205=ORIENTED_EDGE('',*,*,#216601,.F.); #304206=ORIENTED_EDGE('',*,*,#216606,.F.); #304207=ORIENTED_EDGE('',*,*,#216607,.F.); #304208=ORIENTED_EDGE('',*,*,#216608,.F.); #304209=ORIENTED_EDGE('',*,*,#216609,.F.); #304210=ORIENTED_EDGE('',*,*,#216610,.F.); #304211=ORIENTED_EDGE('',*,*,#216611,.F.); #304212=ORIENTED_EDGE('',*,*,#216612,.F.); #304213=ORIENTED_EDGE('',*,*,#216613,.F.); #304214=ORIENTED_EDGE('',*,*,#216614,.F.); #304215=ORIENTED_EDGE('',*,*,#216615,.F.); #304216=ORIENTED_EDGE('',*,*,#216616,.F.); #304217=ORIENTED_EDGE('',*,*,#216617,.F.); #304218=ORIENTED_EDGE('',*,*,#216618,.F.); #304219=ORIENTED_EDGE('',*,*,#216619,.F.); #304220=ORIENTED_EDGE('',*,*,#216620,.F.); #304221=ORIENTED_EDGE('',*,*,#216619,.T.); #304222=ORIENTED_EDGE('',*,*,#216621,.T.); #304223=ORIENTED_EDGE('',*,*,#216614,.T.); #304224=ORIENTED_EDGE('',*,*,#216622,.T.); #304225=ORIENTED_EDGE('',*,*,#216623,.F.); #304226=ORIENTED_EDGE('',*,*,#216624,.F.); #304227=ORIENTED_EDGE('',*,*,#216625,.F.); #304228=ORIENTED_EDGE('',*,*,#216626,.F.); #304229=ORIENTED_EDGE('',*,*,#216627,.F.); #304230=ORIENTED_EDGE('',*,*,#216628,.F.); #304231=ORIENTED_EDGE('',*,*,#216613,.T.); #304232=ORIENTED_EDGE('',*,*,#216629,.T.); #304233=ORIENTED_EDGE('',*,*,#216627,.T.); #304234=ORIENTED_EDGE('',*,*,#216630,.T.); #304235=ORIENTED_EDGE('',*,*,#216616,.T.); #304236=ORIENTED_EDGE('',*,*,#216631,.T.); #304237=ORIENTED_EDGE('',*,*,#216628,.T.); #304238=ORIENTED_EDGE('',*,*,#216632,.T.); #304239=ORIENTED_EDGE('',*,*,#216633,.F.); #304240=ORIENTED_EDGE('',*,*,#216634,.F.); #304241=ORIENTED_EDGE('',*,*,#216635,.F.); #304242=ORIENTED_EDGE('',*,*,#216620,.T.); #304243=ORIENTED_EDGE('',*,*,#216636,.T.); #304244=ORIENTED_EDGE('',*,*,#216634,.T.); #304245=ORIENTED_EDGE('',*,*,#216637,.T.); #304246=ORIENTED_EDGE('',*,*,#216626,.T.); #304247=ORIENTED_EDGE('',*,*,#216638,.T.); #304248=ORIENTED_EDGE('',*,*,#216635,.T.); #304249=ORIENTED_EDGE('',*,*,#216639,.T.); #304250=ORIENTED_EDGE('',*,*,#216624,.T.); #304251=ORIENTED_EDGE('',*,*,#216640,.T.); #304252=ORIENTED_EDGE('',*,*,#216633,.T.); #304253=ORIENTED_EDGE('',*,*,#216641,.T.); #304254=ORIENTED_EDGE('',*,*,#216615,.T.); #304255=ORIENTED_EDGE('',*,*,#216642,.T.); #304256=ORIENTED_EDGE('',*,*,#216625,.T.); #304257=ORIENTED_EDGE('',*,*,#216643,.T.); #304258=ORIENTED_EDGE('',*,*,#216623,.T.); #304259=ORIENTED_EDGE('',*,*,#216644,.T.); #304260=ORIENTED_EDGE('',*,*,#216611,.T.); #304261=ORIENTED_EDGE('',*,*,#216645,.T.); #304262=ORIENTED_EDGE('',*,*,#216610,.T.); #304263=ORIENTED_EDGE('',*,*,#216646,.T.); #304264=ORIENTED_EDGE('',*,*,#216618,.T.); #304265=ORIENTED_EDGE('',*,*,#216647,.T.); #304266=ORIENTED_EDGE('',*,*,#216606,.T.); #304267=ORIENTED_EDGE('',*,*,#216648,.T.); #304268=ORIENTED_EDGE('',*,*,#216617,.T.); #304269=ORIENTED_EDGE('',*,*,#216649,.T.); #304270=ORIENTED_EDGE('',*,*,#216607,.T.); #304271=ORIENTED_EDGE('',*,*,#216650,.T.); #304272=ORIENTED_EDGE('',*,*,#216612,.T.); #304273=ORIENTED_EDGE('',*,*,#216651,.T.); #304274=ORIENTED_EDGE('',*,*,#216609,.T.); #304275=ORIENTED_EDGE('',*,*,#216652,.T.); #304276=ORIENTED_EDGE('',*,*,#216608,.T.); #304277=ORIENTED_EDGE('',*,*,#216653,.T.); #304278=ORIENTED_EDGE('',*,*,#216621,.F.); #304279=ORIENTED_EDGE('',*,*,#216646,.F.); #304280=ORIENTED_EDGE('',*,*,#216653,.F.); #304281=ORIENTED_EDGE('',*,*,#216651,.F.); #304282=ORIENTED_EDGE('',*,*,#216622,.F.); #304283=ORIENTED_EDGE('',*,*,#216630,.F.); #304284=ORIENTED_EDGE('',*,*,#216639,.F.); #304285=ORIENTED_EDGE('',*,*,#216636,.F.); #304286=ORIENTED_EDGE('',*,*,#216629,.F.); #304287=ORIENTED_EDGE('',*,*,#216650,.F.); #304288=ORIENTED_EDGE('',*,*,#216649,.F.); #304289=ORIENTED_EDGE('',*,*,#216632,.F.); #304290=ORIENTED_EDGE('',*,*,#216631,.F.); #304291=ORIENTED_EDGE('',*,*,#216643,.F.); #304292=ORIENTED_EDGE('',*,*,#216641,.F.); #304293=ORIENTED_EDGE('',*,*,#216638,.F.); #304294=ORIENTED_EDGE('',*,*,#216637,.F.); #304295=ORIENTED_EDGE('',*,*,#216640,.F.); #304296=ORIENTED_EDGE('',*,*,#216645,.F.); #304297=ORIENTED_EDGE('',*,*,#216647,.F.); #304298=ORIENTED_EDGE('',*,*,#216642,.F.); #304299=ORIENTED_EDGE('',*,*,#216648,.F.); #304300=ORIENTED_EDGE('',*,*,#216652,.F.); #304301=ORIENTED_EDGE('',*,*,#216644,.F.); #304302=ORIENTED_EDGE('',*,*,#216654,.F.); #304303=ORIENTED_EDGE('',*,*,#216655,.F.); #304304=ORIENTED_EDGE('',*,*,#216656,.F.); #304305=ORIENTED_EDGE('',*,*,#216657,.F.); #304306=ORIENTED_EDGE('',*,*,#216658,.F.); #304307=ORIENTED_EDGE('',*,*,#216659,.F.); #304308=ORIENTED_EDGE('',*,*,#216660,.F.); #304309=ORIENTED_EDGE('',*,*,#216661,.F.); #304310=ORIENTED_EDGE('',*,*,#216662,.F.); #304311=ORIENTED_EDGE('',*,*,#216663,.F.); #304312=ORIENTED_EDGE('',*,*,#216664,.F.); #304313=ORIENTED_EDGE('',*,*,#216665,.F.); #304314=ORIENTED_EDGE('',*,*,#216666,.F.); #304315=ORIENTED_EDGE('',*,*,#216667,.F.); #304316=ORIENTED_EDGE('',*,*,#216668,.F.); #304317=ORIENTED_EDGE('',*,*,#216667,.T.); #304318=ORIENTED_EDGE('',*,*,#216669,.T.); #304319=ORIENTED_EDGE('',*,*,#216662,.T.); #304320=ORIENTED_EDGE('',*,*,#216670,.T.); #304321=ORIENTED_EDGE('',*,*,#216671,.F.); #304322=ORIENTED_EDGE('',*,*,#216672,.F.); #304323=ORIENTED_EDGE('',*,*,#216673,.F.); #304324=ORIENTED_EDGE('',*,*,#216674,.F.); #304325=ORIENTED_EDGE('',*,*,#216675,.F.); #304326=ORIENTED_EDGE('',*,*,#216676,.F.); #304327=ORIENTED_EDGE('',*,*,#216661,.T.); #304328=ORIENTED_EDGE('',*,*,#216677,.T.); #304329=ORIENTED_EDGE('',*,*,#216675,.T.); #304330=ORIENTED_EDGE('',*,*,#216678,.T.); #304331=ORIENTED_EDGE('',*,*,#216664,.T.); #304332=ORIENTED_EDGE('',*,*,#216679,.T.); #304333=ORIENTED_EDGE('',*,*,#216676,.T.); #304334=ORIENTED_EDGE('',*,*,#216680,.T.); #304335=ORIENTED_EDGE('',*,*,#216681,.F.); #304336=ORIENTED_EDGE('',*,*,#216682,.F.); #304337=ORIENTED_EDGE('',*,*,#216683,.F.); #304338=ORIENTED_EDGE('',*,*,#216682,.T.); #304339=ORIENTED_EDGE('',*,*,#216684,.T.); #304340=ORIENTED_EDGE('',*,*,#216668,.T.); #304341=ORIENTED_EDGE('',*,*,#216685,.T.); #304342=ORIENTED_EDGE('',*,*,#216674,.T.); #304343=ORIENTED_EDGE('',*,*,#216686,.T.); #304344=ORIENTED_EDGE('',*,*,#216683,.T.); #304345=ORIENTED_EDGE('',*,*,#216687,.T.); #304346=ORIENTED_EDGE('',*,*,#216672,.T.); #304347=ORIENTED_EDGE('',*,*,#216688,.T.); #304348=ORIENTED_EDGE('',*,*,#216681,.T.); #304349=ORIENTED_EDGE('',*,*,#216689,.T.); #304350=ORIENTED_EDGE('',*,*,#216663,.T.); #304351=ORIENTED_EDGE('',*,*,#216690,.T.); #304352=ORIENTED_EDGE('',*,*,#216673,.T.); #304353=ORIENTED_EDGE('',*,*,#216691,.T.); #304354=ORIENTED_EDGE('',*,*,#216671,.T.); #304355=ORIENTED_EDGE('',*,*,#216692,.T.); #304356=ORIENTED_EDGE('',*,*,#216659,.T.); #304357=ORIENTED_EDGE('',*,*,#216693,.T.); #304358=ORIENTED_EDGE('',*,*,#216658,.T.); #304359=ORIENTED_EDGE('',*,*,#216694,.T.); #304360=ORIENTED_EDGE('',*,*,#216666,.T.); #304361=ORIENTED_EDGE('',*,*,#216695,.T.); #304362=ORIENTED_EDGE('',*,*,#216654,.T.); #304363=ORIENTED_EDGE('',*,*,#216696,.T.); #304364=ORIENTED_EDGE('',*,*,#216665,.T.); #304365=ORIENTED_EDGE('',*,*,#216697,.T.); #304366=ORIENTED_EDGE('',*,*,#216655,.T.); #304367=ORIENTED_EDGE('',*,*,#216698,.T.); #304368=ORIENTED_EDGE('',*,*,#216660,.T.); #304369=ORIENTED_EDGE('',*,*,#216699,.T.); #304370=ORIENTED_EDGE('',*,*,#216657,.T.); #304371=ORIENTED_EDGE('',*,*,#216700,.T.); #304372=ORIENTED_EDGE('',*,*,#216656,.T.); #304373=ORIENTED_EDGE('',*,*,#216701,.T.); #304374=ORIENTED_EDGE('',*,*,#216669,.F.); #304375=ORIENTED_EDGE('',*,*,#216694,.F.); #304376=ORIENTED_EDGE('',*,*,#216701,.F.); #304377=ORIENTED_EDGE('',*,*,#216699,.F.); #304378=ORIENTED_EDGE('',*,*,#216670,.F.); #304379=ORIENTED_EDGE('',*,*,#216678,.F.); #304380=ORIENTED_EDGE('',*,*,#216687,.F.); #304381=ORIENTED_EDGE('',*,*,#216685,.F.); #304382=ORIENTED_EDGE('',*,*,#216677,.F.); #304383=ORIENTED_EDGE('',*,*,#216698,.F.); #304384=ORIENTED_EDGE('',*,*,#216697,.F.); #304385=ORIENTED_EDGE('',*,*,#216680,.F.); #304386=ORIENTED_EDGE('',*,*,#216679,.F.); #304387=ORIENTED_EDGE('',*,*,#216691,.F.); #304388=ORIENTED_EDGE('',*,*,#216689,.F.); #304389=ORIENTED_EDGE('',*,*,#216686,.F.); #304390=ORIENTED_EDGE('',*,*,#216684,.F.); #304391=ORIENTED_EDGE('',*,*,#216688,.F.); #304392=ORIENTED_EDGE('',*,*,#216693,.F.); #304393=ORIENTED_EDGE('',*,*,#216695,.F.); #304394=ORIENTED_EDGE('',*,*,#216690,.F.); #304395=ORIENTED_EDGE('',*,*,#216696,.F.); #304396=ORIENTED_EDGE('',*,*,#216700,.F.); #304397=ORIENTED_EDGE('',*,*,#216692,.F.); #304398=ORIENTED_EDGE('',*,*,#216702,.F.); #304399=ORIENTED_EDGE('',*,*,#216703,.T.); #304400=ORIENTED_EDGE('',*,*,#216704,.F.); #304401=ORIENTED_EDGE('',*,*,#216705,.F.); #304402=ORIENTED_EDGE('',*,*,#216706,.T.); #304403=ORIENTED_EDGE('',*,*,#216705,.T.); #304404=ORIENTED_EDGE('',*,*,#216707,.F.); #304405=ORIENTED_EDGE('',*,*,#216708,.F.); #304406=ORIENTED_EDGE('',*,*,#216709,.T.); #304407=ORIENTED_EDGE('',*,*,#216708,.T.); #304408=ORIENTED_EDGE('',*,*,#216710,.F.); #304409=ORIENTED_EDGE('',*,*,#216711,.F.); #304410=ORIENTED_EDGE('',*,*,#216712,.T.); #304411=ORIENTED_EDGE('',*,*,#216711,.T.); #304412=ORIENTED_EDGE('',*,*,#216713,.F.); #304413=ORIENTED_EDGE('',*,*,#216703,.F.); #304414=ORIENTED_EDGE('',*,*,#216713,.T.); #304415=ORIENTED_EDGE('',*,*,#216710,.T.); #304416=ORIENTED_EDGE('',*,*,#216707,.T.); #304417=ORIENTED_EDGE('',*,*,#216704,.T.); #304418=ORIENTED_EDGE('',*,*,#216712,.F.); #304419=ORIENTED_EDGE('',*,*,#216702,.T.); #304420=ORIENTED_EDGE('',*,*,#216706,.F.); #304421=ORIENTED_EDGE('',*,*,#216709,.F.); #304422=ORIENTED_EDGE('',*,*,#216714,.F.); #304423=ORIENTED_EDGE('',*,*,#216715,.F.); #304424=ORIENTED_EDGE('',*,*,#216716,.F.); #304425=ORIENTED_EDGE('',*,*,#216717,.F.); #304426=ORIENTED_EDGE('',*,*,#216718,.F.); #304427=ORIENTED_EDGE('',*,*,#216719,.F.); #304428=ORIENTED_EDGE('',*,*,#216720,.F.); #304429=ORIENTED_EDGE('',*,*,#216721,.F.); #304430=ORIENTED_EDGE('',*,*,#216722,.F.); #304431=ORIENTED_EDGE('',*,*,#216723,.F.); #304432=ORIENTED_EDGE('',*,*,#216724,.F.); #304433=ORIENTED_EDGE('',*,*,#216725,.F.); #304434=ORIENTED_EDGE('',*,*,#216726,.F.); #304435=ORIENTED_EDGE('',*,*,#216727,.F.); #304436=ORIENTED_EDGE('',*,*,#216728,.F.); #304437=ORIENTED_EDGE('',*,*,#216727,.T.); #304438=ORIENTED_EDGE('',*,*,#216729,.T.); #304439=ORIENTED_EDGE('',*,*,#216722,.T.); #304440=ORIENTED_EDGE('',*,*,#216730,.T.); #304441=ORIENTED_EDGE('',*,*,#216731,.F.); #304442=ORIENTED_EDGE('',*,*,#216732,.F.); #304443=ORIENTED_EDGE('',*,*,#216733,.F.); #304444=ORIENTED_EDGE('',*,*,#216734,.F.); #304445=ORIENTED_EDGE('',*,*,#216735,.F.); #304446=ORIENTED_EDGE('',*,*,#216736,.F.); #304447=ORIENTED_EDGE('',*,*,#216721,.T.); #304448=ORIENTED_EDGE('',*,*,#216737,.T.); #304449=ORIENTED_EDGE('',*,*,#216735,.T.); #304450=ORIENTED_EDGE('',*,*,#216738,.T.); #304451=ORIENTED_EDGE('',*,*,#216724,.T.); #304452=ORIENTED_EDGE('',*,*,#216739,.T.); #304453=ORIENTED_EDGE('',*,*,#216736,.T.); #304454=ORIENTED_EDGE('',*,*,#216740,.T.); #304455=ORIENTED_EDGE('',*,*,#216741,.F.); #304456=ORIENTED_EDGE('',*,*,#216742,.F.); #304457=ORIENTED_EDGE('',*,*,#216743,.F.); #304458=ORIENTED_EDGE('',*,*,#216728,.T.); #304459=ORIENTED_EDGE('',*,*,#216744,.T.); #304460=ORIENTED_EDGE('',*,*,#216742,.T.); #304461=ORIENTED_EDGE('',*,*,#216745,.T.); #304462=ORIENTED_EDGE('',*,*,#216734,.T.); #304463=ORIENTED_EDGE('',*,*,#216746,.T.); #304464=ORIENTED_EDGE('',*,*,#216743,.T.); #304465=ORIENTED_EDGE('',*,*,#216747,.T.); #304466=ORIENTED_EDGE('',*,*,#216732,.T.); #304467=ORIENTED_EDGE('',*,*,#216748,.T.); #304468=ORIENTED_EDGE('',*,*,#216741,.T.); #304469=ORIENTED_EDGE('',*,*,#216749,.T.); #304470=ORIENTED_EDGE('',*,*,#216723,.T.); #304471=ORIENTED_EDGE('',*,*,#216750,.T.); #304472=ORIENTED_EDGE('',*,*,#216733,.T.); #304473=ORIENTED_EDGE('',*,*,#216751,.T.); #304474=ORIENTED_EDGE('',*,*,#216731,.T.); #304475=ORIENTED_EDGE('',*,*,#216752,.T.); #304476=ORIENTED_EDGE('',*,*,#216719,.T.); #304477=ORIENTED_EDGE('',*,*,#216753,.T.); #304478=ORIENTED_EDGE('',*,*,#216718,.T.); #304479=ORIENTED_EDGE('',*,*,#216754,.T.); #304480=ORIENTED_EDGE('',*,*,#216726,.T.); #304481=ORIENTED_EDGE('',*,*,#216755,.T.); #304482=ORIENTED_EDGE('',*,*,#216714,.T.); #304483=ORIENTED_EDGE('',*,*,#216756,.T.); #304484=ORIENTED_EDGE('',*,*,#216725,.T.); #304485=ORIENTED_EDGE('',*,*,#216757,.T.); #304486=ORIENTED_EDGE('',*,*,#216715,.T.); #304487=ORIENTED_EDGE('',*,*,#216758,.T.); #304488=ORIENTED_EDGE('',*,*,#216720,.T.); #304489=ORIENTED_EDGE('',*,*,#216759,.T.); #304490=ORIENTED_EDGE('',*,*,#216717,.T.); #304491=ORIENTED_EDGE('',*,*,#216760,.T.); #304492=ORIENTED_EDGE('',*,*,#216716,.T.); #304493=ORIENTED_EDGE('',*,*,#216761,.T.); #304494=ORIENTED_EDGE('',*,*,#216729,.F.); #304495=ORIENTED_EDGE('',*,*,#216754,.F.); #304496=ORIENTED_EDGE('',*,*,#216761,.F.); #304497=ORIENTED_EDGE('',*,*,#216759,.F.); #304498=ORIENTED_EDGE('',*,*,#216730,.F.); #304499=ORIENTED_EDGE('',*,*,#216738,.F.); #304500=ORIENTED_EDGE('',*,*,#216747,.F.); #304501=ORIENTED_EDGE('',*,*,#216744,.F.); #304502=ORIENTED_EDGE('',*,*,#216737,.F.); #304503=ORIENTED_EDGE('',*,*,#216758,.F.); #304504=ORIENTED_EDGE('',*,*,#216757,.F.); #304505=ORIENTED_EDGE('',*,*,#216740,.F.); #304506=ORIENTED_EDGE('',*,*,#216739,.F.); #304507=ORIENTED_EDGE('',*,*,#216751,.F.); #304508=ORIENTED_EDGE('',*,*,#216749,.F.); #304509=ORIENTED_EDGE('',*,*,#216746,.F.); #304510=ORIENTED_EDGE('',*,*,#216745,.F.); #304511=ORIENTED_EDGE('',*,*,#216748,.F.); #304512=ORIENTED_EDGE('',*,*,#216753,.F.); #304513=ORIENTED_EDGE('',*,*,#216755,.F.); #304514=ORIENTED_EDGE('',*,*,#216750,.F.); #304515=ORIENTED_EDGE('',*,*,#216756,.F.); #304516=ORIENTED_EDGE('',*,*,#216760,.F.); #304517=ORIENTED_EDGE('',*,*,#216752,.F.); #304518=ORIENTED_EDGE('',*,*,#216762,.F.); #304519=ORIENTED_EDGE('',*,*,#216763,.F.); #304520=ORIENTED_EDGE('',*,*,#216764,.F.); #304521=ORIENTED_EDGE('',*,*,#216765,.F.); #304522=ORIENTED_EDGE('',*,*,#216766,.F.); #304523=ORIENTED_EDGE('',*,*,#216767,.F.); #304524=ORIENTED_EDGE('',*,*,#216768,.F.); #304525=ORIENTED_EDGE('',*,*,#216769,.F.); #304526=ORIENTED_EDGE('',*,*,#216770,.F.); #304527=ORIENTED_EDGE('',*,*,#216771,.F.); #304528=ORIENTED_EDGE('',*,*,#216772,.F.); #304529=ORIENTED_EDGE('',*,*,#216773,.F.); #304530=ORIENTED_EDGE('',*,*,#216774,.F.); #304531=ORIENTED_EDGE('',*,*,#216775,.F.); #304532=ORIENTED_EDGE('',*,*,#216776,.F.); #304533=ORIENTED_EDGE('',*,*,#216775,.T.); #304534=ORIENTED_EDGE('',*,*,#216777,.T.); #304535=ORIENTED_EDGE('',*,*,#216770,.T.); #304536=ORIENTED_EDGE('',*,*,#216778,.T.); #304537=ORIENTED_EDGE('',*,*,#216779,.F.); #304538=ORIENTED_EDGE('',*,*,#216780,.F.); #304539=ORIENTED_EDGE('',*,*,#216781,.F.); #304540=ORIENTED_EDGE('',*,*,#216782,.F.); #304541=ORIENTED_EDGE('',*,*,#216783,.F.); #304542=ORIENTED_EDGE('',*,*,#216784,.F.); #304543=ORIENTED_EDGE('',*,*,#216769,.T.); #304544=ORIENTED_EDGE('',*,*,#216785,.T.); #304545=ORIENTED_EDGE('',*,*,#216783,.T.); #304546=ORIENTED_EDGE('',*,*,#216786,.T.); #304547=ORIENTED_EDGE('',*,*,#216772,.T.); #304548=ORIENTED_EDGE('',*,*,#216787,.T.); #304549=ORIENTED_EDGE('',*,*,#216784,.T.); #304550=ORIENTED_EDGE('',*,*,#216788,.T.); #304551=ORIENTED_EDGE('',*,*,#216789,.F.); #304552=ORIENTED_EDGE('',*,*,#216790,.F.); #304553=ORIENTED_EDGE('',*,*,#216791,.F.); #304554=ORIENTED_EDGE('',*,*,#216790,.T.); #304555=ORIENTED_EDGE('',*,*,#216792,.T.); #304556=ORIENTED_EDGE('',*,*,#216776,.T.); #304557=ORIENTED_EDGE('',*,*,#216793,.T.); #304558=ORIENTED_EDGE('',*,*,#216782,.T.); #304559=ORIENTED_EDGE('',*,*,#216794,.T.); #304560=ORIENTED_EDGE('',*,*,#216791,.T.); #304561=ORIENTED_EDGE('',*,*,#216795,.T.); #304562=ORIENTED_EDGE('',*,*,#216780,.T.); #304563=ORIENTED_EDGE('',*,*,#216796,.T.); #304564=ORIENTED_EDGE('',*,*,#216789,.T.); #304565=ORIENTED_EDGE('',*,*,#216797,.T.); #304566=ORIENTED_EDGE('',*,*,#216771,.T.); #304567=ORIENTED_EDGE('',*,*,#216798,.T.); #304568=ORIENTED_EDGE('',*,*,#216781,.T.); #304569=ORIENTED_EDGE('',*,*,#216799,.T.); #304570=ORIENTED_EDGE('',*,*,#216779,.T.); #304571=ORIENTED_EDGE('',*,*,#216800,.T.); #304572=ORIENTED_EDGE('',*,*,#216767,.T.); #304573=ORIENTED_EDGE('',*,*,#216801,.T.); #304574=ORIENTED_EDGE('',*,*,#216766,.T.); #304575=ORIENTED_EDGE('',*,*,#216802,.T.); #304576=ORIENTED_EDGE('',*,*,#216774,.T.); #304577=ORIENTED_EDGE('',*,*,#216803,.T.); #304578=ORIENTED_EDGE('',*,*,#216762,.T.); #304579=ORIENTED_EDGE('',*,*,#216804,.T.); #304580=ORIENTED_EDGE('',*,*,#216773,.T.); #304581=ORIENTED_EDGE('',*,*,#216805,.T.); #304582=ORIENTED_EDGE('',*,*,#216763,.T.); #304583=ORIENTED_EDGE('',*,*,#216806,.T.); #304584=ORIENTED_EDGE('',*,*,#216768,.T.); #304585=ORIENTED_EDGE('',*,*,#216807,.T.); #304586=ORIENTED_EDGE('',*,*,#216765,.T.); #304587=ORIENTED_EDGE('',*,*,#216808,.T.); #304588=ORIENTED_EDGE('',*,*,#216764,.T.); #304589=ORIENTED_EDGE('',*,*,#216809,.T.); #304590=ORIENTED_EDGE('',*,*,#216777,.F.); #304591=ORIENTED_EDGE('',*,*,#216802,.F.); #304592=ORIENTED_EDGE('',*,*,#216809,.F.); #304593=ORIENTED_EDGE('',*,*,#216807,.F.); #304594=ORIENTED_EDGE('',*,*,#216778,.F.); #304595=ORIENTED_EDGE('',*,*,#216786,.F.); #304596=ORIENTED_EDGE('',*,*,#216795,.F.); #304597=ORIENTED_EDGE('',*,*,#216793,.F.); #304598=ORIENTED_EDGE('',*,*,#216785,.F.); #304599=ORIENTED_EDGE('',*,*,#216806,.F.); #304600=ORIENTED_EDGE('',*,*,#216805,.F.); #304601=ORIENTED_EDGE('',*,*,#216788,.F.); #304602=ORIENTED_EDGE('',*,*,#216787,.F.); #304603=ORIENTED_EDGE('',*,*,#216799,.F.); #304604=ORIENTED_EDGE('',*,*,#216797,.F.); #304605=ORIENTED_EDGE('',*,*,#216794,.F.); #304606=ORIENTED_EDGE('',*,*,#216792,.F.); #304607=ORIENTED_EDGE('',*,*,#216796,.F.); #304608=ORIENTED_EDGE('',*,*,#216801,.F.); #304609=ORIENTED_EDGE('',*,*,#216803,.F.); #304610=ORIENTED_EDGE('',*,*,#216798,.F.); #304611=ORIENTED_EDGE('',*,*,#216804,.F.); #304612=ORIENTED_EDGE('',*,*,#216808,.F.); #304613=ORIENTED_EDGE('',*,*,#216800,.F.); #304614=ORIENTED_EDGE('',*,*,#216810,.F.); #304615=ORIENTED_EDGE('',*,*,#216811,.T.); #304616=ORIENTED_EDGE('',*,*,#216812,.F.); #304617=ORIENTED_EDGE('',*,*,#216813,.F.); #304618=ORIENTED_EDGE('',*,*,#216814,.T.); #304619=ORIENTED_EDGE('',*,*,#216813,.T.); #304620=ORIENTED_EDGE('',*,*,#216815,.F.); #304621=ORIENTED_EDGE('',*,*,#216816,.F.); #304622=ORIENTED_EDGE('',*,*,#216817,.T.); #304623=ORIENTED_EDGE('',*,*,#216816,.T.); #304624=ORIENTED_EDGE('',*,*,#216818,.F.); #304625=ORIENTED_EDGE('',*,*,#216819,.F.); #304626=ORIENTED_EDGE('',*,*,#216820,.T.); #304627=ORIENTED_EDGE('',*,*,#216819,.T.); #304628=ORIENTED_EDGE('',*,*,#216821,.F.); #304629=ORIENTED_EDGE('',*,*,#216811,.F.); #304630=ORIENTED_EDGE('',*,*,#216821,.T.); #304631=ORIENTED_EDGE('',*,*,#216818,.T.); #304632=ORIENTED_EDGE('',*,*,#216815,.T.); #304633=ORIENTED_EDGE('',*,*,#216812,.T.); #304634=ORIENTED_EDGE('',*,*,#216820,.F.); #304635=ORIENTED_EDGE('',*,*,#216810,.T.); #304636=ORIENTED_EDGE('',*,*,#216814,.F.); #304637=ORIENTED_EDGE('',*,*,#216817,.F.); #304638=ORIENTED_EDGE('',*,*,#216822,.F.); #304639=ORIENTED_EDGE('',*,*,#216823,.T.); #304640=ORIENTED_EDGE('',*,*,#216824,.T.); #304641=ORIENTED_EDGE('',*,*,#216823,.F.); #304642=ORIENTED_EDGE('',*,*,#216824,.F.); #304643=ORIENTED_EDGE('',*,*,#216825,.F.); #304644=ORIENTED_EDGE('',*,*,#216826,.F.); #304645=ORIENTED_EDGE('',*,*,#216827,.T.); #304646=ORIENTED_EDGE('',*,*,#216825,.T.); #304647=ORIENTED_EDGE('',*,*,#216827,.F.); #304648=ORIENTED_EDGE('',*,*,#216828,.F.); #304649=ORIENTED_EDGE('',*,*,#216829,.T.); #304650=ORIENTED_EDGE('',*,*,#216826,.T.); #304651=ORIENTED_EDGE('',*,*,#216829,.F.); #304652=ORIENTED_EDGE('',*,*,#216830,.F.); #304653=ORIENTED_EDGE('',*,*,#216831,.T.); #304654=ORIENTED_EDGE('',*,*,#216828,.T.); #304655=ORIENTED_EDGE('',*,*,#216831,.F.); #304656=ORIENTED_EDGE('',*,*,#216830,.T.); #304657=ORIENTED_EDGE('',*,*,#216832,.T.); #304658=ORIENTED_EDGE('',*,*,#216833,.F.); #304659=ORIENTED_EDGE('',*,*,#216832,.F.); #304660=ORIENTED_EDGE('',*,*,#216834,.F.); #304661=ORIENTED_EDGE('',*,*,#216835,.T.); #304662=ORIENTED_EDGE('',*,*,#216833,.T.); #304663=ORIENTED_EDGE('',*,*,#216835,.F.); #304664=ORIENTED_EDGE('',*,*,#216836,.F.); #304665=ORIENTED_EDGE('',*,*,#216837,.T.); #304666=ORIENTED_EDGE('',*,*,#216834,.T.); #304667=ORIENTED_EDGE('',*,*,#216837,.F.); #304668=ORIENTED_EDGE('',*,*,#216838,.F.); #304669=ORIENTED_EDGE('',*,*,#216839,.T.); #304670=ORIENTED_EDGE('',*,*,#216836,.T.); #304671=ORIENTED_EDGE('',*,*,#216839,.F.); #304672=ORIENTED_EDGE('',*,*,#216838,.T.); #304673=ORIENTED_EDGE('',*,*,#216822,.T.); #304674=ORIENTED_EDGE('',*,*,#216840,.T.); #304675=ORIENTED_EDGE('',*,*,#216841,.T.); #304676=ORIENTED_EDGE('',*,*,#216842,.T.); #304677=ORIENTED_EDGE('',*,*,#216843,.T.); #304678=ORIENTED_EDGE('',*,*,#216844,.T.); #304679=ORIENTED_EDGE('',*,*,#216845,.T.); #304680=ORIENTED_EDGE('',*,*,#216846,.T.); #304681=ORIENTED_EDGE('',*,*,#216847,.T.); #304682=ORIENTED_EDGE('',*,*,#216848,.T.); #304683=ORIENTED_EDGE('',*,*,#216849,.T.); #304684=ORIENTED_EDGE('',*,*,#216850,.T.); #304685=ORIENTED_EDGE('',*,*,#216851,.T.); #304686=ORIENTED_EDGE('',*,*,#216852,.T.); #304687=ORIENTED_EDGE('',*,*,#216853,.T.); #304688=ORIENTED_EDGE('',*,*,#216854,.T.); #304689=ORIENTED_EDGE('',*,*,#216855,.T.); #304690=ORIENTED_EDGE('',*,*,#216856,.F.); #304691=ORIENTED_EDGE('',*,*,#216857,.F.); #304692=ORIENTED_EDGE('',*,*,#216858,.F.); #304693=ORIENTED_EDGE('',*,*,#216841,.F.); #304694=ORIENTED_EDGE('',*,*,#216858,.T.); #304695=ORIENTED_EDGE('',*,*,#216859,.F.); #304696=ORIENTED_EDGE('',*,*,#216860,.F.); #304697=ORIENTED_EDGE('',*,*,#216842,.F.); #304698=ORIENTED_EDGE('',*,*,#216860,.T.); #304699=ORIENTED_EDGE('',*,*,#216861,.F.); #304700=ORIENTED_EDGE('',*,*,#216862,.F.); #304701=ORIENTED_EDGE('',*,*,#216843,.F.); #304702=ORIENTED_EDGE('',*,*,#216862,.T.); #304703=ORIENTED_EDGE('',*,*,#216863,.F.); #304704=ORIENTED_EDGE('',*,*,#216864,.F.); #304705=ORIENTED_EDGE('',*,*,#216844,.F.); #304706=ORIENTED_EDGE('',*,*,#216864,.T.); #304707=ORIENTED_EDGE('',*,*,#216865,.F.); #304708=ORIENTED_EDGE('',*,*,#216866,.F.); #304709=ORIENTED_EDGE('',*,*,#216845,.F.); #304710=ORIENTED_EDGE('',*,*,#216866,.T.); #304711=ORIENTED_EDGE('',*,*,#216867,.F.); #304712=ORIENTED_EDGE('',*,*,#216868,.F.); #304713=ORIENTED_EDGE('',*,*,#216846,.F.); #304714=ORIENTED_EDGE('',*,*,#216868,.T.); #304715=ORIENTED_EDGE('',*,*,#216869,.F.); #304716=ORIENTED_EDGE('',*,*,#216870,.F.); #304717=ORIENTED_EDGE('',*,*,#216847,.F.); #304718=ORIENTED_EDGE('',*,*,#216870,.T.); #304719=ORIENTED_EDGE('',*,*,#216871,.F.); #304720=ORIENTED_EDGE('',*,*,#216872,.F.); #304721=ORIENTED_EDGE('',*,*,#216848,.F.); #304722=ORIENTED_EDGE('',*,*,#216872,.T.); #304723=ORIENTED_EDGE('',*,*,#216873,.F.); #304724=ORIENTED_EDGE('',*,*,#216874,.F.); #304725=ORIENTED_EDGE('',*,*,#216849,.F.); #304726=ORIENTED_EDGE('',*,*,#216874,.T.); #304727=ORIENTED_EDGE('',*,*,#216875,.F.); #304728=ORIENTED_EDGE('',*,*,#216876,.F.); #304729=ORIENTED_EDGE('',*,*,#216850,.F.); #304730=ORIENTED_EDGE('',*,*,#216876,.T.); #304731=ORIENTED_EDGE('',*,*,#216877,.F.); #304732=ORIENTED_EDGE('',*,*,#216878,.F.); #304733=ORIENTED_EDGE('',*,*,#216851,.F.); #304734=ORIENTED_EDGE('',*,*,#216878,.T.); #304735=ORIENTED_EDGE('',*,*,#216879,.F.); #304736=ORIENTED_EDGE('',*,*,#216880,.F.); #304737=ORIENTED_EDGE('',*,*,#216852,.F.); #304738=ORIENTED_EDGE('',*,*,#216880,.T.); #304739=ORIENTED_EDGE('',*,*,#216881,.F.); #304740=ORIENTED_EDGE('',*,*,#216882,.F.); #304741=ORIENTED_EDGE('',*,*,#216853,.F.); #304742=ORIENTED_EDGE('',*,*,#216882,.T.); #304743=ORIENTED_EDGE('',*,*,#216883,.F.); #304744=ORIENTED_EDGE('',*,*,#216884,.F.); #304745=ORIENTED_EDGE('',*,*,#216854,.F.); #304746=ORIENTED_EDGE('',*,*,#216884,.T.); #304747=ORIENTED_EDGE('',*,*,#216885,.F.); #304748=ORIENTED_EDGE('',*,*,#216886,.F.); #304749=ORIENTED_EDGE('',*,*,#216855,.F.); #304750=ORIENTED_EDGE('',*,*,#216886,.T.); #304751=ORIENTED_EDGE('',*,*,#216887,.F.); #304752=ORIENTED_EDGE('',*,*,#216856,.T.); #304753=ORIENTED_EDGE('',*,*,#216840,.F.); #304754=ORIENTED_EDGE('',*,*,#216887,.T.); #304755=ORIENTED_EDGE('',*,*,#216885,.T.); #304756=ORIENTED_EDGE('',*,*,#216883,.T.); #304757=ORIENTED_EDGE('',*,*,#216881,.T.); #304758=ORIENTED_EDGE('',*,*,#216879,.T.); #304759=ORIENTED_EDGE('',*,*,#216877,.T.); #304760=ORIENTED_EDGE('',*,*,#216875,.T.); #304761=ORIENTED_EDGE('',*,*,#216873,.T.); #304762=ORIENTED_EDGE('',*,*,#216871,.T.); #304763=ORIENTED_EDGE('',*,*,#216869,.T.); #304764=ORIENTED_EDGE('',*,*,#216867,.T.); #304765=ORIENTED_EDGE('',*,*,#216865,.T.); #304766=ORIENTED_EDGE('',*,*,#216863,.T.); #304767=ORIENTED_EDGE('',*,*,#216861,.T.); #304768=ORIENTED_EDGE('',*,*,#216859,.T.); #304769=ORIENTED_EDGE('',*,*,#216857,.T.); #304770=ORIENTED_EDGE('',*,*,#216888,.F.); #304771=ORIENTED_EDGE('',*,*,#216889,.T.); #304772=ORIENTED_EDGE('',*,*,#216890,.F.); #304773=ORIENTED_EDGE('',*,*,#216889,.F.); #304774=ORIENTED_EDGE('',*,*,#216890,.T.); #304775=ORIENTED_EDGE('',*,*,#216888,.T.); #304776=ORIENTED_EDGE('',*,*,#216891,.T.); #304777=ORIENTED_EDGE('',*,*,#216892,.T.); #304778=ORIENTED_EDGE('',*,*,#216893,.T.); #304779=ORIENTED_EDGE('',*,*,#216892,.F.); #304780=ORIENTED_EDGE('',*,*,#216893,.F.); #304781=ORIENTED_EDGE('',*,*,#216891,.F.); #304782=ORIENTED_EDGE('',*,*,#216894,.T.); #304783=ORIENTED_EDGE('',*,*,#216895,.T.); #304784=ORIENTED_EDGE('',*,*,#216896,.T.); #304785=ORIENTED_EDGE('',*,*,#216897,.T.); #304786=ORIENTED_EDGE('',*,*,#216898,.F.); #304787=ORIENTED_EDGE('',*,*,#216899,.F.); #304788=ORIENTED_EDGE('',*,*,#216900,.F.); #304789=ORIENTED_EDGE('',*,*,#216895,.F.); #304790=ORIENTED_EDGE('',*,*,#216900,.T.); #304791=ORIENTED_EDGE('',*,*,#216901,.F.); #304792=ORIENTED_EDGE('',*,*,#216902,.F.); #304793=ORIENTED_EDGE('',*,*,#216896,.F.); #304794=ORIENTED_EDGE('',*,*,#216902,.T.); #304795=ORIENTED_EDGE('',*,*,#216903,.F.); #304796=ORIENTED_EDGE('',*,*,#216904,.F.); #304797=ORIENTED_EDGE('',*,*,#216897,.F.); #304798=ORIENTED_EDGE('',*,*,#216904,.T.); #304799=ORIENTED_EDGE('',*,*,#216905,.F.); #304800=ORIENTED_EDGE('',*,*,#216898,.T.); #304801=ORIENTED_EDGE('',*,*,#216894,.F.); #304802=ORIENTED_EDGE('',*,*,#216905,.T.); #304803=ORIENTED_EDGE('',*,*,#216903,.T.); #304804=ORIENTED_EDGE('',*,*,#216901,.T.); #304805=ORIENTED_EDGE('',*,*,#216899,.T.); #304806=ORIENTED_EDGE('',*,*,#216906,.T.); #304807=ORIENTED_EDGE('',*,*,#216907,.T.); #304808=ORIENTED_EDGE('',*,*,#216908,.T.); #304809=ORIENTED_EDGE('',*,*,#216909,.T.); #304810=ORIENTED_EDGE('',*,*,#216910,.F.); #304811=ORIENTED_EDGE('',*,*,#216911,.F.); #304812=ORIENTED_EDGE('',*,*,#216912,.F.); #304813=ORIENTED_EDGE('',*,*,#216907,.F.); #304814=ORIENTED_EDGE('',*,*,#216912,.T.); #304815=ORIENTED_EDGE('',*,*,#216913,.F.); #304816=ORIENTED_EDGE('',*,*,#216914,.F.); #304817=ORIENTED_EDGE('',*,*,#216908,.F.); #304818=ORIENTED_EDGE('',*,*,#216914,.T.); #304819=ORIENTED_EDGE('',*,*,#216915,.F.); #304820=ORIENTED_EDGE('',*,*,#216916,.F.); #304821=ORIENTED_EDGE('',*,*,#216909,.F.); #304822=ORIENTED_EDGE('',*,*,#216916,.T.); #304823=ORIENTED_EDGE('',*,*,#216917,.F.); #304824=ORIENTED_EDGE('',*,*,#216910,.T.); #304825=ORIENTED_EDGE('',*,*,#216906,.F.); #304826=ORIENTED_EDGE('',*,*,#216917,.T.); #304827=ORIENTED_EDGE('',*,*,#216915,.T.); #304828=ORIENTED_EDGE('',*,*,#216913,.T.); #304829=ORIENTED_EDGE('',*,*,#216911,.T.); #304830=ORIENTED_EDGE('',*,*,#216918,.T.); #304831=ORIENTED_EDGE('',*,*,#216919,.T.); #304832=ORIENTED_EDGE('',*,*,#216920,.T.); #304833=ORIENTED_EDGE('',*,*,#216921,.T.); #304834=ORIENTED_EDGE('',*,*,#216922,.F.); #304835=ORIENTED_EDGE('',*,*,#216923,.F.); #304836=ORIENTED_EDGE('',*,*,#216924,.F.); #304837=ORIENTED_EDGE('',*,*,#216919,.F.); #304838=ORIENTED_EDGE('',*,*,#216924,.T.); #304839=ORIENTED_EDGE('',*,*,#216925,.F.); #304840=ORIENTED_EDGE('',*,*,#216926,.F.); #304841=ORIENTED_EDGE('',*,*,#216920,.F.); #304842=ORIENTED_EDGE('',*,*,#216926,.T.); #304843=ORIENTED_EDGE('',*,*,#216927,.F.); #304844=ORIENTED_EDGE('',*,*,#216928,.F.); #304845=ORIENTED_EDGE('',*,*,#216921,.F.); #304846=ORIENTED_EDGE('',*,*,#216928,.T.); #304847=ORIENTED_EDGE('',*,*,#216929,.F.); #304848=ORIENTED_EDGE('',*,*,#216922,.T.); #304849=ORIENTED_EDGE('',*,*,#216918,.F.); #304850=ORIENTED_EDGE('',*,*,#216929,.T.); #304851=ORIENTED_EDGE('',*,*,#216927,.T.); #304852=ORIENTED_EDGE('',*,*,#216925,.T.); #304853=ORIENTED_EDGE('',*,*,#216923,.T.); #304854=ORIENTED_EDGE('',*,*,#216930,.T.); #304855=ORIENTED_EDGE('',*,*,#216931,.T.); #304856=ORIENTED_EDGE('',*,*,#216932,.T.); #304857=ORIENTED_EDGE('',*,*,#216933,.T.); #304858=ORIENTED_EDGE('',*,*,#216934,.F.); #304859=ORIENTED_EDGE('',*,*,#216935,.F.); #304860=ORIENTED_EDGE('',*,*,#216936,.F.); #304861=ORIENTED_EDGE('',*,*,#216931,.F.); #304862=ORIENTED_EDGE('',*,*,#216936,.T.); #304863=ORIENTED_EDGE('',*,*,#216937,.F.); #304864=ORIENTED_EDGE('',*,*,#216938,.F.); #304865=ORIENTED_EDGE('',*,*,#216932,.F.); #304866=ORIENTED_EDGE('',*,*,#216938,.T.); #304867=ORIENTED_EDGE('',*,*,#216939,.F.); #304868=ORIENTED_EDGE('',*,*,#216940,.F.); #304869=ORIENTED_EDGE('',*,*,#216933,.F.); #304870=ORIENTED_EDGE('',*,*,#216940,.T.); #304871=ORIENTED_EDGE('',*,*,#216941,.F.); #304872=ORIENTED_EDGE('',*,*,#216934,.T.); #304873=ORIENTED_EDGE('',*,*,#216930,.F.); #304874=ORIENTED_EDGE('',*,*,#216941,.T.); #304875=ORIENTED_EDGE('',*,*,#216939,.T.); #304876=ORIENTED_EDGE('',*,*,#216937,.T.); #304877=ORIENTED_EDGE('',*,*,#216935,.T.); #304878=ORIENTED_EDGE('',*,*,#216942,.T.); #304879=ORIENTED_EDGE('',*,*,#216943,.T.); #304880=ORIENTED_EDGE('',*,*,#216944,.T.); #304881=ORIENTED_EDGE('',*,*,#216945,.T.); #304882=ORIENTED_EDGE('',*,*,#216946,.F.); #304883=ORIENTED_EDGE('',*,*,#216947,.F.); #304884=ORIENTED_EDGE('',*,*,#216948,.F.); #304885=ORIENTED_EDGE('',*,*,#216943,.F.); #304886=ORIENTED_EDGE('',*,*,#216948,.T.); #304887=ORIENTED_EDGE('',*,*,#216949,.F.); #304888=ORIENTED_EDGE('',*,*,#216950,.F.); #304889=ORIENTED_EDGE('',*,*,#216944,.F.); #304890=ORIENTED_EDGE('',*,*,#216950,.T.); #304891=ORIENTED_EDGE('',*,*,#216951,.F.); #304892=ORIENTED_EDGE('',*,*,#216952,.F.); #304893=ORIENTED_EDGE('',*,*,#216945,.F.); #304894=ORIENTED_EDGE('',*,*,#216952,.T.); #304895=ORIENTED_EDGE('',*,*,#216953,.F.); #304896=ORIENTED_EDGE('',*,*,#216946,.T.); #304897=ORIENTED_EDGE('',*,*,#216942,.F.); #304898=ORIENTED_EDGE('',*,*,#216953,.T.); #304899=ORIENTED_EDGE('',*,*,#216951,.T.); #304900=ORIENTED_EDGE('',*,*,#216949,.T.); #304901=ORIENTED_EDGE('',*,*,#216947,.T.); #304902=ORIENTED_EDGE('',*,*,#216954,.T.); #304903=ORIENTED_EDGE('',*,*,#216955,.T.); #304904=ORIENTED_EDGE('',*,*,#216956,.T.); #304905=ORIENTED_EDGE('',*,*,#216957,.T.); #304906=ORIENTED_EDGE('',*,*,#216958,.F.); #304907=ORIENTED_EDGE('',*,*,#216959,.F.); #304908=ORIENTED_EDGE('',*,*,#216960,.F.); #304909=ORIENTED_EDGE('',*,*,#216955,.F.); #304910=ORIENTED_EDGE('',*,*,#216960,.T.); #304911=ORIENTED_EDGE('',*,*,#216961,.F.); #304912=ORIENTED_EDGE('',*,*,#216962,.F.); #304913=ORIENTED_EDGE('',*,*,#216956,.F.); #304914=ORIENTED_EDGE('',*,*,#216962,.T.); #304915=ORIENTED_EDGE('',*,*,#216963,.F.); #304916=ORIENTED_EDGE('',*,*,#216964,.F.); #304917=ORIENTED_EDGE('',*,*,#216957,.F.); #304918=ORIENTED_EDGE('',*,*,#216964,.T.); #304919=ORIENTED_EDGE('',*,*,#216965,.F.); #304920=ORIENTED_EDGE('',*,*,#216958,.T.); #304921=ORIENTED_EDGE('',*,*,#216954,.F.); #304922=ORIENTED_EDGE('',*,*,#216965,.T.); #304923=ORIENTED_EDGE('',*,*,#216963,.T.); #304924=ORIENTED_EDGE('',*,*,#216961,.T.); #304925=ORIENTED_EDGE('',*,*,#216959,.T.); #304926=ORIENTED_EDGE('',*,*,#216966,.T.); #304927=ORIENTED_EDGE('',*,*,#216967,.T.); #304928=ORIENTED_EDGE('',*,*,#216968,.T.); #304929=ORIENTED_EDGE('',*,*,#216969,.T.); #304930=ORIENTED_EDGE('',*,*,#216970,.F.); #304931=ORIENTED_EDGE('',*,*,#216971,.F.); #304932=ORIENTED_EDGE('',*,*,#216972,.F.); #304933=ORIENTED_EDGE('',*,*,#216967,.F.); #304934=ORIENTED_EDGE('',*,*,#216972,.T.); #304935=ORIENTED_EDGE('',*,*,#216973,.F.); #304936=ORIENTED_EDGE('',*,*,#216974,.F.); #304937=ORIENTED_EDGE('',*,*,#216968,.F.); #304938=ORIENTED_EDGE('',*,*,#216974,.T.); #304939=ORIENTED_EDGE('',*,*,#216975,.F.); #304940=ORIENTED_EDGE('',*,*,#216976,.F.); #304941=ORIENTED_EDGE('',*,*,#216969,.F.); #304942=ORIENTED_EDGE('',*,*,#216976,.T.); #304943=ORIENTED_EDGE('',*,*,#216977,.F.); #304944=ORIENTED_EDGE('',*,*,#216970,.T.); #304945=ORIENTED_EDGE('',*,*,#216966,.F.); #304946=ORIENTED_EDGE('',*,*,#216977,.T.); #304947=ORIENTED_EDGE('',*,*,#216975,.T.); #304948=ORIENTED_EDGE('',*,*,#216973,.T.); #304949=ORIENTED_EDGE('',*,*,#216971,.T.); #304950=ORIENTED_EDGE('',*,*,#216978,.T.); #304951=ORIENTED_EDGE('',*,*,#216979,.T.); #304952=ORIENTED_EDGE('',*,*,#216980,.T.); #304953=ORIENTED_EDGE('',*,*,#216981,.T.); #304954=ORIENTED_EDGE('',*,*,#216982,.F.); #304955=ORIENTED_EDGE('',*,*,#216983,.F.); #304956=ORIENTED_EDGE('',*,*,#216984,.F.); #304957=ORIENTED_EDGE('',*,*,#216979,.F.); #304958=ORIENTED_EDGE('',*,*,#216984,.T.); #304959=ORIENTED_EDGE('',*,*,#216985,.F.); #304960=ORIENTED_EDGE('',*,*,#216986,.F.); #304961=ORIENTED_EDGE('',*,*,#216980,.F.); #304962=ORIENTED_EDGE('',*,*,#216986,.T.); #304963=ORIENTED_EDGE('',*,*,#216987,.F.); #304964=ORIENTED_EDGE('',*,*,#216988,.F.); #304965=ORIENTED_EDGE('',*,*,#216981,.F.); #304966=ORIENTED_EDGE('',*,*,#216988,.T.); #304967=ORIENTED_EDGE('',*,*,#216989,.F.); #304968=ORIENTED_EDGE('',*,*,#216982,.T.); #304969=ORIENTED_EDGE('',*,*,#216978,.F.); #304970=ORIENTED_EDGE('',*,*,#216989,.T.); #304971=ORIENTED_EDGE('',*,*,#216987,.T.); #304972=ORIENTED_EDGE('',*,*,#216985,.T.); #304973=ORIENTED_EDGE('',*,*,#216983,.T.); #304974=ORIENTED_EDGE('',*,*,#216990,.T.); #304975=ORIENTED_EDGE('',*,*,#216991,.T.); #304976=ORIENTED_EDGE('',*,*,#216992,.T.); #304977=ORIENTED_EDGE('',*,*,#216993,.T.); #304978=ORIENTED_EDGE('',*,*,#216994,.F.); #304979=ORIENTED_EDGE('',*,*,#216995,.F.); #304980=ORIENTED_EDGE('',*,*,#216996,.F.); #304981=ORIENTED_EDGE('',*,*,#216991,.F.); #304982=ORIENTED_EDGE('',*,*,#216996,.T.); #304983=ORIENTED_EDGE('',*,*,#216997,.F.); #304984=ORIENTED_EDGE('',*,*,#216998,.F.); #304985=ORIENTED_EDGE('',*,*,#216992,.F.); #304986=ORIENTED_EDGE('',*,*,#216998,.T.); #304987=ORIENTED_EDGE('',*,*,#216999,.F.); #304988=ORIENTED_EDGE('',*,*,#217000,.F.); #304989=ORIENTED_EDGE('',*,*,#216993,.F.); #304990=ORIENTED_EDGE('',*,*,#217000,.T.); #304991=ORIENTED_EDGE('',*,*,#217001,.F.); #304992=ORIENTED_EDGE('',*,*,#216994,.T.); #304993=ORIENTED_EDGE('',*,*,#216990,.F.); #304994=ORIENTED_EDGE('',*,*,#217001,.T.); #304995=ORIENTED_EDGE('',*,*,#216999,.T.); #304996=ORIENTED_EDGE('',*,*,#216997,.T.); #304997=ORIENTED_EDGE('',*,*,#216995,.T.); #304998=ORIENTED_EDGE('',*,*,#217002,.F.); #304999=ORIENTED_EDGE('',*,*,#217003,.T.); #305000=ORIENTED_EDGE('',*,*,#217004,.T.); #305001=ORIENTED_EDGE('',*,*,#217003,.F.); #305002=ORIENTED_EDGE('',*,*,#217004,.F.); #305003=ORIENTED_EDGE('',*,*,#217005,.T.); #305004=ORIENTED_EDGE('',*,*,#217006,.T.); #305005=ORIENTED_EDGE('',*,*,#217007,.T.); #305006=ORIENTED_EDGE('',*,*,#217008,.T.); #305007=ORIENTED_EDGE('',*,*,#217009,.T.); #305008=ORIENTED_EDGE('',*,*,#217006,.F.); #305009=ORIENTED_EDGE('',*,*,#217010,.T.); #305010=ORIENTED_EDGE('',*,*,#217011,.F.); #305011=ORIENTED_EDGE('',*,*,#217012,.T.); #305012=ORIENTED_EDGE('',*,*,#217008,.F.); #305013=ORIENTED_EDGE('',*,*,#217013,.T.); #305014=ORIENTED_EDGE('',*,*,#217014,.T.); #305015=ORIENTED_EDGE('',*,*,#217015,.T.); #305016=ORIENTED_EDGE('',*,*,#217014,.F.); #305017=ORIENTED_EDGE('',*,*,#217016,.T.); #305018=ORIENTED_EDGE('',*,*,#217011,.T.); #305019=ORIENTED_EDGE('',*,*,#217013,.F.); #305020=ORIENTED_EDGE('',*,*,#217017,.T.); #305021=ORIENTED_EDGE('',*,*,#217018,.F.); #305022=ORIENTED_EDGE('',*,*,#217019,.F.); #305023=ORIENTED_EDGE('',*,*,#217007,.F.); #305024=ORIENTED_EDGE('',*,*,#217020,.T.); #305025=ORIENTED_EDGE('',*,*,#217021,.F.); #305026=ORIENTED_EDGE('',*,*,#217017,.F.); #305027=ORIENTED_EDGE('',*,*,#217005,.F.); #305028=ORIENTED_EDGE('',*,*,#217012,.F.); #305029=ORIENTED_EDGE('',*,*,#217015,.F.); #305030=ORIENTED_EDGE('',*,*,#217010,.F.); #305031=ORIENTED_EDGE('',*,*,#217002,.T.); #305032=ORIENTED_EDGE('',*,*,#217016,.F.); #305033=ORIENTED_EDGE('',*,*,#217019,.T.); #305034=ORIENTED_EDGE('',*,*,#217022,.F.); #305035=ORIENTED_EDGE('',*,*,#217023,.F.); #305036=ORIENTED_EDGE('',*,*,#217009,.F.); #305037=ORIENTED_EDGE('',*,*,#217023,.T.); #305038=ORIENTED_EDGE('',*,*,#217024,.F.); #305039=ORIENTED_EDGE('',*,*,#217020,.F.); #305040=ORIENTED_EDGE('',*,*,#217021,.T.); #305041=ORIENTED_EDGE('',*,*,#217024,.T.); #305042=ORIENTED_EDGE('',*,*,#217022,.T.); #305043=ORIENTED_EDGE('',*,*,#217018,.T.); #305044=ORIENTED_EDGE('',*,*,#217025,.T.); #305045=ORIENTED_EDGE('',*,*,#217026,.T.); #305046=ORIENTED_EDGE('',*,*,#217027,.F.); #305047=ORIENTED_EDGE('',*,*,#217028,.F.); #305048=ORIENTED_EDGE('',*,*,#217029,.T.); #305049=ORIENTED_EDGE('',*,*,#217028,.T.); #305050=ORIENTED_EDGE('',*,*,#217030,.F.); #305051=ORIENTED_EDGE('',*,*,#217031,.F.); #305052=ORIENTED_EDGE('',*,*,#217032,.T.); #305053=ORIENTED_EDGE('',*,*,#217031,.T.); #305054=ORIENTED_EDGE('',*,*,#217033,.F.); #305055=ORIENTED_EDGE('',*,*,#217034,.F.); #305056=ORIENTED_EDGE('',*,*,#217035,.T.); #305057=ORIENTED_EDGE('',*,*,#217034,.T.); #305058=ORIENTED_EDGE('',*,*,#217036,.F.); #305059=ORIENTED_EDGE('',*,*,#217026,.F.); #305060=ORIENTED_EDGE('',*,*,#217036,.T.); #305061=ORIENTED_EDGE('',*,*,#217033,.T.); #305062=ORIENTED_EDGE('',*,*,#217030,.T.); #305063=ORIENTED_EDGE('',*,*,#217027,.T.); #305064=ORIENTED_EDGE('',*,*,#217035,.F.); #305065=ORIENTED_EDGE('',*,*,#217025,.F.); #305066=ORIENTED_EDGE('',*,*,#217029,.F.); #305067=ORIENTED_EDGE('',*,*,#217032,.F.); #305068=ORIENTED_EDGE('',*,*,#217037,.F.); #305069=ORIENTED_EDGE('',*,*,#217038,.T.); #305070=ORIENTED_EDGE('',*,*,#217039,.F.); #305071=ORIENTED_EDGE('',*,*,#217040,.F.); #305072=ORIENTED_EDGE('',*,*,#217041,.T.); #305073=ORIENTED_EDGE('',*,*,#217040,.T.); #305074=ORIENTED_EDGE('',*,*,#217042,.F.); #305075=ORIENTED_EDGE('',*,*,#217043,.F.); #305076=ORIENTED_EDGE('',*,*,#217044,.T.); #305077=ORIENTED_EDGE('',*,*,#217043,.T.); #305078=ORIENTED_EDGE('',*,*,#217045,.F.); #305079=ORIENTED_EDGE('',*,*,#217046,.F.); #305080=ORIENTED_EDGE('',*,*,#217047,.T.); #305081=ORIENTED_EDGE('',*,*,#217046,.T.); #305082=ORIENTED_EDGE('',*,*,#217048,.F.); #305083=ORIENTED_EDGE('',*,*,#217049,.F.); #305084=ORIENTED_EDGE('',*,*,#217050,.T.); #305085=ORIENTED_EDGE('',*,*,#217049,.T.); #305086=ORIENTED_EDGE('',*,*,#217051,.F.); #305087=ORIENTED_EDGE('',*,*,#217052,.F.); #305088=ORIENTED_EDGE('',*,*,#217053,.T.); #305089=ORIENTED_EDGE('',*,*,#217052,.T.); #305090=ORIENTED_EDGE('',*,*,#217054,.F.); #305091=ORIENTED_EDGE('',*,*,#217038,.F.); #305092=ORIENTED_EDGE('',*,*,#217054,.T.); #305093=ORIENTED_EDGE('',*,*,#217051,.T.); #305094=ORIENTED_EDGE('',*,*,#217048,.T.); #305095=ORIENTED_EDGE('',*,*,#217045,.T.); #305096=ORIENTED_EDGE('',*,*,#217042,.T.); #305097=ORIENTED_EDGE('',*,*,#217039,.T.); #305098=ORIENTED_EDGE('',*,*,#217053,.F.); #305099=ORIENTED_EDGE('',*,*,#217037,.T.); #305100=ORIENTED_EDGE('',*,*,#217041,.F.); #305101=ORIENTED_EDGE('',*,*,#217044,.F.); #305102=ORIENTED_EDGE('',*,*,#217047,.F.); #305103=ORIENTED_EDGE('',*,*,#217050,.F.); #305104=ORIENTED_EDGE('',*,*,#217055,.T.); #305105=ORIENTED_EDGE('',*,*,#217056,.T.); #305106=ORIENTED_EDGE('',*,*,#217057,.T.); #305107=ORIENTED_EDGE('',*,*,#217058,.F.); #305108=ORIENTED_EDGE('',*,*,#217059,.F.); #305109=ORIENTED_EDGE('',*,*,#217060,.T.); #305110=ORIENTED_EDGE('',*,*,#217061,.T.); #305111=ORIENTED_EDGE('',*,*,#217056,.F.); #305112=ORIENTED_EDGE('',*,*,#217062,.F.); #305113=ORIENTED_EDGE('',*,*,#217063,.T.); #305114=ORIENTED_EDGE('',*,*,#217064,.T.); #305115=ORIENTED_EDGE('',*,*,#217060,.F.); #305116=ORIENTED_EDGE('',*,*,#217065,.F.); #305117=ORIENTED_EDGE('',*,*,#217066,.T.); #305118=ORIENTED_EDGE('',*,*,#217067,.T.); #305119=ORIENTED_EDGE('',*,*,#217063,.F.); #305120=ORIENTED_EDGE('',*,*,#217068,.F.); #305121=ORIENTED_EDGE('',*,*,#217069,.T.); #305122=ORIENTED_EDGE('',*,*,#217070,.T.); #305123=ORIENTED_EDGE('',*,*,#217066,.F.); #305124=ORIENTED_EDGE('',*,*,#217071,.F.); #305125=ORIENTED_EDGE('',*,*,#217058,.T.); #305126=ORIENTED_EDGE('',*,*,#217072,.T.); #305127=ORIENTED_EDGE('',*,*,#217069,.F.); #305128=ORIENTED_EDGE('',*,*,#217072,.F.); #305129=ORIENTED_EDGE('',*,*,#217057,.F.); #305130=ORIENTED_EDGE('',*,*,#217061,.F.); #305131=ORIENTED_EDGE('',*,*,#217064,.F.); #305132=ORIENTED_EDGE('',*,*,#217067,.F.); #305133=ORIENTED_EDGE('',*,*,#217070,.F.); #305134=ORIENTED_EDGE('',*,*,#217071,.T.); #305135=ORIENTED_EDGE('',*,*,#217068,.T.); #305136=ORIENTED_EDGE('',*,*,#217065,.T.); #305137=ORIENTED_EDGE('',*,*,#217062,.T.); #305138=ORIENTED_EDGE('',*,*,#217059,.T.); #305139=ORIENTED_EDGE('',*,*,#217055,.F.); #305140=ORIENTED_EDGE('',*,*,#217073,.F.); #305141=ORIENTED_EDGE('',*,*,#217074,.T.); #305142=ORIENTED_EDGE('',*,*,#217075,.F.); #305143=ORIENTED_EDGE('',*,*,#217076,.F.); #305144=ORIENTED_EDGE('',*,*,#217077,.T.); #305145=ORIENTED_EDGE('',*,*,#217076,.T.); #305146=ORIENTED_EDGE('',*,*,#217078,.F.); #305147=ORIENTED_EDGE('',*,*,#217079,.F.); #305148=ORIENTED_EDGE('',*,*,#217080,.T.); #305149=ORIENTED_EDGE('',*,*,#217079,.T.); #305150=ORIENTED_EDGE('',*,*,#217081,.F.); #305151=ORIENTED_EDGE('',*,*,#217082,.F.); #305152=ORIENTED_EDGE('',*,*,#217083,.T.); #305153=ORIENTED_EDGE('',*,*,#217082,.T.); #305154=ORIENTED_EDGE('',*,*,#217084,.F.); #305155=ORIENTED_EDGE('',*,*,#217085,.F.); #305156=ORIENTED_EDGE('',*,*,#217086,.T.); #305157=ORIENTED_EDGE('',*,*,#217085,.T.); #305158=ORIENTED_EDGE('',*,*,#217087,.F.); #305159=ORIENTED_EDGE('',*,*,#217088,.F.); #305160=ORIENTED_EDGE('',*,*,#217089,.T.); #305161=ORIENTED_EDGE('',*,*,#217088,.T.); #305162=ORIENTED_EDGE('',*,*,#217090,.F.); #305163=ORIENTED_EDGE('',*,*,#217074,.F.); #305164=ORIENTED_EDGE('',*,*,#217090,.T.); #305165=ORIENTED_EDGE('',*,*,#217087,.T.); #305166=ORIENTED_EDGE('',*,*,#217084,.T.); #305167=ORIENTED_EDGE('',*,*,#217081,.T.); #305168=ORIENTED_EDGE('',*,*,#217078,.T.); #305169=ORIENTED_EDGE('',*,*,#217075,.T.); #305170=ORIENTED_EDGE('',*,*,#217089,.F.); #305171=ORIENTED_EDGE('',*,*,#217073,.T.); #305172=ORIENTED_EDGE('',*,*,#217077,.F.); #305173=ORIENTED_EDGE('',*,*,#217080,.F.); #305174=ORIENTED_EDGE('',*,*,#217083,.F.); #305175=ORIENTED_EDGE('',*,*,#217086,.F.); #305176=ORIENTED_EDGE('',*,*,#217091,.F.); #305177=ORIENTED_EDGE('',*,*,#217092,.T.); #305178=ORIENTED_EDGE('',*,*,#217093,.F.); #305179=ORIENTED_EDGE('',*,*,#217094,.F.); #305180=ORIENTED_EDGE('',*,*,#217095,.T.); #305181=ORIENTED_EDGE('',*,*,#217094,.T.); #305182=ORIENTED_EDGE('',*,*,#217096,.F.); #305183=ORIENTED_EDGE('',*,*,#217097,.F.); #305184=ORIENTED_EDGE('',*,*,#217098,.T.); #305185=ORIENTED_EDGE('',*,*,#217097,.T.); #305186=ORIENTED_EDGE('',*,*,#217099,.F.); #305187=ORIENTED_EDGE('',*,*,#217100,.F.); #305188=ORIENTED_EDGE('',*,*,#217101,.T.); #305189=ORIENTED_EDGE('',*,*,#217100,.T.); #305190=ORIENTED_EDGE('',*,*,#217102,.F.); #305191=ORIENTED_EDGE('',*,*,#217103,.F.); #305192=ORIENTED_EDGE('',*,*,#217104,.T.); #305193=ORIENTED_EDGE('',*,*,#217103,.T.); #305194=ORIENTED_EDGE('',*,*,#217105,.F.); #305195=ORIENTED_EDGE('',*,*,#217106,.F.); #305196=ORIENTED_EDGE('',*,*,#217107,.T.); #305197=ORIENTED_EDGE('',*,*,#217106,.T.); #305198=ORIENTED_EDGE('',*,*,#217108,.F.); #305199=ORIENTED_EDGE('',*,*,#217092,.F.); #305200=ORIENTED_EDGE('',*,*,#217108,.T.); #305201=ORIENTED_EDGE('',*,*,#217105,.T.); #305202=ORIENTED_EDGE('',*,*,#217102,.T.); #305203=ORIENTED_EDGE('',*,*,#217099,.T.); #305204=ORIENTED_EDGE('',*,*,#217096,.T.); #305205=ORIENTED_EDGE('',*,*,#217093,.T.); #305206=ORIENTED_EDGE('',*,*,#217107,.F.); #305207=ORIENTED_EDGE('',*,*,#217091,.T.); #305208=ORIENTED_EDGE('',*,*,#217095,.F.); #305209=ORIENTED_EDGE('',*,*,#217098,.F.); #305210=ORIENTED_EDGE('',*,*,#217101,.F.); #305211=ORIENTED_EDGE('',*,*,#217104,.F.); #305212=ORIENTED_EDGE('',*,*,#217109,.F.); #305213=ORIENTED_EDGE('',*,*,#217110,.T.); #305214=ORIENTED_EDGE('',*,*,#217111,.F.); #305215=ORIENTED_EDGE('',*,*,#217112,.F.); #305216=ORIENTED_EDGE('',*,*,#217113,.T.); #305217=ORIENTED_EDGE('',*,*,#217112,.T.); #305218=ORIENTED_EDGE('',*,*,#217114,.F.); #305219=ORIENTED_EDGE('',*,*,#217115,.F.); #305220=ORIENTED_EDGE('',*,*,#217116,.T.); #305221=ORIENTED_EDGE('',*,*,#217115,.T.); #305222=ORIENTED_EDGE('',*,*,#217117,.F.); #305223=ORIENTED_EDGE('',*,*,#217118,.F.); #305224=ORIENTED_EDGE('',*,*,#217119,.T.); #305225=ORIENTED_EDGE('',*,*,#217118,.T.); #305226=ORIENTED_EDGE('',*,*,#217120,.F.); #305227=ORIENTED_EDGE('',*,*,#217121,.F.); #305228=ORIENTED_EDGE('',*,*,#217122,.T.); #305229=ORIENTED_EDGE('',*,*,#217121,.T.); #305230=ORIENTED_EDGE('',*,*,#217123,.F.); #305231=ORIENTED_EDGE('',*,*,#217124,.F.); #305232=ORIENTED_EDGE('',*,*,#217125,.T.); #305233=ORIENTED_EDGE('',*,*,#217124,.T.); #305234=ORIENTED_EDGE('',*,*,#217126,.F.); #305235=ORIENTED_EDGE('',*,*,#217110,.F.); #305236=ORIENTED_EDGE('',*,*,#217126,.T.); #305237=ORIENTED_EDGE('',*,*,#217123,.T.); #305238=ORIENTED_EDGE('',*,*,#217120,.T.); #305239=ORIENTED_EDGE('',*,*,#217117,.T.); #305240=ORIENTED_EDGE('',*,*,#217114,.T.); #305241=ORIENTED_EDGE('',*,*,#217111,.T.); #305242=ORIENTED_EDGE('',*,*,#217125,.F.); #305243=ORIENTED_EDGE('',*,*,#217109,.T.); #305244=ORIENTED_EDGE('',*,*,#217113,.F.); #305245=ORIENTED_EDGE('',*,*,#217116,.F.); #305246=ORIENTED_EDGE('',*,*,#217119,.F.); #305247=ORIENTED_EDGE('',*,*,#217122,.F.); #305248=ORIENTED_EDGE('',*,*,#217127,.T.); #305249=ORIENTED_EDGE('',*,*,#217128,.T.); #305250=ORIENTED_EDGE('',*,*,#217129,.T.); #305251=ORIENTED_EDGE('',*,*,#217130,.F.); #305252=ORIENTED_EDGE('',*,*,#217131,.F.); #305253=ORIENTED_EDGE('',*,*,#217132,.T.); #305254=ORIENTED_EDGE('',*,*,#217133,.T.); #305255=ORIENTED_EDGE('',*,*,#217128,.F.); #305256=ORIENTED_EDGE('',*,*,#217134,.F.); #305257=ORIENTED_EDGE('',*,*,#217135,.T.); #305258=ORIENTED_EDGE('',*,*,#217136,.T.); #305259=ORIENTED_EDGE('',*,*,#217132,.F.); #305260=ORIENTED_EDGE('',*,*,#217137,.F.); #305261=ORIENTED_EDGE('',*,*,#217138,.T.); #305262=ORIENTED_EDGE('',*,*,#217139,.T.); #305263=ORIENTED_EDGE('',*,*,#217135,.F.); #305264=ORIENTED_EDGE('',*,*,#217140,.F.); #305265=ORIENTED_EDGE('',*,*,#217141,.T.); #305266=ORIENTED_EDGE('',*,*,#217142,.T.); #305267=ORIENTED_EDGE('',*,*,#217138,.F.); #305268=ORIENTED_EDGE('',*,*,#217143,.F.); #305269=ORIENTED_EDGE('',*,*,#217130,.T.); #305270=ORIENTED_EDGE('',*,*,#217144,.T.); #305271=ORIENTED_EDGE('',*,*,#217141,.F.); #305272=ORIENTED_EDGE('',*,*,#217144,.F.); #305273=ORIENTED_EDGE('',*,*,#217129,.F.); #305274=ORIENTED_EDGE('',*,*,#217133,.F.); #305275=ORIENTED_EDGE('',*,*,#217136,.F.); #305276=ORIENTED_EDGE('',*,*,#217139,.F.); #305277=ORIENTED_EDGE('',*,*,#217142,.F.); #305278=ORIENTED_EDGE('',*,*,#217143,.T.); #305279=ORIENTED_EDGE('',*,*,#217140,.T.); #305280=ORIENTED_EDGE('',*,*,#217137,.T.); #305281=ORIENTED_EDGE('',*,*,#217134,.T.); #305282=ORIENTED_EDGE('',*,*,#217131,.T.); #305283=ORIENTED_EDGE('',*,*,#217127,.F.); #305284=ORIENTED_EDGE('',*,*,#217145,.T.); #305285=ORIENTED_EDGE('',*,*,#217146,.T.); #305286=ORIENTED_EDGE('',*,*,#217147,.T.); #305287=ORIENTED_EDGE('',*,*,#217148,.F.); #305288=ORIENTED_EDGE('',*,*,#217149,.F.); #305289=ORIENTED_EDGE('',*,*,#217150,.T.); #305290=ORIENTED_EDGE('',*,*,#217151,.T.); #305291=ORIENTED_EDGE('',*,*,#217146,.F.); #305292=ORIENTED_EDGE('',*,*,#217152,.F.); #305293=ORIENTED_EDGE('',*,*,#217153,.T.); #305294=ORIENTED_EDGE('',*,*,#217154,.T.); #305295=ORIENTED_EDGE('',*,*,#217150,.F.); #305296=ORIENTED_EDGE('',*,*,#217155,.F.); #305297=ORIENTED_EDGE('',*,*,#217156,.T.); #305298=ORIENTED_EDGE('',*,*,#217157,.T.); #305299=ORIENTED_EDGE('',*,*,#217153,.F.); #305300=ORIENTED_EDGE('',*,*,#217158,.F.); #305301=ORIENTED_EDGE('',*,*,#217159,.T.); #305302=ORIENTED_EDGE('',*,*,#217160,.T.); #305303=ORIENTED_EDGE('',*,*,#217156,.F.); #305304=ORIENTED_EDGE('',*,*,#217161,.F.); #305305=ORIENTED_EDGE('',*,*,#217148,.T.); #305306=ORIENTED_EDGE('',*,*,#217162,.T.); #305307=ORIENTED_EDGE('',*,*,#217159,.F.); #305308=ORIENTED_EDGE('',*,*,#217162,.F.); #305309=ORIENTED_EDGE('',*,*,#217147,.F.); #305310=ORIENTED_EDGE('',*,*,#217151,.F.); #305311=ORIENTED_EDGE('',*,*,#217154,.F.); #305312=ORIENTED_EDGE('',*,*,#217157,.F.); #305313=ORIENTED_EDGE('',*,*,#217160,.F.); #305314=ORIENTED_EDGE('',*,*,#217161,.T.); #305315=ORIENTED_EDGE('',*,*,#217158,.T.); #305316=ORIENTED_EDGE('',*,*,#217155,.T.); #305317=ORIENTED_EDGE('',*,*,#217152,.T.); #305318=ORIENTED_EDGE('',*,*,#217149,.T.); #305319=ORIENTED_EDGE('',*,*,#217145,.F.); #305320=ORIENTED_EDGE('',*,*,#217163,.T.); #305321=ORIENTED_EDGE('',*,*,#217164,.T.); #305322=ORIENTED_EDGE('',*,*,#217165,.T.); #305323=ORIENTED_EDGE('',*,*,#217166,.F.); #305324=ORIENTED_EDGE('',*,*,#217167,.F.); #305325=ORIENTED_EDGE('',*,*,#217168,.T.); #305326=ORIENTED_EDGE('',*,*,#217169,.T.); #305327=ORIENTED_EDGE('',*,*,#217164,.F.); #305328=ORIENTED_EDGE('',*,*,#217170,.F.); #305329=ORIENTED_EDGE('',*,*,#217171,.T.); #305330=ORIENTED_EDGE('',*,*,#217172,.T.); #305331=ORIENTED_EDGE('',*,*,#217168,.F.); #305332=ORIENTED_EDGE('',*,*,#217173,.F.); #305333=ORIENTED_EDGE('',*,*,#217174,.T.); #305334=ORIENTED_EDGE('',*,*,#217175,.T.); #305335=ORIENTED_EDGE('',*,*,#217171,.F.); #305336=ORIENTED_EDGE('',*,*,#217176,.F.); #305337=ORIENTED_EDGE('',*,*,#217177,.T.); #305338=ORIENTED_EDGE('',*,*,#217178,.T.); #305339=ORIENTED_EDGE('',*,*,#217174,.F.); #305340=ORIENTED_EDGE('',*,*,#217179,.F.); #305341=ORIENTED_EDGE('',*,*,#217166,.T.); #305342=ORIENTED_EDGE('',*,*,#217180,.T.); #305343=ORIENTED_EDGE('',*,*,#217177,.F.); #305344=ORIENTED_EDGE('',*,*,#217180,.F.); #305345=ORIENTED_EDGE('',*,*,#217165,.F.); #305346=ORIENTED_EDGE('',*,*,#217169,.F.); #305347=ORIENTED_EDGE('',*,*,#217172,.F.); #305348=ORIENTED_EDGE('',*,*,#217175,.F.); #305349=ORIENTED_EDGE('',*,*,#217178,.F.); #305350=ORIENTED_EDGE('',*,*,#217179,.T.); #305351=ORIENTED_EDGE('',*,*,#217176,.T.); #305352=ORIENTED_EDGE('',*,*,#217173,.T.); #305353=ORIENTED_EDGE('',*,*,#217170,.T.); #305354=ORIENTED_EDGE('',*,*,#217167,.T.); #305355=ORIENTED_EDGE('',*,*,#217163,.F.); #305356=ORIENTED_EDGE('',*,*,#217181,.F.); #305357=ORIENTED_EDGE('',*,*,#217182,.T.); #305358=ORIENTED_EDGE('',*,*,#217183,.T.); #305359=ORIENTED_EDGE('',*,*,#217184,.F.); #305360=ORIENTED_EDGE('',*,*,#217185,.F.); #305361=ORIENTED_EDGE('',*,*,#217186,.T.); #305362=ORIENTED_EDGE('',*,*,#217187,.T.); #305363=ORIENTED_EDGE('',*,*,#217182,.F.); #305364=ORIENTED_EDGE('',*,*,#217188,.F.); #305365=ORIENTED_EDGE('',*,*,#217189,.T.); #305366=ORIENTED_EDGE('',*,*,#217190,.T.); #305367=ORIENTED_EDGE('',*,*,#217186,.F.); #305368=ORIENTED_EDGE('',*,*,#217191,.F.); #305369=ORIENTED_EDGE('',*,*,#217184,.T.); #305370=ORIENTED_EDGE('',*,*,#217192,.T.); #305371=ORIENTED_EDGE('',*,*,#217189,.F.); #305372=ORIENTED_EDGE('',*,*,#217192,.F.); #305373=ORIENTED_EDGE('',*,*,#217183,.F.); #305374=ORIENTED_EDGE('',*,*,#217187,.F.); #305375=ORIENTED_EDGE('',*,*,#217190,.F.); #305376=ORIENTED_EDGE('',*,*,#217191,.T.); #305377=ORIENTED_EDGE('',*,*,#217188,.T.); #305378=ORIENTED_EDGE('',*,*,#217185,.T.); #305379=ORIENTED_EDGE('',*,*,#217181,.T.); #305380=ORIENTED_EDGE('',*,*,#217193,.T.); #305381=ORIENTED_EDGE('',*,*,#217194,.T.); #305382=ORIENTED_EDGE('',*,*,#217195,.F.); #305383=ORIENTED_EDGE('',*,*,#217196,.F.); #305384=ORIENTED_EDGE('',*,*,#217197,.T.); #305385=ORIENTED_EDGE('',*,*,#217196,.T.); #305386=ORIENTED_EDGE('',*,*,#217198,.F.); #305387=ORIENTED_EDGE('',*,*,#217199,.F.); #305388=ORIENTED_EDGE('',*,*,#217200,.T.); #305389=ORIENTED_EDGE('',*,*,#217199,.T.); #305390=ORIENTED_EDGE('',*,*,#217201,.F.); #305391=ORIENTED_EDGE('',*,*,#217202,.F.); #305392=ORIENTED_EDGE('',*,*,#217203,.T.); #305393=ORIENTED_EDGE('',*,*,#217202,.T.); #305394=ORIENTED_EDGE('',*,*,#217204,.F.); #305395=ORIENTED_EDGE('',*,*,#217194,.F.); #305396=ORIENTED_EDGE('',*,*,#217204,.T.); #305397=ORIENTED_EDGE('',*,*,#217201,.T.); #305398=ORIENTED_EDGE('',*,*,#217198,.T.); #305399=ORIENTED_EDGE('',*,*,#217195,.T.); #305400=ORIENTED_EDGE('',*,*,#217203,.F.); #305401=ORIENTED_EDGE('',*,*,#217193,.F.); #305402=ORIENTED_EDGE('',*,*,#217197,.F.); #305403=ORIENTED_EDGE('',*,*,#217200,.F.); #305404=ORIENTED_EDGE('',*,*,#217205,.T.); #305405=ORIENTED_EDGE('',*,*,#217206,.T.); #305406=ORIENTED_EDGE('',*,*,#217207,.F.); #305407=ORIENTED_EDGE('',*,*,#217208,.F.); #305408=ORIENTED_EDGE('',*,*,#217209,.T.); #305409=ORIENTED_EDGE('',*,*,#217208,.T.); #305410=ORIENTED_EDGE('',*,*,#217210,.F.); #305411=ORIENTED_EDGE('',*,*,#217211,.F.); #305412=ORIENTED_EDGE('',*,*,#217212,.T.); #305413=ORIENTED_EDGE('',*,*,#217211,.T.); #305414=ORIENTED_EDGE('',*,*,#217213,.F.); #305415=ORIENTED_EDGE('',*,*,#217214,.F.); #305416=ORIENTED_EDGE('',*,*,#217215,.T.); #305417=ORIENTED_EDGE('',*,*,#217214,.T.); #305418=ORIENTED_EDGE('',*,*,#217216,.F.); #305419=ORIENTED_EDGE('',*,*,#217206,.F.); #305420=ORIENTED_EDGE('',*,*,#217216,.T.); #305421=ORIENTED_EDGE('',*,*,#217213,.T.); #305422=ORIENTED_EDGE('',*,*,#217210,.T.); #305423=ORIENTED_EDGE('',*,*,#217207,.T.); #305424=ORIENTED_EDGE('',*,*,#217215,.F.); #305425=ORIENTED_EDGE('',*,*,#217205,.F.); #305426=ORIENTED_EDGE('',*,*,#217209,.F.); #305427=ORIENTED_EDGE('',*,*,#217212,.F.); #305428=ORIENTED_EDGE('',*,*,#217217,.T.); #305429=ORIENTED_EDGE('',*,*,#217218,.T.); #305430=ORIENTED_EDGE('',*,*,#217219,.F.); #305431=ORIENTED_EDGE('',*,*,#217220,.F.); #305432=ORIENTED_EDGE('',*,*,#217221,.T.); #305433=ORIENTED_EDGE('',*,*,#217220,.T.); #305434=ORIENTED_EDGE('',*,*,#217222,.F.); #305435=ORIENTED_EDGE('',*,*,#217223,.F.); #305436=ORIENTED_EDGE('',*,*,#217224,.T.); #305437=ORIENTED_EDGE('',*,*,#217223,.T.); #305438=ORIENTED_EDGE('',*,*,#217225,.F.); #305439=ORIENTED_EDGE('',*,*,#217226,.F.); #305440=ORIENTED_EDGE('',*,*,#217227,.T.); #305441=ORIENTED_EDGE('',*,*,#217226,.T.); #305442=ORIENTED_EDGE('',*,*,#217228,.F.); #305443=ORIENTED_EDGE('',*,*,#217218,.F.); #305444=ORIENTED_EDGE('',*,*,#217228,.T.); #305445=ORIENTED_EDGE('',*,*,#217225,.T.); #305446=ORIENTED_EDGE('',*,*,#217222,.T.); #305447=ORIENTED_EDGE('',*,*,#217219,.T.); #305448=ORIENTED_EDGE('',*,*,#217227,.F.); #305449=ORIENTED_EDGE('',*,*,#217217,.F.); #305450=ORIENTED_EDGE('',*,*,#217221,.F.); #305451=ORIENTED_EDGE('',*,*,#217224,.F.); #305452=ORIENTED_EDGE('',*,*,#217229,.F.); #305453=ORIENTED_EDGE('',*,*,#217230,.T.); #305454=ORIENTED_EDGE('',*,*,#217231,.T.); #305455=ORIENTED_EDGE('',*,*,#217232,.F.); #305456=ORIENTED_EDGE('',*,*,#217233,.F.); #305457=ORIENTED_EDGE('',*,*,#217234,.T.); #305458=ORIENTED_EDGE('',*,*,#217235,.T.); #305459=ORIENTED_EDGE('',*,*,#217230,.F.); #305460=ORIENTED_EDGE('',*,*,#217236,.F.); #305461=ORIENTED_EDGE('',*,*,#217237,.T.); #305462=ORIENTED_EDGE('',*,*,#217238,.T.); #305463=ORIENTED_EDGE('',*,*,#217234,.F.); #305464=ORIENTED_EDGE('',*,*,#217239,.F.); #305465=ORIENTED_EDGE('',*,*,#217232,.T.); #305466=ORIENTED_EDGE('',*,*,#217240,.T.); #305467=ORIENTED_EDGE('',*,*,#217237,.F.); #305468=ORIENTED_EDGE('',*,*,#217240,.F.); #305469=ORIENTED_EDGE('',*,*,#217231,.F.); #305470=ORIENTED_EDGE('',*,*,#217235,.F.); #305471=ORIENTED_EDGE('',*,*,#217238,.F.); #305472=ORIENTED_EDGE('',*,*,#217239,.T.); #305473=ORIENTED_EDGE('',*,*,#217236,.T.); #305474=ORIENTED_EDGE('',*,*,#217233,.T.); #305475=ORIENTED_EDGE('',*,*,#217229,.T.); #305476=ORIENTED_EDGE('',*,*,#217241,.F.); #305477=ORIENTED_EDGE('',*,*,#217242,.T.); #305478=ORIENTED_EDGE('',*,*,#217243,.T.); #305479=ORIENTED_EDGE('',*,*,#217244,.F.); #305480=ORIENTED_EDGE('',*,*,#217245,.F.); #305481=ORIENTED_EDGE('',*,*,#217246,.T.); #305482=ORIENTED_EDGE('',*,*,#217247,.T.); #305483=ORIENTED_EDGE('',*,*,#217242,.F.); #305484=ORIENTED_EDGE('',*,*,#217248,.F.); #305485=ORIENTED_EDGE('',*,*,#217249,.T.); #305486=ORIENTED_EDGE('',*,*,#217250,.T.); #305487=ORIENTED_EDGE('',*,*,#217246,.F.); #305488=ORIENTED_EDGE('',*,*,#217251,.F.); #305489=ORIENTED_EDGE('',*,*,#217244,.T.); #305490=ORIENTED_EDGE('',*,*,#217252,.T.); #305491=ORIENTED_EDGE('',*,*,#217249,.F.); #305492=ORIENTED_EDGE('',*,*,#217252,.F.); #305493=ORIENTED_EDGE('',*,*,#217243,.F.); #305494=ORIENTED_EDGE('',*,*,#217247,.F.); #305495=ORIENTED_EDGE('',*,*,#217250,.F.); #305496=ORIENTED_EDGE('',*,*,#217251,.T.); #305497=ORIENTED_EDGE('',*,*,#217248,.T.); #305498=ORIENTED_EDGE('',*,*,#217245,.T.); #305499=ORIENTED_EDGE('',*,*,#217241,.T.); #305500=ORIENTED_EDGE('',*,*,#217253,.F.); #305501=ORIENTED_EDGE('',*,*,#217254,.T.); #305502=ORIENTED_EDGE('',*,*,#217255,.T.); #305503=ORIENTED_EDGE('',*,*,#217256,.F.); #305504=ORIENTED_EDGE('',*,*,#217257,.F.); #305505=ORIENTED_EDGE('',*,*,#217258,.T.); #305506=ORIENTED_EDGE('',*,*,#217259,.T.); #305507=ORIENTED_EDGE('',*,*,#217254,.F.); #305508=ORIENTED_EDGE('',*,*,#217260,.F.); #305509=ORIENTED_EDGE('',*,*,#217261,.T.); #305510=ORIENTED_EDGE('',*,*,#217262,.T.); #305511=ORIENTED_EDGE('',*,*,#217258,.F.); #305512=ORIENTED_EDGE('',*,*,#217263,.F.); #305513=ORIENTED_EDGE('',*,*,#217256,.T.); #305514=ORIENTED_EDGE('',*,*,#217264,.T.); #305515=ORIENTED_EDGE('',*,*,#217261,.F.); #305516=ORIENTED_EDGE('',*,*,#217264,.F.); #305517=ORIENTED_EDGE('',*,*,#217255,.F.); #305518=ORIENTED_EDGE('',*,*,#217259,.F.); #305519=ORIENTED_EDGE('',*,*,#217262,.F.); #305520=ORIENTED_EDGE('',*,*,#217263,.T.); #305521=ORIENTED_EDGE('',*,*,#217260,.T.); #305522=ORIENTED_EDGE('',*,*,#217257,.T.); #305523=ORIENTED_EDGE('',*,*,#217253,.T.); #305524=ORIENTED_EDGE('',*,*,#217265,.T.); #305525=ORIENTED_EDGE('',*,*,#217266,.T.); #305526=ORIENTED_EDGE('',*,*,#217267,.F.); #305527=ORIENTED_EDGE('',*,*,#217268,.F.); #305528=ORIENTED_EDGE('',*,*,#217269,.T.); #305529=ORIENTED_EDGE('',*,*,#217268,.T.); #305530=ORIENTED_EDGE('',*,*,#217270,.F.); #305531=ORIENTED_EDGE('',*,*,#217271,.F.); #305532=ORIENTED_EDGE('',*,*,#217272,.T.); #305533=ORIENTED_EDGE('',*,*,#217271,.T.); #305534=ORIENTED_EDGE('',*,*,#217273,.F.); #305535=ORIENTED_EDGE('',*,*,#217274,.F.); #305536=ORIENTED_EDGE('',*,*,#217275,.T.); #305537=ORIENTED_EDGE('',*,*,#217274,.T.); #305538=ORIENTED_EDGE('',*,*,#217276,.F.); #305539=ORIENTED_EDGE('',*,*,#217266,.F.); #305540=ORIENTED_EDGE('',*,*,#217276,.T.); #305541=ORIENTED_EDGE('',*,*,#217273,.T.); #305542=ORIENTED_EDGE('',*,*,#217270,.T.); #305543=ORIENTED_EDGE('',*,*,#217267,.T.); #305544=ORIENTED_EDGE('',*,*,#217275,.F.); #305545=ORIENTED_EDGE('',*,*,#217265,.F.); #305546=ORIENTED_EDGE('',*,*,#217269,.F.); #305547=ORIENTED_EDGE('',*,*,#217272,.F.); #305548=ORIENTED_EDGE('',*,*,#217277,.F.); #305549=ORIENTED_EDGE('',*,*,#217278,.T.); #305550=ORIENTED_EDGE('',*,*,#217279,.T.); #305551=ORIENTED_EDGE('',*,*,#217278,.F.); #305552=ORIENTED_EDGE('',*,*,#217279,.F.); #305553=ORIENTED_EDGE('',*,*,#217280,.T.); #305554=ORIENTED_EDGE('',*,*,#217281,.T.); #305555=ORIENTED_EDGE('',*,*,#217282,.T.); #305556=ORIENTED_EDGE('',*,*,#217283,.T.); #305557=ORIENTED_EDGE('',*,*,#217284,.T.); #305558=ORIENTED_EDGE('',*,*,#217281,.F.); #305559=ORIENTED_EDGE('',*,*,#217285,.T.); #305560=ORIENTED_EDGE('',*,*,#217286,.F.); #305561=ORIENTED_EDGE('',*,*,#217287,.T.); #305562=ORIENTED_EDGE('',*,*,#217283,.F.); #305563=ORIENTED_EDGE('',*,*,#217288,.T.); #305564=ORIENTED_EDGE('',*,*,#217289,.T.); #305565=ORIENTED_EDGE('',*,*,#217290,.T.); #305566=ORIENTED_EDGE('',*,*,#217289,.F.); #305567=ORIENTED_EDGE('',*,*,#217291,.T.); #305568=ORIENTED_EDGE('',*,*,#217286,.T.); #305569=ORIENTED_EDGE('',*,*,#217288,.F.); #305570=ORIENTED_EDGE('',*,*,#217292,.T.); #305571=ORIENTED_EDGE('',*,*,#217293,.F.); #305572=ORIENTED_EDGE('',*,*,#217294,.F.); #305573=ORIENTED_EDGE('',*,*,#217282,.F.); #305574=ORIENTED_EDGE('',*,*,#217295,.T.); #305575=ORIENTED_EDGE('',*,*,#217296,.F.); #305576=ORIENTED_EDGE('',*,*,#217292,.F.); #305577=ORIENTED_EDGE('',*,*,#217280,.F.); #305578=ORIENTED_EDGE('',*,*,#217287,.F.); #305579=ORIENTED_EDGE('',*,*,#217290,.F.); #305580=ORIENTED_EDGE('',*,*,#217285,.F.); #305581=ORIENTED_EDGE('',*,*,#217277,.T.); #305582=ORIENTED_EDGE('',*,*,#217291,.F.); #305583=ORIENTED_EDGE('',*,*,#217294,.T.); #305584=ORIENTED_EDGE('',*,*,#217297,.F.); #305585=ORIENTED_EDGE('',*,*,#217298,.F.); #305586=ORIENTED_EDGE('',*,*,#217284,.F.); #305587=ORIENTED_EDGE('',*,*,#217298,.T.); #305588=ORIENTED_EDGE('',*,*,#217299,.F.); #305589=ORIENTED_EDGE('',*,*,#217295,.F.); #305590=ORIENTED_EDGE('',*,*,#217296,.T.); #305591=ORIENTED_EDGE('',*,*,#217299,.T.); #305592=ORIENTED_EDGE('',*,*,#217297,.T.); #305593=ORIENTED_EDGE('',*,*,#217293,.T.); #305594=ORIENTED_EDGE('',*,*,#217300,.T.); #305595=ORIENTED_EDGE('',*,*,#217301,.T.); #305596=ORIENTED_EDGE('',*,*,#217302,.F.); #305597=ORIENTED_EDGE('',*,*,#217303,.F.); #305598=ORIENTED_EDGE('',*,*,#217304,.T.); #305599=ORIENTED_EDGE('',*,*,#217303,.T.); #305600=ORIENTED_EDGE('',*,*,#217305,.F.); #305601=ORIENTED_EDGE('',*,*,#217306,.F.); #305602=ORIENTED_EDGE('',*,*,#217307,.T.); #305603=ORIENTED_EDGE('',*,*,#217306,.T.); #305604=ORIENTED_EDGE('',*,*,#217308,.F.); #305605=ORIENTED_EDGE('',*,*,#217309,.F.); #305606=ORIENTED_EDGE('',*,*,#217310,.T.); #305607=ORIENTED_EDGE('',*,*,#217309,.T.); #305608=ORIENTED_EDGE('',*,*,#217311,.F.); #305609=ORIENTED_EDGE('',*,*,#217301,.F.); #305610=ORIENTED_EDGE('',*,*,#217311,.T.); #305611=ORIENTED_EDGE('',*,*,#217308,.T.); #305612=ORIENTED_EDGE('',*,*,#217305,.T.); #305613=ORIENTED_EDGE('',*,*,#217302,.T.); #305614=ORIENTED_EDGE('',*,*,#217310,.F.); #305615=ORIENTED_EDGE('',*,*,#217300,.F.); #305616=ORIENTED_EDGE('',*,*,#217304,.F.); #305617=ORIENTED_EDGE('',*,*,#217307,.F.); #305618=ORIENTED_EDGE('',*,*,#217312,.F.); #305619=ORIENTED_EDGE('',*,*,#217313,.T.); #305620=ORIENTED_EDGE('',*,*,#217314,.F.); #305621=ORIENTED_EDGE('',*,*,#217315,.F.); #305622=ORIENTED_EDGE('',*,*,#217316,.T.); #305623=ORIENTED_EDGE('',*,*,#217315,.T.); #305624=ORIENTED_EDGE('',*,*,#217317,.F.); #305625=ORIENTED_EDGE('',*,*,#217318,.F.); #305626=ORIENTED_EDGE('',*,*,#217319,.T.); #305627=ORIENTED_EDGE('',*,*,#217318,.T.); #305628=ORIENTED_EDGE('',*,*,#217320,.F.); #305629=ORIENTED_EDGE('',*,*,#217321,.F.); #305630=ORIENTED_EDGE('',*,*,#217322,.T.); #305631=ORIENTED_EDGE('',*,*,#217321,.T.); #305632=ORIENTED_EDGE('',*,*,#217323,.F.); #305633=ORIENTED_EDGE('',*,*,#217324,.F.); #305634=ORIENTED_EDGE('',*,*,#217325,.T.); #305635=ORIENTED_EDGE('',*,*,#217324,.T.); #305636=ORIENTED_EDGE('',*,*,#217326,.F.); #305637=ORIENTED_EDGE('',*,*,#217327,.F.); #305638=ORIENTED_EDGE('',*,*,#217328,.T.); #305639=ORIENTED_EDGE('',*,*,#217327,.T.); #305640=ORIENTED_EDGE('',*,*,#217329,.F.); #305641=ORIENTED_EDGE('',*,*,#217313,.F.); #305642=ORIENTED_EDGE('',*,*,#217329,.T.); #305643=ORIENTED_EDGE('',*,*,#217326,.T.); #305644=ORIENTED_EDGE('',*,*,#217323,.T.); #305645=ORIENTED_EDGE('',*,*,#217320,.T.); #305646=ORIENTED_EDGE('',*,*,#217317,.T.); #305647=ORIENTED_EDGE('',*,*,#217314,.T.); #305648=ORIENTED_EDGE('',*,*,#217328,.F.); #305649=ORIENTED_EDGE('',*,*,#217312,.T.); #305650=ORIENTED_EDGE('',*,*,#217316,.F.); #305651=ORIENTED_EDGE('',*,*,#217319,.F.); #305652=ORIENTED_EDGE('',*,*,#217322,.F.); #305653=ORIENTED_EDGE('',*,*,#217325,.F.); #305654=ORIENTED_EDGE('',*,*,#217330,.T.); #305655=ORIENTED_EDGE('',*,*,#217331,.T.); #305656=ORIENTED_EDGE('',*,*,#217332,.T.); #305657=ORIENTED_EDGE('',*,*,#217333,.F.); #305658=ORIENTED_EDGE('',*,*,#217334,.F.); #305659=ORIENTED_EDGE('',*,*,#217335,.T.); #305660=ORIENTED_EDGE('',*,*,#217336,.T.); #305661=ORIENTED_EDGE('',*,*,#217331,.F.); #305662=ORIENTED_EDGE('',*,*,#217337,.F.); #305663=ORIENTED_EDGE('',*,*,#217338,.T.); #305664=ORIENTED_EDGE('',*,*,#217339,.T.); #305665=ORIENTED_EDGE('',*,*,#217335,.F.); #305666=ORIENTED_EDGE('',*,*,#217340,.F.); #305667=ORIENTED_EDGE('',*,*,#217341,.T.); #305668=ORIENTED_EDGE('',*,*,#217342,.T.); #305669=ORIENTED_EDGE('',*,*,#217338,.F.); #305670=ORIENTED_EDGE('',*,*,#217343,.F.); #305671=ORIENTED_EDGE('',*,*,#217344,.T.); #305672=ORIENTED_EDGE('',*,*,#217345,.T.); #305673=ORIENTED_EDGE('',*,*,#217341,.F.); #305674=ORIENTED_EDGE('',*,*,#217346,.F.); #305675=ORIENTED_EDGE('',*,*,#217333,.T.); #305676=ORIENTED_EDGE('',*,*,#217347,.T.); #305677=ORIENTED_EDGE('',*,*,#217344,.F.); #305678=ORIENTED_EDGE('',*,*,#217347,.F.); #305679=ORIENTED_EDGE('',*,*,#217332,.F.); #305680=ORIENTED_EDGE('',*,*,#217336,.F.); #305681=ORIENTED_EDGE('',*,*,#217339,.F.); #305682=ORIENTED_EDGE('',*,*,#217342,.F.); #305683=ORIENTED_EDGE('',*,*,#217345,.F.); #305684=ORIENTED_EDGE('',*,*,#217346,.T.); #305685=ORIENTED_EDGE('',*,*,#217343,.T.); #305686=ORIENTED_EDGE('',*,*,#217340,.T.); #305687=ORIENTED_EDGE('',*,*,#217337,.T.); #305688=ORIENTED_EDGE('',*,*,#217334,.T.); #305689=ORIENTED_EDGE('',*,*,#217330,.F.); #305690=ORIENTED_EDGE('',*,*,#217348,.F.); #305691=ORIENTED_EDGE('',*,*,#217349,.T.); #305692=ORIENTED_EDGE('',*,*,#217350,.F.); #305693=ORIENTED_EDGE('',*,*,#217351,.F.); #305694=ORIENTED_EDGE('',*,*,#217352,.T.); #305695=ORIENTED_EDGE('',*,*,#217351,.T.); #305696=ORIENTED_EDGE('',*,*,#217353,.F.); #305697=ORIENTED_EDGE('',*,*,#217354,.F.); #305698=ORIENTED_EDGE('',*,*,#217355,.T.); #305699=ORIENTED_EDGE('',*,*,#217354,.T.); #305700=ORIENTED_EDGE('',*,*,#217356,.F.); #305701=ORIENTED_EDGE('',*,*,#217357,.F.); #305702=ORIENTED_EDGE('',*,*,#217358,.T.); #305703=ORIENTED_EDGE('',*,*,#217357,.T.); #305704=ORIENTED_EDGE('',*,*,#217359,.F.); #305705=ORIENTED_EDGE('',*,*,#217360,.F.); #305706=ORIENTED_EDGE('',*,*,#217361,.T.); #305707=ORIENTED_EDGE('',*,*,#217360,.T.); #305708=ORIENTED_EDGE('',*,*,#217362,.F.); #305709=ORIENTED_EDGE('',*,*,#217363,.F.); #305710=ORIENTED_EDGE('',*,*,#217364,.T.); #305711=ORIENTED_EDGE('',*,*,#217363,.T.); #305712=ORIENTED_EDGE('',*,*,#217365,.F.); #305713=ORIENTED_EDGE('',*,*,#217349,.F.); #305714=ORIENTED_EDGE('',*,*,#217365,.T.); #305715=ORIENTED_EDGE('',*,*,#217362,.T.); #305716=ORIENTED_EDGE('',*,*,#217359,.T.); #305717=ORIENTED_EDGE('',*,*,#217356,.T.); #305718=ORIENTED_EDGE('',*,*,#217353,.T.); #305719=ORIENTED_EDGE('',*,*,#217350,.T.); #305720=ORIENTED_EDGE('',*,*,#217364,.F.); #305721=ORIENTED_EDGE('',*,*,#217348,.T.); #305722=ORIENTED_EDGE('',*,*,#217352,.F.); #305723=ORIENTED_EDGE('',*,*,#217355,.F.); #305724=ORIENTED_EDGE('',*,*,#217358,.F.); #305725=ORIENTED_EDGE('',*,*,#217361,.F.); #305726=ORIENTED_EDGE('',*,*,#217366,.F.); #305727=ORIENTED_EDGE('',*,*,#217367,.T.); #305728=ORIENTED_EDGE('',*,*,#217368,.F.); #305729=ORIENTED_EDGE('',*,*,#217369,.F.); #305730=ORIENTED_EDGE('',*,*,#217370,.T.); #305731=ORIENTED_EDGE('',*,*,#217369,.T.); #305732=ORIENTED_EDGE('',*,*,#217371,.F.); #305733=ORIENTED_EDGE('',*,*,#217372,.F.); #305734=ORIENTED_EDGE('',*,*,#217373,.T.); #305735=ORIENTED_EDGE('',*,*,#217372,.T.); #305736=ORIENTED_EDGE('',*,*,#217374,.F.); #305737=ORIENTED_EDGE('',*,*,#217375,.F.); #305738=ORIENTED_EDGE('',*,*,#217376,.T.); #305739=ORIENTED_EDGE('',*,*,#217375,.T.); #305740=ORIENTED_EDGE('',*,*,#217377,.F.); #305741=ORIENTED_EDGE('',*,*,#217378,.F.); #305742=ORIENTED_EDGE('',*,*,#217379,.T.); #305743=ORIENTED_EDGE('',*,*,#217378,.T.); #305744=ORIENTED_EDGE('',*,*,#217380,.F.); #305745=ORIENTED_EDGE('',*,*,#217381,.F.); #305746=ORIENTED_EDGE('',*,*,#217382,.T.); #305747=ORIENTED_EDGE('',*,*,#217381,.T.); #305748=ORIENTED_EDGE('',*,*,#217383,.F.); #305749=ORIENTED_EDGE('',*,*,#217367,.F.); #305750=ORIENTED_EDGE('',*,*,#217383,.T.); #305751=ORIENTED_EDGE('',*,*,#217380,.T.); #305752=ORIENTED_EDGE('',*,*,#217377,.T.); #305753=ORIENTED_EDGE('',*,*,#217374,.T.); #305754=ORIENTED_EDGE('',*,*,#217371,.T.); #305755=ORIENTED_EDGE('',*,*,#217368,.T.); #305756=ORIENTED_EDGE('',*,*,#217382,.F.); #305757=ORIENTED_EDGE('',*,*,#217366,.T.); #305758=ORIENTED_EDGE('',*,*,#217370,.F.); #305759=ORIENTED_EDGE('',*,*,#217373,.F.); #305760=ORIENTED_EDGE('',*,*,#217376,.F.); #305761=ORIENTED_EDGE('',*,*,#217379,.F.); #305762=ORIENTED_EDGE('',*,*,#217384,.F.); #305763=ORIENTED_EDGE('',*,*,#217385,.T.); #305764=ORIENTED_EDGE('',*,*,#217386,.F.); #305765=ORIENTED_EDGE('',*,*,#217387,.F.); #305766=ORIENTED_EDGE('',*,*,#217388,.T.); #305767=ORIENTED_EDGE('',*,*,#217387,.T.); #305768=ORIENTED_EDGE('',*,*,#217389,.F.); #305769=ORIENTED_EDGE('',*,*,#217390,.F.); #305770=ORIENTED_EDGE('',*,*,#217391,.T.); #305771=ORIENTED_EDGE('',*,*,#217390,.T.); #305772=ORIENTED_EDGE('',*,*,#217392,.F.); #305773=ORIENTED_EDGE('',*,*,#217393,.F.); #305774=ORIENTED_EDGE('',*,*,#217394,.T.); #305775=ORIENTED_EDGE('',*,*,#217393,.T.); #305776=ORIENTED_EDGE('',*,*,#217395,.F.); #305777=ORIENTED_EDGE('',*,*,#217396,.F.); #305778=ORIENTED_EDGE('',*,*,#217397,.T.); #305779=ORIENTED_EDGE('',*,*,#217396,.T.); #305780=ORIENTED_EDGE('',*,*,#217398,.F.); #305781=ORIENTED_EDGE('',*,*,#217399,.F.); #305782=ORIENTED_EDGE('',*,*,#217400,.T.); #305783=ORIENTED_EDGE('',*,*,#217399,.T.); #305784=ORIENTED_EDGE('',*,*,#217401,.F.); #305785=ORIENTED_EDGE('',*,*,#217385,.F.); #305786=ORIENTED_EDGE('',*,*,#217401,.T.); #305787=ORIENTED_EDGE('',*,*,#217398,.T.); #305788=ORIENTED_EDGE('',*,*,#217395,.T.); #305789=ORIENTED_EDGE('',*,*,#217392,.T.); #305790=ORIENTED_EDGE('',*,*,#217389,.T.); #305791=ORIENTED_EDGE('',*,*,#217386,.T.); #305792=ORIENTED_EDGE('',*,*,#217400,.F.); #305793=ORIENTED_EDGE('',*,*,#217384,.T.); #305794=ORIENTED_EDGE('',*,*,#217388,.F.); #305795=ORIENTED_EDGE('',*,*,#217391,.F.); #305796=ORIENTED_EDGE('',*,*,#217394,.F.); #305797=ORIENTED_EDGE('',*,*,#217397,.F.); #305798=ORIENTED_EDGE('',*,*,#217402,.T.); #305799=ORIENTED_EDGE('',*,*,#217403,.T.); #305800=ORIENTED_EDGE('',*,*,#217404,.T.); #305801=ORIENTED_EDGE('',*,*,#217405,.F.); #305802=ORIENTED_EDGE('',*,*,#217406,.F.); #305803=ORIENTED_EDGE('',*,*,#217407,.T.); #305804=ORIENTED_EDGE('',*,*,#217408,.T.); #305805=ORIENTED_EDGE('',*,*,#217403,.F.); #305806=ORIENTED_EDGE('',*,*,#217409,.F.); #305807=ORIENTED_EDGE('',*,*,#217410,.T.); #305808=ORIENTED_EDGE('',*,*,#217411,.T.); #305809=ORIENTED_EDGE('',*,*,#217407,.F.); #305810=ORIENTED_EDGE('',*,*,#217412,.F.); #305811=ORIENTED_EDGE('',*,*,#217413,.T.); #305812=ORIENTED_EDGE('',*,*,#217414,.T.); #305813=ORIENTED_EDGE('',*,*,#217410,.F.); #305814=ORIENTED_EDGE('',*,*,#217415,.F.); #305815=ORIENTED_EDGE('',*,*,#217416,.T.); #305816=ORIENTED_EDGE('',*,*,#217417,.T.); #305817=ORIENTED_EDGE('',*,*,#217413,.F.); #305818=ORIENTED_EDGE('',*,*,#217418,.F.); #305819=ORIENTED_EDGE('',*,*,#217405,.T.); #305820=ORIENTED_EDGE('',*,*,#217419,.T.); #305821=ORIENTED_EDGE('',*,*,#217416,.F.); #305822=ORIENTED_EDGE('',*,*,#217419,.F.); #305823=ORIENTED_EDGE('',*,*,#217404,.F.); #305824=ORIENTED_EDGE('',*,*,#217408,.F.); #305825=ORIENTED_EDGE('',*,*,#217411,.F.); #305826=ORIENTED_EDGE('',*,*,#217414,.F.); #305827=ORIENTED_EDGE('',*,*,#217417,.F.); #305828=ORIENTED_EDGE('',*,*,#217418,.T.); #305829=ORIENTED_EDGE('',*,*,#217415,.T.); #305830=ORIENTED_EDGE('',*,*,#217412,.T.); #305831=ORIENTED_EDGE('',*,*,#217409,.T.); #305832=ORIENTED_EDGE('',*,*,#217406,.T.); #305833=ORIENTED_EDGE('',*,*,#217402,.F.); #305834=ORIENTED_EDGE('',*,*,#217420,.T.); #305835=ORIENTED_EDGE('',*,*,#217421,.T.); #305836=ORIENTED_EDGE('',*,*,#217422,.T.); #305837=ORIENTED_EDGE('',*,*,#217423,.F.); #305838=ORIENTED_EDGE('',*,*,#217424,.F.); #305839=ORIENTED_EDGE('',*,*,#217425,.T.); #305840=ORIENTED_EDGE('',*,*,#217426,.T.); #305841=ORIENTED_EDGE('',*,*,#217421,.F.); #305842=ORIENTED_EDGE('',*,*,#217427,.F.); #305843=ORIENTED_EDGE('',*,*,#217428,.T.); #305844=ORIENTED_EDGE('',*,*,#217429,.T.); #305845=ORIENTED_EDGE('',*,*,#217425,.F.); #305846=ORIENTED_EDGE('',*,*,#217430,.F.); #305847=ORIENTED_EDGE('',*,*,#217431,.T.); #305848=ORIENTED_EDGE('',*,*,#217432,.T.); #305849=ORIENTED_EDGE('',*,*,#217428,.F.); #305850=ORIENTED_EDGE('',*,*,#217433,.F.); #305851=ORIENTED_EDGE('',*,*,#217434,.T.); #305852=ORIENTED_EDGE('',*,*,#217435,.T.); #305853=ORIENTED_EDGE('',*,*,#217431,.F.); #305854=ORIENTED_EDGE('',*,*,#217436,.F.); #305855=ORIENTED_EDGE('',*,*,#217423,.T.); #305856=ORIENTED_EDGE('',*,*,#217437,.T.); #305857=ORIENTED_EDGE('',*,*,#217434,.F.); #305858=ORIENTED_EDGE('',*,*,#217437,.F.); #305859=ORIENTED_EDGE('',*,*,#217422,.F.); #305860=ORIENTED_EDGE('',*,*,#217426,.F.); #305861=ORIENTED_EDGE('',*,*,#217429,.F.); #305862=ORIENTED_EDGE('',*,*,#217432,.F.); #305863=ORIENTED_EDGE('',*,*,#217435,.F.); #305864=ORIENTED_EDGE('',*,*,#217436,.T.); #305865=ORIENTED_EDGE('',*,*,#217433,.T.); #305866=ORIENTED_EDGE('',*,*,#217430,.T.); #305867=ORIENTED_EDGE('',*,*,#217427,.T.); #305868=ORIENTED_EDGE('',*,*,#217424,.T.); #305869=ORIENTED_EDGE('',*,*,#217420,.F.); #305870=ORIENTED_EDGE('',*,*,#217438,.T.); #305871=ORIENTED_EDGE('',*,*,#217439,.T.); #305872=ORIENTED_EDGE('',*,*,#217440,.T.); #305873=ORIENTED_EDGE('',*,*,#217441,.F.); #305874=ORIENTED_EDGE('',*,*,#217442,.F.); #305875=ORIENTED_EDGE('',*,*,#217443,.T.); #305876=ORIENTED_EDGE('',*,*,#217444,.T.); #305877=ORIENTED_EDGE('',*,*,#217439,.F.); #305878=ORIENTED_EDGE('',*,*,#217445,.F.); #305879=ORIENTED_EDGE('',*,*,#217446,.T.); #305880=ORIENTED_EDGE('',*,*,#217447,.T.); #305881=ORIENTED_EDGE('',*,*,#217443,.F.); #305882=ORIENTED_EDGE('',*,*,#217448,.F.); #305883=ORIENTED_EDGE('',*,*,#217449,.T.); #305884=ORIENTED_EDGE('',*,*,#217450,.T.); #305885=ORIENTED_EDGE('',*,*,#217446,.F.); #305886=ORIENTED_EDGE('',*,*,#217451,.F.); #305887=ORIENTED_EDGE('',*,*,#217452,.T.); #305888=ORIENTED_EDGE('',*,*,#217453,.T.); #305889=ORIENTED_EDGE('',*,*,#217449,.F.); #305890=ORIENTED_EDGE('',*,*,#217454,.F.); #305891=ORIENTED_EDGE('',*,*,#217441,.T.); #305892=ORIENTED_EDGE('',*,*,#217455,.T.); #305893=ORIENTED_EDGE('',*,*,#217452,.F.); #305894=ORIENTED_EDGE('',*,*,#217455,.F.); #305895=ORIENTED_EDGE('',*,*,#217440,.F.); #305896=ORIENTED_EDGE('',*,*,#217444,.F.); #305897=ORIENTED_EDGE('',*,*,#217447,.F.); #305898=ORIENTED_EDGE('',*,*,#217450,.F.); #305899=ORIENTED_EDGE('',*,*,#217453,.F.); #305900=ORIENTED_EDGE('',*,*,#217454,.T.); #305901=ORIENTED_EDGE('',*,*,#217451,.T.); #305902=ORIENTED_EDGE('',*,*,#217448,.T.); #305903=ORIENTED_EDGE('',*,*,#217445,.T.); #305904=ORIENTED_EDGE('',*,*,#217442,.T.); #305905=ORIENTED_EDGE('',*,*,#217438,.F.); #305906=ORIENTED_EDGE('',*,*,#217456,.F.); #305907=ORIENTED_EDGE('',*,*,#217457,.T.); #305908=ORIENTED_EDGE('',*,*,#217458,.T.); #305909=ORIENTED_EDGE('',*,*,#217459,.F.); #305910=ORIENTED_EDGE('',*,*,#217460,.F.); #305911=ORIENTED_EDGE('',*,*,#217461,.T.); #305912=ORIENTED_EDGE('',*,*,#217462,.T.); #305913=ORIENTED_EDGE('',*,*,#217457,.F.); #305914=ORIENTED_EDGE('',*,*,#217463,.F.); #305915=ORIENTED_EDGE('',*,*,#217464,.T.); #305916=ORIENTED_EDGE('',*,*,#217465,.T.); #305917=ORIENTED_EDGE('',*,*,#217461,.F.); #305918=ORIENTED_EDGE('',*,*,#217466,.F.); #305919=ORIENTED_EDGE('',*,*,#217459,.T.); #305920=ORIENTED_EDGE('',*,*,#217467,.T.); #305921=ORIENTED_EDGE('',*,*,#217464,.F.); #305922=ORIENTED_EDGE('',*,*,#217467,.F.); #305923=ORIENTED_EDGE('',*,*,#217458,.F.); #305924=ORIENTED_EDGE('',*,*,#217462,.F.); #305925=ORIENTED_EDGE('',*,*,#217465,.F.); #305926=ORIENTED_EDGE('',*,*,#217466,.T.); #305927=ORIENTED_EDGE('',*,*,#217463,.T.); #305928=ORIENTED_EDGE('',*,*,#217460,.T.); #305929=ORIENTED_EDGE('',*,*,#217456,.T.); #305930=ORIENTED_EDGE('',*,*,#217468,.T.); #305931=ORIENTED_EDGE('',*,*,#217469,.T.); #305932=ORIENTED_EDGE('',*,*,#217470,.F.); #305933=ORIENTED_EDGE('',*,*,#217471,.F.); #305934=ORIENTED_EDGE('',*,*,#217472,.T.); #305935=ORIENTED_EDGE('',*,*,#217471,.T.); #305936=ORIENTED_EDGE('',*,*,#217473,.F.); #305937=ORIENTED_EDGE('',*,*,#217474,.F.); #305938=ORIENTED_EDGE('',*,*,#217475,.T.); #305939=ORIENTED_EDGE('',*,*,#217474,.T.); #305940=ORIENTED_EDGE('',*,*,#217476,.F.); #305941=ORIENTED_EDGE('',*,*,#217477,.F.); #305942=ORIENTED_EDGE('',*,*,#217478,.T.); #305943=ORIENTED_EDGE('',*,*,#217477,.T.); #305944=ORIENTED_EDGE('',*,*,#217479,.F.); #305945=ORIENTED_EDGE('',*,*,#217469,.F.); #305946=ORIENTED_EDGE('',*,*,#217479,.T.); #305947=ORIENTED_EDGE('',*,*,#217476,.T.); #305948=ORIENTED_EDGE('',*,*,#217473,.T.); #305949=ORIENTED_EDGE('',*,*,#217470,.T.); #305950=ORIENTED_EDGE('',*,*,#217478,.F.); #305951=ORIENTED_EDGE('',*,*,#217468,.F.); #305952=ORIENTED_EDGE('',*,*,#217472,.F.); #305953=ORIENTED_EDGE('',*,*,#217475,.F.); #305954=ORIENTED_EDGE('',*,*,#217480,.T.); #305955=ORIENTED_EDGE('',*,*,#217481,.T.); #305956=ORIENTED_EDGE('',*,*,#217482,.F.); #305957=ORIENTED_EDGE('',*,*,#217483,.F.); #305958=ORIENTED_EDGE('',*,*,#217484,.T.); #305959=ORIENTED_EDGE('',*,*,#217483,.T.); #305960=ORIENTED_EDGE('',*,*,#217485,.F.); #305961=ORIENTED_EDGE('',*,*,#217486,.F.); #305962=ORIENTED_EDGE('',*,*,#217487,.T.); #305963=ORIENTED_EDGE('',*,*,#217486,.T.); #305964=ORIENTED_EDGE('',*,*,#217488,.F.); #305965=ORIENTED_EDGE('',*,*,#217489,.F.); #305966=ORIENTED_EDGE('',*,*,#217490,.T.); #305967=ORIENTED_EDGE('',*,*,#217489,.T.); #305968=ORIENTED_EDGE('',*,*,#217491,.F.); #305969=ORIENTED_EDGE('',*,*,#217481,.F.); #305970=ORIENTED_EDGE('',*,*,#217491,.T.); #305971=ORIENTED_EDGE('',*,*,#217488,.T.); #305972=ORIENTED_EDGE('',*,*,#217485,.T.); #305973=ORIENTED_EDGE('',*,*,#217482,.T.); #305974=ORIENTED_EDGE('',*,*,#217490,.F.); #305975=ORIENTED_EDGE('',*,*,#217480,.F.); #305976=ORIENTED_EDGE('',*,*,#217484,.F.); #305977=ORIENTED_EDGE('',*,*,#217487,.F.); #305978=ORIENTED_EDGE('',*,*,#217492,.T.); #305979=ORIENTED_EDGE('',*,*,#217493,.T.); #305980=ORIENTED_EDGE('',*,*,#217494,.F.); #305981=ORIENTED_EDGE('',*,*,#217495,.F.); #305982=ORIENTED_EDGE('',*,*,#217496,.T.); #305983=ORIENTED_EDGE('',*,*,#217495,.T.); #305984=ORIENTED_EDGE('',*,*,#217497,.F.); #305985=ORIENTED_EDGE('',*,*,#217498,.F.); #305986=ORIENTED_EDGE('',*,*,#217499,.T.); #305987=ORIENTED_EDGE('',*,*,#217498,.T.); #305988=ORIENTED_EDGE('',*,*,#217500,.F.); #305989=ORIENTED_EDGE('',*,*,#217501,.F.); #305990=ORIENTED_EDGE('',*,*,#217502,.T.); #305991=ORIENTED_EDGE('',*,*,#217501,.T.); #305992=ORIENTED_EDGE('',*,*,#217503,.F.); #305993=ORIENTED_EDGE('',*,*,#217493,.F.); #305994=ORIENTED_EDGE('',*,*,#217503,.T.); #305995=ORIENTED_EDGE('',*,*,#217500,.T.); #305996=ORIENTED_EDGE('',*,*,#217497,.T.); #305997=ORIENTED_EDGE('',*,*,#217494,.T.); #305998=ORIENTED_EDGE('',*,*,#217502,.F.); #305999=ORIENTED_EDGE('',*,*,#217492,.F.); #306000=ORIENTED_EDGE('',*,*,#217496,.F.); #306001=ORIENTED_EDGE('',*,*,#217499,.F.); #306002=ORIENTED_EDGE('',*,*,#217504,.F.); #306003=ORIENTED_EDGE('',*,*,#217505,.T.); #306004=ORIENTED_EDGE('',*,*,#217506,.T.); #306005=ORIENTED_EDGE('',*,*,#217507,.F.); #306006=ORIENTED_EDGE('',*,*,#217508,.F.); #306007=ORIENTED_EDGE('',*,*,#217509,.T.); #306008=ORIENTED_EDGE('',*,*,#217510,.T.); #306009=ORIENTED_EDGE('',*,*,#217505,.F.); #306010=ORIENTED_EDGE('',*,*,#217511,.F.); #306011=ORIENTED_EDGE('',*,*,#217512,.T.); #306012=ORIENTED_EDGE('',*,*,#217513,.T.); #306013=ORIENTED_EDGE('',*,*,#217509,.F.); #306014=ORIENTED_EDGE('',*,*,#217514,.F.); #306015=ORIENTED_EDGE('',*,*,#217507,.T.); #306016=ORIENTED_EDGE('',*,*,#217515,.T.); #306017=ORIENTED_EDGE('',*,*,#217512,.F.); #306018=ORIENTED_EDGE('',*,*,#217515,.F.); #306019=ORIENTED_EDGE('',*,*,#217506,.F.); #306020=ORIENTED_EDGE('',*,*,#217510,.F.); #306021=ORIENTED_EDGE('',*,*,#217513,.F.); #306022=ORIENTED_EDGE('',*,*,#217514,.T.); #306023=ORIENTED_EDGE('',*,*,#217511,.T.); #306024=ORIENTED_EDGE('',*,*,#217508,.T.); #306025=ORIENTED_EDGE('',*,*,#217504,.T.); #306026=ORIENTED_EDGE('',*,*,#217516,.F.); #306027=ORIENTED_EDGE('',*,*,#217517,.T.); #306028=ORIENTED_EDGE('',*,*,#217518,.T.); #306029=ORIENTED_EDGE('',*,*,#217519,.F.); #306030=ORIENTED_EDGE('',*,*,#217520,.F.); #306031=ORIENTED_EDGE('',*,*,#217521,.T.); #306032=ORIENTED_EDGE('',*,*,#217522,.T.); #306033=ORIENTED_EDGE('',*,*,#217517,.F.); #306034=ORIENTED_EDGE('',*,*,#217523,.F.); #306035=ORIENTED_EDGE('',*,*,#217524,.T.); #306036=ORIENTED_EDGE('',*,*,#217525,.T.); #306037=ORIENTED_EDGE('',*,*,#217521,.F.); #306038=ORIENTED_EDGE('',*,*,#217526,.F.); #306039=ORIENTED_EDGE('',*,*,#217519,.T.); #306040=ORIENTED_EDGE('',*,*,#217527,.T.); #306041=ORIENTED_EDGE('',*,*,#217524,.F.); #306042=ORIENTED_EDGE('',*,*,#217527,.F.); #306043=ORIENTED_EDGE('',*,*,#217518,.F.); #306044=ORIENTED_EDGE('',*,*,#217522,.F.); #306045=ORIENTED_EDGE('',*,*,#217525,.F.); #306046=ORIENTED_EDGE('',*,*,#217526,.T.); #306047=ORIENTED_EDGE('',*,*,#217523,.T.); #306048=ORIENTED_EDGE('',*,*,#217520,.T.); #306049=ORIENTED_EDGE('',*,*,#217516,.T.); #306050=ORIENTED_EDGE('',*,*,#217528,.F.); #306051=ORIENTED_EDGE('',*,*,#217529,.T.); #306052=ORIENTED_EDGE('',*,*,#217530,.T.); #306053=ORIENTED_EDGE('',*,*,#217531,.F.); #306054=ORIENTED_EDGE('',*,*,#217532,.F.); #306055=ORIENTED_EDGE('',*,*,#217533,.T.); #306056=ORIENTED_EDGE('',*,*,#217534,.T.); #306057=ORIENTED_EDGE('',*,*,#217529,.F.); #306058=ORIENTED_EDGE('',*,*,#217535,.F.); #306059=ORIENTED_EDGE('',*,*,#217536,.T.); #306060=ORIENTED_EDGE('',*,*,#217537,.T.); #306061=ORIENTED_EDGE('',*,*,#217533,.F.); #306062=ORIENTED_EDGE('',*,*,#217538,.F.); #306063=ORIENTED_EDGE('',*,*,#217531,.T.); #306064=ORIENTED_EDGE('',*,*,#217539,.T.); #306065=ORIENTED_EDGE('',*,*,#217536,.F.); #306066=ORIENTED_EDGE('',*,*,#217539,.F.); #306067=ORIENTED_EDGE('',*,*,#217530,.F.); #306068=ORIENTED_EDGE('',*,*,#217534,.F.); #306069=ORIENTED_EDGE('',*,*,#217537,.F.); #306070=ORIENTED_EDGE('',*,*,#217538,.T.); #306071=ORIENTED_EDGE('',*,*,#217535,.T.); #306072=ORIENTED_EDGE('',*,*,#217532,.T.); #306073=ORIENTED_EDGE('',*,*,#217528,.T.); #306074=ORIENTED_EDGE('',*,*,#217540,.T.); #306075=ORIENTED_EDGE('',*,*,#217541,.T.); #306076=ORIENTED_EDGE('',*,*,#217542,.F.); #306077=ORIENTED_EDGE('',*,*,#217543,.F.); #306078=ORIENTED_EDGE('',*,*,#217544,.T.); #306079=ORIENTED_EDGE('',*,*,#217543,.T.); #306080=ORIENTED_EDGE('',*,*,#217545,.F.); #306081=ORIENTED_EDGE('',*,*,#217546,.F.); #306082=ORIENTED_EDGE('',*,*,#217547,.T.); #306083=ORIENTED_EDGE('',*,*,#217546,.T.); #306084=ORIENTED_EDGE('',*,*,#217548,.F.); #306085=ORIENTED_EDGE('',*,*,#217549,.F.); #306086=ORIENTED_EDGE('',*,*,#217550,.T.); #306087=ORIENTED_EDGE('',*,*,#217549,.T.); #306088=ORIENTED_EDGE('',*,*,#217551,.F.); #306089=ORIENTED_EDGE('',*,*,#217541,.F.); #306090=ORIENTED_EDGE('',*,*,#217551,.T.); #306091=ORIENTED_EDGE('',*,*,#217548,.T.); #306092=ORIENTED_EDGE('',*,*,#217545,.T.); #306093=ORIENTED_EDGE('',*,*,#217542,.T.); #306094=ORIENTED_EDGE('',*,*,#217550,.F.); #306095=ORIENTED_EDGE('',*,*,#217540,.F.); #306096=ORIENTED_EDGE('',*,*,#217544,.F.); #306097=ORIENTED_EDGE('',*,*,#217547,.F.); #306098=ORIENTED_EDGE('',*,*,#217552,.T.); #306099=ORIENTED_EDGE('',*,*,#217553,.T.); #306100=ORIENTED_EDGE('',*,*,#217554,.T.); #306101=ORIENTED_EDGE('',*,*,#217555,.F.); #306102=ORIENTED_EDGE('',*,*,#217556,.T.); #306103=ORIENTED_EDGE('',*,*,#217557,.T.); #306104=ORIENTED_EDGE('',*,*,#217558,.T.); #306105=ORIENTED_EDGE('',*,*,#217553,.F.); #306106=ORIENTED_EDGE('',*,*,#217559,.T.); #306107=ORIENTED_EDGE('',*,*,#217560,.T.); #306108=ORIENTED_EDGE('',*,*,#217561,.T.); #306109=ORIENTED_EDGE('',*,*,#217557,.F.); #306110=ORIENTED_EDGE('',*,*,#217562,.T.); #306111=ORIENTED_EDGE('',*,*,#217560,.F.); #306112=ORIENTED_EDGE('',*,*,#217563,.T.); #306113=ORIENTED_EDGE('',*,*,#217555,.T.); #306114=ORIENTED_EDGE('',*,*,#217562,.F.); #306115=ORIENTED_EDGE('',*,*,#217564,.F.); #306116=ORIENTED_EDGE('',*,*,#217565,.T.); #306117=ORIENTED_EDGE('',*,*,#217566,.T.); #306118=ORIENTED_EDGE('',*,*,#217554,.F.); #306119=ORIENTED_EDGE('',*,*,#217567,.F.); #306120=ORIENTED_EDGE('',*,*,#217568,.T.); #306121=ORIENTED_EDGE('',*,*,#217564,.T.); #306122=ORIENTED_EDGE('',*,*,#217552,.F.); #306123=ORIENTED_EDGE('',*,*,#217563,.F.); #306124=ORIENTED_EDGE('',*,*,#217559,.F.); #306125=ORIENTED_EDGE('',*,*,#217556,.F.); #306126=ORIENTED_EDGE('',*,*,#217558,.F.); #306127=ORIENTED_EDGE('',*,*,#217569,.F.); #306128=ORIENTED_EDGE('',*,*,#217570,.T.); #306129=ORIENTED_EDGE('',*,*,#217567,.T.); #306130=ORIENTED_EDGE('',*,*,#217561,.F.); #306131=ORIENTED_EDGE('',*,*,#217566,.F.); #306132=ORIENTED_EDGE('',*,*,#217571,.T.); #306133=ORIENTED_EDGE('',*,*,#217569,.T.); #306134=ORIENTED_EDGE('',*,*,#217571,.F.); #306135=ORIENTED_EDGE('',*,*,#217565,.F.); #306136=ORIENTED_EDGE('',*,*,#217568,.F.); #306137=ORIENTED_EDGE('',*,*,#217570,.F.); #306138=ORIENTED_EDGE('',*,*,#217572,.F.); #306139=ORIENTED_EDGE('',*,*,#217573,.T.); #306140=ORIENTED_EDGE('',*,*,#217574,.F.); #306141=ORIENTED_EDGE('',*,*,#217575,.F.); #306142=ORIENTED_EDGE('',*,*,#217576,.T.); #306143=ORIENTED_EDGE('',*,*,#217575,.T.); #306144=ORIENTED_EDGE('',*,*,#217577,.F.); #306145=ORIENTED_EDGE('',*,*,#217578,.F.); #306146=ORIENTED_EDGE('',*,*,#217579,.T.); #306147=ORIENTED_EDGE('',*,*,#217578,.T.); #306148=ORIENTED_EDGE('',*,*,#217580,.F.); #306149=ORIENTED_EDGE('',*,*,#217581,.F.); #306150=ORIENTED_EDGE('',*,*,#217582,.T.); #306151=ORIENTED_EDGE('',*,*,#217581,.T.); #306152=ORIENTED_EDGE('',*,*,#217583,.F.); #306153=ORIENTED_EDGE('',*,*,#217573,.F.); #306154=ORIENTED_EDGE('',*,*,#217583,.T.); #306155=ORIENTED_EDGE('',*,*,#217580,.T.); #306156=ORIENTED_EDGE('',*,*,#217577,.T.); #306157=ORIENTED_EDGE('',*,*,#217574,.T.); #306158=ORIENTED_EDGE('',*,*,#217582,.F.); #306159=ORIENTED_EDGE('',*,*,#217572,.T.); #306160=ORIENTED_EDGE('',*,*,#217576,.F.); #306161=ORIENTED_EDGE('',*,*,#217579,.F.); #306162=ORIENTED_EDGE('',*,*,#217584,.T.); #306163=ORIENTED_EDGE('',*,*,#217585,.T.); #306164=ORIENTED_EDGE('',*,*,#217586,.F.); #306165=ORIENTED_EDGE('',*,*,#217587,.F.); #306166=ORIENTED_EDGE('',*,*,#217588,.T.); #306167=ORIENTED_EDGE('',*,*,#217587,.T.); #306168=ORIENTED_EDGE('',*,*,#217589,.F.); #306169=ORIENTED_EDGE('',*,*,#217590,.F.); #306170=ORIENTED_EDGE('',*,*,#217591,.T.); #306171=ORIENTED_EDGE('',*,*,#217590,.T.); #306172=ORIENTED_EDGE('',*,*,#217592,.F.); #306173=ORIENTED_EDGE('',*,*,#217593,.F.); #306174=ORIENTED_EDGE('',*,*,#217594,.T.); #306175=ORIENTED_EDGE('',*,*,#217593,.T.); #306176=ORIENTED_EDGE('',*,*,#217595,.F.); #306177=ORIENTED_EDGE('',*,*,#217585,.F.); #306178=ORIENTED_EDGE('',*,*,#217595,.T.); #306179=ORIENTED_EDGE('',*,*,#217592,.T.); #306180=ORIENTED_EDGE('',*,*,#217589,.T.); #306181=ORIENTED_EDGE('',*,*,#217586,.T.); #306182=ORIENTED_EDGE('',*,*,#217594,.F.); #306183=ORIENTED_EDGE('',*,*,#217584,.F.); #306184=ORIENTED_EDGE('',*,*,#217588,.F.); #306185=ORIENTED_EDGE('',*,*,#217591,.F.); #306186=ORIENTED_EDGE('',*,*,#217596,.F.); #306187=ORIENTED_EDGE('',*,*,#217597,.T.); #306188=ORIENTED_EDGE('',*,*,#217598,.F.); #306189=ORIENTED_EDGE('',*,*,#217599,.F.); #306190=ORIENTED_EDGE('',*,*,#217600,.F.); #306191=ORIENTED_EDGE('',*,*,#217599,.T.); #306192=ORIENTED_EDGE('',*,*,#217601,.F.); #306193=ORIENTED_EDGE('',*,*,#217602,.F.); #306194=ORIENTED_EDGE('',*,*,#217603,.F.); #306195=ORIENTED_EDGE('',*,*,#217602,.T.); #306196=ORIENTED_EDGE('',*,*,#217604,.F.); #306197=ORIENTED_EDGE('',*,*,#217605,.F.); #306198=ORIENTED_EDGE('',*,*,#217606,.F.); #306199=ORIENTED_EDGE('',*,*,#217605,.T.); #306200=ORIENTED_EDGE('',*,*,#217607,.F.); #306201=ORIENTED_EDGE('',*,*,#217597,.F.); #306202=ORIENTED_EDGE('',*,*,#217607,.T.); #306203=ORIENTED_EDGE('',*,*,#217604,.T.); #306204=ORIENTED_EDGE('',*,*,#217601,.T.); #306205=ORIENTED_EDGE('',*,*,#217598,.T.); #306206=ORIENTED_EDGE('',*,*,#217606,.T.); #306207=ORIENTED_EDGE('',*,*,#217596,.T.); #306208=ORIENTED_EDGE('',*,*,#217600,.T.); #306209=ORIENTED_EDGE('',*,*,#217603,.T.); #306210=ORIENTED_EDGE('',*,*,#217608,.F.); #306211=ORIENTED_EDGE('',*,*,#217609,.T.); #306212=ORIENTED_EDGE('',*,*,#217610,.F.); #306213=ORIENTED_EDGE('',*,*,#217611,.F.); #306214=ORIENTED_EDGE('',*,*,#217612,.F.); #306215=ORIENTED_EDGE('',*,*,#217611,.T.); #306216=ORIENTED_EDGE('',*,*,#217613,.F.); #306217=ORIENTED_EDGE('',*,*,#217614,.F.); #306218=ORIENTED_EDGE('',*,*,#217615,.F.); #306219=ORIENTED_EDGE('',*,*,#217614,.T.); #306220=ORIENTED_EDGE('',*,*,#217616,.F.); #306221=ORIENTED_EDGE('',*,*,#217617,.F.); #306222=ORIENTED_EDGE('',*,*,#217618,.T.); #306223=ORIENTED_EDGE('',*,*,#217617,.T.); #306224=ORIENTED_EDGE('',*,*,#217619,.F.); #306225=ORIENTED_EDGE('',*,*,#217609,.F.); #306226=ORIENTED_EDGE('',*,*,#217619,.T.); #306227=ORIENTED_EDGE('',*,*,#217616,.T.); #306228=ORIENTED_EDGE('',*,*,#217613,.T.); #306229=ORIENTED_EDGE('',*,*,#217610,.T.); #306230=ORIENTED_EDGE('',*,*,#217618,.F.); #306231=ORIENTED_EDGE('',*,*,#217608,.T.); #306232=ORIENTED_EDGE('',*,*,#217612,.T.); #306233=ORIENTED_EDGE('',*,*,#217615,.T.); #306234=ORIENTED_EDGE('',*,*,#217620,.T.); #306235=ORIENTED_EDGE('',*,*,#217621,.T.); #306236=ORIENTED_EDGE('',*,*,#217622,.F.); #306237=ORIENTED_EDGE('',*,*,#217621,.F.); #306238=ORIENTED_EDGE('',*,*,#217623,.T.); #306239=ORIENTED_EDGE('',*,*,#217624,.T.); #306240=ORIENTED_EDGE('',*,*,#217625,.F.); #306241=ORIENTED_EDGE('',*,*,#217624,.F.); #306242=ORIENTED_EDGE('',*,*,#217625,.T.); #306243=ORIENTED_EDGE('',*,*,#217620,.F.); #306244=ORIENTED_EDGE('',*,*,#217623,.F.); #306245=ORIENTED_EDGE('',*,*,#217622,.T.); #306246=ORIENTED_EDGE('',*,*,#217626,.T.); #306247=ORIENTED_EDGE('',*,*,#217627,.T.); #306248=ORIENTED_EDGE('',*,*,#217628,.F.); #306249=ORIENTED_EDGE('',*,*,#217629,.F.); #306250=ORIENTED_EDGE('',*,*,#217630,.T.); #306251=ORIENTED_EDGE('',*,*,#217631,.T.); #306252=ORIENTED_EDGE('',*,*,#217632,.T.); #306253=ORIENTED_EDGE('',*,*,#217626,.F.); #306254=ORIENTED_EDGE('',*,*,#217633,.T.); #306255=ORIENTED_EDGE('',*,*,#217634,.F.); #306256=ORIENTED_EDGE('',*,*,#217635,.T.); #306257=ORIENTED_EDGE('',*,*,#217636,.F.); #306258=ORIENTED_EDGE('',*,*,#217637,.T.); #306259=ORIENTED_EDGE('',*,*,#217638,.T.); #306260=ORIENTED_EDGE('',*,*,#217639,.T.); #306261=ORIENTED_EDGE('',*,*,#217640,.T.); #306262=ORIENTED_EDGE('',*,*,#217641,.T.); #306263=ORIENTED_EDGE('',*,*,#217642,.T.); #306264=ORIENTED_EDGE('',*,*,#217643,.T.); #306265=ORIENTED_EDGE('',*,*,#217638,.F.); #306266=ORIENTED_EDGE('',*,*,#217644,.T.); #306267=ORIENTED_EDGE('',*,*,#217645,.F.); #306268=ORIENTED_EDGE('',*,*,#217646,.T.); #306269=ORIENTED_EDGE('',*,*,#217647,.F.); #306270=ORIENTED_EDGE('',*,*,#217648,.F.); #306271=ORIENTED_EDGE('',*,*,#217649,.T.); #306272=ORIENTED_EDGE('',*,*,#217641,.F.); #306273=ORIENTED_EDGE('',*,*,#217650,.F.); #306274=ORIENTED_EDGE('',*,*,#217635,.F.); #306275=ORIENTED_EDGE('',*,*,#217651,.F.); #306276=ORIENTED_EDGE('',*,*,#217633,.F.); #306277=ORIENTED_EDGE('',*,*,#217630,.F.); #306278=ORIENTED_EDGE('',*,*,#217652,.F.); #306279=ORIENTED_EDGE('',*,*,#217646,.F.); #306280=ORIENTED_EDGE('',*,*,#217653,.F.); #306281=ORIENTED_EDGE('',*,*,#217644,.F.); #306282=ORIENTED_EDGE('',*,*,#217649,.F.); #306283=ORIENTED_EDGE('',*,*,#217654,.F.); #306284=ORIENTED_EDGE('',*,*,#217627,.F.); #306285=ORIENTED_EDGE('',*,*,#217632,.F.); #306286=ORIENTED_EDGE('',*,*,#217655,.F.); #306287=ORIENTED_EDGE('',*,*,#217637,.F.); #306288=ORIENTED_EDGE('',*,*,#217656,.F.); #306289=ORIENTED_EDGE('',*,*,#217639,.F.); #306290=ORIENTED_EDGE('',*,*,#217643,.F.); #306291=ORIENTED_EDGE('',*,*,#217657,.F.); #306292=ORIENTED_EDGE('',*,*,#217658,.T.); #306293=ORIENTED_EDGE('',*,*,#217659,.F.); #306294=ORIENTED_EDGE('',*,*,#217660,.F.); #306295=ORIENTED_EDGE('',*,*,#217661,.F.); #306296=ORIENTED_EDGE('',*,*,#217662,.F.); #306297=ORIENTED_EDGE('',*,*,#217628,.T.); #306298=ORIENTED_EDGE('',*,*,#217654,.T.); #306299=ORIENTED_EDGE('',*,*,#217648,.T.); #306300=ORIENTED_EDGE('',*,*,#217629,.T.); #306301=ORIENTED_EDGE('',*,*,#217662,.T.); #306302=ORIENTED_EDGE('',*,*,#217663,.F.); #306303=ORIENTED_EDGE('',*,*,#217664,.F.); #306304=ORIENTED_EDGE('',*,*,#217665,.F.); #306305=ORIENTED_EDGE('',*,*,#217658,.F.); #306306=ORIENTED_EDGE('',*,*,#217647,.T.); #306307=ORIENTED_EDGE('',*,*,#217652,.T.); #306308=ORIENTED_EDGE('',*,*,#217645,.T.); #306309=ORIENTED_EDGE('',*,*,#217657,.T.); #306310=ORIENTED_EDGE('',*,*,#217642,.F.); #306311=ORIENTED_EDGE('',*,*,#217653,.T.); #306312=ORIENTED_EDGE('',*,*,#217634,.T.); #306313=ORIENTED_EDGE('',*,*,#217655,.T.); #306314=ORIENTED_EDGE('',*,*,#217631,.F.); #306315=ORIENTED_EDGE('',*,*,#217651,.T.); #306316=ORIENTED_EDGE('',*,*,#217666,.F.); #306317=ORIENTED_EDGE('',*,*,#217667,.F.); #306318=ORIENTED_EDGE('',*,*,#217668,.F.); #306319=ORIENTED_EDGE('',*,*,#217669,.F.); #306320=ORIENTED_EDGE('',*,*,#217670,.F.); #306321=ORIENTED_EDGE('',*,*,#217671,.F.); #306322=ORIENTED_EDGE('',*,*,#217636,.T.); #306323=ORIENTED_EDGE('',*,*,#217650,.T.); #306324=ORIENTED_EDGE('',*,*,#217640,.F.); #306325=ORIENTED_EDGE('',*,*,#217656,.T.); #306326=ORIENTED_EDGE('',*,*,#217672,.F.); #306327=ORIENTED_EDGE('',*,*,#217659,.T.); #306328=ORIENTED_EDGE('',*,*,#217673,.T.); #306329=ORIENTED_EDGE('',*,*,#217666,.T.); #306330=ORIENTED_EDGE('',*,*,#217674,.F.); #306331=ORIENTED_EDGE('',*,*,#217660,.T.); #306332=ORIENTED_EDGE('',*,*,#217672,.T.); #306333=ORIENTED_EDGE('',*,*,#217671,.T.); #306334=ORIENTED_EDGE('',*,*,#217675,.F.); #306335=ORIENTED_EDGE('',*,*,#217661,.T.); #306336=ORIENTED_EDGE('',*,*,#217674,.T.); #306337=ORIENTED_EDGE('',*,*,#217670,.T.); #306338=ORIENTED_EDGE('',*,*,#217676,.F.); #306339=ORIENTED_EDGE('',*,*,#217663,.T.); #306340=ORIENTED_EDGE('',*,*,#217675,.T.); #306341=ORIENTED_EDGE('',*,*,#217669,.T.); #306342=ORIENTED_EDGE('',*,*,#217677,.F.); #306343=ORIENTED_EDGE('',*,*,#217664,.T.); #306344=ORIENTED_EDGE('',*,*,#217676,.T.); #306345=ORIENTED_EDGE('',*,*,#217668,.T.); #306346=ORIENTED_EDGE('',*,*,#217673,.F.); #306347=ORIENTED_EDGE('',*,*,#217665,.T.); #306348=ORIENTED_EDGE('',*,*,#217677,.T.); #306349=ORIENTED_EDGE('',*,*,#217667,.T.); #306350=ORIENTED_EDGE('',*,*,#217678,.F.); #306351=ORIENTED_EDGE('',*,*,#217679,.T.); #306352=ORIENTED_EDGE('',*,*,#217680,.F.); #306353=ORIENTED_EDGE('',*,*,#217681,.T.); #306354=ORIENTED_EDGE('',*,*,#217682,.F.); #306355=ORIENTED_EDGE('',*,*,#217683,.T.); #306356=ORIENTED_EDGE('',*,*,#217678,.T.); #306357=ORIENTED_EDGE('',*,*,#217684,.T.); #306358=ORIENTED_EDGE('',*,*,#217685,.F.); #306359=ORIENTED_EDGE('',*,*,#217686,.T.); #306360=ORIENTED_EDGE('',*,*,#217682,.T.); #306361=ORIENTED_EDGE('',*,*,#217687,.T.); #306362=ORIENTED_EDGE('',*,*,#217688,.F.); #306363=ORIENTED_EDGE('',*,*,#217689,.T.); #306364=ORIENTED_EDGE('',*,*,#217685,.T.); #306365=ORIENTED_EDGE('',*,*,#217690,.T.); #306366=ORIENTED_EDGE('',*,*,#217691,.F.); #306367=ORIENTED_EDGE('',*,*,#217692,.T.); #306368=ORIENTED_EDGE('',*,*,#217688,.T.); #306369=ORIENTED_EDGE('',*,*,#217693,.T.); #306370=ORIENTED_EDGE('',*,*,#217694,.F.); #306371=ORIENTED_EDGE('',*,*,#217695,.T.); #306372=ORIENTED_EDGE('',*,*,#217691,.T.); #306373=ORIENTED_EDGE('',*,*,#217696,.T.); #306374=ORIENTED_EDGE('',*,*,#217697,.F.); #306375=ORIENTED_EDGE('',*,*,#217698,.T.); #306376=ORIENTED_EDGE('',*,*,#217694,.T.); #306377=ORIENTED_EDGE('',*,*,#217699,.T.); #306378=ORIENTED_EDGE('',*,*,#217700,.F.); #306379=ORIENTED_EDGE('',*,*,#217701,.T.); #306380=ORIENTED_EDGE('',*,*,#217702,.F.); #306381=ORIENTED_EDGE('',*,*,#217703,.F.); #306382=ORIENTED_EDGE('',*,*,#217704,.T.); #306383=ORIENTED_EDGE('',*,*,#217700,.T.); #306384=ORIENTED_EDGE('',*,*,#217705,.F.); #306385=ORIENTED_EDGE('',*,*,#217706,.F.); #306386=ORIENTED_EDGE('',*,*,#217707,.F.); #306387=ORIENTED_EDGE('',*,*,#217708,.T.); #306388=ORIENTED_EDGE('',*,*,#217703,.T.); #306389=ORIENTED_EDGE('',*,*,#217709,.F.); #306390=ORIENTED_EDGE('',*,*,#217710,.F.); #306391=ORIENTED_EDGE('',*,*,#217711,.T.); #306392=ORIENTED_EDGE('',*,*,#217707,.T.); #306393=ORIENTED_EDGE('',*,*,#217712,.F.); #306394=ORIENTED_EDGE('',*,*,#217713,.F.); #306395=ORIENTED_EDGE('',*,*,#217714,.T.); #306396=ORIENTED_EDGE('',*,*,#217710,.T.); #306397=ORIENTED_EDGE('',*,*,#217715,.F.); #306398=ORIENTED_EDGE('',*,*,#217716,.F.); #306399=ORIENTED_EDGE('',*,*,#217717,.T.); #306400=ORIENTED_EDGE('',*,*,#217713,.T.); #306401=ORIENTED_EDGE('',*,*,#217718,.F.); #306402=ORIENTED_EDGE('',*,*,#217719,.F.); #306403=ORIENTED_EDGE('',*,*,#217720,.T.); #306404=ORIENTED_EDGE('',*,*,#217716,.T.); #306405=ORIENTED_EDGE('',*,*,#217721,.F.); #306406=ORIENTED_EDGE('',*,*,#217722,.T.); #306407=ORIENTED_EDGE('',*,*,#217723,.F.); #306408=ORIENTED_EDGE('',*,*,#217724,.F.); #306409=ORIENTED_EDGE('',*,*,#217725,.T.); #306410=ORIENTED_EDGE('',*,*,#217726,.F.); #306411=ORIENTED_EDGE('',*,*,#217727,.F.); #306412=ORIENTED_EDGE('',*,*,#217728,.T.); #306413=ORIENTED_EDGE('',*,*,#217729,.T.); #306414=ORIENTED_EDGE('',*,*,#217730,.T.); #306415=ORIENTED_EDGE('',*,*,#217731,.T.); #306416=ORIENTED_EDGE('',*,*,#217732,.T.); #306417=ORIENTED_EDGE('',*,*,#217733,.F.); #306418=ORIENTED_EDGE('',*,*,#217734,.T.); #306419=ORIENTED_EDGE('',*,*,#217735,.F.); #306420=ORIENTED_EDGE('',*,*,#217736,.F.); #306421=ORIENTED_EDGE('',*,*,#217737,.T.); #306422=ORIENTED_EDGE('',*,*,#217738,.T.); #306423=ORIENTED_EDGE('',*,*,#217739,.F.); #306424=ORIENTED_EDGE('',*,*,#217740,.F.); #306425=ORIENTED_EDGE('',*,*,#217741,.T.); #306426=ORIENTED_EDGE('',*,*,#217742,.T.); #306427=ORIENTED_EDGE('',*,*,#217743,.T.); #306428=ORIENTED_EDGE('',*,*,#217744,.T.); #306429=ORIENTED_EDGE('',*,*,#217745,.F.); #306430=ORIENTED_EDGE('',*,*,#217746,.T.); #306431=ORIENTED_EDGE('',*,*,#217747,.F.); #306432=ORIENTED_EDGE('',*,*,#217748,.F.); #306433=ORIENTED_EDGE('',*,*,#217749,.F.); #306434=ORIENTED_EDGE('',*,*,#217750,.T.); #306435=ORIENTED_EDGE('',*,*,#217749,.T.); #306436=ORIENTED_EDGE('',*,*,#217751,.F.); #306437=ORIENTED_EDGE('',*,*,#217752,.F.); #306438=ORIENTED_EDGE('',*,*,#217753,.T.); #306439=ORIENTED_EDGE('',*,*,#217754,.T.); #306440=ORIENTED_EDGE('',*,*,#217755,.F.); #306441=ORIENTED_EDGE('',*,*,#217756,.F.); #306442=ORIENTED_EDGE('',*,*,#217757,.T.); #306443=ORIENTED_EDGE('',*,*,#217756,.T.); #306444=ORIENTED_EDGE('',*,*,#217758,.F.); #306445=ORIENTED_EDGE('',*,*,#217759,.F.); #306446=ORIENTED_EDGE('',*,*,#217760,.F.); #306447=ORIENTED_EDGE('',*,*,#217758,.T.); #306448=ORIENTED_EDGE('',*,*,#217755,.T.); #306449=ORIENTED_EDGE('',*,*,#217761,.T.); #306450=ORIENTED_EDGE('',*,*,#217751,.T.); #306451=ORIENTED_EDGE('',*,*,#217748,.T.); #306452=ORIENTED_EDGE('',*,*,#217759,.T.); #306453=ORIENTED_EDGE('',*,*,#217760,.T.); #306454=ORIENTED_EDGE('',*,*,#217747,.T.); #306455=ORIENTED_EDGE('',*,*,#217762,.T.); #306456=ORIENTED_EDGE('',*,*,#217763,.T.); #306457=ORIENTED_EDGE('',*,*,#217764,.T.); #306458=ORIENTED_EDGE('',*,*,#217765,.T.); #306459=ORIENTED_EDGE('',*,*,#217766,.T.); #306460=ORIENTED_EDGE('',*,*,#217767,.T.); #306461=ORIENTED_EDGE('',*,*,#217768,.T.); #306462=ORIENTED_EDGE('',*,*,#217769,.T.); #306463=ORIENTED_EDGE('',*,*,#217770,.T.); #306464=ORIENTED_EDGE('',*,*,#217771,.T.); #306465=ORIENTED_EDGE('',*,*,#217772,.T.); #306466=ORIENTED_EDGE('',*,*,#217768,.F.); #306467=ORIENTED_EDGE('',*,*,#217773,.F.); #306468=ORIENTED_EDGE('',*,*,#217774,.F.); #306469=ORIENTED_EDGE('',*,*,#217775,.T.); #306470=ORIENTED_EDGE('',*,*,#217774,.T.); #306471=ORIENTED_EDGE('',*,*,#217776,.F.); #306472=ORIENTED_EDGE('',*,*,#217777,.F.); #306473=ORIENTED_EDGE('',*,*,#217778,.T.); #306474=ORIENTED_EDGE('',*,*,#217779,.T.); #306475=ORIENTED_EDGE('',*,*,#217780,.F.); #306476=ORIENTED_EDGE('',*,*,#217781,.F.); #306477=ORIENTED_EDGE('',*,*,#217782,.T.); #306478=ORIENTED_EDGE('',*,*,#217781,.T.); #306479=ORIENTED_EDGE('',*,*,#217783,.F.); #306480=ORIENTED_EDGE('',*,*,#217766,.F.); #306481=ORIENTED_EDGE('',*,*,#217767,.F.); #306482=ORIENTED_EDGE('',*,*,#217783,.T.); #306483=ORIENTED_EDGE('',*,*,#217780,.T.); #306484=ORIENTED_EDGE('',*,*,#217784,.T.); #306485=ORIENTED_EDGE('',*,*,#217776,.T.); #306486=ORIENTED_EDGE('',*,*,#217773,.T.); #306487=ORIENTED_EDGE('',*,*,#217785,.F.); #306488=ORIENTED_EDGE('',*,*,#217786,.T.); #306489=ORIENTED_EDGE('',*,*,#217787,.T.); #306490=ORIENTED_EDGE('',*,*,#217788,.F.); #306491=ORIENTED_EDGE('',*,*,#217789,.F.); #306492=ORIENTED_EDGE('',*,*,#217790,.T.); #306493=ORIENTED_EDGE('',*,*,#217791,.T.); #306494=ORIENTED_EDGE('',*,*,#217786,.F.); #306495=ORIENTED_EDGE('',*,*,#217792,.F.); #306496=ORIENTED_EDGE('',*,*,#217793,.T.); #306497=ORIENTED_EDGE('',*,*,#217794,.T.); #306498=ORIENTED_EDGE('',*,*,#217795,.F.); #306499=ORIENTED_EDGE('',*,*,#217796,.F.); #306500=ORIENTED_EDGE('',*,*,#217797,.T.); #306501=ORIENTED_EDGE('',*,*,#217798,.T.); #306502=ORIENTED_EDGE('',*,*,#217793,.F.); #306503=ORIENTED_EDGE('',*,*,#217799,.F.); #306504=ORIENTED_EDGE('',*,*,#217800,.T.); #306505=ORIENTED_EDGE('',*,*,#217801,.T.); #306506=ORIENTED_EDGE('',*,*,#217802,.F.); #306507=ORIENTED_EDGE('',*,*,#217803,.F.); #306508=ORIENTED_EDGE('',*,*,#217804,.T.); #306509=ORIENTED_EDGE('',*,*,#217805,.T.); #306510=ORIENTED_EDGE('',*,*,#217800,.F.); #306511=ORIENTED_EDGE('',*,*,#217762,.F.); #306512=ORIENTED_EDGE('',*,*,#217746,.F.); #306513=ORIENTED_EDGE('',*,*,#217806,.T.); #306514=ORIENTED_EDGE('',*,*,#217807,.F.); #306515=ORIENTED_EDGE('',*,*,#217771,.F.); #306516=ORIENTED_EDGE('',*,*,#217808,.T.); #306517=ORIENTED_EDGE('',*,*,#217809,.T.); #306518=ORIENTED_EDGE('',*,*,#217757,.F.); #306519=ORIENTED_EDGE('',*,*,#217810,.F.); #306520=ORIENTED_EDGE('',*,*,#217811,.T.); #306521=ORIENTED_EDGE('',*,*,#217812,.T.); #306522=ORIENTED_EDGE('',*,*,#217813,.F.); #306523=ORIENTED_EDGE('',*,*,#217814,.F.); #306524=ORIENTED_EDGE('',*,*,#217815,.T.); #306525=ORIENTED_EDGE('',*,*,#217816,.T.); #306526=ORIENTED_EDGE('',*,*,#217811,.F.); #306527=ORIENTED_EDGE('',*,*,#217817,.F.); #306528=ORIENTED_EDGE('',*,*,#217818,.T.); #306529=ORIENTED_EDGE('',*,*,#217819,.T.); #306530=ORIENTED_EDGE('',*,*,#217820,.F.); #306531=ORIENTED_EDGE('',*,*,#217821,.F.); #306532=ORIENTED_EDGE('',*,*,#217822,.T.); #306533=ORIENTED_EDGE('',*,*,#217823,.T.); #306534=ORIENTED_EDGE('',*,*,#217818,.F.); #306535=ORIENTED_EDGE('',*,*,#217824,.F.); #306536=ORIENTED_EDGE('',*,*,#217825,.T.); #306537=ORIENTED_EDGE('',*,*,#217826,.T.); #306538=ORIENTED_EDGE('',*,*,#217827,.F.); #306539=ORIENTED_EDGE('',*,*,#217828,.F.); #306540=ORIENTED_EDGE('',*,*,#217829,.T.); #306541=ORIENTED_EDGE('',*,*,#217830,.T.); #306542=ORIENTED_EDGE('',*,*,#217825,.F.); #306543=ORIENTED_EDGE('',*,*,#217831,.F.); #306544=ORIENTED_EDGE('',*,*,#217832,.T.); #306545=ORIENTED_EDGE('',*,*,#217833,.T.); #306546=ORIENTED_EDGE('',*,*,#217834,.F.); #306547=ORIENTED_EDGE('',*,*,#217835,.F.); #306548=ORIENTED_EDGE('',*,*,#217836,.T.); #306549=ORIENTED_EDGE('',*,*,#217837,.T.); #306550=ORIENTED_EDGE('',*,*,#217832,.F.); #306551=ORIENTED_EDGE('',*,*,#217833,.F.); #306552=ORIENTED_EDGE('',*,*,#217838,.F.); #306553=ORIENTED_EDGE('',*,*,#217753,.F.); #306554=ORIENTED_EDGE('',*,*,#217809,.F.); #306555=ORIENTED_EDGE('',*,*,#217839,.F.); #306556=ORIENTED_EDGE('',*,*,#217838,.T.); #306557=ORIENTED_EDGE('',*,*,#217840,.T.); #306558=ORIENTED_EDGE('',*,*,#217841,.T.); #306559=ORIENTED_EDGE('',*,*,#217842,.T.); #306560=ORIENTED_EDGE('',*,*,#217843,.T.); #306561=ORIENTED_EDGE('',*,*,#217844,.T.); #306562=ORIENTED_EDGE('',*,*,#217845,.T.); #306563=ORIENTED_EDGE('',*,*,#217846,.T.); #306564=ORIENTED_EDGE('',*,*,#217752,.T.); #306565=ORIENTED_EDGE('',*,*,#217761,.F.); #306566=ORIENTED_EDGE('',*,*,#217754,.F.); #306567=ORIENTED_EDGE('',*,*,#217826,.F.); #306568=ORIENTED_EDGE('',*,*,#217840,.F.); #306569=ORIENTED_EDGE('',*,*,#217837,.F.); #306570=ORIENTED_EDGE('',*,*,#217847,.F.); #306571=ORIENTED_EDGE('',*,*,#217819,.F.); #306572=ORIENTED_EDGE('',*,*,#217841,.F.); #306573=ORIENTED_EDGE('',*,*,#217830,.F.); #306574=ORIENTED_EDGE('',*,*,#217848,.F.); #306575=ORIENTED_EDGE('',*,*,#217812,.F.); #306576=ORIENTED_EDGE('',*,*,#217842,.F.); #306577=ORIENTED_EDGE('',*,*,#217823,.F.); #306578=ORIENTED_EDGE('',*,*,#217849,.F.); #306579=ORIENTED_EDGE('',*,*,#217787,.F.); #306580=ORIENTED_EDGE('',*,*,#217843,.F.); #306581=ORIENTED_EDGE('',*,*,#217816,.F.); #306582=ORIENTED_EDGE('',*,*,#217850,.F.); #306583=ORIENTED_EDGE('',*,*,#217794,.F.); #306584=ORIENTED_EDGE('',*,*,#217844,.F.); #306585=ORIENTED_EDGE('',*,*,#217791,.F.); #306586=ORIENTED_EDGE('',*,*,#217851,.F.); #306587=ORIENTED_EDGE('',*,*,#217801,.F.); #306588=ORIENTED_EDGE('',*,*,#217845,.F.); #306589=ORIENTED_EDGE('',*,*,#217798,.F.); #306590=ORIENTED_EDGE('',*,*,#217852,.F.); #306591=ORIENTED_EDGE('',*,*,#217806,.F.); #306592=ORIENTED_EDGE('',*,*,#217750,.F.); #306593=ORIENTED_EDGE('',*,*,#217846,.F.); #306594=ORIENTED_EDGE('',*,*,#217805,.F.); #306595=ORIENTED_EDGE('',*,*,#217853,.F.); #306596=ORIENTED_EDGE('',*,*,#217828,.T.); #306597=ORIENTED_EDGE('',*,*,#217824,.T.); #306598=ORIENTED_EDGE('',*,*,#217854,.T.); #306599=ORIENTED_EDGE('',*,*,#217821,.T.); #306600=ORIENTED_EDGE('',*,*,#217817,.T.); #306601=ORIENTED_EDGE('',*,*,#217855,.T.); #306602=ORIENTED_EDGE('',*,*,#217831,.T.); #306603=ORIENTED_EDGE('',*,*,#217856,.T.); #306604=ORIENTED_EDGE('',*,*,#217835,.T.); #306605=ORIENTED_EDGE('',*,*,#217814,.T.); #306606=ORIENTED_EDGE('',*,*,#217810,.T.); #306607=ORIENTED_EDGE('',*,*,#217857,.T.); #306608=ORIENTED_EDGE('',*,*,#217789,.T.); #306609=ORIENTED_EDGE('',*,*,#217785,.T.); #306610=ORIENTED_EDGE('',*,*,#217858,.T.); #306611=ORIENTED_EDGE('',*,*,#217799,.T.); #306612=ORIENTED_EDGE('',*,*,#217859,.T.); #306613=ORIENTED_EDGE('',*,*,#217803,.T.); #306614=ORIENTED_EDGE('',*,*,#217860,.F.); #306615=ORIENTED_EDGE('',*,*,#217861,.T.); #306616=ORIENTED_EDGE('',*,*,#217862,.T.); #306617=ORIENTED_EDGE('',*,*,#217863,.F.); #306618=ORIENTED_EDGE('',*,*,#217864,.F.); #306619=ORIENTED_EDGE('',*,*,#217865,.T.); #306620=ORIENTED_EDGE('',*,*,#217866,.T.); #306621=ORIENTED_EDGE('',*,*,#217861,.F.); #306622=ORIENTED_EDGE('',*,*,#217867,.F.); #306623=ORIENTED_EDGE('',*,*,#217868,.T.); #306624=ORIENTED_EDGE('',*,*,#217869,.T.); #306625=ORIENTED_EDGE('',*,*,#217870,.F.); #306626=ORIENTED_EDGE('',*,*,#217871,.F.); #306627=ORIENTED_EDGE('',*,*,#217872,.T.); #306628=ORIENTED_EDGE('',*,*,#217873,.T.); #306629=ORIENTED_EDGE('',*,*,#217868,.F.); #306630=ORIENTED_EDGE('',*,*,#217874,.F.); #306631=ORIENTED_EDGE('',*,*,#217875,.T.); #306632=ORIENTED_EDGE('',*,*,#217876,.T.); #306633=ORIENTED_EDGE('',*,*,#217877,.F.); #306634=ORIENTED_EDGE('',*,*,#217878,.F.); #306635=ORIENTED_EDGE('',*,*,#217879,.T.); #306636=ORIENTED_EDGE('',*,*,#217880,.T.); #306637=ORIENTED_EDGE('',*,*,#217875,.F.); #306638=ORIENTED_EDGE('',*,*,#217881,.F.); #306639=ORIENTED_EDGE('',*,*,#217882,.T.); #306640=ORIENTED_EDGE('',*,*,#217883,.T.); #306641=ORIENTED_EDGE('',*,*,#217884,.F.); #306642=ORIENTED_EDGE('',*,*,#217885,.F.); #306643=ORIENTED_EDGE('',*,*,#217886,.T.); #306644=ORIENTED_EDGE('',*,*,#217887,.T.); #306645=ORIENTED_EDGE('',*,*,#217882,.F.); #306646=ORIENTED_EDGE('',*,*,#217888,.F.); #306647=ORIENTED_EDGE('',*,*,#217775,.F.); #306648=ORIENTED_EDGE('',*,*,#217889,.F.); #306649=ORIENTED_EDGE('',*,*,#217887,.F.); #306650=ORIENTED_EDGE('',*,*,#217890,.F.); #306651=ORIENTED_EDGE('',*,*,#217883,.F.); #306652=ORIENTED_EDGE('',*,*,#217891,.F.); #306653=ORIENTED_EDGE('',*,*,#217880,.F.); #306654=ORIENTED_EDGE('',*,*,#217892,.F.); #306655=ORIENTED_EDGE('',*,*,#217876,.F.); #306656=ORIENTED_EDGE('',*,*,#217893,.F.); #306657=ORIENTED_EDGE('',*,*,#217873,.F.); #306658=ORIENTED_EDGE('',*,*,#217894,.F.); #306659=ORIENTED_EDGE('',*,*,#217895,.F.); #306660=ORIENTED_EDGE('',*,*,#217896,.F.); #306661=ORIENTED_EDGE('',*,*,#217862,.F.); #306662=ORIENTED_EDGE('',*,*,#217897,.F.); #306663=ORIENTED_EDGE('',*,*,#217898,.F.); #306664=ORIENTED_EDGE('',*,*,#217899,.F.); #306665=ORIENTED_EDGE('',*,*,#217900,.F.); #306666=ORIENTED_EDGE('',*,*,#217901,.F.); #306667=ORIENTED_EDGE('',*,*,#217902,.F.); #306668=ORIENTED_EDGE('',*,*,#217903,.F.); #306669=ORIENTED_EDGE('',*,*,#217904,.F.); #306670=ORIENTED_EDGE('',*,*,#217905,.F.); #306671=ORIENTED_EDGE('',*,*,#217869,.F.); #306672=ORIENTED_EDGE('',*,*,#217906,.F.); #306673=ORIENTED_EDGE('',*,*,#217866,.F.); #306674=ORIENTED_EDGE('',*,*,#217907,.F.); #306675=ORIENTED_EDGE('',*,*,#217908,.T.); #306676=ORIENTED_EDGE('',*,*,#217903,.T.); #306677=ORIENTED_EDGE('',*,*,#217899,.T.); #306678=ORIENTED_EDGE('',*,*,#217897,.T.); #306679=ORIENTED_EDGE('',*,*,#217906,.T.); #306680=ORIENTED_EDGE('',*,*,#217893,.T.); #306681=ORIENTED_EDGE('',*,*,#217891,.T.); #306682=ORIENTED_EDGE('',*,*,#217889,.T.); #306683=ORIENTED_EDGE('',*,*,#217777,.T.); #306684=ORIENTED_EDGE('',*,*,#217784,.F.); #306685=ORIENTED_EDGE('',*,*,#217779,.F.); #306686=ORIENTED_EDGE('',*,*,#217909,.F.); #306687=ORIENTED_EDGE('',*,*,#217908,.F.); #306688=ORIENTED_EDGE('',*,*,#217778,.F.); #306689=ORIENTED_EDGE('',*,*,#217910,.F.); #306690=ORIENTED_EDGE('',*,*,#217911,.F.); #306691=ORIENTED_EDGE('',*,*,#217769,.F.); #306692=ORIENTED_EDGE('',*,*,#217772,.F.); #306693=ORIENTED_EDGE('',*,*,#217888,.T.); #306694=ORIENTED_EDGE('',*,*,#217912,.F.); #306695=ORIENTED_EDGE('',*,*,#217765,.F.); #306696=ORIENTED_EDGE('',*,*,#217913,.T.); #306697=ORIENTED_EDGE('',*,*,#217910,.T.); #306698=ORIENTED_EDGE('',*,*,#217782,.F.); #306699=ORIENTED_EDGE('',*,*,#217914,.F.); #306700=ORIENTED_EDGE('',*,*,#217915,.T.); #306701=ORIENTED_EDGE('',*,*,#217904,.T.); #306702=ORIENTED_EDGE('',*,*,#217916,.F.); #306703=ORIENTED_EDGE('',*,*,#217917,.F.); #306704=ORIENTED_EDGE('',*,*,#217916,.T.); #306705=ORIENTED_EDGE('',*,*,#217909,.T.); #306706=ORIENTED_EDGE('',*,*,#217918,.F.); #306707=ORIENTED_EDGE('',*,*,#217919,.F.); #306708=ORIENTED_EDGE('',*,*,#217920,.T.); #306709=ORIENTED_EDGE('',*,*,#217900,.T.); #306710=ORIENTED_EDGE('',*,*,#217921,.F.); #306711=ORIENTED_EDGE('',*,*,#217922,.F.); #306712=ORIENTED_EDGE('',*,*,#217921,.T.); #306713=ORIENTED_EDGE('',*,*,#217902,.T.); #306714=ORIENTED_EDGE('',*,*,#217923,.F.); #306715=ORIENTED_EDGE('',*,*,#217924,.F.); #306716=ORIENTED_EDGE('',*,*,#217925,.T.); #306717=ORIENTED_EDGE('',*,*,#217895,.T.); #306718=ORIENTED_EDGE('',*,*,#217926,.F.); #306719=ORIENTED_EDGE('',*,*,#217927,.F.); #306720=ORIENTED_EDGE('',*,*,#217926,.T.); #306721=ORIENTED_EDGE('',*,*,#217898,.T.); #306722=ORIENTED_EDGE('',*,*,#217928,.F.); #306723=ORIENTED_EDGE('',*,*,#217924,.T.); #306724=ORIENTED_EDGE('',*,*,#217927,.T.); #306725=ORIENTED_EDGE('',*,*,#217929,.T.); #306726=ORIENTED_EDGE('',*,*,#217919,.T.); #306727=ORIENTED_EDGE('',*,*,#217922,.T.); #306728=ORIENTED_EDGE('',*,*,#217930,.T.); #306729=ORIENTED_EDGE('',*,*,#217914,.T.); #306730=ORIENTED_EDGE('',*,*,#217917,.T.); #306731=ORIENTED_EDGE('',*,*,#217931,.T.); #306732=ORIENTED_EDGE('',*,*,#217792,.T.); #306733=ORIENTED_EDGE('',*,*,#217932,.T.); #306734=ORIENTED_EDGE('',*,*,#217796,.T.); #306735=ORIENTED_EDGE('',*,*,#217881,.T.); #306736=ORIENTED_EDGE('',*,*,#217933,.T.); #306737=ORIENTED_EDGE('',*,*,#217885,.T.); #306738=ORIENTED_EDGE('',*,*,#217874,.T.); #306739=ORIENTED_EDGE('',*,*,#217934,.T.); #306740=ORIENTED_EDGE('',*,*,#217878,.T.); #306741=ORIENTED_EDGE('',*,*,#217867,.T.); #306742=ORIENTED_EDGE('',*,*,#217935,.T.); #306743=ORIENTED_EDGE('',*,*,#217871,.T.); #306744=ORIENTED_EDGE('',*,*,#217864,.T.); #306745=ORIENTED_EDGE('',*,*,#217860,.T.); #306746=ORIENTED_EDGE('',*,*,#217936,.T.); #306747=ORIENTED_EDGE('',*,*,#217937,.F.); #306748=ORIENTED_EDGE('',*,*,#217938,.T.); #306749=ORIENTED_EDGE('',*,*,#217763,.F.); #306750=ORIENTED_EDGE('',*,*,#217807,.T.); #306751=ORIENTED_EDGE('',*,*,#217853,.T.); #306752=ORIENTED_EDGE('',*,*,#217804,.F.); #306753=ORIENTED_EDGE('',*,*,#217859,.F.); #306754=ORIENTED_EDGE('',*,*,#217802,.T.); #306755=ORIENTED_EDGE('',*,*,#217852,.T.); #306756=ORIENTED_EDGE('',*,*,#217797,.F.); #306757=ORIENTED_EDGE('',*,*,#217932,.F.); #306758=ORIENTED_EDGE('',*,*,#217795,.T.); #306759=ORIENTED_EDGE('',*,*,#217851,.T.); #306760=ORIENTED_EDGE('',*,*,#217790,.F.); #306761=ORIENTED_EDGE('',*,*,#217858,.F.); #306762=ORIENTED_EDGE('',*,*,#217788,.T.); #306763=ORIENTED_EDGE('',*,*,#217850,.T.); #306764=ORIENTED_EDGE('',*,*,#217815,.F.); #306765=ORIENTED_EDGE('',*,*,#217857,.F.); #306766=ORIENTED_EDGE('',*,*,#217813,.T.); #306767=ORIENTED_EDGE('',*,*,#217849,.T.); #306768=ORIENTED_EDGE('',*,*,#217822,.F.); #306769=ORIENTED_EDGE('',*,*,#217855,.F.); #306770=ORIENTED_EDGE('',*,*,#217820,.T.); #306771=ORIENTED_EDGE('',*,*,#217848,.T.); #306772=ORIENTED_EDGE('',*,*,#217829,.F.); #306773=ORIENTED_EDGE('',*,*,#217854,.F.); #306774=ORIENTED_EDGE('',*,*,#217827,.T.); #306775=ORIENTED_EDGE('',*,*,#217847,.T.); #306776=ORIENTED_EDGE('',*,*,#217836,.F.); #306777=ORIENTED_EDGE('',*,*,#217856,.F.); #306778=ORIENTED_EDGE('',*,*,#217834,.T.); #306779=ORIENTED_EDGE('',*,*,#217839,.T.); #306780=ORIENTED_EDGE('',*,*,#217808,.F.); #306781=ORIENTED_EDGE('',*,*,#217770,.F.); #306782=ORIENTED_EDGE('',*,*,#217912,.T.); #306783=ORIENTED_EDGE('',*,*,#217890,.T.); #306784=ORIENTED_EDGE('',*,*,#217886,.F.); #306785=ORIENTED_EDGE('',*,*,#217933,.F.); #306786=ORIENTED_EDGE('',*,*,#217884,.T.); #306787=ORIENTED_EDGE('',*,*,#217892,.T.); #306788=ORIENTED_EDGE('',*,*,#217879,.F.); #306789=ORIENTED_EDGE('',*,*,#217934,.F.); #306790=ORIENTED_EDGE('',*,*,#217877,.T.); #306791=ORIENTED_EDGE('',*,*,#217894,.T.); #306792=ORIENTED_EDGE('',*,*,#217872,.F.); #306793=ORIENTED_EDGE('',*,*,#217935,.F.); #306794=ORIENTED_EDGE('',*,*,#217870,.T.); #306795=ORIENTED_EDGE('',*,*,#217907,.T.); #306796=ORIENTED_EDGE('',*,*,#217865,.F.); #306797=ORIENTED_EDGE('',*,*,#217936,.F.); #306798=ORIENTED_EDGE('',*,*,#217863,.T.); #306799=ORIENTED_EDGE('',*,*,#217896,.T.); #306800=ORIENTED_EDGE('',*,*,#217925,.F.); #306801=ORIENTED_EDGE('',*,*,#217929,.F.); #306802=ORIENTED_EDGE('',*,*,#217928,.T.); #306803=ORIENTED_EDGE('',*,*,#217901,.T.); #306804=ORIENTED_EDGE('',*,*,#217920,.F.); #306805=ORIENTED_EDGE('',*,*,#217930,.F.); #306806=ORIENTED_EDGE('',*,*,#217923,.T.); #306807=ORIENTED_EDGE('',*,*,#217905,.T.); #306808=ORIENTED_EDGE('',*,*,#217915,.F.); #306809=ORIENTED_EDGE('',*,*,#217931,.F.); #306810=ORIENTED_EDGE('',*,*,#217918,.T.); #306811=ORIENTED_EDGE('',*,*,#217911,.T.); #306812=ORIENTED_EDGE('',*,*,#217913,.F.); #306813=ORIENTED_EDGE('',*,*,#217764,.F.); #306814=ORIENTED_EDGE('',*,*,#217938,.F.); #306815=ORIENTED_EDGE('',*,*,#217939,.F.); #306816=ORIENTED_EDGE('',*,*,#217940,.F.); #306817=ORIENTED_EDGE('',*,*,#217941,.T.); #306818=ORIENTED_EDGE('',*,*,#217942,.T.); #306819=ORIENTED_EDGE('',*,*,#217943,.F.); #306820=ORIENTED_EDGE('',*,*,#217944,.T.); #306821=ORIENTED_EDGE('',*,*,#217945,.F.); #306822=ORIENTED_EDGE('',*,*,#217946,.T.); #306823=ORIENTED_EDGE('',*,*,#217945,.T.); #306824=ORIENTED_EDGE('',*,*,#217947,.T.); #306825=ORIENTED_EDGE('',*,*,#217948,.F.); #306826=ORIENTED_EDGE('',*,*,#217949,.F.); #306827=ORIENTED_EDGE('',*,*,#217950,.T.); #306828=ORIENTED_EDGE('',*,*,#217941,.F.); #306829=ORIENTED_EDGE('',*,*,#217951,.F.); #306830=ORIENTED_EDGE('',*,*,#217952,.T.); #306831=ORIENTED_EDGE('',*,*,#217953,.T.); #306832=ORIENTED_EDGE('',*,*,#217954,.F.); #306833=ORIENTED_EDGE('',*,*,#217955,.T.); #306834=ORIENTED_EDGE('',*,*,#217956,.T.); #306835=ORIENTED_EDGE('',*,*,#217957,.F.); #306836=ORIENTED_EDGE('',*,*,#217958,.T.); #306837=ORIENTED_EDGE('',*,*,#217959,.F.); #306838=ORIENTED_EDGE('',*,*,#217960,.T.); #306839=ORIENTED_EDGE('',*,*,#217961,.T.); #306840=ORIENTED_EDGE('',*,*,#217962,.F.); #306841=ORIENTED_EDGE('',*,*,#217963,.T.); #306842=ORIENTED_EDGE('',*,*,#217948,.T.); #306843=ORIENTED_EDGE('',*,*,#217964,.F.); #306844=ORIENTED_EDGE('',*,*,#217965,.F.); #306845=ORIENTED_EDGE('',*,*,#217953,.F.); #306846=ORIENTED_EDGE('',*,*,#217947,.F.); #306847=ORIENTED_EDGE('',*,*,#217944,.F.); #306848=ORIENTED_EDGE('',*,*,#217966,.F.); #306849=ORIENTED_EDGE('',*,*,#217942,.F.); #306850=ORIENTED_EDGE('',*,*,#217950,.F.); #306851=ORIENTED_EDGE('',*,*,#217967,.F.); #306852=ORIENTED_EDGE('',*,*,#217956,.F.); #306853=ORIENTED_EDGE('',*,*,#217968,.T.); #306854=ORIENTED_EDGE('',*,*,#217969,.F.); #306855=ORIENTED_EDGE('',*,*,#217970,.F.); #306856=ORIENTED_EDGE('',*,*,#217961,.F.); #306857=ORIENTED_EDGE('',*,*,#217971,.F.); #306858=ORIENTED_EDGE('',*,*,#217972,.F.); #306859=ORIENTED_EDGE('',*,*,#217973,.F.); #306860=ORIENTED_EDGE('',*,*,#217974,.F.); #306861=ORIENTED_EDGE('',*,*,#217971,.T.); #306862=ORIENTED_EDGE('',*,*,#217960,.F.); #306863=ORIENTED_EDGE('',*,*,#217975,.T.); #306864=ORIENTED_EDGE('',*,*,#217976,.T.); #306865=ORIENTED_EDGE('',*,*,#217962,.T.); #306866=ORIENTED_EDGE('',*,*,#217970,.T.); #306867=ORIENTED_EDGE('',*,*,#217977,.F.); #306868=ORIENTED_EDGE('',*,*,#217978,.F.); #306869=ORIENTED_EDGE('',*,*,#217968,.F.); #306870=ORIENTED_EDGE('',*,*,#217955,.F.); #306871=ORIENTED_EDGE('',*,*,#217954,.T.); #306872=ORIENTED_EDGE('',*,*,#217965,.T.); #306873=ORIENTED_EDGE('',*,*,#217979,.T.); #306874=ORIENTED_EDGE('',*,*,#217977,.T.); #306875=ORIENTED_EDGE('',*,*,#217957,.T.); #306876=ORIENTED_EDGE('',*,*,#217967,.T.); #306877=ORIENTED_EDGE('',*,*,#217980,.T.); #306878=ORIENTED_EDGE('',*,*,#217981,.T.); #306879=ORIENTED_EDGE('',*,*,#217982,.F.); #306880=ORIENTED_EDGE('',*,*,#217958,.F.); #306881=ORIENTED_EDGE('',*,*,#217981,.F.); #306882=ORIENTED_EDGE('',*,*,#217983,.F.); #306883=ORIENTED_EDGE('',*,*,#217984,.F.); #306884=ORIENTED_EDGE('',*,*,#217975,.F.); #306885=ORIENTED_EDGE('',*,*,#217972,.T.); #306886=ORIENTED_EDGE('',*,*,#217974,.T.); #306887=ORIENTED_EDGE('',*,*,#217985,.F.); #306888=ORIENTED_EDGE('',*,*,#217983,.T.); #306889=ORIENTED_EDGE('',*,*,#217980,.F.); #306890=ORIENTED_EDGE('',*,*,#217969,.T.); #306891=ORIENTED_EDGE('',*,*,#217978,.T.); #306892=ORIENTED_EDGE('',*,*,#217979,.F.); #306893=ORIENTED_EDGE('',*,*,#217964,.T.); #306894=ORIENTED_EDGE('',*,*,#217952,.F.); #306895=ORIENTED_EDGE('',*,*,#217985,.T.); #306896=ORIENTED_EDGE('',*,*,#217973,.T.); #306897=ORIENTED_EDGE('',*,*,#217959,.T.); #306898=ORIENTED_EDGE('',*,*,#217982,.T.); #306899=ORIENTED_EDGE('',*,*,#217963,.F.); #306900=ORIENTED_EDGE('',*,*,#217976,.F.); #306901=ORIENTED_EDGE('',*,*,#217984,.T.); #306902=ORIENTED_EDGE('',*,*,#217951,.T.); #306903=ORIENTED_EDGE('',*,*,#217940,.T.); #306904=ORIENTED_EDGE('',*,*,#217986,.F.); #306905=ORIENTED_EDGE('',*,*,#217946,.F.); #306906=ORIENTED_EDGE('',*,*,#217949,.T.); #306907=ORIENTED_EDGE('',*,*,#217987,.F.); #306908=ORIENTED_EDGE('',*,*,#217988,.F.); #306909=ORIENTED_EDGE('',*,*,#217989,.F.); #306910=ORIENTED_EDGE('',*,*,#217990,.T.); #306911=ORIENTED_EDGE('',*,*,#217991,.T.); #306912=ORIENTED_EDGE('',*,*,#217992,.F.); #306913=ORIENTED_EDGE('',*,*,#217993,.T.); #306914=ORIENTED_EDGE('',*,*,#217994,.F.); #306915=ORIENTED_EDGE('',*,*,#217995,.T.); #306916=ORIENTED_EDGE('',*,*,#217996,.T.); #306917=ORIENTED_EDGE('',*,*,#217997,.F.); #306918=ORIENTED_EDGE('',*,*,#217998,.T.); #306919=ORIENTED_EDGE('',*,*,#217999,.F.); #306920=ORIENTED_EDGE('',*,*,#218000,.T.); #306921=ORIENTED_EDGE('',*,*,#218001,.F.); #306922=ORIENTED_EDGE('',*,*,#217988,.T.); #306923=ORIENTED_EDGE('',*,*,#218002,.F.); #306924=ORIENTED_EDGE('',*,*,#218003,.T.); #306925=ORIENTED_EDGE('',*,*,#218004,.F.); #306926=ORIENTED_EDGE('',*,*,#218005,.T.); #306927=ORIENTED_EDGE('',*,*,#218006,.T.); #306928=ORIENTED_EDGE('',*,*,#218007,.F.); #306929=ORIENTED_EDGE('',*,*,#218008,.T.); #306930=ORIENTED_EDGE('',*,*,#218009,.F.); #306931=ORIENTED_EDGE('',*,*,#218010,.T.); #306932=ORIENTED_EDGE('',*,*,#218011,.T.); #306933=ORIENTED_EDGE('',*,*,#218012,.F.); #306934=ORIENTED_EDGE('',*,*,#218000,.F.); #306935=ORIENTED_EDGE('',*,*,#217992,.T.); #306936=ORIENTED_EDGE('',*,*,#218013,.T.); #306937=ORIENTED_EDGE('',*,*,#218009,.T.); #306938=ORIENTED_EDGE('',*,*,#218014,.T.); #306939=ORIENTED_EDGE('',*,*,#218014,.F.); #306940=ORIENTED_EDGE('',*,*,#218008,.F.); #306941=ORIENTED_EDGE('',*,*,#218015,.F.); #306942=ORIENTED_EDGE('',*,*,#217993,.F.); #306943=ORIENTED_EDGE('',*,*,#218007,.T.); #306944=ORIENTED_EDGE('',*,*,#218016,.T.); #306945=ORIENTED_EDGE('',*,*,#217994,.T.); #306946=ORIENTED_EDGE('',*,*,#218015,.T.); #306947=ORIENTED_EDGE('',*,*,#218004,.T.); #306948=ORIENTED_EDGE('',*,*,#218017,.T.); #306949=ORIENTED_EDGE('',*,*,#217997,.T.); #306950=ORIENTED_EDGE('',*,*,#218018,.T.); #306951=ORIENTED_EDGE('',*,*,#218018,.F.); #306952=ORIENTED_EDGE('',*,*,#217996,.F.); #306953=ORIENTED_EDGE('',*,*,#218019,.F.); #306954=ORIENTED_EDGE('',*,*,#218005,.F.); #306955=ORIENTED_EDGE('',*,*,#217989,.T.); #306956=ORIENTED_EDGE('',*,*,#218001,.T.); #306957=ORIENTED_EDGE('',*,*,#218012,.T.); #306958=ORIENTED_EDGE('',*,*,#218020,.T.); #306959=ORIENTED_EDGE('',*,*,#218013,.F.); #306960=ORIENTED_EDGE('',*,*,#217991,.F.); #306961=ORIENTED_EDGE('',*,*,#218021,.T.); #306962=ORIENTED_EDGE('',*,*,#218010,.F.); #306963=ORIENTED_EDGE('',*,*,#218020,.F.); #306964=ORIENTED_EDGE('',*,*,#218011,.F.); #306965=ORIENTED_EDGE('',*,*,#218021,.F.); #306966=ORIENTED_EDGE('',*,*,#217990,.F.); #306967=ORIENTED_EDGE('',*,*,#218016,.F.); #306968=ORIENTED_EDGE('',*,*,#218006,.F.); #306969=ORIENTED_EDGE('',*,*,#218019,.T.); #306970=ORIENTED_EDGE('',*,*,#217995,.F.); #306971=ORIENTED_EDGE('',*,*,#218022,.F.); #306972=ORIENTED_EDGE('',*,*,#217998,.F.); #306973=ORIENTED_EDGE('',*,*,#218017,.F.); #306974=ORIENTED_EDGE('',*,*,#218003,.F.); #306975=ORIENTED_EDGE('',*,*,#218023,.F.); #306976=ORIENTED_EDGE('',*,*,#218024,.T.); #306977=ORIENTED_EDGE('',*,*,#218025,.T.); #306978=ORIENTED_EDGE('',*,*,#218026,.F.); #306979=ORIENTED_EDGE('',*,*,#218027,.T.); #306980=ORIENTED_EDGE('',*,*,#218024,.F.); #306981=ORIENTED_EDGE('',*,*,#218028,.F.); #306982=ORIENTED_EDGE('',*,*,#218029,.T.); #306983=ORIENTED_EDGE('',*,*,#218030,.T.); #306984=ORIENTED_EDGE('',*,*,#218031,.T.); #306985=ORIENTED_EDGE('',*,*,#218032,.F.); #306986=ORIENTED_EDGE('',*,*,#218033,.F.); #306987=ORIENTED_EDGE('',*,*,#218034,.T.); #306988=ORIENTED_EDGE('',*,*,#218030,.F.); #306989=ORIENTED_EDGE('',*,*,#218035,.T.); #306990=ORIENTED_EDGE('',*,*,#218036,.F.); #306991=ORIENTED_EDGE('',*,*,#218037,.T.); #306992=ORIENTED_EDGE('',*,*,#218038,.F.); #306993=ORIENTED_EDGE('',*,*,#218039,.T.); #306994=ORIENTED_EDGE('',*,*,#218040,.T.); #306995=ORIENTED_EDGE('',*,*,#218041,.F.); #306996=ORIENTED_EDGE('',*,*,#218042,.T.); #306997=ORIENTED_EDGE('',*,*,#218043,.F.); #306998=ORIENTED_EDGE('',*,*,#218044,.T.); #306999=ORIENTED_EDGE('',*,*,#218045,.T.); #307000=ORIENTED_EDGE('',*,*,#218046,.F.); #307001=ORIENTED_EDGE('',*,*,#218047,.T.); #307002=ORIENTED_EDGE('',*,*,#218032,.T.); #307003=ORIENTED_EDGE('',*,*,#218048,.F.); #307004=ORIENTED_EDGE('',*,*,#218049,.F.); #307005=ORIENTED_EDGE('',*,*,#218037,.F.); #307006=ORIENTED_EDGE('',*,*,#218031,.F.); #307007=ORIENTED_EDGE('',*,*,#218034,.F.); #307008=ORIENTED_EDGE('',*,*,#218050,.F.); #307009=ORIENTED_EDGE('',*,*,#218025,.F.); #307010=ORIENTED_EDGE('',*,*,#218027,.F.); #307011=ORIENTED_EDGE('',*,*,#218051,.T.); #307012=ORIENTED_EDGE('',*,*,#218052,.T.); #307013=ORIENTED_EDGE('',*,*,#218043,.T.); #307014=ORIENTED_EDGE('',*,*,#218053,.T.); #307015=ORIENTED_EDGE('',*,*,#218054,.F.); #307016=ORIENTED_EDGE('',*,*,#218055,.F.); #307017=ORIENTED_EDGE('',*,*,#218056,.T.); #307018=ORIENTED_EDGE('',*,*,#218057,.T.); #307019=ORIENTED_EDGE('',*,*,#218051,.F.); #307020=ORIENTED_EDGE('',*,*,#218058,.T.); #307021=ORIENTED_EDGE('',*,*,#218059,.F.); #307022=ORIENTED_EDGE('',*,*,#218060,.T.); #307023=ORIENTED_EDGE('',*,*,#218061,.T.); #307024=ORIENTED_EDGE('',*,*,#218062,.F.); #307025=ORIENTED_EDGE('',*,*,#218048,.T.); #307026=ORIENTED_EDGE('',*,*,#218029,.F.); #307027=ORIENTED_EDGE('',*,*,#218053,.F.); #307028=ORIENTED_EDGE('',*,*,#218042,.F.); #307029=ORIENTED_EDGE('',*,*,#218063,.F.); #307030=ORIENTED_EDGE('',*,*,#218058,.F.); #307031=ORIENTED_EDGE('',*,*,#218041,.T.); #307032=ORIENTED_EDGE('',*,*,#218064,.T.); #307033=ORIENTED_EDGE('',*,*,#218059,.T.); #307034=ORIENTED_EDGE('',*,*,#218063,.T.); #307035=ORIENTED_EDGE('',*,*,#218038,.T.); #307036=ORIENTED_EDGE('',*,*,#218049,.T.); #307037=ORIENTED_EDGE('',*,*,#218062,.T.); #307038=ORIENTED_EDGE('',*,*,#218065,.T.); #307039=ORIENTED_EDGE('',*,*,#218065,.F.); #307040=ORIENTED_EDGE('',*,*,#218061,.F.); #307041=ORIENTED_EDGE('',*,*,#218066,.F.); #307042=ORIENTED_EDGE('',*,*,#218039,.F.); #307043=ORIENTED_EDGE('',*,*,#218055,.T.); #307044=ORIENTED_EDGE('',*,*,#218067,.T.); #307045=ORIENTED_EDGE('',*,*,#218046,.T.); #307046=ORIENTED_EDGE('',*,*,#218068,.T.); #307047=ORIENTED_EDGE('',*,*,#218047,.F.); #307048=ORIENTED_EDGE('',*,*,#218067,.F.); #307049=ORIENTED_EDGE('',*,*,#218054,.T.); #307050=ORIENTED_EDGE('',*,*,#218028,.T.); #307051=ORIENTED_EDGE('',*,*,#218023,.T.); #307052=ORIENTED_EDGE('',*,*,#218069,.F.); #307053=ORIENTED_EDGE('',*,*,#218035,.F.); #307054=ORIENTED_EDGE('',*,*,#218033,.T.); #307055=ORIENTED_EDGE('',*,*,#218052,.F.); #307056=ORIENTED_EDGE('',*,*,#218057,.F.); #307057=ORIENTED_EDGE('',*,*,#218070,.T.); #307058=ORIENTED_EDGE('',*,*,#218044,.F.); #307059=ORIENTED_EDGE('',*,*,#218068,.F.); #307060=ORIENTED_EDGE('',*,*,#218045,.F.); #307061=ORIENTED_EDGE('',*,*,#218070,.F.); #307062=ORIENTED_EDGE('',*,*,#218056,.F.); #307063=ORIENTED_EDGE('',*,*,#218064,.F.); #307064=ORIENTED_EDGE('',*,*,#218040,.F.); #307065=ORIENTED_EDGE('',*,*,#218066,.T.); #307066=ORIENTED_EDGE('',*,*,#218060,.F.); #307067=ORIENTED_EDGE('',*,*,#218071,.F.); #307068=ORIENTED_EDGE('',*,*,#218072,.F.); #307069=ORIENTED_EDGE('',*,*,#218073,.T.); #307070=ORIENTED_EDGE('',*,*,#218074,.T.); #307071=ORIENTED_EDGE('',*,*,#218075,.F.); #307072=ORIENTED_EDGE('',*,*,#218076,.T.); #307073=ORIENTED_EDGE('',*,*,#218077,.F.); #307074=ORIENTED_EDGE('',*,*,#218078,.T.); #307075=ORIENTED_EDGE('',*,*,#218077,.T.); #307076=ORIENTED_EDGE('',*,*,#218079,.T.); #307077=ORIENTED_EDGE('',*,*,#218080,.F.); #307078=ORIENTED_EDGE('',*,*,#218081,.F.); #307079=ORIENTED_EDGE('',*,*,#218082,.T.); #307080=ORIENTED_EDGE('',*,*,#218073,.F.); #307081=ORIENTED_EDGE('',*,*,#218083,.F.); #307082=ORIENTED_EDGE('',*,*,#218084,.T.); #307083=ORIENTED_EDGE('',*,*,#218085,.T.); #307084=ORIENTED_EDGE('',*,*,#218086,.F.); #307085=ORIENTED_EDGE('',*,*,#218087,.T.); #307086=ORIENTED_EDGE('',*,*,#218088,.T.); #307087=ORIENTED_EDGE('',*,*,#218089,.F.); #307088=ORIENTED_EDGE('',*,*,#218090,.T.); #307089=ORIENTED_EDGE('',*,*,#218091,.F.); #307090=ORIENTED_EDGE('',*,*,#218092,.T.); #307091=ORIENTED_EDGE('',*,*,#218093,.T.); #307092=ORIENTED_EDGE('',*,*,#218094,.F.); #307093=ORIENTED_EDGE('',*,*,#218095,.T.); #307094=ORIENTED_EDGE('',*,*,#218080,.T.); #307095=ORIENTED_EDGE('',*,*,#218096,.F.); #307096=ORIENTED_EDGE('',*,*,#218097,.F.); #307097=ORIENTED_EDGE('',*,*,#218085,.F.); #307098=ORIENTED_EDGE('',*,*,#218079,.F.); #307099=ORIENTED_EDGE('',*,*,#218076,.F.); #307100=ORIENTED_EDGE('',*,*,#218098,.F.); #307101=ORIENTED_EDGE('',*,*,#218074,.F.); #307102=ORIENTED_EDGE('',*,*,#218082,.F.); #307103=ORIENTED_EDGE('',*,*,#218099,.F.); #307104=ORIENTED_EDGE('',*,*,#218088,.F.); #307105=ORIENTED_EDGE('',*,*,#218100,.T.); #307106=ORIENTED_EDGE('',*,*,#218101,.F.); #307107=ORIENTED_EDGE('',*,*,#218102,.F.); #307108=ORIENTED_EDGE('',*,*,#218093,.F.); #307109=ORIENTED_EDGE('',*,*,#218103,.F.); #307110=ORIENTED_EDGE('',*,*,#218104,.F.); #307111=ORIENTED_EDGE('',*,*,#218105,.F.); #307112=ORIENTED_EDGE('',*,*,#218106,.F.); #307113=ORIENTED_EDGE('',*,*,#218103,.T.); #307114=ORIENTED_EDGE('',*,*,#218092,.F.); #307115=ORIENTED_EDGE('',*,*,#218107,.T.); #307116=ORIENTED_EDGE('',*,*,#218108,.T.); #307117=ORIENTED_EDGE('',*,*,#218094,.T.); #307118=ORIENTED_EDGE('',*,*,#218102,.T.); #307119=ORIENTED_EDGE('',*,*,#218109,.F.); #307120=ORIENTED_EDGE('',*,*,#218110,.F.); #307121=ORIENTED_EDGE('',*,*,#218100,.F.); #307122=ORIENTED_EDGE('',*,*,#218087,.F.); #307123=ORIENTED_EDGE('',*,*,#218086,.T.); #307124=ORIENTED_EDGE('',*,*,#218097,.T.); #307125=ORIENTED_EDGE('',*,*,#218111,.T.); #307126=ORIENTED_EDGE('',*,*,#218109,.T.); #307127=ORIENTED_EDGE('',*,*,#218089,.T.); #307128=ORIENTED_EDGE('',*,*,#218099,.T.); #307129=ORIENTED_EDGE('',*,*,#218112,.T.); #307130=ORIENTED_EDGE('',*,*,#218113,.T.); #307131=ORIENTED_EDGE('',*,*,#218114,.F.); #307132=ORIENTED_EDGE('',*,*,#218090,.F.); #307133=ORIENTED_EDGE('',*,*,#218113,.F.); #307134=ORIENTED_EDGE('',*,*,#218115,.F.); #307135=ORIENTED_EDGE('',*,*,#218116,.F.); #307136=ORIENTED_EDGE('',*,*,#218107,.F.); #307137=ORIENTED_EDGE('',*,*,#218104,.T.); #307138=ORIENTED_EDGE('',*,*,#218106,.T.); #307139=ORIENTED_EDGE('',*,*,#218117,.F.); #307140=ORIENTED_EDGE('',*,*,#218115,.T.); #307141=ORIENTED_EDGE('',*,*,#218112,.F.); #307142=ORIENTED_EDGE('',*,*,#218101,.T.); #307143=ORIENTED_EDGE('',*,*,#218110,.T.); #307144=ORIENTED_EDGE('',*,*,#218111,.F.); #307145=ORIENTED_EDGE('',*,*,#218096,.T.); #307146=ORIENTED_EDGE('',*,*,#218084,.F.); #307147=ORIENTED_EDGE('',*,*,#218117,.T.); #307148=ORIENTED_EDGE('',*,*,#218105,.T.); #307149=ORIENTED_EDGE('',*,*,#218091,.T.); #307150=ORIENTED_EDGE('',*,*,#218114,.T.); #307151=ORIENTED_EDGE('',*,*,#218095,.F.); #307152=ORIENTED_EDGE('',*,*,#218108,.F.); #307153=ORIENTED_EDGE('',*,*,#218116,.T.); #307154=ORIENTED_EDGE('',*,*,#218083,.T.); #307155=ORIENTED_EDGE('',*,*,#218072,.T.); #307156=ORIENTED_EDGE('',*,*,#218118,.F.); #307157=ORIENTED_EDGE('',*,*,#218078,.F.); #307158=ORIENTED_EDGE('',*,*,#218081,.T.); #307159=ORIENTED_EDGE('',*,*,#218119,.F.); #307160=ORIENTED_EDGE('',*,*,#218120,.F.); #307161=ORIENTED_EDGE('',*,*,#218121,.F.); #307162=ORIENTED_EDGE('',*,*,#218122,.T.); #307163=ORIENTED_EDGE('',*,*,#218123,.T.); #307164=ORIENTED_EDGE('',*,*,#218124,.F.); #307165=ORIENTED_EDGE('',*,*,#218125,.T.); #307166=ORIENTED_EDGE('',*,*,#218126,.F.); #307167=ORIENTED_EDGE('',*,*,#218127,.T.); #307168=ORIENTED_EDGE('',*,*,#218128,.T.); #307169=ORIENTED_EDGE('',*,*,#218129,.F.); #307170=ORIENTED_EDGE('',*,*,#218130,.T.); #307171=ORIENTED_EDGE('',*,*,#218131,.F.); #307172=ORIENTED_EDGE('',*,*,#218132,.T.); #307173=ORIENTED_EDGE('',*,*,#218133,.F.); #307174=ORIENTED_EDGE('',*,*,#218120,.T.); #307175=ORIENTED_EDGE('',*,*,#218134,.F.); #307176=ORIENTED_EDGE('',*,*,#218135,.T.); #307177=ORIENTED_EDGE('',*,*,#218136,.F.); #307178=ORIENTED_EDGE('',*,*,#218137,.T.); #307179=ORIENTED_EDGE('',*,*,#218138,.T.); #307180=ORIENTED_EDGE('',*,*,#218139,.F.); #307181=ORIENTED_EDGE('',*,*,#218140,.T.); #307182=ORIENTED_EDGE('',*,*,#218141,.F.); #307183=ORIENTED_EDGE('',*,*,#218142,.T.); #307184=ORIENTED_EDGE('',*,*,#218143,.T.); #307185=ORIENTED_EDGE('',*,*,#218144,.F.); #307186=ORIENTED_EDGE('',*,*,#218132,.F.); #307187=ORIENTED_EDGE('',*,*,#218145,.F.); #307188=ORIENTED_EDGE('',*,*,#218130,.F.); #307189=ORIENTED_EDGE('',*,*,#218146,.F.); #307190=ORIENTED_EDGE('',*,*,#218135,.F.); #307191=ORIENTED_EDGE('',*,*,#218147,.F.); #307192=ORIENTED_EDGE('',*,*,#218138,.F.); #307193=ORIENTED_EDGE('',*,*,#218148,.T.); #307194=ORIENTED_EDGE('',*,*,#218127,.F.); #307195=ORIENTED_EDGE('',*,*,#218149,.F.); #307196=ORIENTED_EDGE('',*,*,#218143,.F.); #307197=ORIENTED_EDGE('',*,*,#218150,.F.); #307198=ORIENTED_EDGE('',*,*,#218122,.F.); #307199=ORIENTED_EDGE('',*,*,#218151,.F.); #307200=ORIENTED_EDGE('',*,*,#218123,.F.); #307201=ORIENTED_EDGE('',*,*,#218150,.T.); #307202=ORIENTED_EDGE('',*,*,#218142,.F.); #307203=ORIENTED_EDGE('',*,*,#218121,.T.); #307204=ORIENTED_EDGE('',*,*,#218133,.T.); #307205=ORIENTED_EDGE('',*,*,#218144,.T.); #307206=ORIENTED_EDGE('',*,*,#218149,.T.); #307207=ORIENTED_EDGE('',*,*,#218152,.F.); #307208=ORIENTED_EDGE('',*,*,#218128,.F.); #307209=ORIENTED_EDGE('',*,*,#218148,.F.); #307210=ORIENTED_EDGE('',*,*,#218137,.F.); #307211=ORIENTED_EDGE('',*,*,#218136,.T.); #307212=ORIENTED_EDGE('',*,*,#218146,.T.); #307213=ORIENTED_EDGE('',*,*,#218129,.T.); #307214=ORIENTED_EDGE('',*,*,#218152,.T.); #307215=ORIENTED_EDGE('',*,*,#218139,.T.); #307216=ORIENTED_EDGE('',*,*,#218147,.T.); #307217=ORIENTED_EDGE('',*,*,#218126,.T.); #307218=ORIENTED_EDGE('',*,*,#218153,.T.); #307219=ORIENTED_EDGE('',*,*,#218154,.F.); #307220=ORIENTED_EDGE('',*,*,#218140,.F.); #307221=ORIENTED_EDGE('',*,*,#218153,.F.); #307222=ORIENTED_EDGE('',*,*,#218125,.F.); #307223=ORIENTED_EDGE('',*,*,#218124,.T.); #307224=ORIENTED_EDGE('',*,*,#218151,.T.); #307225=ORIENTED_EDGE('',*,*,#218141,.T.); #307226=ORIENTED_EDGE('',*,*,#218154,.T.); #307227=ORIENTED_EDGE('',*,*,#218155,.T.); #307228=ORIENTED_EDGE('',*,*,#218156,.T.); #307229=ORIENTED_EDGE('',*,*,#218157,.F.); #307230=ORIENTED_EDGE('',*,*,#218158,.F.); #307231=ORIENTED_EDGE('',*,*,#218159,.F.); #307232=ORIENTED_EDGE('',*,*,#218160,.T.); #307233=ORIENTED_EDGE('',*,*,#218161,.T.); #307234=ORIENTED_EDGE('',*,*,#218162,.F.); #307235=ORIENTED_EDGE('',*,*,#218163,.F.); #307236=ORIENTED_EDGE('',*,*,#218164,.T.); #307237=ORIENTED_EDGE('',*,*,#218165,.T.); #307238=ORIENTED_EDGE('',*,*,#218166,.F.); #307239=ORIENTED_EDGE('',*,*,#218167,.F.); #307240=ORIENTED_EDGE('',*,*,#218168,.T.); #307241=ORIENTED_EDGE('',*,*,#218169,.T.); #307242=ORIENTED_EDGE('',*,*,#218170,.F.); #307243=ORIENTED_EDGE('',*,*,#218171,.T.); #307244=ORIENTED_EDGE('',*,*,#218172,.T.); #307245=ORIENTED_EDGE('',*,*,#218173,.F.); #307246=ORIENTED_EDGE('',*,*,#218174,.F.); #307247=ORIENTED_EDGE('',*,*,#218175,.T.); #307248=ORIENTED_EDGE('',*,*,#218176,.F.); #307249=ORIENTED_EDGE('',*,*,#218177,.T.); #307250=ORIENTED_EDGE('',*,*,#218178,.F.); #307251=ORIENTED_EDGE('',*,*,#218179,.T.); #307252=ORIENTED_EDGE('',*,*,#218180,.F.); #307253=ORIENTED_EDGE('',*,*,#218181,.F.); #307254=ORIENTED_EDGE('',*,*,#218182,.T.); #307255=ORIENTED_EDGE('',*,*,#218183,.F.); #307256=ORIENTED_EDGE('',*,*,#218184,.T.); #307257=ORIENTED_EDGE('',*,*,#218185,.F.); #307258=ORIENTED_EDGE('',*,*,#218186,.F.); #307259=ORIENTED_EDGE('',*,*,#218187,.F.); #307260=ORIENTED_EDGE('',*,*,#218188,.T.); #307261=ORIENTED_EDGE('',*,*,#218189,.F.); #307262=ORIENTED_EDGE('',*,*,#218190,.T.); #307263=ORIENTED_EDGE('',*,*,#218191,.F.); #307264=ORIENTED_EDGE('',*,*,#218192,.F.); #307265=ORIENTED_EDGE('',*,*,#218193,.T.); #307266=ORIENTED_EDGE('',*,*,#218194,.T.); #307267=ORIENTED_EDGE('',*,*,#218195,.F.); #307268=ORIENTED_EDGE('',*,*,#218196,.F.); #307269=ORIENTED_EDGE('',*,*,#218197,.T.); #307270=ORIENTED_EDGE('',*,*,#218198,.T.); #307271=ORIENTED_EDGE('',*,*,#217987,.T.); #307272=ORIENTED_EDGE('',*,*,#218022,.T.); #307273=ORIENTED_EDGE('',*,*,#218002,.T.); #307274=ORIENTED_EDGE('',*,*,#217999,.T.); #307275=ORIENTED_EDGE('',*,*,#218119,.T.); #307276=ORIENTED_EDGE('',*,*,#218145,.T.); #307277=ORIENTED_EDGE('',*,*,#218134,.T.); #307278=ORIENTED_EDGE('',*,*,#218131,.T.); #307279=ORIENTED_EDGE('',*,*,#218199,.F.); #307280=ORIENTED_EDGE('',*,*,#218200,.F.); #307281=ORIENTED_EDGE('',*,*,#217699,.F.); #307282=ORIENTED_EDGE('',*,*,#217696,.F.); #307283=ORIENTED_EDGE('',*,*,#217693,.F.); #307284=ORIENTED_EDGE('',*,*,#217690,.F.); #307285=ORIENTED_EDGE('',*,*,#217687,.F.); #307286=ORIENTED_EDGE('',*,*,#217684,.F.); #307287=ORIENTED_EDGE('',*,*,#217681,.F.); #307288=ORIENTED_EDGE('',*,*,#218201,.F.); #307289=ORIENTED_EDGE('',*,*,#218202,.T.); #307290=ORIENTED_EDGE('',*,*,#217721,.T.); #307291=ORIENTED_EDGE('',*,*,#217718,.T.); #307292=ORIENTED_EDGE('',*,*,#217715,.T.); #307293=ORIENTED_EDGE('',*,*,#217712,.T.); #307294=ORIENTED_EDGE('',*,*,#217709,.T.); #307295=ORIENTED_EDGE('',*,*,#217706,.T.); #307296=ORIENTED_EDGE('',*,*,#217719,.T.); #307297=ORIENTED_EDGE('',*,*,#218202,.F.); #307298=ORIENTED_EDGE('',*,*,#218203,.F.); #307299=ORIENTED_EDGE('',*,*,#218204,.T.); #307300=ORIENTED_EDGE('',*,*,#218205,.F.); #307301=ORIENTED_EDGE('',*,*,#217701,.F.); #307302=ORIENTED_EDGE('',*,*,#217704,.F.); #307303=ORIENTED_EDGE('',*,*,#217708,.F.); #307304=ORIENTED_EDGE('',*,*,#217711,.F.); #307305=ORIENTED_EDGE('',*,*,#217714,.F.); #307306=ORIENTED_EDGE('',*,*,#217717,.F.); #307307=ORIENTED_EDGE('',*,*,#217720,.F.); #307308=ORIENTED_EDGE('',*,*,#218204,.F.); #307309=ORIENTED_EDGE('',*,*,#218206,.F.); #307310=ORIENTED_EDGE('',*,*,#217679,.F.); #307311=ORIENTED_EDGE('',*,*,#217683,.F.); #307312=ORIENTED_EDGE('',*,*,#217686,.F.); #307313=ORIENTED_EDGE('',*,*,#217689,.F.); #307314=ORIENTED_EDGE('',*,*,#217692,.F.); #307315=ORIENTED_EDGE('',*,*,#217695,.F.); #307316=ORIENTED_EDGE('',*,*,#217698,.F.); #307317=ORIENTED_EDGE('',*,*,#218207,.F.); #307318=ORIENTED_EDGE('',*,*,#217697,.T.); #307319=ORIENTED_EDGE('',*,*,#218200,.T.); #307320=ORIENTED_EDGE('',*,*,#218208,.T.); #307321=ORIENTED_EDGE('',*,*,#218207,.T.); #307322=ORIENTED_EDGE('',*,*,#218209,.F.); #307323=ORIENTED_EDGE('',*,*,#218210,.F.); #307324=ORIENTED_EDGE('',*,*,#218211,.T.); #307325=ORIENTED_EDGE('',*,*,#218212,.F.); #307326=ORIENTED_EDGE('',*,*,#218213,.F.); #307327=ORIENTED_EDGE('',*,*,#218214,.F.); #307328=ORIENTED_EDGE('',*,*,#218215,.F.); #307329=ORIENTED_EDGE('',*,*,#218216,.F.); #307330=ORIENTED_EDGE('',*,*,#218217,.F.); #307331=ORIENTED_EDGE('',*,*,#218211,.F.); #307332=ORIENTED_EDGE('',*,*,#218218,.F.); #307333=ORIENTED_EDGE('',*,*,#218214,.T.); #307334=ORIENTED_EDGE('',*,*,#218219,.T.); #307335=ORIENTED_EDGE('',*,*,#218220,.T.); #307336=ORIENTED_EDGE('',*,*,#218213,.T.); #307337=ORIENTED_EDGE('',*,*,#218221,.F.); #307338=ORIENTED_EDGE('',*,*,#218222,.F.); #307339=ORIENTED_EDGE('',*,*,#218219,.F.); #307340=ORIENTED_EDGE('',*,*,#218223,.F.); #307341=ORIENTED_EDGE('',*,*,#218220,.F.); #307342=ORIENTED_EDGE('',*,*,#218222,.T.); #307343=ORIENTED_EDGE('',*,*,#218224,.F.); #307344=ORIENTED_EDGE('',*,*,#218216,.T.); #307345=ORIENTED_EDGE('',*,*,#218225,.F.); #307346=ORIENTED_EDGE('',*,*,#218224,.T.); #307347=ORIENTED_EDGE('',*,*,#218221,.T.); #307348=ORIENTED_EDGE('',*,*,#218212,.T.); #307349=ORIENTED_EDGE('',*,*,#218217,.T.); #307350=ORIENTED_EDGE('',*,*,#218209,.T.); #307351=ORIENTED_EDGE('',*,*,#218218,.T.); #307352=ORIENTED_EDGE('',*,*,#218223,.T.); #307353=ORIENTED_EDGE('',*,*,#218226,.F.); #307354=ORIENTED_EDGE('',*,*,#218227,.F.); #307355=ORIENTED_EDGE('',*,*,#218228,.F.); #307356=ORIENTED_EDGE('',*,*,#218229,.F.); #307357=ORIENTED_EDGE('',*,*,#218230,.F.); #307358=ORIENTED_EDGE('',*,*,#218231,.F.); #307359=ORIENTED_EDGE('',*,*,#218232,.F.); #307360=ORIENTED_EDGE('',*,*,#218233,.T.); #307361=ORIENTED_EDGE('',*,*,#218234,.F.); #307362=ORIENTED_EDGE('',*,*,#218205,.T.); #307363=ORIENTED_EDGE('',*,*,#218208,.F.); #307364=ORIENTED_EDGE('',*,*,#218199,.T.); #307365=ORIENTED_EDGE('',*,*,#217705,.T.); #307366=ORIENTED_EDGE('',*,*,#217702,.T.); #307367=ORIENTED_EDGE('',*,*,#217937,.T.); #307368=ORIENTED_EDGE('',*,*,#218235,.T.); #307369=ORIENTED_EDGE('',*,*,#218236,.T.); #307370=ORIENTED_EDGE('',*,*,#218237,.T.); #307371=ORIENTED_EDGE('',*,*,#218238,.T.); #307372=ORIENTED_EDGE('',*,*,#218239,.T.); #307373=ORIENTED_EDGE('',*,*,#218240,.T.); #307374=ORIENTED_EDGE('',*,*,#218241,.T.); #307375=ORIENTED_EDGE('',*,*,#218236,.F.); #307376=ORIENTED_EDGE('',*,*,#218242,.T.); #307377=ORIENTED_EDGE('',*,*,#218243,.T.); #307378=ORIENTED_EDGE('',*,*,#218244,.T.); #307379=ORIENTED_EDGE('',*,*,#218245,.T.); #307380=ORIENTED_EDGE('',*,*,#218246,.T.); #307381=ORIENTED_EDGE('',*,*,#218247,.T.); #307382=ORIENTED_EDGE('',*,*,#218248,.T.); #307383=ORIENTED_EDGE('',*,*,#218249,.F.); #307384=ORIENTED_EDGE('',*,*,#218250,.T.); #307385=ORIENTED_EDGE('',*,*,#218249,.T.); #307386=ORIENTED_EDGE('',*,*,#218251,.T.); #307387=ORIENTED_EDGE('',*,*,#218252,.T.); #307388=ORIENTED_EDGE('',*,*,#218253,.T.); #307389=ORIENTED_EDGE('',*,*,#218254,.T.); #307390=ORIENTED_EDGE('',*,*,#218255,.F.); #307391=ORIENTED_EDGE('',*,*,#218256,.F.); #307392=ORIENTED_EDGE('',*,*,#218257,.T.); #307393=ORIENTED_EDGE('',*,*,#218258,.F.); #307394=ORIENTED_EDGE('',*,*,#218259,.F.); #307395=ORIENTED_EDGE('',*,*,#218255,.T.); #307396=ORIENTED_EDGE('',*,*,#218260,.T.); #307397=ORIENTED_EDGE('',*,*,#218261,.F.); #307398=ORIENTED_EDGE('',*,*,#218258,.T.); #307399=ORIENTED_EDGE('',*,*,#218262,.T.); #307400=ORIENTED_EDGE('',*,*,#218263,.F.); #307401=ORIENTED_EDGE('',*,*,#218228,.T.); #307402=ORIENTED_EDGE('',*,*,#218264,.T.); #307403=ORIENTED_EDGE('',*,*,#218265,.T.); #307404=ORIENTED_EDGE('',*,*,#218266,.T.); #307405=ORIENTED_EDGE('',*,*,#218267,.T.); #307406=ORIENTED_EDGE('',*,*,#218268,.T.); #307407=ORIENTED_EDGE('',*,*,#218269,.T.); #307408=ORIENTED_EDGE('',*,*,#218267,.F.); #307409=ORIENTED_EDGE('',*,*,#218270,.T.); #307410=ORIENTED_EDGE('',*,*,#218271,.T.); #307411=ORIENTED_EDGE('',*,*,#218272,.T.); #307412=ORIENTED_EDGE('',*,*,#218273,.F.); #307413=ORIENTED_EDGE('',*,*,#218264,.F.); #307414=ORIENTED_EDGE('',*,*,#218227,.T.); #307415=ORIENTED_EDGE('',*,*,#218274,.T.); #307416=ORIENTED_EDGE('',*,*,#218275,.F.); #307417=ORIENTED_EDGE('',*,*,#218265,.F.); #307418=ORIENTED_EDGE('',*,*,#218273,.T.); #307419=ORIENTED_EDGE('',*,*,#218276,.T.); #307420=ORIENTED_EDGE('',*,*,#218270,.F.); #307421=ORIENTED_EDGE('',*,*,#218266,.F.); #307422=ORIENTED_EDGE('',*,*,#218275,.T.); #307423=ORIENTED_EDGE('',*,*,#218277,.T.); #307424=ORIENTED_EDGE('',*,*,#218278,.T.); #307425=ORIENTED_EDGE('',*,*,#218279,.F.); #307426=ORIENTED_EDGE('',*,*,#218280,.F.); #307427=ORIENTED_EDGE('',*,*,#218281,.T.); #307428=ORIENTED_EDGE('',*,*,#218282,.F.); #307429=ORIENTED_EDGE('',*,*,#218283,.F.); #307430=ORIENTED_EDGE('',*,*,#218279,.T.); #307431=ORIENTED_EDGE('',*,*,#218284,.T.); #307432=ORIENTED_EDGE('',*,*,#218285,.F.); #307433=ORIENTED_EDGE('',*,*,#218282,.T.); #307434=ORIENTED_EDGE('',*,*,#218286,.T.); #307435=ORIENTED_EDGE('',*,*,#218287,.T.); #307436=ORIENTED_EDGE('',*,*,#218288,.F.); #307437=ORIENTED_EDGE('',*,*,#218289,.F.); #307438=ORIENTED_EDGE('',*,*,#218290,.T.); #307439=ORIENTED_EDGE('',*,*,#218291,.T.); #307440=ORIENTED_EDGE('',*,*,#218233,.F.); #307441=ORIENTED_EDGE('',*,*,#218292,.F.); #307442=ORIENTED_EDGE('',*,*,#218288,.T.); #307443=ORIENTED_EDGE('',*,*,#218293,.T.); #307444=ORIENTED_EDGE('',*,*,#218294,.T.); #307445=ORIENTED_EDGE('',*,*,#218295,.F.); #307446=ORIENTED_EDGE('',*,*,#218296,.F.); #307447=ORIENTED_EDGE('',*,*,#218297,.T.); #307448=ORIENTED_EDGE('',*,*,#218290,.F.); #307449=ORIENTED_EDGE('',*,*,#218298,.F.); #307450=ORIENTED_EDGE('',*,*,#218295,.T.); #307451=ORIENTED_EDGE('',*,*,#218210,.T.); #307452=ORIENTED_EDGE('',*,*,#218234,.T.); #307453=ORIENTED_EDGE('',*,*,#218291,.F.); #307454=ORIENTED_EDGE('',*,*,#218287,.F.); #307455=ORIENTED_EDGE('',*,*,#218297,.F.); #307456=ORIENTED_EDGE('',*,*,#218294,.F.); #307457=ORIENTED_EDGE('',*,*,#218299,.T.); #307458=ORIENTED_EDGE('',*,*,#218262,.F.); #307459=ORIENTED_EDGE('',*,*,#218257,.F.); #307460=ORIENTED_EDGE('',*,*,#218254,.F.); #307461=ORIENTED_EDGE('',*,*,#218300,.T.); #307462=ORIENTED_EDGE('',*,*,#218286,.F.); #307463=ORIENTED_EDGE('',*,*,#218281,.F.); #307464=ORIENTED_EDGE('',*,*,#218278,.F.); #307465=ORIENTED_EDGE('',*,*,#218301,.T.); #307466=ORIENTED_EDGE('',*,*,#218271,.F.); #307467=ORIENTED_EDGE('',*,*,#218276,.F.); #307468=ORIENTED_EDGE('',*,*,#218274,.F.); #307469=ORIENTED_EDGE('',*,*,#218272,.F.); #307470=ORIENTED_EDGE('',*,*,#218226,.T.); #307471=ORIENTED_EDGE('',*,*,#218225,.T.); #307472=ORIENTED_EDGE('',*,*,#218215,.T.); #307473=ORIENTED_EDGE('',*,*,#217680,.T.); #307474=ORIENTED_EDGE('',*,*,#218206,.T.); #307475=ORIENTED_EDGE('',*,*,#218203,.T.); #307476=ORIENTED_EDGE('',*,*,#218201,.T.); #307477=ORIENTED_EDGE('',*,*,#218302,.F.); #307478=ORIENTED_EDGE('',*,*,#218303,.T.); #307479=ORIENTED_EDGE('',*,*,#218304,.T.); #307480=ORIENTED_EDGE('',*,*,#218305,.F.); #307481=ORIENTED_EDGE('',*,*,#218306,.T.); #307482=ORIENTED_EDGE('',*,*,#218307,.F.); #307483=ORIENTED_EDGE('',*,*,#218244,.F.); #307484=ORIENTED_EDGE('',*,*,#218308,.F.); #307485=ORIENTED_EDGE('',*,*,#218309,.F.); #307486=ORIENTED_EDGE('',*,*,#218310,.F.); #307487=ORIENTED_EDGE('',*,*,#218311,.F.); #307488=ORIENTED_EDGE('',*,*,#218312,.F.); #307489=ORIENTED_EDGE('',*,*,#218313,.F.); #307490=ORIENTED_EDGE('',*,*,#218314,.F.); #307491=ORIENTED_EDGE('',*,*,#218315,.F.); #307492=ORIENTED_EDGE('',*,*,#218251,.F.); #307493=ORIENTED_EDGE('',*,*,#218248,.F.); #307494=ORIENTED_EDGE('',*,*,#218316,.F.); #307495=ORIENTED_EDGE('',*,*,#218317,.F.); #307496=ORIENTED_EDGE('',*,*,#218318,.T.); #307497=ORIENTED_EDGE('',*,*,#218319,.T.); #307498=ORIENTED_EDGE('',*,*,#218314,.T.); #307499=ORIENTED_EDGE('',*,*,#218320,.F.); #307500=ORIENTED_EDGE('',*,*,#218321,.T.); #307501=ORIENTED_EDGE('',*,*,#218320,.T.); #307502=ORIENTED_EDGE('',*,*,#218313,.T.); #307503=ORIENTED_EDGE('',*,*,#218322,.F.); #307504=ORIENTED_EDGE('',*,*,#218304,.F.); #307505=ORIENTED_EDGE('',*,*,#218323,.F.); #307506=ORIENTED_EDGE('',*,*,#218324,.F.); #307507=ORIENTED_EDGE('',*,*,#218325,.T.); #307508=ORIENTED_EDGE('',*,*,#218326,.F.); #307509=ORIENTED_EDGE('',*,*,#218327,.T.); #307510=ORIENTED_EDGE('',*,*,#218252,.F.); #307511=ORIENTED_EDGE('',*,*,#218315,.T.); #307512=ORIENTED_EDGE('',*,*,#218319,.F.); #307513=ORIENTED_EDGE('',*,*,#218328,.T.); #307514=ORIENTED_EDGE('',*,*,#218329,.F.); #307515=ORIENTED_EDGE('',*,*,#218330,.T.); #307516=ORIENTED_EDGE('',*,*,#218331,.T.); #307517=ORIENTED_EDGE('',*,*,#218329,.T.); #307518=ORIENTED_EDGE('',*,*,#218332,.T.); #307519=ORIENTED_EDGE('',*,*,#218240,.F.); #307520=ORIENTED_EDGE('',*,*,#218333,.F.); #307521=ORIENTED_EDGE('',*,*,#218334,.T.); #307522=ORIENTED_EDGE('',*,*,#218238,.F.); #307523=ORIENTED_EDGE('',*,*,#218335,.T.); #307524=ORIENTED_EDGE('',*,*,#218336,.T.); #307525=ORIENTED_EDGE('',*,*,#218317,.T.); #307526=ORIENTED_EDGE('',*,*,#218337,.F.); #307527=ORIENTED_EDGE('',*,*,#218338,.T.); #307528=ORIENTED_EDGE('',*,*,#218339,.F.); #307529=ORIENTED_EDGE('',*,*,#218340,.T.); #307530=ORIENTED_EDGE('',*,*,#218341,.T.); #307531=ORIENTED_EDGE('',*,*,#218337,.T.); #307532=ORIENTED_EDGE('',*,*,#218316,.T.); #307533=ORIENTED_EDGE('',*,*,#218247,.F.); #307534=ORIENTED_EDGE('',*,*,#218342,.T.); #307535=ORIENTED_EDGE('',*,*,#218343,.F.); #307536=ORIENTED_EDGE('',*,*,#218344,.T.); #307537=ORIENTED_EDGE('',*,*,#218345,.F.); #307538=ORIENTED_EDGE('',*,*,#218346,.T.); #307539=ORIENTED_EDGE('',*,*,#218345,.T.); #307540=ORIENTED_EDGE('',*,*,#218347,.T.); #307541=ORIENTED_EDGE('',*,*,#218348,.F.); #307542=ORIENTED_EDGE('',*,*,#218349,.T.); #307543=ORIENTED_EDGE('',*,*,#218348,.T.); #307544=ORIENTED_EDGE('',*,*,#218350,.T.); #307545=ORIENTED_EDGE('',*,*,#218351,.F.); #307546=ORIENTED_EDGE('',*,*,#218352,.T.); #307547=ORIENTED_EDGE('',*,*,#218351,.T.); #307548=ORIENTED_EDGE('',*,*,#218353,.T.); #307549=ORIENTED_EDGE('',*,*,#218354,.F.); #307550=ORIENTED_EDGE('',*,*,#218355,.T.); #307551=ORIENTED_EDGE('',*,*,#218354,.T.); #307552=ORIENTED_EDGE('',*,*,#218356,.T.); #307553=ORIENTED_EDGE('',*,*,#218357,.T.); #307554=ORIENTED_EDGE('',*,*,#218358,.T.); #307555=ORIENTED_EDGE('',*,*,#218357,.F.); #307556=ORIENTED_EDGE('',*,*,#218359,.T.); #307557=ORIENTED_EDGE('',*,*,#218360,.F.); #307558=ORIENTED_EDGE('',*,*,#218361,.T.); #307559=ORIENTED_EDGE('',*,*,#218360,.T.); #307560=ORIENTED_EDGE('',*,*,#218323,.T.); #307561=ORIENTED_EDGE('',*,*,#218303,.F.); #307562=ORIENTED_EDGE('',*,*,#218362,.F.); #307563=ORIENTED_EDGE('',*,*,#218363,.T.); #307564=ORIENTED_EDGE('',*,*,#218364,.F.); #307565=ORIENTED_EDGE('',*,*,#218305,.T.); #307566=ORIENTED_EDGE('',*,*,#218322,.T.); #307567=ORIENTED_EDGE('',*,*,#218365,.T.); #307568=ORIENTED_EDGE('',*,*,#218366,.T.); #307569=ORIENTED_EDGE('',*,*,#218365,.F.); #307570=ORIENTED_EDGE('',*,*,#218312,.T.); #307571=ORIENTED_EDGE('',*,*,#218367,.T.); #307572=ORIENTED_EDGE('',*,*,#218368,.T.); #307573=ORIENTED_EDGE('',*,*,#218367,.F.); #307574=ORIENTED_EDGE('',*,*,#218311,.T.); #307575=ORIENTED_EDGE('',*,*,#218369,.T.); #307576=ORIENTED_EDGE('',*,*,#218370,.T.); #307577=ORIENTED_EDGE('',*,*,#218369,.F.); #307578=ORIENTED_EDGE('',*,*,#218310,.T.); #307579=ORIENTED_EDGE('',*,*,#218371,.F.); #307580=ORIENTED_EDGE('',*,*,#218372,.T.); #307581=ORIENTED_EDGE('',*,*,#218371,.T.); #307582=ORIENTED_EDGE('',*,*,#218309,.T.); #307583=ORIENTED_EDGE('',*,*,#218373,.F.); #307584=ORIENTED_EDGE('',*,*,#218374,.T.); #307585=ORIENTED_EDGE('',*,*,#218373,.T.); #307586=ORIENTED_EDGE('',*,*,#218308,.T.); #307587=ORIENTED_EDGE('',*,*,#218243,.F.); #307588=ORIENTED_EDGE('',*,*,#218375,.T.); #307589=ORIENTED_EDGE('',*,*,#218335,.F.); #307590=ORIENTED_EDGE('',*,*,#218237,.F.); #307591=ORIENTED_EDGE('',*,*,#218241,.F.); #307592=ORIENTED_EDGE('',*,*,#218332,.F.); #307593=ORIENTED_EDGE('',*,*,#218328,.F.); #307594=ORIENTED_EDGE('',*,*,#218318,.F.); #307595=ORIENTED_EDGE('',*,*,#218321,.F.); #307596=ORIENTED_EDGE('',*,*,#218359,.F.); #307597=ORIENTED_EDGE('',*,*,#218356,.F.); #307598=ORIENTED_EDGE('',*,*,#218353,.F.); #307599=ORIENTED_EDGE('',*,*,#218350,.F.); #307600=ORIENTED_EDGE('',*,*,#218347,.F.); #307601=ORIENTED_EDGE('',*,*,#218344,.F.); #307602=ORIENTED_EDGE('',*,*,#218376,.F.); #307603=ORIENTED_EDGE('',*,*,#218375,.F.); #307604=ORIENTED_EDGE('',*,*,#218377,.F.); #307605=ORIENTED_EDGE('',*,*,#218306,.F.); #307606=ORIENTED_EDGE('',*,*,#218336,.F.); #307607=ORIENTED_EDGE('',*,*,#218378,.F.); #307608=ORIENTED_EDGE('',*,*,#218379,.T.); #307609=ORIENTED_EDGE('',*,*,#218280,.T.); #307610=ORIENTED_EDGE('',*,*,#218283,.T.); #307611=ORIENTED_EDGE('',*,*,#218285,.T.); #307612=ORIENTED_EDGE('',*,*,#218380,.T.); #307613=ORIENTED_EDGE('',*,*,#218185,.T.); #307614=ORIENTED_EDGE('',*,*,#218381,.T.); #307615=ORIENTED_EDGE('',*,*,#218326,.T.); #307616=ORIENTED_EDGE('',*,*,#218382,.T.); #307617=ORIENTED_EDGE('',*,*,#218383,.F.); #307618=ORIENTED_EDGE('',*,*,#218384,.F.); #307619=ORIENTED_EDGE('',*,*,#218260,.F.); #307620=ORIENTED_EDGE('',*,*,#218299,.F.); #307621=ORIENTED_EDGE('',*,*,#218293,.F.); #307622=ORIENTED_EDGE('',*,*,#218385,.F.); #307623=ORIENTED_EDGE('',*,*,#218386,.F.); #307624=ORIENTED_EDGE('',*,*,#218387,.F.); #307625=ORIENTED_EDGE('',*,*,#218363,.F.); #307626=ORIENTED_EDGE('',*,*,#218366,.F.); #307627=ORIENTED_EDGE('',*,*,#218368,.F.); #307628=ORIENTED_EDGE('',*,*,#218370,.F.); #307629=ORIENTED_EDGE('',*,*,#218372,.F.); #307630=ORIENTED_EDGE('',*,*,#218374,.F.); #307631=ORIENTED_EDGE('',*,*,#218242,.F.); #307632=ORIENTED_EDGE('',*,*,#218388,.F.); #307633=ORIENTED_EDGE('',*,*,#218389,.F.); #307634=ORIENTED_EDGE('',*,*,#218385,.T.); #307635=ORIENTED_EDGE('',*,*,#218296,.T.); #307636=ORIENTED_EDGE('',*,*,#218298,.T.); #307637=ORIENTED_EDGE('',*,*,#218289,.T.); #307638=ORIENTED_EDGE('',*,*,#218292,.T.); #307639=ORIENTED_EDGE('',*,*,#218232,.T.); #307640=ORIENTED_EDGE('',*,*,#218389,.T.); #307641=ORIENTED_EDGE('',*,*,#218231,.T.); #307642=ORIENTED_EDGE('',*,*,#218390,.T.); #307643=ORIENTED_EDGE('',*,*,#218386,.T.); #307644=ORIENTED_EDGE('',*,*,#218391,.F.); #307645=ORIENTED_EDGE('',*,*,#218392,.T.); #307646=ORIENTED_EDGE('',*,*,#218256,.T.); #307647=ORIENTED_EDGE('',*,*,#218259,.T.); #307648=ORIENTED_EDGE('',*,*,#218261,.T.); #307649=ORIENTED_EDGE('',*,*,#218384,.T.); #307650=ORIENTED_EDGE('',*,*,#218391,.T.); #307651=ORIENTED_EDGE('',*,*,#218383,.T.); #307652=ORIENTED_EDGE('',*,*,#218393,.T.); #307653=ORIENTED_EDGE('',*,*,#218394,.T.); #307654=ORIENTED_EDGE('',*,*,#218339,.T.); #307655=ORIENTED_EDGE('',*,*,#218395,.T.); #307656=ORIENTED_EDGE('',*,*,#218396,.F.); #307657=ORIENTED_EDGE('',*,*,#218397,.T.); #307658=ORIENTED_EDGE('',*,*,#218398,.F.); #307659=ORIENTED_EDGE('',*,*,#218186,.T.); #307660=ORIENTED_EDGE('',*,*,#218382,.F.); #307661=ORIENTED_EDGE('',*,*,#218325,.F.); #307662=ORIENTED_EDGE('',*,*,#217943,.T.); #307663=ORIENTED_EDGE('',*,*,#217986,.T.); #307664=ORIENTED_EDGE('',*,*,#217939,.T.); #307665=ORIENTED_EDGE('',*,*,#217966,.T.); #307666=ORIENTED_EDGE('',*,*,#218036,.T.); #307667=ORIENTED_EDGE('',*,*,#218069,.T.); #307668=ORIENTED_EDGE('',*,*,#218026,.T.); #307669=ORIENTED_EDGE('',*,*,#218050,.T.); #307670=ORIENTED_EDGE('',*,*,#218075,.T.); #307671=ORIENTED_EDGE('',*,*,#218118,.T.); #307672=ORIENTED_EDGE('',*,*,#218071,.T.); #307673=ORIENTED_EDGE('',*,*,#218098,.T.); #307674=ORIENTED_EDGE('',*,*,#218187,.T.); #307675=ORIENTED_EDGE('',*,*,#218398,.T.); #307676=ORIENTED_EDGE('',*,*,#218324,.T.); #307677=ORIENTED_EDGE('',*,*,#218399,.T.); #307678=ORIENTED_EDGE('',*,*,#218400,.F.); #307679=ORIENTED_EDGE('',*,*,#218401,.F.); #307680=ORIENTED_EDGE('',*,*,#218342,.F.); #307681=ORIENTED_EDGE('',*,*,#218346,.F.); #307682=ORIENTED_EDGE('',*,*,#218349,.F.); #307683=ORIENTED_EDGE('',*,*,#218352,.F.); #307684=ORIENTED_EDGE('',*,*,#218355,.F.); #307685=ORIENTED_EDGE('',*,*,#218358,.F.); #307686=ORIENTED_EDGE('',*,*,#218361,.F.); #307687=ORIENTED_EDGE('',*,*,#218402,.F.); #307688=ORIENTED_EDGE('',*,*,#218403,.F.); #307689=ORIENTED_EDGE('',*,*,#218268,.F.); #307690=ORIENTED_EDGE('',*,*,#218269,.F.); #307691=ORIENTED_EDGE('',*,*,#218301,.F.); #307692=ORIENTED_EDGE('',*,*,#218277,.F.); #307693=ORIENTED_EDGE('',*,*,#218379,.F.); #307694=ORIENTED_EDGE('',*,*,#218404,.F.); #307695=ORIENTED_EDGE('',*,*,#218402,.T.); #307696=ORIENTED_EDGE('',*,*,#218362,.T.); #307697=ORIENTED_EDGE('',*,*,#218302,.T.); #307698=ORIENTED_EDGE('',*,*,#218364,.T.); #307699=ORIENTED_EDGE('',*,*,#218387,.T.); #307700=ORIENTED_EDGE('',*,*,#218390,.F.); #307701=ORIENTED_EDGE('',*,*,#218230,.T.); #307702=ORIENTED_EDGE('',*,*,#218404,.T.); #307703=ORIENTED_EDGE('',*,*,#218229,.T.); #307704=ORIENTED_EDGE('',*,*,#218263,.T.); #307705=ORIENTED_EDGE('',*,*,#218403,.T.); #307706=ORIENTED_EDGE('',*,*,#218405,.F.); #307707=ORIENTED_EDGE('',*,*,#218380,.F.); #307708=ORIENTED_EDGE('',*,*,#218284,.F.); #307709=ORIENTED_EDGE('',*,*,#218300,.F.); #307710=ORIENTED_EDGE('',*,*,#218253,.F.); #307711=ORIENTED_EDGE('',*,*,#218392,.F.); #307712=ORIENTED_EDGE('',*,*,#218394,.F.); #307713=ORIENTED_EDGE('',*,*,#218406,.F.); #307714=ORIENTED_EDGE('',*,*,#218407,.F.); #307715=ORIENTED_EDGE('',*,*,#218406,.T.); #307716=ORIENTED_EDGE('',*,*,#218393,.F.); #307717=ORIENTED_EDGE('',*,*,#218388,.T.); #307718=ORIENTED_EDGE('',*,*,#218245,.F.); #307719=ORIENTED_EDGE('',*,*,#218307,.T.); #307720=ORIENTED_EDGE('',*,*,#218377,.T.); #307721=ORIENTED_EDGE('',*,*,#218376,.T.); #307722=ORIENTED_EDGE('',*,*,#218343,.T.); #307723=ORIENTED_EDGE('',*,*,#218401,.T.); #307724=ORIENTED_EDGE('',*,*,#218407,.T.); #307725=ORIENTED_EDGE('',*,*,#218400,.T.); #307726=ORIENTED_EDGE('',*,*,#218378,.T.); #307727=ORIENTED_EDGE('',*,*,#218405,.T.); #307728=ORIENTED_EDGE('',*,*,#218408,.T.); #307729=ORIENTED_EDGE('',*,*,#218409,.T.); #307730=ORIENTED_EDGE('',*,*,#218410,.T.); #307731=ORIENTED_EDGE('',*,*,#218411,.F.); #307732=ORIENTED_EDGE('',*,*,#218412,.F.); #307733=ORIENTED_EDGE('',*,*,#218413,.F.); #307734=ORIENTED_EDGE('',*,*,#218414,.F.); #307735=ORIENTED_EDGE('',*,*,#218415,.T.); #307736=ORIENTED_EDGE('',*,*,#218333,.T.); #307737=ORIENTED_EDGE('',*,*,#218416,.T.); #307738=ORIENTED_EDGE('',*,*,#218417,.T.); #307739=ORIENTED_EDGE('',*,*,#218418,.T.); #307740=ORIENTED_EDGE('',*,*,#218419,.T.); #307741=ORIENTED_EDGE('',*,*,#218420,.T.); #307742=ORIENTED_EDGE('',*,*,#218421,.T.); #307743=ORIENTED_EDGE('',*,*,#217730,.F.); #307744=ORIENTED_EDGE('',*,*,#218414,.T.); #307745=ORIENTED_EDGE('',*,*,#217723,.T.); #307746=ORIENTED_EDGE('',*,*,#218422,.F.); #307747=ORIENTED_EDGE('',*,*,#218423,.T.); #307748=ORIENTED_EDGE('',*,*,#218424,.F.); #307749=ORIENTED_EDGE('',*,*,#218425,.F.); #307750=ORIENTED_EDGE('',*,*,#218426,.T.); #307751=ORIENTED_EDGE('',*,*,#218427,.F.); #307752=ORIENTED_EDGE('',*,*,#218428,.T.); #307753=ORIENTED_EDGE('',*,*,#218429,.F.); #307754=ORIENTED_EDGE('',*,*,#218430,.T.); #307755=ORIENTED_EDGE('',*,*,#218431,.T.); #307756=ORIENTED_EDGE('',*,*,#218432,.F.); #307757=ORIENTED_EDGE('',*,*,#217734,.F.); #307758=ORIENTED_EDGE('',*,*,#218433,.F.); #307759=ORIENTED_EDGE('',*,*,#218434,.T.); #307760=ORIENTED_EDGE('',*,*,#218435,.F.); #307761=ORIENTED_EDGE('',*,*,#218436,.T.); #307762=ORIENTED_EDGE('',*,*,#218437,.F.); #307763=ORIENTED_EDGE('',*,*,#218438,.T.); #307764=ORIENTED_EDGE('',*,*,#218439,.F.); #307765=ORIENTED_EDGE('',*,*,#218440,.T.); #307766=ORIENTED_EDGE('',*,*,#218441,.F.); #307767=ORIENTED_EDGE('',*,*,#218442,.T.); #307768=ORIENTED_EDGE('',*,*,#218443,.T.); #307769=ORIENTED_EDGE('',*,*,#218444,.F.); #307770=ORIENTED_EDGE('',*,*,#218445,.T.); #307771=ORIENTED_EDGE('',*,*,#217731,.F.); #307772=ORIENTED_EDGE('',*,*,#218421,.F.); #307773=ORIENTED_EDGE('',*,*,#217722,.F.); #307774=ORIENTED_EDGE('',*,*,#218446,.F.); #307775=ORIENTED_EDGE('',*,*,#218447,.F.); #307776=ORIENTED_EDGE('',*,*,#218448,.T.); #307777=ORIENTED_EDGE('',*,*,#218449,.F.); #307778=ORIENTED_EDGE('',*,*,#218450,.F.); #307779=ORIENTED_EDGE('',*,*,#218451,.F.); #307780=ORIENTED_EDGE('',*,*,#218452,.T.); #307781=ORIENTED_EDGE('',*,*,#217728,.F.); #307782=ORIENTED_EDGE('',*,*,#218453,.F.); #307783=ORIENTED_EDGE('',*,*,#217738,.F.); #307784=ORIENTED_EDGE('',*,*,#218454,.F.); #307785=ORIENTED_EDGE('',*,*,#218455,.T.); #307786=ORIENTED_EDGE('',*,*,#218456,.T.); #307787=ORIENTED_EDGE('',*,*,#218457,.F.); #307788=ORIENTED_EDGE('',*,*,#218458,.T.); #307789=ORIENTED_EDGE('',*,*,#218459,.F.); #307790=ORIENTED_EDGE('',*,*,#218460,.T.); #307791=ORIENTED_EDGE('',*,*,#218461,.F.); #307792=ORIENTED_EDGE('',*,*,#218462,.T.); #307793=ORIENTED_EDGE('',*,*,#218463,.T.); #307794=ORIENTED_EDGE('',*,*,#218464,.T.); #307795=ORIENTED_EDGE('',*,*,#217743,.F.); #307796=ORIENTED_EDGE('',*,*,#218189,.T.); #307797=ORIENTED_EDGE('',*,*,#217735,.T.); #307798=ORIENTED_EDGE('',*,*,#218432,.T.); #307799=ORIENTED_EDGE('',*,*,#218465,.T.); #307800=ORIENTED_EDGE('',*,*,#218465,.F.); #307801=ORIENTED_EDGE('',*,*,#218431,.F.); #307802=ORIENTED_EDGE('',*,*,#218466,.F.); #307803=ORIENTED_EDGE('',*,*,#218190,.F.); #307804=ORIENTED_EDGE('',*,*,#218396,.T.); #307805=ORIENTED_EDGE('',*,*,#217739,.T.); #307806=ORIENTED_EDGE('',*,*,#218453,.T.); #307807=ORIENTED_EDGE('',*,*,#217727,.T.); #307808=ORIENTED_EDGE('',*,*,#217724,.T.); #307809=ORIENTED_EDGE('',*,*,#218416,.F.); #307810=ORIENTED_EDGE('',*,*,#218340,.F.); #307811=ORIENTED_EDGE('',*,*,#218397,.F.); #307812=ORIENTED_EDGE('',*,*,#217726,.T.); #307813=ORIENTED_EDGE('',*,*,#218169,.F.); #307814=ORIENTED_EDGE('',*,*,#218422,.T.); #307815=ORIENTED_EDGE('',*,*,#217742,.F.); #307816=ORIENTED_EDGE('',*,*,#218183,.T.); #307817=ORIENTED_EDGE('',*,*,#218467,.T.); #307818=ORIENTED_EDGE('',*,*,#217740,.T.); #307819=ORIENTED_EDGE('',*,*,#218395,.F.); #307820=ORIENTED_EDGE('',*,*,#218338,.F.); #307821=ORIENTED_EDGE('',*,*,#218341,.F.); #307822=ORIENTED_EDGE('',*,*,#218246,.F.); #307823=ORIENTED_EDGE('',*,*,#218250,.F.); #307824=ORIENTED_EDGE('',*,*,#218327,.F.); #307825=ORIENTED_EDGE('',*,*,#218381,.F.); #307826=ORIENTED_EDGE('',*,*,#218184,.F.); #307827=ORIENTED_EDGE('',*,*,#217745,.T.); #307828=ORIENTED_EDGE('',*,*,#218409,.F.); #307829=ORIENTED_EDGE('',*,*,#218468,.F.); #307830=ORIENTED_EDGE('',*,*,#218182,.F.); #307831=ORIENTED_EDGE('',*,*,#217729,.F.); #307832=ORIENTED_EDGE('',*,*,#218452,.F.); #307833=ORIENTED_EDGE('',*,*,#218469,.F.); #307834=ORIENTED_EDGE('',*,*,#218162,.T.); #307835=ORIENTED_EDGE('',*,*,#218467,.F.); #307836=ORIENTED_EDGE('',*,*,#218198,.F.); #307837=ORIENTED_EDGE('',*,*,#218470,.F.); #307838=ORIENTED_EDGE('',*,*,#218423,.F.); #307839=ORIENTED_EDGE('',*,*,#218470,.T.); #307840=ORIENTED_EDGE('',*,*,#218197,.F.); #307841=ORIENTED_EDGE('',*,*,#218471,.F.); #307842=ORIENTED_EDGE('',*,*,#218424,.T.); #307843=ORIENTED_EDGE('',*,*,#218466,.T.); #307844=ORIENTED_EDGE('',*,*,#218430,.F.); #307845=ORIENTED_EDGE('',*,*,#218472,.F.); #307846=ORIENTED_EDGE('',*,*,#218191,.T.); #307847=ORIENTED_EDGE('',*,*,#218168,.F.); #307848=ORIENTED_EDGE('',*,*,#218473,.F.); #307849=ORIENTED_EDGE('',*,*,#218446,.T.); #307850=ORIENTED_EDGE('',*,*,#217725,.F.); #307851=ORIENTED_EDGE('',*,*,#218473,.T.); #307852=ORIENTED_EDGE('',*,*,#218167,.T.); #307853=ORIENTED_EDGE('',*,*,#218474,.T.); #307854=ORIENTED_EDGE('',*,*,#218447,.T.); #307855=ORIENTED_EDGE('',*,*,#218474,.F.); #307856=ORIENTED_EDGE('',*,*,#218166,.T.); #307857=ORIENTED_EDGE('',*,*,#218475,.F.); #307858=ORIENTED_EDGE('',*,*,#218448,.F.); #307859=ORIENTED_EDGE('',*,*,#218476,.F.); #307860=ORIENTED_EDGE('',*,*,#218477,.T.); #307861=ORIENTED_EDGE('',*,*,#218478,.T.); #307862=ORIENTED_EDGE('',*,*,#218479,.F.); #307863=ORIENTED_EDGE('',*,*,#218480,.F.); #307864=ORIENTED_EDGE('',*,*,#218481,.F.); #307865=ORIENTED_EDGE('',*,*,#218482,.F.); #307866=ORIENTED_EDGE('',*,*,#218483,.T.); #307867=ORIENTED_EDGE('',*,*,#218484,.T.); #307868=ORIENTED_EDGE('',*,*,#218449,.T.); #307869=ORIENTED_EDGE('',*,*,#218485,.T.); #307870=ORIENTED_EDGE('',*,*,#218486,.T.); #307871=ORIENTED_EDGE('',*,*,#218165,.F.); #307872=ORIENTED_EDGE('',*,*,#218487,.F.); #307873=ORIENTED_EDGE('',*,*,#218488,.T.); #307874=ORIENTED_EDGE('',*,*,#218489,.F.); #307875=ORIENTED_EDGE('',*,*,#218484,.F.); #307876=ORIENTED_EDGE('',*,*,#218482,.T.); #307877=ORIENTED_EDGE('',*,*,#218487,.T.); #307878=ORIENTED_EDGE('',*,*,#218490,.F.); #307879=ORIENTED_EDGE('',*,*,#218478,.F.); #307880=ORIENTED_EDGE('',*,*,#218485,.F.); #307881=ORIENTED_EDGE('',*,*,#218475,.T.); #307882=ORIENTED_EDGE('',*,*,#218489,.T.); #307883=ORIENTED_EDGE('',*,*,#218491,.F.); #307884=ORIENTED_EDGE('',*,*,#218450,.T.); #307885=ORIENTED_EDGE('',*,*,#218490,.T.); #307886=ORIENTED_EDGE('',*,*,#218164,.F.); #307887=ORIENTED_EDGE('',*,*,#218492,.F.); #307888=ORIENTED_EDGE('',*,*,#218493,.T.); #307889=ORIENTED_EDGE('',*,*,#218494,.F.); #307890=ORIENTED_EDGE('',*,*,#218483,.F.); #307891=ORIENTED_EDGE('',*,*,#218486,.F.); #307892=ORIENTED_EDGE('',*,*,#218477,.F.); #307893=ORIENTED_EDGE('',*,*,#218495,.T.); #307894=ORIENTED_EDGE('',*,*,#218480,.T.); #307895=ORIENTED_EDGE('',*,*,#218494,.T.); #307896=ORIENTED_EDGE('',*,*,#218496,.T.); #307897=ORIENTED_EDGE('',*,*,#218497,.F.); #307898=ORIENTED_EDGE('',*,*,#218479,.T.); #307899=ORIENTED_EDGE('',*,*,#218488,.F.); #307900=ORIENTED_EDGE('',*,*,#218481,.T.); #307901=ORIENTED_EDGE('',*,*,#218495,.F.); #307902=ORIENTED_EDGE('',*,*,#218498,.T.); #307903=ORIENTED_EDGE('',*,*,#218499,.F.); #307904=ORIENTED_EDGE('',*,*,#218498,.F.); #307905=ORIENTED_EDGE('',*,*,#218496,.F.); #307906=ORIENTED_EDGE('',*,*,#218493,.F.); #307907=ORIENTED_EDGE('',*,*,#218492,.T.); #307908=ORIENTED_EDGE('',*,*,#218476,.T.); #307909=ORIENTED_EDGE('',*,*,#218497,.T.); #307910=ORIENTED_EDGE('',*,*,#218499,.T.); #307911=ORIENTED_EDGE('',*,*,#218471,.T.); #307912=ORIENTED_EDGE('',*,*,#218196,.T.); #307913=ORIENTED_EDGE('',*,*,#218500,.T.); #307914=ORIENTED_EDGE('',*,*,#218425,.T.); #307915=ORIENTED_EDGE('',*,*,#218501,.F.); #307916=ORIENTED_EDGE('',*,*,#218428,.F.); #307917=ORIENTED_EDGE('',*,*,#218502,.T.); #307918=ORIENTED_EDGE('',*,*,#218193,.F.); #307919=ORIENTED_EDGE('',*,*,#218503,.F.); #307920=ORIENTED_EDGE('',*,*,#218504,.F.); #307921=ORIENTED_EDGE('',*,*,#218505,.T.); #307922=ORIENTED_EDGE('',*,*,#218506,.T.); #307923=ORIENTED_EDGE('',*,*,#218507,.F.); #307924=ORIENTED_EDGE('',*,*,#218508,.T.); #307925=ORIENTED_EDGE('',*,*,#218509,.F.); #307926=ORIENTED_EDGE('',*,*,#218510,.F.); #307927=ORIENTED_EDGE('',*,*,#218511,.T.); #307928=ORIENTED_EDGE('',*,*,#218427,.T.); #307929=ORIENTED_EDGE('',*,*,#218512,.T.); #307930=ORIENTED_EDGE('',*,*,#218513,.T.); #307931=ORIENTED_EDGE('',*,*,#218194,.F.); #307932=ORIENTED_EDGE('',*,*,#218514,.F.); #307933=ORIENTED_EDGE('',*,*,#218515,.T.); #307934=ORIENTED_EDGE('',*,*,#218516,.F.); #307935=ORIENTED_EDGE('',*,*,#218511,.F.); #307936=ORIENTED_EDGE('',*,*,#218509,.T.); #307937=ORIENTED_EDGE('',*,*,#218514,.T.); #307938=ORIENTED_EDGE('',*,*,#218502,.F.); #307939=ORIENTED_EDGE('',*,*,#218505,.F.); #307940=ORIENTED_EDGE('',*,*,#218512,.F.); #307941=ORIENTED_EDGE('',*,*,#218517,.T.); #307942=ORIENTED_EDGE('',*,*,#218516,.T.); #307943=ORIENTED_EDGE('',*,*,#218500,.F.); #307944=ORIENTED_EDGE('',*,*,#218195,.T.); #307945=ORIENTED_EDGE('',*,*,#218517,.F.); #307946=ORIENTED_EDGE('',*,*,#218426,.F.); #307947=ORIENTED_EDGE('',*,*,#218518,.F.); #307948=ORIENTED_EDGE('',*,*,#218519,.T.); #307949=ORIENTED_EDGE('',*,*,#218520,.F.); #307950=ORIENTED_EDGE('',*,*,#218510,.T.); #307951=ORIENTED_EDGE('',*,*,#218513,.F.); #307952=ORIENTED_EDGE('',*,*,#218504,.T.); #307953=ORIENTED_EDGE('',*,*,#218521,.T.); #307954=ORIENTED_EDGE('',*,*,#218507,.T.); #307955=ORIENTED_EDGE('',*,*,#218520,.T.); #307956=ORIENTED_EDGE('',*,*,#218522,.T.); #307957=ORIENTED_EDGE('',*,*,#218523,.F.); #307958=ORIENTED_EDGE('',*,*,#218506,.F.); #307959=ORIENTED_EDGE('',*,*,#218515,.F.); #307960=ORIENTED_EDGE('',*,*,#218508,.F.); #307961=ORIENTED_EDGE('',*,*,#218521,.F.); #307962=ORIENTED_EDGE('',*,*,#218524,.T.); #307963=ORIENTED_EDGE('',*,*,#218525,.F.); #307964=ORIENTED_EDGE('',*,*,#218524,.F.); #307965=ORIENTED_EDGE('',*,*,#218522,.F.); #307966=ORIENTED_EDGE('',*,*,#218519,.F.); #307967=ORIENTED_EDGE('',*,*,#218518,.T.); #307968=ORIENTED_EDGE('',*,*,#218503,.T.); #307969=ORIENTED_EDGE('',*,*,#218523,.T.); #307970=ORIENTED_EDGE('',*,*,#218525,.T.); #307971=ORIENTED_EDGE('',*,*,#218501,.T.); #307972=ORIENTED_EDGE('',*,*,#218192,.T.); #307973=ORIENTED_EDGE('',*,*,#218472,.T.); #307974=ORIENTED_EDGE('',*,*,#218429,.T.); #307975=ORIENTED_EDGE('',*,*,#218469,.T.); #307976=ORIENTED_EDGE('',*,*,#218451,.T.); #307977=ORIENTED_EDGE('',*,*,#218491,.T.); #307978=ORIENTED_EDGE('',*,*,#218163,.T.); #307979=ORIENTED_EDGE('',*,*,#217744,.F.); #307980=ORIENTED_EDGE('',*,*,#218464,.F.); #307981=ORIENTED_EDGE('',*,*,#218526,.F.); #307982=ORIENTED_EDGE('',*,*,#218410,.F.); #307983=ORIENTED_EDGE('',*,*,#217733,.T.); #307984=ORIENTED_EDGE('',*,*,#218161,.F.); #307985=ORIENTED_EDGE('',*,*,#218417,.F.); #307986=ORIENTED_EDGE('',*,*,#218171,.F.); #307987=ORIENTED_EDGE('',*,*,#217736,.T.); #307988=ORIENTED_EDGE('',*,*,#218188,.F.); #307989=ORIENTED_EDGE('',*,*,#218399,.F.); #307990=ORIENTED_EDGE('',*,*,#218330,.F.); #307991=ORIENTED_EDGE('',*,*,#218331,.F.); #307992=ORIENTED_EDGE('',*,*,#218239,.F.); #307993=ORIENTED_EDGE('',*,*,#218235,.F.); #307994=ORIENTED_EDGE('',*,*,#218334,.F.); #307995=ORIENTED_EDGE('',*,*,#218415,.F.); #307996=ORIENTED_EDGE('',*,*,#217732,.F.); #307997=ORIENTED_EDGE('',*,*,#218445,.F.); #307998=ORIENTED_EDGE('',*,*,#218527,.F.); #307999=ORIENTED_EDGE('',*,*,#218155,.F.); #308000=ORIENTED_EDGE('',*,*,#218173,.T.); #308001=ORIENTED_EDGE('',*,*,#218528,.T.); #308002=ORIENTED_EDGE('',*,*,#218437,.T.); #308003=ORIENTED_EDGE('',*,*,#218529,.T.); #308004=ORIENTED_EDGE('',*,*,#218528,.F.); #308005=ORIENTED_EDGE('',*,*,#218172,.F.); #308006=ORIENTED_EDGE('',*,*,#218420,.F.); #308007=ORIENTED_EDGE('',*,*,#218530,.F.); #308008=ORIENTED_EDGE('',*,*,#218531,.F.); #308009=ORIENTED_EDGE('',*,*,#218532,.F.); #308010=ORIENTED_EDGE('',*,*,#218533,.F.); #308011=ORIENTED_EDGE('',*,*,#218534,.F.); #308012=ORIENTED_EDGE('',*,*,#218438,.F.); #308013=ORIENTED_EDGE('',*,*,#218535,.T.); #308014=ORIENTED_EDGE('',*,*,#218536,.T.); #308015=ORIENTED_EDGE('',*,*,#218537,.T.); #308016=ORIENTED_EDGE('',*,*,#218532,.T.); #308017=ORIENTED_EDGE('',*,*,#218536,.F.); #308018=ORIENTED_EDGE('',*,*,#218538,.F.); #308019=ORIENTED_EDGE('',*,*,#218539,.T.); #308020=ORIENTED_EDGE('',*,*,#218540,.F.); #308021=ORIENTED_EDGE('',*,*,#218535,.F.); #308022=ORIENTED_EDGE('',*,*,#218531,.T.); #308023=ORIENTED_EDGE('',*,*,#218541,.F.); #308024=ORIENTED_EDGE('',*,*,#218542,.T.); #308025=ORIENTED_EDGE('',*,*,#218543,.F.); #308026=ORIENTED_EDGE('',*,*,#218544,.T.); #308027=ORIENTED_EDGE('',*,*,#218545,.T.); #308028=ORIENTED_EDGE('',*,*,#218538,.T.); #308029=ORIENTED_EDGE('',*,*,#218441,.T.); #308030=ORIENTED_EDGE('',*,*,#218546,.T.); #308031=ORIENTED_EDGE('',*,*,#218159,.T.); #308032=ORIENTED_EDGE('',*,*,#218547,.T.); #308033=ORIENTED_EDGE('',*,*,#218546,.F.); #308034=ORIENTED_EDGE('',*,*,#218440,.F.); #308035=ORIENTED_EDGE('',*,*,#218548,.F.); #308036=ORIENTED_EDGE('',*,*,#218549,.F.); #308037=ORIENTED_EDGE('',*,*,#218550,.F.); #308038=ORIENTED_EDGE('',*,*,#218542,.F.); #308039=ORIENTED_EDGE('',*,*,#218551,.F.); #308040=ORIENTED_EDGE('',*,*,#218418,.F.); #308041=ORIENTED_EDGE('',*,*,#218160,.F.); #308042=ORIENTED_EDGE('',*,*,#218552,.T.); #308043=ORIENTED_EDGE('',*,*,#218553,.T.); #308044=ORIENTED_EDGE('',*,*,#218543,.T.); #308045=ORIENTED_EDGE('',*,*,#218550,.T.); #308046=ORIENTED_EDGE('',*,*,#218537,.F.); #308047=ORIENTED_EDGE('',*,*,#218540,.T.); #308048=ORIENTED_EDGE('',*,*,#218554,.T.); #308049=ORIENTED_EDGE('',*,*,#218555,.T.); #308050=ORIENTED_EDGE('',*,*,#218552,.F.); #308051=ORIENTED_EDGE('',*,*,#218549,.T.); #308052=ORIENTED_EDGE('',*,*,#218556,.F.); #308053=ORIENTED_EDGE('',*,*,#218533,.T.); #308054=ORIENTED_EDGE('',*,*,#218557,.T.); #308055=ORIENTED_EDGE('',*,*,#218558,.T.); #308056=ORIENTED_EDGE('',*,*,#218559,.T.); #308057=ORIENTED_EDGE('',*,*,#218560,.T.); #308058=ORIENTED_EDGE('',*,*,#218557,.F.); #308059=ORIENTED_EDGE('',*,*,#218561,.T.); #308060=ORIENTED_EDGE('',*,*,#218562,.F.); #308061=ORIENTED_EDGE('',*,*,#218563,.T.); #308062=ORIENTED_EDGE('',*,*,#218564,.F.); #308063=ORIENTED_EDGE('',*,*,#218565,.T.); #308064=ORIENTED_EDGE('',*,*,#218566,.T.); #308065=ORIENTED_EDGE('',*,*,#218567,.T.); #308066=ORIENTED_EDGE('',*,*,#218560,.F.); #308067=ORIENTED_EDGE('',*,*,#218568,.F.); #308068=ORIENTED_EDGE('',*,*,#218569,.F.); #308069=ORIENTED_EDGE('',*,*,#218570,.F.); #308070=ORIENTED_EDGE('',*,*,#218408,.F.); #308071=ORIENTED_EDGE('',*,*,#218571,.F.); #308072=ORIENTED_EDGE('',*,*,#218460,.F.); #308073=ORIENTED_EDGE('',*,*,#218572,.F.); #308074=ORIENTED_EDGE('',*,*,#218561,.F.); #308075=ORIENTED_EDGE('',*,*,#218461,.T.); #308076=ORIENTED_EDGE('',*,*,#218571,.T.); #308077=ORIENTED_EDGE('',*,*,#218413,.T.); #308078=ORIENTED_EDGE('',*,*,#218573,.T.); #308079=ORIENTED_EDGE('',*,*,#218574,.T.); #308080=ORIENTED_EDGE('',*,*,#218575,.T.); #308081=ORIENTED_EDGE('',*,*,#218564,.T.); #308082=ORIENTED_EDGE('',*,*,#218576,.T.); #308083=ORIENTED_EDGE('',*,*,#218559,.F.); #308084=ORIENTED_EDGE('',*,*,#218577,.T.); #308085=ORIENTED_EDGE('',*,*,#218578,.T.); #308086=ORIENTED_EDGE('',*,*,#218579,.T.); #308087=ORIENTED_EDGE('',*,*,#218574,.F.); #308088=ORIENTED_EDGE('',*,*,#218580,.T.); #308089=ORIENTED_EDGE('',*,*,#218581,.F.); #308090=ORIENTED_EDGE('',*,*,#218568,.T.); #308091=ORIENTED_EDGE('',*,*,#218576,.F.); #308092=ORIENTED_EDGE('',*,*,#218563,.F.); #308093=ORIENTED_EDGE('',*,*,#218582,.F.); #308094=ORIENTED_EDGE('',*,*,#218458,.F.); #308095=ORIENTED_EDGE('',*,*,#218583,.F.); #308096=ORIENTED_EDGE('',*,*,#218177,.F.); #308097=ORIENTED_EDGE('',*,*,#218584,.F.); #308098=ORIENTED_EDGE('',*,*,#218585,.F.); #308099=ORIENTED_EDGE('',*,*,#218580,.F.); #308100=ORIENTED_EDGE('',*,*,#218178,.T.); #308101=ORIENTED_EDGE('',*,*,#218583,.T.); #308102=ORIENTED_EDGE('',*,*,#218457,.T.); #308103=ORIENTED_EDGE('',*,*,#218586,.T.); #308104=ORIENTED_EDGE('',*,*,#218582,.T.); #308105=ORIENTED_EDGE('',*,*,#218562,.T.); #308106=ORIENTED_EDGE('',*,*,#218572,.T.); #308107=ORIENTED_EDGE('',*,*,#218459,.T.); #308108=ORIENTED_EDGE('',*,*,#218468,.T.); #308109=ORIENTED_EDGE('',*,*,#218570,.T.); #308110=ORIENTED_EDGE('',*,*,#218587,.T.); #308111=ORIENTED_EDGE('',*,*,#218584,.T.); #308112=ORIENTED_EDGE('',*,*,#218585,.T.); #308113=ORIENTED_EDGE('',*,*,#218587,.F.); #308114=ORIENTED_EDGE('',*,*,#218569,.T.); #308115=ORIENTED_EDGE('',*,*,#218581,.T.); #308116=ORIENTED_EDGE('',*,*,#218558,.F.); #308117=ORIENTED_EDGE('',*,*,#218567,.F.); #308118=ORIENTED_EDGE('',*,*,#218588,.T.); #308119=ORIENTED_EDGE('',*,*,#218577,.F.); #308120=ORIENTED_EDGE('',*,*,#218573,.F.); #308121=ORIENTED_EDGE('',*,*,#218412,.T.); #308122=ORIENTED_EDGE('',*,*,#218589,.T.); #308123=ORIENTED_EDGE('',*,*,#218462,.F.); #308124=ORIENTED_EDGE('',*,*,#218586,.F.); #308125=ORIENTED_EDGE('',*,*,#218456,.F.); #308126=ORIENTED_EDGE('',*,*,#218590,.F.); #308127=ORIENTED_EDGE('',*,*,#218179,.F.); #308128=ORIENTED_EDGE('',*,*,#218551,.T.); #308129=ORIENTED_EDGE('',*,*,#218541,.T.); #308130=ORIENTED_EDGE('',*,*,#218530,.T.); #308131=ORIENTED_EDGE('',*,*,#218419,.F.); #308132=ORIENTED_EDGE('',*,*,#218553,.F.); #308133=ORIENTED_EDGE('',*,*,#218555,.F.); #308134=ORIENTED_EDGE('',*,*,#218591,.T.); #308135=ORIENTED_EDGE('',*,*,#218544,.F.); #308136=ORIENTED_EDGE('',*,*,#218548,.T.); #308137=ORIENTED_EDGE('',*,*,#218439,.T.); #308138=ORIENTED_EDGE('',*,*,#218534,.T.); #308139=ORIENTED_EDGE('',*,*,#218556,.T.); #308140=ORIENTED_EDGE('',*,*,#218529,.F.); #308141=ORIENTED_EDGE('',*,*,#218436,.F.); #308142=ORIENTED_EDGE('',*,*,#218592,.F.); #308143=ORIENTED_EDGE('',*,*,#218174,.T.); #308144=ORIENTED_EDGE('',*,*,#218547,.F.); #308145=ORIENTED_EDGE('',*,*,#218158,.T.); #308146=ORIENTED_EDGE('',*,*,#218593,.T.); #308147=ORIENTED_EDGE('',*,*,#218442,.F.); #308148=ORIENTED_EDGE('',*,*,#218575,.F.); #308149=ORIENTED_EDGE('',*,*,#218579,.F.); #308150=ORIENTED_EDGE('',*,*,#218594,.T.); #308151=ORIENTED_EDGE('',*,*,#218565,.F.); #308152=ORIENTED_EDGE('',*,*,#218181,.T.); #308153=ORIENTED_EDGE('',*,*,#218595,.T.); #308154=ORIENTED_EDGE('',*,*,#218454,.T.); #308155=ORIENTED_EDGE('',*,*,#217741,.F.); #308156=ORIENTED_EDGE('',*,*,#218170,.T.); #308157=ORIENTED_EDGE('',*,*,#218596,.T.); #308158=ORIENTED_EDGE('',*,*,#218433,.T.); #308159=ORIENTED_EDGE('',*,*,#217737,.F.); #308160=ORIENTED_EDGE('',*,*,#218444,.T.); #308161=ORIENTED_EDGE('',*,*,#218597,.F.); #308162=ORIENTED_EDGE('',*,*,#218156,.F.); #308163=ORIENTED_EDGE('',*,*,#218527,.T.); #308164=ORIENTED_EDGE('',*,*,#218593,.F.); #308165=ORIENTED_EDGE('',*,*,#218157,.T.); #308166=ORIENTED_EDGE('',*,*,#218597,.T.); #308167=ORIENTED_EDGE('',*,*,#218443,.F.); #308168=ORIENTED_EDGE('',*,*,#218435,.T.); #308169=ORIENTED_EDGE('',*,*,#218598,.F.); #308170=ORIENTED_EDGE('',*,*,#218175,.F.); #308171=ORIENTED_EDGE('',*,*,#218592,.T.); #308172=ORIENTED_EDGE('',*,*,#218596,.F.); #308173=ORIENTED_EDGE('',*,*,#218176,.T.); #308174=ORIENTED_EDGE('',*,*,#218598,.T.); #308175=ORIENTED_EDGE('',*,*,#218434,.F.); #308176=ORIENTED_EDGE('',*,*,#218455,.F.); #308177=ORIENTED_EDGE('',*,*,#218595,.F.); #308178=ORIENTED_EDGE('',*,*,#218180,.T.); #308179=ORIENTED_EDGE('',*,*,#218590,.T.); #308180=ORIENTED_EDGE('',*,*,#218463,.F.); #308181=ORIENTED_EDGE('',*,*,#218589,.F.); #308182=ORIENTED_EDGE('',*,*,#218411,.T.); #308183=ORIENTED_EDGE('',*,*,#218526,.T.); #308184=ORIENTED_EDGE('',*,*,#218599,.F.); #308185=ORIENTED_EDGE('',*,*,#218600,.F.); #308186=ORIENTED_EDGE('',*,*,#218601,.F.); #308187=ORIENTED_EDGE('',*,*,#218602,.F.); #308188=ORIENTED_EDGE('',*,*,#218603,.F.); #308189=ORIENTED_EDGE('',*,*,#218604,.F.); #308190=ORIENTED_EDGE('',*,*,#218605,.F.); #308191=ORIENTED_EDGE('',*,*,#218606,.F.); #308192=ORIENTED_EDGE('',*,*,#218607,.F.); #308193=ORIENTED_EDGE('',*,*,#218608,.T.); #308194=ORIENTED_EDGE('',*,*,#218609,.F.); #308195=ORIENTED_EDGE('',*,*,#218610,.F.); #308196=ORIENTED_EDGE('',*,*,#218611,.F.); #308197=ORIENTED_EDGE('',*,*,#218612,.F.); #308198=ORIENTED_EDGE('',*,*,#218613,.F.); #308199=ORIENTED_EDGE('',*,*,#218614,.T.); #308200=ORIENTED_EDGE('',*,*,#218615,.F.); #308201=ORIENTED_EDGE('',*,*,#218616,.T.); #308202=ORIENTED_EDGE('',*,*,#218554,.F.); #308203=ORIENTED_EDGE('',*,*,#218617,.T.); #308204=ORIENTED_EDGE('',*,*,#218545,.F.); #308205=ORIENTED_EDGE('',*,*,#218618,.F.); #308206=ORIENTED_EDGE('',*,*,#218619,.T.); #308207=ORIENTED_EDGE('',*,*,#218620,.F.); #308208=ORIENTED_EDGE('',*,*,#218621,.F.); #308209=ORIENTED_EDGE('',*,*,#218605,.T.); #308210=ORIENTED_EDGE('',*,*,#218622,.F.); #308211=ORIENTED_EDGE('',*,*,#218601,.T.); #308212=ORIENTED_EDGE('',*,*,#218623,.F.); #308213=ORIENTED_EDGE('',*,*,#218624,.T.); #308214=ORIENTED_EDGE('',*,*,#218608,.F.); #308215=ORIENTED_EDGE('',*,*,#218625,.T.); #308216=ORIENTED_EDGE('',*,*,#218614,.F.); #308217=ORIENTED_EDGE('',*,*,#218624,.F.); #308218=ORIENTED_EDGE('',*,*,#218626,.F.); #308219=ORIENTED_EDGE('',*,*,#218627,.T.); #308220=ORIENTED_EDGE('',*,*,#218628,.F.); #308221=ORIENTED_EDGE('',*,*,#218625,.F.); #308222=ORIENTED_EDGE('',*,*,#218627,.F.); #308223=ORIENTED_EDGE('',*,*,#218629,.F.); #308224=ORIENTED_EDGE('',*,*,#218630,.T.); #308225=ORIENTED_EDGE('',*,*,#218631,.F.); #308226=ORIENTED_EDGE('',*,*,#218630,.F.); #308227=ORIENTED_EDGE('',*,*,#218632,.T.); #308228=ORIENTED_EDGE('',*,*,#218615,.T.); #308229=ORIENTED_EDGE('',*,*,#218633,.T.); #308230=ORIENTED_EDGE('',*,*,#218622,.T.); #308231=ORIENTED_EDGE('',*,*,#218604,.T.); #308232=ORIENTED_EDGE('',*,*,#218634,.F.); #308233=ORIENTED_EDGE('',*,*,#218602,.T.); #308234=ORIENTED_EDGE('',*,*,#218635,.F.); #308235=ORIENTED_EDGE('',*,*,#218606,.T.); #308236=ORIENTED_EDGE('',*,*,#218621,.T.); #308237=ORIENTED_EDGE('',*,*,#218613,.T.); #308238=ORIENTED_EDGE('',*,*,#218623,.T.); #308239=ORIENTED_EDGE('',*,*,#218600,.T.); #308240=ORIENTED_EDGE('',*,*,#218636,.F.); #308241=ORIENTED_EDGE('',*,*,#218609,.T.); #308242=ORIENTED_EDGE('',*,*,#218634,.T.); #308243=ORIENTED_EDGE('',*,*,#218603,.T.); #308244=ORIENTED_EDGE('',*,*,#218635,.T.); #308245=ORIENTED_EDGE('',*,*,#218637,.F.); #308246=ORIENTED_EDGE('',*,*,#218636,.T.); #308247=ORIENTED_EDGE('',*,*,#218599,.T.); #308248=ORIENTED_EDGE('',*,*,#218612,.T.); #308249=ORIENTED_EDGE('',*,*,#218638,.F.); #308250=ORIENTED_EDGE('',*,*,#218610,.T.); #308251=ORIENTED_EDGE('',*,*,#218637,.T.); #308252=ORIENTED_EDGE('',*,*,#218639,.F.); #308253=ORIENTED_EDGE('',*,*,#218640,.T.); #308254=ORIENTED_EDGE('',*,*,#218638,.T.); #308255=ORIENTED_EDGE('',*,*,#218641,.T.); #308256=ORIENTED_EDGE('',*,*,#218642,.F.); #308257=ORIENTED_EDGE('',*,*,#218643,.T.); #308258=ORIENTED_EDGE('',*,*,#218639,.T.); #308259=ORIENTED_EDGE('',*,*,#218644,.T.); #308260=ORIENTED_EDGE('',*,*,#218645,.F.); #308261=ORIENTED_EDGE('',*,*,#218642,.T.); #308262=ORIENTED_EDGE('',*,*,#218646,.F.); #308263=ORIENTED_EDGE('',*,*,#218619,.F.); #308264=ORIENTED_EDGE('',*,*,#218628,.T.); #308265=ORIENTED_EDGE('',*,*,#218647,.T.); #308266=ORIENTED_EDGE('',*,*,#218641,.F.); #308267=ORIENTED_EDGE('',*,*,#218611,.T.); #308268=ORIENTED_EDGE('',*,*,#218648,.F.); #308269=ORIENTED_EDGE('',*,*,#218644,.F.); #308270=ORIENTED_EDGE('',*,*,#218647,.F.); #308271=ORIENTED_EDGE('',*,*,#218631,.T.); #308272=ORIENTED_EDGE('',*,*,#218633,.F.); #308273=ORIENTED_EDGE('',*,*,#218649,.T.); #308274=ORIENTED_EDGE('',*,*,#218646,.T.); #308275=ORIENTED_EDGE('',*,*,#218648,.T.); #308276=ORIENTED_EDGE('',*,*,#218649,.F.); #308277=ORIENTED_EDGE('',*,*,#218617,.F.); #308278=ORIENTED_EDGE('',*,*,#218539,.F.); #308279=ORIENTED_EDGE('',*,*,#218620,.T.); #308280=ORIENTED_EDGE('',*,*,#218640,.F.); #308281=ORIENTED_EDGE('',*,*,#218650,.T.); #308282=ORIENTED_EDGE('',*,*,#218626,.T.); #308283=ORIENTED_EDGE('',*,*,#218607,.T.); #308284=ORIENTED_EDGE('',*,*,#218651,.F.); #308285=ORIENTED_EDGE('',*,*,#218629,.T.); #308286=ORIENTED_EDGE('',*,*,#218650,.F.); #308287=ORIENTED_EDGE('',*,*,#218643,.F.); #308288=ORIENTED_EDGE('',*,*,#218645,.T.); #308289=ORIENTED_EDGE('',*,*,#218652,.T.); #308290=ORIENTED_EDGE('',*,*,#218632,.F.); #308291=ORIENTED_EDGE('',*,*,#218651,.T.); #308292=ORIENTED_EDGE('',*,*,#218652,.F.); #308293=ORIENTED_EDGE('',*,*,#218618,.T.); #308294=ORIENTED_EDGE('',*,*,#218591,.F.); #308295=ORIENTED_EDGE('',*,*,#218616,.F.); #308296=ORIENTED_EDGE('',*,*,#218653,.F.); #308297=ORIENTED_EDGE('',*,*,#218654,.F.); #308298=ORIENTED_EDGE('',*,*,#218655,.F.); #308299=ORIENTED_EDGE('',*,*,#218656,.F.); #308300=ORIENTED_EDGE('',*,*,#218657,.F.); #308301=ORIENTED_EDGE('',*,*,#218658,.F.); #308302=ORIENTED_EDGE('',*,*,#218659,.F.); #308303=ORIENTED_EDGE('',*,*,#218660,.F.); #308304=ORIENTED_EDGE('',*,*,#218661,.F.); #308305=ORIENTED_EDGE('',*,*,#218662,.T.); #308306=ORIENTED_EDGE('',*,*,#218663,.F.); #308307=ORIENTED_EDGE('',*,*,#218664,.F.); #308308=ORIENTED_EDGE('',*,*,#218665,.F.); #308309=ORIENTED_EDGE('',*,*,#218666,.F.); #308310=ORIENTED_EDGE('',*,*,#218667,.F.); #308311=ORIENTED_EDGE('',*,*,#218668,.T.); #308312=ORIENTED_EDGE('',*,*,#218669,.F.); #308313=ORIENTED_EDGE('',*,*,#218670,.T.); #308314=ORIENTED_EDGE('',*,*,#218671,.F.); #308315=ORIENTED_EDGE('',*,*,#218566,.F.); #308316=ORIENTED_EDGE('',*,*,#218672,.T.); #308317=ORIENTED_EDGE('',*,*,#218673,.F.); #308318=ORIENTED_EDGE('',*,*,#218674,.T.); #308319=ORIENTED_EDGE('',*,*,#218578,.F.); #308320=ORIENTED_EDGE('',*,*,#218675,.T.); #308321=ORIENTED_EDGE('',*,*,#218657,.T.); #308322=ORIENTED_EDGE('',*,*,#218676,.T.); #308323=ORIENTED_EDGE('',*,*,#218677,.F.); #308324=ORIENTED_EDGE('',*,*,#218678,.T.); #308325=ORIENTED_EDGE('',*,*,#218653,.T.); #308326=ORIENTED_EDGE('',*,*,#218679,.F.); #308327=ORIENTED_EDGE('',*,*,#218664,.T.); #308328=ORIENTED_EDGE('',*,*,#218677,.T.); #308329=ORIENTED_EDGE('',*,*,#218666,.T.); #308330=ORIENTED_EDGE('',*,*,#218680,.F.); #308331=ORIENTED_EDGE('',*,*,#218681,.T.); #308332=ORIENTED_EDGE('',*,*,#218679,.T.); #308333=ORIENTED_EDGE('',*,*,#218682,.T.); #308334=ORIENTED_EDGE('',*,*,#218683,.F.); #308335=ORIENTED_EDGE('',*,*,#218684,.T.); #308336=ORIENTED_EDGE('',*,*,#218685,.F.); #308337=ORIENTED_EDGE('',*,*,#218670,.F.); #308338=ORIENTED_EDGE('',*,*,#218686,.T.); #308339=ORIENTED_EDGE('',*,*,#218658,.T.); #308340=ORIENTED_EDGE('',*,*,#218675,.F.); #308341=ORIENTED_EDGE('',*,*,#218656,.T.); #308342=ORIENTED_EDGE('',*,*,#218676,.F.); #308343=ORIENTED_EDGE('',*,*,#218660,.T.); #308344=ORIENTED_EDGE('',*,*,#218687,.T.); #308345=ORIENTED_EDGE('',*,*,#218667,.T.); #308346=ORIENTED_EDGE('',*,*,#218688,.T.); #308347=ORIENTED_EDGE('',*,*,#218654,.T.); #308348=ORIENTED_EDGE('',*,*,#218678,.F.); #308349=ORIENTED_EDGE('',*,*,#218663,.T.); #308350=ORIENTED_EDGE('',*,*,#218687,.F.); #308351=ORIENTED_EDGE('',*,*,#218659,.T.); #308352=ORIENTED_EDGE('',*,*,#218686,.F.); #308353=ORIENTED_EDGE('',*,*,#218655,.T.); #308354=ORIENTED_EDGE('',*,*,#218688,.F.); #308355=ORIENTED_EDGE('',*,*,#218689,.T.); #308356=ORIENTED_EDGE('',*,*,#218689,.F.); #308357=ORIENTED_EDGE('',*,*,#218662,.F.); #308358=ORIENTED_EDGE('',*,*,#218690,.T.); #308359=ORIENTED_EDGE('',*,*,#218668,.F.); #308360=ORIENTED_EDGE('',*,*,#218691,.F.); #308361=ORIENTED_EDGE('',*,*,#218692,.T.); #308362=ORIENTED_EDGE('',*,*,#218693,.F.); #308363=ORIENTED_EDGE('',*,*,#218690,.F.); #308364=ORIENTED_EDGE('',*,*,#218694,.F.); #308365=ORIENTED_EDGE('',*,*,#218695,.T.); #308366=ORIENTED_EDGE('',*,*,#218673,.T.); #308367=ORIENTED_EDGE('',*,*,#218696,.T.); #308368=ORIENTED_EDGE('',*,*,#218693,.T.); #308369=ORIENTED_EDGE('',*,*,#218697,.T.); #308370=ORIENTED_EDGE('',*,*,#218682,.F.); #308371=ORIENTED_EDGE('',*,*,#218665,.T.); #308372=ORIENTED_EDGE('',*,*,#218698,.F.); #308373=ORIENTED_EDGE('',*,*,#218699,.F.); #308374=ORIENTED_EDGE('',*,*,#218697,.F.); #308375=ORIENTED_EDGE('',*,*,#218700,.T.); #308376=ORIENTED_EDGE('',*,*,#218696,.F.); #308377=ORIENTED_EDGE('',*,*,#218701,.T.); #308378=ORIENTED_EDGE('',*,*,#218685,.T.); #308379=ORIENTED_EDGE('',*,*,#218698,.T.); #308380=ORIENTED_EDGE('',*,*,#218701,.F.); #308381=ORIENTED_EDGE('',*,*,#218672,.F.); #308382=ORIENTED_EDGE('',*,*,#218588,.F.); #308383=ORIENTED_EDGE('',*,*,#218671,.T.); #308384=ORIENTED_EDGE('',*,*,#218681,.F.); #308385=ORIENTED_EDGE('',*,*,#218702,.T.); #308386=ORIENTED_EDGE('',*,*,#218691,.T.); #308387=ORIENTED_EDGE('',*,*,#218661,.T.); #308388=ORIENTED_EDGE('',*,*,#218703,.F.); #308389=ORIENTED_EDGE('',*,*,#218669,.T.); #308390=ORIENTED_EDGE('',*,*,#218594,.F.); #308391=ORIENTED_EDGE('',*,*,#218674,.F.); #308392=ORIENTED_EDGE('',*,*,#218683,.T.); #308393=ORIENTED_EDGE('',*,*,#218703,.T.); #308394=ORIENTED_EDGE('',*,*,#218695,.F.); #308395=ORIENTED_EDGE('',*,*,#218704,.T.); #308396=ORIENTED_EDGE('',*,*,#218705,.F.); #308397=ORIENTED_EDGE('',*,*,#218694,.T.); #308398=ORIENTED_EDGE('',*,*,#218700,.F.); #308399=ORIENTED_EDGE('',*,*,#218692,.F.); #308400=ORIENTED_EDGE('',*,*,#218704,.F.); #308401=ORIENTED_EDGE('',*,*,#218705,.T.); #308402=ORIENTED_EDGE('',*,*,#218702,.F.); #308403=ORIENTED_EDGE('',*,*,#218706,.F.); #308404=ORIENTED_EDGE('',*,*,#218684,.F.); #308405=ORIENTED_EDGE('',*,*,#218706,.T.); #308406=ORIENTED_EDGE('',*,*,#218680,.T.); #308407=ORIENTED_EDGE('',*,*,#218699,.T.); #308408=ORIENTED_EDGE('',*,*,#218707,.F.); #308409=ORIENTED_EDGE('',*,*,#218708,.T.); #308410=ORIENTED_EDGE('',*,*,#218709,.T.); #308411=ORIENTED_EDGE('',*,*,#218708,.F.); #308412=ORIENTED_EDGE('',*,*,#218709,.F.); #308413=ORIENTED_EDGE('',*,*,#218710,.T.); #308414=ORIENTED_EDGE('',*,*,#218711,.T.); #308415=ORIENTED_EDGE('',*,*,#218712,.T.); #308416=ORIENTED_EDGE('',*,*,#218713,.T.); #308417=ORIENTED_EDGE('',*,*,#218714,.T.); #308418=ORIENTED_EDGE('',*,*,#218711,.F.); #308419=ORIENTED_EDGE('',*,*,#218715,.T.); #308420=ORIENTED_EDGE('',*,*,#218716,.F.); #308421=ORIENTED_EDGE('',*,*,#218717,.T.); #308422=ORIENTED_EDGE('',*,*,#218713,.F.); #308423=ORIENTED_EDGE('',*,*,#218718,.T.); #308424=ORIENTED_EDGE('',*,*,#218719,.T.); #308425=ORIENTED_EDGE('',*,*,#218720,.T.); #308426=ORIENTED_EDGE('',*,*,#218719,.F.); #308427=ORIENTED_EDGE('',*,*,#218721,.T.); #308428=ORIENTED_EDGE('',*,*,#218716,.T.); #308429=ORIENTED_EDGE('',*,*,#218718,.F.); #308430=ORIENTED_EDGE('',*,*,#218722,.T.); #308431=ORIENTED_EDGE('',*,*,#218723,.F.); #308432=ORIENTED_EDGE('',*,*,#218724,.F.); #308433=ORIENTED_EDGE('',*,*,#218712,.F.); #308434=ORIENTED_EDGE('',*,*,#218725,.T.); #308435=ORIENTED_EDGE('',*,*,#218726,.F.); #308436=ORIENTED_EDGE('',*,*,#218722,.F.); #308437=ORIENTED_EDGE('',*,*,#218710,.F.); #308438=ORIENTED_EDGE('',*,*,#218717,.F.); #308439=ORIENTED_EDGE('',*,*,#218720,.F.); #308440=ORIENTED_EDGE('',*,*,#218715,.F.); #308441=ORIENTED_EDGE('',*,*,#218707,.T.); #308442=ORIENTED_EDGE('',*,*,#218721,.F.); #308443=ORIENTED_EDGE('',*,*,#218724,.T.); #308444=ORIENTED_EDGE('',*,*,#218727,.F.); #308445=ORIENTED_EDGE('',*,*,#218728,.F.); #308446=ORIENTED_EDGE('',*,*,#218714,.F.); #308447=ORIENTED_EDGE('',*,*,#218728,.T.); #308448=ORIENTED_EDGE('',*,*,#218729,.F.); #308449=ORIENTED_EDGE('',*,*,#218725,.F.); #308450=ORIENTED_EDGE('',*,*,#218726,.T.); #308451=ORIENTED_EDGE('',*,*,#218729,.T.); #308452=ORIENTED_EDGE('',*,*,#218727,.T.); #308453=ORIENTED_EDGE('',*,*,#218723,.T.); #308454=ORIENTED_EDGE('',*,*,#218730,.F.); #308455=ORIENTED_EDGE('',*,*,#218731,.T.); #308456=ORIENTED_EDGE('',*,*,#218732,.T.); #308457=ORIENTED_EDGE('',*,*,#218733,.F.); #308458=ORIENTED_EDGE('',*,*,#218730,.T.); #308459=ORIENTED_EDGE('',*,*,#218734,.T.); #308460=ORIENTED_EDGE('',*,*,#218735,.T.); #308461=ORIENTED_EDGE('',*,*,#218736,.T.); #308462=ORIENTED_EDGE('',*,*,#218737,.T.); #308463=ORIENTED_EDGE('',*,*,#218738,.T.); #308464=ORIENTED_EDGE('',*,*,#218739,.F.); #308465=ORIENTED_EDGE('',*,*,#218740,.F.); #308466=ORIENTED_EDGE('',*,*,#218741,.T.); #308467=ORIENTED_EDGE('',*,*,#218740,.T.); #308468=ORIENTED_EDGE('',*,*,#218742,.F.); #308469=ORIENTED_EDGE('',*,*,#218732,.F.); #308470=ORIENTED_EDGE('',*,*,#218735,.F.); #308471=ORIENTED_EDGE('',*,*,#218743,.F.); #308472=ORIENTED_EDGE('',*,*,#218744,.F.); #308473=ORIENTED_EDGE('',*,*,#218745,.T.); #308474=ORIENTED_EDGE('',*,*,#218746,.T.); #308475=ORIENTED_EDGE('',*,*,#218744,.T.); #308476=ORIENTED_EDGE('',*,*,#218747,.F.); #308477=ORIENTED_EDGE('',*,*,#218738,.F.); #308478=ORIENTED_EDGE('',*,*,#218734,.F.); #308479=ORIENTED_EDGE('',*,*,#218733,.T.); #308480=ORIENTED_EDGE('',*,*,#218742,.T.); #308481=ORIENTED_EDGE('',*,*,#218739,.T.); #308482=ORIENTED_EDGE('',*,*,#218747,.T.); #308483=ORIENTED_EDGE('',*,*,#218743,.T.); #308484=ORIENTED_EDGE('',*,*,#218736,.F.); #308485=ORIENTED_EDGE('',*,*,#218745,.F.); #308486=ORIENTED_EDGE('',*,*,#218746,.F.); #308487=ORIENTED_EDGE('',*,*,#218737,.F.); #308488=ORIENTED_EDGE('',*,*,#218741,.F.); #308489=ORIENTED_EDGE('',*,*,#218731,.F.); #308490=ORIENTED_EDGE('',*,*,#218748,.T.); #308491=ORIENTED_EDGE('',*,*,#218749,.T.); #308492=ORIENTED_EDGE('',*,*,#218750,.F.); #308493=ORIENTED_EDGE('',*,*,#218751,.F.); #308494=ORIENTED_EDGE('',*,*,#218748,.F.); #308495=ORIENTED_EDGE('',*,*,#218752,.F.); #308496=ORIENTED_EDGE('',*,*,#218753,.F.); #308497=ORIENTED_EDGE('',*,*,#218754,.F.); #308498=ORIENTED_EDGE('',*,*,#218755,.F.); #308499=ORIENTED_EDGE('',*,*,#218756,.T.); #308500=ORIENTED_EDGE('',*,*,#218757,.T.); #308501=ORIENTED_EDGE('',*,*,#218758,.F.); #308502=ORIENTED_EDGE('',*,*,#218759,.F.); #308503=ORIENTED_EDGE('',*,*,#218750,.T.); #308504=ORIENTED_EDGE('',*,*,#218760,.T.); #308505=ORIENTED_EDGE('',*,*,#218756,.F.); #308506=ORIENTED_EDGE('',*,*,#218753,.T.); #308507=ORIENTED_EDGE('',*,*,#218761,.F.); #308508=ORIENTED_EDGE('',*,*,#218762,.T.); #308509=ORIENTED_EDGE('',*,*,#218763,.T.); #308510=ORIENTED_EDGE('',*,*,#218764,.F.); #308511=ORIENTED_EDGE('',*,*,#218758,.T.); #308512=ORIENTED_EDGE('',*,*,#218765,.T.); #308513=ORIENTED_EDGE('',*,*,#218762,.F.); #308514=ORIENTED_EDGE('',*,*,#218754,.T.); #308515=ORIENTED_EDGE('',*,*,#218763,.F.); #308516=ORIENTED_EDGE('',*,*,#218765,.F.); #308517=ORIENTED_EDGE('',*,*,#218757,.F.); #308518=ORIENTED_EDGE('',*,*,#218760,.F.); #308519=ORIENTED_EDGE('',*,*,#218749,.F.); #308520=ORIENTED_EDGE('',*,*,#218752,.T.); #308521=ORIENTED_EDGE('',*,*,#218751,.T.); #308522=ORIENTED_EDGE('',*,*,#218759,.T.); #308523=ORIENTED_EDGE('',*,*,#218755,.T.); #308524=ORIENTED_EDGE('',*,*,#218764,.T.); #308525=ORIENTED_EDGE('',*,*,#218761,.T.); #308526=ORIENTED_EDGE('',*,*,#218766,.F.); #308527=ORIENTED_EDGE('',*,*,#218767,.T.); #308528=ORIENTED_EDGE('',*,*,#218768,.T.); #308529=ORIENTED_EDGE('',*,*,#218769,.F.); #308530=ORIENTED_EDGE('',*,*,#218766,.T.); #308531=ORIENTED_EDGE('',*,*,#218770,.T.); #308532=ORIENTED_EDGE('',*,*,#218771,.T.); #308533=ORIENTED_EDGE('',*,*,#218772,.T.); #308534=ORIENTED_EDGE('',*,*,#218773,.T.); #308535=ORIENTED_EDGE('',*,*,#218774,.T.); #308536=ORIENTED_EDGE('',*,*,#218775,.F.); #308537=ORIENTED_EDGE('',*,*,#218776,.F.); #308538=ORIENTED_EDGE('',*,*,#218777,.T.); #308539=ORIENTED_EDGE('',*,*,#218776,.T.); #308540=ORIENTED_EDGE('',*,*,#218778,.F.); #308541=ORIENTED_EDGE('',*,*,#218768,.F.); #308542=ORIENTED_EDGE('',*,*,#218771,.F.); #308543=ORIENTED_EDGE('',*,*,#218779,.F.); #308544=ORIENTED_EDGE('',*,*,#218780,.F.); #308545=ORIENTED_EDGE('',*,*,#218781,.T.); #308546=ORIENTED_EDGE('',*,*,#218782,.T.); #308547=ORIENTED_EDGE('',*,*,#218780,.T.); #308548=ORIENTED_EDGE('',*,*,#218783,.F.); #308549=ORIENTED_EDGE('',*,*,#218774,.F.); #308550=ORIENTED_EDGE('',*,*,#218770,.F.); #308551=ORIENTED_EDGE('',*,*,#218769,.T.); #308552=ORIENTED_EDGE('',*,*,#218778,.T.); #308553=ORIENTED_EDGE('',*,*,#218775,.T.); #308554=ORIENTED_EDGE('',*,*,#218783,.T.); #308555=ORIENTED_EDGE('',*,*,#218779,.T.); #308556=ORIENTED_EDGE('',*,*,#218772,.F.); #308557=ORIENTED_EDGE('',*,*,#218781,.F.); #308558=ORIENTED_EDGE('',*,*,#218782,.F.); #308559=ORIENTED_EDGE('',*,*,#218773,.F.); #308560=ORIENTED_EDGE('',*,*,#218777,.F.); #308561=ORIENTED_EDGE('',*,*,#218767,.F.); #308562=ORIENTED_EDGE('',*,*,#218784,.F.); #308563=ORIENTED_EDGE('',*,*,#218785,.T.); #308564=ORIENTED_EDGE('',*,*,#218786,.T.); #308565=ORIENTED_EDGE('',*,*,#218787,.F.); #308566=ORIENTED_EDGE('',*,*,#218784,.T.); #308567=ORIENTED_EDGE('',*,*,#218788,.T.); #308568=ORIENTED_EDGE('',*,*,#218789,.T.); #308569=ORIENTED_EDGE('',*,*,#218790,.T.); #308570=ORIENTED_EDGE('',*,*,#218791,.T.); #308571=ORIENTED_EDGE('',*,*,#218792,.T.); #308572=ORIENTED_EDGE('',*,*,#218793,.F.); #308573=ORIENTED_EDGE('',*,*,#218794,.F.); #308574=ORIENTED_EDGE('',*,*,#218795,.T.); #308575=ORIENTED_EDGE('',*,*,#218794,.T.); #308576=ORIENTED_EDGE('',*,*,#218796,.F.); #308577=ORIENTED_EDGE('',*,*,#218786,.F.); #308578=ORIENTED_EDGE('',*,*,#218789,.F.); #308579=ORIENTED_EDGE('',*,*,#218797,.F.); #308580=ORIENTED_EDGE('',*,*,#218798,.F.); #308581=ORIENTED_EDGE('',*,*,#218799,.T.); #308582=ORIENTED_EDGE('',*,*,#218800,.T.); #308583=ORIENTED_EDGE('',*,*,#218798,.T.); #308584=ORIENTED_EDGE('',*,*,#218801,.F.); #308585=ORIENTED_EDGE('',*,*,#218792,.F.); #308586=ORIENTED_EDGE('',*,*,#218788,.F.); #308587=ORIENTED_EDGE('',*,*,#218787,.T.); #308588=ORIENTED_EDGE('',*,*,#218796,.T.); #308589=ORIENTED_EDGE('',*,*,#218793,.T.); #308590=ORIENTED_EDGE('',*,*,#218801,.T.); #308591=ORIENTED_EDGE('',*,*,#218797,.T.); #308592=ORIENTED_EDGE('',*,*,#218790,.F.); #308593=ORIENTED_EDGE('',*,*,#218799,.F.); #308594=ORIENTED_EDGE('',*,*,#218800,.F.); #308595=ORIENTED_EDGE('',*,*,#218791,.F.); #308596=ORIENTED_EDGE('',*,*,#218795,.F.); #308597=ORIENTED_EDGE('',*,*,#218785,.F.); #308598=ORIENTED_EDGE('',*,*,#218802,.F.); #308599=ORIENTED_EDGE('',*,*,#218803,.T.); #308600=ORIENTED_EDGE('',*,*,#218804,.T.); #308601=ORIENTED_EDGE('',*,*,#218805,.F.); #308602=ORIENTED_EDGE('',*,*,#218802,.T.); #308603=ORIENTED_EDGE('',*,*,#218806,.T.); #308604=ORIENTED_EDGE('',*,*,#218807,.T.); #308605=ORIENTED_EDGE('',*,*,#218808,.T.); #308606=ORIENTED_EDGE('',*,*,#218809,.T.); #308607=ORIENTED_EDGE('',*,*,#218810,.T.); #308608=ORIENTED_EDGE('',*,*,#218811,.F.); #308609=ORIENTED_EDGE('',*,*,#218812,.F.); #308610=ORIENTED_EDGE('',*,*,#218813,.T.); #308611=ORIENTED_EDGE('',*,*,#218812,.T.); #308612=ORIENTED_EDGE('',*,*,#218814,.F.); #308613=ORIENTED_EDGE('',*,*,#218804,.F.); #308614=ORIENTED_EDGE('',*,*,#218807,.F.); #308615=ORIENTED_EDGE('',*,*,#218815,.F.); #308616=ORIENTED_EDGE('',*,*,#218816,.F.); #308617=ORIENTED_EDGE('',*,*,#218817,.T.); #308618=ORIENTED_EDGE('',*,*,#218818,.T.); #308619=ORIENTED_EDGE('',*,*,#218816,.T.); #308620=ORIENTED_EDGE('',*,*,#218819,.F.); #308621=ORIENTED_EDGE('',*,*,#218810,.F.); #308622=ORIENTED_EDGE('',*,*,#218806,.F.); #308623=ORIENTED_EDGE('',*,*,#218805,.T.); #308624=ORIENTED_EDGE('',*,*,#218814,.T.); #308625=ORIENTED_EDGE('',*,*,#218811,.T.); #308626=ORIENTED_EDGE('',*,*,#218819,.T.); #308627=ORIENTED_EDGE('',*,*,#218815,.T.); #308628=ORIENTED_EDGE('',*,*,#218808,.F.); #308629=ORIENTED_EDGE('',*,*,#218817,.F.); #308630=ORIENTED_EDGE('',*,*,#218818,.F.); #308631=ORIENTED_EDGE('',*,*,#218809,.F.); #308632=ORIENTED_EDGE('',*,*,#218813,.F.); #308633=ORIENTED_EDGE('',*,*,#218803,.F.); #308634=ORIENTED_EDGE('',*,*,#218820,.F.); #308635=ORIENTED_EDGE('',*,*,#218821,.T.); #308636=ORIENTED_EDGE('',*,*,#218822,.T.); #308637=ORIENTED_EDGE('',*,*,#218823,.F.); #308638=ORIENTED_EDGE('',*,*,#218820,.T.); #308639=ORIENTED_EDGE('',*,*,#218824,.T.); #308640=ORIENTED_EDGE('',*,*,#218825,.T.); #308641=ORIENTED_EDGE('',*,*,#218826,.T.); #308642=ORIENTED_EDGE('',*,*,#218827,.T.); #308643=ORIENTED_EDGE('',*,*,#218828,.T.); #308644=ORIENTED_EDGE('',*,*,#218829,.F.); #308645=ORIENTED_EDGE('',*,*,#218830,.F.); #308646=ORIENTED_EDGE('',*,*,#218831,.T.); #308647=ORIENTED_EDGE('',*,*,#218830,.T.); #308648=ORIENTED_EDGE('',*,*,#218832,.F.); #308649=ORIENTED_EDGE('',*,*,#218822,.F.); #308650=ORIENTED_EDGE('',*,*,#218825,.F.); #308651=ORIENTED_EDGE('',*,*,#218833,.F.); #308652=ORIENTED_EDGE('',*,*,#218834,.F.); #308653=ORIENTED_EDGE('',*,*,#218835,.T.); #308654=ORIENTED_EDGE('',*,*,#218836,.T.); #308655=ORIENTED_EDGE('',*,*,#218834,.T.); #308656=ORIENTED_EDGE('',*,*,#218837,.F.); #308657=ORIENTED_EDGE('',*,*,#218828,.F.); #308658=ORIENTED_EDGE('',*,*,#218824,.F.); #308659=ORIENTED_EDGE('',*,*,#218823,.T.); #308660=ORIENTED_EDGE('',*,*,#218832,.T.); #308661=ORIENTED_EDGE('',*,*,#218829,.T.); #308662=ORIENTED_EDGE('',*,*,#218837,.T.); #308663=ORIENTED_EDGE('',*,*,#218833,.T.); #308664=ORIENTED_EDGE('',*,*,#218826,.F.); #308665=ORIENTED_EDGE('',*,*,#218835,.F.); #308666=ORIENTED_EDGE('',*,*,#218836,.F.); #308667=ORIENTED_EDGE('',*,*,#218827,.F.); #308668=ORIENTED_EDGE('',*,*,#218831,.F.); #308669=ORIENTED_EDGE('',*,*,#218821,.F.); #308670=ORIENTED_EDGE('',*,*,#218838,.F.); #308671=ORIENTED_EDGE('',*,*,#218839,.T.); #308672=ORIENTED_EDGE('',*,*,#218840,.T.); #308673=ORIENTED_EDGE('',*,*,#218841,.F.); #308674=ORIENTED_EDGE('',*,*,#218838,.T.); #308675=ORIENTED_EDGE('',*,*,#218842,.T.); #308676=ORIENTED_EDGE('',*,*,#218843,.T.); #308677=ORIENTED_EDGE('',*,*,#218844,.T.); #308678=ORIENTED_EDGE('',*,*,#218845,.T.); #308679=ORIENTED_EDGE('',*,*,#218846,.T.); #308680=ORIENTED_EDGE('',*,*,#218847,.F.); #308681=ORIENTED_EDGE('',*,*,#218848,.F.); #308682=ORIENTED_EDGE('',*,*,#218849,.T.); #308683=ORIENTED_EDGE('',*,*,#218848,.T.); #308684=ORIENTED_EDGE('',*,*,#218850,.F.); #308685=ORIENTED_EDGE('',*,*,#218840,.F.); #308686=ORIENTED_EDGE('',*,*,#218843,.F.); #308687=ORIENTED_EDGE('',*,*,#218851,.F.); #308688=ORIENTED_EDGE('',*,*,#218852,.F.); #308689=ORIENTED_EDGE('',*,*,#218853,.T.); #308690=ORIENTED_EDGE('',*,*,#218854,.T.); #308691=ORIENTED_EDGE('',*,*,#218852,.T.); #308692=ORIENTED_EDGE('',*,*,#218855,.F.); #308693=ORIENTED_EDGE('',*,*,#218846,.F.); #308694=ORIENTED_EDGE('',*,*,#218842,.F.); #308695=ORIENTED_EDGE('',*,*,#218841,.T.); #308696=ORIENTED_EDGE('',*,*,#218850,.T.); #308697=ORIENTED_EDGE('',*,*,#218847,.T.); #308698=ORIENTED_EDGE('',*,*,#218855,.T.); #308699=ORIENTED_EDGE('',*,*,#218851,.T.); #308700=ORIENTED_EDGE('',*,*,#218844,.F.); #308701=ORIENTED_EDGE('',*,*,#218853,.F.); #308702=ORIENTED_EDGE('',*,*,#218854,.F.); #308703=ORIENTED_EDGE('',*,*,#218845,.F.); #308704=ORIENTED_EDGE('',*,*,#218849,.F.); #308705=ORIENTED_EDGE('',*,*,#218839,.F.); #308706=ORIENTED_EDGE('',*,*,#218856,.F.); #308707=ORIENTED_EDGE('',*,*,#218857,.T.); #308708=ORIENTED_EDGE('',*,*,#218858,.T.); #308709=ORIENTED_EDGE('',*,*,#218859,.F.); #308710=ORIENTED_EDGE('',*,*,#218856,.T.); #308711=ORIENTED_EDGE('',*,*,#218860,.T.); #308712=ORIENTED_EDGE('',*,*,#218861,.T.); #308713=ORIENTED_EDGE('',*,*,#218862,.T.); #308714=ORIENTED_EDGE('',*,*,#218863,.T.); #308715=ORIENTED_EDGE('',*,*,#218864,.T.); #308716=ORIENTED_EDGE('',*,*,#218865,.F.); #308717=ORIENTED_EDGE('',*,*,#218866,.F.); #308718=ORIENTED_EDGE('',*,*,#218867,.T.); #308719=ORIENTED_EDGE('',*,*,#218866,.T.); #308720=ORIENTED_EDGE('',*,*,#218868,.F.); #308721=ORIENTED_EDGE('',*,*,#218858,.F.); #308722=ORIENTED_EDGE('',*,*,#218861,.F.); #308723=ORIENTED_EDGE('',*,*,#218869,.F.); #308724=ORIENTED_EDGE('',*,*,#218870,.F.); #308725=ORIENTED_EDGE('',*,*,#218871,.T.); #308726=ORIENTED_EDGE('',*,*,#218872,.T.); #308727=ORIENTED_EDGE('',*,*,#218870,.T.); #308728=ORIENTED_EDGE('',*,*,#218873,.F.); #308729=ORIENTED_EDGE('',*,*,#218864,.F.); #308730=ORIENTED_EDGE('',*,*,#218860,.F.); #308731=ORIENTED_EDGE('',*,*,#218859,.T.); #308732=ORIENTED_EDGE('',*,*,#218868,.T.); #308733=ORIENTED_EDGE('',*,*,#218865,.T.); #308734=ORIENTED_EDGE('',*,*,#218873,.T.); #308735=ORIENTED_EDGE('',*,*,#218869,.T.); #308736=ORIENTED_EDGE('',*,*,#218862,.F.); #308737=ORIENTED_EDGE('',*,*,#218871,.F.); #308738=ORIENTED_EDGE('',*,*,#218872,.F.); #308739=ORIENTED_EDGE('',*,*,#218863,.F.); #308740=ORIENTED_EDGE('',*,*,#218867,.F.); #308741=ORIENTED_EDGE('',*,*,#218857,.F.); #308742=ORIENTED_EDGE('',*,*,#218874,.F.); #308743=ORIENTED_EDGE('',*,*,#218875,.T.); #308744=ORIENTED_EDGE('',*,*,#218876,.T.); #308745=ORIENTED_EDGE('',*,*,#218877,.F.); #308746=ORIENTED_EDGE('',*,*,#218874,.T.); #308747=ORIENTED_EDGE('',*,*,#218878,.T.); #308748=ORIENTED_EDGE('',*,*,#218879,.T.); #308749=ORIENTED_EDGE('',*,*,#218880,.T.); #308750=ORIENTED_EDGE('',*,*,#218881,.T.); #308751=ORIENTED_EDGE('',*,*,#218882,.T.); #308752=ORIENTED_EDGE('',*,*,#218883,.F.); #308753=ORIENTED_EDGE('',*,*,#218884,.F.); #308754=ORIENTED_EDGE('',*,*,#218885,.T.); #308755=ORIENTED_EDGE('',*,*,#218884,.T.); #308756=ORIENTED_EDGE('',*,*,#218886,.F.); #308757=ORIENTED_EDGE('',*,*,#218876,.F.); #308758=ORIENTED_EDGE('',*,*,#218879,.F.); #308759=ORIENTED_EDGE('',*,*,#218887,.F.); #308760=ORIENTED_EDGE('',*,*,#218888,.F.); #308761=ORIENTED_EDGE('',*,*,#218889,.T.); #308762=ORIENTED_EDGE('',*,*,#218890,.T.); #308763=ORIENTED_EDGE('',*,*,#218888,.T.); #308764=ORIENTED_EDGE('',*,*,#218891,.F.); #308765=ORIENTED_EDGE('',*,*,#218882,.F.); #308766=ORIENTED_EDGE('',*,*,#218878,.F.); #308767=ORIENTED_EDGE('',*,*,#218877,.T.); #308768=ORIENTED_EDGE('',*,*,#218886,.T.); #308769=ORIENTED_EDGE('',*,*,#218883,.T.); #308770=ORIENTED_EDGE('',*,*,#218891,.T.); #308771=ORIENTED_EDGE('',*,*,#218887,.T.); #308772=ORIENTED_EDGE('',*,*,#218880,.F.); #308773=ORIENTED_EDGE('',*,*,#218889,.F.); #308774=ORIENTED_EDGE('',*,*,#218890,.F.); #308775=ORIENTED_EDGE('',*,*,#218881,.F.); #308776=ORIENTED_EDGE('',*,*,#218885,.F.); #308777=ORIENTED_EDGE('',*,*,#218875,.F.); #308778=ORIENTED_EDGE('',*,*,#218892,.F.); #308779=ORIENTED_EDGE('',*,*,#218893,.T.); #308780=ORIENTED_EDGE('',*,*,#218894,.T.); #308781=ORIENTED_EDGE('',*,*,#218895,.F.); #308782=ORIENTED_EDGE('',*,*,#218892,.T.); #308783=ORIENTED_EDGE('',*,*,#218896,.T.); #308784=ORIENTED_EDGE('',*,*,#218897,.T.); #308785=ORIENTED_EDGE('',*,*,#218898,.T.); #308786=ORIENTED_EDGE('',*,*,#218899,.T.); #308787=ORIENTED_EDGE('',*,*,#218900,.T.); #308788=ORIENTED_EDGE('',*,*,#218901,.F.); #308789=ORIENTED_EDGE('',*,*,#218902,.F.); #308790=ORIENTED_EDGE('',*,*,#218903,.T.); #308791=ORIENTED_EDGE('',*,*,#218902,.T.); #308792=ORIENTED_EDGE('',*,*,#218904,.F.); #308793=ORIENTED_EDGE('',*,*,#218894,.F.); #308794=ORIENTED_EDGE('',*,*,#218897,.F.); #308795=ORIENTED_EDGE('',*,*,#218905,.F.); #308796=ORIENTED_EDGE('',*,*,#218906,.F.); #308797=ORIENTED_EDGE('',*,*,#218907,.T.); #308798=ORIENTED_EDGE('',*,*,#218908,.T.); #308799=ORIENTED_EDGE('',*,*,#218906,.T.); #308800=ORIENTED_EDGE('',*,*,#218909,.F.); #308801=ORIENTED_EDGE('',*,*,#218900,.F.); #308802=ORIENTED_EDGE('',*,*,#218896,.F.); #308803=ORIENTED_EDGE('',*,*,#218895,.T.); #308804=ORIENTED_EDGE('',*,*,#218904,.T.); #308805=ORIENTED_EDGE('',*,*,#218901,.T.); #308806=ORIENTED_EDGE('',*,*,#218909,.T.); #308807=ORIENTED_EDGE('',*,*,#218905,.T.); #308808=ORIENTED_EDGE('',*,*,#218898,.F.); #308809=ORIENTED_EDGE('',*,*,#218907,.F.); #308810=ORIENTED_EDGE('',*,*,#218908,.F.); #308811=ORIENTED_EDGE('',*,*,#218899,.F.); #308812=ORIENTED_EDGE('',*,*,#218903,.F.); #308813=ORIENTED_EDGE('',*,*,#218893,.F.); #308814=ORIENTED_EDGE('',*,*,#218910,.F.); #308815=ORIENTED_EDGE('',*,*,#218911,.T.); #308816=ORIENTED_EDGE('',*,*,#218912,.T.); #308817=ORIENTED_EDGE('',*,*,#218913,.F.); #308818=ORIENTED_EDGE('',*,*,#218910,.T.); #308819=ORIENTED_EDGE('',*,*,#218914,.T.); #308820=ORIENTED_EDGE('',*,*,#218915,.T.); #308821=ORIENTED_EDGE('',*,*,#218916,.T.); #308822=ORIENTED_EDGE('',*,*,#218917,.T.); #308823=ORIENTED_EDGE('',*,*,#218918,.T.); #308824=ORIENTED_EDGE('',*,*,#218919,.F.); #308825=ORIENTED_EDGE('',*,*,#218920,.F.); #308826=ORIENTED_EDGE('',*,*,#218921,.T.); #308827=ORIENTED_EDGE('',*,*,#218920,.T.); #308828=ORIENTED_EDGE('',*,*,#218922,.F.); #308829=ORIENTED_EDGE('',*,*,#218912,.F.); #308830=ORIENTED_EDGE('',*,*,#218915,.F.); #308831=ORIENTED_EDGE('',*,*,#218923,.F.); #308832=ORIENTED_EDGE('',*,*,#218924,.F.); #308833=ORIENTED_EDGE('',*,*,#218925,.T.); #308834=ORIENTED_EDGE('',*,*,#218926,.T.); #308835=ORIENTED_EDGE('',*,*,#218924,.T.); #308836=ORIENTED_EDGE('',*,*,#218927,.F.); #308837=ORIENTED_EDGE('',*,*,#218918,.F.); #308838=ORIENTED_EDGE('',*,*,#218914,.F.); #308839=ORIENTED_EDGE('',*,*,#218913,.T.); #308840=ORIENTED_EDGE('',*,*,#218922,.T.); #308841=ORIENTED_EDGE('',*,*,#218919,.T.); #308842=ORIENTED_EDGE('',*,*,#218927,.T.); #308843=ORIENTED_EDGE('',*,*,#218923,.T.); #308844=ORIENTED_EDGE('',*,*,#218916,.F.); #308845=ORIENTED_EDGE('',*,*,#218925,.F.); #308846=ORIENTED_EDGE('',*,*,#218926,.F.); #308847=ORIENTED_EDGE('',*,*,#218917,.F.); #308848=ORIENTED_EDGE('',*,*,#218921,.F.); #308849=ORIENTED_EDGE('',*,*,#218911,.F.); #308850=ORIENTED_EDGE('',*,*,#218928,.F.); #308851=ORIENTED_EDGE('',*,*,#218929,.T.); #308852=ORIENTED_EDGE('',*,*,#218930,.T.); #308853=ORIENTED_EDGE('',*,*,#218931,.F.); #308854=ORIENTED_EDGE('',*,*,#218928,.T.); #308855=ORIENTED_EDGE('',*,*,#218932,.T.); #308856=ORIENTED_EDGE('',*,*,#218933,.T.); #308857=ORIENTED_EDGE('',*,*,#218934,.T.); #308858=ORIENTED_EDGE('',*,*,#218935,.T.); #308859=ORIENTED_EDGE('',*,*,#218936,.T.); #308860=ORIENTED_EDGE('',*,*,#218937,.F.); #308861=ORIENTED_EDGE('',*,*,#218938,.F.); #308862=ORIENTED_EDGE('',*,*,#218939,.T.); #308863=ORIENTED_EDGE('',*,*,#218938,.T.); #308864=ORIENTED_EDGE('',*,*,#218940,.F.); #308865=ORIENTED_EDGE('',*,*,#218930,.F.); #308866=ORIENTED_EDGE('',*,*,#218933,.F.); #308867=ORIENTED_EDGE('',*,*,#218941,.F.); #308868=ORIENTED_EDGE('',*,*,#218942,.F.); #308869=ORIENTED_EDGE('',*,*,#218943,.T.); #308870=ORIENTED_EDGE('',*,*,#218944,.T.); #308871=ORIENTED_EDGE('',*,*,#218942,.T.); #308872=ORIENTED_EDGE('',*,*,#218945,.F.); #308873=ORIENTED_EDGE('',*,*,#218936,.F.); #308874=ORIENTED_EDGE('',*,*,#218932,.F.); #308875=ORIENTED_EDGE('',*,*,#218931,.T.); #308876=ORIENTED_EDGE('',*,*,#218940,.T.); #308877=ORIENTED_EDGE('',*,*,#218937,.T.); #308878=ORIENTED_EDGE('',*,*,#218945,.T.); #308879=ORIENTED_EDGE('',*,*,#218941,.T.); #308880=ORIENTED_EDGE('',*,*,#218934,.F.); #308881=ORIENTED_EDGE('',*,*,#218943,.F.); #308882=ORIENTED_EDGE('',*,*,#218944,.F.); #308883=ORIENTED_EDGE('',*,*,#218935,.F.); #308884=ORIENTED_EDGE('',*,*,#218939,.F.); #308885=ORIENTED_EDGE('',*,*,#218929,.F.); #308886=ORIENTED_EDGE('',*,*,#218946,.F.); #308887=ORIENTED_EDGE('',*,*,#218947,.T.); #308888=ORIENTED_EDGE('',*,*,#218948,.T.); #308889=ORIENTED_EDGE('',*,*,#218949,.F.); #308890=ORIENTED_EDGE('',*,*,#218946,.T.); #308891=ORIENTED_EDGE('',*,*,#218950,.T.); #308892=ORIENTED_EDGE('',*,*,#218951,.T.); #308893=ORIENTED_EDGE('',*,*,#218952,.T.); #308894=ORIENTED_EDGE('',*,*,#218953,.T.); #308895=ORIENTED_EDGE('',*,*,#218954,.T.); #308896=ORIENTED_EDGE('',*,*,#218955,.F.); #308897=ORIENTED_EDGE('',*,*,#218956,.F.); #308898=ORIENTED_EDGE('',*,*,#218957,.T.); #308899=ORIENTED_EDGE('',*,*,#218956,.T.); #308900=ORIENTED_EDGE('',*,*,#218958,.F.); #308901=ORIENTED_EDGE('',*,*,#218948,.F.); #308902=ORIENTED_EDGE('',*,*,#218951,.F.); #308903=ORIENTED_EDGE('',*,*,#218959,.F.); #308904=ORIENTED_EDGE('',*,*,#218960,.F.); #308905=ORIENTED_EDGE('',*,*,#218961,.T.); #308906=ORIENTED_EDGE('',*,*,#218962,.T.); #308907=ORIENTED_EDGE('',*,*,#218960,.T.); #308908=ORIENTED_EDGE('',*,*,#218963,.F.); #308909=ORIENTED_EDGE('',*,*,#218954,.F.); #308910=ORIENTED_EDGE('',*,*,#218950,.F.); #308911=ORIENTED_EDGE('',*,*,#218949,.T.); #308912=ORIENTED_EDGE('',*,*,#218958,.T.); #308913=ORIENTED_EDGE('',*,*,#218955,.T.); #308914=ORIENTED_EDGE('',*,*,#218963,.T.); #308915=ORIENTED_EDGE('',*,*,#218959,.T.); #308916=ORIENTED_EDGE('',*,*,#218952,.F.); #308917=ORIENTED_EDGE('',*,*,#218961,.F.); #308918=ORIENTED_EDGE('',*,*,#218962,.F.); #308919=ORIENTED_EDGE('',*,*,#218953,.F.); #308920=ORIENTED_EDGE('',*,*,#218957,.F.); #308921=ORIENTED_EDGE('',*,*,#218947,.F.); #308922=ORIENTED_EDGE('',*,*,#218964,.T.); #308923=ORIENTED_EDGE('',*,*,#218965,.T.); #308924=ORIENTED_EDGE('',*,*,#218966,.F.); #308925=ORIENTED_EDGE('',*,*,#218967,.F.); #308926=ORIENTED_EDGE('',*,*,#218964,.F.); #308927=ORIENTED_EDGE('',*,*,#218968,.F.); #308928=ORIENTED_EDGE('',*,*,#218969,.F.); #308929=ORIENTED_EDGE('',*,*,#218970,.F.); #308930=ORIENTED_EDGE('',*,*,#218971,.F.); #308931=ORIENTED_EDGE('',*,*,#218972,.T.); #308932=ORIENTED_EDGE('',*,*,#218973,.T.); #308933=ORIENTED_EDGE('',*,*,#218974,.F.); #308934=ORIENTED_EDGE('',*,*,#218975,.F.); #308935=ORIENTED_EDGE('',*,*,#218966,.T.); #308936=ORIENTED_EDGE('',*,*,#218976,.T.); #308937=ORIENTED_EDGE('',*,*,#218972,.F.); #308938=ORIENTED_EDGE('',*,*,#218969,.T.); #308939=ORIENTED_EDGE('',*,*,#218977,.F.); #308940=ORIENTED_EDGE('',*,*,#218978,.T.); #308941=ORIENTED_EDGE('',*,*,#218979,.T.); #308942=ORIENTED_EDGE('',*,*,#218980,.F.); #308943=ORIENTED_EDGE('',*,*,#218974,.T.); #308944=ORIENTED_EDGE('',*,*,#218981,.T.); #308945=ORIENTED_EDGE('',*,*,#218978,.F.); #308946=ORIENTED_EDGE('',*,*,#218970,.T.); #308947=ORIENTED_EDGE('',*,*,#218979,.F.); #308948=ORIENTED_EDGE('',*,*,#218981,.F.); #308949=ORIENTED_EDGE('',*,*,#218973,.F.); #308950=ORIENTED_EDGE('',*,*,#218976,.F.); #308951=ORIENTED_EDGE('',*,*,#218965,.F.); #308952=ORIENTED_EDGE('',*,*,#218968,.T.); #308953=ORIENTED_EDGE('',*,*,#218967,.T.); #308954=ORIENTED_EDGE('',*,*,#218975,.T.); #308955=ORIENTED_EDGE('',*,*,#218971,.T.); #308956=ORIENTED_EDGE('',*,*,#218980,.T.); #308957=ORIENTED_EDGE('',*,*,#218977,.T.); #308958=ORIENTED_EDGE('',*,*,#218982,.T.); #308959=ORIENTED_EDGE('',*,*,#218983,.T.); #308960=ORIENTED_EDGE('',*,*,#218984,.F.); #308961=ORIENTED_EDGE('',*,*,#218985,.F.); #308962=ORIENTED_EDGE('',*,*,#218982,.F.); #308963=ORIENTED_EDGE('',*,*,#218986,.F.); #308964=ORIENTED_EDGE('',*,*,#218987,.F.); #308965=ORIENTED_EDGE('',*,*,#218988,.F.); #308966=ORIENTED_EDGE('',*,*,#218989,.F.); #308967=ORIENTED_EDGE('',*,*,#218990,.T.); #308968=ORIENTED_EDGE('',*,*,#218991,.T.); #308969=ORIENTED_EDGE('',*,*,#218992,.F.); #308970=ORIENTED_EDGE('',*,*,#218993,.F.); #308971=ORIENTED_EDGE('',*,*,#218984,.T.); #308972=ORIENTED_EDGE('',*,*,#218994,.T.); #308973=ORIENTED_EDGE('',*,*,#218990,.F.); #308974=ORIENTED_EDGE('',*,*,#218987,.T.); #308975=ORIENTED_EDGE('',*,*,#218995,.F.); #308976=ORIENTED_EDGE('',*,*,#218996,.T.); #308977=ORIENTED_EDGE('',*,*,#218997,.T.); #308978=ORIENTED_EDGE('',*,*,#218998,.F.); #308979=ORIENTED_EDGE('',*,*,#218992,.T.); #308980=ORIENTED_EDGE('',*,*,#218999,.T.); #308981=ORIENTED_EDGE('',*,*,#218996,.F.); #308982=ORIENTED_EDGE('',*,*,#218988,.T.); #308983=ORIENTED_EDGE('',*,*,#218997,.F.); #308984=ORIENTED_EDGE('',*,*,#218999,.F.); #308985=ORIENTED_EDGE('',*,*,#218991,.F.); #308986=ORIENTED_EDGE('',*,*,#218994,.F.); #308987=ORIENTED_EDGE('',*,*,#218983,.F.); #308988=ORIENTED_EDGE('',*,*,#218986,.T.); #308989=ORIENTED_EDGE('',*,*,#218985,.T.); #308990=ORIENTED_EDGE('',*,*,#218993,.T.); #308991=ORIENTED_EDGE('',*,*,#218989,.T.); #308992=ORIENTED_EDGE('',*,*,#218998,.T.); #308993=ORIENTED_EDGE('',*,*,#218995,.T.); #308994=ORIENTED_EDGE('',*,*,#219000,.T.); #308995=ORIENTED_EDGE('',*,*,#219001,.T.); #308996=ORIENTED_EDGE('',*,*,#219002,.F.); #308997=ORIENTED_EDGE('',*,*,#219003,.F.); #308998=ORIENTED_EDGE('',*,*,#219000,.F.); #308999=ORIENTED_EDGE('',*,*,#219004,.F.); #309000=ORIENTED_EDGE('',*,*,#219005,.F.); #309001=ORIENTED_EDGE('',*,*,#219006,.F.); #309002=ORIENTED_EDGE('',*,*,#219007,.F.); #309003=ORIENTED_EDGE('',*,*,#219008,.T.); #309004=ORIENTED_EDGE('',*,*,#219009,.T.); #309005=ORIENTED_EDGE('',*,*,#219010,.F.); #309006=ORIENTED_EDGE('',*,*,#219011,.F.); #309007=ORIENTED_EDGE('',*,*,#219002,.T.); #309008=ORIENTED_EDGE('',*,*,#219012,.T.); #309009=ORIENTED_EDGE('',*,*,#219008,.F.); #309010=ORIENTED_EDGE('',*,*,#219005,.T.); #309011=ORIENTED_EDGE('',*,*,#219013,.F.); #309012=ORIENTED_EDGE('',*,*,#219014,.T.); #309013=ORIENTED_EDGE('',*,*,#219015,.T.); #309014=ORIENTED_EDGE('',*,*,#219016,.F.); #309015=ORIENTED_EDGE('',*,*,#219010,.T.); #309016=ORIENTED_EDGE('',*,*,#219017,.T.); #309017=ORIENTED_EDGE('',*,*,#219014,.F.); #309018=ORIENTED_EDGE('',*,*,#219006,.T.); #309019=ORIENTED_EDGE('',*,*,#219015,.F.); #309020=ORIENTED_EDGE('',*,*,#219017,.F.); #309021=ORIENTED_EDGE('',*,*,#219009,.F.); #309022=ORIENTED_EDGE('',*,*,#219012,.F.); #309023=ORIENTED_EDGE('',*,*,#219001,.F.); #309024=ORIENTED_EDGE('',*,*,#219004,.T.); #309025=ORIENTED_EDGE('',*,*,#219003,.T.); #309026=ORIENTED_EDGE('',*,*,#219011,.T.); #309027=ORIENTED_EDGE('',*,*,#219007,.T.); #309028=ORIENTED_EDGE('',*,*,#219016,.T.); #309029=ORIENTED_EDGE('',*,*,#219013,.T.); #309030=ORIENTED_EDGE('',*,*,#219018,.T.); #309031=ORIENTED_EDGE('',*,*,#219019,.T.); #309032=ORIENTED_EDGE('',*,*,#219020,.F.); #309033=ORIENTED_EDGE('',*,*,#219021,.F.); #309034=ORIENTED_EDGE('',*,*,#219018,.F.); #309035=ORIENTED_EDGE('',*,*,#219022,.F.); #309036=ORIENTED_EDGE('',*,*,#219023,.F.); #309037=ORIENTED_EDGE('',*,*,#219024,.F.); #309038=ORIENTED_EDGE('',*,*,#219025,.F.); #309039=ORIENTED_EDGE('',*,*,#219026,.T.); #309040=ORIENTED_EDGE('',*,*,#219027,.T.); #309041=ORIENTED_EDGE('',*,*,#219028,.F.); #309042=ORIENTED_EDGE('',*,*,#219029,.F.); #309043=ORIENTED_EDGE('',*,*,#219020,.T.); #309044=ORIENTED_EDGE('',*,*,#219030,.T.); #309045=ORIENTED_EDGE('',*,*,#219026,.F.); #309046=ORIENTED_EDGE('',*,*,#219023,.T.); #309047=ORIENTED_EDGE('',*,*,#219031,.F.); #309048=ORIENTED_EDGE('',*,*,#219032,.T.); #309049=ORIENTED_EDGE('',*,*,#219033,.T.); #309050=ORIENTED_EDGE('',*,*,#219034,.F.); #309051=ORIENTED_EDGE('',*,*,#219028,.T.); #309052=ORIENTED_EDGE('',*,*,#219035,.T.); #309053=ORIENTED_EDGE('',*,*,#219032,.F.); #309054=ORIENTED_EDGE('',*,*,#219024,.T.); #309055=ORIENTED_EDGE('',*,*,#219033,.F.); #309056=ORIENTED_EDGE('',*,*,#219035,.F.); #309057=ORIENTED_EDGE('',*,*,#219027,.F.); #309058=ORIENTED_EDGE('',*,*,#219030,.F.); #309059=ORIENTED_EDGE('',*,*,#219019,.F.); #309060=ORIENTED_EDGE('',*,*,#219022,.T.); #309061=ORIENTED_EDGE('',*,*,#219021,.T.); #309062=ORIENTED_EDGE('',*,*,#219029,.T.); #309063=ORIENTED_EDGE('',*,*,#219025,.T.); #309064=ORIENTED_EDGE('',*,*,#219034,.T.); #309065=ORIENTED_EDGE('',*,*,#219031,.T.); #309066=ORIENTED_EDGE('',*,*,#219036,.T.); #309067=ORIENTED_EDGE('',*,*,#219037,.T.); #309068=ORIENTED_EDGE('',*,*,#219038,.F.); #309069=ORIENTED_EDGE('',*,*,#219039,.F.); #309070=ORIENTED_EDGE('',*,*,#219036,.F.); #309071=ORIENTED_EDGE('',*,*,#219040,.F.); #309072=ORIENTED_EDGE('',*,*,#219041,.F.); #309073=ORIENTED_EDGE('',*,*,#219042,.F.); #309074=ORIENTED_EDGE('',*,*,#219043,.F.); #309075=ORIENTED_EDGE('',*,*,#219044,.T.); #309076=ORIENTED_EDGE('',*,*,#219045,.T.); #309077=ORIENTED_EDGE('',*,*,#219046,.F.); #309078=ORIENTED_EDGE('',*,*,#219047,.F.); #309079=ORIENTED_EDGE('',*,*,#219038,.T.); #309080=ORIENTED_EDGE('',*,*,#219048,.T.); #309081=ORIENTED_EDGE('',*,*,#219044,.F.); #309082=ORIENTED_EDGE('',*,*,#219041,.T.); #309083=ORIENTED_EDGE('',*,*,#219049,.F.); #309084=ORIENTED_EDGE('',*,*,#219050,.T.); #309085=ORIENTED_EDGE('',*,*,#219051,.T.); #309086=ORIENTED_EDGE('',*,*,#219052,.F.); #309087=ORIENTED_EDGE('',*,*,#219046,.T.); #309088=ORIENTED_EDGE('',*,*,#219053,.T.); #309089=ORIENTED_EDGE('',*,*,#219050,.F.); #309090=ORIENTED_EDGE('',*,*,#219042,.T.); #309091=ORIENTED_EDGE('',*,*,#219051,.F.); #309092=ORIENTED_EDGE('',*,*,#219053,.F.); #309093=ORIENTED_EDGE('',*,*,#219045,.F.); #309094=ORIENTED_EDGE('',*,*,#219048,.F.); #309095=ORIENTED_EDGE('',*,*,#219037,.F.); #309096=ORIENTED_EDGE('',*,*,#219040,.T.); #309097=ORIENTED_EDGE('',*,*,#219039,.T.); #309098=ORIENTED_EDGE('',*,*,#219047,.T.); #309099=ORIENTED_EDGE('',*,*,#219043,.T.); #309100=ORIENTED_EDGE('',*,*,#219052,.T.); #309101=ORIENTED_EDGE('',*,*,#219049,.T.); #309102=ORIENTED_EDGE('',*,*,#219054,.T.); #309103=ORIENTED_EDGE('',*,*,#219055,.T.); #309104=ORIENTED_EDGE('',*,*,#219056,.F.); #309105=ORIENTED_EDGE('',*,*,#219057,.F.); #309106=ORIENTED_EDGE('',*,*,#219054,.F.); #309107=ORIENTED_EDGE('',*,*,#219058,.F.); #309108=ORIENTED_EDGE('',*,*,#219059,.F.); #309109=ORIENTED_EDGE('',*,*,#219060,.F.); #309110=ORIENTED_EDGE('',*,*,#219061,.F.); #309111=ORIENTED_EDGE('',*,*,#219062,.T.); #309112=ORIENTED_EDGE('',*,*,#219063,.T.); #309113=ORIENTED_EDGE('',*,*,#219064,.F.); #309114=ORIENTED_EDGE('',*,*,#219065,.F.); #309115=ORIENTED_EDGE('',*,*,#219056,.T.); #309116=ORIENTED_EDGE('',*,*,#219066,.T.); #309117=ORIENTED_EDGE('',*,*,#219062,.F.); #309118=ORIENTED_EDGE('',*,*,#219059,.T.); #309119=ORIENTED_EDGE('',*,*,#219067,.F.); #309120=ORIENTED_EDGE('',*,*,#219068,.T.); #309121=ORIENTED_EDGE('',*,*,#219069,.T.); #309122=ORIENTED_EDGE('',*,*,#219070,.F.); #309123=ORIENTED_EDGE('',*,*,#219064,.T.); #309124=ORIENTED_EDGE('',*,*,#219071,.T.); #309125=ORIENTED_EDGE('',*,*,#219068,.F.); #309126=ORIENTED_EDGE('',*,*,#219060,.T.); #309127=ORIENTED_EDGE('',*,*,#219069,.F.); #309128=ORIENTED_EDGE('',*,*,#219071,.F.); #309129=ORIENTED_EDGE('',*,*,#219063,.F.); #309130=ORIENTED_EDGE('',*,*,#219066,.F.); #309131=ORIENTED_EDGE('',*,*,#219055,.F.); #309132=ORIENTED_EDGE('',*,*,#219058,.T.); #309133=ORIENTED_EDGE('',*,*,#219057,.T.); #309134=ORIENTED_EDGE('',*,*,#219065,.T.); #309135=ORIENTED_EDGE('',*,*,#219061,.T.); #309136=ORIENTED_EDGE('',*,*,#219070,.T.); #309137=ORIENTED_EDGE('',*,*,#219067,.T.); #309138=ORIENTED_EDGE('',*,*,#219072,.T.); #309139=ORIENTED_EDGE('',*,*,#219073,.T.); #309140=ORIENTED_EDGE('',*,*,#219074,.F.); #309141=ORIENTED_EDGE('',*,*,#219075,.F.); #309142=ORIENTED_EDGE('',*,*,#219072,.F.); #309143=ORIENTED_EDGE('',*,*,#219076,.F.); #309144=ORIENTED_EDGE('',*,*,#219077,.F.); #309145=ORIENTED_EDGE('',*,*,#219078,.F.); #309146=ORIENTED_EDGE('',*,*,#219079,.F.); #309147=ORIENTED_EDGE('',*,*,#219080,.T.); #309148=ORIENTED_EDGE('',*,*,#219081,.T.); #309149=ORIENTED_EDGE('',*,*,#219082,.F.); #309150=ORIENTED_EDGE('',*,*,#219083,.F.); #309151=ORIENTED_EDGE('',*,*,#219074,.T.); #309152=ORIENTED_EDGE('',*,*,#219084,.T.); #309153=ORIENTED_EDGE('',*,*,#219080,.F.); #309154=ORIENTED_EDGE('',*,*,#219077,.T.); #309155=ORIENTED_EDGE('',*,*,#219085,.F.); #309156=ORIENTED_EDGE('',*,*,#219086,.T.); #309157=ORIENTED_EDGE('',*,*,#219087,.T.); #309158=ORIENTED_EDGE('',*,*,#219088,.F.); #309159=ORIENTED_EDGE('',*,*,#219082,.T.); #309160=ORIENTED_EDGE('',*,*,#219089,.T.); #309161=ORIENTED_EDGE('',*,*,#219086,.F.); #309162=ORIENTED_EDGE('',*,*,#219078,.T.); #309163=ORIENTED_EDGE('',*,*,#219087,.F.); #309164=ORIENTED_EDGE('',*,*,#219089,.F.); #309165=ORIENTED_EDGE('',*,*,#219081,.F.); #309166=ORIENTED_EDGE('',*,*,#219084,.F.); #309167=ORIENTED_EDGE('',*,*,#219073,.F.); #309168=ORIENTED_EDGE('',*,*,#219076,.T.); #309169=ORIENTED_EDGE('',*,*,#219075,.T.); #309170=ORIENTED_EDGE('',*,*,#219083,.T.); #309171=ORIENTED_EDGE('',*,*,#219079,.T.); #309172=ORIENTED_EDGE('',*,*,#219088,.T.); #309173=ORIENTED_EDGE('',*,*,#219085,.T.); #309174=ORIENTED_EDGE('',*,*,#219090,.T.); #309175=ORIENTED_EDGE('',*,*,#219091,.T.); #309176=ORIENTED_EDGE('',*,*,#219092,.F.); #309177=ORIENTED_EDGE('',*,*,#219093,.F.); #309178=ORIENTED_EDGE('',*,*,#219090,.F.); #309179=ORIENTED_EDGE('',*,*,#219094,.F.); #309180=ORIENTED_EDGE('',*,*,#219095,.F.); #309181=ORIENTED_EDGE('',*,*,#219096,.F.); #309182=ORIENTED_EDGE('',*,*,#219097,.F.); #309183=ORIENTED_EDGE('',*,*,#219098,.T.); #309184=ORIENTED_EDGE('',*,*,#219099,.T.); #309185=ORIENTED_EDGE('',*,*,#219100,.F.); #309186=ORIENTED_EDGE('',*,*,#219101,.F.); #309187=ORIENTED_EDGE('',*,*,#219092,.T.); #309188=ORIENTED_EDGE('',*,*,#219102,.T.); #309189=ORIENTED_EDGE('',*,*,#219098,.F.); #309190=ORIENTED_EDGE('',*,*,#219095,.T.); #309191=ORIENTED_EDGE('',*,*,#219103,.F.); #309192=ORIENTED_EDGE('',*,*,#219104,.T.); #309193=ORIENTED_EDGE('',*,*,#219105,.T.); #309194=ORIENTED_EDGE('',*,*,#219106,.F.); #309195=ORIENTED_EDGE('',*,*,#219100,.T.); #309196=ORIENTED_EDGE('',*,*,#219107,.T.); #309197=ORIENTED_EDGE('',*,*,#219104,.F.); #309198=ORIENTED_EDGE('',*,*,#219096,.T.); #309199=ORIENTED_EDGE('',*,*,#219105,.F.); #309200=ORIENTED_EDGE('',*,*,#219107,.F.); #309201=ORIENTED_EDGE('',*,*,#219099,.F.); #309202=ORIENTED_EDGE('',*,*,#219102,.F.); #309203=ORIENTED_EDGE('',*,*,#219091,.F.); #309204=ORIENTED_EDGE('',*,*,#219094,.T.); #309205=ORIENTED_EDGE('',*,*,#219093,.T.); #309206=ORIENTED_EDGE('',*,*,#219101,.T.); #309207=ORIENTED_EDGE('',*,*,#219097,.T.); #309208=ORIENTED_EDGE('',*,*,#219106,.T.); #309209=ORIENTED_EDGE('',*,*,#219103,.T.); #309210=ORIENTED_EDGE('',*,*,#219108,.T.); #309211=ORIENTED_EDGE('',*,*,#219109,.T.); #309212=ORIENTED_EDGE('',*,*,#219110,.F.); #309213=ORIENTED_EDGE('',*,*,#219111,.F.); #309214=ORIENTED_EDGE('',*,*,#219108,.F.); #309215=ORIENTED_EDGE('',*,*,#219112,.F.); #309216=ORIENTED_EDGE('',*,*,#219113,.F.); #309217=ORIENTED_EDGE('',*,*,#219114,.F.); #309218=ORIENTED_EDGE('',*,*,#219115,.F.); #309219=ORIENTED_EDGE('',*,*,#219116,.T.); #309220=ORIENTED_EDGE('',*,*,#219117,.T.); #309221=ORIENTED_EDGE('',*,*,#219118,.F.); #309222=ORIENTED_EDGE('',*,*,#219119,.F.); #309223=ORIENTED_EDGE('',*,*,#219110,.T.); #309224=ORIENTED_EDGE('',*,*,#219120,.T.); #309225=ORIENTED_EDGE('',*,*,#219116,.F.); #309226=ORIENTED_EDGE('',*,*,#219113,.T.); #309227=ORIENTED_EDGE('',*,*,#219121,.F.); #309228=ORIENTED_EDGE('',*,*,#219122,.T.); #309229=ORIENTED_EDGE('',*,*,#219123,.T.); #309230=ORIENTED_EDGE('',*,*,#219124,.F.); #309231=ORIENTED_EDGE('',*,*,#219118,.T.); #309232=ORIENTED_EDGE('',*,*,#219125,.T.); #309233=ORIENTED_EDGE('',*,*,#219122,.F.); #309234=ORIENTED_EDGE('',*,*,#219114,.T.); #309235=ORIENTED_EDGE('',*,*,#219123,.F.); #309236=ORIENTED_EDGE('',*,*,#219125,.F.); #309237=ORIENTED_EDGE('',*,*,#219117,.F.); #309238=ORIENTED_EDGE('',*,*,#219120,.F.); #309239=ORIENTED_EDGE('',*,*,#219109,.F.); #309240=ORIENTED_EDGE('',*,*,#219112,.T.); #309241=ORIENTED_EDGE('',*,*,#219111,.T.); #309242=ORIENTED_EDGE('',*,*,#219119,.T.); #309243=ORIENTED_EDGE('',*,*,#219115,.T.); #309244=ORIENTED_EDGE('',*,*,#219124,.T.); #309245=ORIENTED_EDGE('',*,*,#219121,.T.); #309246=ORIENTED_EDGE('',*,*,#219126,.T.); #309247=ORIENTED_EDGE('',*,*,#219127,.T.); #309248=ORIENTED_EDGE('',*,*,#219128,.F.); #309249=ORIENTED_EDGE('',*,*,#219129,.F.); #309250=ORIENTED_EDGE('',*,*,#219126,.F.); #309251=ORIENTED_EDGE('',*,*,#219130,.F.); #309252=ORIENTED_EDGE('',*,*,#219131,.F.); #309253=ORIENTED_EDGE('',*,*,#219132,.F.); #309254=ORIENTED_EDGE('',*,*,#219133,.F.); #309255=ORIENTED_EDGE('',*,*,#219134,.T.); #309256=ORIENTED_EDGE('',*,*,#219135,.T.); #309257=ORIENTED_EDGE('',*,*,#219136,.F.); #309258=ORIENTED_EDGE('',*,*,#219137,.F.); #309259=ORIENTED_EDGE('',*,*,#219128,.T.); #309260=ORIENTED_EDGE('',*,*,#219138,.T.); #309261=ORIENTED_EDGE('',*,*,#219134,.F.); #309262=ORIENTED_EDGE('',*,*,#219131,.T.); #309263=ORIENTED_EDGE('',*,*,#219139,.F.); #309264=ORIENTED_EDGE('',*,*,#219140,.T.); #309265=ORIENTED_EDGE('',*,*,#219141,.T.); #309266=ORIENTED_EDGE('',*,*,#219142,.F.); #309267=ORIENTED_EDGE('',*,*,#219136,.T.); #309268=ORIENTED_EDGE('',*,*,#219143,.T.); #309269=ORIENTED_EDGE('',*,*,#219140,.F.); #309270=ORIENTED_EDGE('',*,*,#219132,.T.); #309271=ORIENTED_EDGE('',*,*,#219141,.F.); #309272=ORIENTED_EDGE('',*,*,#219143,.F.); #309273=ORIENTED_EDGE('',*,*,#219135,.F.); #309274=ORIENTED_EDGE('',*,*,#219138,.F.); #309275=ORIENTED_EDGE('',*,*,#219127,.F.); #309276=ORIENTED_EDGE('',*,*,#219130,.T.); #309277=ORIENTED_EDGE('',*,*,#219129,.T.); #309278=ORIENTED_EDGE('',*,*,#219137,.T.); #309279=ORIENTED_EDGE('',*,*,#219133,.T.); #309280=ORIENTED_EDGE('',*,*,#219142,.T.); #309281=ORIENTED_EDGE('',*,*,#219139,.T.); #309282=ORIENTED_EDGE('',*,*,#219144,.T.); #309283=ORIENTED_EDGE('',*,*,#219145,.T.); #309284=ORIENTED_EDGE('',*,*,#219146,.F.); #309285=ORIENTED_EDGE('',*,*,#219147,.F.); #309286=ORIENTED_EDGE('',*,*,#219144,.F.); #309287=ORIENTED_EDGE('',*,*,#219148,.F.); #309288=ORIENTED_EDGE('',*,*,#219149,.F.); #309289=ORIENTED_EDGE('',*,*,#219150,.F.); #309290=ORIENTED_EDGE('',*,*,#219151,.F.); #309291=ORIENTED_EDGE('',*,*,#219152,.T.); #309292=ORIENTED_EDGE('',*,*,#219153,.T.); #309293=ORIENTED_EDGE('',*,*,#219154,.F.); #309294=ORIENTED_EDGE('',*,*,#219155,.F.); #309295=ORIENTED_EDGE('',*,*,#219146,.T.); #309296=ORIENTED_EDGE('',*,*,#219156,.T.); #309297=ORIENTED_EDGE('',*,*,#219152,.F.); #309298=ORIENTED_EDGE('',*,*,#219149,.T.); #309299=ORIENTED_EDGE('',*,*,#219157,.F.); #309300=ORIENTED_EDGE('',*,*,#219158,.T.); #309301=ORIENTED_EDGE('',*,*,#219159,.T.); #309302=ORIENTED_EDGE('',*,*,#219160,.F.); #309303=ORIENTED_EDGE('',*,*,#219154,.T.); #309304=ORIENTED_EDGE('',*,*,#219161,.T.); #309305=ORIENTED_EDGE('',*,*,#219158,.F.); #309306=ORIENTED_EDGE('',*,*,#219150,.T.); #309307=ORIENTED_EDGE('',*,*,#219159,.F.); #309308=ORIENTED_EDGE('',*,*,#219161,.F.); #309309=ORIENTED_EDGE('',*,*,#219153,.F.); #309310=ORIENTED_EDGE('',*,*,#219156,.F.); #309311=ORIENTED_EDGE('',*,*,#219145,.F.); #309312=ORIENTED_EDGE('',*,*,#219148,.T.); #309313=ORIENTED_EDGE('',*,*,#219147,.T.); #309314=ORIENTED_EDGE('',*,*,#219155,.T.); #309315=ORIENTED_EDGE('',*,*,#219151,.T.); #309316=ORIENTED_EDGE('',*,*,#219160,.T.); #309317=ORIENTED_EDGE('',*,*,#219157,.T.); #309318=ORIENTED_EDGE('',*,*,#219162,.F.); #309319=ORIENTED_EDGE('',*,*,#219163,.F.); #309320=ORIENTED_EDGE('',*,*,#219164,.T.); #309321=ORIENTED_EDGE('',*,*,#219165,.F.); #309322=ORIENTED_EDGE('',*,*,#219166,.T.); #309323=ORIENTED_EDGE('',*,*,#219167,.T.); #309324=ORIENTED_EDGE('',*,*,#219162,.T.); #309325=ORIENTED_EDGE('',*,*,#219168,.T.); #309326=ORIENTED_EDGE('',*,*,#219166,.F.); #309327=ORIENTED_EDGE('',*,*,#219169,.F.); #309328=ORIENTED_EDGE('',*,*,#219170,.F.); #309329=ORIENTED_EDGE('',*,*,#219171,.F.); #309330=ORIENTED_EDGE('',*,*,#219172,.T.); #309331=ORIENTED_EDGE('',*,*,#219170,.T.); #309332=ORIENTED_EDGE('',*,*,#219173,.F.); #309333=ORIENTED_EDGE('',*,*,#219174,.F.); #309334=ORIENTED_EDGE('',*,*,#219175,.T.); #309335=ORIENTED_EDGE('',*,*,#219174,.T.); #309336=ORIENTED_EDGE('',*,*,#219176,.F.); #309337=ORIENTED_EDGE('',*,*,#219177,.F.); #309338=ORIENTED_EDGE('',*,*,#219178,.T.); #309339=ORIENTED_EDGE('',*,*,#219177,.T.); #309340=ORIENTED_EDGE('',*,*,#219179,.F.); #309341=ORIENTED_EDGE('',*,*,#219164,.F.); #309342=ORIENTED_EDGE('',*,*,#219168,.F.); #309343=ORIENTED_EDGE('',*,*,#219165,.T.); #309344=ORIENTED_EDGE('',*,*,#219179,.T.); #309345=ORIENTED_EDGE('',*,*,#219176,.T.); #309346=ORIENTED_EDGE('',*,*,#219173,.T.); #309347=ORIENTED_EDGE('',*,*,#219169,.T.); #309348=ORIENTED_EDGE('',*,*,#219167,.F.); #309349=ORIENTED_EDGE('',*,*,#219171,.T.); #309350=ORIENTED_EDGE('',*,*,#219172,.F.); #309351=ORIENTED_EDGE('',*,*,#219175,.F.); #309352=ORIENTED_EDGE('',*,*,#219178,.F.); #309353=ORIENTED_EDGE('',*,*,#219163,.T.); #309354=ORIENTED_EDGE('',*,*,#219180,.T.); #309355=ORIENTED_EDGE('',*,*,#219181,.T.); #309356=ORIENTED_EDGE('',*,*,#219182,.F.); #309357=ORIENTED_EDGE('',*,*,#219183,.T.); #309358=ORIENTED_EDGE('',*,*,#219184,.F.); #309359=ORIENTED_EDGE('',*,*,#219185,.F.); #309360=ORIENTED_EDGE('',*,*,#219180,.F.); #309361=ORIENTED_EDGE('',*,*,#219186,.F.); #309362=ORIENTED_EDGE('',*,*,#219184,.T.); #309363=ORIENTED_EDGE('',*,*,#219187,.T.); #309364=ORIENTED_EDGE('',*,*,#219188,.T.); #309365=ORIENTED_EDGE('',*,*,#219189,.T.); #309366=ORIENTED_EDGE('',*,*,#219190,.F.); #309367=ORIENTED_EDGE('',*,*,#219191,.T.); #309368=ORIENTED_EDGE('',*,*,#219192,.T.); #309369=ORIENTED_EDGE('',*,*,#219188,.F.); #309370=ORIENTED_EDGE('',*,*,#219193,.F.); #309371=ORIENTED_EDGE('',*,*,#219194,.T.); #309372=ORIENTED_EDGE('',*,*,#219195,.T.); #309373=ORIENTED_EDGE('',*,*,#219191,.F.); #309374=ORIENTED_EDGE('',*,*,#219196,.F.); #309375=ORIENTED_EDGE('',*,*,#219182,.T.); #309376=ORIENTED_EDGE('',*,*,#219197,.T.); #309377=ORIENTED_EDGE('',*,*,#219194,.F.); #309378=ORIENTED_EDGE('',*,*,#219185,.T.); #309379=ORIENTED_EDGE('',*,*,#219189,.F.); #309380=ORIENTED_EDGE('',*,*,#219192,.F.); #309381=ORIENTED_EDGE('',*,*,#219195,.F.); #309382=ORIENTED_EDGE('',*,*,#219197,.F.); #309383=ORIENTED_EDGE('',*,*,#219181,.F.); #309384=ORIENTED_EDGE('',*,*,#219186,.T.); #309385=ORIENTED_EDGE('',*,*,#219183,.F.); #309386=ORIENTED_EDGE('',*,*,#219196,.T.); #309387=ORIENTED_EDGE('',*,*,#219193,.T.); #309388=ORIENTED_EDGE('',*,*,#219190,.T.); #309389=ORIENTED_EDGE('',*,*,#219187,.F.); #309390=ORIENTED_EDGE('',*,*,#219198,.F.); #309391=ORIENTED_EDGE('',*,*,#219199,.F.); #309392=ORIENTED_EDGE('',*,*,#219200,.T.); #309393=ORIENTED_EDGE('',*,*,#219201,.F.); #309394=ORIENTED_EDGE('',*,*,#219202,.T.); #309395=ORIENTED_EDGE('',*,*,#219203,.T.); #309396=ORIENTED_EDGE('',*,*,#219198,.T.); #309397=ORIENTED_EDGE('',*,*,#219204,.T.); #309398=ORIENTED_EDGE('',*,*,#219202,.F.); #309399=ORIENTED_EDGE('',*,*,#219205,.F.); #309400=ORIENTED_EDGE('',*,*,#219206,.F.); #309401=ORIENTED_EDGE('',*,*,#219207,.F.); #309402=ORIENTED_EDGE('',*,*,#219208,.T.); #309403=ORIENTED_EDGE('',*,*,#219206,.T.); #309404=ORIENTED_EDGE('',*,*,#219209,.F.); #309405=ORIENTED_EDGE('',*,*,#219210,.F.); #309406=ORIENTED_EDGE('',*,*,#219211,.T.); #309407=ORIENTED_EDGE('',*,*,#219210,.T.); #309408=ORIENTED_EDGE('',*,*,#219212,.F.); #309409=ORIENTED_EDGE('',*,*,#219213,.F.); #309410=ORIENTED_EDGE('',*,*,#219214,.T.); #309411=ORIENTED_EDGE('',*,*,#219213,.T.); #309412=ORIENTED_EDGE('',*,*,#219215,.F.); #309413=ORIENTED_EDGE('',*,*,#219200,.F.); #309414=ORIENTED_EDGE('',*,*,#219204,.F.); #309415=ORIENTED_EDGE('',*,*,#219201,.T.); #309416=ORIENTED_EDGE('',*,*,#219215,.T.); #309417=ORIENTED_EDGE('',*,*,#219212,.T.); #309418=ORIENTED_EDGE('',*,*,#219209,.T.); #309419=ORIENTED_EDGE('',*,*,#219205,.T.); #309420=ORIENTED_EDGE('',*,*,#219203,.F.); #309421=ORIENTED_EDGE('',*,*,#219207,.T.); #309422=ORIENTED_EDGE('',*,*,#219208,.F.); #309423=ORIENTED_EDGE('',*,*,#219211,.F.); #309424=ORIENTED_EDGE('',*,*,#219214,.F.); #309425=ORIENTED_EDGE('',*,*,#219199,.T.); #309426=ORIENTED_EDGE('',*,*,#219216,.F.); #309427=ORIENTED_EDGE('',*,*,#219217,.F.); #309428=ORIENTED_EDGE('',*,*,#219218,.T.); #309429=ORIENTED_EDGE('',*,*,#219219,.F.); #309430=ORIENTED_EDGE('',*,*,#219220,.T.); #309431=ORIENTED_EDGE('',*,*,#219221,.T.); #309432=ORIENTED_EDGE('',*,*,#219216,.T.); #309433=ORIENTED_EDGE('',*,*,#219222,.T.); #309434=ORIENTED_EDGE('',*,*,#219220,.F.); #309435=ORIENTED_EDGE('',*,*,#219223,.F.); #309436=ORIENTED_EDGE('',*,*,#219224,.F.); #309437=ORIENTED_EDGE('',*,*,#219225,.F.); #309438=ORIENTED_EDGE('',*,*,#219226,.T.); #309439=ORIENTED_EDGE('',*,*,#219224,.T.); #309440=ORIENTED_EDGE('',*,*,#219227,.F.); #309441=ORIENTED_EDGE('',*,*,#219228,.F.); #309442=ORIENTED_EDGE('',*,*,#219229,.T.); #309443=ORIENTED_EDGE('',*,*,#219228,.T.); #309444=ORIENTED_EDGE('',*,*,#219230,.F.); #309445=ORIENTED_EDGE('',*,*,#219231,.F.); #309446=ORIENTED_EDGE('',*,*,#219232,.T.); #309447=ORIENTED_EDGE('',*,*,#219231,.T.); #309448=ORIENTED_EDGE('',*,*,#219233,.F.); #309449=ORIENTED_EDGE('',*,*,#219218,.F.); #309450=ORIENTED_EDGE('',*,*,#219222,.F.); #309451=ORIENTED_EDGE('',*,*,#219219,.T.); #309452=ORIENTED_EDGE('',*,*,#219233,.T.); #309453=ORIENTED_EDGE('',*,*,#219230,.T.); #309454=ORIENTED_EDGE('',*,*,#219227,.T.); #309455=ORIENTED_EDGE('',*,*,#219223,.T.); #309456=ORIENTED_EDGE('',*,*,#219221,.F.); #309457=ORIENTED_EDGE('',*,*,#219225,.T.); #309458=ORIENTED_EDGE('',*,*,#219226,.F.); #309459=ORIENTED_EDGE('',*,*,#219229,.F.); #309460=ORIENTED_EDGE('',*,*,#219232,.F.); #309461=ORIENTED_EDGE('',*,*,#219217,.T.); #309462=ORIENTED_EDGE('',*,*,#219234,.F.); #309463=ORIENTED_EDGE('',*,*,#219235,.F.); #309464=ORIENTED_EDGE('',*,*,#219236,.T.); #309465=ORIENTED_EDGE('',*,*,#219237,.F.); #309466=ORIENTED_EDGE('',*,*,#219238,.T.); #309467=ORIENTED_EDGE('',*,*,#219239,.T.); #309468=ORIENTED_EDGE('',*,*,#219234,.T.); #309469=ORIENTED_EDGE('',*,*,#219240,.T.); #309470=ORIENTED_EDGE('',*,*,#219238,.F.); #309471=ORIENTED_EDGE('',*,*,#219241,.F.); #309472=ORIENTED_EDGE('',*,*,#219242,.F.); #309473=ORIENTED_EDGE('',*,*,#219243,.F.); #309474=ORIENTED_EDGE('',*,*,#219244,.T.); #309475=ORIENTED_EDGE('',*,*,#219242,.T.); #309476=ORIENTED_EDGE('',*,*,#219245,.F.); #309477=ORIENTED_EDGE('',*,*,#219246,.F.); #309478=ORIENTED_EDGE('',*,*,#219247,.T.); #309479=ORIENTED_EDGE('',*,*,#219246,.T.); #309480=ORIENTED_EDGE('',*,*,#219248,.F.); #309481=ORIENTED_EDGE('',*,*,#219249,.F.); #309482=ORIENTED_EDGE('',*,*,#219250,.T.); #309483=ORIENTED_EDGE('',*,*,#219249,.T.); #309484=ORIENTED_EDGE('',*,*,#219251,.F.); #309485=ORIENTED_EDGE('',*,*,#219236,.F.); #309486=ORIENTED_EDGE('',*,*,#219240,.F.); #309487=ORIENTED_EDGE('',*,*,#219237,.T.); #309488=ORIENTED_EDGE('',*,*,#219251,.T.); #309489=ORIENTED_EDGE('',*,*,#219248,.T.); #309490=ORIENTED_EDGE('',*,*,#219245,.T.); #309491=ORIENTED_EDGE('',*,*,#219241,.T.); #309492=ORIENTED_EDGE('',*,*,#219239,.F.); #309493=ORIENTED_EDGE('',*,*,#219243,.T.); #309494=ORIENTED_EDGE('',*,*,#219244,.F.); #309495=ORIENTED_EDGE('',*,*,#219247,.F.); #309496=ORIENTED_EDGE('',*,*,#219250,.F.); #309497=ORIENTED_EDGE('',*,*,#219235,.T.); #309498=ORIENTED_EDGE('',*,*,#219252,.F.); #309499=ORIENTED_EDGE('',*,*,#219253,.F.); #309500=ORIENTED_EDGE('',*,*,#219254,.T.); #309501=ORIENTED_EDGE('',*,*,#219255,.F.); #309502=ORIENTED_EDGE('',*,*,#219256,.T.); #309503=ORIENTED_EDGE('',*,*,#219257,.T.); #309504=ORIENTED_EDGE('',*,*,#219252,.T.); #309505=ORIENTED_EDGE('',*,*,#219258,.T.); #309506=ORIENTED_EDGE('',*,*,#219256,.F.); #309507=ORIENTED_EDGE('',*,*,#219259,.F.); #309508=ORIENTED_EDGE('',*,*,#219260,.F.); #309509=ORIENTED_EDGE('',*,*,#219261,.F.); #309510=ORIENTED_EDGE('',*,*,#219262,.T.); #309511=ORIENTED_EDGE('',*,*,#219260,.T.); #309512=ORIENTED_EDGE('',*,*,#219263,.F.); #309513=ORIENTED_EDGE('',*,*,#219264,.F.); #309514=ORIENTED_EDGE('',*,*,#219265,.T.); #309515=ORIENTED_EDGE('',*,*,#219264,.T.); #309516=ORIENTED_EDGE('',*,*,#219266,.F.); #309517=ORIENTED_EDGE('',*,*,#219267,.F.); #309518=ORIENTED_EDGE('',*,*,#219268,.T.); #309519=ORIENTED_EDGE('',*,*,#219267,.T.); #309520=ORIENTED_EDGE('',*,*,#219269,.F.); #309521=ORIENTED_EDGE('',*,*,#219254,.F.); #309522=ORIENTED_EDGE('',*,*,#219258,.F.); #309523=ORIENTED_EDGE('',*,*,#219255,.T.); #309524=ORIENTED_EDGE('',*,*,#219269,.T.); #309525=ORIENTED_EDGE('',*,*,#219266,.T.); #309526=ORIENTED_EDGE('',*,*,#219263,.T.); #309527=ORIENTED_EDGE('',*,*,#219259,.T.); #309528=ORIENTED_EDGE('',*,*,#219257,.F.); #309529=ORIENTED_EDGE('',*,*,#219261,.T.); #309530=ORIENTED_EDGE('',*,*,#219262,.F.); #309531=ORIENTED_EDGE('',*,*,#219265,.F.); #309532=ORIENTED_EDGE('',*,*,#219268,.F.); #309533=ORIENTED_EDGE('',*,*,#219253,.T.); #309534=ORIENTED_EDGE('',*,*,#219270,.F.); #309535=ORIENTED_EDGE('',*,*,#219271,.F.); #309536=ORIENTED_EDGE('',*,*,#219272,.T.); #309537=ORIENTED_EDGE('',*,*,#219273,.F.); #309538=ORIENTED_EDGE('',*,*,#219274,.T.); #309539=ORIENTED_EDGE('',*,*,#219275,.T.); #309540=ORIENTED_EDGE('',*,*,#219270,.T.); #309541=ORIENTED_EDGE('',*,*,#219276,.T.); #309542=ORIENTED_EDGE('',*,*,#219274,.F.); #309543=ORIENTED_EDGE('',*,*,#219277,.F.); #309544=ORIENTED_EDGE('',*,*,#219278,.F.); #309545=ORIENTED_EDGE('',*,*,#219279,.F.); #309546=ORIENTED_EDGE('',*,*,#219280,.T.); #309547=ORIENTED_EDGE('',*,*,#219278,.T.); #309548=ORIENTED_EDGE('',*,*,#219281,.F.); #309549=ORIENTED_EDGE('',*,*,#219282,.F.); #309550=ORIENTED_EDGE('',*,*,#219283,.T.); #309551=ORIENTED_EDGE('',*,*,#219282,.T.); #309552=ORIENTED_EDGE('',*,*,#219284,.F.); #309553=ORIENTED_EDGE('',*,*,#219285,.F.); #309554=ORIENTED_EDGE('',*,*,#219286,.T.); #309555=ORIENTED_EDGE('',*,*,#219285,.T.); #309556=ORIENTED_EDGE('',*,*,#219287,.F.); #309557=ORIENTED_EDGE('',*,*,#219272,.F.); #309558=ORIENTED_EDGE('',*,*,#219276,.F.); #309559=ORIENTED_EDGE('',*,*,#219273,.T.); #309560=ORIENTED_EDGE('',*,*,#219287,.T.); #309561=ORIENTED_EDGE('',*,*,#219284,.T.); #309562=ORIENTED_EDGE('',*,*,#219281,.T.); #309563=ORIENTED_EDGE('',*,*,#219277,.T.); #309564=ORIENTED_EDGE('',*,*,#219275,.F.); #309565=ORIENTED_EDGE('',*,*,#219279,.T.); #309566=ORIENTED_EDGE('',*,*,#219280,.F.); #309567=ORIENTED_EDGE('',*,*,#219283,.F.); #309568=ORIENTED_EDGE('',*,*,#219286,.F.); #309569=ORIENTED_EDGE('',*,*,#219271,.T.); #309570=ORIENTED_EDGE('',*,*,#219288,.F.); #309571=ORIENTED_EDGE('',*,*,#219289,.F.); #309572=ORIENTED_EDGE('',*,*,#219290,.T.); #309573=ORIENTED_EDGE('',*,*,#219291,.F.); #309574=ORIENTED_EDGE('',*,*,#219292,.T.); #309575=ORIENTED_EDGE('',*,*,#219293,.T.); #309576=ORIENTED_EDGE('',*,*,#219288,.T.); #309577=ORIENTED_EDGE('',*,*,#219294,.T.); #309578=ORIENTED_EDGE('',*,*,#219292,.F.); #309579=ORIENTED_EDGE('',*,*,#219295,.F.); #309580=ORIENTED_EDGE('',*,*,#219296,.F.); #309581=ORIENTED_EDGE('',*,*,#219297,.F.); #309582=ORIENTED_EDGE('',*,*,#219298,.T.); #309583=ORIENTED_EDGE('',*,*,#219296,.T.); #309584=ORIENTED_EDGE('',*,*,#219299,.F.); #309585=ORIENTED_EDGE('',*,*,#219300,.F.); #309586=ORIENTED_EDGE('',*,*,#219301,.T.); #309587=ORIENTED_EDGE('',*,*,#219300,.T.); #309588=ORIENTED_EDGE('',*,*,#219302,.F.); #309589=ORIENTED_EDGE('',*,*,#219303,.F.); #309590=ORIENTED_EDGE('',*,*,#219304,.T.); #309591=ORIENTED_EDGE('',*,*,#219303,.T.); #309592=ORIENTED_EDGE('',*,*,#219305,.F.); #309593=ORIENTED_EDGE('',*,*,#219290,.F.); #309594=ORIENTED_EDGE('',*,*,#219294,.F.); #309595=ORIENTED_EDGE('',*,*,#219291,.T.); #309596=ORIENTED_EDGE('',*,*,#219305,.T.); #309597=ORIENTED_EDGE('',*,*,#219302,.T.); #309598=ORIENTED_EDGE('',*,*,#219299,.T.); #309599=ORIENTED_EDGE('',*,*,#219295,.T.); #309600=ORIENTED_EDGE('',*,*,#219293,.F.); #309601=ORIENTED_EDGE('',*,*,#219297,.T.); #309602=ORIENTED_EDGE('',*,*,#219298,.F.); #309603=ORIENTED_EDGE('',*,*,#219301,.F.); #309604=ORIENTED_EDGE('',*,*,#219304,.F.); #309605=ORIENTED_EDGE('',*,*,#219289,.T.); #309606=ORIENTED_EDGE('',*,*,#219306,.F.); #309607=ORIENTED_EDGE('',*,*,#219307,.F.); #309608=ORIENTED_EDGE('',*,*,#219308,.T.); #309609=ORIENTED_EDGE('',*,*,#219309,.F.); #309610=ORIENTED_EDGE('',*,*,#219310,.T.); #309611=ORIENTED_EDGE('',*,*,#219311,.T.); #309612=ORIENTED_EDGE('',*,*,#219306,.T.); #309613=ORIENTED_EDGE('',*,*,#219312,.T.); #309614=ORIENTED_EDGE('',*,*,#219310,.F.); #309615=ORIENTED_EDGE('',*,*,#219313,.F.); #309616=ORIENTED_EDGE('',*,*,#219314,.F.); #309617=ORIENTED_EDGE('',*,*,#219315,.F.); #309618=ORIENTED_EDGE('',*,*,#219316,.T.); #309619=ORIENTED_EDGE('',*,*,#219314,.T.); #309620=ORIENTED_EDGE('',*,*,#219317,.F.); #309621=ORIENTED_EDGE('',*,*,#219318,.F.); #309622=ORIENTED_EDGE('',*,*,#219319,.T.); #309623=ORIENTED_EDGE('',*,*,#219318,.T.); #309624=ORIENTED_EDGE('',*,*,#219320,.F.); #309625=ORIENTED_EDGE('',*,*,#219321,.F.); #309626=ORIENTED_EDGE('',*,*,#219322,.T.); #309627=ORIENTED_EDGE('',*,*,#219321,.T.); #309628=ORIENTED_EDGE('',*,*,#219323,.F.); #309629=ORIENTED_EDGE('',*,*,#219308,.F.); #309630=ORIENTED_EDGE('',*,*,#219312,.F.); #309631=ORIENTED_EDGE('',*,*,#219309,.T.); #309632=ORIENTED_EDGE('',*,*,#219323,.T.); #309633=ORIENTED_EDGE('',*,*,#219320,.T.); #309634=ORIENTED_EDGE('',*,*,#219317,.T.); #309635=ORIENTED_EDGE('',*,*,#219313,.T.); #309636=ORIENTED_EDGE('',*,*,#219311,.F.); #309637=ORIENTED_EDGE('',*,*,#219315,.T.); #309638=ORIENTED_EDGE('',*,*,#219316,.F.); #309639=ORIENTED_EDGE('',*,*,#219319,.F.); #309640=ORIENTED_EDGE('',*,*,#219322,.F.); #309641=ORIENTED_EDGE('',*,*,#219307,.T.); #309642=ORIENTED_EDGE('',*,*,#219324,.F.); #309643=ORIENTED_EDGE('',*,*,#219325,.F.); #309644=ORIENTED_EDGE('',*,*,#219326,.T.); #309645=ORIENTED_EDGE('',*,*,#219327,.F.); #309646=ORIENTED_EDGE('',*,*,#219328,.T.); #309647=ORIENTED_EDGE('',*,*,#219329,.T.); #309648=ORIENTED_EDGE('',*,*,#219324,.T.); #309649=ORIENTED_EDGE('',*,*,#219330,.T.); #309650=ORIENTED_EDGE('',*,*,#219328,.F.); #309651=ORIENTED_EDGE('',*,*,#219331,.F.); #309652=ORIENTED_EDGE('',*,*,#219332,.F.); #309653=ORIENTED_EDGE('',*,*,#219333,.F.); #309654=ORIENTED_EDGE('',*,*,#219334,.T.); #309655=ORIENTED_EDGE('',*,*,#219332,.T.); #309656=ORIENTED_EDGE('',*,*,#219335,.F.); #309657=ORIENTED_EDGE('',*,*,#219336,.F.); #309658=ORIENTED_EDGE('',*,*,#219337,.T.); #309659=ORIENTED_EDGE('',*,*,#219336,.T.); #309660=ORIENTED_EDGE('',*,*,#219338,.F.); #309661=ORIENTED_EDGE('',*,*,#219339,.F.); #309662=ORIENTED_EDGE('',*,*,#219340,.T.); #309663=ORIENTED_EDGE('',*,*,#219339,.T.); #309664=ORIENTED_EDGE('',*,*,#219341,.F.); #309665=ORIENTED_EDGE('',*,*,#219326,.F.); #309666=ORIENTED_EDGE('',*,*,#219330,.F.); #309667=ORIENTED_EDGE('',*,*,#219327,.T.); #309668=ORIENTED_EDGE('',*,*,#219341,.T.); #309669=ORIENTED_EDGE('',*,*,#219338,.T.); #309670=ORIENTED_EDGE('',*,*,#219335,.T.); #309671=ORIENTED_EDGE('',*,*,#219331,.T.); #309672=ORIENTED_EDGE('',*,*,#219329,.F.); #309673=ORIENTED_EDGE('',*,*,#219333,.T.); #309674=ORIENTED_EDGE('',*,*,#219334,.F.); #309675=ORIENTED_EDGE('',*,*,#219337,.F.); #309676=ORIENTED_EDGE('',*,*,#219340,.F.); #309677=ORIENTED_EDGE('',*,*,#219325,.T.); #309678=ORIENTED_EDGE('',*,*,#219342,.F.); #309679=ORIENTED_EDGE('',*,*,#219343,.F.); #309680=ORIENTED_EDGE('',*,*,#219344,.T.); #309681=ORIENTED_EDGE('',*,*,#219345,.F.); #309682=ORIENTED_EDGE('',*,*,#219346,.T.); #309683=ORIENTED_EDGE('',*,*,#219347,.T.); #309684=ORIENTED_EDGE('',*,*,#219342,.T.); #309685=ORIENTED_EDGE('',*,*,#219348,.T.); #309686=ORIENTED_EDGE('',*,*,#219346,.F.); #309687=ORIENTED_EDGE('',*,*,#219349,.F.); #309688=ORIENTED_EDGE('',*,*,#219350,.F.); #309689=ORIENTED_EDGE('',*,*,#219351,.F.); #309690=ORIENTED_EDGE('',*,*,#219352,.T.); #309691=ORIENTED_EDGE('',*,*,#219350,.T.); #309692=ORIENTED_EDGE('',*,*,#219353,.F.); #309693=ORIENTED_EDGE('',*,*,#219354,.F.); #309694=ORIENTED_EDGE('',*,*,#219355,.T.); #309695=ORIENTED_EDGE('',*,*,#219354,.T.); #309696=ORIENTED_EDGE('',*,*,#219356,.F.); #309697=ORIENTED_EDGE('',*,*,#219357,.F.); #309698=ORIENTED_EDGE('',*,*,#219358,.T.); #309699=ORIENTED_EDGE('',*,*,#219357,.T.); #309700=ORIENTED_EDGE('',*,*,#219359,.F.); #309701=ORIENTED_EDGE('',*,*,#219344,.F.); #309702=ORIENTED_EDGE('',*,*,#219348,.F.); #309703=ORIENTED_EDGE('',*,*,#219345,.T.); #309704=ORIENTED_EDGE('',*,*,#219359,.T.); #309705=ORIENTED_EDGE('',*,*,#219356,.T.); #309706=ORIENTED_EDGE('',*,*,#219353,.T.); #309707=ORIENTED_EDGE('',*,*,#219349,.T.); #309708=ORIENTED_EDGE('',*,*,#219347,.F.); #309709=ORIENTED_EDGE('',*,*,#219351,.T.); #309710=ORIENTED_EDGE('',*,*,#219352,.F.); #309711=ORIENTED_EDGE('',*,*,#219355,.F.); #309712=ORIENTED_EDGE('',*,*,#219358,.F.); #309713=ORIENTED_EDGE('',*,*,#219343,.T.); #309714=ORIENTED_EDGE('',*,*,#219360,.F.); #309715=ORIENTED_EDGE('',*,*,#219361,.F.); #309716=ORIENTED_EDGE('',*,*,#219362,.T.); #309717=ORIENTED_EDGE('',*,*,#219363,.F.); #309718=ORIENTED_EDGE('',*,*,#219364,.T.); #309719=ORIENTED_EDGE('',*,*,#219365,.T.); #309720=ORIENTED_EDGE('',*,*,#219360,.T.); #309721=ORIENTED_EDGE('',*,*,#219366,.T.); #309722=ORIENTED_EDGE('',*,*,#219364,.F.); #309723=ORIENTED_EDGE('',*,*,#219367,.F.); #309724=ORIENTED_EDGE('',*,*,#219368,.F.); #309725=ORIENTED_EDGE('',*,*,#219369,.F.); #309726=ORIENTED_EDGE('',*,*,#219370,.T.); #309727=ORIENTED_EDGE('',*,*,#219368,.T.); #309728=ORIENTED_EDGE('',*,*,#219371,.F.); #309729=ORIENTED_EDGE('',*,*,#219372,.F.); #309730=ORIENTED_EDGE('',*,*,#219373,.T.); #309731=ORIENTED_EDGE('',*,*,#219372,.T.); #309732=ORIENTED_EDGE('',*,*,#219374,.F.); #309733=ORIENTED_EDGE('',*,*,#219375,.F.); #309734=ORIENTED_EDGE('',*,*,#219376,.T.); #309735=ORIENTED_EDGE('',*,*,#219375,.T.); #309736=ORIENTED_EDGE('',*,*,#219377,.F.); #309737=ORIENTED_EDGE('',*,*,#219362,.F.); #309738=ORIENTED_EDGE('',*,*,#219366,.F.); #309739=ORIENTED_EDGE('',*,*,#219363,.T.); #309740=ORIENTED_EDGE('',*,*,#219377,.T.); #309741=ORIENTED_EDGE('',*,*,#219374,.T.); #309742=ORIENTED_EDGE('',*,*,#219371,.T.); #309743=ORIENTED_EDGE('',*,*,#219367,.T.); #309744=ORIENTED_EDGE('',*,*,#219365,.F.); #309745=ORIENTED_EDGE('',*,*,#219369,.T.); #309746=ORIENTED_EDGE('',*,*,#219370,.F.); #309747=ORIENTED_EDGE('',*,*,#219373,.F.); #309748=ORIENTED_EDGE('',*,*,#219376,.F.); #309749=ORIENTED_EDGE('',*,*,#219361,.T.); #309750=ORIENTED_EDGE('',*,*,#219378,.F.); #309751=ORIENTED_EDGE('',*,*,#219379,.F.); #309752=ORIENTED_EDGE('',*,*,#219380,.T.); #309753=ORIENTED_EDGE('',*,*,#219381,.F.); #309754=ORIENTED_EDGE('',*,*,#219382,.T.); #309755=ORIENTED_EDGE('',*,*,#219383,.T.); #309756=ORIENTED_EDGE('',*,*,#219378,.T.); #309757=ORIENTED_EDGE('',*,*,#219384,.T.); #309758=ORIENTED_EDGE('',*,*,#219382,.F.); #309759=ORIENTED_EDGE('',*,*,#219385,.F.); #309760=ORIENTED_EDGE('',*,*,#219386,.F.); #309761=ORIENTED_EDGE('',*,*,#219387,.F.); #309762=ORIENTED_EDGE('',*,*,#219388,.T.); #309763=ORIENTED_EDGE('',*,*,#219386,.T.); #309764=ORIENTED_EDGE('',*,*,#219389,.F.); #309765=ORIENTED_EDGE('',*,*,#219390,.F.); #309766=ORIENTED_EDGE('',*,*,#219391,.T.); #309767=ORIENTED_EDGE('',*,*,#219390,.T.); #309768=ORIENTED_EDGE('',*,*,#219392,.F.); #309769=ORIENTED_EDGE('',*,*,#219393,.F.); #309770=ORIENTED_EDGE('',*,*,#219394,.T.); #309771=ORIENTED_EDGE('',*,*,#219393,.T.); #309772=ORIENTED_EDGE('',*,*,#219395,.F.); #309773=ORIENTED_EDGE('',*,*,#219380,.F.); #309774=ORIENTED_EDGE('',*,*,#219384,.F.); #309775=ORIENTED_EDGE('',*,*,#219381,.T.); #309776=ORIENTED_EDGE('',*,*,#219395,.T.); #309777=ORIENTED_EDGE('',*,*,#219392,.T.); #309778=ORIENTED_EDGE('',*,*,#219389,.T.); #309779=ORIENTED_EDGE('',*,*,#219385,.T.); #309780=ORIENTED_EDGE('',*,*,#219383,.F.); #309781=ORIENTED_EDGE('',*,*,#219387,.T.); #309782=ORIENTED_EDGE('',*,*,#219388,.F.); #309783=ORIENTED_EDGE('',*,*,#219391,.F.); #309784=ORIENTED_EDGE('',*,*,#219394,.F.); #309785=ORIENTED_EDGE('',*,*,#219379,.T.); #309786=ORIENTED_EDGE('',*,*,#219396,.T.); #309787=ORIENTED_EDGE('',*,*,#219397,.T.); #309788=ORIENTED_EDGE('',*,*,#219398,.F.); #309789=ORIENTED_EDGE('',*,*,#219399,.T.); #309790=ORIENTED_EDGE('',*,*,#219400,.F.); #309791=ORIENTED_EDGE('',*,*,#219401,.F.); #309792=ORIENTED_EDGE('',*,*,#219396,.F.); #309793=ORIENTED_EDGE('',*,*,#219402,.F.); #309794=ORIENTED_EDGE('',*,*,#219400,.T.); #309795=ORIENTED_EDGE('',*,*,#219403,.T.); #309796=ORIENTED_EDGE('',*,*,#219404,.T.); #309797=ORIENTED_EDGE('',*,*,#219405,.T.); #309798=ORIENTED_EDGE('',*,*,#219406,.F.); #309799=ORIENTED_EDGE('',*,*,#219407,.T.); #309800=ORIENTED_EDGE('',*,*,#219408,.T.); #309801=ORIENTED_EDGE('',*,*,#219404,.F.); #309802=ORIENTED_EDGE('',*,*,#219409,.F.); #309803=ORIENTED_EDGE('',*,*,#219410,.T.); #309804=ORIENTED_EDGE('',*,*,#219411,.T.); #309805=ORIENTED_EDGE('',*,*,#219407,.F.); #309806=ORIENTED_EDGE('',*,*,#219412,.F.); #309807=ORIENTED_EDGE('',*,*,#219398,.T.); #309808=ORIENTED_EDGE('',*,*,#219413,.T.); #309809=ORIENTED_EDGE('',*,*,#219410,.F.); #309810=ORIENTED_EDGE('',*,*,#219401,.T.); #309811=ORIENTED_EDGE('',*,*,#219405,.F.); #309812=ORIENTED_EDGE('',*,*,#219408,.F.); #309813=ORIENTED_EDGE('',*,*,#219411,.F.); #309814=ORIENTED_EDGE('',*,*,#219413,.F.); #309815=ORIENTED_EDGE('',*,*,#219397,.F.); #309816=ORIENTED_EDGE('',*,*,#219402,.T.); #309817=ORIENTED_EDGE('',*,*,#219399,.F.); #309818=ORIENTED_EDGE('',*,*,#219412,.T.); #309819=ORIENTED_EDGE('',*,*,#219409,.T.); #309820=ORIENTED_EDGE('',*,*,#219406,.T.); #309821=ORIENTED_EDGE('',*,*,#219403,.F.); #309822=ORIENTED_EDGE('',*,*,#219414,.T.); #309823=ORIENTED_EDGE('',*,*,#219415,.T.); #309824=ORIENTED_EDGE('',*,*,#219416,.F.); #309825=ORIENTED_EDGE('',*,*,#219417,.T.); #309826=ORIENTED_EDGE('',*,*,#219418,.F.); #309827=ORIENTED_EDGE('',*,*,#219419,.F.); #309828=ORIENTED_EDGE('',*,*,#219414,.F.); #309829=ORIENTED_EDGE('',*,*,#219420,.F.); #309830=ORIENTED_EDGE('',*,*,#219418,.T.); #309831=ORIENTED_EDGE('',*,*,#219421,.T.); #309832=ORIENTED_EDGE('',*,*,#219422,.T.); #309833=ORIENTED_EDGE('',*,*,#219423,.T.); #309834=ORIENTED_EDGE('',*,*,#219424,.F.); #309835=ORIENTED_EDGE('',*,*,#219425,.T.); #309836=ORIENTED_EDGE('',*,*,#219426,.T.); #309837=ORIENTED_EDGE('',*,*,#219422,.F.); #309838=ORIENTED_EDGE('',*,*,#219427,.F.); #309839=ORIENTED_EDGE('',*,*,#219428,.T.); #309840=ORIENTED_EDGE('',*,*,#219429,.T.); #309841=ORIENTED_EDGE('',*,*,#219425,.F.); #309842=ORIENTED_EDGE('',*,*,#219430,.F.); #309843=ORIENTED_EDGE('',*,*,#219416,.T.); #309844=ORIENTED_EDGE('',*,*,#219431,.T.); #309845=ORIENTED_EDGE('',*,*,#219428,.F.); #309846=ORIENTED_EDGE('',*,*,#219419,.T.); #309847=ORIENTED_EDGE('',*,*,#219423,.F.); #309848=ORIENTED_EDGE('',*,*,#219426,.F.); #309849=ORIENTED_EDGE('',*,*,#219429,.F.); #309850=ORIENTED_EDGE('',*,*,#219431,.F.); #309851=ORIENTED_EDGE('',*,*,#219415,.F.); #309852=ORIENTED_EDGE('',*,*,#219420,.T.); #309853=ORIENTED_EDGE('',*,*,#219417,.F.); #309854=ORIENTED_EDGE('',*,*,#219430,.T.); #309855=ORIENTED_EDGE('',*,*,#219427,.T.); #309856=ORIENTED_EDGE('',*,*,#219424,.T.); #309857=ORIENTED_EDGE('',*,*,#219421,.F.); #309858=ORIENTED_EDGE('',*,*,#219432,.T.); #309859=ORIENTED_EDGE('',*,*,#219433,.T.); #309860=ORIENTED_EDGE('',*,*,#219434,.F.); #309861=ORIENTED_EDGE('',*,*,#219435,.T.); #309862=ORIENTED_EDGE('',*,*,#219436,.F.); #309863=ORIENTED_EDGE('',*,*,#219437,.F.); #309864=ORIENTED_EDGE('',*,*,#219432,.F.); #309865=ORIENTED_EDGE('',*,*,#219438,.F.); #309866=ORIENTED_EDGE('',*,*,#219436,.T.); #309867=ORIENTED_EDGE('',*,*,#219439,.T.); #309868=ORIENTED_EDGE('',*,*,#219440,.T.); #309869=ORIENTED_EDGE('',*,*,#219441,.T.); #309870=ORIENTED_EDGE('',*,*,#219442,.F.); #309871=ORIENTED_EDGE('',*,*,#219443,.T.); #309872=ORIENTED_EDGE('',*,*,#219444,.T.); #309873=ORIENTED_EDGE('',*,*,#219440,.F.); #309874=ORIENTED_EDGE('',*,*,#219445,.F.); #309875=ORIENTED_EDGE('',*,*,#219446,.T.); #309876=ORIENTED_EDGE('',*,*,#219447,.T.); #309877=ORIENTED_EDGE('',*,*,#219443,.F.); #309878=ORIENTED_EDGE('',*,*,#219448,.F.); #309879=ORIENTED_EDGE('',*,*,#219434,.T.); #309880=ORIENTED_EDGE('',*,*,#219449,.T.); #309881=ORIENTED_EDGE('',*,*,#219446,.F.); #309882=ORIENTED_EDGE('',*,*,#219437,.T.); #309883=ORIENTED_EDGE('',*,*,#219441,.F.); #309884=ORIENTED_EDGE('',*,*,#219444,.F.); #309885=ORIENTED_EDGE('',*,*,#219447,.F.); #309886=ORIENTED_EDGE('',*,*,#219449,.F.); #309887=ORIENTED_EDGE('',*,*,#219433,.F.); #309888=ORIENTED_EDGE('',*,*,#219438,.T.); #309889=ORIENTED_EDGE('',*,*,#219435,.F.); #309890=ORIENTED_EDGE('',*,*,#219448,.T.); #309891=ORIENTED_EDGE('',*,*,#219445,.T.); #309892=ORIENTED_EDGE('',*,*,#219442,.T.); #309893=ORIENTED_EDGE('',*,*,#219439,.F.); #309894=ORIENTED_EDGE('',*,*,#219450,.T.); #309895=ORIENTED_EDGE('',*,*,#219451,.T.); #309896=ORIENTED_EDGE('',*,*,#219452,.F.); #309897=ORIENTED_EDGE('',*,*,#219453,.T.); #309898=ORIENTED_EDGE('',*,*,#219454,.F.); #309899=ORIENTED_EDGE('',*,*,#219455,.F.); #309900=ORIENTED_EDGE('',*,*,#219450,.F.); #309901=ORIENTED_EDGE('',*,*,#219456,.F.); #309902=ORIENTED_EDGE('',*,*,#219454,.T.); #309903=ORIENTED_EDGE('',*,*,#219457,.T.); #309904=ORIENTED_EDGE('',*,*,#219458,.T.); #309905=ORIENTED_EDGE('',*,*,#219459,.T.); #309906=ORIENTED_EDGE('',*,*,#219460,.F.); #309907=ORIENTED_EDGE('',*,*,#219461,.T.); #309908=ORIENTED_EDGE('',*,*,#219462,.T.); #309909=ORIENTED_EDGE('',*,*,#219458,.F.); #309910=ORIENTED_EDGE('',*,*,#219463,.F.); #309911=ORIENTED_EDGE('',*,*,#219464,.T.); #309912=ORIENTED_EDGE('',*,*,#219465,.T.); #309913=ORIENTED_EDGE('',*,*,#219461,.F.); #309914=ORIENTED_EDGE('',*,*,#219466,.F.); #309915=ORIENTED_EDGE('',*,*,#219452,.T.); #309916=ORIENTED_EDGE('',*,*,#219467,.T.); #309917=ORIENTED_EDGE('',*,*,#219464,.F.); #309918=ORIENTED_EDGE('',*,*,#219455,.T.); #309919=ORIENTED_EDGE('',*,*,#219459,.F.); #309920=ORIENTED_EDGE('',*,*,#219462,.F.); #309921=ORIENTED_EDGE('',*,*,#219465,.F.); #309922=ORIENTED_EDGE('',*,*,#219467,.F.); #309923=ORIENTED_EDGE('',*,*,#219451,.F.); #309924=ORIENTED_EDGE('',*,*,#219456,.T.); #309925=ORIENTED_EDGE('',*,*,#219453,.F.); #309926=ORIENTED_EDGE('',*,*,#219466,.T.); #309927=ORIENTED_EDGE('',*,*,#219463,.T.); #309928=ORIENTED_EDGE('',*,*,#219460,.T.); #309929=ORIENTED_EDGE('',*,*,#219457,.F.); #309930=ORIENTED_EDGE('',*,*,#219468,.T.); #309931=ORIENTED_EDGE('',*,*,#219469,.T.); #309932=ORIENTED_EDGE('',*,*,#219470,.F.); #309933=ORIENTED_EDGE('',*,*,#219471,.T.); #309934=ORIENTED_EDGE('',*,*,#219472,.F.); #309935=ORIENTED_EDGE('',*,*,#219473,.F.); #309936=ORIENTED_EDGE('',*,*,#219468,.F.); #309937=ORIENTED_EDGE('',*,*,#219474,.F.); #309938=ORIENTED_EDGE('',*,*,#219472,.T.); #309939=ORIENTED_EDGE('',*,*,#219475,.T.); #309940=ORIENTED_EDGE('',*,*,#219476,.T.); #309941=ORIENTED_EDGE('',*,*,#219477,.T.); #309942=ORIENTED_EDGE('',*,*,#219478,.F.); #309943=ORIENTED_EDGE('',*,*,#219479,.T.); #309944=ORIENTED_EDGE('',*,*,#219480,.T.); #309945=ORIENTED_EDGE('',*,*,#219476,.F.); #309946=ORIENTED_EDGE('',*,*,#219481,.F.); #309947=ORIENTED_EDGE('',*,*,#219482,.T.); #309948=ORIENTED_EDGE('',*,*,#219483,.T.); #309949=ORIENTED_EDGE('',*,*,#219479,.F.); #309950=ORIENTED_EDGE('',*,*,#219484,.F.); #309951=ORIENTED_EDGE('',*,*,#219470,.T.); #309952=ORIENTED_EDGE('',*,*,#219485,.T.); #309953=ORIENTED_EDGE('',*,*,#219482,.F.); #309954=ORIENTED_EDGE('',*,*,#219473,.T.); #309955=ORIENTED_EDGE('',*,*,#219477,.F.); #309956=ORIENTED_EDGE('',*,*,#219480,.F.); #309957=ORIENTED_EDGE('',*,*,#219483,.F.); #309958=ORIENTED_EDGE('',*,*,#219485,.F.); #309959=ORIENTED_EDGE('',*,*,#219469,.F.); #309960=ORIENTED_EDGE('',*,*,#219474,.T.); #309961=ORIENTED_EDGE('',*,*,#219471,.F.); #309962=ORIENTED_EDGE('',*,*,#219484,.T.); #309963=ORIENTED_EDGE('',*,*,#219481,.T.); #309964=ORIENTED_EDGE('',*,*,#219478,.T.); #309965=ORIENTED_EDGE('',*,*,#219475,.F.); #309966=ORIENTED_EDGE('',*,*,#219486,.T.); #309967=ORIENTED_EDGE('',*,*,#219487,.T.); #309968=ORIENTED_EDGE('',*,*,#219488,.F.); #309969=ORIENTED_EDGE('',*,*,#219489,.T.); #309970=ORIENTED_EDGE('',*,*,#219490,.F.); #309971=ORIENTED_EDGE('',*,*,#219491,.F.); #309972=ORIENTED_EDGE('',*,*,#219486,.F.); #309973=ORIENTED_EDGE('',*,*,#219492,.F.); #309974=ORIENTED_EDGE('',*,*,#219490,.T.); #309975=ORIENTED_EDGE('',*,*,#219493,.T.); #309976=ORIENTED_EDGE('',*,*,#219494,.T.); #309977=ORIENTED_EDGE('',*,*,#219495,.T.); #309978=ORIENTED_EDGE('',*,*,#219496,.F.); #309979=ORIENTED_EDGE('',*,*,#219497,.T.); #309980=ORIENTED_EDGE('',*,*,#219498,.T.); #309981=ORIENTED_EDGE('',*,*,#219494,.F.); #309982=ORIENTED_EDGE('',*,*,#219499,.F.); #309983=ORIENTED_EDGE('',*,*,#219500,.T.); #309984=ORIENTED_EDGE('',*,*,#219501,.T.); #309985=ORIENTED_EDGE('',*,*,#219497,.F.); #309986=ORIENTED_EDGE('',*,*,#219502,.F.); #309987=ORIENTED_EDGE('',*,*,#219488,.T.); #309988=ORIENTED_EDGE('',*,*,#219503,.T.); #309989=ORIENTED_EDGE('',*,*,#219500,.F.); #309990=ORIENTED_EDGE('',*,*,#219491,.T.); #309991=ORIENTED_EDGE('',*,*,#219495,.F.); #309992=ORIENTED_EDGE('',*,*,#219498,.F.); #309993=ORIENTED_EDGE('',*,*,#219501,.F.); #309994=ORIENTED_EDGE('',*,*,#219503,.F.); #309995=ORIENTED_EDGE('',*,*,#219487,.F.); #309996=ORIENTED_EDGE('',*,*,#219492,.T.); #309997=ORIENTED_EDGE('',*,*,#219489,.F.); #309998=ORIENTED_EDGE('',*,*,#219502,.T.); #309999=ORIENTED_EDGE('',*,*,#219499,.T.); #310000=ORIENTED_EDGE('',*,*,#219496,.T.); #310001=ORIENTED_EDGE('',*,*,#219493,.F.); #310002=ORIENTED_EDGE('',*,*,#219504,.T.); #310003=ORIENTED_EDGE('',*,*,#219505,.T.); #310004=ORIENTED_EDGE('',*,*,#219506,.F.); #310005=ORIENTED_EDGE('',*,*,#219507,.T.); #310006=ORIENTED_EDGE('',*,*,#219508,.F.); #310007=ORIENTED_EDGE('',*,*,#219509,.F.); #310008=ORIENTED_EDGE('',*,*,#219504,.F.); #310009=ORIENTED_EDGE('',*,*,#219510,.F.); #310010=ORIENTED_EDGE('',*,*,#219508,.T.); #310011=ORIENTED_EDGE('',*,*,#219511,.T.); #310012=ORIENTED_EDGE('',*,*,#219512,.T.); #310013=ORIENTED_EDGE('',*,*,#219513,.T.); #310014=ORIENTED_EDGE('',*,*,#219514,.F.); #310015=ORIENTED_EDGE('',*,*,#219515,.T.); #310016=ORIENTED_EDGE('',*,*,#219516,.T.); #310017=ORIENTED_EDGE('',*,*,#219512,.F.); #310018=ORIENTED_EDGE('',*,*,#219517,.F.); #310019=ORIENTED_EDGE('',*,*,#219518,.T.); #310020=ORIENTED_EDGE('',*,*,#219519,.T.); #310021=ORIENTED_EDGE('',*,*,#219515,.F.); #310022=ORIENTED_EDGE('',*,*,#219520,.F.); #310023=ORIENTED_EDGE('',*,*,#219506,.T.); #310024=ORIENTED_EDGE('',*,*,#219521,.T.); #310025=ORIENTED_EDGE('',*,*,#219518,.F.); #310026=ORIENTED_EDGE('',*,*,#219509,.T.); #310027=ORIENTED_EDGE('',*,*,#219513,.F.); #310028=ORIENTED_EDGE('',*,*,#219516,.F.); #310029=ORIENTED_EDGE('',*,*,#219519,.F.); #310030=ORIENTED_EDGE('',*,*,#219521,.F.); #310031=ORIENTED_EDGE('',*,*,#219505,.F.); #310032=ORIENTED_EDGE('',*,*,#219510,.T.); #310033=ORIENTED_EDGE('',*,*,#219507,.F.); #310034=ORIENTED_EDGE('',*,*,#219520,.T.); #310035=ORIENTED_EDGE('',*,*,#219517,.T.); #310036=ORIENTED_EDGE('',*,*,#219514,.T.); #310037=ORIENTED_EDGE('',*,*,#219511,.F.); #310038=ORIENTED_EDGE('',*,*,#219522,.T.); #310039=ORIENTED_EDGE('',*,*,#219523,.T.); #310040=ORIENTED_EDGE('',*,*,#219524,.F.); #310041=ORIENTED_EDGE('',*,*,#219525,.T.); #310042=ORIENTED_EDGE('',*,*,#219526,.F.); #310043=ORIENTED_EDGE('',*,*,#219527,.F.); #310044=ORIENTED_EDGE('',*,*,#219522,.F.); #310045=ORIENTED_EDGE('',*,*,#219528,.F.); #310046=ORIENTED_EDGE('',*,*,#219526,.T.); #310047=ORIENTED_EDGE('',*,*,#219529,.T.); #310048=ORIENTED_EDGE('',*,*,#219530,.T.); #310049=ORIENTED_EDGE('',*,*,#219531,.T.); #310050=ORIENTED_EDGE('',*,*,#219532,.F.); #310051=ORIENTED_EDGE('',*,*,#219533,.T.); #310052=ORIENTED_EDGE('',*,*,#219534,.T.); #310053=ORIENTED_EDGE('',*,*,#219530,.F.); #310054=ORIENTED_EDGE('',*,*,#219535,.F.); #310055=ORIENTED_EDGE('',*,*,#219536,.T.); #310056=ORIENTED_EDGE('',*,*,#219537,.T.); #310057=ORIENTED_EDGE('',*,*,#219533,.F.); #310058=ORIENTED_EDGE('',*,*,#219538,.F.); #310059=ORIENTED_EDGE('',*,*,#219524,.T.); #310060=ORIENTED_EDGE('',*,*,#219539,.T.); #310061=ORIENTED_EDGE('',*,*,#219536,.F.); #310062=ORIENTED_EDGE('',*,*,#219527,.T.); #310063=ORIENTED_EDGE('',*,*,#219531,.F.); #310064=ORIENTED_EDGE('',*,*,#219534,.F.); #310065=ORIENTED_EDGE('',*,*,#219537,.F.); #310066=ORIENTED_EDGE('',*,*,#219539,.F.); #310067=ORIENTED_EDGE('',*,*,#219523,.F.); #310068=ORIENTED_EDGE('',*,*,#219528,.T.); #310069=ORIENTED_EDGE('',*,*,#219525,.F.); #310070=ORIENTED_EDGE('',*,*,#219538,.T.); #310071=ORIENTED_EDGE('',*,*,#219535,.T.); #310072=ORIENTED_EDGE('',*,*,#219532,.T.); #310073=ORIENTED_EDGE('',*,*,#219529,.F.); #310074=ORIENTED_EDGE('',*,*,#219540,.T.); #310075=ORIENTED_EDGE('',*,*,#219541,.T.); #310076=ORIENTED_EDGE('',*,*,#219542,.F.); #310077=ORIENTED_EDGE('',*,*,#219543,.T.); #310078=ORIENTED_EDGE('',*,*,#219544,.F.); #310079=ORIENTED_EDGE('',*,*,#219545,.F.); #310080=ORIENTED_EDGE('',*,*,#219540,.F.); #310081=ORIENTED_EDGE('',*,*,#219546,.F.); #310082=ORIENTED_EDGE('',*,*,#219544,.T.); #310083=ORIENTED_EDGE('',*,*,#219547,.T.); #310084=ORIENTED_EDGE('',*,*,#219548,.T.); #310085=ORIENTED_EDGE('',*,*,#219549,.T.); #310086=ORIENTED_EDGE('',*,*,#219550,.F.); #310087=ORIENTED_EDGE('',*,*,#219551,.T.); #310088=ORIENTED_EDGE('',*,*,#219552,.T.); #310089=ORIENTED_EDGE('',*,*,#219548,.F.); #310090=ORIENTED_EDGE('',*,*,#219553,.F.); #310091=ORIENTED_EDGE('',*,*,#219554,.T.); #310092=ORIENTED_EDGE('',*,*,#219555,.T.); #310093=ORIENTED_EDGE('',*,*,#219551,.F.); #310094=ORIENTED_EDGE('',*,*,#219556,.F.); #310095=ORIENTED_EDGE('',*,*,#219542,.T.); #310096=ORIENTED_EDGE('',*,*,#219557,.T.); #310097=ORIENTED_EDGE('',*,*,#219554,.F.); #310098=ORIENTED_EDGE('',*,*,#219545,.T.); #310099=ORIENTED_EDGE('',*,*,#219549,.F.); #310100=ORIENTED_EDGE('',*,*,#219552,.F.); #310101=ORIENTED_EDGE('',*,*,#219555,.F.); #310102=ORIENTED_EDGE('',*,*,#219557,.F.); #310103=ORIENTED_EDGE('',*,*,#219541,.F.); #310104=ORIENTED_EDGE('',*,*,#219546,.T.); #310105=ORIENTED_EDGE('',*,*,#219543,.F.); #310106=ORIENTED_EDGE('',*,*,#219556,.T.); #310107=ORIENTED_EDGE('',*,*,#219553,.T.); #310108=ORIENTED_EDGE('',*,*,#219550,.T.); #310109=ORIENTED_EDGE('',*,*,#219547,.F.); #310110=ORIENTED_EDGE('',*,*,#219558,.T.); #310111=ORIENTED_EDGE('',*,*,#219559,.T.); #310112=ORIENTED_EDGE('',*,*,#219560,.F.); #310113=ORIENTED_EDGE('',*,*,#219561,.T.); #310114=ORIENTED_EDGE('',*,*,#219562,.F.); #310115=ORIENTED_EDGE('',*,*,#219563,.F.); #310116=ORIENTED_EDGE('',*,*,#219558,.F.); #310117=ORIENTED_EDGE('',*,*,#219564,.F.); #310118=ORIENTED_EDGE('',*,*,#219562,.T.); #310119=ORIENTED_EDGE('',*,*,#219565,.T.); #310120=ORIENTED_EDGE('',*,*,#219566,.T.); #310121=ORIENTED_EDGE('',*,*,#219567,.T.); #310122=ORIENTED_EDGE('',*,*,#219568,.F.); #310123=ORIENTED_EDGE('',*,*,#219569,.T.); #310124=ORIENTED_EDGE('',*,*,#219570,.T.); #310125=ORIENTED_EDGE('',*,*,#219566,.F.); #310126=ORIENTED_EDGE('',*,*,#219571,.F.); #310127=ORIENTED_EDGE('',*,*,#219572,.T.); #310128=ORIENTED_EDGE('',*,*,#219573,.T.); #310129=ORIENTED_EDGE('',*,*,#219569,.F.); #310130=ORIENTED_EDGE('',*,*,#219574,.F.); #310131=ORIENTED_EDGE('',*,*,#219560,.T.); #310132=ORIENTED_EDGE('',*,*,#219575,.T.); #310133=ORIENTED_EDGE('',*,*,#219572,.F.); #310134=ORIENTED_EDGE('',*,*,#219563,.T.); #310135=ORIENTED_EDGE('',*,*,#219567,.F.); #310136=ORIENTED_EDGE('',*,*,#219570,.F.); #310137=ORIENTED_EDGE('',*,*,#219573,.F.); #310138=ORIENTED_EDGE('',*,*,#219575,.F.); #310139=ORIENTED_EDGE('',*,*,#219559,.F.); #310140=ORIENTED_EDGE('',*,*,#219564,.T.); #310141=ORIENTED_EDGE('',*,*,#219561,.F.); #310142=ORIENTED_EDGE('',*,*,#219574,.T.); #310143=ORIENTED_EDGE('',*,*,#219571,.T.); #310144=ORIENTED_EDGE('',*,*,#219568,.T.); #310145=ORIENTED_EDGE('',*,*,#219565,.F.); #310146=ORIENTED_EDGE('',*,*,#219576,.T.); #310147=ORIENTED_EDGE('',*,*,#219577,.T.); #310148=ORIENTED_EDGE('',*,*,#219578,.F.); #310149=ORIENTED_EDGE('',*,*,#219579,.T.); #310150=ORIENTED_EDGE('',*,*,#219580,.F.); #310151=ORIENTED_EDGE('',*,*,#219581,.F.); #310152=ORIENTED_EDGE('',*,*,#219576,.F.); #310153=ORIENTED_EDGE('',*,*,#219582,.F.); #310154=ORIENTED_EDGE('',*,*,#219580,.T.); #310155=ORIENTED_EDGE('',*,*,#219583,.T.); #310156=ORIENTED_EDGE('',*,*,#219584,.T.); #310157=ORIENTED_EDGE('',*,*,#219585,.T.); #310158=ORIENTED_EDGE('',*,*,#219586,.F.); #310159=ORIENTED_EDGE('',*,*,#219587,.T.); #310160=ORIENTED_EDGE('',*,*,#219588,.T.); #310161=ORIENTED_EDGE('',*,*,#219584,.F.); #310162=ORIENTED_EDGE('',*,*,#219589,.F.); #310163=ORIENTED_EDGE('',*,*,#219590,.T.); #310164=ORIENTED_EDGE('',*,*,#219591,.T.); #310165=ORIENTED_EDGE('',*,*,#219587,.F.); #310166=ORIENTED_EDGE('',*,*,#219592,.F.); #310167=ORIENTED_EDGE('',*,*,#219578,.T.); #310168=ORIENTED_EDGE('',*,*,#219593,.T.); #310169=ORIENTED_EDGE('',*,*,#219590,.F.); #310170=ORIENTED_EDGE('',*,*,#219581,.T.); #310171=ORIENTED_EDGE('',*,*,#219585,.F.); #310172=ORIENTED_EDGE('',*,*,#219588,.F.); #310173=ORIENTED_EDGE('',*,*,#219591,.F.); #310174=ORIENTED_EDGE('',*,*,#219593,.F.); #310175=ORIENTED_EDGE('',*,*,#219577,.F.); #310176=ORIENTED_EDGE('',*,*,#219582,.T.); #310177=ORIENTED_EDGE('',*,*,#219579,.F.); #310178=ORIENTED_EDGE('',*,*,#219592,.T.); #310179=ORIENTED_EDGE('',*,*,#219589,.T.); #310180=ORIENTED_EDGE('',*,*,#219586,.T.); #310181=ORIENTED_EDGE('',*,*,#219583,.F.); #310182=ORIENTED_EDGE('',*,*,#219594,.T.); #310183=ORIENTED_EDGE('',*,*,#219595,.T.); #310184=ORIENTED_EDGE('',*,*,#219596,.F.); #310185=ORIENTED_EDGE('',*,*,#219597,.F.); #310186=ORIENTED_EDGE('',*,*,#219598,.T.); #310187=ORIENTED_EDGE('',*,*,#219597,.T.); #310188=ORIENTED_EDGE('',*,*,#219599,.F.); #310189=ORIENTED_EDGE('',*,*,#219600,.F.); #310190=ORIENTED_EDGE('',*,*,#219601,.T.); #310191=ORIENTED_EDGE('',*,*,#219600,.T.); #310192=ORIENTED_EDGE('',*,*,#219602,.F.); #310193=ORIENTED_EDGE('',*,*,#219603,.F.); #310194=ORIENTED_EDGE('',*,*,#219604,.T.); #310195=ORIENTED_EDGE('',*,*,#219603,.T.); #310196=ORIENTED_EDGE('',*,*,#219605,.F.); #310197=ORIENTED_EDGE('',*,*,#219595,.F.); #310198=ORIENTED_EDGE('',*,*,#219605,.T.); #310199=ORIENTED_EDGE('',*,*,#219602,.T.); #310200=ORIENTED_EDGE('',*,*,#219599,.T.); #310201=ORIENTED_EDGE('',*,*,#219596,.T.); #310202=ORIENTED_EDGE('',*,*,#219604,.F.); #310203=ORIENTED_EDGE('',*,*,#219594,.F.); #310204=ORIENTED_EDGE('',*,*,#219598,.F.); #310205=ORIENTED_EDGE('',*,*,#219601,.F.); #310206=ORIENTED_EDGE('',*,*,#219606,.F.); #310207=ORIENTED_EDGE('',*,*,#219607,.F.); #310208=ORIENTED_EDGE('',*,*,#219608,.F.); #310209=ORIENTED_EDGE('',*,*,#219609,.F.); #310210=ORIENTED_EDGE('',*,*,#219610,.F.); #310211=ORIENTED_EDGE('',*,*,#219611,.F.); #310212=ORIENTED_EDGE('',*,*,#219612,.F.); #310213=ORIENTED_EDGE('',*,*,#219613,.F.); #310214=ORIENTED_EDGE('',*,*,#219614,.F.); #310215=ORIENTED_EDGE('',*,*,#219615,.F.); #310216=ORIENTED_EDGE('',*,*,#219616,.F.); #310217=ORIENTED_EDGE('',*,*,#219617,.F.); #310218=ORIENTED_EDGE('',*,*,#219618,.F.); #310219=ORIENTED_EDGE('',*,*,#219619,.F.); #310220=ORIENTED_EDGE('',*,*,#219620,.F.); #310221=ORIENTED_EDGE('',*,*,#219619,.T.); #310222=ORIENTED_EDGE('',*,*,#219621,.T.); #310223=ORIENTED_EDGE('',*,*,#219614,.T.); #310224=ORIENTED_EDGE('',*,*,#219622,.T.); #310225=ORIENTED_EDGE('',*,*,#219623,.F.); #310226=ORIENTED_EDGE('',*,*,#219624,.F.); #310227=ORIENTED_EDGE('',*,*,#219625,.F.); #310228=ORIENTED_EDGE('',*,*,#219626,.F.); #310229=ORIENTED_EDGE('',*,*,#219627,.F.); #310230=ORIENTED_EDGE('',*,*,#219628,.F.); #310231=ORIENTED_EDGE('',*,*,#219613,.T.); #310232=ORIENTED_EDGE('',*,*,#219629,.T.); #310233=ORIENTED_EDGE('',*,*,#219627,.T.); #310234=ORIENTED_EDGE('',*,*,#219630,.T.); #310235=ORIENTED_EDGE('',*,*,#219616,.T.); #310236=ORIENTED_EDGE('',*,*,#219631,.T.); #310237=ORIENTED_EDGE('',*,*,#219628,.T.); #310238=ORIENTED_EDGE('',*,*,#219632,.T.); #310239=ORIENTED_EDGE('',*,*,#219633,.F.); #310240=ORIENTED_EDGE('',*,*,#219634,.F.); #310241=ORIENTED_EDGE('',*,*,#219635,.F.); #310242=ORIENTED_EDGE('',*,*,#219620,.T.); #310243=ORIENTED_EDGE('',*,*,#219636,.T.); #310244=ORIENTED_EDGE('',*,*,#219634,.T.); #310245=ORIENTED_EDGE('',*,*,#219637,.T.); #310246=ORIENTED_EDGE('',*,*,#219626,.T.); #310247=ORIENTED_EDGE('',*,*,#219638,.T.); #310248=ORIENTED_EDGE('',*,*,#219635,.T.); #310249=ORIENTED_EDGE('',*,*,#219639,.T.); #310250=ORIENTED_EDGE('',*,*,#219624,.T.); #310251=ORIENTED_EDGE('',*,*,#219640,.T.); #310252=ORIENTED_EDGE('',*,*,#219633,.T.); #310253=ORIENTED_EDGE('',*,*,#219641,.T.); #310254=ORIENTED_EDGE('',*,*,#219615,.T.); #310255=ORIENTED_EDGE('',*,*,#219642,.T.); #310256=ORIENTED_EDGE('',*,*,#219625,.T.); #310257=ORIENTED_EDGE('',*,*,#219643,.T.); #310258=ORIENTED_EDGE('',*,*,#219623,.T.); #310259=ORIENTED_EDGE('',*,*,#219644,.T.); #310260=ORIENTED_EDGE('',*,*,#219611,.T.); #310261=ORIENTED_EDGE('',*,*,#219645,.T.); #310262=ORIENTED_EDGE('',*,*,#219610,.T.); #310263=ORIENTED_EDGE('',*,*,#219646,.T.); #310264=ORIENTED_EDGE('',*,*,#219618,.T.); #310265=ORIENTED_EDGE('',*,*,#219647,.T.); #310266=ORIENTED_EDGE('',*,*,#219606,.T.); #310267=ORIENTED_EDGE('',*,*,#219648,.T.); #310268=ORIENTED_EDGE('',*,*,#219617,.T.); #310269=ORIENTED_EDGE('',*,*,#219649,.T.); #310270=ORIENTED_EDGE('',*,*,#219607,.T.); #310271=ORIENTED_EDGE('',*,*,#219650,.T.); #310272=ORIENTED_EDGE('',*,*,#219612,.T.); #310273=ORIENTED_EDGE('',*,*,#219651,.T.); #310274=ORIENTED_EDGE('',*,*,#219609,.T.); #310275=ORIENTED_EDGE('',*,*,#219652,.T.); #310276=ORIENTED_EDGE('',*,*,#219608,.T.); #310277=ORIENTED_EDGE('',*,*,#219653,.T.); #310278=ORIENTED_EDGE('',*,*,#219621,.F.); #310279=ORIENTED_EDGE('',*,*,#219646,.F.); #310280=ORIENTED_EDGE('',*,*,#219653,.F.); #310281=ORIENTED_EDGE('',*,*,#219651,.F.); #310282=ORIENTED_EDGE('',*,*,#219622,.F.); #310283=ORIENTED_EDGE('',*,*,#219630,.F.); #310284=ORIENTED_EDGE('',*,*,#219639,.F.); #310285=ORIENTED_EDGE('',*,*,#219636,.F.); #310286=ORIENTED_EDGE('',*,*,#219629,.F.); #310287=ORIENTED_EDGE('',*,*,#219650,.F.); #310288=ORIENTED_EDGE('',*,*,#219649,.F.); #310289=ORIENTED_EDGE('',*,*,#219632,.F.); #310290=ORIENTED_EDGE('',*,*,#219631,.F.); #310291=ORIENTED_EDGE('',*,*,#219643,.F.); #310292=ORIENTED_EDGE('',*,*,#219641,.F.); #310293=ORIENTED_EDGE('',*,*,#219638,.F.); #310294=ORIENTED_EDGE('',*,*,#219637,.F.); #310295=ORIENTED_EDGE('',*,*,#219640,.F.); #310296=ORIENTED_EDGE('',*,*,#219645,.F.); #310297=ORIENTED_EDGE('',*,*,#219647,.F.); #310298=ORIENTED_EDGE('',*,*,#219642,.F.); #310299=ORIENTED_EDGE('',*,*,#219648,.F.); #310300=ORIENTED_EDGE('',*,*,#219652,.F.); #310301=ORIENTED_EDGE('',*,*,#219644,.F.); #310302=ORIENTED_EDGE('',*,*,#219654,.F.); #310303=ORIENTED_EDGE('',*,*,#219655,.F.); #310304=ORIENTED_EDGE('',*,*,#219656,.F.); #310305=ORIENTED_EDGE('',*,*,#219657,.F.); #310306=ORIENTED_EDGE('',*,*,#219658,.F.); #310307=ORIENTED_EDGE('',*,*,#219659,.F.); #310308=ORIENTED_EDGE('',*,*,#219660,.F.); #310309=ORIENTED_EDGE('',*,*,#219661,.F.); #310310=ORIENTED_EDGE('',*,*,#219662,.F.); #310311=ORIENTED_EDGE('',*,*,#219663,.F.); #310312=ORIENTED_EDGE('',*,*,#219664,.F.); #310313=ORIENTED_EDGE('',*,*,#219665,.F.); #310314=ORIENTED_EDGE('',*,*,#219666,.F.); #310315=ORIENTED_EDGE('',*,*,#219667,.F.); #310316=ORIENTED_EDGE('',*,*,#219668,.F.); #310317=ORIENTED_EDGE('',*,*,#219667,.T.); #310318=ORIENTED_EDGE('',*,*,#219669,.T.); #310319=ORIENTED_EDGE('',*,*,#219662,.T.); #310320=ORIENTED_EDGE('',*,*,#219670,.T.); #310321=ORIENTED_EDGE('',*,*,#219671,.F.); #310322=ORIENTED_EDGE('',*,*,#219672,.F.); #310323=ORIENTED_EDGE('',*,*,#219673,.F.); #310324=ORIENTED_EDGE('',*,*,#219674,.F.); #310325=ORIENTED_EDGE('',*,*,#219675,.F.); #310326=ORIENTED_EDGE('',*,*,#219676,.F.); #310327=ORIENTED_EDGE('',*,*,#219661,.T.); #310328=ORIENTED_EDGE('',*,*,#219677,.T.); #310329=ORIENTED_EDGE('',*,*,#219675,.T.); #310330=ORIENTED_EDGE('',*,*,#219678,.T.); #310331=ORIENTED_EDGE('',*,*,#219664,.T.); #310332=ORIENTED_EDGE('',*,*,#219679,.T.); #310333=ORIENTED_EDGE('',*,*,#219676,.T.); #310334=ORIENTED_EDGE('',*,*,#219680,.T.); #310335=ORIENTED_EDGE('',*,*,#219681,.F.); #310336=ORIENTED_EDGE('',*,*,#219682,.F.); #310337=ORIENTED_EDGE('',*,*,#219683,.F.); #310338=ORIENTED_EDGE('',*,*,#219682,.T.); #310339=ORIENTED_EDGE('',*,*,#219684,.T.); #310340=ORIENTED_EDGE('',*,*,#219668,.T.); #310341=ORIENTED_EDGE('',*,*,#219685,.T.); #310342=ORIENTED_EDGE('',*,*,#219674,.T.); #310343=ORIENTED_EDGE('',*,*,#219686,.T.); #310344=ORIENTED_EDGE('',*,*,#219683,.T.); #310345=ORIENTED_EDGE('',*,*,#219687,.T.); #310346=ORIENTED_EDGE('',*,*,#219672,.T.); #310347=ORIENTED_EDGE('',*,*,#219688,.T.); #310348=ORIENTED_EDGE('',*,*,#219681,.T.); #310349=ORIENTED_EDGE('',*,*,#219689,.T.); #310350=ORIENTED_EDGE('',*,*,#219663,.T.); #310351=ORIENTED_EDGE('',*,*,#219690,.T.); #310352=ORIENTED_EDGE('',*,*,#219673,.T.); #310353=ORIENTED_EDGE('',*,*,#219691,.T.); #310354=ORIENTED_EDGE('',*,*,#219671,.T.); #310355=ORIENTED_EDGE('',*,*,#219692,.T.); #310356=ORIENTED_EDGE('',*,*,#219659,.T.); #310357=ORIENTED_EDGE('',*,*,#219693,.T.); #310358=ORIENTED_EDGE('',*,*,#219658,.T.); #310359=ORIENTED_EDGE('',*,*,#219694,.T.); #310360=ORIENTED_EDGE('',*,*,#219666,.T.); #310361=ORIENTED_EDGE('',*,*,#219695,.T.); #310362=ORIENTED_EDGE('',*,*,#219654,.T.); #310363=ORIENTED_EDGE('',*,*,#219696,.T.); #310364=ORIENTED_EDGE('',*,*,#219665,.T.); #310365=ORIENTED_EDGE('',*,*,#219697,.T.); #310366=ORIENTED_EDGE('',*,*,#219655,.T.); #310367=ORIENTED_EDGE('',*,*,#219698,.T.); #310368=ORIENTED_EDGE('',*,*,#219660,.T.); #310369=ORIENTED_EDGE('',*,*,#219699,.T.); #310370=ORIENTED_EDGE('',*,*,#219657,.T.); #310371=ORIENTED_EDGE('',*,*,#219700,.T.); #310372=ORIENTED_EDGE('',*,*,#219656,.T.); #310373=ORIENTED_EDGE('',*,*,#219701,.T.); #310374=ORIENTED_EDGE('',*,*,#219669,.F.); #310375=ORIENTED_EDGE('',*,*,#219694,.F.); #310376=ORIENTED_EDGE('',*,*,#219701,.F.); #310377=ORIENTED_EDGE('',*,*,#219699,.F.); #310378=ORIENTED_EDGE('',*,*,#219670,.F.); #310379=ORIENTED_EDGE('',*,*,#219678,.F.); #310380=ORIENTED_EDGE('',*,*,#219687,.F.); #310381=ORIENTED_EDGE('',*,*,#219685,.F.); #310382=ORIENTED_EDGE('',*,*,#219677,.F.); #310383=ORIENTED_EDGE('',*,*,#219698,.F.); #310384=ORIENTED_EDGE('',*,*,#219697,.F.); #310385=ORIENTED_EDGE('',*,*,#219680,.F.); #310386=ORIENTED_EDGE('',*,*,#219679,.F.); #310387=ORIENTED_EDGE('',*,*,#219691,.F.); #310388=ORIENTED_EDGE('',*,*,#219689,.F.); #310389=ORIENTED_EDGE('',*,*,#219686,.F.); #310390=ORIENTED_EDGE('',*,*,#219684,.F.); #310391=ORIENTED_EDGE('',*,*,#219688,.F.); #310392=ORIENTED_EDGE('',*,*,#219693,.F.); #310393=ORIENTED_EDGE('',*,*,#219695,.F.); #310394=ORIENTED_EDGE('',*,*,#219690,.F.); #310395=ORIENTED_EDGE('',*,*,#219696,.F.); #310396=ORIENTED_EDGE('',*,*,#219700,.F.); #310397=ORIENTED_EDGE('',*,*,#219692,.F.); #310398=ORIENTED_EDGE('',*,*,#219702,.F.); #310399=ORIENTED_EDGE('',*,*,#219703,.T.); #310400=ORIENTED_EDGE('',*,*,#219704,.F.); #310401=ORIENTED_EDGE('',*,*,#219705,.F.); #310402=ORIENTED_EDGE('',*,*,#219706,.T.); #310403=ORIENTED_EDGE('',*,*,#219705,.T.); #310404=ORIENTED_EDGE('',*,*,#219707,.F.); #310405=ORIENTED_EDGE('',*,*,#219708,.F.); #310406=ORIENTED_EDGE('',*,*,#219709,.T.); #310407=ORIENTED_EDGE('',*,*,#219708,.T.); #310408=ORIENTED_EDGE('',*,*,#219710,.F.); #310409=ORIENTED_EDGE('',*,*,#219711,.F.); #310410=ORIENTED_EDGE('',*,*,#219712,.T.); #310411=ORIENTED_EDGE('',*,*,#219711,.T.); #310412=ORIENTED_EDGE('',*,*,#219713,.F.); #310413=ORIENTED_EDGE('',*,*,#219703,.F.); #310414=ORIENTED_EDGE('',*,*,#219713,.T.); #310415=ORIENTED_EDGE('',*,*,#219710,.T.); #310416=ORIENTED_EDGE('',*,*,#219707,.T.); #310417=ORIENTED_EDGE('',*,*,#219704,.T.); #310418=ORIENTED_EDGE('',*,*,#219712,.F.); #310419=ORIENTED_EDGE('',*,*,#219702,.T.); #310420=ORIENTED_EDGE('',*,*,#219706,.F.); #310421=ORIENTED_EDGE('',*,*,#219709,.F.); #310422=ORIENTED_EDGE('',*,*,#219714,.T.); #310423=ORIENTED_EDGE('',*,*,#219715,.T.); #310424=ORIENTED_EDGE('',*,*,#219716,.T.); #310425=ORIENTED_EDGE('',*,*,#219717,.F.); #310426=ORIENTED_EDGE('',*,*,#219718,.T.); #310427=ORIENTED_EDGE('',*,*,#219719,.T.); #310428=ORIENTED_EDGE('',*,*,#219720,.T.); #310429=ORIENTED_EDGE('',*,*,#219715,.F.); #310430=ORIENTED_EDGE('',*,*,#219721,.T.); #310431=ORIENTED_EDGE('',*,*,#219722,.T.); #310432=ORIENTED_EDGE('',*,*,#219723,.T.); #310433=ORIENTED_EDGE('',*,*,#219719,.F.); #310434=ORIENTED_EDGE('',*,*,#219724,.T.); #310435=ORIENTED_EDGE('',*,*,#219722,.F.); #310436=ORIENTED_EDGE('',*,*,#219725,.T.); #310437=ORIENTED_EDGE('',*,*,#219717,.T.); #310438=ORIENTED_EDGE('',*,*,#219724,.F.); #310439=ORIENTED_EDGE('',*,*,#219726,.F.); #310440=ORIENTED_EDGE('',*,*,#219727,.T.); #310441=ORIENTED_EDGE('',*,*,#219728,.T.); #310442=ORIENTED_EDGE('',*,*,#219716,.F.); #310443=ORIENTED_EDGE('',*,*,#219729,.F.); #310444=ORIENTED_EDGE('',*,*,#219730,.T.); #310445=ORIENTED_EDGE('',*,*,#219726,.T.); #310446=ORIENTED_EDGE('',*,*,#219714,.F.); #310447=ORIENTED_EDGE('',*,*,#219725,.F.); #310448=ORIENTED_EDGE('',*,*,#219721,.F.); #310449=ORIENTED_EDGE('',*,*,#219718,.F.); #310450=ORIENTED_EDGE('',*,*,#219720,.F.); #310451=ORIENTED_EDGE('',*,*,#219731,.F.); #310452=ORIENTED_EDGE('',*,*,#219732,.T.); #310453=ORIENTED_EDGE('',*,*,#219729,.T.); #310454=ORIENTED_EDGE('',*,*,#219723,.F.); #310455=ORIENTED_EDGE('',*,*,#219728,.F.); #310456=ORIENTED_EDGE('',*,*,#219733,.T.); #310457=ORIENTED_EDGE('',*,*,#219731,.T.); #310458=ORIENTED_EDGE('',*,*,#219733,.F.); #310459=ORIENTED_EDGE('',*,*,#219727,.F.); #310460=ORIENTED_EDGE('',*,*,#219730,.F.); #310461=ORIENTED_EDGE('',*,*,#219732,.F.); #310462=ORIENTED_EDGE('',*,*,#219734,.F.); #310463=ORIENTED_EDGE('',*,*,#219735,.T.); #310464=ORIENTED_EDGE('',*,*,#219736,.F.); #310465=ORIENTED_EDGE('',*,*,#219737,.F.); #310466=ORIENTED_EDGE('',*,*,#219738,.T.); #310467=ORIENTED_EDGE('',*,*,#219737,.T.); #310468=ORIENTED_EDGE('',*,*,#219739,.F.); #310469=ORIENTED_EDGE('',*,*,#219740,.F.); #310470=ORIENTED_EDGE('',*,*,#219741,.T.); #310471=ORIENTED_EDGE('',*,*,#219740,.T.); #310472=ORIENTED_EDGE('',*,*,#219742,.F.); #310473=ORIENTED_EDGE('',*,*,#219743,.F.); #310474=ORIENTED_EDGE('',*,*,#219744,.T.); #310475=ORIENTED_EDGE('',*,*,#219743,.T.); #310476=ORIENTED_EDGE('',*,*,#219745,.F.); #310477=ORIENTED_EDGE('',*,*,#219735,.F.); #310478=ORIENTED_EDGE('',*,*,#219745,.T.); #310479=ORIENTED_EDGE('',*,*,#219742,.T.); #310480=ORIENTED_EDGE('',*,*,#219739,.T.); #310481=ORIENTED_EDGE('',*,*,#219736,.T.); #310482=ORIENTED_EDGE('',*,*,#219744,.F.); #310483=ORIENTED_EDGE('',*,*,#219734,.T.); #310484=ORIENTED_EDGE('',*,*,#219738,.F.); #310485=ORIENTED_EDGE('',*,*,#219741,.F.); #310486=ORIENTED_EDGE('',*,*,#219746,.T.); #310487=ORIENTED_EDGE('',*,*,#219747,.T.); #310488=ORIENTED_EDGE('',*,*,#219748,.F.); #310489=ORIENTED_EDGE('',*,*,#219749,.F.); #310490=ORIENTED_EDGE('',*,*,#219750,.T.); #310491=ORIENTED_EDGE('',*,*,#219749,.T.); #310492=ORIENTED_EDGE('',*,*,#219751,.F.); #310493=ORIENTED_EDGE('',*,*,#219752,.F.); #310494=ORIENTED_EDGE('',*,*,#219753,.T.); #310495=ORIENTED_EDGE('',*,*,#219752,.T.); #310496=ORIENTED_EDGE('',*,*,#219754,.F.); #310497=ORIENTED_EDGE('',*,*,#219755,.F.); #310498=ORIENTED_EDGE('',*,*,#219756,.T.); #310499=ORIENTED_EDGE('',*,*,#219755,.T.); #310500=ORIENTED_EDGE('',*,*,#219757,.F.); #310501=ORIENTED_EDGE('',*,*,#219747,.F.); #310502=ORIENTED_EDGE('',*,*,#219757,.T.); #310503=ORIENTED_EDGE('',*,*,#219754,.T.); #310504=ORIENTED_EDGE('',*,*,#219751,.T.); #310505=ORIENTED_EDGE('',*,*,#219748,.T.); #310506=ORIENTED_EDGE('',*,*,#219756,.F.); #310507=ORIENTED_EDGE('',*,*,#219746,.F.); #310508=ORIENTED_EDGE('',*,*,#219750,.F.); #310509=ORIENTED_EDGE('',*,*,#219753,.F.); #310510=ORIENTED_EDGE('',*,*,#219758,.F.); #310511=ORIENTED_EDGE('',*,*,#219759,.T.); #310512=ORIENTED_EDGE('',*,*,#219760,.F.); #310513=ORIENTED_EDGE('',*,*,#219761,.F.); #310514=ORIENTED_EDGE('',*,*,#219762,.F.); #310515=ORIENTED_EDGE('',*,*,#219761,.T.); #310516=ORIENTED_EDGE('',*,*,#219763,.F.); #310517=ORIENTED_EDGE('',*,*,#219764,.F.); #310518=ORIENTED_EDGE('',*,*,#219765,.F.); #310519=ORIENTED_EDGE('',*,*,#219764,.T.); #310520=ORIENTED_EDGE('',*,*,#219766,.F.); #310521=ORIENTED_EDGE('',*,*,#219767,.F.); #310522=ORIENTED_EDGE('',*,*,#219768,.F.); #310523=ORIENTED_EDGE('',*,*,#219767,.T.); #310524=ORIENTED_EDGE('',*,*,#219769,.F.); #310525=ORIENTED_EDGE('',*,*,#219759,.F.); #310526=ORIENTED_EDGE('',*,*,#219769,.T.); #310527=ORIENTED_EDGE('',*,*,#219766,.T.); #310528=ORIENTED_EDGE('',*,*,#219763,.T.); #310529=ORIENTED_EDGE('',*,*,#219760,.T.); #310530=ORIENTED_EDGE('',*,*,#219768,.T.); #310531=ORIENTED_EDGE('',*,*,#219758,.T.); #310532=ORIENTED_EDGE('',*,*,#219762,.T.); #310533=ORIENTED_EDGE('',*,*,#219765,.T.); #310534=ORIENTED_EDGE('',*,*,#219770,.F.); #310535=ORIENTED_EDGE('',*,*,#219771,.T.); #310536=ORIENTED_EDGE('',*,*,#219772,.F.); #310537=ORIENTED_EDGE('',*,*,#219773,.F.); #310538=ORIENTED_EDGE('',*,*,#219774,.F.); #310539=ORIENTED_EDGE('',*,*,#219773,.T.); #310540=ORIENTED_EDGE('',*,*,#219775,.F.); #310541=ORIENTED_EDGE('',*,*,#219776,.F.); #310542=ORIENTED_EDGE('',*,*,#219777,.F.); #310543=ORIENTED_EDGE('',*,*,#219776,.T.); #310544=ORIENTED_EDGE('',*,*,#219778,.F.); #310545=ORIENTED_EDGE('',*,*,#219779,.F.); #310546=ORIENTED_EDGE('',*,*,#219780,.T.); #310547=ORIENTED_EDGE('',*,*,#219779,.T.); #310548=ORIENTED_EDGE('',*,*,#219781,.F.); #310549=ORIENTED_EDGE('',*,*,#219771,.F.); #310550=ORIENTED_EDGE('',*,*,#219781,.T.); #310551=ORIENTED_EDGE('',*,*,#219778,.T.); #310552=ORIENTED_EDGE('',*,*,#219775,.T.); #310553=ORIENTED_EDGE('',*,*,#219772,.T.); #310554=ORIENTED_EDGE('',*,*,#219780,.F.); #310555=ORIENTED_EDGE('',*,*,#219770,.T.); #310556=ORIENTED_EDGE('',*,*,#219774,.T.); #310557=ORIENTED_EDGE('',*,*,#219777,.T.); #310558=ORIENTED_EDGE('',*,*,#219782,.F.); #310559=ORIENTED_EDGE('',*,*,#219783,.T.); #310560=ORIENTED_EDGE('',*,*,#219784,.F.); #310561=ORIENTED_EDGE('',*,*,#219785,.F.); #310562=ORIENTED_EDGE('',*,*,#219786,.T.); #310563=ORIENTED_EDGE('',*,*,#219785,.T.); #310564=ORIENTED_EDGE('',*,*,#219787,.F.); #310565=ORIENTED_EDGE('',*,*,#219788,.F.); #310566=ORIENTED_EDGE('',*,*,#219789,.T.); #310567=ORIENTED_EDGE('',*,*,#219788,.T.); #310568=ORIENTED_EDGE('',*,*,#219790,.F.); #310569=ORIENTED_EDGE('',*,*,#219791,.F.); #310570=ORIENTED_EDGE('',*,*,#219792,.T.); #310571=ORIENTED_EDGE('',*,*,#219791,.T.); #310572=ORIENTED_EDGE('',*,*,#219793,.F.); #310573=ORIENTED_EDGE('',*,*,#219783,.F.); #310574=ORIENTED_EDGE('',*,*,#219793,.T.); #310575=ORIENTED_EDGE('',*,*,#219790,.T.); #310576=ORIENTED_EDGE('',*,*,#219787,.T.); #310577=ORIENTED_EDGE('',*,*,#219784,.T.); #310578=ORIENTED_EDGE('',*,*,#219792,.F.); #310579=ORIENTED_EDGE('',*,*,#219782,.T.); #310580=ORIENTED_EDGE('',*,*,#219786,.F.); #310581=ORIENTED_EDGE('',*,*,#219789,.F.); #310582=ORIENTED_EDGE('',*,*,#219794,.F.); #310583=ORIENTED_EDGE('',*,*,#219795,.T.); #310584=ORIENTED_EDGE('',*,*,#219796,.T.); #310585=ORIENTED_EDGE('',*,*,#219795,.F.); #310586=ORIENTED_EDGE('',*,*,#219796,.F.); #310587=ORIENTED_EDGE('',*,*,#219797,.T.); #310588=ORIENTED_EDGE('',*,*,#219798,.T.); #310589=ORIENTED_EDGE('',*,*,#219799,.T.); #310590=ORIENTED_EDGE('',*,*,#219800,.F.); #310591=ORIENTED_EDGE('',*,*,#219801,.T.); #310592=ORIENTED_EDGE('',*,*,#219802,.T.); #310593=ORIENTED_EDGE('',*,*,#219803,.T.); #310594=ORIENTED_EDGE('',*,*,#219798,.F.); #310595=ORIENTED_EDGE('',*,*,#219804,.T.); #310596=ORIENTED_EDGE('',*,*,#219805,.T.); #310597=ORIENTED_EDGE('',*,*,#219806,.T.); #310598=ORIENTED_EDGE('',*,*,#219802,.F.); #310599=ORIENTED_EDGE('',*,*,#219807,.T.); #310600=ORIENTED_EDGE('',*,*,#219805,.F.); #310601=ORIENTED_EDGE('',*,*,#219808,.T.); #310602=ORIENTED_EDGE('',*,*,#219800,.T.); #310603=ORIENTED_EDGE('',*,*,#219807,.F.); #310604=ORIENTED_EDGE('',*,*,#219809,.F.); #310605=ORIENTED_EDGE('',*,*,#219810,.F.); #310606=ORIENTED_EDGE('',*,*,#219811,.T.); #310607=ORIENTED_EDGE('',*,*,#219799,.F.); #310608=ORIENTED_EDGE('',*,*,#219812,.F.); #310609=ORIENTED_EDGE('',*,*,#219813,.F.); #310610=ORIENTED_EDGE('',*,*,#219809,.T.); #310611=ORIENTED_EDGE('',*,*,#219797,.F.); #310612=ORIENTED_EDGE('',*,*,#219808,.F.); #310613=ORIENTED_EDGE('',*,*,#219804,.F.); #310614=ORIENTED_EDGE('',*,*,#219801,.F.); #310615=ORIENTED_EDGE('',*,*,#219794,.T.); #310616=ORIENTED_EDGE('',*,*,#219814,.T.); #310617=ORIENTED_EDGE('',*,*,#219815,.T.); #310618=ORIENTED_EDGE('',*,*,#219816,.T.); #310619=ORIENTED_EDGE('',*,*,#219817,.T.); #310620=ORIENTED_EDGE('',*,*,#219818,.T.); #310621=ORIENTED_EDGE('',*,*,#219815,.F.); #310622=ORIENTED_EDGE('',*,*,#219810,.T.); #310623=ORIENTED_EDGE('',*,*,#219819,.F.); #310624=ORIENTED_EDGE('',*,*,#219820,.T.); #310625=ORIENTED_EDGE('',*,*,#219817,.F.); #310626=ORIENTED_EDGE('',*,*,#219821,.T.); #310627=ORIENTED_EDGE('',*,*,#219822,.T.); #310628=ORIENTED_EDGE('',*,*,#219813,.T.); #310629=ORIENTED_EDGE('',*,*,#219822,.F.); #310630=ORIENTED_EDGE('',*,*,#219823,.T.); #310631=ORIENTED_EDGE('',*,*,#219819,.T.); #310632=ORIENTED_EDGE('',*,*,#219806,.F.); #310633=ORIENTED_EDGE('',*,*,#219811,.F.); #310634=ORIENTED_EDGE('',*,*,#219814,.F.); #310635=ORIENTED_EDGE('',*,*,#219824,.T.); #310636=ORIENTED_EDGE('',*,*,#219816,.F.); #310637=ORIENTED_EDGE('',*,*,#219818,.F.); #310638=ORIENTED_EDGE('',*,*,#219823,.F.); #310639=ORIENTED_EDGE('',*,*,#219821,.F.); #310640=ORIENTED_EDGE('',*,*,#219803,.F.); #310641=ORIENTED_EDGE('',*,*,#219824,.F.); #310642=ORIENTED_EDGE('',*,*,#219820,.F.); #310643=ORIENTED_EDGE('',*,*,#219812,.T.); #310644=ORIENTED_EDGE('',*,*,#219825,.T.); #310645=ORIENTED_EDGE('',*,*,#219826,.T.); #310646=ORIENTED_EDGE('',*,*,#219827,.T.); #310647=ORIENTED_EDGE('',*,*,#219828,.T.); #310648=ORIENTED_EDGE('',*,*,#219829,.T.); #310649=ORIENTED_EDGE('',*,*,#219830,.T.); #310650=ORIENTED_EDGE('',*,*,#219831,.T.); #310651=ORIENTED_EDGE('',*,*,#219832,.T.); #310652=ORIENTED_EDGE('',*,*,#219833,.T.); #310653=ORIENTED_EDGE('',*,*,#219834,.T.); #310654=ORIENTED_EDGE('',*,*,#219835,.T.); #310655=ORIENTED_EDGE('',*,*,#219836,.T.); #310656=ORIENTED_EDGE('',*,*,#219837,.F.); #310657=ORIENTED_EDGE('',*,*,#219838,.F.); #310658=ORIENTED_EDGE('',*,*,#219839,.F.); #310659=ORIENTED_EDGE('',*,*,#219826,.F.); #310660=ORIENTED_EDGE('',*,*,#219839,.T.); #310661=ORIENTED_EDGE('',*,*,#219840,.F.); #310662=ORIENTED_EDGE('',*,*,#219841,.F.); #310663=ORIENTED_EDGE('',*,*,#219827,.F.); #310664=ORIENTED_EDGE('',*,*,#219841,.T.); #310665=ORIENTED_EDGE('',*,*,#219842,.F.); #310666=ORIENTED_EDGE('',*,*,#219843,.F.); #310667=ORIENTED_EDGE('',*,*,#219828,.F.); #310668=ORIENTED_EDGE('',*,*,#219843,.T.); #310669=ORIENTED_EDGE('',*,*,#219844,.F.); #310670=ORIENTED_EDGE('',*,*,#219845,.F.); #310671=ORIENTED_EDGE('',*,*,#219829,.F.); #310672=ORIENTED_EDGE('',*,*,#219845,.T.); #310673=ORIENTED_EDGE('',*,*,#219846,.F.); #310674=ORIENTED_EDGE('',*,*,#219847,.F.); #310675=ORIENTED_EDGE('',*,*,#219830,.F.); #310676=ORIENTED_EDGE('',*,*,#219847,.T.); #310677=ORIENTED_EDGE('',*,*,#219848,.F.); #310678=ORIENTED_EDGE('',*,*,#219849,.F.); #310679=ORIENTED_EDGE('',*,*,#219831,.F.); #310680=ORIENTED_EDGE('',*,*,#219849,.T.); #310681=ORIENTED_EDGE('',*,*,#219850,.F.); #310682=ORIENTED_EDGE('',*,*,#219851,.F.); #310683=ORIENTED_EDGE('',*,*,#219832,.F.); #310684=ORIENTED_EDGE('',*,*,#219851,.T.); #310685=ORIENTED_EDGE('',*,*,#219852,.F.); #310686=ORIENTED_EDGE('',*,*,#219853,.F.); #310687=ORIENTED_EDGE('',*,*,#219833,.F.); #310688=ORIENTED_EDGE('',*,*,#219853,.T.); #310689=ORIENTED_EDGE('',*,*,#219854,.F.); #310690=ORIENTED_EDGE('',*,*,#219855,.F.); #310691=ORIENTED_EDGE('',*,*,#219834,.F.); #310692=ORIENTED_EDGE('',*,*,#219855,.T.); #310693=ORIENTED_EDGE('',*,*,#219856,.F.); #310694=ORIENTED_EDGE('',*,*,#219857,.F.); #310695=ORIENTED_EDGE('',*,*,#219835,.F.); #310696=ORIENTED_EDGE('',*,*,#219857,.T.); #310697=ORIENTED_EDGE('',*,*,#219858,.F.); #310698=ORIENTED_EDGE('',*,*,#219859,.F.); #310699=ORIENTED_EDGE('',*,*,#219836,.F.); #310700=ORIENTED_EDGE('',*,*,#219859,.T.); #310701=ORIENTED_EDGE('',*,*,#219860,.F.); #310702=ORIENTED_EDGE('',*,*,#219837,.T.); #310703=ORIENTED_EDGE('',*,*,#219825,.F.); #310704=ORIENTED_EDGE('',*,*,#219860,.T.); #310705=ORIENTED_EDGE('',*,*,#219858,.T.); #310706=ORIENTED_EDGE('',*,*,#219856,.T.); #310707=ORIENTED_EDGE('',*,*,#219854,.T.); #310708=ORIENTED_EDGE('',*,*,#219852,.T.); #310709=ORIENTED_EDGE('',*,*,#219850,.T.); #310710=ORIENTED_EDGE('',*,*,#219848,.T.); #310711=ORIENTED_EDGE('',*,*,#219846,.T.); #310712=ORIENTED_EDGE('',*,*,#219844,.T.); #310713=ORIENTED_EDGE('',*,*,#219842,.T.); #310714=ORIENTED_EDGE('',*,*,#219840,.T.); #310715=ORIENTED_EDGE('',*,*,#219838,.T.); #310716=ORIENTED_EDGE('',*,*,#219861,.F.); #310717=ORIENTED_EDGE('',*,*,#219862,.F.); #310718=ORIENTED_EDGE('',*,*,#219863,.F.); #310719=ORIENTED_EDGE('',*,*,#219864,.F.); #310720=ORIENTED_EDGE('',*,*,#219865,.F.); #310721=ORIENTED_EDGE('',*,*,#219866,.F.); #310722=ORIENTED_EDGE('',*,*,#219867,.F.); #310723=ORIENTED_EDGE('',*,*,#219868,.F.); #310724=ORIENTED_EDGE('',*,*,#219869,.F.); #310725=ORIENTED_EDGE('',*,*,#219870,.F.); #310726=ORIENTED_EDGE('',*,*,#219871,.F.); #310727=ORIENTED_EDGE('',*,*,#219872,.F.); #310728=ORIENTED_EDGE('',*,*,#219873,.T.); #310729=ORIENTED_EDGE('',*,*,#219872,.T.); #310730=ORIENTED_EDGE('',*,*,#219874,.T.); #310731=ORIENTED_EDGE('',*,*,#219875,.T.); #310732=ORIENTED_EDGE('',*,*,#219874,.F.); #310733=ORIENTED_EDGE('',*,*,#219871,.T.); #310734=ORIENTED_EDGE('',*,*,#219876,.T.); #310735=ORIENTED_EDGE('',*,*,#219877,.T.); #310736=ORIENTED_EDGE('',*,*,#219876,.F.); #310737=ORIENTED_EDGE('',*,*,#219870,.T.); #310738=ORIENTED_EDGE('',*,*,#219878,.T.); #310739=ORIENTED_EDGE('',*,*,#219879,.T.); #310740=ORIENTED_EDGE('',*,*,#219878,.F.); #310741=ORIENTED_EDGE('',*,*,#219869,.T.); #310742=ORIENTED_EDGE('',*,*,#219880,.T.); #310743=ORIENTED_EDGE('',*,*,#219881,.T.); #310744=ORIENTED_EDGE('',*,*,#219880,.F.); #310745=ORIENTED_EDGE('',*,*,#219868,.T.); #310746=ORIENTED_EDGE('',*,*,#219882,.T.); #310747=ORIENTED_EDGE('',*,*,#219883,.T.); #310748=ORIENTED_EDGE('',*,*,#219882,.F.); #310749=ORIENTED_EDGE('',*,*,#219867,.T.); #310750=ORIENTED_EDGE('',*,*,#219884,.T.); #310751=ORIENTED_EDGE('',*,*,#219885,.T.); #310752=ORIENTED_EDGE('',*,*,#219884,.F.); #310753=ORIENTED_EDGE('',*,*,#219866,.T.); #310754=ORIENTED_EDGE('',*,*,#219886,.T.); #310755=ORIENTED_EDGE('',*,*,#219887,.T.); #310756=ORIENTED_EDGE('',*,*,#219886,.F.); #310757=ORIENTED_EDGE('',*,*,#219865,.T.); #310758=ORIENTED_EDGE('',*,*,#219888,.T.); #310759=ORIENTED_EDGE('',*,*,#219889,.T.); #310760=ORIENTED_EDGE('',*,*,#219888,.F.); #310761=ORIENTED_EDGE('',*,*,#219864,.T.); #310762=ORIENTED_EDGE('',*,*,#219890,.T.); #310763=ORIENTED_EDGE('',*,*,#219891,.T.); #310764=ORIENTED_EDGE('',*,*,#219890,.F.); #310765=ORIENTED_EDGE('',*,*,#219863,.T.); #310766=ORIENTED_EDGE('',*,*,#219892,.T.); #310767=ORIENTED_EDGE('',*,*,#219893,.T.); #310768=ORIENTED_EDGE('',*,*,#219892,.F.); #310769=ORIENTED_EDGE('',*,*,#219862,.T.); #310770=ORIENTED_EDGE('',*,*,#219894,.T.); #310771=ORIENTED_EDGE('',*,*,#219895,.T.); #310772=ORIENTED_EDGE('',*,*,#219894,.F.); #310773=ORIENTED_EDGE('',*,*,#219861,.T.); #310774=ORIENTED_EDGE('',*,*,#219873,.F.); #310775=ORIENTED_EDGE('',*,*,#219896,.T.); #310776=ORIENTED_EDGE('',*,*,#219896,.F.); #310777=ORIENTED_EDGE('',*,*,#219875,.F.); #310778=ORIENTED_EDGE('',*,*,#219877,.F.); #310779=ORIENTED_EDGE('',*,*,#219879,.F.); #310780=ORIENTED_EDGE('',*,*,#219881,.F.); #310781=ORIENTED_EDGE('',*,*,#219883,.F.); #310782=ORIENTED_EDGE('',*,*,#219885,.F.); #310783=ORIENTED_EDGE('',*,*,#219887,.F.); #310784=ORIENTED_EDGE('',*,*,#219889,.F.); #310785=ORIENTED_EDGE('',*,*,#219891,.F.); #310786=ORIENTED_EDGE('',*,*,#219893,.F.); #310787=ORIENTED_EDGE('',*,*,#219895,.F.); #310788=ORIENTED_EDGE('',*,*,#219897,.T.); #310789=ORIENTED_EDGE('',*,*,#219898,.T.); #310790=ORIENTED_EDGE('',*,*,#219899,.T.); #310791=ORIENTED_EDGE('',*,*,#219900,.T.); #310792=ORIENTED_EDGE('',*,*,#219901,.T.); #310793=ORIENTED_EDGE('',*,*,#219902,.T.); #310794=ORIENTED_EDGE('',*,*,#219903,.T.); #310795=ORIENTED_EDGE('',*,*,#219904,.T.); #310796=ORIENTED_EDGE('',*,*,#219905,.T.); #310797=ORIENTED_EDGE('',*,*,#219906,.T.); #310798=ORIENTED_EDGE('',*,*,#219907,.T.); #310799=ORIENTED_EDGE('',*,*,#219908,.T.); #310800=ORIENTED_EDGE('',*,*,#219909,.F.); #310801=ORIENTED_EDGE('',*,*,#219910,.F.); #310802=ORIENTED_EDGE('',*,*,#219911,.F.); #310803=ORIENTED_EDGE('',*,*,#219898,.F.); #310804=ORIENTED_EDGE('',*,*,#219911,.T.); #310805=ORIENTED_EDGE('',*,*,#219912,.F.); #310806=ORIENTED_EDGE('',*,*,#219913,.F.); #310807=ORIENTED_EDGE('',*,*,#219899,.F.); #310808=ORIENTED_EDGE('',*,*,#219913,.T.); #310809=ORIENTED_EDGE('',*,*,#219914,.F.); #310810=ORIENTED_EDGE('',*,*,#219915,.F.); #310811=ORIENTED_EDGE('',*,*,#219900,.F.); #310812=ORIENTED_EDGE('',*,*,#219915,.T.); #310813=ORIENTED_EDGE('',*,*,#219916,.F.); #310814=ORIENTED_EDGE('',*,*,#219917,.F.); #310815=ORIENTED_EDGE('',*,*,#219901,.F.); #310816=ORIENTED_EDGE('',*,*,#219917,.T.); #310817=ORIENTED_EDGE('',*,*,#219918,.F.); #310818=ORIENTED_EDGE('',*,*,#219919,.F.); #310819=ORIENTED_EDGE('',*,*,#219902,.F.); #310820=ORIENTED_EDGE('',*,*,#219919,.T.); #310821=ORIENTED_EDGE('',*,*,#219920,.F.); #310822=ORIENTED_EDGE('',*,*,#219921,.F.); #310823=ORIENTED_EDGE('',*,*,#219903,.F.); #310824=ORIENTED_EDGE('',*,*,#219921,.T.); #310825=ORIENTED_EDGE('',*,*,#219922,.F.); #310826=ORIENTED_EDGE('',*,*,#219923,.F.); #310827=ORIENTED_EDGE('',*,*,#219904,.F.); #310828=ORIENTED_EDGE('',*,*,#219923,.T.); #310829=ORIENTED_EDGE('',*,*,#219924,.F.); #310830=ORIENTED_EDGE('',*,*,#219925,.F.); #310831=ORIENTED_EDGE('',*,*,#219905,.F.); #310832=ORIENTED_EDGE('',*,*,#219925,.T.); #310833=ORIENTED_EDGE('',*,*,#219926,.F.); #310834=ORIENTED_EDGE('',*,*,#219927,.F.); #310835=ORIENTED_EDGE('',*,*,#219906,.F.); #310836=ORIENTED_EDGE('',*,*,#219927,.T.); #310837=ORIENTED_EDGE('',*,*,#219928,.F.); #310838=ORIENTED_EDGE('',*,*,#219929,.F.); #310839=ORIENTED_EDGE('',*,*,#219907,.F.); #310840=ORIENTED_EDGE('',*,*,#219929,.T.); #310841=ORIENTED_EDGE('',*,*,#219930,.F.); #310842=ORIENTED_EDGE('',*,*,#219931,.F.); #310843=ORIENTED_EDGE('',*,*,#219908,.F.); #310844=ORIENTED_EDGE('',*,*,#219931,.T.); #310845=ORIENTED_EDGE('',*,*,#219932,.F.); #310846=ORIENTED_EDGE('',*,*,#219909,.T.); #310847=ORIENTED_EDGE('',*,*,#219897,.F.); #310848=ORIENTED_EDGE('',*,*,#219932,.T.); #310849=ORIENTED_EDGE('',*,*,#219930,.T.); #310850=ORIENTED_EDGE('',*,*,#219928,.T.); #310851=ORIENTED_EDGE('',*,*,#219926,.T.); #310852=ORIENTED_EDGE('',*,*,#219924,.T.); #310853=ORIENTED_EDGE('',*,*,#219922,.T.); #310854=ORIENTED_EDGE('',*,*,#219920,.T.); #310855=ORIENTED_EDGE('',*,*,#219918,.T.); #310856=ORIENTED_EDGE('',*,*,#219916,.T.); #310857=ORIENTED_EDGE('',*,*,#219914,.T.); #310858=ORIENTED_EDGE('',*,*,#219912,.T.); #310859=ORIENTED_EDGE('',*,*,#219910,.T.); #310860=ORIENTED_EDGE('',*,*,#219933,.T.); #310861=ORIENTED_EDGE('',*,*,#219934,.T.); #310862=ORIENTED_EDGE('',*,*,#219935,.T.); #310863=ORIENTED_EDGE('',*,*,#219936,.T.); #310864=ORIENTED_EDGE('',*,*,#219937,.T.); #310865=ORIENTED_EDGE('',*,*,#219938,.T.); #310866=ORIENTED_EDGE('',*,*,#219939,.T.); #310867=ORIENTED_EDGE('',*,*,#219940,.T.); #310868=ORIENTED_EDGE('',*,*,#219941,.T.); #310869=ORIENTED_EDGE('',*,*,#219942,.T.); #310870=ORIENTED_EDGE('',*,*,#219943,.T.); #310871=ORIENTED_EDGE('',*,*,#219944,.T.); #310872=ORIENTED_EDGE('',*,*,#219945,.F.); #310873=ORIENTED_EDGE('',*,*,#219946,.F.); #310874=ORIENTED_EDGE('',*,*,#219947,.F.); #310875=ORIENTED_EDGE('',*,*,#219934,.F.); #310876=ORIENTED_EDGE('',*,*,#219947,.T.); #310877=ORIENTED_EDGE('',*,*,#219948,.F.); #310878=ORIENTED_EDGE('',*,*,#219949,.F.); #310879=ORIENTED_EDGE('',*,*,#219935,.F.); #310880=ORIENTED_EDGE('',*,*,#219949,.T.); #310881=ORIENTED_EDGE('',*,*,#219950,.F.); #310882=ORIENTED_EDGE('',*,*,#219951,.F.); #310883=ORIENTED_EDGE('',*,*,#219936,.F.); #310884=ORIENTED_EDGE('',*,*,#219951,.T.); #310885=ORIENTED_EDGE('',*,*,#219952,.F.); #310886=ORIENTED_EDGE('',*,*,#219953,.F.); #310887=ORIENTED_EDGE('',*,*,#219937,.F.); #310888=ORIENTED_EDGE('',*,*,#219953,.T.); #310889=ORIENTED_EDGE('',*,*,#219954,.F.); #310890=ORIENTED_EDGE('',*,*,#219955,.F.); #310891=ORIENTED_EDGE('',*,*,#219938,.F.); #310892=ORIENTED_EDGE('',*,*,#219955,.T.); #310893=ORIENTED_EDGE('',*,*,#219956,.F.); #310894=ORIENTED_EDGE('',*,*,#219957,.F.); #310895=ORIENTED_EDGE('',*,*,#219939,.F.); #310896=ORIENTED_EDGE('',*,*,#219957,.T.); #310897=ORIENTED_EDGE('',*,*,#219958,.F.); #310898=ORIENTED_EDGE('',*,*,#219959,.F.); #310899=ORIENTED_EDGE('',*,*,#219940,.F.); #310900=ORIENTED_EDGE('',*,*,#219959,.T.); #310901=ORIENTED_EDGE('',*,*,#219960,.F.); #310902=ORIENTED_EDGE('',*,*,#219961,.F.); #310903=ORIENTED_EDGE('',*,*,#219941,.F.); #310904=ORIENTED_EDGE('',*,*,#219961,.T.); #310905=ORIENTED_EDGE('',*,*,#219962,.F.); #310906=ORIENTED_EDGE('',*,*,#219963,.F.); #310907=ORIENTED_EDGE('',*,*,#219942,.F.); #310908=ORIENTED_EDGE('',*,*,#219963,.T.); #310909=ORIENTED_EDGE('',*,*,#219964,.F.); #310910=ORIENTED_EDGE('',*,*,#219965,.F.); #310911=ORIENTED_EDGE('',*,*,#219943,.F.); #310912=ORIENTED_EDGE('',*,*,#219965,.T.); #310913=ORIENTED_EDGE('',*,*,#219966,.F.); #310914=ORIENTED_EDGE('',*,*,#219967,.F.); #310915=ORIENTED_EDGE('',*,*,#219944,.F.); #310916=ORIENTED_EDGE('',*,*,#219967,.T.); #310917=ORIENTED_EDGE('',*,*,#219968,.F.); #310918=ORIENTED_EDGE('',*,*,#219945,.T.); #310919=ORIENTED_EDGE('',*,*,#219933,.F.); #310920=ORIENTED_EDGE('',*,*,#219968,.T.); #310921=ORIENTED_EDGE('',*,*,#219966,.T.); #310922=ORIENTED_EDGE('',*,*,#219964,.T.); #310923=ORIENTED_EDGE('',*,*,#219962,.T.); #310924=ORIENTED_EDGE('',*,*,#219960,.T.); #310925=ORIENTED_EDGE('',*,*,#219958,.T.); #310926=ORIENTED_EDGE('',*,*,#219956,.T.); #310927=ORIENTED_EDGE('',*,*,#219954,.T.); #310928=ORIENTED_EDGE('',*,*,#219952,.T.); #310929=ORIENTED_EDGE('',*,*,#219950,.T.); #310930=ORIENTED_EDGE('',*,*,#219948,.T.); #310931=ORIENTED_EDGE('',*,*,#219946,.T.); #310932=ORIENTED_EDGE('',*,*,#219969,.T.); #310933=ORIENTED_EDGE('',*,*,#219970,.T.); #310934=ORIENTED_EDGE('',*,*,#219971,.T.); #310935=ORIENTED_EDGE('',*,*,#219972,.T.); #310936=ORIENTED_EDGE('',*,*,#219973,.T.); #310937=ORIENTED_EDGE('',*,*,#219974,.T.); #310938=ORIENTED_EDGE('',*,*,#219975,.T.); #310939=ORIENTED_EDGE('',*,*,#219976,.T.); #310940=ORIENTED_EDGE('',*,*,#219977,.T.); #310941=ORIENTED_EDGE('',*,*,#219978,.T.); #310942=ORIENTED_EDGE('',*,*,#219979,.T.); #310943=ORIENTED_EDGE('',*,*,#219980,.T.); #310944=ORIENTED_EDGE('',*,*,#219981,.F.); #310945=ORIENTED_EDGE('',*,*,#219982,.F.); #310946=ORIENTED_EDGE('',*,*,#219983,.F.); #310947=ORIENTED_EDGE('',*,*,#219970,.F.); #310948=ORIENTED_EDGE('',*,*,#219983,.T.); #310949=ORIENTED_EDGE('',*,*,#219984,.F.); #310950=ORIENTED_EDGE('',*,*,#219985,.F.); #310951=ORIENTED_EDGE('',*,*,#219971,.F.); #310952=ORIENTED_EDGE('',*,*,#219985,.T.); #310953=ORIENTED_EDGE('',*,*,#219986,.F.); #310954=ORIENTED_EDGE('',*,*,#219987,.F.); #310955=ORIENTED_EDGE('',*,*,#219972,.F.); #310956=ORIENTED_EDGE('',*,*,#219987,.T.); #310957=ORIENTED_EDGE('',*,*,#219988,.F.); #310958=ORIENTED_EDGE('',*,*,#219989,.F.); #310959=ORIENTED_EDGE('',*,*,#219973,.F.); #310960=ORIENTED_EDGE('',*,*,#219989,.T.); #310961=ORIENTED_EDGE('',*,*,#219990,.F.); #310962=ORIENTED_EDGE('',*,*,#219991,.F.); #310963=ORIENTED_EDGE('',*,*,#219974,.F.); #310964=ORIENTED_EDGE('',*,*,#219991,.T.); #310965=ORIENTED_EDGE('',*,*,#219992,.F.); #310966=ORIENTED_EDGE('',*,*,#219993,.F.); #310967=ORIENTED_EDGE('',*,*,#219975,.F.); #310968=ORIENTED_EDGE('',*,*,#219993,.T.); #310969=ORIENTED_EDGE('',*,*,#219994,.F.); #310970=ORIENTED_EDGE('',*,*,#219995,.F.); #310971=ORIENTED_EDGE('',*,*,#219976,.F.); #310972=ORIENTED_EDGE('',*,*,#219995,.T.); #310973=ORIENTED_EDGE('',*,*,#219996,.F.); #310974=ORIENTED_EDGE('',*,*,#219997,.F.); #310975=ORIENTED_EDGE('',*,*,#219977,.F.); #310976=ORIENTED_EDGE('',*,*,#219997,.T.); #310977=ORIENTED_EDGE('',*,*,#219998,.F.); #310978=ORIENTED_EDGE('',*,*,#219999,.F.); #310979=ORIENTED_EDGE('',*,*,#219978,.F.); #310980=ORIENTED_EDGE('',*,*,#219999,.T.); #310981=ORIENTED_EDGE('',*,*,#220000,.F.); #310982=ORIENTED_EDGE('',*,*,#220001,.F.); #310983=ORIENTED_EDGE('',*,*,#219979,.F.); #310984=ORIENTED_EDGE('',*,*,#220001,.T.); #310985=ORIENTED_EDGE('',*,*,#220002,.F.); #310986=ORIENTED_EDGE('',*,*,#220003,.F.); #310987=ORIENTED_EDGE('',*,*,#219980,.F.); #310988=ORIENTED_EDGE('',*,*,#220003,.T.); #310989=ORIENTED_EDGE('',*,*,#220004,.F.); #310990=ORIENTED_EDGE('',*,*,#219981,.T.); #310991=ORIENTED_EDGE('',*,*,#219969,.F.); #310992=ORIENTED_EDGE('',*,*,#220004,.T.); #310993=ORIENTED_EDGE('',*,*,#220002,.T.); #310994=ORIENTED_EDGE('',*,*,#220000,.T.); #310995=ORIENTED_EDGE('',*,*,#219998,.T.); #310996=ORIENTED_EDGE('',*,*,#219996,.T.); #310997=ORIENTED_EDGE('',*,*,#219994,.T.); #310998=ORIENTED_EDGE('',*,*,#219992,.T.); #310999=ORIENTED_EDGE('',*,*,#219990,.T.); #311000=ORIENTED_EDGE('',*,*,#219988,.T.); #311001=ORIENTED_EDGE('',*,*,#219986,.T.); #311002=ORIENTED_EDGE('',*,*,#219984,.T.); #311003=ORIENTED_EDGE('',*,*,#219982,.T.); #311004=ORIENTED_EDGE('',*,*,#220005,.F.); #311005=ORIENTED_EDGE('',*,*,#220006,.F.); #311006=ORIENTED_EDGE('',*,*,#220007,.F.); #311007=ORIENTED_EDGE('',*,*,#220008,.F.); #311008=ORIENTED_EDGE('',*,*,#220009,.F.); #311009=ORIENTED_EDGE('',*,*,#220010,.F.); #311010=ORIENTED_EDGE('',*,*,#220011,.F.); #311011=ORIENTED_EDGE('',*,*,#220012,.F.); #311012=ORIENTED_EDGE('',*,*,#220013,.F.); #311013=ORIENTED_EDGE('',*,*,#220014,.F.); #311014=ORIENTED_EDGE('',*,*,#220015,.F.); #311015=ORIENTED_EDGE('',*,*,#220016,.F.); #311016=ORIENTED_EDGE('',*,*,#220017,.T.); #311017=ORIENTED_EDGE('',*,*,#220016,.T.); #311018=ORIENTED_EDGE('',*,*,#220018,.T.); #311019=ORIENTED_EDGE('',*,*,#220019,.T.); #311020=ORIENTED_EDGE('',*,*,#220018,.F.); #311021=ORIENTED_EDGE('',*,*,#220015,.T.); #311022=ORIENTED_EDGE('',*,*,#220020,.T.); #311023=ORIENTED_EDGE('',*,*,#220021,.T.); #311024=ORIENTED_EDGE('',*,*,#220020,.F.); #311025=ORIENTED_EDGE('',*,*,#220014,.T.); #311026=ORIENTED_EDGE('',*,*,#220022,.T.); #311027=ORIENTED_EDGE('',*,*,#220023,.T.); #311028=ORIENTED_EDGE('',*,*,#220022,.F.); #311029=ORIENTED_EDGE('',*,*,#220013,.T.); #311030=ORIENTED_EDGE('',*,*,#220024,.T.); #311031=ORIENTED_EDGE('',*,*,#220025,.T.); #311032=ORIENTED_EDGE('',*,*,#220024,.F.); #311033=ORIENTED_EDGE('',*,*,#220012,.T.); #311034=ORIENTED_EDGE('',*,*,#220026,.T.); #311035=ORIENTED_EDGE('',*,*,#220027,.T.); #311036=ORIENTED_EDGE('',*,*,#220026,.F.); #311037=ORIENTED_EDGE('',*,*,#220011,.T.); #311038=ORIENTED_EDGE('',*,*,#220028,.T.); #311039=ORIENTED_EDGE('',*,*,#220029,.T.); #311040=ORIENTED_EDGE('',*,*,#220028,.F.); #311041=ORIENTED_EDGE('',*,*,#220010,.T.); #311042=ORIENTED_EDGE('',*,*,#220030,.T.); #311043=ORIENTED_EDGE('',*,*,#220031,.T.); #311044=ORIENTED_EDGE('',*,*,#220030,.F.); #311045=ORIENTED_EDGE('',*,*,#220009,.T.); #311046=ORIENTED_EDGE('',*,*,#220032,.T.); #311047=ORIENTED_EDGE('',*,*,#220033,.T.); #311048=ORIENTED_EDGE('',*,*,#220032,.F.); #311049=ORIENTED_EDGE('',*,*,#220008,.T.); #311050=ORIENTED_EDGE('',*,*,#220034,.T.); #311051=ORIENTED_EDGE('',*,*,#220035,.T.); #311052=ORIENTED_EDGE('',*,*,#220034,.F.); #311053=ORIENTED_EDGE('',*,*,#220007,.T.); #311054=ORIENTED_EDGE('',*,*,#220036,.T.); #311055=ORIENTED_EDGE('',*,*,#220037,.T.); #311056=ORIENTED_EDGE('',*,*,#220036,.F.); #311057=ORIENTED_EDGE('',*,*,#220006,.T.); #311058=ORIENTED_EDGE('',*,*,#220038,.T.); #311059=ORIENTED_EDGE('',*,*,#220039,.T.); #311060=ORIENTED_EDGE('',*,*,#220038,.F.); #311061=ORIENTED_EDGE('',*,*,#220005,.T.); #311062=ORIENTED_EDGE('',*,*,#220017,.F.); #311063=ORIENTED_EDGE('',*,*,#220040,.T.); #311064=ORIENTED_EDGE('',*,*,#220040,.F.); #311065=ORIENTED_EDGE('',*,*,#220019,.F.); #311066=ORIENTED_EDGE('',*,*,#220021,.F.); #311067=ORIENTED_EDGE('',*,*,#220023,.F.); #311068=ORIENTED_EDGE('',*,*,#220025,.F.); #311069=ORIENTED_EDGE('',*,*,#220027,.F.); #311070=ORIENTED_EDGE('',*,*,#220029,.F.); #311071=ORIENTED_EDGE('',*,*,#220031,.F.); #311072=ORIENTED_EDGE('',*,*,#220033,.F.); #311073=ORIENTED_EDGE('',*,*,#220035,.F.); #311074=ORIENTED_EDGE('',*,*,#220037,.F.); #311075=ORIENTED_EDGE('',*,*,#220039,.F.); #311076=ORIENTED_EDGE('',*,*,#220041,.F.); #311077=ORIENTED_EDGE('',*,*,#220042,.F.); #311078=ORIENTED_EDGE('',*,*,#220043,.F.); #311079=ORIENTED_EDGE('',*,*,#220044,.F.); #311080=ORIENTED_EDGE('',*,*,#220045,.F.); #311081=ORIENTED_EDGE('',*,*,#220046,.F.); #311082=ORIENTED_EDGE('',*,*,#220047,.F.); #311083=ORIENTED_EDGE('',*,*,#220048,.F.); #311084=ORIENTED_EDGE('',*,*,#220049,.F.); #311085=ORIENTED_EDGE('',*,*,#220050,.F.); #311086=ORIENTED_EDGE('',*,*,#220051,.F.); #311087=ORIENTED_EDGE('',*,*,#220052,.F.); #311088=ORIENTED_EDGE('',*,*,#220053,.T.); #311089=ORIENTED_EDGE('',*,*,#220052,.T.); #311090=ORIENTED_EDGE('',*,*,#220054,.T.); #311091=ORIENTED_EDGE('',*,*,#220055,.T.); #311092=ORIENTED_EDGE('',*,*,#220054,.F.); #311093=ORIENTED_EDGE('',*,*,#220051,.T.); #311094=ORIENTED_EDGE('',*,*,#220056,.T.); #311095=ORIENTED_EDGE('',*,*,#220057,.T.); #311096=ORIENTED_EDGE('',*,*,#220056,.F.); #311097=ORIENTED_EDGE('',*,*,#220050,.T.); #311098=ORIENTED_EDGE('',*,*,#220058,.T.); #311099=ORIENTED_EDGE('',*,*,#220059,.T.); #311100=ORIENTED_EDGE('',*,*,#220058,.F.); #311101=ORIENTED_EDGE('',*,*,#220049,.T.); #311102=ORIENTED_EDGE('',*,*,#220060,.T.); #311103=ORIENTED_EDGE('',*,*,#220061,.T.); #311104=ORIENTED_EDGE('',*,*,#220060,.F.); #311105=ORIENTED_EDGE('',*,*,#220048,.T.); #311106=ORIENTED_EDGE('',*,*,#220062,.T.); #311107=ORIENTED_EDGE('',*,*,#220063,.T.); #311108=ORIENTED_EDGE('',*,*,#220062,.F.); #311109=ORIENTED_EDGE('',*,*,#220047,.T.); #311110=ORIENTED_EDGE('',*,*,#220064,.T.); #311111=ORIENTED_EDGE('',*,*,#220065,.T.); #311112=ORIENTED_EDGE('',*,*,#220064,.F.); #311113=ORIENTED_EDGE('',*,*,#220046,.T.); #311114=ORIENTED_EDGE('',*,*,#220066,.T.); #311115=ORIENTED_EDGE('',*,*,#220067,.T.); #311116=ORIENTED_EDGE('',*,*,#220066,.F.); #311117=ORIENTED_EDGE('',*,*,#220045,.T.); #311118=ORIENTED_EDGE('',*,*,#220068,.T.); #311119=ORIENTED_EDGE('',*,*,#220069,.T.); #311120=ORIENTED_EDGE('',*,*,#220068,.F.); #311121=ORIENTED_EDGE('',*,*,#220044,.T.); #311122=ORIENTED_EDGE('',*,*,#220070,.T.); #311123=ORIENTED_EDGE('',*,*,#220071,.T.); #311124=ORIENTED_EDGE('',*,*,#220070,.F.); #311125=ORIENTED_EDGE('',*,*,#220043,.T.); #311126=ORIENTED_EDGE('',*,*,#220072,.T.); #311127=ORIENTED_EDGE('',*,*,#220073,.T.); #311128=ORIENTED_EDGE('',*,*,#220072,.F.); #311129=ORIENTED_EDGE('',*,*,#220042,.T.); #311130=ORIENTED_EDGE('',*,*,#220074,.T.); #311131=ORIENTED_EDGE('',*,*,#220075,.T.); #311132=ORIENTED_EDGE('',*,*,#220074,.F.); #311133=ORIENTED_EDGE('',*,*,#220041,.T.); #311134=ORIENTED_EDGE('',*,*,#220053,.F.); #311135=ORIENTED_EDGE('',*,*,#220076,.T.); #311136=ORIENTED_EDGE('',*,*,#220076,.F.); #311137=ORIENTED_EDGE('',*,*,#220055,.F.); #311138=ORIENTED_EDGE('',*,*,#220057,.F.); #311139=ORIENTED_EDGE('',*,*,#220059,.F.); #311140=ORIENTED_EDGE('',*,*,#220061,.F.); #311141=ORIENTED_EDGE('',*,*,#220063,.F.); #311142=ORIENTED_EDGE('',*,*,#220065,.F.); #311143=ORIENTED_EDGE('',*,*,#220067,.F.); #311144=ORIENTED_EDGE('',*,*,#220069,.F.); #311145=ORIENTED_EDGE('',*,*,#220071,.F.); #311146=ORIENTED_EDGE('',*,*,#220073,.F.); #311147=ORIENTED_EDGE('',*,*,#220075,.F.); #311148=ORIENTED_EDGE('',*,*,#220077,.F.); #311149=ORIENTED_EDGE('',*,*,#220078,.F.); #311150=ORIENTED_EDGE('',*,*,#220079,.F.); #311151=ORIENTED_EDGE('',*,*,#220080,.F.); #311152=ORIENTED_EDGE('',*,*,#220081,.F.); #311153=ORIENTED_EDGE('',*,*,#220082,.F.); #311154=ORIENTED_EDGE('',*,*,#220083,.F.); #311155=ORIENTED_EDGE('',*,*,#220084,.F.); #311156=ORIENTED_EDGE('',*,*,#220085,.F.); #311157=ORIENTED_EDGE('',*,*,#220086,.F.); #311158=ORIENTED_EDGE('',*,*,#220087,.F.); #311159=ORIENTED_EDGE('',*,*,#220088,.F.); #311160=ORIENTED_EDGE('',*,*,#220089,.T.); #311161=ORIENTED_EDGE('',*,*,#220088,.T.); #311162=ORIENTED_EDGE('',*,*,#220090,.T.); #311163=ORIENTED_EDGE('',*,*,#220091,.T.); #311164=ORIENTED_EDGE('',*,*,#220090,.F.); #311165=ORIENTED_EDGE('',*,*,#220087,.T.); #311166=ORIENTED_EDGE('',*,*,#220092,.T.); #311167=ORIENTED_EDGE('',*,*,#220093,.T.); #311168=ORIENTED_EDGE('',*,*,#220092,.F.); #311169=ORIENTED_EDGE('',*,*,#220086,.T.); #311170=ORIENTED_EDGE('',*,*,#220094,.T.); #311171=ORIENTED_EDGE('',*,*,#220095,.T.); #311172=ORIENTED_EDGE('',*,*,#220094,.F.); #311173=ORIENTED_EDGE('',*,*,#220085,.T.); #311174=ORIENTED_EDGE('',*,*,#220096,.T.); #311175=ORIENTED_EDGE('',*,*,#220097,.T.); #311176=ORIENTED_EDGE('',*,*,#220096,.F.); #311177=ORIENTED_EDGE('',*,*,#220084,.T.); #311178=ORIENTED_EDGE('',*,*,#220098,.T.); #311179=ORIENTED_EDGE('',*,*,#220099,.T.); #311180=ORIENTED_EDGE('',*,*,#220098,.F.); #311181=ORIENTED_EDGE('',*,*,#220083,.T.); #311182=ORIENTED_EDGE('',*,*,#220100,.T.); #311183=ORIENTED_EDGE('',*,*,#220101,.T.); #311184=ORIENTED_EDGE('',*,*,#220100,.F.); #311185=ORIENTED_EDGE('',*,*,#220082,.T.); #311186=ORIENTED_EDGE('',*,*,#220102,.T.); #311187=ORIENTED_EDGE('',*,*,#220103,.T.); #311188=ORIENTED_EDGE('',*,*,#220102,.F.); #311189=ORIENTED_EDGE('',*,*,#220081,.T.); #311190=ORIENTED_EDGE('',*,*,#220104,.T.); #311191=ORIENTED_EDGE('',*,*,#220105,.T.); #311192=ORIENTED_EDGE('',*,*,#220104,.F.); #311193=ORIENTED_EDGE('',*,*,#220080,.T.); #311194=ORIENTED_EDGE('',*,*,#220106,.T.); #311195=ORIENTED_EDGE('',*,*,#220107,.T.); #311196=ORIENTED_EDGE('',*,*,#220106,.F.); #311197=ORIENTED_EDGE('',*,*,#220079,.T.); #311198=ORIENTED_EDGE('',*,*,#220108,.T.); #311199=ORIENTED_EDGE('',*,*,#220109,.T.); #311200=ORIENTED_EDGE('',*,*,#220108,.F.); #311201=ORIENTED_EDGE('',*,*,#220078,.T.); #311202=ORIENTED_EDGE('',*,*,#220110,.T.); #311203=ORIENTED_EDGE('',*,*,#220111,.T.); #311204=ORIENTED_EDGE('',*,*,#220110,.F.); #311205=ORIENTED_EDGE('',*,*,#220077,.T.); #311206=ORIENTED_EDGE('',*,*,#220089,.F.); #311207=ORIENTED_EDGE('',*,*,#220112,.T.); #311208=ORIENTED_EDGE('',*,*,#220112,.F.); #311209=ORIENTED_EDGE('',*,*,#220091,.F.); #311210=ORIENTED_EDGE('',*,*,#220093,.F.); #311211=ORIENTED_EDGE('',*,*,#220095,.F.); #311212=ORIENTED_EDGE('',*,*,#220097,.F.); #311213=ORIENTED_EDGE('',*,*,#220099,.F.); #311214=ORIENTED_EDGE('',*,*,#220101,.F.); #311215=ORIENTED_EDGE('',*,*,#220103,.F.); #311216=ORIENTED_EDGE('',*,*,#220105,.F.); #311217=ORIENTED_EDGE('',*,*,#220107,.F.); #311218=ORIENTED_EDGE('',*,*,#220109,.F.); #311219=ORIENTED_EDGE('',*,*,#220111,.F.); #311220=ORIENTED_EDGE('',*,*,#220113,.F.); #311221=ORIENTED_EDGE('',*,*,#220114,.T.); #311222=ORIENTED_EDGE('',*,*,#220115,.T.); #311223=ORIENTED_EDGE('',*,*,#220114,.F.); #311224=ORIENTED_EDGE('',*,*,#220115,.F.); #311225=ORIENTED_EDGE('',*,*,#220116,.T.); #311226=ORIENTED_EDGE('',*,*,#220117,.T.); #311227=ORIENTED_EDGE('',*,*,#220118,.T.); #311228=ORIENTED_EDGE('',*,*,#220119,.F.); #311229=ORIENTED_EDGE('',*,*,#220120,.T.); #311230=ORIENTED_EDGE('',*,*,#220121,.T.); #311231=ORIENTED_EDGE('',*,*,#220122,.T.); #311232=ORIENTED_EDGE('',*,*,#220117,.F.); #311233=ORIENTED_EDGE('',*,*,#220123,.T.); #311234=ORIENTED_EDGE('',*,*,#220124,.T.); #311235=ORIENTED_EDGE('',*,*,#220125,.T.); #311236=ORIENTED_EDGE('',*,*,#220121,.F.); #311237=ORIENTED_EDGE('',*,*,#220126,.T.); #311238=ORIENTED_EDGE('',*,*,#220124,.F.); #311239=ORIENTED_EDGE('',*,*,#220127,.T.); #311240=ORIENTED_EDGE('',*,*,#220119,.T.); #311241=ORIENTED_EDGE('',*,*,#220126,.F.); #311242=ORIENTED_EDGE('',*,*,#220128,.F.); #311243=ORIENTED_EDGE('',*,*,#220129,.F.); #311244=ORIENTED_EDGE('',*,*,#220130,.T.); #311245=ORIENTED_EDGE('',*,*,#220118,.F.); #311246=ORIENTED_EDGE('',*,*,#220131,.F.); #311247=ORIENTED_EDGE('',*,*,#220132,.F.); #311248=ORIENTED_EDGE('',*,*,#220128,.T.); #311249=ORIENTED_EDGE('',*,*,#220116,.F.); #311250=ORIENTED_EDGE('',*,*,#220127,.F.); #311251=ORIENTED_EDGE('',*,*,#220123,.F.); #311252=ORIENTED_EDGE('',*,*,#220120,.F.); #311253=ORIENTED_EDGE('',*,*,#220113,.T.); #311254=ORIENTED_EDGE('',*,*,#220133,.T.); #311255=ORIENTED_EDGE('',*,*,#220134,.T.); #311256=ORIENTED_EDGE('',*,*,#220135,.T.); #311257=ORIENTED_EDGE('',*,*,#220136,.T.); #311258=ORIENTED_EDGE('',*,*,#220137,.T.); #311259=ORIENTED_EDGE('',*,*,#220134,.F.); #311260=ORIENTED_EDGE('',*,*,#220129,.T.); #311261=ORIENTED_EDGE('',*,*,#220138,.F.); #311262=ORIENTED_EDGE('',*,*,#220139,.T.); #311263=ORIENTED_EDGE('',*,*,#220136,.F.); #311264=ORIENTED_EDGE('',*,*,#220140,.T.); #311265=ORIENTED_EDGE('',*,*,#220141,.T.); #311266=ORIENTED_EDGE('',*,*,#220132,.T.); #311267=ORIENTED_EDGE('',*,*,#220141,.F.); #311268=ORIENTED_EDGE('',*,*,#220142,.T.); #311269=ORIENTED_EDGE('',*,*,#220138,.T.); #311270=ORIENTED_EDGE('',*,*,#220125,.F.); #311271=ORIENTED_EDGE('',*,*,#220130,.F.); #311272=ORIENTED_EDGE('',*,*,#220133,.F.); #311273=ORIENTED_EDGE('',*,*,#220143,.T.); #311274=ORIENTED_EDGE('',*,*,#220135,.F.); #311275=ORIENTED_EDGE('',*,*,#220137,.F.); #311276=ORIENTED_EDGE('',*,*,#220142,.F.); #311277=ORIENTED_EDGE('',*,*,#220140,.F.); #311278=ORIENTED_EDGE('',*,*,#220122,.F.); #311279=ORIENTED_EDGE('',*,*,#220143,.F.); #311280=ORIENTED_EDGE('',*,*,#220139,.F.); #311281=ORIENTED_EDGE('',*,*,#220131,.T.); #311282=ORIENTED_EDGE('',*,*,#220144,.T.); #311283=ORIENTED_EDGE('',*,*,#220145,.T.); #311284=ORIENTED_EDGE('',*,*,#220146,.T.); #311285=ORIENTED_EDGE('',*,*,#220147,.T.); #311286=ORIENTED_EDGE('',*,*,#220148,.T.); #311287=ORIENTED_EDGE('',*,*,#220149,.T.); #311288=ORIENTED_EDGE('',*,*,#220150,.T.); #311289=ORIENTED_EDGE('',*,*,#220151,.T.); #311290=ORIENTED_EDGE('',*,*,#220152,.T.); #311291=ORIENTED_EDGE('',*,*,#220153,.T.); #311292=ORIENTED_EDGE('',*,*,#220154,.T.); #311293=ORIENTED_EDGE('',*,*,#220155,.T.); #311294=ORIENTED_EDGE('',*,*,#220156,.F.); #311295=ORIENTED_EDGE('',*,*,#220157,.F.); #311296=ORIENTED_EDGE('',*,*,#220158,.T.); #311297=ORIENTED_EDGE('',*,*,#220145,.F.); #311298=ORIENTED_EDGE('',*,*,#220158,.F.); #311299=ORIENTED_EDGE('',*,*,#220159,.F.); #311300=ORIENTED_EDGE('',*,*,#220160,.T.); #311301=ORIENTED_EDGE('',*,*,#220146,.F.); #311302=ORIENTED_EDGE('',*,*,#220160,.F.); #311303=ORIENTED_EDGE('',*,*,#220161,.F.); #311304=ORIENTED_EDGE('',*,*,#220162,.T.); #311305=ORIENTED_EDGE('',*,*,#220147,.F.); #311306=ORIENTED_EDGE('',*,*,#220162,.F.); #311307=ORIENTED_EDGE('',*,*,#220163,.F.); #311308=ORIENTED_EDGE('',*,*,#220164,.T.); #311309=ORIENTED_EDGE('',*,*,#220148,.F.); #311310=ORIENTED_EDGE('',*,*,#220164,.F.); #311311=ORIENTED_EDGE('',*,*,#220165,.F.); #311312=ORIENTED_EDGE('',*,*,#220166,.T.); #311313=ORIENTED_EDGE('',*,*,#220149,.F.); #311314=ORIENTED_EDGE('',*,*,#220166,.F.); #311315=ORIENTED_EDGE('',*,*,#220167,.F.); #311316=ORIENTED_EDGE('',*,*,#220168,.T.); #311317=ORIENTED_EDGE('',*,*,#220150,.F.); #311318=ORIENTED_EDGE('',*,*,#220168,.F.); #311319=ORIENTED_EDGE('',*,*,#220169,.F.); #311320=ORIENTED_EDGE('',*,*,#220170,.T.); #311321=ORIENTED_EDGE('',*,*,#220151,.F.); #311322=ORIENTED_EDGE('',*,*,#220170,.F.); #311323=ORIENTED_EDGE('',*,*,#220171,.F.); #311324=ORIENTED_EDGE('',*,*,#220172,.T.); #311325=ORIENTED_EDGE('',*,*,#220152,.F.); #311326=ORIENTED_EDGE('',*,*,#220172,.F.); #311327=ORIENTED_EDGE('',*,*,#220173,.F.); #311328=ORIENTED_EDGE('',*,*,#220174,.T.); #311329=ORIENTED_EDGE('',*,*,#220153,.F.); #311330=ORIENTED_EDGE('',*,*,#220174,.F.); #311331=ORIENTED_EDGE('',*,*,#220175,.F.); #311332=ORIENTED_EDGE('',*,*,#220176,.T.); #311333=ORIENTED_EDGE('',*,*,#220154,.F.); #311334=ORIENTED_EDGE('',*,*,#220176,.F.); #311335=ORIENTED_EDGE('',*,*,#220177,.F.); #311336=ORIENTED_EDGE('',*,*,#220178,.F.); #311337=ORIENTED_EDGE('',*,*,#220155,.F.); #311338=ORIENTED_EDGE('',*,*,#220178,.T.); #311339=ORIENTED_EDGE('',*,*,#220179,.F.); #311340=ORIENTED_EDGE('',*,*,#220156,.T.); #311341=ORIENTED_EDGE('',*,*,#220144,.F.); #311342=ORIENTED_EDGE('',*,*,#220179,.T.); #311343=ORIENTED_EDGE('',*,*,#220177,.T.); #311344=ORIENTED_EDGE('',*,*,#220175,.T.); #311345=ORIENTED_EDGE('',*,*,#220173,.T.); #311346=ORIENTED_EDGE('',*,*,#220171,.T.); #311347=ORIENTED_EDGE('',*,*,#220169,.T.); #311348=ORIENTED_EDGE('',*,*,#220167,.T.); #311349=ORIENTED_EDGE('',*,*,#220165,.T.); #311350=ORIENTED_EDGE('',*,*,#220163,.T.); #311351=ORIENTED_EDGE('',*,*,#220161,.T.); #311352=ORIENTED_EDGE('',*,*,#220159,.T.); #311353=ORIENTED_EDGE('',*,*,#220157,.T.); #311354=ORIENTED_EDGE('',*,*,#220180,.T.); #311355=ORIENTED_EDGE('',*,*,#220181,.T.); #311356=ORIENTED_EDGE('',*,*,#220182,.T.); #311357=ORIENTED_EDGE('',*,*,#220183,.T.); #311358=ORIENTED_EDGE('',*,*,#220184,.T.); #311359=ORIENTED_EDGE('',*,*,#220185,.T.); #311360=ORIENTED_EDGE('',*,*,#220186,.T.); #311361=ORIENTED_EDGE('',*,*,#220187,.T.); #311362=ORIENTED_EDGE('',*,*,#220188,.T.); #311363=ORIENTED_EDGE('',*,*,#220189,.T.); #311364=ORIENTED_EDGE('',*,*,#220190,.T.); #311365=ORIENTED_EDGE('',*,*,#220191,.T.); #311366=ORIENTED_EDGE('',*,*,#220192,.F.); #311367=ORIENTED_EDGE('',*,*,#220193,.F.); #311368=ORIENTED_EDGE('',*,*,#220194,.T.); #311369=ORIENTED_EDGE('',*,*,#220181,.F.); #311370=ORIENTED_EDGE('',*,*,#220194,.F.); #311371=ORIENTED_EDGE('',*,*,#220195,.F.); #311372=ORIENTED_EDGE('',*,*,#220196,.T.); #311373=ORIENTED_EDGE('',*,*,#220182,.F.); #311374=ORIENTED_EDGE('',*,*,#220196,.F.); #311375=ORIENTED_EDGE('',*,*,#220197,.F.); #311376=ORIENTED_EDGE('',*,*,#220198,.T.); #311377=ORIENTED_EDGE('',*,*,#220183,.F.); #311378=ORIENTED_EDGE('',*,*,#220198,.F.); #311379=ORIENTED_EDGE('',*,*,#220199,.F.); #311380=ORIENTED_EDGE('',*,*,#220200,.T.); #311381=ORIENTED_EDGE('',*,*,#220184,.F.); #311382=ORIENTED_EDGE('',*,*,#220200,.F.); #311383=ORIENTED_EDGE('',*,*,#220201,.F.); #311384=ORIENTED_EDGE('',*,*,#220202,.T.); #311385=ORIENTED_EDGE('',*,*,#220185,.F.); #311386=ORIENTED_EDGE('',*,*,#220202,.F.); #311387=ORIENTED_EDGE('',*,*,#220203,.F.); #311388=ORIENTED_EDGE('',*,*,#220204,.T.); #311389=ORIENTED_EDGE('',*,*,#220186,.F.); #311390=ORIENTED_EDGE('',*,*,#220204,.F.); #311391=ORIENTED_EDGE('',*,*,#220205,.F.); #311392=ORIENTED_EDGE('',*,*,#220206,.T.); #311393=ORIENTED_EDGE('',*,*,#220187,.F.); #311394=ORIENTED_EDGE('',*,*,#220206,.F.); #311395=ORIENTED_EDGE('',*,*,#220207,.F.); #311396=ORIENTED_EDGE('',*,*,#220208,.T.); #311397=ORIENTED_EDGE('',*,*,#220188,.F.); #311398=ORIENTED_EDGE('',*,*,#220208,.F.); #311399=ORIENTED_EDGE('',*,*,#220209,.F.); #311400=ORIENTED_EDGE('',*,*,#220210,.T.); #311401=ORIENTED_EDGE('',*,*,#220189,.F.); #311402=ORIENTED_EDGE('',*,*,#220210,.F.); #311403=ORIENTED_EDGE('',*,*,#220211,.F.); #311404=ORIENTED_EDGE('',*,*,#220212,.T.); #311405=ORIENTED_EDGE('',*,*,#220190,.F.); #311406=ORIENTED_EDGE('',*,*,#220212,.F.); #311407=ORIENTED_EDGE('',*,*,#220213,.F.); #311408=ORIENTED_EDGE('',*,*,#220214,.F.); #311409=ORIENTED_EDGE('',*,*,#220191,.F.); #311410=ORIENTED_EDGE('',*,*,#220214,.T.); #311411=ORIENTED_EDGE('',*,*,#220215,.F.); #311412=ORIENTED_EDGE('',*,*,#220192,.T.); #311413=ORIENTED_EDGE('',*,*,#220180,.F.); #311414=ORIENTED_EDGE('',*,*,#220215,.T.); #311415=ORIENTED_EDGE('',*,*,#220213,.T.); #311416=ORIENTED_EDGE('',*,*,#220211,.T.); #311417=ORIENTED_EDGE('',*,*,#220209,.T.); #311418=ORIENTED_EDGE('',*,*,#220207,.T.); #311419=ORIENTED_EDGE('',*,*,#220205,.T.); #311420=ORIENTED_EDGE('',*,*,#220203,.T.); #311421=ORIENTED_EDGE('',*,*,#220201,.T.); #311422=ORIENTED_EDGE('',*,*,#220199,.T.); #311423=ORIENTED_EDGE('',*,*,#220197,.T.); #311424=ORIENTED_EDGE('',*,*,#220195,.T.); #311425=ORIENTED_EDGE('',*,*,#220193,.T.); #311426=ORIENTED_EDGE('',*,*,#220216,.T.); #311427=ORIENTED_EDGE('',*,*,#220217,.T.); #311428=ORIENTED_EDGE('',*,*,#220218,.T.); #311429=ORIENTED_EDGE('',*,*,#220219,.T.); #311430=ORIENTED_EDGE('',*,*,#220220,.T.); #311431=ORIENTED_EDGE('',*,*,#220221,.T.); #311432=ORIENTED_EDGE('',*,*,#220222,.T.); #311433=ORIENTED_EDGE('',*,*,#220223,.T.); #311434=ORIENTED_EDGE('',*,*,#220224,.T.); #311435=ORIENTED_EDGE('',*,*,#220225,.T.); #311436=ORIENTED_EDGE('',*,*,#220226,.T.); #311437=ORIENTED_EDGE('',*,*,#220227,.T.); #311438=ORIENTED_EDGE('',*,*,#220228,.F.); #311439=ORIENTED_EDGE('',*,*,#220229,.F.); #311440=ORIENTED_EDGE('',*,*,#220230,.T.); #311441=ORIENTED_EDGE('',*,*,#220217,.F.); #311442=ORIENTED_EDGE('',*,*,#220230,.F.); #311443=ORIENTED_EDGE('',*,*,#220231,.F.); #311444=ORIENTED_EDGE('',*,*,#220232,.T.); #311445=ORIENTED_EDGE('',*,*,#220218,.F.); #311446=ORIENTED_EDGE('',*,*,#220232,.F.); #311447=ORIENTED_EDGE('',*,*,#220233,.F.); #311448=ORIENTED_EDGE('',*,*,#220234,.T.); #311449=ORIENTED_EDGE('',*,*,#220219,.F.); #311450=ORIENTED_EDGE('',*,*,#220234,.F.); #311451=ORIENTED_EDGE('',*,*,#220235,.F.); #311452=ORIENTED_EDGE('',*,*,#220236,.T.); #311453=ORIENTED_EDGE('',*,*,#220220,.F.); #311454=ORIENTED_EDGE('',*,*,#220236,.F.); #311455=ORIENTED_EDGE('',*,*,#220237,.F.); #311456=ORIENTED_EDGE('',*,*,#220238,.T.); #311457=ORIENTED_EDGE('',*,*,#220221,.F.); #311458=ORIENTED_EDGE('',*,*,#220238,.F.); #311459=ORIENTED_EDGE('',*,*,#220239,.F.); #311460=ORIENTED_EDGE('',*,*,#220240,.T.); #311461=ORIENTED_EDGE('',*,*,#220222,.F.); #311462=ORIENTED_EDGE('',*,*,#220240,.F.); #311463=ORIENTED_EDGE('',*,*,#220241,.F.); #311464=ORIENTED_EDGE('',*,*,#220242,.T.); #311465=ORIENTED_EDGE('',*,*,#220223,.F.); #311466=ORIENTED_EDGE('',*,*,#220242,.F.); #311467=ORIENTED_EDGE('',*,*,#220243,.F.); #311468=ORIENTED_EDGE('',*,*,#220244,.T.); #311469=ORIENTED_EDGE('',*,*,#220224,.F.); #311470=ORIENTED_EDGE('',*,*,#220244,.F.); #311471=ORIENTED_EDGE('',*,*,#220245,.F.); #311472=ORIENTED_EDGE('',*,*,#220246,.T.); #311473=ORIENTED_EDGE('',*,*,#220225,.F.); #311474=ORIENTED_EDGE('',*,*,#220246,.F.); #311475=ORIENTED_EDGE('',*,*,#220247,.F.); #311476=ORIENTED_EDGE('',*,*,#220248,.T.); #311477=ORIENTED_EDGE('',*,*,#220226,.F.); #311478=ORIENTED_EDGE('',*,*,#220248,.F.); #311479=ORIENTED_EDGE('',*,*,#220249,.F.); #311480=ORIENTED_EDGE('',*,*,#220250,.F.); #311481=ORIENTED_EDGE('',*,*,#220227,.F.); #311482=ORIENTED_EDGE('',*,*,#220250,.T.); #311483=ORIENTED_EDGE('',*,*,#220251,.F.); #311484=ORIENTED_EDGE('',*,*,#220228,.T.); #311485=ORIENTED_EDGE('',*,*,#220216,.F.); #311486=ORIENTED_EDGE('',*,*,#220251,.T.); #311487=ORIENTED_EDGE('',*,*,#220249,.T.); #311488=ORIENTED_EDGE('',*,*,#220247,.T.); #311489=ORIENTED_EDGE('',*,*,#220245,.T.); #311490=ORIENTED_EDGE('',*,*,#220243,.T.); #311491=ORIENTED_EDGE('',*,*,#220241,.T.); #311492=ORIENTED_EDGE('',*,*,#220239,.T.); #311493=ORIENTED_EDGE('',*,*,#220237,.T.); #311494=ORIENTED_EDGE('',*,*,#220235,.T.); #311495=ORIENTED_EDGE('',*,*,#220233,.T.); #311496=ORIENTED_EDGE('',*,*,#220231,.T.); #311497=ORIENTED_EDGE('',*,*,#220229,.T.); #311498=ORIENTED_EDGE('',*,*,#220252,.T.); #311499=ORIENTED_EDGE('',*,*,#220253,.T.); #311500=ORIENTED_EDGE('',*,*,#220254,.T.); #311501=ORIENTED_EDGE('',*,*,#220255,.T.); #311502=ORIENTED_EDGE('',*,*,#220256,.T.); #311503=ORIENTED_EDGE('',*,*,#220257,.T.); #311504=ORIENTED_EDGE('',*,*,#220258,.T.); #311505=ORIENTED_EDGE('',*,*,#220259,.T.); #311506=ORIENTED_EDGE('',*,*,#220260,.T.); #311507=ORIENTED_EDGE('',*,*,#220261,.T.); #311508=ORIENTED_EDGE('',*,*,#220262,.T.); #311509=ORIENTED_EDGE('',*,*,#220263,.T.); #311510=ORIENTED_EDGE('',*,*,#220264,.F.); #311511=ORIENTED_EDGE('',*,*,#220265,.F.); #311512=ORIENTED_EDGE('',*,*,#220266,.T.); #311513=ORIENTED_EDGE('',*,*,#220253,.F.); #311514=ORIENTED_EDGE('',*,*,#220266,.F.); #311515=ORIENTED_EDGE('',*,*,#220267,.F.); #311516=ORIENTED_EDGE('',*,*,#220268,.T.); #311517=ORIENTED_EDGE('',*,*,#220254,.F.); #311518=ORIENTED_EDGE('',*,*,#220268,.F.); #311519=ORIENTED_EDGE('',*,*,#220269,.F.); #311520=ORIENTED_EDGE('',*,*,#220270,.T.); #311521=ORIENTED_EDGE('',*,*,#220255,.F.); #311522=ORIENTED_EDGE('',*,*,#220270,.F.); #311523=ORIENTED_EDGE('',*,*,#220271,.F.); #311524=ORIENTED_EDGE('',*,*,#220272,.T.); #311525=ORIENTED_EDGE('',*,*,#220256,.F.); #311526=ORIENTED_EDGE('',*,*,#220272,.F.); #311527=ORIENTED_EDGE('',*,*,#220273,.F.); #311528=ORIENTED_EDGE('',*,*,#220274,.T.); #311529=ORIENTED_EDGE('',*,*,#220257,.F.); #311530=ORIENTED_EDGE('',*,*,#220274,.F.); #311531=ORIENTED_EDGE('',*,*,#220275,.F.); #311532=ORIENTED_EDGE('',*,*,#220276,.T.); #311533=ORIENTED_EDGE('',*,*,#220258,.F.); #311534=ORIENTED_EDGE('',*,*,#220276,.F.); #311535=ORIENTED_EDGE('',*,*,#220277,.F.); #311536=ORIENTED_EDGE('',*,*,#220278,.T.); #311537=ORIENTED_EDGE('',*,*,#220259,.F.); #311538=ORIENTED_EDGE('',*,*,#220278,.F.); #311539=ORIENTED_EDGE('',*,*,#220279,.F.); #311540=ORIENTED_EDGE('',*,*,#220280,.T.); #311541=ORIENTED_EDGE('',*,*,#220260,.F.); #311542=ORIENTED_EDGE('',*,*,#220280,.F.); #311543=ORIENTED_EDGE('',*,*,#220281,.F.); #311544=ORIENTED_EDGE('',*,*,#220282,.T.); #311545=ORIENTED_EDGE('',*,*,#220261,.F.); #311546=ORIENTED_EDGE('',*,*,#220282,.F.); #311547=ORIENTED_EDGE('',*,*,#220283,.F.); #311548=ORIENTED_EDGE('',*,*,#220284,.T.); #311549=ORIENTED_EDGE('',*,*,#220262,.F.); #311550=ORIENTED_EDGE('',*,*,#220284,.F.); #311551=ORIENTED_EDGE('',*,*,#220285,.F.); #311552=ORIENTED_EDGE('',*,*,#220286,.F.); #311553=ORIENTED_EDGE('',*,*,#220263,.F.); #311554=ORIENTED_EDGE('',*,*,#220286,.T.); #311555=ORIENTED_EDGE('',*,*,#220287,.F.); #311556=ORIENTED_EDGE('',*,*,#220264,.T.); #311557=ORIENTED_EDGE('',*,*,#220252,.F.); #311558=ORIENTED_EDGE('',*,*,#220287,.T.); #311559=ORIENTED_EDGE('',*,*,#220285,.T.); #311560=ORIENTED_EDGE('',*,*,#220283,.T.); #311561=ORIENTED_EDGE('',*,*,#220281,.T.); #311562=ORIENTED_EDGE('',*,*,#220279,.T.); #311563=ORIENTED_EDGE('',*,*,#220277,.T.); #311564=ORIENTED_EDGE('',*,*,#220275,.T.); #311565=ORIENTED_EDGE('',*,*,#220273,.T.); #311566=ORIENTED_EDGE('',*,*,#220271,.T.); #311567=ORIENTED_EDGE('',*,*,#220269,.T.); #311568=ORIENTED_EDGE('',*,*,#220267,.T.); #311569=ORIENTED_EDGE('',*,*,#220265,.T.); #311570=ORIENTED_EDGE('',*,*,#220288,.T.); #311571=ORIENTED_EDGE('',*,*,#220289,.T.); #311572=ORIENTED_EDGE('',*,*,#220290,.T.); #311573=ORIENTED_EDGE('',*,*,#220291,.T.); #311574=ORIENTED_EDGE('',*,*,#220292,.T.); #311575=ORIENTED_EDGE('',*,*,#220293,.T.); #311576=ORIENTED_EDGE('',*,*,#220294,.T.); #311577=ORIENTED_EDGE('',*,*,#220295,.T.); #311578=ORIENTED_EDGE('',*,*,#220296,.T.); #311579=ORIENTED_EDGE('',*,*,#220297,.T.); #311580=ORIENTED_EDGE('',*,*,#220298,.T.); #311581=ORIENTED_EDGE('',*,*,#220299,.T.); #311582=ORIENTED_EDGE('',*,*,#220300,.F.); #311583=ORIENTED_EDGE('',*,*,#220301,.F.); #311584=ORIENTED_EDGE('',*,*,#220302,.T.); #311585=ORIENTED_EDGE('',*,*,#220289,.F.); #311586=ORIENTED_EDGE('',*,*,#220302,.F.); #311587=ORIENTED_EDGE('',*,*,#220303,.F.); #311588=ORIENTED_EDGE('',*,*,#220304,.T.); #311589=ORIENTED_EDGE('',*,*,#220290,.F.); #311590=ORIENTED_EDGE('',*,*,#220304,.F.); #311591=ORIENTED_EDGE('',*,*,#220305,.F.); #311592=ORIENTED_EDGE('',*,*,#220306,.T.); #311593=ORIENTED_EDGE('',*,*,#220291,.F.); #311594=ORIENTED_EDGE('',*,*,#220306,.F.); #311595=ORIENTED_EDGE('',*,*,#220307,.F.); #311596=ORIENTED_EDGE('',*,*,#220308,.T.); #311597=ORIENTED_EDGE('',*,*,#220292,.F.); #311598=ORIENTED_EDGE('',*,*,#220308,.F.); #311599=ORIENTED_EDGE('',*,*,#220309,.F.); #311600=ORIENTED_EDGE('',*,*,#220310,.T.); #311601=ORIENTED_EDGE('',*,*,#220293,.F.); #311602=ORIENTED_EDGE('',*,*,#220310,.F.); #311603=ORIENTED_EDGE('',*,*,#220311,.F.); #311604=ORIENTED_EDGE('',*,*,#220312,.T.); #311605=ORIENTED_EDGE('',*,*,#220294,.F.); #311606=ORIENTED_EDGE('',*,*,#220312,.F.); #311607=ORIENTED_EDGE('',*,*,#220313,.F.); #311608=ORIENTED_EDGE('',*,*,#220314,.T.); #311609=ORIENTED_EDGE('',*,*,#220295,.F.); #311610=ORIENTED_EDGE('',*,*,#220314,.F.); #311611=ORIENTED_EDGE('',*,*,#220315,.F.); #311612=ORIENTED_EDGE('',*,*,#220316,.T.); #311613=ORIENTED_EDGE('',*,*,#220296,.F.); #311614=ORIENTED_EDGE('',*,*,#220316,.F.); #311615=ORIENTED_EDGE('',*,*,#220317,.F.); #311616=ORIENTED_EDGE('',*,*,#220318,.T.); #311617=ORIENTED_EDGE('',*,*,#220297,.F.); #311618=ORIENTED_EDGE('',*,*,#220318,.F.); #311619=ORIENTED_EDGE('',*,*,#220319,.F.); #311620=ORIENTED_EDGE('',*,*,#220320,.T.); #311621=ORIENTED_EDGE('',*,*,#220298,.F.); #311622=ORIENTED_EDGE('',*,*,#220320,.F.); #311623=ORIENTED_EDGE('',*,*,#220321,.F.); #311624=ORIENTED_EDGE('',*,*,#220322,.F.); #311625=ORIENTED_EDGE('',*,*,#220299,.F.); #311626=ORIENTED_EDGE('',*,*,#220322,.T.); #311627=ORIENTED_EDGE('',*,*,#220323,.F.); #311628=ORIENTED_EDGE('',*,*,#220300,.T.); #311629=ORIENTED_EDGE('',*,*,#220288,.F.); #311630=ORIENTED_EDGE('',*,*,#220323,.T.); #311631=ORIENTED_EDGE('',*,*,#220321,.T.); #311632=ORIENTED_EDGE('',*,*,#220319,.T.); #311633=ORIENTED_EDGE('',*,*,#220317,.T.); #311634=ORIENTED_EDGE('',*,*,#220315,.T.); #311635=ORIENTED_EDGE('',*,*,#220313,.T.); #311636=ORIENTED_EDGE('',*,*,#220311,.T.); #311637=ORIENTED_EDGE('',*,*,#220309,.T.); #311638=ORIENTED_EDGE('',*,*,#220307,.T.); #311639=ORIENTED_EDGE('',*,*,#220305,.T.); #311640=ORIENTED_EDGE('',*,*,#220303,.T.); #311641=ORIENTED_EDGE('',*,*,#220301,.T.); #311642=ORIENTED_EDGE('',*,*,#220324,.F.); #311643=ORIENTED_EDGE('',*,*,#220325,.F.); #311644=ORIENTED_EDGE('',*,*,#220326,.F.); #311645=ORIENTED_EDGE('',*,*,#220327,.F.); #311646=ORIENTED_EDGE('',*,*,#220328,.F.); #311647=ORIENTED_EDGE('',*,*,#220329,.F.); #311648=ORIENTED_EDGE('',*,*,#220330,.F.); #311649=ORIENTED_EDGE('',*,*,#220331,.F.); #311650=ORIENTED_EDGE('',*,*,#220332,.F.); #311651=ORIENTED_EDGE('',*,*,#220333,.F.); #311652=ORIENTED_EDGE('',*,*,#220334,.F.); #311653=ORIENTED_EDGE('',*,*,#220335,.F.); #311654=ORIENTED_EDGE('',*,*,#220336,.F.); #311655=ORIENTED_EDGE('',*,*,#220337,.F.); #311656=ORIENTED_EDGE('',*,*,#220338,.F.); #311657=ORIENTED_EDGE('',*,*,#220337,.T.); #311658=ORIENTED_EDGE('',*,*,#220339,.T.); #311659=ORIENTED_EDGE('',*,*,#220332,.T.); #311660=ORIENTED_EDGE('',*,*,#220340,.T.); #311661=ORIENTED_EDGE('',*,*,#220341,.F.); #311662=ORIENTED_EDGE('',*,*,#220342,.F.); #311663=ORIENTED_EDGE('',*,*,#220343,.F.); #311664=ORIENTED_EDGE('',*,*,#220344,.F.); #311665=ORIENTED_EDGE('',*,*,#220345,.F.); #311666=ORIENTED_EDGE('',*,*,#220346,.F.); #311667=ORIENTED_EDGE('',*,*,#220331,.T.); #311668=ORIENTED_EDGE('',*,*,#220347,.T.); #311669=ORIENTED_EDGE('',*,*,#220345,.T.); #311670=ORIENTED_EDGE('',*,*,#220348,.T.); #311671=ORIENTED_EDGE('',*,*,#220334,.T.); #311672=ORIENTED_EDGE('',*,*,#220349,.T.); #311673=ORIENTED_EDGE('',*,*,#220346,.T.); #311674=ORIENTED_EDGE('',*,*,#220350,.T.); #311675=ORIENTED_EDGE('',*,*,#220351,.F.); #311676=ORIENTED_EDGE('',*,*,#220352,.F.); #311677=ORIENTED_EDGE('',*,*,#220353,.F.); #311678=ORIENTED_EDGE('',*,*,#220338,.T.); #311679=ORIENTED_EDGE('',*,*,#220354,.T.); #311680=ORIENTED_EDGE('',*,*,#220352,.T.); #311681=ORIENTED_EDGE('',*,*,#220355,.T.); #311682=ORIENTED_EDGE('',*,*,#220344,.T.); #311683=ORIENTED_EDGE('',*,*,#220356,.T.); #311684=ORIENTED_EDGE('',*,*,#220353,.T.); #311685=ORIENTED_EDGE('',*,*,#220357,.T.); #311686=ORIENTED_EDGE('',*,*,#220342,.T.); #311687=ORIENTED_EDGE('',*,*,#220358,.T.); #311688=ORIENTED_EDGE('',*,*,#220351,.T.); #311689=ORIENTED_EDGE('',*,*,#220359,.T.); #311690=ORIENTED_EDGE('',*,*,#220333,.T.); #311691=ORIENTED_EDGE('',*,*,#220360,.T.); #311692=ORIENTED_EDGE('',*,*,#220343,.T.); #311693=ORIENTED_EDGE('',*,*,#220361,.T.); #311694=ORIENTED_EDGE('',*,*,#220341,.T.); #311695=ORIENTED_EDGE('',*,*,#220362,.T.); #311696=ORIENTED_EDGE('',*,*,#220329,.T.); #311697=ORIENTED_EDGE('',*,*,#220363,.T.); #311698=ORIENTED_EDGE('',*,*,#220328,.T.); #311699=ORIENTED_EDGE('',*,*,#220364,.T.); #311700=ORIENTED_EDGE('',*,*,#220336,.T.); #311701=ORIENTED_EDGE('',*,*,#220365,.T.); #311702=ORIENTED_EDGE('',*,*,#220324,.T.); #311703=ORIENTED_EDGE('',*,*,#220366,.T.); #311704=ORIENTED_EDGE('',*,*,#220335,.T.); #311705=ORIENTED_EDGE('',*,*,#220367,.T.); #311706=ORIENTED_EDGE('',*,*,#220325,.T.); #311707=ORIENTED_EDGE('',*,*,#220368,.T.); #311708=ORIENTED_EDGE('',*,*,#220330,.T.); #311709=ORIENTED_EDGE('',*,*,#220369,.T.); #311710=ORIENTED_EDGE('',*,*,#220327,.T.); #311711=ORIENTED_EDGE('',*,*,#220370,.T.); #311712=ORIENTED_EDGE('',*,*,#220326,.T.); #311713=ORIENTED_EDGE('',*,*,#220371,.T.); #311714=ORIENTED_EDGE('',*,*,#220339,.F.); #311715=ORIENTED_EDGE('',*,*,#220364,.F.); #311716=ORIENTED_EDGE('',*,*,#220371,.F.); #311717=ORIENTED_EDGE('',*,*,#220369,.F.); #311718=ORIENTED_EDGE('',*,*,#220340,.F.); #311719=ORIENTED_EDGE('',*,*,#220348,.F.); #311720=ORIENTED_EDGE('',*,*,#220357,.F.); #311721=ORIENTED_EDGE('',*,*,#220354,.F.); #311722=ORIENTED_EDGE('',*,*,#220347,.F.); #311723=ORIENTED_EDGE('',*,*,#220368,.F.); #311724=ORIENTED_EDGE('',*,*,#220367,.F.); #311725=ORIENTED_EDGE('',*,*,#220350,.F.); #311726=ORIENTED_EDGE('',*,*,#220349,.F.); #311727=ORIENTED_EDGE('',*,*,#220361,.F.); #311728=ORIENTED_EDGE('',*,*,#220359,.F.); #311729=ORIENTED_EDGE('',*,*,#220356,.F.); #311730=ORIENTED_EDGE('',*,*,#220355,.F.); #311731=ORIENTED_EDGE('',*,*,#220358,.F.); #311732=ORIENTED_EDGE('',*,*,#220363,.F.); #311733=ORIENTED_EDGE('',*,*,#220365,.F.); #311734=ORIENTED_EDGE('',*,*,#220360,.F.); #311735=ORIENTED_EDGE('',*,*,#220366,.F.); #311736=ORIENTED_EDGE('',*,*,#220370,.F.); #311737=ORIENTED_EDGE('',*,*,#220362,.F.); #311738=ORIENTED_EDGE('',*,*,#220372,.F.); #311739=ORIENTED_EDGE('',*,*,#220373,.F.); #311740=ORIENTED_EDGE('',*,*,#220374,.F.); #311741=ORIENTED_EDGE('',*,*,#220375,.F.); #311742=ORIENTED_EDGE('',*,*,#220376,.F.); #311743=ORIENTED_EDGE('',*,*,#220377,.F.); #311744=ORIENTED_EDGE('',*,*,#220378,.F.); #311745=ORIENTED_EDGE('',*,*,#220379,.F.); #311746=ORIENTED_EDGE('',*,*,#220380,.F.); #311747=ORIENTED_EDGE('',*,*,#220381,.F.); #311748=ORIENTED_EDGE('',*,*,#220382,.F.); #311749=ORIENTED_EDGE('',*,*,#220383,.F.); #311750=ORIENTED_EDGE('',*,*,#220384,.F.); #311751=ORIENTED_EDGE('',*,*,#220385,.F.); #311752=ORIENTED_EDGE('',*,*,#220386,.F.); #311753=ORIENTED_EDGE('',*,*,#220385,.T.); #311754=ORIENTED_EDGE('',*,*,#220387,.T.); #311755=ORIENTED_EDGE('',*,*,#220380,.T.); #311756=ORIENTED_EDGE('',*,*,#220388,.T.); #311757=ORIENTED_EDGE('',*,*,#220389,.F.); #311758=ORIENTED_EDGE('',*,*,#220390,.F.); #311759=ORIENTED_EDGE('',*,*,#220391,.F.); #311760=ORIENTED_EDGE('',*,*,#220392,.F.); #311761=ORIENTED_EDGE('',*,*,#220393,.F.); #311762=ORIENTED_EDGE('',*,*,#220394,.F.); #311763=ORIENTED_EDGE('',*,*,#220379,.T.); #311764=ORIENTED_EDGE('',*,*,#220395,.T.); #311765=ORIENTED_EDGE('',*,*,#220393,.T.); #311766=ORIENTED_EDGE('',*,*,#220396,.T.); #311767=ORIENTED_EDGE('',*,*,#220382,.T.); #311768=ORIENTED_EDGE('',*,*,#220397,.T.); #311769=ORIENTED_EDGE('',*,*,#220394,.T.); #311770=ORIENTED_EDGE('',*,*,#220398,.T.); #311771=ORIENTED_EDGE('',*,*,#220399,.F.); #311772=ORIENTED_EDGE('',*,*,#220400,.F.); #311773=ORIENTED_EDGE('',*,*,#220401,.F.); #311774=ORIENTED_EDGE('',*,*,#220400,.T.); #311775=ORIENTED_EDGE('',*,*,#220402,.T.); #311776=ORIENTED_EDGE('',*,*,#220386,.T.); #311777=ORIENTED_EDGE('',*,*,#220403,.T.); #311778=ORIENTED_EDGE('',*,*,#220392,.T.); #311779=ORIENTED_EDGE('',*,*,#220404,.T.); #311780=ORIENTED_EDGE('',*,*,#220401,.T.); #311781=ORIENTED_EDGE('',*,*,#220405,.T.); #311782=ORIENTED_EDGE('',*,*,#220390,.T.); #311783=ORIENTED_EDGE('',*,*,#220406,.T.); #311784=ORIENTED_EDGE('',*,*,#220399,.T.); #311785=ORIENTED_EDGE('',*,*,#220407,.T.); #311786=ORIENTED_EDGE('',*,*,#220381,.T.); #311787=ORIENTED_EDGE('',*,*,#220408,.T.); #311788=ORIENTED_EDGE('',*,*,#220391,.T.); #311789=ORIENTED_EDGE('',*,*,#220409,.T.); #311790=ORIENTED_EDGE('',*,*,#220389,.T.); #311791=ORIENTED_EDGE('',*,*,#220410,.T.); #311792=ORIENTED_EDGE('',*,*,#220377,.T.); #311793=ORIENTED_EDGE('',*,*,#220411,.T.); #311794=ORIENTED_EDGE('',*,*,#220376,.T.); #311795=ORIENTED_EDGE('',*,*,#220412,.T.); #311796=ORIENTED_EDGE('',*,*,#220384,.T.); #311797=ORIENTED_EDGE('',*,*,#220413,.T.); #311798=ORIENTED_EDGE('',*,*,#220372,.T.); #311799=ORIENTED_EDGE('',*,*,#220414,.T.); #311800=ORIENTED_EDGE('',*,*,#220383,.T.); #311801=ORIENTED_EDGE('',*,*,#220415,.T.); #311802=ORIENTED_EDGE('',*,*,#220373,.T.); #311803=ORIENTED_EDGE('',*,*,#220416,.T.); #311804=ORIENTED_EDGE('',*,*,#220378,.T.); #311805=ORIENTED_EDGE('',*,*,#220417,.T.); #311806=ORIENTED_EDGE('',*,*,#220375,.T.); #311807=ORIENTED_EDGE('',*,*,#220418,.T.); #311808=ORIENTED_EDGE('',*,*,#220374,.T.); #311809=ORIENTED_EDGE('',*,*,#220419,.T.); #311810=ORIENTED_EDGE('',*,*,#220387,.F.); #311811=ORIENTED_EDGE('',*,*,#220412,.F.); #311812=ORIENTED_EDGE('',*,*,#220419,.F.); #311813=ORIENTED_EDGE('',*,*,#220417,.F.); #311814=ORIENTED_EDGE('',*,*,#220388,.F.); #311815=ORIENTED_EDGE('',*,*,#220396,.F.); #311816=ORIENTED_EDGE('',*,*,#220405,.F.); #311817=ORIENTED_EDGE('',*,*,#220403,.F.); #311818=ORIENTED_EDGE('',*,*,#220395,.F.); #311819=ORIENTED_EDGE('',*,*,#220416,.F.); #311820=ORIENTED_EDGE('',*,*,#220415,.F.); #311821=ORIENTED_EDGE('',*,*,#220398,.F.); #311822=ORIENTED_EDGE('',*,*,#220397,.F.); #311823=ORIENTED_EDGE('',*,*,#220409,.F.); #311824=ORIENTED_EDGE('',*,*,#220407,.F.); #311825=ORIENTED_EDGE('',*,*,#220404,.F.); #311826=ORIENTED_EDGE('',*,*,#220402,.F.); #311827=ORIENTED_EDGE('',*,*,#220406,.F.); #311828=ORIENTED_EDGE('',*,*,#220411,.F.); #311829=ORIENTED_EDGE('',*,*,#220413,.F.); #311830=ORIENTED_EDGE('',*,*,#220408,.F.); #311831=ORIENTED_EDGE('',*,*,#220414,.F.); #311832=ORIENTED_EDGE('',*,*,#220418,.F.); #311833=ORIENTED_EDGE('',*,*,#220410,.F.); #311834=ORIENTED_EDGE('',*,*,#220420,.F.); #311835=ORIENTED_EDGE('',*,*,#220421,.T.); #311836=ORIENTED_EDGE('',*,*,#220422,.F.); #311837=ORIENTED_EDGE('',*,*,#220423,.F.); #311838=ORIENTED_EDGE('',*,*,#220424,.T.); #311839=ORIENTED_EDGE('',*,*,#220423,.T.); #311840=ORIENTED_EDGE('',*,*,#220425,.F.); #311841=ORIENTED_EDGE('',*,*,#220426,.F.); #311842=ORIENTED_EDGE('',*,*,#220427,.T.); #311843=ORIENTED_EDGE('',*,*,#220426,.T.); #311844=ORIENTED_EDGE('',*,*,#220428,.F.); #311845=ORIENTED_EDGE('',*,*,#220429,.F.); #311846=ORIENTED_EDGE('',*,*,#220430,.T.); #311847=ORIENTED_EDGE('',*,*,#220429,.T.); #311848=ORIENTED_EDGE('',*,*,#220431,.F.); #311849=ORIENTED_EDGE('',*,*,#220421,.F.); #311850=ORIENTED_EDGE('',*,*,#220431,.T.); #311851=ORIENTED_EDGE('',*,*,#220428,.T.); #311852=ORIENTED_EDGE('',*,*,#220425,.T.); #311853=ORIENTED_EDGE('',*,*,#220422,.T.); #311854=ORIENTED_EDGE('',*,*,#220430,.F.); #311855=ORIENTED_EDGE('',*,*,#220420,.T.); #311856=ORIENTED_EDGE('',*,*,#220424,.F.); #311857=ORIENTED_EDGE('',*,*,#220427,.F.); #311858=ORIENTED_EDGE('',*,*,#220432,.T.); #311859=ORIENTED_EDGE('',*,*,#220433,.T.); #311860=ORIENTED_EDGE('',*,*,#220434,.T.); #311861=ORIENTED_EDGE('',*,*,#220435,.F.); #311862=ORIENTED_EDGE('',*,*,#220436,.T.); #311863=ORIENTED_EDGE('',*,*,#220437,.T.); #311864=ORIENTED_EDGE('',*,*,#220438,.T.); #311865=ORIENTED_EDGE('',*,*,#220433,.F.); #311866=ORIENTED_EDGE('',*,*,#220439,.T.); #311867=ORIENTED_EDGE('',*,*,#220440,.T.); #311868=ORIENTED_EDGE('',*,*,#220441,.T.); #311869=ORIENTED_EDGE('',*,*,#220437,.F.); #311870=ORIENTED_EDGE('',*,*,#220442,.T.); #311871=ORIENTED_EDGE('',*,*,#220440,.F.); #311872=ORIENTED_EDGE('',*,*,#220443,.T.); #311873=ORIENTED_EDGE('',*,*,#220435,.T.); #311874=ORIENTED_EDGE('',*,*,#220442,.F.); #311875=ORIENTED_EDGE('',*,*,#220444,.F.); #311876=ORIENTED_EDGE('',*,*,#220445,.F.); #311877=ORIENTED_EDGE('',*,*,#220446,.T.); #311878=ORIENTED_EDGE('',*,*,#220434,.F.); #311879=ORIENTED_EDGE('',*,*,#220447,.F.); #311880=ORIENTED_EDGE('',*,*,#220448,.F.); #311881=ORIENTED_EDGE('',*,*,#220444,.T.); #311882=ORIENTED_EDGE('',*,*,#220432,.F.); #311883=ORIENTED_EDGE('',*,*,#220443,.F.); #311884=ORIENTED_EDGE('',*,*,#220439,.F.); #311885=ORIENTED_EDGE('',*,*,#220436,.F.); #311886=ORIENTED_EDGE('',*,*,#220449,.T.); #311887=ORIENTED_EDGE('',*,*,#220450,.T.); #311888=ORIENTED_EDGE('',*,*,#220451,.T.); #311889=ORIENTED_EDGE('',*,*,#220452,.F.); #311890=ORIENTED_EDGE('',*,*,#220453,.T.); #311891=ORIENTED_EDGE('',*,*,#220454,.T.); #311892=ORIENTED_EDGE('',*,*,#220455,.T.); #311893=ORIENTED_EDGE('',*,*,#220450,.F.); #311894=ORIENTED_EDGE('',*,*,#220456,.T.); #311895=ORIENTED_EDGE('',*,*,#220457,.T.); #311896=ORIENTED_EDGE('',*,*,#220458,.T.); #311897=ORIENTED_EDGE('',*,*,#220454,.F.); #311898=ORIENTED_EDGE('',*,*,#220459,.T.); #311899=ORIENTED_EDGE('',*,*,#220457,.F.); #311900=ORIENTED_EDGE('',*,*,#220460,.T.); #311901=ORIENTED_EDGE('',*,*,#220452,.T.); #311902=ORIENTED_EDGE('',*,*,#220459,.F.); #311903=ORIENTED_EDGE('',*,*,#220461,.F.); #311904=ORIENTED_EDGE('',*,*,#220462,.F.); #311905=ORIENTED_EDGE('',*,*,#220463,.T.); #311906=ORIENTED_EDGE('',*,*,#220451,.F.); #311907=ORIENTED_EDGE('',*,*,#220464,.F.); #311908=ORIENTED_EDGE('',*,*,#220465,.F.); #311909=ORIENTED_EDGE('',*,*,#220461,.T.); #311910=ORIENTED_EDGE('',*,*,#220449,.F.); #311911=ORIENTED_EDGE('',*,*,#220460,.F.); #311912=ORIENTED_EDGE('',*,*,#220456,.F.); #311913=ORIENTED_EDGE('',*,*,#220453,.F.); #311914=ORIENTED_EDGE('',*,*,#220441,.F.); #311915=ORIENTED_EDGE('',*,*,#220446,.F.); #311916=ORIENTED_EDGE('',*,*,#220466,.F.); #311917=ORIENTED_EDGE('',*,*,#220467,.T.); #311918=ORIENTED_EDGE('',*,*,#220438,.F.); #311919=ORIENTED_EDGE('',*,*,#220467,.F.); #311920=ORIENTED_EDGE('',*,*,#220468,.F.); #311921=ORIENTED_EDGE('',*,*,#220447,.T.); #311922=ORIENTED_EDGE('',*,*,#220455,.F.); #311923=ORIENTED_EDGE('',*,*,#220469,.F.); #311924=ORIENTED_EDGE('',*,*,#220470,.F.); #311925=ORIENTED_EDGE('',*,*,#220464,.T.); #311926=ORIENTED_EDGE('',*,*,#220458,.F.); #311927=ORIENTED_EDGE('',*,*,#220463,.F.); #311928=ORIENTED_EDGE('',*,*,#220471,.F.); #311929=ORIENTED_EDGE('',*,*,#220469,.T.); #311930=ORIENTED_EDGE('',*,*,#220472,.T.); #311931=ORIENTED_EDGE('',*,*,#220473,.T.); #311932=ORIENTED_EDGE('',*,*,#220474,.T.); #311933=ORIENTED_EDGE('',*,*,#220475,.T.); #311934=ORIENTED_EDGE('',*,*,#220475,.F.); #311935=ORIENTED_EDGE('',*,*,#220476,.F.); #311936=ORIENTED_EDGE('',*,*,#220477,.F.); #311937=ORIENTED_EDGE('',*,*,#220478,.T.); #311938=ORIENTED_EDGE('',*,*,#220479,.T.); #311939=ORIENTED_EDGE('',*,*,#220480,.T.); #311940=ORIENTED_EDGE('',*,*,#220481,.T.); #311941=ORIENTED_EDGE('',*,*,#220482,.F.); #311942=ORIENTED_EDGE('',*,*,#220483,.T.); #311943=ORIENTED_EDGE('',*,*,#220484,.F.); #311944=ORIENTED_EDGE('',*,*,#220485,.T.); #311945=ORIENTED_EDGE('',*,*,#220486,.F.); #311946=ORIENTED_EDGE('',*,*,#220487,.T.); #311947=ORIENTED_EDGE('',*,*,#220477,.T.); #311948=ORIENTED_EDGE('',*,*,#220488,.T.); #311949=ORIENTED_EDGE('',*,*,#220489,.F.); #311950=ORIENTED_EDGE('',*,*,#220473,.F.); #311951=ORIENTED_EDGE('',*,*,#220490,.T.); #311952=ORIENTED_EDGE('',*,*,#220480,.F.); #311953=ORIENTED_EDGE('',*,*,#220491,.T.); #311954=ORIENTED_EDGE('',*,*,#220492,.T.); #311955=ORIENTED_EDGE('',*,*,#220493,.T.); #311956=ORIENTED_EDGE('',*,*,#220494,.T.); #311957=ORIENTED_EDGE('',*,*,#220495,.F.); #311958=ORIENTED_EDGE('',*,*,#220496,.T.); #311959=ORIENTED_EDGE('',*,*,#220497,.T.); #311960=ORIENTED_EDGE('',*,*,#220498,.T.); #311961=ORIENTED_EDGE('',*,*,#220493,.F.); #311962=ORIENTED_EDGE('',*,*,#220499,.T.); #311963=ORIENTED_EDGE('',*,*,#220500,.T.); #311964=ORIENTED_EDGE('',*,*,#220501,.T.); #311965=ORIENTED_EDGE('',*,*,#220497,.F.); #311966=ORIENTED_EDGE('',*,*,#220502,.T.); #311967=ORIENTED_EDGE('',*,*,#220500,.F.); #311968=ORIENTED_EDGE('',*,*,#220503,.T.); #311969=ORIENTED_EDGE('',*,*,#220495,.T.); #311970=ORIENTED_EDGE('',*,*,#220502,.F.); #311971=ORIENTED_EDGE('',*,*,#220504,.F.); #311972=ORIENTED_EDGE('',*,*,#220505,.F.); #311973=ORIENTED_EDGE('',*,*,#220506,.T.); #311974=ORIENTED_EDGE('',*,*,#220494,.F.); #311975=ORIENTED_EDGE('',*,*,#220507,.F.); #311976=ORIENTED_EDGE('',*,*,#220508,.F.); #311977=ORIENTED_EDGE('',*,*,#220504,.T.); #311978=ORIENTED_EDGE('',*,*,#220492,.F.); #311979=ORIENTED_EDGE('',*,*,#220503,.F.); #311980=ORIENTED_EDGE('',*,*,#220499,.F.); #311981=ORIENTED_EDGE('',*,*,#220496,.F.); #311982=ORIENTED_EDGE('',*,*,#220509,.T.); #311983=ORIENTED_EDGE('',*,*,#220510,.T.); #311984=ORIENTED_EDGE('',*,*,#220511,.T.); #311985=ORIENTED_EDGE('',*,*,#220512,.F.); #311986=ORIENTED_EDGE('',*,*,#220513,.T.); #311987=ORIENTED_EDGE('',*,*,#220514,.T.); #311988=ORIENTED_EDGE('',*,*,#220515,.T.); #311989=ORIENTED_EDGE('',*,*,#220510,.F.); #311990=ORIENTED_EDGE('',*,*,#220516,.T.); #311991=ORIENTED_EDGE('',*,*,#220517,.T.); #311992=ORIENTED_EDGE('',*,*,#220518,.T.); #311993=ORIENTED_EDGE('',*,*,#220514,.F.); #311994=ORIENTED_EDGE('',*,*,#220519,.T.); #311995=ORIENTED_EDGE('',*,*,#220517,.F.); #311996=ORIENTED_EDGE('',*,*,#220520,.T.); #311997=ORIENTED_EDGE('',*,*,#220512,.T.); #311998=ORIENTED_EDGE('',*,*,#220519,.F.); #311999=ORIENTED_EDGE('',*,*,#220521,.F.); #312000=ORIENTED_EDGE('',*,*,#220522,.F.); #312001=ORIENTED_EDGE('',*,*,#220523,.T.); #312002=ORIENTED_EDGE('',*,*,#220511,.F.); #312003=ORIENTED_EDGE('',*,*,#220524,.F.); #312004=ORIENTED_EDGE('',*,*,#220525,.F.); #312005=ORIENTED_EDGE('',*,*,#220521,.T.); #312006=ORIENTED_EDGE('',*,*,#220509,.F.); #312007=ORIENTED_EDGE('',*,*,#220520,.F.); #312008=ORIENTED_EDGE('',*,*,#220516,.F.); #312009=ORIENTED_EDGE('',*,*,#220513,.F.); #312010=ORIENTED_EDGE('',*,*,#220501,.F.); #312011=ORIENTED_EDGE('',*,*,#220506,.F.); #312012=ORIENTED_EDGE('',*,*,#220526,.F.); #312013=ORIENTED_EDGE('',*,*,#220527,.T.); #312014=ORIENTED_EDGE('',*,*,#220498,.F.); #312015=ORIENTED_EDGE('',*,*,#220527,.F.); #312016=ORIENTED_EDGE('',*,*,#220528,.F.); #312017=ORIENTED_EDGE('',*,*,#220507,.T.); #312018=ORIENTED_EDGE('',*,*,#220515,.F.); #312019=ORIENTED_EDGE('',*,*,#220529,.F.); #312020=ORIENTED_EDGE('',*,*,#220530,.F.); #312021=ORIENTED_EDGE('',*,*,#220524,.T.); #312022=ORIENTED_EDGE('',*,*,#220518,.F.); #312023=ORIENTED_EDGE('',*,*,#220523,.F.); #312024=ORIENTED_EDGE('',*,*,#220531,.F.); #312025=ORIENTED_EDGE('',*,*,#220529,.T.); #312026=ORIENTED_EDGE('',*,*,#220532,.T.); #312027=ORIENTED_EDGE('',*,*,#220533,.T.); #312028=ORIENTED_EDGE('',*,*,#220534,.T.); #312029=ORIENTED_EDGE('',*,*,#220482,.T.); #312030=ORIENTED_EDGE('',*,*,#220535,.T.); #312031=ORIENTED_EDGE('',*,*,#220536,.T.); #312032=ORIENTED_EDGE('',*,*,#220537,.T.); #312033=ORIENTED_EDGE('',*,*,#220538,.T.); #312034=ORIENTED_EDGE('',*,*,#220538,.F.); #312035=ORIENTED_EDGE('',*,*,#220539,.F.); #312036=ORIENTED_EDGE('',*,*,#220540,.F.); #312037=ORIENTED_EDGE('',*,*,#220541,.T.); #312038=ORIENTED_EDGE('',*,*,#220542,.T.); #312039=ORIENTED_EDGE('',*,*,#220540,.T.); #312040=ORIENTED_EDGE('',*,*,#220543,.T.); #312041=ORIENTED_EDGE('',*,*,#220544,.F.); #312042=ORIENTED_EDGE('',*,*,#220545,.T.); #312043=ORIENTED_EDGE('',*,*,#220484,.T.); #312044=ORIENTED_EDGE('',*,*,#220546,.T.); #312045=ORIENTED_EDGE('',*,*,#220547,.F.); #312046=ORIENTED_EDGE('',*,*,#220533,.F.); #312047=ORIENTED_EDGE('',*,*,#220548,.T.); #312048=ORIENTED_EDGE('',*,*,#220536,.F.); #312049=ORIENTED_EDGE('',*,*,#220549,.T.); #312050=ORIENTED_EDGE('',*,*,#220550,.T.); #312051=ORIENTED_EDGE('',*,*,#220551,.T.); #312052=ORIENTED_EDGE('',*,*,#220552,.T.); #312053=ORIENTED_EDGE('',*,*,#220553,.F.); #312054=ORIENTED_EDGE('',*,*,#220554,.T.); #312055=ORIENTED_EDGE('',*,*,#220555,.T.); #312056=ORIENTED_EDGE('',*,*,#220556,.T.); #312057=ORIENTED_EDGE('',*,*,#220551,.F.); #312058=ORIENTED_EDGE('',*,*,#220557,.T.); #312059=ORIENTED_EDGE('',*,*,#220558,.T.); #312060=ORIENTED_EDGE('',*,*,#220559,.T.); #312061=ORIENTED_EDGE('',*,*,#220555,.F.); #312062=ORIENTED_EDGE('',*,*,#220560,.T.); #312063=ORIENTED_EDGE('',*,*,#220558,.F.); #312064=ORIENTED_EDGE('',*,*,#220561,.T.); #312065=ORIENTED_EDGE('',*,*,#220553,.T.); #312066=ORIENTED_EDGE('',*,*,#220560,.F.); #312067=ORIENTED_EDGE('',*,*,#220562,.F.); #312068=ORIENTED_EDGE('',*,*,#220563,.F.); #312069=ORIENTED_EDGE('',*,*,#220564,.T.); #312070=ORIENTED_EDGE('',*,*,#220552,.F.); #312071=ORIENTED_EDGE('',*,*,#220565,.F.); #312072=ORIENTED_EDGE('',*,*,#220566,.F.); #312073=ORIENTED_EDGE('',*,*,#220562,.T.); #312074=ORIENTED_EDGE('',*,*,#220550,.F.); #312075=ORIENTED_EDGE('',*,*,#220561,.F.); #312076=ORIENTED_EDGE('',*,*,#220557,.F.); #312077=ORIENTED_EDGE('',*,*,#220554,.F.); #312078=ORIENTED_EDGE('',*,*,#220567,.T.); #312079=ORIENTED_EDGE('',*,*,#220568,.T.); #312080=ORIENTED_EDGE('',*,*,#220569,.T.); #312081=ORIENTED_EDGE('',*,*,#220570,.F.); #312082=ORIENTED_EDGE('',*,*,#220571,.T.); #312083=ORIENTED_EDGE('',*,*,#220572,.T.); #312084=ORIENTED_EDGE('',*,*,#220573,.T.); #312085=ORIENTED_EDGE('',*,*,#220568,.F.); #312086=ORIENTED_EDGE('',*,*,#220574,.T.); #312087=ORIENTED_EDGE('',*,*,#220575,.T.); #312088=ORIENTED_EDGE('',*,*,#220576,.T.); #312089=ORIENTED_EDGE('',*,*,#220572,.F.); #312090=ORIENTED_EDGE('',*,*,#220577,.T.); #312091=ORIENTED_EDGE('',*,*,#220575,.F.); #312092=ORIENTED_EDGE('',*,*,#220578,.T.); #312093=ORIENTED_EDGE('',*,*,#220570,.T.); #312094=ORIENTED_EDGE('',*,*,#220577,.F.); #312095=ORIENTED_EDGE('',*,*,#220579,.F.); #312096=ORIENTED_EDGE('',*,*,#220580,.F.); #312097=ORIENTED_EDGE('',*,*,#220581,.T.); #312098=ORIENTED_EDGE('',*,*,#220569,.F.); #312099=ORIENTED_EDGE('',*,*,#220582,.F.); #312100=ORIENTED_EDGE('',*,*,#220583,.F.); #312101=ORIENTED_EDGE('',*,*,#220579,.T.); #312102=ORIENTED_EDGE('',*,*,#220567,.F.); #312103=ORIENTED_EDGE('',*,*,#220578,.F.); #312104=ORIENTED_EDGE('',*,*,#220574,.F.); #312105=ORIENTED_EDGE('',*,*,#220571,.F.); #312106=ORIENTED_EDGE('',*,*,#220559,.F.); #312107=ORIENTED_EDGE('',*,*,#220564,.F.); #312108=ORIENTED_EDGE('',*,*,#220584,.F.); #312109=ORIENTED_EDGE('',*,*,#220585,.T.); #312110=ORIENTED_EDGE('',*,*,#220556,.F.); #312111=ORIENTED_EDGE('',*,*,#220585,.F.); #312112=ORIENTED_EDGE('',*,*,#220586,.F.); #312113=ORIENTED_EDGE('',*,*,#220565,.T.); #312114=ORIENTED_EDGE('',*,*,#220573,.F.); #312115=ORIENTED_EDGE('',*,*,#220587,.F.); #312116=ORIENTED_EDGE('',*,*,#220588,.F.); #312117=ORIENTED_EDGE('',*,*,#220582,.T.); #312118=ORIENTED_EDGE('',*,*,#220576,.F.); #312119=ORIENTED_EDGE('',*,*,#220581,.F.); #312120=ORIENTED_EDGE('',*,*,#220589,.F.); #312121=ORIENTED_EDGE('',*,*,#220587,.T.); #312122=ORIENTED_EDGE('',*,*,#220590,.T.); #312123=ORIENTED_EDGE('',*,*,#220489,.T.); #312124=ORIENTED_EDGE('',*,*,#220591,.T.); #312125=ORIENTED_EDGE('',*,*,#220592,.T.); #312126=ORIENTED_EDGE('',*,*,#220592,.F.); #312127=ORIENTED_EDGE('',*,*,#220593,.F.); #312128=ORIENTED_EDGE('',*,*,#220594,.F.); #312129=ORIENTED_EDGE('',*,*,#220595,.T.); #312130=ORIENTED_EDGE('',*,*,#220596,.T.); #312131=ORIENTED_EDGE('',*,*,#220486,.T.); #312132=ORIENTED_EDGE('',*,*,#220597,.T.); #312133=ORIENTED_EDGE('',*,*,#220598,.F.); #312134=ORIENTED_EDGE('',*,*,#220599,.T.); #312135=ORIENTED_EDGE('',*,*,#220600,.F.); #312136=ORIENTED_EDGE('',*,*,#220601,.T.); #312137=ORIENTED_EDGE('',*,*,#220602,.T.); #312138=ORIENTED_EDGE('',*,*,#220603,.T.); #312139=ORIENTED_EDGE('',*,*,#220594,.T.); #312140=ORIENTED_EDGE('',*,*,#220604,.T.); #312141=ORIENTED_EDGE('',*,*,#220605,.T.); #312142=ORIENTED_EDGE('',*,*,#220602,.F.); #312143=ORIENTED_EDGE('',*,*,#220606,.F.); #312144=ORIENTED_EDGE('',*,*,#220605,.F.); #312145=ORIENTED_EDGE('',*,*,#220607,.F.); #312146=ORIENTED_EDGE('',*,*,#220608,.T.); #312147=ORIENTED_EDGE('',*,*,#220609,.T.); #312148=ORIENTED_EDGE('',*,*,#220610,.T.); #312149=ORIENTED_EDGE('',*,*,#220611,.F.); #312150=ORIENTED_EDGE('',*,*,#220612,.T.); #312151=ORIENTED_EDGE('',*,*,#220613,.T.); #312152=ORIENTED_EDGE('',*,*,#220614,.T.); #312153=ORIENTED_EDGE('',*,*,#220609,.F.); #312154=ORIENTED_EDGE('',*,*,#220615,.T.); #312155=ORIENTED_EDGE('',*,*,#220616,.T.); #312156=ORIENTED_EDGE('',*,*,#220617,.T.); #312157=ORIENTED_EDGE('',*,*,#220613,.F.); #312158=ORIENTED_EDGE('',*,*,#220618,.T.); #312159=ORIENTED_EDGE('',*,*,#220616,.F.); #312160=ORIENTED_EDGE('',*,*,#220619,.T.); #312161=ORIENTED_EDGE('',*,*,#220611,.T.); #312162=ORIENTED_EDGE('',*,*,#220618,.F.); #312163=ORIENTED_EDGE('',*,*,#220620,.F.); #312164=ORIENTED_EDGE('',*,*,#220621,.F.); #312165=ORIENTED_EDGE('',*,*,#220622,.T.); #312166=ORIENTED_EDGE('',*,*,#220610,.F.); #312167=ORIENTED_EDGE('',*,*,#220623,.F.); #312168=ORIENTED_EDGE('',*,*,#220624,.F.); #312169=ORIENTED_EDGE('',*,*,#220620,.T.); #312170=ORIENTED_EDGE('',*,*,#220608,.F.); #312171=ORIENTED_EDGE('',*,*,#220619,.F.); #312172=ORIENTED_EDGE('',*,*,#220615,.F.); #312173=ORIENTED_EDGE('',*,*,#220612,.F.); #312174=ORIENTED_EDGE('',*,*,#220625,.T.); #312175=ORIENTED_EDGE('',*,*,#220626,.T.); #312176=ORIENTED_EDGE('',*,*,#220627,.T.); #312177=ORIENTED_EDGE('',*,*,#220628,.F.); #312178=ORIENTED_EDGE('',*,*,#220629,.T.); #312179=ORIENTED_EDGE('',*,*,#220630,.T.); #312180=ORIENTED_EDGE('',*,*,#220631,.T.); #312181=ORIENTED_EDGE('',*,*,#220626,.F.); #312182=ORIENTED_EDGE('',*,*,#220632,.T.); #312183=ORIENTED_EDGE('',*,*,#220633,.T.); #312184=ORIENTED_EDGE('',*,*,#220634,.T.); #312185=ORIENTED_EDGE('',*,*,#220630,.F.); #312186=ORIENTED_EDGE('',*,*,#220635,.T.); #312187=ORIENTED_EDGE('',*,*,#220633,.F.); #312188=ORIENTED_EDGE('',*,*,#220636,.T.); #312189=ORIENTED_EDGE('',*,*,#220628,.T.); #312190=ORIENTED_EDGE('',*,*,#220635,.F.); #312191=ORIENTED_EDGE('',*,*,#220637,.F.); #312192=ORIENTED_EDGE('',*,*,#220638,.F.); #312193=ORIENTED_EDGE('',*,*,#220639,.T.); #312194=ORIENTED_EDGE('',*,*,#220627,.F.); #312195=ORIENTED_EDGE('',*,*,#220640,.F.); #312196=ORIENTED_EDGE('',*,*,#220641,.F.); #312197=ORIENTED_EDGE('',*,*,#220637,.T.); #312198=ORIENTED_EDGE('',*,*,#220625,.F.); #312199=ORIENTED_EDGE('',*,*,#220636,.F.); #312200=ORIENTED_EDGE('',*,*,#220632,.F.); #312201=ORIENTED_EDGE('',*,*,#220629,.F.); #312202=ORIENTED_EDGE('',*,*,#220617,.F.); #312203=ORIENTED_EDGE('',*,*,#220622,.F.); #312204=ORIENTED_EDGE('',*,*,#220642,.F.); #312205=ORIENTED_EDGE('',*,*,#220643,.T.); #312206=ORIENTED_EDGE('',*,*,#220614,.F.); #312207=ORIENTED_EDGE('',*,*,#220643,.F.); #312208=ORIENTED_EDGE('',*,*,#220644,.F.); #312209=ORIENTED_EDGE('',*,*,#220623,.T.); #312210=ORIENTED_EDGE('',*,*,#220631,.F.); #312211=ORIENTED_EDGE('',*,*,#220645,.F.); #312212=ORIENTED_EDGE('',*,*,#220646,.F.); #312213=ORIENTED_EDGE('',*,*,#220640,.T.); #312214=ORIENTED_EDGE('',*,*,#220634,.F.); #312215=ORIENTED_EDGE('',*,*,#220639,.F.); #312216=ORIENTED_EDGE('',*,*,#220647,.F.); #312217=ORIENTED_EDGE('',*,*,#220645,.T.); #312218=ORIENTED_EDGE('',*,*,#220648,.T.); #312219=ORIENTED_EDGE('',*,*,#220547,.T.); #312220=ORIENTED_EDGE('',*,*,#220649,.T.); #312221=ORIENTED_EDGE('',*,*,#220598,.T.); #312222=ORIENTED_EDGE('',*,*,#220534,.F.); #312223=ORIENTED_EDGE('',*,*,#220549,.F.); #312224=ORIENTED_EDGE('',*,*,#220535,.F.); #312225=ORIENTED_EDGE('',*,*,#220541,.F.); #312226=ORIENTED_EDGE('',*,*,#220542,.F.); #312227=ORIENTED_EDGE('',*,*,#220650,.F.); #312228=ORIENTED_EDGE('',*,*,#220651,.F.); #312229=ORIENTED_EDGE('',*,*,#220652,.F.); #312230=ORIENTED_EDGE('',*,*,#220653,.T.); #312231=ORIENTED_EDGE('',*,*,#220654,.F.); #312232=ORIENTED_EDGE('',*,*,#220599,.F.); #312233=ORIENTED_EDGE('',*,*,#220607,.T.); #312234=ORIENTED_EDGE('',*,*,#220604,.F.); #312235=ORIENTED_EDGE('',*,*,#220593,.T.); #312236=ORIENTED_EDGE('',*,*,#220591,.F.); #312237=ORIENTED_EDGE('',*,*,#220488,.F.); #312238=ORIENTED_EDGE('',*,*,#220476,.T.); #312239=ORIENTED_EDGE('',*,*,#220474,.F.); #312240=ORIENTED_EDGE('',*,*,#220491,.F.); #312241=ORIENTED_EDGE('',*,*,#220479,.F.); #312242=ORIENTED_EDGE('',*,*,#220462,.T.); #312243=ORIENTED_EDGE('',*,*,#220465,.T.); #312244=ORIENTED_EDGE('',*,*,#220470,.T.); #312245=ORIENTED_EDGE('',*,*,#220471,.T.); #312246=ORIENTED_EDGE('',*,*,#220522,.T.); #312247=ORIENTED_EDGE('',*,*,#220525,.T.); #312248=ORIENTED_EDGE('',*,*,#220530,.T.); #312249=ORIENTED_EDGE('',*,*,#220531,.T.); #312250=ORIENTED_EDGE('',*,*,#220580,.T.); #312251=ORIENTED_EDGE('',*,*,#220583,.T.); #312252=ORIENTED_EDGE('',*,*,#220588,.T.); #312253=ORIENTED_EDGE('',*,*,#220589,.T.); #312254=ORIENTED_EDGE('',*,*,#220638,.T.); #312255=ORIENTED_EDGE('',*,*,#220641,.T.); #312256=ORIENTED_EDGE('',*,*,#220646,.T.); #312257=ORIENTED_EDGE('',*,*,#220647,.T.); #312258=ORIENTED_EDGE('',*,*,#220483,.F.); #312259=ORIENTED_EDGE('',*,*,#220596,.F.); #312260=ORIENTED_EDGE('',*,*,#220649,.F.); #312261=ORIENTED_EDGE('',*,*,#220546,.F.); #312262=ORIENTED_EDGE('',*,*,#220650,.T.); #312263=ORIENTED_EDGE('',*,*,#220544,.T.); #312264=ORIENTED_EDGE('',*,*,#220655,.T.); #312265=ORIENTED_EDGE('',*,*,#220656,.T.); #312266=ORIENTED_EDGE('',*,*,#220656,.F.); #312267=ORIENTED_EDGE('',*,*,#220657,.F.); #312268=ORIENTED_EDGE('',*,*,#220658,.F.); #312269=ORIENTED_EDGE('',*,*,#220651,.T.); #312270=ORIENTED_EDGE('',*,*,#220652,.T.); #312271=ORIENTED_EDGE('',*,*,#220658,.T.); #312272=ORIENTED_EDGE('',*,*,#220659,.T.); #312273=ORIENTED_EDGE('',*,*,#220660,.T.); #312274=ORIENTED_EDGE('',*,*,#220661,.T.); #312275=ORIENTED_EDGE('',*,*,#220600,.T.); #312276=ORIENTED_EDGE('',*,*,#220654,.T.); #312277=ORIENTED_EDGE('',*,*,#220662,.T.); #312278=ORIENTED_EDGE('',*,*,#220481,.F.); #312279=ORIENTED_EDGE('',*,*,#220490,.F.); #312280=ORIENTED_EDGE('',*,*,#220472,.F.); #312281=ORIENTED_EDGE('',*,*,#220478,.F.); #312282=ORIENTED_EDGE('',*,*,#220487,.F.); #312283=ORIENTED_EDGE('',*,*,#220590,.F.); #312284=ORIENTED_EDGE('',*,*,#220595,.F.); #312285=ORIENTED_EDGE('',*,*,#220603,.F.); #312286=ORIENTED_EDGE('',*,*,#220606,.T.); #312287=ORIENTED_EDGE('',*,*,#220601,.F.); #312288=ORIENTED_EDGE('',*,*,#220661,.F.); #312289=ORIENTED_EDGE('',*,*,#220663,.T.); #312290=ORIENTED_EDGE('',*,*,#220659,.F.); #312291=ORIENTED_EDGE('',*,*,#220657,.T.); #312292=ORIENTED_EDGE('',*,*,#220655,.F.); #312293=ORIENTED_EDGE('',*,*,#220543,.F.); #312294=ORIENTED_EDGE('',*,*,#220539,.T.); #312295=ORIENTED_EDGE('',*,*,#220537,.F.); #312296=ORIENTED_EDGE('',*,*,#220548,.F.); #312297=ORIENTED_EDGE('',*,*,#220532,.F.); #312298=ORIENTED_EDGE('',*,*,#220545,.F.); #312299=ORIENTED_EDGE('',*,*,#220648,.F.); #312300=ORIENTED_EDGE('',*,*,#220597,.F.); #312301=ORIENTED_EDGE('',*,*,#220485,.F.); #312302=ORIENTED_EDGE('',*,*,#220466,.T.); #312303=ORIENTED_EDGE('',*,*,#220445,.T.); #312304=ORIENTED_EDGE('',*,*,#220448,.T.); #312305=ORIENTED_EDGE('',*,*,#220468,.T.); #312306=ORIENTED_EDGE('',*,*,#220526,.T.); #312307=ORIENTED_EDGE('',*,*,#220505,.T.); #312308=ORIENTED_EDGE('',*,*,#220508,.T.); #312309=ORIENTED_EDGE('',*,*,#220528,.T.); #312310=ORIENTED_EDGE('',*,*,#220584,.T.); #312311=ORIENTED_EDGE('',*,*,#220563,.T.); #312312=ORIENTED_EDGE('',*,*,#220566,.T.); #312313=ORIENTED_EDGE('',*,*,#220586,.T.); #312314=ORIENTED_EDGE('',*,*,#220642,.T.); #312315=ORIENTED_EDGE('',*,*,#220621,.T.); #312316=ORIENTED_EDGE('',*,*,#220624,.T.); #312317=ORIENTED_EDGE('',*,*,#220644,.T.); #312318=ORIENTED_EDGE('',*,*,#220660,.F.); #312319=ORIENTED_EDGE('',*,*,#220663,.F.); #312320=ORIENTED_EDGE('',*,*,#220662,.F.); #312321=ORIENTED_EDGE('',*,*,#220653,.F.); #312322=ORIENTED_EDGE('',*,*,#220664,.F.); #312323=ORIENTED_EDGE('',*,*,#220665,.T.); #312324=ORIENTED_EDGE('',*,*,#220666,.F.); #312325=ORIENTED_EDGE('',*,*,#220665,.F.); #312326=ORIENTED_EDGE('',*,*,#220666,.T.); #312327=ORIENTED_EDGE('',*,*,#220664,.T.); #312328=ORIENTED_EDGE('',*,*,#220667,.F.); #312329=ORIENTED_EDGE('',*,*,#220668,.T.); #312330=ORIENTED_EDGE('',*,*,#220669,.F.); #312331=ORIENTED_EDGE('',*,*,#220668,.F.); #312332=ORIENTED_EDGE('',*,*,#220670,.F.); #312333=ORIENTED_EDGE('',*,*,#220671,.T.); #312334=ORIENTED_EDGE('',*,*,#220672,.F.); #312335=ORIENTED_EDGE('',*,*,#220671,.F.); #312336=ORIENTED_EDGE('',*,*,#220672,.T.); #312337=ORIENTED_EDGE('',*,*,#220669,.T.); #312338=ORIENTED_EDGE('',*,*,#220670,.T.); #312339=ORIENTED_EDGE('',*,*,#220667,.T.); #312340=ORIENTED_EDGE('',*,*,#220673,.F.); #312341=ORIENTED_EDGE('',*,*,#220674,.T.); #312342=ORIENTED_EDGE('',*,*,#220675,.F.); #312343=ORIENTED_EDGE('',*,*,#220674,.F.); #312344=ORIENTED_EDGE('',*,*,#220675,.T.); #312345=ORIENTED_EDGE('',*,*,#220673,.T.); #312346=ORIENTED_EDGE('',*,*,#220676,.T.); #312347=ORIENTED_EDGE('',*,*,#220677,.T.); #312348=ORIENTED_EDGE('',*,*,#220678,.T.); #312349=ORIENTED_EDGE('',*,*,#220677,.F.); #312350=ORIENTED_EDGE('',*,*,#220678,.F.); #312351=ORIENTED_EDGE('',*,*,#220676,.F.); #312352=ORIENTED_EDGE('',*,*,#220679,.F.); #312353=ORIENTED_EDGE('',*,*,#220680,.T.); #312354=ORIENTED_EDGE('',*,*,#220681,.T.); #312355=ORIENTED_EDGE('',*,*,#220680,.F.); #312356=ORIENTED_EDGE('',*,*,#220679,.T.); #312357=ORIENTED_EDGE('',*,*,#220681,.F.); #312358=CYLINDRICAL_SURFACE('',#335173,0.325); #312359=CYLINDRICAL_SURFACE('',#335176,0.325); #312360=CYLINDRICAL_SURFACE('',#335179,0.600000000000001); #312361=CYLINDRICAL_SURFACE('',#335182,0.175000000000001); #312362=CYLINDRICAL_SURFACE('',#335185,0.175000000000001); #312363=CYLINDRICAL_SURFACE('',#335188,0.175000000000001); #312364=CYLINDRICAL_SURFACE('',#335191,0.175000000000001); #312365=CYLINDRICAL_SURFACE('',#335194,0.175000000000001); #312366=CYLINDRICAL_SURFACE('',#335197,0.175000000000001); #312367=CYLINDRICAL_SURFACE('',#335200,0.175000000000001); #312368=CYLINDRICAL_SURFACE('',#335203,0.175000000000001); #312369=CYLINDRICAL_SURFACE('',#335206,0.175000000000001); #312370=CYLINDRICAL_SURFACE('',#335209,0.175000000000001); #312371=CYLINDRICAL_SURFACE('',#335212,0.175000000000001); #312372=CYLINDRICAL_SURFACE('',#335215,0.175000000000001); #312373=CYLINDRICAL_SURFACE('',#335218,0.175000000000001); #312374=CYLINDRICAL_SURFACE('',#335221,0.175000000000001); #312375=CYLINDRICAL_SURFACE('',#335224,0.175000000000001); #312376=CYLINDRICAL_SURFACE('',#335227,0.499999999999998); #312377=CYLINDRICAL_SURFACE('',#335230,0.175000000000001); #312378=CYLINDRICAL_SURFACE('',#335233,0.175000000000001); #312379=CYLINDRICAL_SURFACE('',#335236,0.175000000000001); #312380=CYLINDRICAL_SURFACE('',#335239,0.175000000000001); #312381=CYLINDRICAL_SURFACE('',#335242,0.175000000000001); #312382=CYLINDRICAL_SURFACE('',#335245,0.175000000000001); #312383=CYLINDRICAL_SURFACE('',#335248,0.175000000000001); #312384=CYLINDRICAL_SURFACE('',#335251,0.175000000000001); #312385=CYLINDRICAL_SURFACE('',#335254,0.175000000000001); #312386=CYLINDRICAL_SURFACE('',#335257,0.175000000000001); #312387=CYLINDRICAL_SURFACE('',#335260,0.175000000000001); #312388=CYLINDRICAL_SURFACE('',#335263,0.175000000000001); #312389=CYLINDRICAL_SURFACE('',#335266,0.175000000000001); #312390=CYLINDRICAL_SURFACE('',#335269,0.175000000000001); #312391=CYLINDRICAL_SURFACE('',#335272,0.175000000000001); #312392=CYLINDRICAL_SURFACE('',#335275,0.550000000000002); #312393=CYLINDRICAL_SURFACE('',#335278,0.175000000000001); #312394=CYLINDRICAL_SURFACE('',#335281,0.175000000000001); #312395=CYLINDRICAL_SURFACE('',#335284,0.175000000000001); #312396=CYLINDRICAL_SURFACE('',#335287,0.175000000000001); #312397=CYLINDRICAL_SURFACE('',#335291,0.35); #312398=CYLINDRICAL_SURFACE('',#335295,0.35); #312399=CYLINDRICAL_SURFACE('',#335298,0.175000000000001); #312400=CYLINDRICAL_SURFACE('',#335302,0.35); #312401=CYLINDRICAL_SURFACE('',#335306,0.35); #312402=CYLINDRICAL_SURFACE('',#335309,0.175000000000001); #312403=CYLINDRICAL_SURFACE('',#335312,0.175000000000001); #312404=CYLINDRICAL_SURFACE('',#335315,0.175000000000001); #312405=CYLINDRICAL_SURFACE('',#335318,0.150000000000001); #312406=CYLINDRICAL_SURFACE('',#335321,0.175000000000001); #312407=CYLINDRICAL_SURFACE('',#335324,0.150000000000001); #312408=CYLINDRICAL_SURFACE('',#335327,0.175000000000001); #312409=CYLINDRICAL_SURFACE('',#335330,0.150000000000001); #312410=CYLINDRICAL_SURFACE('',#335333,0.175000000000001); #312411=CYLINDRICAL_SURFACE('',#335336,0.150000000000001); #312412=CYLINDRICAL_SURFACE('',#335339,0.175000000000001); #312413=CYLINDRICAL_SURFACE('',#335343,0.6); #312414=CYLINDRICAL_SURFACE('',#335347,0.6); #312415=CYLINDRICAL_SURFACE('',#335350,0.175000000000001); #312416=CYLINDRICAL_SURFACE('',#335354,0.6); #312417=CYLINDRICAL_SURFACE('',#335358,0.6); #312418=CYLINDRICAL_SURFACE('',#335361,0.175000000000001); #312419=CYLINDRICAL_SURFACE('',#335365,0.35); #312420=CYLINDRICAL_SURFACE('',#335369,0.35); #312421=CYLINDRICAL_SURFACE('',#335372,0.175000000000001); #312422=CYLINDRICAL_SURFACE('',#335376,0.35); #312423=CYLINDRICAL_SURFACE('',#335380,0.35); #312424=CYLINDRICAL_SURFACE('',#335383,0.499999999999998); #312425=CYLINDRICAL_SURFACE('',#335386,0.175000000000001); #312426=CYLINDRICAL_SURFACE('',#335389,0.175000000000001); #312427=CYLINDRICAL_SURFACE('',#335392,0.175000000000001); #312428=CYLINDRICAL_SURFACE('',#335395,0.175000000000001); #312429=CYLINDRICAL_SURFACE('',#335398,0.175000000000001); #312430=CYLINDRICAL_SURFACE('',#335401,0.175000000000001); #312431=CYLINDRICAL_SURFACE('',#335404,0.175000000000001); #312432=CYLINDRICAL_SURFACE('',#335407,0.175000000000001); #312433=CYLINDRICAL_SURFACE('',#335410,0.175000000000001); #312434=CYLINDRICAL_SURFACE('',#335413,0.175000000000001); #312435=CYLINDRICAL_SURFACE('',#335416,0.175000000000001); #312436=CYLINDRICAL_SURFACE('',#335419,0.175000000000001); #312437=CYLINDRICAL_SURFACE('',#335422,0.175000000000001); #312438=CYLINDRICAL_SURFACE('',#335425,0.175000000000001); #312439=CYLINDRICAL_SURFACE('',#335428,0.175000000000001); #312440=CYLINDRICAL_SURFACE('',#335431,0.600000000000001); #312441=CYLINDRICAL_SURFACE('',#335434,0.175000000000001); #312442=CYLINDRICAL_SURFACE('',#335437,0.175); #312443=CYLINDRICAL_SURFACE('',#335440,0.175000000000001); #312444=CYLINDRICAL_SURFACE('',#335443,0.175000000000001); #312445=CYLINDRICAL_SURFACE('',#335446,0.175000000000001); #312446=CYLINDRICAL_SURFACE('',#335449,0.175000000000001); #312447=CYLINDRICAL_SURFACE('',#335452,0.175000000000001); #312448=CYLINDRICAL_SURFACE('',#335455,0.175000000000001); #312449=CYLINDRICAL_SURFACE('',#335458,0.175000000000001); #312450=CYLINDRICAL_SURFACE('',#335461,0.175000000000001); #312451=CYLINDRICAL_SURFACE('',#335464,0.175000000000001); #312452=CYLINDRICAL_SURFACE('',#335467,0.175000000000001); #312453=CYLINDRICAL_SURFACE('',#335470,0.175000000000001); #312454=CYLINDRICAL_SURFACE('',#335473,0.175000000000001); #312455=CYLINDRICAL_SURFACE('',#335476,0.175000000000001); #312456=CYLINDRICAL_SURFACE('',#335479,0.499999999999998); #312457=CYLINDRICAL_SURFACE('',#335482,0.175000000000001); #312458=CYLINDRICAL_SURFACE('',#335485,0.175000000000001); #312459=CYLINDRICAL_SURFACE('',#335488,0.175000000000001); #312460=CYLINDRICAL_SURFACE('',#335491,0.175000000000001); #312461=CYLINDRICAL_SURFACE('',#335494,0.175000000000001); #312462=CYLINDRICAL_SURFACE('',#335497,0.175000000000001); #312463=CYLINDRICAL_SURFACE('',#335500,0.175000000000001); #312464=CYLINDRICAL_SURFACE('',#335503,0.175000000000001); #312465=CYLINDRICAL_SURFACE('',#335506,0.175000000000001); #312466=CYLINDRICAL_SURFACE('',#335509,0.175000000000001); #312467=CYLINDRICAL_SURFACE('',#335512,0.175000000000001); #312468=CYLINDRICAL_SURFACE('',#335515,0.175000000000001); #312469=CYLINDRICAL_SURFACE('',#335518,0.175000000000001); #312470=CYLINDRICAL_SURFACE('',#335521,0.175000000000001); #312471=CYLINDRICAL_SURFACE('',#335524,0.175000000000001); #312472=CYLINDRICAL_SURFACE('',#335527,0.507999999999997); #312473=CYLINDRICAL_SURFACE('',#335530,0.175000000000001); #312474=CYLINDRICAL_SURFACE('',#335533,0.175000000000001); #312475=CYLINDRICAL_SURFACE('',#335536,0.175000000000001); #312476=CYLINDRICAL_SURFACE('',#335539,0.175000000000001); #312477=CYLINDRICAL_SURFACE('',#335542,0.175000000000001); #312478=CYLINDRICAL_SURFACE('',#335545,0.175000000000001); #312479=CYLINDRICAL_SURFACE('',#335548,0.175000000000001); #312480=CYLINDRICAL_SURFACE('',#335551,0.175000000000001); #312481=CYLINDRICAL_SURFACE('',#335554,0.175000000000001); #312482=CYLINDRICAL_SURFACE('',#335557,0.175000000000001); #312483=CYLINDRICAL_SURFACE('',#335560,0.175000000000001); #312484=CYLINDRICAL_SURFACE('',#335563,0.175000000000001); #312485=CYLINDRICAL_SURFACE('',#335566,0.175000000000001); #312486=CYLINDRICAL_SURFACE('',#335569,0.175000000000001); #312487=CYLINDRICAL_SURFACE('',#335572,0.175000000000001); #312488=CYLINDRICAL_SURFACE('',#335575,0.499999999999998); #312489=CYLINDRICAL_SURFACE('',#335578,0.175000000000001); #312490=CYLINDRICAL_SURFACE('',#335581,0.175000000000001); #312491=CYLINDRICAL_SURFACE('',#335584,0.175000000000001); #312492=CYLINDRICAL_SURFACE('',#335587,0.175000000000001); #312493=CYLINDRICAL_SURFACE('',#335590,0.175000000000001); #312494=CYLINDRICAL_SURFACE('',#335593,0.175000000000001); #312495=CYLINDRICAL_SURFACE('',#335596,0.175000000000001); #312496=CYLINDRICAL_SURFACE('',#335599,0.175000000000001); #312497=CYLINDRICAL_SURFACE('',#335602,0.175000000000001); #312498=CYLINDRICAL_SURFACE('',#335605,0.175000000000001); #312499=CYLINDRICAL_SURFACE('',#335608,0.175000000000001); #312500=CYLINDRICAL_SURFACE('',#335611,0.175000000000001); #312501=CYLINDRICAL_SURFACE('',#335614,0.175000000000001); #312502=CYLINDRICAL_SURFACE('',#335617,0.175000000000001); #312503=CYLINDRICAL_SURFACE('',#335620,0.175000000000001); #312504=CYLINDRICAL_SURFACE('',#335623,0.550000000000002); #312505=CYLINDRICAL_SURFACE('',#335626,0.175000000000001); #312506=CYLINDRICAL_SURFACE('',#335629,0.175); #312507=CYLINDRICAL_SURFACE('',#335632,0.175000000000001); #312508=CYLINDRICAL_SURFACE('',#335635,0.175000000000001); #312509=CYLINDRICAL_SURFACE('',#335638,0.175000000000001); #312510=CYLINDRICAL_SURFACE('',#335641,0.175); #312511=CYLINDRICAL_SURFACE('',#335644,0.175000000000001); #312512=CYLINDRICAL_SURFACE('',#335647,0.175000000000001); #312513=CYLINDRICAL_SURFACE('',#335650,0.175000000000001); #312514=CYLINDRICAL_SURFACE('',#335653,0.175); #312515=CYLINDRICAL_SURFACE('',#335656,0.175000000000001); #312516=CYLINDRICAL_SURFACE('',#335659,0.175000000000001); #312517=CYLINDRICAL_SURFACE('',#335662,0.175000000000001); #312518=CYLINDRICAL_SURFACE('',#335665,0.175); #312519=CYLINDRICAL_SURFACE('',#335668,0.175000000000001); #312520=CYLINDRICAL_SURFACE('',#335671,0.499999999999998); #312521=CYLINDRICAL_SURFACE('',#335674,0.175000000000001); #312522=CYLINDRICAL_SURFACE('',#335677,0.175000000000001); #312523=CYLINDRICAL_SURFACE('',#335680,0.175000000000001); #312524=CYLINDRICAL_SURFACE('',#335683,0.175000000000001); #312525=CYLINDRICAL_SURFACE('',#335686,0.175000000000001); #312526=CYLINDRICAL_SURFACE('',#335689,0.175); #312527=CYLINDRICAL_SURFACE('',#335692,0.175000000000001); #312528=CYLINDRICAL_SURFACE('',#335695,0.175000000000001); #312529=CYLINDRICAL_SURFACE('',#335698,0.175000000000001); #312530=CYLINDRICAL_SURFACE('',#335701,0.175000000000001); #312531=CYLINDRICAL_SURFACE('',#335704,0.175000000000001); #312532=CYLINDRICAL_SURFACE('',#335707,0.175000000000001); #312533=CYLINDRICAL_SURFACE('',#335710,0.175000000000001); #312534=CYLINDRICAL_SURFACE('',#335713,0.175); #312535=CYLINDRICAL_SURFACE('',#335716,0.175000000000001); #312536=CYLINDRICAL_SURFACE('',#335719,0.507999999999997); #312537=CYLINDRICAL_SURFACE('',#335722,0.175000000000001); #312538=CYLINDRICAL_SURFACE('',#335725,0.175000000000001); #312539=CYLINDRICAL_SURFACE('',#335728,0.175000000000001); #312540=CYLINDRICAL_SURFACE('',#335731,0.175000000000001); #312541=CYLINDRICAL_SURFACE('',#335734,0.175000000000001); #312542=CYLINDRICAL_SURFACE('',#335737,0.175000000000001); #312543=CYLINDRICAL_SURFACE('',#335740,0.175000000000001); #312544=CYLINDRICAL_SURFACE('',#335743,0.175000000000001); #312545=CYLINDRICAL_SURFACE('',#335746,0.175000000000001); #312546=CYLINDRICAL_SURFACE('',#335749,0.175); #312547=CYLINDRICAL_SURFACE('',#335752,0.175000000000001); #312548=CYLINDRICAL_SURFACE('',#335755,0.175000000000001); #312549=CYLINDRICAL_SURFACE('',#335758,0.175000000000001); #312550=CYLINDRICAL_SURFACE('',#335761,0.175); #312551=CYLINDRICAL_SURFACE('',#335764,0.175000000000001); #312552=CYLINDRICAL_SURFACE('',#335767,0.175000000000001); #312553=CYLINDRICAL_SURFACE('',#335770,0.175000000000001); #312554=CYLINDRICAL_SURFACE('',#335773,0.175000000000001); #312555=CYLINDRICAL_SURFACE('',#335776,0.175000000000001); #312556=CYLINDRICAL_SURFACE('',#335779,0.175000000000001); #312557=CYLINDRICAL_SURFACE('',#335782,0.175000000000001); #312558=CYLINDRICAL_SURFACE('',#335785,0.175000000000001); #312559=CYLINDRICAL_SURFACE('',#335788,0.175000000000001); #312560=CYLINDRICAL_SURFACE('',#335791,0.175000000000001); #312561=CYLINDRICAL_SURFACE('',#335794,0.150000000000001); #312562=CYLINDRICAL_SURFACE('',#335797,0.175000000000001); #312563=CYLINDRICAL_SURFACE('',#335800,0.150000000000001); #312564=CYLINDRICAL_SURFACE('',#335803,0.175000000000001); #312565=CYLINDRICAL_SURFACE('',#335806,0.150000000000001); #312566=CYLINDRICAL_SURFACE('',#335809,0.175000000000001); #312567=CYLINDRICAL_SURFACE('',#335812,0.150000000000001); #312568=CYLINDRICAL_SURFACE('',#335815,0.600000000000001); #312569=CYLINDRICAL_SURFACE('',#335818,0.175000000000001); #312570=CYLINDRICAL_SURFACE('',#335821,0.175000000000001); #312571=CYLINDRICAL_SURFACE('',#335824,0.175000000000001); #312572=CYLINDRICAL_SURFACE('',#335827,0.175000000000001); #312573=CYLINDRICAL_SURFACE('',#335830,0.175000000000001); #312574=CYLINDRICAL_SURFACE('',#335833,0.175000000000001); #312575=CYLINDRICAL_SURFACE('',#335836,0.175000000000001); #312576=CYLINDRICAL_SURFACE('',#335839,0.175000000000001); #312577=CYLINDRICAL_SURFACE('',#335842,0.175000000000001); #312578=CYLINDRICAL_SURFACE('',#335845,0.175000000000001); #312579=CYLINDRICAL_SURFACE('',#335848,0.175000000000001); #312580=CYLINDRICAL_SURFACE('',#335851,0.175000000000001); #312581=CYLINDRICAL_SURFACE('',#335854,0.175000000000001); #312582=CYLINDRICAL_SURFACE('',#335857,0.175000000000001); #312583=CYLINDRICAL_SURFACE('',#335860,0.175000000000001); #312584=CYLINDRICAL_SURFACE('',#335863,0.5); #312585=CYLINDRICAL_SURFACE('',#335866,0.175000000000001); #312586=CYLINDRICAL_SURFACE('',#335869,0.175000000000001); #312587=CYLINDRICAL_SURFACE('',#335872,0.175000000000001); #312588=CYLINDRICAL_SURFACE('',#335875,0.175000000000001); #312589=CYLINDRICAL_SURFACE('',#335878,0.175000000000001); #312590=CYLINDRICAL_SURFACE('',#335881,0.175000000000001); #312591=CYLINDRICAL_SURFACE('',#335884,0.175000000000001); #312592=CYLINDRICAL_SURFACE('',#335887,0.175000000000001); #312593=CYLINDRICAL_SURFACE('',#335890,0.175000000000001); #312594=CYLINDRICAL_SURFACE('',#335893,0.175000000000001); #312595=CYLINDRICAL_SURFACE('',#335896,0.175000000000001); #312596=CYLINDRICAL_SURFACE('',#335899,0.175000000000001); #312597=CYLINDRICAL_SURFACE('',#335902,0.175000000000001); #312598=CYLINDRICAL_SURFACE('',#335905,0.175000000000001); #312599=CYLINDRICAL_SURFACE('',#335908,0.175000000000001); #312600=CYLINDRICAL_SURFACE('',#335911,0.507999999999997); #312601=CYLINDRICAL_SURFACE('',#335914,0.175000000000001); #312602=CYLINDRICAL_SURFACE('',#335917,0.175000000000001); #312603=CYLINDRICAL_SURFACE('',#335920,0.175000000000001); #312604=CYLINDRICAL_SURFACE('',#335923,0.175000000000001); #312605=CYLINDRICAL_SURFACE('',#335926,0.175000000000001); #312606=CYLINDRICAL_SURFACE('',#335929,0.175000000000001); #312607=CYLINDRICAL_SURFACE('',#335932,0.175000000000001); #312608=CYLINDRICAL_SURFACE('',#335935,0.175000000000001); #312609=CYLINDRICAL_SURFACE('',#335938,0.175000000000001); #312610=CYLINDRICAL_SURFACE('',#335941,0.175000000000001); #312611=CYLINDRICAL_SURFACE('',#335944,0.175000000000001); #312612=CYLINDRICAL_SURFACE('',#335947,0.175000000000001); #312613=CYLINDRICAL_SURFACE('',#335950,0.175000000000001); #312614=CYLINDRICAL_SURFACE('',#335953,0.175000000000001); #312615=CYLINDRICAL_SURFACE('',#335956,0.175000000000001); #312616=CYLINDRICAL_SURFACE('',#335959,0.5); #312617=CYLINDRICAL_SURFACE('',#335962,0.175000000000001); #312618=CYLINDRICAL_SURFACE('',#335965,0.175000000000001); #312619=CYLINDRICAL_SURFACE('',#335968,0.175000000000001); #312620=CYLINDRICAL_SURFACE('',#335971,0.175000000000001); #312621=CYLINDRICAL_SURFACE('',#335974,0.175000000000001); #312622=CYLINDRICAL_SURFACE('',#335977,0.175000000000001); #312623=CYLINDRICAL_SURFACE('',#335980,0.175000000000001); #312624=CYLINDRICAL_SURFACE('',#335983,0.175000000000001); #312625=CYLINDRICAL_SURFACE('',#335986,0.175000000000001); #312626=CYLINDRICAL_SURFACE('',#335989,0.175000000000001); #312627=CYLINDRICAL_SURFACE('',#335992,0.175000000000001); #312628=CYLINDRICAL_SURFACE('',#335995,0.175000000000001); #312629=CYLINDRICAL_SURFACE('',#335998,0.175000000000001); #312630=CYLINDRICAL_SURFACE('',#336001,0.175000000000001); #312631=CYLINDRICAL_SURFACE('',#336004,0.175000000000001); #312632=CYLINDRICAL_SURFACE('',#336007,0.600000000000001); #312633=CYLINDRICAL_SURFACE('',#336010,0.175000000000001); #312634=CYLINDRICAL_SURFACE('',#336013,0.175000000000001); #312635=CYLINDRICAL_SURFACE('',#336016,0.175000000000001); #312636=CYLINDRICAL_SURFACE('',#336019,0.175000000000001); #312637=CYLINDRICAL_SURFACE('',#336022,0.175000000000001); #312638=CYLINDRICAL_SURFACE('',#336025,0.175000000000001); #312639=CYLINDRICAL_SURFACE('',#336028,0.175000000000001); #312640=CYLINDRICAL_SURFACE('',#336031,0.175000000000001); #312641=CYLINDRICAL_SURFACE('',#336034,0.175000000000001); #312642=CYLINDRICAL_SURFACE('',#336037,0.175000000000001); #312643=CYLINDRICAL_SURFACE('',#336040,0.175000000000001); #312644=CYLINDRICAL_SURFACE('',#336043,0.175000000000001); #312645=CYLINDRICAL_SURFACE('',#336046,0.175000000000001); #312646=CYLINDRICAL_SURFACE('',#336049,0.175000000000001); #312647=CYLINDRICAL_SURFACE('',#336052,0.175000000000001); #312648=CYLINDRICAL_SURFACE('',#336055,0.499999999999998); #312649=CYLINDRICAL_SURFACE('',#336058,0.175000000000001); #312650=CYLINDRICAL_SURFACE('',#336061,0.175000000000001); #312651=CYLINDRICAL_SURFACE('',#336064,0.175000000000001); #312652=CYLINDRICAL_SURFACE('',#336067,0.175000000000001); #312653=CYLINDRICAL_SURFACE('',#336070,0.175000000000001); #312654=CYLINDRICAL_SURFACE('',#336073,0.175000000000001); #312655=CYLINDRICAL_SURFACE('',#336076,0.175000000000001); #312656=CYLINDRICAL_SURFACE('',#336079,0.175000000000001); #312657=CYLINDRICAL_SURFACE('',#336082,0.175000000000001); #312658=CYLINDRICAL_SURFACE('',#336085,0.175000000000001); #312659=CYLINDRICAL_SURFACE('',#336088,0.175000000000001); #312660=CYLINDRICAL_SURFACE('',#336091,0.175000000000001); #312661=CYLINDRICAL_SURFACE('',#336094,0.175000000000001); #312662=CYLINDRICAL_SURFACE('',#336097,0.175000000000001); #312663=CYLINDRICAL_SURFACE('',#336100,0.175000000000001); #312664=CYLINDRICAL_SURFACE('',#336103,0.507999999999997); #312665=CYLINDRICAL_SURFACE('',#336106,0.175000000000001); #312666=CYLINDRICAL_SURFACE('',#336109,0.175000000000001); #312667=CYLINDRICAL_SURFACE('',#336112,0.175000000000001); #312668=CYLINDRICAL_SURFACE('',#336115,0.175000000000001); #312669=CYLINDRICAL_SURFACE('',#336118,0.175000000000001); #312670=CYLINDRICAL_SURFACE('',#336121,0.175000000000001); #312671=CYLINDRICAL_SURFACE('',#336124,0.175000000000001); #312672=CYLINDRICAL_SURFACE('',#336127,0.175000000000001); #312673=CYLINDRICAL_SURFACE('',#336130,0.175000000000001); #312674=CYLINDRICAL_SURFACE('',#336133,0.175000000000001); #312675=CYLINDRICAL_SURFACE('',#336136,0.175000000000001); #312676=CYLINDRICAL_SURFACE('',#336139,0.175000000000001); #312677=CYLINDRICAL_SURFACE('',#336142,0.175000000000001); #312678=CYLINDRICAL_SURFACE('',#336145,0.175000000000001); #312679=CYLINDRICAL_SURFACE('',#336148,0.175000000000001); #312680=CYLINDRICAL_SURFACE('',#336151,0.499999999999998); #312681=CYLINDRICAL_SURFACE('',#336154,0.175000000000001); #312682=CYLINDRICAL_SURFACE('',#336157,0.175000000000001); #312683=CYLINDRICAL_SURFACE('',#336160,0.175000000000001); #312684=CYLINDRICAL_SURFACE('',#336163,0.175000000000001); #312685=CYLINDRICAL_SURFACE('',#336166,0.175000000000001); #312686=CYLINDRICAL_SURFACE('',#336169,0.175000000000001); #312687=CYLINDRICAL_SURFACE('',#336172,0.175000000000001); #312688=CYLINDRICAL_SURFACE('',#336175,0.175000000000001); #312689=CYLINDRICAL_SURFACE('',#336178,0.175000000000001); #312690=CYLINDRICAL_SURFACE('',#336181,0.175000000000001); #312691=CYLINDRICAL_SURFACE('',#336184,0.175000000000001); #312692=CYLINDRICAL_SURFACE('',#336187,0.175000000000001); #312693=CYLINDRICAL_SURFACE('',#336190,0.175000000000001); #312694=CYLINDRICAL_SURFACE('',#336193,0.175000000000001); #312695=CYLINDRICAL_SURFACE('',#336196,0.175000000000001); #312696=CYLINDRICAL_SURFACE('',#336199,34.); #312697=CYLINDRICAL_SURFACE('',#336204,0.140000000000002); #312698=CYLINDRICAL_SURFACE('',#336207,0.175000000000001); #312699=CYLINDRICAL_SURFACE('',#336212,0.14); #312700=CYLINDRICAL_SURFACE('',#336215,0.175000000000001); #312701=CYLINDRICAL_SURFACE('',#336220,0.139999999999998); #312702=CYLINDRICAL_SURFACE('',#336223,0.175000000000001); #312703=CYLINDRICAL_SURFACE('',#336228,0.139999999999998); #312704=CYLINDRICAL_SURFACE('',#336231,0.175000000000001); #312705=CYLINDRICAL_SURFACE('',#336236,0.140000000000002); #312706=CYLINDRICAL_SURFACE('',#336239,0.175000000000001); #312707=CYLINDRICAL_SURFACE('',#336244,0.14); #312708=CYLINDRICAL_SURFACE('',#336247,0.175000000000001); #312709=CYLINDRICAL_SURFACE('',#336252,0.139999999999998); #312710=CYLINDRICAL_SURFACE('',#336255,0.175000000000001); #312711=CYLINDRICAL_SURFACE('',#336260,0.139999999999998); #312712=CYLINDRICAL_SURFACE('',#336263,0.175000000000001); #312713=CYLINDRICAL_SURFACE('',#336268,0.139999999999998); #312714=CYLINDRICAL_SURFACE('',#336271,0.175000000000001); #312715=CYLINDRICAL_SURFACE('',#336276,0.14); #312716=CYLINDRICAL_SURFACE('',#336279,0.175000000000001); #312717=CYLINDRICAL_SURFACE('',#336284,0.139999999999998); #312718=CYLINDRICAL_SURFACE('',#336287,0.175000000000001); #312719=CYLINDRICAL_SURFACE('',#336292,0.139999999999998); #312720=CYLINDRICAL_SURFACE('',#336295,0.175000000000001); #312721=CYLINDRICAL_SURFACE('',#336300,0.139999999999998); #312722=CYLINDRICAL_SURFACE('',#336303,0.175000000000001); #312723=CYLINDRICAL_SURFACE('',#336308,0.14); #312724=CYLINDRICAL_SURFACE('',#336311,0.175000000000001); #312725=CYLINDRICAL_SURFACE('',#336316,0.139999999999998); #312726=CYLINDRICAL_SURFACE('',#336319,0.175000000000001); #312727=CYLINDRICAL_SURFACE('',#336324,0.465); #312728=CYLINDRICAL_SURFACE('',#336327,0.499999999999998); #312729=CYLINDRICAL_SURFACE('',#336332,0.139999999999998); #312730=CYLINDRICAL_SURFACE('',#336335,0.175000000000001); #312731=CYLINDRICAL_SURFACE('',#336340,0.14); #312732=CYLINDRICAL_SURFACE('',#336343,0.175000000000001); #312733=CYLINDRICAL_SURFACE('',#336348,0.139999999999998); #312734=CYLINDRICAL_SURFACE('',#336351,0.175000000000001); #312735=CYLINDRICAL_SURFACE('',#336356,0.139999999999998); #312736=CYLINDRICAL_SURFACE('',#336359,0.175000000000001); #312737=CYLINDRICAL_SURFACE('',#336364,0.139999999999998); #312738=CYLINDRICAL_SURFACE('',#336367,0.175000000000001); #312739=CYLINDRICAL_SURFACE('',#336372,0.140000000000002); #312740=CYLINDRICAL_SURFACE('',#336375,0.175000000000001); #312741=CYLINDRICAL_SURFACE('',#336380,0.139999999999998); #312742=CYLINDRICAL_SURFACE('',#336383,0.175000000000001); #312743=CYLINDRICAL_SURFACE('',#336388,0.139999999999998); #312744=CYLINDRICAL_SURFACE('',#336391,0.175000000000001); #312745=CYLINDRICAL_SURFACE('',#336396,0.139999999999998); #312746=CYLINDRICAL_SURFACE('',#336399,0.175000000000001); #312747=CYLINDRICAL_SURFACE('',#336404,0.14); #312748=CYLINDRICAL_SURFACE('',#336407,0.175000000000001); #312749=CYLINDRICAL_SURFACE('',#336412,0.139999999999998); #312750=CYLINDRICAL_SURFACE('',#336415,0.175000000000001); #312751=CYLINDRICAL_SURFACE('',#336420,0.139999999999998); #312752=CYLINDRICAL_SURFACE('',#336423,0.175000000000001); #312753=CYLINDRICAL_SURFACE('',#336428,0.139999999999998); #312754=CYLINDRICAL_SURFACE('',#336431,0.175000000000001); #312755=CYLINDRICAL_SURFACE('',#336436,0.14); #312756=CYLINDRICAL_SURFACE('',#336439,0.175000000000001); #312757=CYLINDRICAL_SURFACE('',#336444,0.139999999999998); #312758=CYLINDRICAL_SURFACE('',#336447,0.175000000000001); #312759=CYLINDRICAL_SURFACE('',#336452,0.472999999999999); #312760=CYLINDRICAL_SURFACE('',#336455,0.507999999999997); #312761=CYLINDRICAL_SURFACE('',#336460,0.140000000000002); #312762=CYLINDRICAL_SURFACE('',#336463,0.175000000000001); #312763=CYLINDRICAL_SURFACE('',#336468,0.140000000000002); #312764=CYLINDRICAL_SURFACE('',#336471,0.175000000000001); #312765=CYLINDRICAL_SURFACE('',#336476,0.140000000000002); #312766=CYLINDRICAL_SURFACE('',#336479,0.175000000000001); #312767=CYLINDRICAL_SURFACE('',#336484,0.139999999999998); #312768=CYLINDRICAL_SURFACE('',#336487,0.175000000000001); #312769=CYLINDRICAL_SURFACE('',#336492,0.140000000000002); #312770=CYLINDRICAL_SURFACE('',#336495,0.175000000000001); #312771=CYLINDRICAL_SURFACE('',#336500,0.140000000000002); #312772=CYLINDRICAL_SURFACE('',#336503,0.175000000000001); #312773=CYLINDRICAL_SURFACE('',#336508,0.140000000000002); #312774=CYLINDRICAL_SURFACE('',#336511,0.175000000000001); #312775=CYLINDRICAL_SURFACE('',#336516,0.139999999999998); #312776=CYLINDRICAL_SURFACE('',#336519,0.175000000000001); #312777=CYLINDRICAL_SURFACE('',#336524,0.140000000000002); #312778=CYLINDRICAL_SURFACE('',#336527,0.175000000000001); #312779=CYLINDRICAL_SURFACE('',#336532,0.140000000000002); #312780=CYLINDRICAL_SURFACE('',#336535,0.175000000000001); #312781=CYLINDRICAL_SURFACE('',#336540,0.140000000000002); #312782=CYLINDRICAL_SURFACE('',#336543,0.175000000000001); #312783=CYLINDRICAL_SURFACE('',#336548,0.139999999999998); #312784=CYLINDRICAL_SURFACE('',#336551,0.175000000000001); #312785=CYLINDRICAL_SURFACE('',#336556,0.140000000000002); #312786=CYLINDRICAL_SURFACE('',#336559,0.175000000000001); #312787=CYLINDRICAL_SURFACE('',#336564,0.140000000000002); #312788=CYLINDRICAL_SURFACE('',#336567,0.175000000000001); #312789=CYLINDRICAL_SURFACE('',#336572,0.140000000000002); #312790=CYLINDRICAL_SURFACE('',#336575,0.175000000000001); #312791=CYLINDRICAL_SURFACE('',#336580,0.465); #312792=CYLINDRICAL_SURFACE('',#336583,0.499999999999998); #312793=CYLINDRICAL_SURFACE('',#336588,0.139999999999998); #312794=CYLINDRICAL_SURFACE('',#336591,0.175000000000001); #312795=CYLINDRICAL_SURFACE('',#336596,0.140000000000002); #312796=CYLINDRICAL_SURFACE('',#336599,0.175000000000001); #312797=CYLINDRICAL_SURFACE('',#336604,0.139999999999998); #312798=CYLINDRICAL_SURFACE('',#336607,0.175000000000001); #312799=CYLINDRICAL_SURFACE('',#336612,0.139999999999998); #312800=CYLINDRICAL_SURFACE('',#336615,0.175000000000001); #312801=CYLINDRICAL_SURFACE('',#336620,0.139999999999998); #312802=CYLINDRICAL_SURFACE('',#336623,0.175000000000001); #312803=CYLINDRICAL_SURFACE('',#336628,0.140000000000002); #312804=CYLINDRICAL_SURFACE('',#336631,0.175000000000001); #312805=CYLINDRICAL_SURFACE('',#336636,0.139999999999998); #312806=CYLINDRICAL_SURFACE('',#336639,0.175000000000001); #312807=CYLINDRICAL_SURFACE('',#336644,0.139999999999998); #312808=CYLINDRICAL_SURFACE('',#336647,0.175000000000001); #312809=CYLINDRICAL_SURFACE('',#336652,0.139999999999998); #312810=CYLINDRICAL_SURFACE('',#336655,0.175000000000001); #312811=CYLINDRICAL_SURFACE('',#336660,0.140000000000002); #312812=CYLINDRICAL_SURFACE('',#336663,0.175000000000001); #312813=CYLINDRICAL_SURFACE('',#336668,0.139999999999998); #312814=CYLINDRICAL_SURFACE('',#336671,0.175000000000001); #312815=CYLINDRICAL_SURFACE('',#336676,0.139999999999998); #312816=CYLINDRICAL_SURFACE('',#336679,0.175000000000001); #312817=CYLINDRICAL_SURFACE('',#336684,0.139999999999998); #312818=CYLINDRICAL_SURFACE('',#336687,0.175000000000001); #312819=CYLINDRICAL_SURFACE('',#336692,0.140000000000002); #312820=CYLINDRICAL_SURFACE('',#336695,0.175000000000001); #312821=CYLINDRICAL_SURFACE('',#336700,0.139999999999998); #312822=CYLINDRICAL_SURFACE('',#336703,0.175000000000001); #312823=CYLINDRICAL_SURFACE('',#336708,0.564999999999998); #312824=CYLINDRICAL_SURFACE('',#336711,0.600000000000001); #312825=CYLINDRICAL_SURFACE('',#336716,0.140000000000002); #312826=CYLINDRICAL_SURFACE('',#336719,0.175000000000001); #312827=CYLINDRICAL_SURFACE('',#336724,0.140000000000002); #312828=CYLINDRICAL_SURFACE('',#336727,0.175000000000001); #312829=CYLINDRICAL_SURFACE('',#336732,0.140000000000002); #312830=CYLINDRICAL_SURFACE('',#336735,0.175000000000001); #312831=CYLINDRICAL_SURFACE('',#336740,0.139999999999998); #312832=CYLINDRICAL_SURFACE('',#336743,0.175000000000001); #312833=CYLINDRICAL_SURFACE('',#336748,0.140000000000002); #312834=CYLINDRICAL_SURFACE('',#336751,0.175000000000001); #312835=CYLINDRICAL_SURFACE('',#336756,0.140000000000002); #312836=CYLINDRICAL_SURFACE('',#336759,0.175000000000001); #312837=CYLINDRICAL_SURFACE('',#336764,0.140000000000002); #312838=CYLINDRICAL_SURFACE('',#336767,0.175000000000001); #312839=CYLINDRICAL_SURFACE('',#336772,0.139999999999998); #312840=CYLINDRICAL_SURFACE('',#336775,0.175000000000001); #312841=CYLINDRICAL_SURFACE('',#336780,0.140000000000002); #312842=CYLINDRICAL_SURFACE('',#336783,0.175000000000001); #312843=CYLINDRICAL_SURFACE('',#336788,0.140000000000002); #312844=CYLINDRICAL_SURFACE('',#336791,0.175000000000001); #312845=CYLINDRICAL_SURFACE('',#336796,0.140000000000002); #312846=CYLINDRICAL_SURFACE('',#336799,0.175000000000001); #312847=CYLINDRICAL_SURFACE('',#336804,0.139999999999998); #312848=CYLINDRICAL_SURFACE('',#336807,0.175000000000001); #312849=CYLINDRICAL_SURFACE('',#336812,0.140000000000002); #312850=CYLINDRICAL_SURFACE('',#336815,0.175000000000001); #312851=CYLINDRICAL_SURFACE('',#336820,0.140000000000002); #312852=CYLINDRICAL_SURFACE('',#336823,0.175000000000001); #312853=CYLINDRICAL_SURFACE('',#336828,0.140000000000002); #312854=CYLINDRICAL_SURFACE('',#336831,0.175000000000001); #312855=CYLINDRICAL_SURFACE('',#336836,0.465); #312856=CYLINDRICAL_SURFACE('',#336839,0.5); #312857=CYLINDRICAL_SURFACE('',#336844,0.140000000000002); #312858=CYLINDRICAL_SURFACE('',#336847,0.175000000000001); #312859=CYLINDRICAL_SURFACE('',#336852,0.140000000000002); #312860=CYLINDRICAL_SURFACE('',#336855,0.175000000000001); #312861=CYLINDRICAL_SURFACE('',#336860,0.140000000000002); #312862=CYLINDRICAL_SURFACE('',#336863,0.175000000000001); #312863=CYLINDRICAL_SURFACE('',#336868,0.139999999999998); #312864=CYLINDRICAL_SURFACE('',#336871,0.175000000000001); #312865=CYLINDRICAL_SURFACE('',#336876,0.140000000000002); #312866=CYLINDRICAL_SURFACE('',#336879,0.175000000000001); #312867=CYLINDRICAL_SURFACE('',#336884,0.139999999999998); #312868=CYLINDRICAL_SURFACE('',#336887,0.175000000000001); #312869=CYLINDRICAL_SURFACE('',#336892,0.140000000000002); #312870=CYLINDRICAL_SURFACE('',#336895,0.175000000000001); #312871=CYLINDRICAL_SURFACE('',#336900,0.139999999999998); #312872=CYLINDRICAL_SURFACE('',#336903,0.175000000000001); #312873=CYLINDRICAL_SURFACE('',#336908,0.140000000000002); #312874=CYLINDRICAL_SURFACE('',#336911,0.175000000000001); #312875=CYLINDRICAL_SURFACE('',#336916,0.140000000000002); #312876=CYLINDRICAL_SURFACE('',#336919,0.175000000000001); #312877=CYLINDRICAL_SURFACE('',#336924,0.140000000000002); #312878=CYLINDRICAL_SURFACE('',#336927,0.175000000000001); #312879=CYLINDRICAL_SURFACE('',#336932,0.139999999999998); #312880=CYLINDRICAL_SURFACE('',#336935,0.175000000000001); #312881=CYLINDRICAL_SURFACE('',#336940,0.140000000000002); #312882=CYLINDRICAL_SURFACE('',#336943,0.175000000000001); #312883=CYLINDRICAL_SURFACE('',#336948,0.140000000000002); #312884=CYLINDRICAL_SURFACE('',#336951,0.175000000000001); #312885=CYLINDRICAL_SURFACE('',#336956,0.140000000000002); #312886=CYLINDRICAL_SURFACE('',#336959,0.175000000000001); #312887=CYLINDRICAL_SURFACE('',#336964,0.472999999999999); #312888=CYLINDRICAL_SURFACE('',#336967,0.507999999999997); #312889=CYLINDRICAL_SURFACE('',#336972,0.140000000000002); #312890=CYLINDRICAL_SURFACE('',#336975,0.175000000000001); #312891=CYLINDRICAL_SURFACE('',#336980,0.139999999999998); #312892=CYLINDRICAL_SURFACE('',#336983,0.175000000000001); #312893=CYLINDRICAL_SURFACE('',#336988,0.140000000000002); #312894=CYLINDRICAL_SURFACE('',#336991,0.175000000000001); #312895=CYLINDRICAL_SURFACE('',#336996,0.139999999999998); #312896=CYLINDRICAL_SURFACE('',#336999,0.175000000000001); #312897=CYLINDRICAL_SURFACE('',#337004,0.140000000000002); #312898=CYLINDRICAL_SURFACE('',#337007,0.175000000000001); #312899=CYLINDRICAL_SURFACE('',#337012,0.139999999999998); #312900=CYLINDRICAL_SURFACE('',#337015,0.175000000000001); #312901=CYLINDRICAL_SURFACE('',#337020,0.140000000000002); #312902=CYLINDRICAL_SURFACE('',#337023,0.175000000000001); #312903=CYLINDRICAL_SURFACE('',#337028,0.139999999999998); #312904=CYLINDRICAL_SURFACE('',#337031,0.175000000000001); #312905=CYLINDRICAL_SURFACE('',#337036,0.140000000000002); #312906=CYLINDRICAL_SURFACE('',#337039,0.175000000000001); #312907=CYLINDRICAL_SURFACE('',#337044,0.140000000000002); #312908=CYLINDRICAL_SURFACE('',#337047,0.175000000000001); #312909=CYLINDRICAL_SURFACE('',#337052,0.140000000000002); #312910=CYLINDRICAL_SURFACE('',#337055,0.175000000000001); #312911=CYLINDRICAL_SURFACE('',#337060,0.139999999999998); #312912=CYLINDRICAL_SURFACE('',#337063,0.175000000000001); #312913=CYLINDRICAL_SURFACE('',#337068,0.140000000000002); #312914=CYLINDRICAL_SURFACE('',#337071,0.175000000000001); #312915=CYLINDRICAL_SURFACE('',#337076,0.140000000000002); #312916=CYLINDRICAL_SURFACE('',#337079,0.175000000000001); #312917=CYLINDRICAL_SURFACE('',#337084,0.140000000000002); #312918=CYLINDRICAL_SURFACE('',#337087,0.175000000000001); #312919=CYLINDRICAL_SURFACE('',#337092,0.465); #312920=CYLINDRICAL_SURFACE('',#337095,0.5); #312921=CYLINDRICAL_SURFACE('',#337100,0.140000000000002); #312922=CYLINDRICAL_SURFACE('',#337103,0.175000000000001); #312923=CYLINDRICAL_SURFACE('',#337108,0.140000000000002); #312924=CYLINDRICAL_SURFACE('',#337111,0.175000000000001); #312925=CYLINDRICAL_SURFACE('',#337116,0.140000000000002); #312926=CYLINDRICAL_SURFACE('',#337119,0.175000000000001); #312927=CYLINDRICAL_SURFACE('',#337124,0.139999999999998); #312928=CYLINDRICAL_SURFACE('',#337127,0.175000000000001); #312929=CYLINDRICAL_SURFACE('',#337132,0.140000000000002); #312930=CYLINDRICAL_SURFACE('',#337135,0.175000000000001); #312931=CYLINDRICAL_SURFACE('',#337140,0.139999999999998); #312932=CYLINDRICAL_SURFACE('',#337143,0.175000000000001); #312933=CYLINDRICAL_SURFACE('',#337148,0.140000000000002); #312934=CYLINDRICAL_SURFACE('',#337151,0.175000000000001); #312935=CYLINDRICAL_SURFACE('',#337156,0.139999999999998); #312936=CYLINDRICAL_SURFACE('',#337159,0.175000000000001); #312937=CYLINDRICAL_SURFACE('',#337164,0.140000000000002); #312938=CYLINDRICAL_SURFACE('',#337167,0.175000000000001); #312939=CYLINDRICAL_SURFACE('',#337172,0.140000000000002); #312940=CYLINDRICAL_SURFACE('',#337175,0.175000000000001); #312941=CYLINDRICAL_SURFACE('',#337180,0.140000000000002); #312942=CYLINDRICAL_SURFACE('',#337183,0.175000000000001); #312943=CYLINDRICAL_SURFACE('',#337188,0.139999999999998); #312944=CYLINDRICAL_SURFACE('',#337191,0.175000000000001); #312945=CYLINDRICAL_SURFACE('',#337196,0.140000000000002); #312946=CYLINDRICAL_SURFACE('',#337199,0.175000000000001); #312947=CYLINDRICAL_SURFACE('',#337204,0.140000000000002); #312948=CYLINDRICAL_SURFACE('',#337207,0.175000000000001); #312949=CYLINDRICAL_SURFACE('',#337212,0.140000000000002); #312950=CYLINDRICAL_SURFACE('',#337215,0.175000000000001); #312951=CYLINDRICAL_SURFACE('',#337220,0.564999999999998); #312952=CYLINDRICAL_SURFACE('',#337223,0.600000000000001); #312953=CYLINDRICAL_SURFACE('',#337228,0.114999999999998); #312954=CYLINDRICAL_SURFACE('',#337231,0.150000000000001); #312955=CYLINDRICAL_SURFACE('',#337236,0.139999999999998); #312956=CYLINDRICAL_SURFACE('',#337239,0.175000000000001); #312957=CYLINDRICAL_SURFACE('',#337244,0.114999999999998); #312958=CYLINDRICAL_SURFACE('',#337247,0.150000000000001); #312959=CYLINDRICAL_SURFACE('',#337252,0.139999999999998); #312960=CYLINDRICAL_SURFACE('',#337255,0.175000000000001); #312961=CYLINDRICAL_SURFACE('',#337260,0.114999999999998); #312962=CYLINDRICAL_SURFACE('',#337263,0.150000000000001); #312963=CYLINDRICAL_SURFACE('',#337268,0.139999999999998); #312964=CYLINDRICAL_SURFACE('',#337271,0.175000000000001); #312965=CYLINDRICAL_SURFACE('',#337276,0.114999999999998); #312966=CYLINDRICAL_SURFACE('',#337279,0.150000000000001); #312967=CYLINDRICAL_SURFACE('',#337284,0.139999999999998); #312968=CYLINDRICAL_SURFACE('',#337287,0.175000000000001); #312969=CYLINDRICAL_SURFACE('',#337292,0.140000000000002); #312970=CYLINDRICAL_SURFACE('',#337295,0.175000000000001); #312971=CYLINDRICAL_SURFACE('',#337300,0.139999999999998); #312972=CYLINDRICAL_SURFACE('',#337303,0.175000000000001); #312973=CYLINDRICAL_SURFACE('',#337308,0.140000000000002); #312974=CYLINDRICAL_SURFACE('',#337311,0.175000000000001); #312975=CYLINDRICAL_SURFACE('',#337316,0.139999999999998); #312976=CYLINDRICAL_SURFACE('',#337319,0.175000000000001); #312977=CYLINDRICAL_SURFACE('',#337324,0.140000000000002); #312978=CYLINDRICAL_SURFACE('',#337327,0.175000000000001); #312979=CYLINDRICAL_SURFACE('',#337332,0.139999999999998); #312980=CYLINDRICAL_SURFACE('',#337335,0.175000000000001); #312981=CYLINDRICAL_SURFACE('',#337340,0.140000000000002); #312982=CYLINDRICAL_SURFACE('',#337343,0.175000000000001); #312983=CYLINDRICAL_SURFACE('',#337348,0.139999999999998); #312984=CYLINDRICAL_SURFACE('',#337351,0.175000000000001); #312985=CYLINDRICAL_SURFACE('',#337356,0.139999999999998); #312986=CYLINDRICAL_SURFACE('',#337359,0.175000000000001); #312987=CYLINDRICAL_SURFACE('',#337364,0.14); #312988=CYLINDRICAL_SURFACE('',#337367,0.175); #312989=CYLINDRICAL_SURFACE('',#337372,0.139999999999998); #312990=CYLINDRICAL_SURFACE('',#337375,0.175000000000001); #312991=CYLINDRICAL_SURFACE('',#337380,0.139999999999998); #312992=CYLINDRICAL_SURFACE('',#337383,0.175000000000001); #312993=CYLINDRICAL_SURFACE('',#337388,0.139999999999998); #312994=CYLINDRICAL_SURFACE('',#337391,0.175000000000001); #312995=CYLINDRICAL_SURFACE('',#337396,0.14); #312996=CYLINDRICAL_SURFACE('',#337399,0.175); #312997=CYLINDRICAL_SURFACE('',#337404,0.139999999999998); #312998=CYLINDRICAL_SURFACE('',#337407,0.175000000000001); #312999=CYLINDRICAL_SURFACE('',#337412,0.139999999999998); #313000=CYLINDRICAL_SURFACE('',#337415,0.175000000000001); #313001=CYLINDRICAL_SURFACE('',#337420,0.139999999999998); #313002=CYLINDRICAL_SURFACE('',#337423,0.175000000000001); #313003=CYLINDRICAL_SURFACE('',#337428,0.139999999999998); #313004=CYLINDRICAL_SURFACE('',#337431,0.175000000000001); #313005=CYLINDRICAL_SURFACE('',#337436,0.139999999999998); #313006=CYLINDRICAL_SURFACE('',#337439,0.175000000000001); #313007=CYLINDRICAL_SURFACE('',#337444,0.139999999999998); #313008=CYLINDRICAL_SURFACE('',#337447,0.175000000000001); #313009=CYLINDRICAL_SURFACE('',#337452,0.140000000000002); #313010=CYLINDRICAL_SURFACE('',#337455,0.175000000000001); #313011=CYLINDRICAL_SURFACE('',#337460,0.139999999999998); #313012=CYLINDRICAL_SURFACE('',#337463,0.175000000000001); #313013=CYLINDRICAL_SURFACE('',#337468,0.140000000000002); #313014=CYLINDRICAL_SURFACE('',#337471,0.175000000000001); #313015=CYLINDRICAL_SURFACE('',#337476,0.472999999999999); #313016=CYLINDRICAL_SURFACE('',#337479,0.507999999999997); #313017=CYLINDRICAL_SURFACE('',#337484,0.139999999999998); #313018=CYLINDRICAL_SURFACE('',#337487,0.175000000000001); #313019=CYLINDRICAL_SURFACE('',#337492,0.14); #313020=CYLINDRICAL_SURFACE('',#337495,0.175); #313021=CYLINDRICAL_SURFACE('',#337500,0.139999999999998); #313022=CYLINDRICAL_SURFACE('',#337503,0.175000000000001); #313023=CYLINDRICAL_SURFACE('',#337508,0.139999999999998); #313024=CYLINDRICAL_SURFACE('',#337511,0.175000000000001); #313025=CYLINDRICAL_SURFACE('',#337516,0.139999999999998); #313026=CYLINDRICAL_SURFACE('',#337519,0.175000000000001); #313027=CYLINDRICAL_SURFACE('',#337524,0.14); #313028=CYLINDRICAL_SURFACE('',#337527,0.175000000000001); #313029=CYLINDRICAL_SURFACE('',#337532,0.139999999999998); #313030=CYLINDRICAL_SURFACE('',#337535,0.175000000000001); #313031=CYLINDRICAL_SURFACE('',#337540,0.139999999999998); #313032=CYLINDRICAL_SURFACE('',#337543,0.175000000000001); #313033=CYLINDRICAL_SURFACE('',#337548,0.139999999999998); #313034=CYLINDRICAL_SURFACE('',#337551,0.175000000000001); #313035=CYLINDRICAL_SURFACE('',#337556,0.14); #313036=CYLINDRICAL_SURFACE('',#337559,0.175); #313037=CYLINDRICAL_SURFACE('',#337564,0.139999999999998); #313038=CYLINDRICAL_SURFACE('',#337567,0.175000000000001); #313039=CYLINDRICAL_SURFACE('',#337572,0.139999999999998); #313040=CYLINDRICAL_SURFACE('',#337575,0.175000000000001); #313041=CYLINDRICAL_SURFACE('',#337580,0.139999999999998); #313042=CYLINDRICAL_SURFACE('',#337583,0.175000000000001); #313043=CYLINDRICAL_SURFACE('',#337588,0.14); #313044=CYLINDRICAL_SURFACE('',#337591,0.175000000000001); #313045=CYLINDRICAL_SURFACE('',#337596,0.139999999999998); #313046=CYLINDRICAL_SURFACE('',#337599,0.175000000000001); #313047=CYLINDRICAL_SURFACE('',#337604,0.465); #313048=CYLINDRICAL_SURFACE('',#337607,0.499999999999998); #313049=CYLINDRICAL_SURFACE('',#337612,0.140000000000002); #313050=CYLINDRICAL_SURFACE('',#337615,0.175000000000001); #313051=CYLINDRICAL_SURFACE('',#337620,0.14); #313052=CYLINDRICAL_SURFACE('',#337623,0.175); #313053=CYLINDRICAL_SURFACE('',#337628,0.139999999999998); #313054=CYLINDRICAL_SURFACE('',#337631,0.175000000000001); #313055=CYLINDRICAL_SURFACE('',#337636,0.139999999999998); #313056=CYLINDRICAL_SURFACE('',#337639,0.175000000000001); #313057=CYLINDRICAL_SURFACE('',#337644,0.139999999999998); #313058=CYLINDRICAL_SURFACE('',#337647,0.175000000000001); #313059=CYLINDRICAL_SURFACE('',#337652,0.14); #313060=CYLINDRICAL_SURFACE('',#337655,0.175); #313061=CYLINDRICAL_SURFACE('',#337660,0.139999999999998); #313062=CYLINDRICAL_SURFACE('',#337663,0.175000000000001); #313063=CYLINDRICAL_SURFACE('',#337668,0.139999999999998); #313064=CYLINDRICAL_SURFACE('',#337671,0.175000000000001); #313065=CYLINDRICAL_SURFACE('',#337676,0.139999999999998); #313066=CYLINDRICAL_SURFACE('',#337679,0.175000000000001); #313067=CYLINDRICAL_SURFACE('',#337684,0.14); #313068=CYLINDRICAL_SURFACE('',#337687,0.175); #313069=CYLINDRICAL_SURFACE('',#337692,0.140000000000002); #313070=CYLINDRICAL_SURFACE('',#337695,0.175000000000001); #313071=CYLINDRICAL_SURFACE('',#337700,0.139999999999998); #313072=CYLINDRICAL_SURFACE('',#337703,0.175000000000001); #313073=CYLINDRICAL_SURFACE('',#337708,0.139999999999998); #313074=CYLINDRICAL_SURFACE('',#337711,0.175000000000001); #313075=CYLINDRICAL_SURFACE('',#337716,0.14); #313076=CYLINDRICAL_SURFACE('',#337719,0.175); #313077=CYLINDRICAL_SURFACE('',#337724,0.139999999999998); #313078=CYLINDRICAL_SURFACE('',#337727,0.175000000000001); #313079=CYLINDRICAL_SURFACE('',#337732,0.514999999999999); #313080=CYLINDRICAL_SURFACE('',#337735,0.550000000000002); #313081=CYLINDRICAL_SURFACE('',#337740,0.139999999999998); #313082=CYLINDRICAL_SURFACE('',#337743,0.175000000000001); #313083=CYLINDRICAL_SURFACE('',#337748,0.140000000000002); #313084=CYLINDRICAL_SURFACE('',#337751,0.175000000000001); #313085=CYLINDRICAL_SURFACE('',#337756,0.139999999999998); #313086=CYLINDRICAL_SURFACE('',#337759,0.175000000000001); #313087=CYLINDRICAL_SURFACE('',#337764,0.139999999999998); #313088=CYLINDRICAL_SURFACE('',#337767,0.175000000000001); #313089=CYLINDRICAL_SURFACE('',#337772,0.139999999999998); #313090=CYLINDRICAL_SURFACE('',#337775,0.175000000000001); #313091=CYLINDRICAL_SURFACE('',#337780,0.140000000000002); #313092=CYLINDRICAL_SURFACE('',#337783,0.175000000000001); #313093=CYLINDRICAL_SURFACE('',#337788,0.139999999999998); #313094=CYLINDRICAL_SURFACE('',#337791,0.175000000000001); #313095=CYLINDRICAL_SURFACE('',#337796,0.139999999999998); #313096=CYLINDRICAL_SURFACE('',#337799,0.175000000000001); #313097=CYLINDRICAL_SURFACE('',#337804,0.139999999999998); #313098=CYLINDRICAL_SURFACE('',#337807,0.175000000000001); #313099=CYLINDRICAL_SURFACE('',#337812,0.140000000000002); #313100=CYLINDRICAL_SURFACE('',#337815,0.175000000000001); #313101=CYLINDRICAL_SURFACE('',#337820,0.139999999999998); #313102=CYLINDRICAL_SURFACE('',#337823,0.175000000000001); #313103=CYLINDRICAL_SURFACE('',#337828,0.139999999999998); #313104=CYLINDRICAL_SURFACE('',#337831,0.175000000000001); #313105=CYLINDRICAL_SURFACE('',#337836,0.139999999999998); #313106=CYLINDRICAL_SURFACE('',#337839,0.175000000000001); #313107=CYLINDRICAL_SURFACE('',#337844,0.140000000000002); #313108=CYLINDRICAL_SURFACE('',#337847,0.175000000000001); #313109=CYLINDRICAL_SURFACE('',#337852,0.139999999999998); #313110=CYLINDRICAL_SURFACE('',#337855,0.175000000000001); #313111=CYLINDRICAL_SURFACE('',#337860,0.465); #313112=CYLINDRICAL_SURFACE('',#337863,0.499999999999998); #313113=CYLINDRICAL_SURFACE('',#337868,0.139999999999998); #313114=CYLINDRICAL_SURFACE('',#337871,0.175000000000001); #313115=CYLINDRICAL_SURFACE('',#337876,0.14); #313116=CYLINDRICAL_SURFACE('',#337879,0.175000000000001); #313117=CYLINDRICAL_SURFACE('',#337884,0.14); #313118=CYLINDRICAL_SURFACE('',#337887,0.175000000000001); #313119=CYLINDRICAL_SURFACE('',#337892,0.139999999999998); #313120=CYLINDRICAL_SURFACE('',#337895,0.175000000000001); #313121=CYLINDRICAL_SURFACE('',#337900,0.14); #313122=CYLINDRICAL_SURFACE('',#337903,0.175000000000001); #313123=CYLINDRICAL_SURFACE('',#337908,0.14); #313124=CYLINDRICAL_SURFACE('',#337911,0.175000000000001); #313125=CYLINDRICAL_SURFACE('',#337916,0.14); #313126=CYLINDRICAL_SURFACE('',#337919,0.175000000000001); #313127=CYLINDRICAL_SURFACE('',#337924,0.139999999999998); #313128=CYLINDRICAL_SURFACE('',#337927,0.175000000000001); #313129=CYLINDRICAL_SURFACE('',#337932,0.139999999999998); #313130=CYLINDRICAL_SURFACE('',#337935,0.175000000000001); #313131=CYLINDRICAL_SURFACE('',#337940,0.140000000000002); #313132=CYLINDRICAL_SURFACE('',#337943,0.175000000000001); #313133=CYLINDRICAL_SURFACE('',#337948,0.139999999999998); #313134=CYLINDRICAL_SURFACE('',#337951,0.175000000000001); #313135=CYLINDRICAL_SURFACE('',#337956,0.139999999999998); #313136=CYLINDRICAL_SURFACE('',#337959,0.175000000000001); #313137=CYLINDRICAL_SURFACE('',#337964,0.139999999999998); #313138=CYLINDRICAL_SURFACE('',#337967,0.175000000000001); #313139=CYLINDRICAL_SURFACE('',#337972,0.140000000000002); #313140=CYLINDRICAL_SURFACE('',#337975,0.175000000000001); #313141=CYLINDRICAL_SURFACE('',#337980,0.139999999999998); #313142=CYLINDRICAL_SURFACE('',#337983,0.175000000000001); #313143=CYLINDRICAL_SURFACE('',#337988,0.472999999999999); #313144=CYLINDRICAL_SURFACE('',#337991,0.507999999999997); #313145=CYLINDRICAL_SURFACE('',#337996,0.14); #313146=CYLINDRICAL_SURFACE('',#337999,0.175000000000001); #313147=CYLINDRICAL_SURFACE('',#338004,0.140000000000002); #313148=CYLINDRICAL_SURFACE('',#338007,0.175000000000001); #313149=CYLINDRICAL_SURFACE('',#338012,0.14); #313150=CYLINDRICAL_SURFACE('',#338015,0.175000000000001); #313151=CYLINDRICAL_SURFACE('',#338020,0.139999999999998); #313152=CYLINDRICAL_SURFACE('',#338023,0.175000000000001); #313153=CYLINDRICAL_SURFACE('',#338028,0.14); #313154=CYLINDRICAL_SURFACE('',#338031,0.175000000000001); #313155=CYLINDRICAL_SURFACE('',#338036,0.140000000000002); #313156=CYLINDRICAL_SURFACE('',#338039,0.175000000000001); #313157=CYLINDRICAL_SURFACE('',#338044,0.14); #313158=CYLINDRICAL_SURFACE('',#338047,0.175000000000001); #313159=CYLINDRICAL_SURFACE('',#338052,0.139999999999998); #313160=CYLINDRICAL_SURFACE('',#338055,0.175000000000001); #313161=CYLINDRICAL_SURFACE('',#338060,0.139999999999998); #313162=CYLINDRICAL_SURFACE('',#338063,0.175000000000001); #313163=CYLINDRICAL_SURFACE('',#338068,0.140000000000002); #313164=CYLINDRICAL_SURFACE('',#338071,0.175000000000001); #313165=CYLINDRICAL_SURFACE('',#338076,0.139999999999998); #313166=CYLINDRICAL_SURFACE('',#338079,0.175000000000001); #313167=CYLINDRICAL_SURFACE('',#338084,0.139999999999998); #313168=CYLINDRICAL_SURFACE('',#338087,0.175000000000001); #313169=CYLINDRICAL_SURFACE('',#338092,0.14); #313170=CYLINDRICAL_SURFACE('',#338095,0.175000000000001); #313171=CYLINDRICAL_SURFACE('',#338100,0.140000000000002); #313172=CYLINDRICAL_SURFACE('',#338103,0.175000000000001); #313173=CYLINDRICAL_SURFACE('',#338108,0.14); #313174=CYLINDRICAL_SURFACE('',#338111,0.175000000000001); #313175=CYLINDRICAL_SURFACE('',#338116,0.465); #313176=CYLINDRICAL_SURFACE('',#338119,0.499999999999998); #313177=CYLINDRICAL_SURFACE('',#338124,0.14); #313178=CYLINDRICAL_SURFACE('',#338127,0.175000000000001); #313179=CYLINDRICAL_SURFACE('',#338132,0.140000000000002); #313180=CYLINDRICAL_SURFACE('',#338135,0.175000000000001); #313181=CYLINDRICAL_SURFACE('',#338140,0.14); #313182=CYLINDRICAL_SURFACE('',#338143,0.175000000000001); #313183=CYLINDRICAL_SURFACE('',#338148,0.139999999999998); #313184=CYLINDRICAL_SURFACE('',#338151,0.175000000000001); #313185=CYLINDRICAL_SURFACE('',#338156,0.14); #313186=CYLINDRICAL_SURFACE('',#338159,0.175000000000001); #313187=CYLINDRICAL_SURFACE('',#338164,0.140000000000002); #313188=CYLINDRICAL_SURFACE('',#338167,0.175000000000001); #313189=CYLINDRICAL_SURFACE('',#338172,0.14); #313190=CYLINDRICAL_SURFACE('',#338175,0.175000000000001); #313191=CYLINDRICAL_SURFACE('',#338180,0.139999999999998); #313192=CYLINDRICAL_SURFACE('',#338183,0.175000000000001); #313193=CYLINDRICAL_SURFACE('',#338188,0.14); #313194=CYLINDRICAL_SURFACE('',#338191,0.175000000000001); #313195=CYLINDRICAL_SURFACE('',#338196,0.14); #313196=CYLINDRICAL_SURFACE('',#338199,0.175000000000001); #313197=CYLINDRICAL_SURFACE('',#338204,0.14); #313198=CYLINDRICAL_SURFACE('',#338207,0.175000000000001); #313199=CYLINDRICAL_SURFACE('',#338212,0.139999999999998); #313200=CYLINDRICAL_SURFACE('',#338215,0.175000000000001); #313201=CYLINDRICAL_SURFACE('',#338220,0.139999999999998); #313202=CYLINDRICAL_SURFACE('',#338223,0.175000000000001); #313203=CYLINDRICAL_SURFACE('',#338228,0.14); #313204=CYLINDRICAL_SURFACE('',#338231,0.175); #313205=CYLINDRICAL_SURFACE('',#338236,0.139999999999998); #313206=CYLINDRICAL_SURFACE('',#338239,0.175000000000001); #313207=CYLINDRICAL_SURFACE('',#338244,0.565000000000002); #313208=CYLINDRICAL_SURFACE('',#338247,0.600000000000001); #313209=CYLINDRICAL_SURFACE('',#338252,0.14); #313210=CYLINDRICAL_SURFACE('',#338255,0.175000000000001); #313211=CYLINDRICAL_SURFACE('',#338260,0.139999999999998); #313212=CYLINDRICAL_SURFACE('',#338263,0.175000000000001); #313213=CYLINDRICAL_SURFACE('',#338268,0.14); #313214=CYLINDRICAL_SURFACE('',#338271,0.175000000000001); #313215=CYLINDRICAL_SURFACE('',#338276,0.139999999999998); #313216=CYLINDRICAL_SURFACE('',#338279,0.175000000000001); #313217=CYLINDRICAL_SURFACE('',#338284,0.14); #313218=CYLINDRICAL_SURFACE('',#338287,0.175000000000001); #313219=CYLINDRICAL_SURFACE('',#338292,0.139999999999998); #313220=CYLINDRICAL_SURFACE('',#338295,0.175000000000001); #313221=CYLINDRICAL_SURFACE('',#338300,0.14); #313222=CYLINDRICAL_SURFACE('',#338303,0.175000000000001); #313223=CYLINDRICAL_SURFACE('',#338308,0.140000000000002); #313224=CYLINDRICAL_SURFACE('',#338311,0.175000000000001); #313225=CYLINDRICAL_SURFACE('',#338316,0.139999999999998); #313226=CYLINDRICAL_SURFACE('',#338319,0.175000000000001); #313227=CYLINDRICAL_SURFACE('',#338324,0.140000000000002); #313228=CYLINDRICAL_SURFACE('',#338327,0.175000000000001); #313229=CYLINDRICAL_SURFACE('',#338332,0.139999999999998); #313230=CYLINDRICAL_SURFACE('',#338335,0.175000000000001); #313231=CYLINDRICAL_SURFACE('',#338340,0.139999999999998); #313232=CYLINDRICAL_SURFACE('',#338343,0.175000000000001); #313233=CYLINDRICAL_SURFACE('',#338348,0.139999999999998); #313234=CYLINDRICAL_SURFACE('',#338351,0.175000000000001); #313235=CYLINDRICAL_SURFACE('',#338356,0.139999999999998); #313236=CYLINDRICAL_SURFACE('',#338359,0.175000000000001); #313237=CYLINDRICAL_SURFACE('',#338364,0.14); #313238=CYLINDRICAL_SURFACE('',#338367,0.175000000000001); #313239=CYLINDRICAL_SURFACE('',#338372,0.465); #313240=CYLINDRICAL_SURFACE('',#338375,0.499999999999998); #313241=CYLINDRICAL_SURFACE('',#338381,0.315); #313242=CYLINDRICAL_SURFACE('',#338385,0.315); #313243=CYLINDRICAL_SURFACE('',#338389,0.35); #313244=CYLINDRICAL_SURFACE('',#338393,0.35); #313245=CYLINDRICAL_SURFACE('',#338398,0.139999999999998); #313246=CYLINDRICAL_SURFACE('',#338401,0.175000000000001); #313247=CYLINDRICAL_SURFACE('',#338407,0.315); #313248=CYLINDRICAL_SURFACE('',#338411,0.315); #313249=CYLINDRICAL_SURFACE('',#338415,0.35); #313250=CYLINDRICAL_SURFACE('',#338419,0.35); #313251=CYLINDRICAL_SURFACE('',#338424,0.139999999999998); #313252=CYLINDRICAL_SURFACE('',#338427,0.175000000000001); #313253=CYLINDRICAL_SURFACE('',#338433,0.565); #313254=CYLINDRICAL_SURFACE('',#338437,0.565); #313255=CYLINDRICAL_SURFACE('',#338441,0.6); #313256=CYLINDRICAL_SURFACE('',#338445,0.6); #313257=CYLINDRICAL_SURFACE('',#338450,0.139999999999998); #313258=CYLINDRICAL_SURFACE('',#338453,0.175000000000001); #313259=CYLINDRICAL_SURFACE('',#338459,0.565); #313260=CYLINDRICAL_SURFACE('',#338463,0.565); #313261=CYLINDRICAL_SURFACE('',#338467,0.6); #313262=CYLINDRICAL_SURFACE('',#338471,0.6); #313263=CYLINDRICAL_SURFACE('',#338476,0.139999999999998); #313264=CYLINDRICAL_SURFACE('',#338479,0.175000000000001); #313265=CYLINDRICAL_SURFACE('',#338484,0.114999999999998); #313266=CYLINDRICAL_SURFACE('',#338487,0.150000000000001); #313267=CYLINDRICAL_SURFACE('',#338492,0.139999999999998); #313268=CYLINDRICAL_SURFACE('',#338495,0.175000000000001); #313269=CYLINDRICAL_SURFACE('',#338500,0.114999999999998); #313270=CYLINDRICAL_SURFACE('',#338503,0.150000000000001); #313271=CYLINDRICAL_SURFACE('',#338508,0.139999999999998); #313272=CYLINDRICAL_SURFACE('',#338511,0.175000000000001); #313273=CYLINDRICAL_SURFACE('',#338516,0.114999999999998); #313274=CYLINDRICAL_SURFACE('',#338519,0.150000000000001); #313275=CYLINDRICAL_SURFACE('',#338524,0.139999999999998); #313276=CYLINDRICAL_SURFACE('',#338527,0.175000000000001); #313277=CYLINDRICAL_SURFACE('',#338532,0.114999999999998); #313278=CYLINDRICAL_SURFACE('',#338535,0.150000000000001); #313279=CYLINDRICAL_SURFACE('',#338540,0.140000000000002); #313280=CYLINDRICAL_SURFACE('',#338543,0.175000000000001); #313281=CYLINDRICAL_SURFACE('',#338548,0.139999999999998); #313282=CYLINDRICAL_SURFACE('',#338551,0.175000000000001); #313283=CYLINDRICAL_SURFACE('',#338556,0.139999999999998); #313284=CYLINDRICAL_SURFACE('',#338559,0.175000000000001); #313285=CYLINDRICAL_SURFACE('',#338565,0.315); #313286=CYLINDRICAL_SURFACE('',#338569,0.315); #313287=CYLINDRICAL_SURFACE('',#338573,0.35); #313288=CYLINDRICAL_SURFACE('',#338577,0.35); #313289=CYLINDRICAL_SURFACE('',#338582,0.139999999999998); #313290=CYLINDRICAL_SURFACE('',#338585,0.175000000000001); #313291=CYLINDRICAL_SURFACE('',#338591,0.315); #313292=CYLINDRICAL_SURFACE('',#338595,0.315); #313293=CYLINDRICAL_SURFACE('',#338599,0.35); #313294=CYLINDRICAL_SURFACE('',#338603,0.35); #313295=CYLINDRICAL_SURFACE('',#338608,0.139999999999998); #313296=CYLINDRICAL_SURFACE('',#338611,0.175000000000001); #313297=CYLINDRICAL_SURFACE('',#338616,0.139999999999998); #313298=CYLINDRICAL_SURFACE('',#338619,0.175000000000001); #313299=CYLINDRICAL_SURFACE('',#338624,0.139999999999998); #313300=CYLINDRICAL_SURFACE('',#338627,0.175000000000001); #313301=CYLINDRICAL_SURFACE('',#338632,0.139999999999998); #313302=CYLINDRICAL_SURFACE('',#338635,0.175000000000001); #313303=CYLINDRICAL_SURFACE('',#338640,0.514999999999999); #313304=CYLINDRICAL_SURFACE('',#338643,0.550000000000002); #313305=CYLINDRICAL_SURFACE('',#338648,0.140000000000002); #313306=CYLINDRICAL_SURFACE('',#338651,0.175000000000001); #313307=CYLINDRICAL_SURFACE('',#338656,0.139999999999998); #313308=CYLINDRICAL_SURFACE('',#338659,0.175000000000001); #313309=CYLINDRICAL_SURFACE('',#338664,0.140000000000002); #313310=CYLINDRICAL_SURFACE('',#338667,0.175000000000001); #313311=CYLINDRICAL_SURFACE('',#338672,0.139999999999998); #313312=CYLINDRICAL_SURFACE('',#338675,0.175000000000001); #313313=CYLINDRICAL_SURFACE('',#338680,0.139999999999998); #313314=CYLINDRICAL_SURFACE('',#338683,0.175000000000001); #313315=CYLINDRICAL_SURFACE('',#338688,0.139999999999998); #313316=CYLINDRICAL_SURFACE('',#338691,0.175000000000001); #313317=CYLINDRICAL_SURFACE('',#338696,0.140000000000002); #313318=CYLINDRICAL_SURFACE('',#338699,0.175000000000001); #313319=CYLINDRICAL_SURFACE('',#338704,0.140000000000002); #313320=CYLINDRICAL_SURFACE('',#338707,0.175000000000001); #313321=CYLINDRICAL_SURFACE('',#338712,0.14); #313322=CYLINDRICAL_SURFACE('',#338715,0.175000000000001); #313323=CYLINDRICAL_SURFACE('',#338720,0.139999999999998); #313324=CYLINDRICAL_SURFACE('',#338723,0.175000000000001); #313325=CYLINDRICAL_SURFACE('',#338728,0.14); #313326=CYLINDRICAL_SURFACE('',#338731,0.175000000000001); #313327=CYLINDRICAL_SURFACE('',#338736,0.139999999999998); #313328=CYLINDRICAL_SURFACE('',#338739,0.175000000000001); #313329=CYLINDRICAL_SURFACE('',#338744,0.14); #313330=CYLINDRICAL_SURFACE('',#338747,0.175000000000001); #313331=CYLINDRICAL_SURFACE('',#338752,0.139999999999998); #313332=CYLINDRICAL_SURFACE('',#338755,0.175000000000001); #313333=CYLINDRICAL_SURFACE('',#338760,0.14); #313334=CYLINDRICAL_SURFACE('',#338763,0.175000000000001); #313335=CYLINDRICAL_SURFACE('',#338768,0.465); #313336=CYLINDRICAL_SURFACE('',#338771,0.499999999999998); #313337=CYLINDRICAL_SURFACE('',#338776,0.140000000000002); #313338=CYLINDRICAL_SURFACE('',#338779,0.175000000000001); #313339=CYLINDRICAL_SURFACE('',#338784,0.139999999999998); #313340=CYLINDRICAL_SURFACE('',#338787,0.175000000000001); #313341=CYLINDRICAL_SURFACE('',#338792,0.140000000000002); #313342=CYLINDRICAL_SURFACE('',#338795,0.175000000000001); #313343=CYLINDRICAL_SURFACE('',#338800,0.139999999999998); #313344=CYLINDRICAL_SURFACE('',#338803,0.175000000000001); #313345=CYLINDRICAL_SURFACE('',#338808,0.140000000000002); #313346=CYLINDRICAL_SURFACE('',#338811,0.175000000000001); #313347=CYLINDRICAL_SURFACE('',#338816,0.139999999999998); #313348=CYLINDRICAL_SURFACE('',#338819,0.175000000000001); #313349=CYLINDRICAL_SURFACE('',#338824,0.140000000000002); #313350=CYLINDRICAL_SURFACE('',#338827,0.175000000000001); #313351=CYLINDRICAL_SURFACE('',#338832,0.139999999999998); #313352=CYLINDRICAL_SURFACE('',#338835,0.175000000000001); #313353=CYLINDRICAL_SURFACE('',#338840,0.140000000000002); #313354=CYLINDRICAL_SURFACE('',#338843,0.175000000000001); #313355=CYLINDRICAL_SURFACE('',#338848,0.139999999999998); #313356=CYLINDRICAL_SURFACE('',#338851,0.175000000000001); #313357=CYLINDRICAL_SURFACE('',#338856,0.140000000000002); #313358=CYLINDRICAL_SURFACE('',#338859,0.175000000000001); #313359=CYLINDRICAL_SURFACE('',#338864,0.139999999999998); #313360=CYLINDRICAL_SURFACE('',#338867,0.175000000000001); #313361=CYLINDRICAL_SURFACE('',#338872,0.140000000000002); #313362=CYLINDRICAL_SURFACE('',#338875,0.175000000000001); #313363=CYLINDRICAL_SURFACE('',#338880,0.139999999999998); #313364=CYLINDRICAL_SURFACE('',#338883,0.175000000000001); #313365=CYLINDRICAL_SURFACE('',#338888,0.140000000000002); #313366=CYLINDRICAL_SURFACE('',#338891,0.175000000000001); #313367=CYLINDRICAL_SURFACE('',#338896,0.564999999999998); #313368=CYLINDRICAL_SURFACE('',#338899,0.600000000000001); #313369=CYLINDRICAL_SURFACE('',#338905,0.564999999999998); #313370=CYLINDRICAL_SURFACE('',#338909,0.900857088405025); #313371=CYLINDRICAL_SURFACE('',#338912,0.900856769723134); #313372=CYLINDRICAL_SURFACE('',#338983,0.139999999999998); #313373=CYLINDRICAL_SURFACE('',#338987,0.275430523299251); #313374=CYLINDRICAL_SURFACE('',#338991,0.127001515838141); #313375=CYLINDRICAL_SURFACE('',#339001,0.275430756167228); #313376=CYLINDRICAL_SURFACE('',#339004,0.275850020106942); #313377=CYLINDRICAL_SURFACE('',#339009,0.139999999999998); #313378=CYLINDRICAL_SURFACE('',#339013,0.275429677195186); #313379=CYLINDRICAL_SURFACE('',#339023,0.275429442438526); #313380=CYLINDRICAL_SURFACE('',#339036,0.127001515838136); #313381=CYLINDRICAL_SURFACE('',#339040,0.127001515838139); #313382=CYLINDRICAL_SURFACE('',#339050,0.127000308727438); #313383=CYLINDRICAL_SURFACE('',#339061,0.127000308727433); #313384=CYLINDRICAL_SURFACE('',#339071,0.127000308727435); #313385=CYLINDRICAL_SURFACE('',#339076,0.127000308727438); #313386=CYLINDRICAL_SURFACE('',#339086,0.127001515838141); #313387=CYLINDRICAL_SURFACE('',#339094,0.127000308727433); #313388=CYLINDRICAL_SURFACE('',#339098,0.127000308727433); #313389=CYLINDRICAL_SURFACE('',#339112,0.127000308727433); #313390=CYLINDRICAL_SURFACE('',#339116,0.127000308727433); #313391=CYLINDRICAL_SURFACE('',#339124,0.127000308727425); #313392=CYLINDRICAL_SURFACE('',#339134,0.127000308727441); #313393=CYLINDRICAL_SURFACE('',#339139,0.127000308727431); #313394=CYLINDRICAL_SURFACE('',#339149,0.127000308727431); #313395=CYLINDRICAL_SURFACE('',#339156,0.139999999999998); #313396=CYLINDRICAL_SURFACE('',#339160,0.275429726247122); #313397=CYLINDRICAL_SURFACE('',#339165,0.127000429438417); #313398=CYLINDRICAL_SURFACE('',#339170,0.127000308727438); #313399=CYLINDRICAL_SURFACE('',#339181,0.127000308727435); #313400=CYLINDRICAL_SURFACE('',#339186,0.127000429438417); #313401=CYLINDRICAL_SURFACE('',#339190,0.27542954841201); #313402=CYLINDRICAL_SURFACE('',#339195,0.139999999999998); #313403=CYLINDRICAL_SURFACE('',#339199,0.275429726247122); #313404=CYLINDRICAL_SURFACE('',#339204,0.127001515838136); #313405=CYLINDRICAL_SURFACE('',#339215,0.127000308727438); #313406=CYLINDRICAL_SURFACE('',#339219,0.275429548412009); #313407=CYLINDRICAL_SURFACE('',#339224,0.139999999999998); #313408=CYLINDRICAL_SURFACE('',#339228,0.275429677195182); #313409=CYLINDRICAL_SURFACE('',#339238,0.127000308727438); #313410=CYLINDRICAL_SURFACE('',#339242,0.275429442438522); #313411=CYLINDRICAL_SURFACE('',#339247,0.139999999999998); #313412=CYLINDRICAL_SURFACE('',#339251,0.275430525995305); #313413=CYLINDRICAL_SURFACE('',#339255,0.127000308727436); #313414=CYLINDRICAL_SURFACE('',#339267,0.275850020106943); #313415=CYLINDRICAL_SURFACE('',#339272,0.140000000000002); #313416=CYLINDRICAL_SURFACE('',#339275,0.140000000000002); #313417=CYLINDRICAL_SURFACE('',#339279,0.275850031150944); #313418=CYLINDRICAL_SURFACE('',#339282,0.275430734847662); #313419=CYLINDRICAL_SURFACE('',#339286,0.275446747951507); #313420=CYLINDRICAL_SURFACE('',#339289,0.275424633936642); #313421=CYLINDRICAL_SURFACE('',#339293,0.275430284536491); #313422=CYLINDRICAL_SURFACE('',#339298,0.139999999999998); #313423=CYLINDRICAL_SURFACE('',#339302,0.275429726247122); #313424=CYLINDRICAL_SURFACE('',#339307,0.127001515838133); #313425=CYLINDRICAL_SURFACE('',#339318,0.127000308727435); #313426=CYLINDRICAL_SURFACE('',#339322,0.275429548412009); #313427=CYLINDRICAL_SURFACE('',#339327,0.139999999999998); #313428=CYLINDRICAL_SURFACE('',#339331,0.275429726247122); #313429=CYLINDRICAL_SURFACE('',#339336,0.127000429438417); #313430=CYLINDRICAL_SURFACE('',#339341,0.127000308727435); #313431=CYLINDRICAL_SURFACE('',#339352,0.127000308727433); #313432=CYLINDRICAL_SURFACE('',#339357,0.127000429438417); #313433=CYLINDRICAL_SURFACE('',#339361,0.27542954841201); #313434=CYLINDRICAL_SURFACE('',#339370,0.127000308727439); #313435=CYLINDRICAL_SURFACE('',#339374,0.127000308727438); #313436=CYLINDRICAL_SURFACE('',#339380,0.127000308727438); #313437=CYLINDRICAL_SURFACE('',#339390,0.127000308727433); #313438=CYLINDRICAL_SURFACE('',#339394,0.127000308727435); #313439=CYLINDRICAL_SURFACE('',#339404,0.139999999999998); #313440=CYLINDRICAL_SURFACE('',#339408,0.275429677195182); #313441=CYLINDRICAL_SURFACE('',#339413,0.127000308727438); #313442=CYLINDRICAL_SURFACE('',#339417,0.127000308727438); #313443=CYLINDRICAL_SURFACE('',#339421,0.127000308727446); #313444=CYLINDRICAL_SURFACE('',#339431,0.127000308727441); #313445=CYLINDRICAL_SURFACE('',#339438,0.127000308727436); #313446=CYLINDRICAL_SURFACE('',#339442,0.275429442438521); #313447=CYLINDRICAL_SURFACE('',#339447,0.139999999999998); #313448=CYLINDRICAL_SURFACE('',#339451,0.27543052329925); #313449=CYLINDRICAL_SURFACE('',#339461,0.275430756167224); #313450=CYLINDRICAL_SURFACE('',#339464,0.275850020106942); #313451=CYLINDRICAL_SURFACE('',#339474,0.127000308727433); #313452=CYLINDRICAL_SURFACE('',#339480,0.127000308727444); #313453=CYLINDRICAL_SURFACE('',#339484,0.127000308727438); #313454=CYLINDRICAL_SURFACE('',#339488,0.127000308727436); #313455=CYLINDRICAL_SURFACE('',#339493,0.127000308727438); #313456=CYLINDRICAL_SURFACE('',#339503,0.127000308727443); #313457=CYLINDRICAL_SURFACE('',#339508,0.127000308727427); #313458=CYLINDRICAL_SURFACE('',#339515,0.127000308727438); #313459=CYLINDRICAL_SURFACE('',#339519,0.127001515838136); #313460=CYLINDRICAL_SURFACE('',#339533,0.127001515838136); #313461=CYLINDRICAL_SURFACE('',#339537,0.127000308727433); #313462=CYLINDRICAL_SURFACE('',#339544,0.127000308727433); #313463=CYLINDRICAL_SURFACE('',#339549,0.127000308727443); #313464=CYLINDRICAL_SURFACE('',#339559,0.127000308727438); #313465=CYLINDRICAL_SURFACE('',#339564,0.127000308727436); #313466=CYLINDRICAL_SURFACE('',#339568,0.127000308727438); #313467=CYLINDRICAL_SURFACE('',#339572,0.127000308727444); #313468=CYLINDRICAL_SURFACE('',#339578,0.127000308727433); #313469=CYLINDRICAL_SURFACE('',#339589,0.127000308727438); #313470=CYLINDRICAL_SURFACE('',#339594,0.127000308727438); #313471=CYLINDRICAL_SURFACE('',#339604,0.127000308727438); #313472=CYLINDRICAL_SURFACE('',#339613,0.139999999999998); #313473=CYLINDRICAL_SURFACE('',#339617,0.27543052329925); #313474=CYLINDRICAL_SURFACE('',#339621,0.127000308727434); #313475=CYLINDRICAL_SURFACE('',#339626,0.127000308727438); #313476=CYLINDRICAL_SURFACE('',#339636,0.127000308727439); #313477=CYLINDRICAL_SURFACE('',#339641,0.275430756167228); #313478=CYLINDRICAL_SURFACE('',#339644,0.275850020106943); #313479=CYLINDRICAL_SURFACE('',#339649,0.139999999999998); #313480=CYLINDRICAL_SURFACE('',#339653,0.275429677195186); #313481=CYLINDRICAL_SURFACE('',#339658,0.127000308727435); #313482=CYLINDRICAL_SURFACE('',#339669,0.127000308727435); #313483=CYLINDRICAL_SURFACE('',#339673,0.275429442438527); #313484=CYLINDRICAL_SURFACE('',#339678,0.139999999999998); #313485=CYLINDRICAL_SURFACE('',#339682,0.275850826114455); #313486=CYLINDRICAL_SURFACE('',#339685,0.275429566248993); #313487=CYLINDRICAL_SURFACE('',#339695,0.127000308727425); #313488=CYLINDRICAL_SURFACE('',#339699,0.275430756167224); #313489=CYLINDRICAL_SURFACE('',#339704,0.139999999999998); #313490=CYLINDRICAL_SURFACE('',#339712,0.127000308727433); #313491=CYLINDRICAL_SURFACE('',#339717,0.127000308727438); #313492=CYLINDRICAL_SURFACE('',#339721,0.275430616157731); #313493=CYLINDRICAL_SURFACE('',#339724,0.275430435747982); #313494=CYLINDRICAL_SURFACE('',#339729,0.127000308727436); #313495=CYLINDRICAL_SURFACE('',#339737,0.139999999999998); #313496=CYLINDRICAL_SURFACE('',#339741,0.275430523299249); #313497=CYLINDRICAL_SURFACE('',#339745,0.127001515838139); #313498=CYLINDRICAL_SURFACE('',#339755,0.275430756167228); #313499=CYLINDRICAL_SURFACE('',#339758,0.275850020106943); #313500=CYLINDRICAL_SURFACE('',#339763,0.139999999999998); #313501=CYLINDRICAL_SURFACE('',#339772,0.127000308727438); #313502=CYLINDRICAL_SURFACE('',#339777,0.275430616157734); #313503=CYLINDRICAL_SURFACE('',#339780,0.275430906036581); #313504=CYLINDRICAL_SURFACE('',#339784,0.127000308727438); #313505=CYLINDRICAL_SURFACE('',#339789,0.127000308727433); #313506=CYLINDRICAL_SURFACE('',#339796,0.139999999999998); #313507=CYLINDRICAL_SURFACE('',#339800,0.27543052329925); #313508=CYLINDRICAL_SURFACE('',#339810,0.275430756167225); #313509=CYLINDRICAL_SURFACE('',#339813,0.275850020106942); #313510=CYLINDRICAL_SURFACE('',#339818,0.140000000000002); #313511=CYLINDRICAL_SURFACE('',#339822,0.275850826114459); #313512=CYLINDRICAL_SURFACE('',#339825,0.275429566248995); #313513=CYLINDRICAL_SURFACE('',#339835,0.275430756167224); #313514=CYLINDRICAL_SURFACE('',#339840,0.139999999999998); #313515=CYLINDRICAL_SURFACE('',#339848,0.12700030872744); #313516=CYLINDRICAL_SURFACE('',#339856,0.275429728561975); #313517=CYLINDRICAL_SURFACE('',#339859,0.275429566248996); #313518=CYLINDRICAL_SURFACE('',#339863,0.127000308727436); #313519=CYLINDRICAL_SURFACE('',#339867,0.127000308727438); #313520=CYLINDRICAL_SURFACE('',#339871,0.127000308727438); #313521=CYLINDRICAL_SURFACE('',#339875,0.127000308727431); #313522=CYLINDRICAL_SURFACE('',#339882,0.139999999999998); #313523=CYLINDRICAL_SURFACE('',#339893,0.275429728561975); #313524=CYLINDRICAL_SURFACE('',#339896,0.275429566248998); #313525=CYLINDRICAL_SURFACE('',#339900,0.127000308727436); #313526=CYLINDRICAL_SURFACE('',#339904,0.127000308727438); #313527=CYLINDRICAL_SURFACE('',#339908,0.12700151583812); #313528=CYLINDRICAL_SURFACE('',#339914,0.140000000000002); #313529=CYLINDRICAL_SURFACE('',#339922,0.127000308727436); #313530=CYLINDRICAL_SURFACE('',#339926,0.127000308727436); #313531=CYLINDRICAL_SURFACE('',#339930,0.127000308727436); #313532=CYLINDRICAL_SURFACE('',#339934,0.127000308727447); #313533=CYLINDRICAL_SURFACE('',#339938,0.275429704872057); #313534=CYLINDRICAL_SURFACE('',#339941,0.275429777742636); #313535=CYLINDRICAL_SURFACE('',#339949,0.12700030872743); #313536=CYLINDRICAL_SURFACE('',#339960,0.127000308727437); #313537=CYLINDRICAL_SURFACE('',#339964,0.127000308727438); #313538=CYLINDRICAL_SURFACE('',#339976,0.127000308727433); #313539=CYLINDRICAL_SURFACE('',#339980,0.127000308727438); #313540=CYLINDRICAL_SURFACE('',#339990,0.139999999999998); #313541=CYLINDRICAL_SURFACE('',#339993,0.139999999999998); #313542=CYLINDRICAL_SURFACE('',#339997,0.275850826114455); #313543=CYLINDRICAL_SURFACE('',#340000,0.275429566248994); #313544=CYLINDRICAL_SURFACE('',#340004,0.275429704872052); #313545=CYLINDRICAL_SURFACE('',#340007,0.275429777742632); #313546=CYLINDRICAL_SURFACE('',#340011,0.275430756167228); #313547=CYLINDRICAL_SURFACE('',#340016,0.139999999999998); #313548=CYLINDRICAL_SURFACE('',#340025,0.139999999999998); #313549=CYLINDRICAL_SURFACE('',#340034,0.140000000000002); #313550=CYLINDRICAL_SURFACE('',#340047,0.127000308727447); #313551=CYLINDRICAL_SURFACE('',#340051,0.127000308727447); #313552=CYLINDRICAL_SURFACE('',#340057,0.127001515838128); #313553=CYLINDRICAL_SURFACE('',#340061,0.127000308727427); #313554=CYLINDRICAL_SURFACE('',#340067,0.275429704872049); #313555=CYLINDRICAL_SURFACE('',#340070,0.27542977774263); #313556=CYLINDRICAL_SURFACE('',#340074,0.127000308727435); #313557=CYLINDRICAL_SURFACE('',#340078,0.127000308727427); #313558=CYLINDRICAL_SURFACE('',#340084,0.12700030872744); #313559=CYLINDRICAL_SURFACE('',#340088,0.127000308727436); #313560=CYLINDRICAL_SURFACE('',#340102,0.140000000000002); #313561=CYLINDRICAL_SURFACE('',#340110,0.127000308727433); #313562=CYLINDRICAL_SURFACE('',#340115,0.127000308727438); #313563=CYLINDRICAL_SURFACE('',#340120,0.275429728561973); #313564=CYLINDRICAL_SURFACE('',#340123,0.275429566248996); #313565=CYLINDRICAL_SURFACE('',#340127,0.127001515838138); #313566=CYLINDRICAL_SURFACE('',#340132,0.127001515838136); #313567=CYLINDRICAL_SURFACE('',#340140,0.139999999999998); #313568=CYLINDRICAL_SURFACE('',#340149,0.139999999999998); #313569=CYLINDRICAL_SURFACE('',#340158,0.139999999999998); #313570=CYLINDRICAL_SURFACE('',#340162,0.275430525995305); #313571=CYLINDRICAL_SURFACE('',#340166,0.127000308727435); #313572=CYLINDRICAL_SURFACE('',#340178,0.275850020106943); #313573=CYLINDRICAL_SURFACE('',#340183,0.465); #313574=CYLINDRICAL_SURFACE('',#340187,0.750904962541172); #313575=CYLINDRICAL_SURFACE('',#340190,0.750905460124644); #313576=CYLINDRICAL_SURFACE('',#340195,0.139999999999998); #313577=CYLINDRICAL_SURFACE('',#340198,0.465); #313578=CYLINDRICAL_SURFACE('',#340202,0.275429677195186); #313579=CYLINDRICAL_SURFACE('',#340206,0.750581792116793); #313580=CYLINDRICAL_SURFACE('',#340209,0.750581774250364); #313581=CYLINDRICAL_SURFACE('',#340213,0.275429442438529); #313582=CYLINDRICAL_SURFACE('',#340218,0.465); #313583=CYLINDRICAL_SURFACE('',#340222,0.750904962541172); #313584=CYLINDRICAL_SURFACE('',#340225,0.750905460124643); #313585=CYLINDRICAL_SURFACE('',#340230,0.564999999999998); #313586=CYLINDRICAL_SURFACE('',#340239,0.472999999999999); #313587=CYLINDRICAL_SURFACE('',#340252,0.465); #313588=CYLINDRICAL_SURFACE('',#340256,0.750904962541172); #313589=CYLINDRICAL_SURFACE('',#340259,0.750905460124643); #313590=CYLINDRICAL_SURFACE('',#340264,0.139999999999998); #313591=CYLINDRICAL_SURFACE('',#340279,0.127000308727433); #313592=CYLINDRICAL_SURFACE('',#340283,0.127000308727437); #313593=CYLINDRICAL_SURFACE('',#340289,0.127000308727438); #313594=CYLINDRICAL_SURFACE('',#340293,0.127000308727433); #313595=CYLINDRICAL_SURFACE('',#340297,0.275429704872049); #313596=CYLINDRICAL_SURFACE('',#340300,0.27542977774263); #313597=CYLINDRICAL_SURFACE('',#340306,0.127001515838136); #313598=CYLINDRICAL_SURFACE('',#340310,0.127001515838129); #313599=CYLINDRICAL_SURFACE('',#340316,0.127000308727433); #313600=CYLINDRICAL_SURFACE('',#340320,0.127000308727449); #313601=CYLINDRICAL_SURFACE('',#340332,0.139999999999998); #313602=CYLINDRICAL_SURFACE('',#340339,0.127000308727433); #313603=CYLINDRICAL_SURFACE('',#340343,0.127000308727438); #313604=CYLINDRICAL_SURFACE('',#340348,0.127001515838136); #313605=CYLINDRICAL_SURFACE('',#340352,0.275429728561973); #313606=CYLINDRICAL_SURFACE('',#340355,0.275429566248995); #313607=CYLINDRICAL_SURFACE('',#340360,0.127000308727438); #313608=CYLINDRICAL_SURFACE('',#340398,0.140000000000002); #313609=CYLINDRICAL_SURFACE('',#340405,0.127001515838122); #313610=CYLINDRICAL_SURFACE('',#340409,0.12700030872744); #313611=CYLINDRICAL_SURFACE('',#340413,0.127000308727447); #313612=CYLINDRICAL_SURFACE('',#340417,0.275429704872057); #313613=CYLINDRICAL_SURFACE('',#340420,0.275429777742636); #313614=CYLINDRICAL_SURFACE('',#340430,0.139999999999998); #313615=CYLINDRICAL_SURFACE('',#340434,0.275429677195186); #313616=CYLINDRICAL_SURFACE('',#340438,0.127000308727433); #313617=CYLINDRICAL_SURFACE('',#340442,0.127000308727444); #313618=CYLINDRICAL_SURFACE('',#340454,0.12700030872744); #313619=CYLINDRICAL_SURFACE('',#340458,0.127000308727438); #313620=CYLINDRICAL_SURFACE('',#340464,0.275429442438529); #313621=CYLINDRICAL_SURFACE('',#340469,0.139999999999998); #313622=CYLINDRICAL_SURFACE('',#340473,0.275850031150926); #313623=CYLINDRICAL_SURFACE('',#340476,0.27543073484766); #313624=CYLINDRICAL_SURFACE('',#340485,0.127000308727438); #313625=CYLINDRICAL_SURFACE('',#340489,0.275430620370238); #313626=CYLINDRICAL_SURFACE('',#340498,0.127001515838141); #313627=CYLINDRICAL_SURFACE('',#340502,0.127001515838136); #313628=CYLINDRICAL_SURFACE('',#340508,0.127000308727438); #313629=CYLINDRICAL_SURFACE('',#340512,0.127000308727438); #313630=CYLINDRICAL_SURFACE('',#340524,0.127000308727438); #313631=CYLINDRICAL_SURFACE('',#340528,0.127000308727438); #313632=CYLINDRICAL_SURFACE('',#340534,0.127000308727438); #313633=CYLINDRICAL_SURFACE('',#340538,0.127000308727438); #313634=CYLINDRICAL_SURFACE('',#340548,0.139999999999998); #313635=CYLINDRICAL_SURFACE('',#340552,0.275429677195185); #313636=CYLINDRICAL_SURFACE('',#340562,0.275429442438528); #313637=CYLINDRICAL_SURFACE('',#340567,0.139999999999998); #313638=CYLINDRICAL_SURFACE('',#340570,0.139999999999998); #313639=CYLINDRICAL_SURFACE('',#340575,0.275429728561973); #313640=CYLINDRICAL_SURFACE('',#340578,0.275429566248995); #313641=CYLINDRICAL_SURFACE('',#340583,0.275640885203079); #313642=CYLINDRICAL_SURFACE('',#340586,0.275640720012506); #313643=CYLINDRICAL_SURFACE('',#340599,0.127000308727432); #313644=CYLINDRICAL_SURFACE('',#340603,0.126758017197154); #313645=CYLINDRICAL_SURFACE('',#340612,0.127001515838137); #313646=CYLINDRICAL_SURFACE('',#340626,0.139999999999998); #313647=CYLINDRICAL_SURFACE('',#340629,0.139999999999998); #313648=CYLINDRICAL_SURFACE('',#340632,0.139999999999998); #313649=CYLINDRICAL_SURFACE('',#340635,0.139999999999998); #313650=CYLINDRICAL_SURFACE('',#340638,0.139999999999998); #313651=CYLINDRICAL_SURFACE('',#340641,0.139999999999998); #313652=CYLINDRICAL_SURFACE('',#340644,0.139999999999998); #313653=CYLINDRICAL_SURFACE('',#340647,0.139999999999998); #313654=CYLINDRICAL_SURFACE('',#340650,0.139999999999998); #313655=CYLINDRICAL_SURFACE('',#340653,0.139999999999998); #313656=CYLINDRICAL_SURFACE('',#340656,0.139999999999998); #313657=CYLINDRICAL_SURFACE('',#340659,0.565000000000002); #313658=CYLINDRICAL_SURFACE('',#340662,0.139999999999998); #313659=CYLINDRICAL_SURFACE('',#340765,0.465); #313660=CYLINDRICAL_SURFACE('',#340769,0.750904962541172); #313661=CYLINDRICAL_SURFACE('',#340772,0.750905460124644); #313662=CYLINDRICAL_SURFACE('',#340777,0.14); #313663=CYLINDRICAL_SURFACE('',#340780,0.14); #313664=CYLINDRICAL_SURFACE('',#340783,0.14); #313665=CYLINDRICAL_SURFACE('',#340786,0.14); #313666=CYLINDRICAL_SURFACE('',#340789,0.14); #313667=CYLINDRICAL_SURFACE('',#340792,0.14); #313668=CYLINDRICAL_SURFACE('',#340795,0.14); #313669=CYLINDRICAL_SURFACE('',#340798,0.14); #313670=CYLINDRICAL_SURFACE('',#340801,0.14); #313671=CYLINDRICAL_SURFACE('',#340804,0.514999999999999); #313672=CYLINDRICAL_SURFACE('',#340807,0.465); #313673=CYLINDRICAL_SURFACE('',#340814,0.127001515838136); #313674=CYLINDRICAL_SURFACE('',#340818,0.127001515838141); #313675=CYLINDRICAL_SURFACE('',#340939,0.127000308727438); #313676=CYLINDRICAL_SURFACE('',#340943,0.127000308727438); #313677=CYLINDRICAL_SURFACE('',#340953,0.472999999999999); #313678=CYLINDRICAL_SURFACE('',#341055,0.140000000000002); #313679=CYLINDRICAL_SURFACE('',#341058,0.140000000000002); #313680=CYLINDRICAL_SURFACE('',#341061,0.140000000000002); #313681=CYLINDRICAL_SURFACE('',#341156,0.139999999999998); #313682=CYLINDRICAL_SURFACE('',#341159,0.139999999999998); #313683=CYLINDRICAL_SURFACE('',#341162,0.139999999999998); #313684=CYLINDRICAL_SURFACE('',#341165,0.139999999999998); #313685=CYLINDRICAL_SURFACE('',#341168,0.465); #313686=CYLINDRICAL_SURFACE('',#341171,0.139999999999998); #313687=CYLINDRICAL_SURFACE('',#341174,0.139999999999998); #313688=CYLINDRICAL_SURFACE('',#341177,0.139999999999998); #313689=CYLINDRICAL_SURFACE('',#341180,0.139999999999998); #313690=CYLINDRICAL_SURFACE('',#341184,0.315); #313691=CYLINDRICAL_SURFACE('',#341188,0.315); #313692=CYLINDRICAL_SURFACE('',#341192,0.315); #313693=CYLINDRICAL_SURFACE('',#341196,0.315); #313694=CYLINDRICAL_SURFACE('',#341199,0.114999999999998); #313695=CYLINDRICAL_SURFACE('',#341202,0.114999999999998); #313696=CYLINDRICAL_SURFACE('',#341205,0.114999999999998); #313697=CYLINDRICAL_SURFACE('',#341208,0.114999999999998); #313698=CYLINDRICAL_SURFACE('',#341212,0.315); #313699=CYLINDRICAL_SURFACE('',#341216,0.315); #313700=CYLINDRICAL_SURFACE('',#341220,0.315); #313701=CYLINDRICAL_SURFACE('',#341224,0.315); #313702=CYLINDRICAL_SURFACE('',#341227,0.14); #313703=CYLINDRICAL_SURFACE('',#341230,0.14); #313704=CYLINDRICAL_SURFACE('',#341233,0.140000000000002); #313705=CYLINDRICAL_SURFACE('',#341236,0.140000000000002); #313706=CYLINDRICAL_SURFACE('',#341239,0.140000000000002); #313707=CYLINDRICAL_SURFACE('',#341242,0.140000000000002); #313708=CYLINDRICAL_SURFACE('',#341245,0.140000000000002); #313709=CYLINDRICAL_SURFACE('',#341248,0.140000000000002); #313710=CYLINDRICAL_SURFACE('',#341251,0.140000000000002); #313711=CYLINDRICAL_SURFACE('',#341254,0.140000000000002); #313712=CYLINDRICAL_SURFACE('',#341257,0.14); #313713=CYLINDRICAL_SURFACE('',#341260,0.14); #313714=CYLINDRICAL_SURFACE('',#341263,0.140000000000002); #313715=CYLINDRICAL_SURFACE('',#341266,0.140000000000002); #313716=CYLINDRICAL_SURFACE('',#341269,0.140000000000002); #313717=CYLINDRICAL_SURFACE('',#341272,0.140000000000002); #313718=CYLINDRICAL_SURFACE('',#341275,0.14); #313719=CYLINDRICAL_SURFACE('',#341278,0.14); #313720=CYLINDRICAL_SURFACE('',#341281,0.14); #313721=CYLINDRICAL_SURFACE('',#341284,0.14); #313722=CYLINDRICAL_SURFACE('',#341287,0.14); #313723=CYLINDRICAL_SURFACE('',#341290,0.14); #313724=CYLINDRICAL_SURFACE('',#341293,0.14); #313725=CYLINDRICAL_SURFACE('',#341296,0.14); #313726=CYLINDRICAL_SURFACE('',#341299,0.14); #313727=CYLINDRICAL_SURFACE('',#341302,0.14); #313728=CYLINDRICAL_SURFACE('',#341305,0.465); #313729=CYLINDRICAL_SURFACE('',#341308,0.139999999999998); #313730=CYLINDRICAL_SURFACE('',#341311,0.564999999999998); #313731=CYLINDRICAL_SURFACE('',#341314,0.140000000000002); #313732=CYLINDRICAL_SURFACE('',#341317,0.140000000000002); #313733=CYLINDRICAL_SURFACE('',#341320,0.140000000000002); #313734=CYLINDRICAL_SURFACE('',#341323,0.140000000000002); #313735=CYLINDRICAL_SURFACE('',#341326,0.140000000000002); #313736=CYLINDRICAL_SURFACE('',#341329,0.140000000000002); #313737=CYLINDRICAL_SURFACE('',#341332,0.140000000000002); #313738=CYLINDRICAL_SURFACE('',#341335,0.140000000000002); #313739=CYLINDRICAL_SURFACE('',#341338,0.14); #313740=CYLINDRICAL_SURFACE('',#341341,0.14); #313741=CYLINDRICAL_SURFACE('',#341344,0.140000000000002); #313742=CYLINDRICAL_SURFACE('',#341347,0.14); #313743=CYLINDRICAL_SURFACE('',#341350,0.14); #313744=CYLINDRICAL_SURFACE('',#341353,0.14); #313745=CYLINDRICAL_SURFACE('',#341356,0.14); #313746=CYLINDRICAL_SURFACE('',#341359,0.14); #313747=CYLINDRICAL_SURFACE('',#342329,0.565); #313748=CYLINDRICAL_SURFACE('',#342333,0.565); #313749=CYLINDRICAL_SURFACE('',#342336,0.140000000000002); #313750=CYLINDRICAL_SURFACE('',#342339,0.140000000000002); #313751=CYLINDRICAL_SURFACE('',#342342,0.472999999999999); #313752=CYLINDRICAL_SURFACE('',#342345,0.140000000000002); #313753=CYLINDRICAL_SURFACE('',#342348,0.140000000000002); #313754=CYLINDRICAL_SURFACE('',#342351,0.140000000000002); #313755=CYLINDRICAL_SURFACE('',#342354,0.140000000000002); #313756=CYLINDRICAL_SURFACE('',#342357,0.140000000000002); #313757=CYLINDRICAL_SURFACE('',#342360,0.140000000000002); #313758=CYLINDRICAL_SURFACE('',#342363,0.140000000000002); #313759=CYLINDRICAL_SURFACE('',#342366,0.472999999999999); #313760=CYLINDRICAL_SURFACE('',#342615,0.139999999999998); #313761=CYLINDRICAL_SURFACE('',#342618,0.139999999999998); #313762=CYLINDRICAL_SURFACE('',#342621,0.139999999999998); #313763=CYLINDRICAL_SURFACE('',#342624,0.139999999999998); #313764=CYLINDRICAL_SURFACE('',#342627,0.139999999999998); #313765=CYLINDRICAL_SURFACE('',#342630,0.139999999999998); #313766=CYLINDRICAL_SURFACE('',#342633,0.139999999999998); #313767=CYLINDRICAL_SURFACE('',#342636,0.139999999999998); #313768=CYLINDRICAL_SURFACE('',#342639,0.139999999999998); #313769=CYLINDRICAL_SURFACE('',#342642,0.139999999999998); #313770=CYLINDRICAL_SURFACE('',#342645,0.139999999999998); #313771=CYLINDRICAL_SURFACE('',#342648,0.139999999999998); #313772=CYLINDRICAL_SURFACE('',#342651,0.139999999999998); #313773=CYLINDRICAL_SURFACE('',#342654,0.139999999999998); #313774=CYLINDRICAL_SURFACE('',#342657,0.139999999999998); #313775=CYLINDRICAL_SURFACE('',#342660,0.139999999999998); #313776=CYLINDRICAL_SURFACE('',#342663,0.139999999999998); #313777=CYLINDRICAL_SURFACE('',#342666,0.139999999999998); #313778=CYLINDRICAL_SURFACE('',#342669,0.139999999999998); #313779=CYLINDRICAL_SURFACE('',#342672,0.139999999999998); #313780=CYLINDRICAL_SURFACE('',#342675,0.139999999999998); #313781=CYLINDRICAL_SURFACE('',#342678,0.139999999999998); #313782=CYLINDRICAL_SURFACE('',#342681,0.139999999999998); #313783=CYLINDRICAL_SURFACE('',#342684,0.139999999999998); #313784=CYLINDRICAL_SURFACE('',#342687,0.139999999999998); #313785=CYLINDRICAL_SURFACE('',#342690,0.139999999999998); #313786=CYLINDRICAL_SURFACE('',#342693,0.139999999999998); #313787=CYLINDRICAL_SURFACE('',#342696,0.139999999999998); #313788=CYLINDRICAL_SURFACE('',#342699,0.139999999999998); #313789=CYLINDRICAL_SURFACE('',#342702,0.139999999999998); #313790=CYLINDRICAL_SURFACE('',#342705,0.139999999999998); #313791=CYLINDRICAL_SURFACE('',#342708,0.139999999999998); #313792=CYLINDRICAL_SURFACE('',#342711,0.139999999999998); #313793=CYLINDRICAL_SURFACE('',#342714,0.139999999999998); #313794=CYLINDRICAL_SURFACE('',#342717,0.139999999999998); #313795=CYLINDRICAL_SURFACE('',#342720,0.139999999999998); #313796=CYLINDRICAL_SURFACE('',#342723,0.139999999999998); #313797=CYLINDRICAL_SURFACE('',#342726,0.139999999999998); #313798=CYLINDRICAL_SURFACE('',#342729,0.139999999999998); #313799=CYLINDRICAL_SURFACE('',#342732,0.139999999999998); #313800=CYLINDRICAL_SURFACE('',#342735,0.139999999999998); #313801=CYLINDRICAL_SURFACE('',#342738,0.139999999999998); #313802=CYLINDRICAL_SURFACE('',#342741,0.139999999999998); #313803=CYLINDRICAL_SURFACE('',#342744,0.139999999999998); #313804=CYLINDRICAL_SURFACE('',#342747,0.139999999999998); #313805=CYLINDRICAL_SURFACE('',#342750,0.139999999999998); #313806=CYLINDRICAL_SURFACE('',#342753,0.139999999999998); #313807=CYLINDRICAL_SURFACE('',#342756,0.139999999999998); #313808=CYLINDRICAL_SURFACE('',#342759,0.139999999999998); #313809=CYLINDRICAL_SURFACE('',#343215,0.139999999999998); #313810=CYLINDRICAL_SURFACE('',#343218,0.139999999999998); #313811=CYLINDRICAL_SURFACE('',#343221,0.139999999999998); #313812=CYLINDRICAL_SURFACE('',#343892,0.514999999999999); #313813=CYLINDRICAL_SURFACE('',#343896,0.565); #313814=CYLINDRICAL_SURFACE('',#343900,0.565); #313815=CYLINDRICAL_SURFACE('',#344030,0.140000000000002); #313816=CYLINDRICAL_SURFACE('',#344033,0.140000000000002); #313817=CYLINDRICAL_SURFACE('',#344036,0.140000000000002); #313818=CYLINDRICAL_SURFACE('',#344039,0.140000000000002); #313819=CYLINDRICAL_SURFACE('',#344042,0.140000000000002); #313820=CYLINDRICAL_SURFACE('',#344045,0.140000000000002); #313821=CYLINDRICAL_SURFACE('',#344048,0.140000000000002); #313822=CYLINDRICAL_SURFACE('',#344051,0.140000000000002); #313823=CYLINDRICAL_SURFACE('',#344054,0.140000000000002); #313824=CYLINDRICAL_SURFACE('',#344057,0.140000000000002); #313825=CYLINDRICAL_SURFACE('',#344060,0.140000000000002); #313826=CYLINDRICAL_SURFACE('',#344063,0.140000000000002); #313827=CYLINDRICAL_SURFACE('',#344066,0.140000000000002); #313828=CYLINDRICAL_SURFACE('',#344069,0.140000000000002); #313829=CYLINDRICAL_SURFACE('',#344072,0.140000000000002); #313830=CYLINDRICAL_SURFACE('',#344118,0.140000000000002); #313831=CYLINDRICAL_SURFACE('',#344126,0.127000308727436); #313832=CYLINDRICAL_SURFACE('',#344131,0.127000308727438); #313833=CYLINDRICAL_SURFACE('',#344150,0.127000308727436); #313834=CYLINDRICAL_SURFACE('',#344154,0.127000308727436); #313835=CYLINDRICAL_SURFACE('',#344158,0.126951739765291); #313836=CYLINDRICAL_SURFACE('',#344164,0.127000308727438); #313837=CYLINDRICAL_SURFACE('',#344168,0.127000308727433); #313838=CYLINDRICAL_SURFACE('',#344173,0.127001515838136); #313839=CYLINDRICAL_SURFACE('',#344181,0.139999999999998); #313840=CYLINDRICAL_SURFACE('',#344184,0.139999999999998); #313841=CYLINDRICAL_SURFACE('',#344187,0.139999999999998); #313842=CYLINDRICAL_SURFACE('',#344190,0.139999999999998); #313843=CYLINDRICAL_SURFACE('',#344193,0.139999999999998); #313844=CYLINDRICAL_SURFACE('',#344196,0.139999999999998); #313845=CYLINDRICAL_SURFACE('',#344199,0.139999999999998); #313846=CYLINDRICAL_SURFACE('',#344202,0.139999999999998); #313847=CYLINDRICAL_SURFACE('',#344205,0.139999999999998); #313848=CYLINDRICAL_SURFACE('',#344208,0.139999999999998); #313849=CYLINDRICAL_SURFACE('',#344211,0.139999999999998); #313850=CYLINDRICAL_SURFACE('',#344214,0.139999999999998); #313851=CYLINDRICAL_SURFACE('',#344217,0.139999999999998); #313852=CYLINDRICAL_SURFACE('',#344220,0.139999999999998); #313853=CYLINDRICAL_SURFACE('',#344223,0.139999999999998); #313854=CYLINDRICAL_SURFACE('',#344277,0.139999999999998); #313855=CYLINDRICAL_SURFACE('',#344286,0.127001515838136); #313856=CYLINDRICAL_SURFACE('',#344291,0.127000308727433); #313857=CYLINDRICAL_SURFACE('',#344295,0.127000308727438); #313858=CYLINDRICAL_SURFACE('',#344301,0.127000308727447); #313859=CYLINDRICAL_SURFACE('',#344305,0.127000308727436); #313860=CYLINDRICAL_SURFACE('',#344309,0.127000308727436); #313861=CYLINDRICAL_SURFACE('',#344328,0.127000308727436); #313862=CYLINDRICAL_SURFACE('',#344333,0.127000308727438); #313863=CYLINDRICAL_SURFACE('',#344340,0.139999999999998); #313864=CYLINDRICAL_SURFACE('',#344343,0.14); #313865=CYLINDRICAL_SURFACE('',#344346,0.14); #313866=CYLINDRICAL_SURFACE('',#344349,0.14); #313867=CYLINDRICAL_SURFACE('',#344352,0.14); #313868=CYLINDRICAL_SURFACE('',#344355,0.14); #313869=CYLINDRICAL_SURFACE('',#344358,0.14); #313870=CYLINDRICAL_SURFACE('',#344361,0.14); #313871=CYLINDRICAL_SURFACE('',#344364,0.14); #313872=CYLINDRICAL_SURFACE('',#344367,0.139999999999998); #313873=CYLINDRICAL_SURFACE('',#344370,0.139999999999998); #313874=CYLINDRICAL_SURFACE('',#344373,0.14); #313875=CYLINDRICAL_SURFACE('',#344376,0.14); #313876=CYLINDRICAL_SURFACE('',#344379,0.14); #313877=CYLINDRICAL_SURFACE('',#344382,0.14); #313878=CYLINDRICAL_SURFACE('',#344385,0.139999999999998); #313879=CYLINDRICAL_SURFACE('',#344388,0.139999999999998); #313880=CYLINDRICAL_SURFACE('',#344391,0.139999999999998); #313881=CYLINDRICAL_SURFACE('',#344394,0.139999999999998); #313882=CYLINDRICAL_SURFACE('',#344397,0.14); #313883=CYLINDRICAL_SURFACE('',#344400,0.14); #313884=CYLINDRICAL_SURFACE('',#344403,0.14); #313885=CYLINDRICAL_SURFACE('',#344406,0.139999999999998); #313886=CYLINDRICAL_SURFACE('',#344409,0.139999999999998); #313887=CYLINDRICAL_SURFACE('',#344412,0.139999999999998); #313888=CYLINDRICAL_SURFACE('',#344415,0.139999999999998); #313889=CYLINDRICAL_SURFACE('',#344418,0.139999999999998); #313890=CYLINDRICAL_SURFACE('',#344421,0.139999999999998); #313891=CYLINDRICAL_SURFACE('',#344424,0.139999999999998); #313892=CYLINDRICAL_SURFACE('',#344427,0.139999999999998); #313893=CYLINDRICAL_SURFACE('',#344430,0.139999999999998); #313894=CYLINDRICAL_SURFACE('',#344434,0.275429677195184); #313895=CYLINDRICAL_SURFACE('',#344440,0.127000308727435); #313896=CYLINDRICAL_SURFACE('',#344444,0.127000308727438); #313897=CYLINDRICAL_SURFACE('',#344519,0.127000308727436); #313898=CYLINDRICAL_SURFACE('',#344523,0.127000308727427); #313899=CYLINDRICAL_SURFACE('',#344527,0.275429442438526); #313900=CYLINDRICAL_SURFACE('',#344532,0.139999999999998); #313901=CYLINDRICAL_SURFACE('',#344541,0.127000308727436); #313902=CYLINDRICAL_SURFACE('',#344546,0.127000308727438); #313903=CYLINDRICAL_SURFACE('',#344550,0.275429728561973); #313904=CYLINDRICAL_SURFACE('',#344553,0.275429566248994); #313905=CYLINDRICAL_SURFACE('',#344558,0.127000308727431); #313906=CYLINDRICAL_SURFACE('',#344563,0.127000308727438); #313907=CYLINDRICAL_SURFACE('',#344570,0.140000000000002); #313908=CYLINDRICAL_SURFACE('',#344573,0.140000000000002); #313909=CYLINDRICAL_SURFACE('',#344576,0.140000000000002); #313910=CYLINDRICAL_SURFACE('',#344579,0.140000000000002); #313911=CYLINDRICAL_SURFACE('',#344582,0.140000000000002); #313912=CYLINDRICAL_SURFACE('',#344585,0.140000000000002); #313913=CYLINDRICAL_SURFACE('',#344588,0.140000000000002); #313914=CYLINDRICAL_SURFACE('',#344591,0.140000000000002); #313915=CYLINDRICAL_SURFACE('',#344594,0.140000000000002); #313916=CYLINDRICAL_SURFACE('',#344597,0.140000000000002); #313917=CYLINDRICAL_SURFACE('',#344600,0.140000000000002); #313918=CYLINDRICAL_SURFACE('',#344603,0.140000000000002); #313919=CYLINDRICAL_SURFACE('',#344606,0.140000000000002); #313920=CYLINDRICAL_SURFACE('',#344609,0.140000000000002); #313921=CYLINDRICAL_SURFACE('',#344612,0.140000000000002); #313922=CYLINDRICAL_SURFACE('',#344615,0.140000000000002); #313923=CYLINDRICAL_SURFACE('',#344618,0.140000000000002); #313924=CYLINDRICAL_SURFACE('',#344621,0.140000000000002); #313925=CYLINDRICAL_SURFACE('',#344624,0.140000000000002); #313926=CYLINDRICAL_SURFACE('',#344627,0.140000000000002); #313927=CYLINDRICAL_SURFACE('',#344630,0.140000000000002); #313928=CYLINDRICAL_SURFACE('',#344633,0.140000000000002); #313929=CYLINDRICAL_SURFACE('',#344636,0.140000000000002); #313930=CYLINDRICAL_SURFACE('',#344639,0.140000000000002); #313931=CYLINDRICAL_SURFACE('',#344642,0.140000000000002); #313932=CYLINDRICAL_SURFACE('',#344645,0.140000000000002); #313933=CYLINDRICAL_SURFACE('',#344648,0.140000000000002); #313934=CYLINDRICAL_SURFACE('',#344651,0.140000000000002); #313935=CYLINDRICAL_SURFACE('',#344654,0.140000000000002); #313936=CYLINDRICAL_SURFACE('',#344657,0.140000000000002); #313937=CYLINDRICAL_SURFACE('',#344660,0.140000000000002); #313938=CYLINDRICAL_SURFACE('',#344664,0.275429677195184); #313939=CYLINDRICAL_SURFACE('',#344668,0.127000308727425); #313940=CYLINDRICAL_SURFACE('',#344672,0.127001515838118); #313941=CYLINDRICAL_SURFACE('',#344742,0.127000308727436); #313942=CYLINDRICAL_SURFACE('',#344746,0.127000308727438); #313943=CYLINDRICAL_SURFACE('',#344752,0.275429442438526); #313944=CYLINDRICAL_SURFACE('',#344757,0.140000000000002); #313945=CYLINDRICAL_SURFACE('',#344765,0.127000308727438); #313946=CYLINDRICAL_SURFACE('',#344770,0.127000308727433); #313947=CYLINDRICAL_SURFACE('',#344775,0.275429728561973); #313948=CYLINDRICAL_SURFACE('',#344778,0.275429566248996); #313949=CYLINDRICAL_SURFACE('',#344782,0.12700030872744); #313950=CYLINDRICAL_SURFACE('',#344787,0.127000308727436); #313951=CYLINDRICAL_SURFACE('',#344795,0.139999999999998); #313952=CYLINDRICAL_SURFACE('',#344798,0.139999999999998); #313953=CYLINDRICAL_SURFACE('',#344801,0.139999999999998); #313954=CYLINDRICAL_SURFACE('',#344804,0.139999999999998); #313955=CYLINDRICAL_SURFACE('',#344807,0.114999999999998); #313956=CYLINDRICAL_SURFACE('',#344810,0.114999999999998); #313957=CYLINDRICAL_SURFACE('',#344813,0.114999999999998); #313958=CYLINDRICAL_SURFACE('',#344816,0.114999999999998); #313959=CYLINDRICAL_SURFACE('',#344819,0.139999999999998); #313960=CYLINDRICAL_SURFACE('',#344822,0.139999999999998); #313961=CYLINDRICAL_SURFACE('',#344825,0.139999999999998); #313962=CYLINDRICAL_SURFACE('',#344828,0.139999999999998); #313963=CYLINDRICAL_SURFACE('',#344831,0.139999999999998); #313964=CYLINDRICAL_SURFACE('',#344834,0.139999999999998); #313965=CYLINDRICAL_SURFACE('',#344837,0.139999999999998); #313966=CYLINDRICAL_SURFACE('',#344840,0.139999999999998); #313967=CYLINDRICAL_SURFACE('',#345059,0.2750043822149); #313968=CYLINDRICAL_SURFACE('',#345063,0.127000308727437); #313969=CYLINDRICAL_SURFACE('',#345074,0.127000308727438); #313970=CYLINDRICAL_SURFACE('',#345079,0.275180228450174); #313971=CYLINDRICAL_SURFACE('',#345304,0.139999999999998); #313972=CYLINDRICAL_SURFACE('',#345308,0.275429677195182); #313973=CYLINDRICAL_SURFACE('',#345312,0.127000308727438); #313974=CYLINDRICAL_SURFACE('',#345319,0.127000308727436); #313975=CYLINDRICAL_SURFACE('',#345329,0.12700030872744); #313976=CYLINDRICAL_SURFACE('',#345333,0.127000308727438); #313977=CYLINDRICAL_SURFACE('',#345337,0.127000308727438); #313978=CYLINDRICAL_SURFACE('',#345342,0.275429442438521); #313979=CYLINDRICAL_SURFACE('',#345347,0.139999999999998); #313980=CYLINDRICAL_SURFACE('',#345350,0.140000000000002); #313981=CYLINDRICAL_SURFACE('',#345353,0.140000000000002); #313982=CYLINDRICAL_SURFACE('',#345356,0.465); #313983=CYLINDRICAL_SURFACE('',#345359,0.140000000000002); #313984=CYLINDRICAL_SURFACE('',#345362,0.140000000000002); #313985=CYLINDRICAL_SURFACE('',#345365,0.140000000000002); #313986=CYLINDRICAL_SURFACE('',#345368,0.140000000000002); #313987=CYLINDRICAL_SURFACE('',#345371,0.140000000000002); #313988=CYLINDRICAL_SURFACE('',#345374,0.140000000000002); #313989=CYLINDRICAL_SURFACE('',#345377,0.140000000000002); #313990=CYLINDRICAL_SURFACE('',#345380,0.140000000000002); #313991=CYLINDRICAL_SURFACE('',#345407,0.275170203557187); #313992=CYLINDRICAL_SURFACE('',#345412,0.127000308727437); #313993=CYLINDRICAL_SURFACE('',#345423,0.127000308727438); #313994=CYLINDRICAL_SURFACE('',#345427,0.275004382214899); #313995=CYLINDRICAL_SURFACE('',#345445,0.127000308727435); #313996=CYLINDRICAL_SURFACE('',#345449,0.127000308727438); #313997=CYLINDRICAL_SURFACE('',#345521,0.564999999999998); #313998=CYLINDRICAL_SURFACE('',#345525,0.900857088405025); #313999=CYLINDRICAL_SURFACE('',#345528,0.900856769723134); #314000=CYLINDRICAL_SURFACE('',#345533,0.565000000000002); #314001=CYLINDRICAL_SURFACE('',#345537,0.900857088405025); #314002=CYLINDRICAL_SURFACE('',#345540,0.900856769723134); #314003=CYLINDRICAL_SURFACE('',#345545,0.465); #314004=CYLINDRICAL_SURFACE('',#345549,0.750904962541172); #314005=CYLINDRICAL_SURFACE('',#345552,0.750905460124644); #314006=CYLINDRICAL_SURFACE('',#345557,0.472999999999999); #314007=CYLINDRICAL_SURFACE('',#345570,0.465); #314008=CYLINDRICAL_SURFACE('',#345574,0.750904962541172); #314009=CYLINDRICAL_SURFACE('',#345577,0.750905460124644); #314010=CYLINDRICAL_SURFACE('',#345582,0.514999999999999); #314011=CYLINDRICAL_SURFACE('',#345591,0.564999999999998); #314012=CYLINDRICAL_SURFACE('',#345595,0.900857088405025); #314013=CYLINDRICAL_SURFACE('',#345598,0.900856769723134); #314014=CYLINDRICAL_SURFACE('',#345604,0.315); #314015=CYLINDRICAL_SURFACE('',#345608,0.315); #314016=CYLINDRICAL_SURFACE('',#345669,0.465); #314017=CYLINDRICAL_SURFACE('',#345673,0.750904962541171); #314018=CYLINDRICAL_SURFACE('',#345676,0.750905460124643); #314019=CYLINDRICAL_SURFACE('',#345682,0.315); #314020=CYLINDRICAL_SURFACE('',#345686,0.315); #314021=CYLINDRICAL_SURFACE('',#345748,0.565); #314022=CYLINDRICAL_SURFACE('',#345752,0.565); #314023=CYLINDRICAL_SURFACE('',#345830,0.315); #314024=CYLINDRICAL_SURFACE('',#345834,0.315); #314025=CYLINDRICAL_SURFACE('',#345895,0.514999999999999); #314026=CYLINDRICAL_SURFACE('',#345899,0.825995597015952); #314027=CYLINDRICAL_SURFACE('',#345902,0.825996336030615); #314028=CYLINDRICAL_SURFACE('',#345908,0.315); #314029=CYLINDRICAL_SURFACE('',#345912,0.315); #314030=CYLINDRICAL_SURFACE('',#345973,0.472999999999999); #314031=CYLINDRICAL_SURFACE('',#345986,0.465); #314032=CYLINDRICAL_SURFACE('',#345990,0.750904962541172); #314033=CYLINDRICAL_SURFACE('',#345993,0.750905460124644); #314034=CYLINDRICAL_SURFACE('',#345999,0.565); #314035=CYLINDRICAL_SURFACE('',#346003,0.565); #314036=CYLINDRICAL_SURFACE('',#346080,0.472999999999999); #314037=CYLINDRICAL_SURFACE('',#346093,0.465); #314038=CYLINDRICAL_SURFACE('',#346097,0.750904962541172); #314039=CYLINDRICAL_SURFACE('',#346100,0.750905460124644); #314040=CYLINDRICAL_SURFACE('',#346105,0.465); #314041=CYLINDRICAL_SURFACE('',#346109,0.750904962541172); #314042=CYLINDRICAL_SURFACE('',#346112,0.750905460124643); #314043=CYLINDRICAL_SURFACE('',#346117,0.465); #314044=CYLINDRICAL_SURFACE('',#346121,0.750904962541172); #314045=CYLINDRICAL_SURFACE('',#346124,0.750905460124643); #314046=CYLINDRICAL_SURFACE('',#346129,0.564999999999998); #314047=CYLINDRICAL_SURFACE('',#346138,0.472999999999999); #314048=CYLINDRICAL_SURFACE('',#346151,0.465); #314049=CYLINDRICAL_SURFACE('',#346155,0.750904962541172); #314050=CYLINDRICAL_SURFACE('',#346158,0.750905460124643); #314051=CYLINDRICAL_SURFACE('',#346163,0.465); #314052=CYLINDRICAL_SURFACE('',#346167,0.750904962541172); #314053=CYLINDRICAL_SURFACE('',#346170,0.750905460124643); #314054=CYLINDRICAL_SURFACE('',#346259,0.14); #314055=CYLINDRICAL_SURFACE('',#346262,0.14); #314056=CYLINDRICAL_SURFACE('',#346265,0.14); #314057=CYLINDRICAL_SURFACE('',#346268,0.14); #314058=CYLINDRICAL_SURFACE('',#346271,0.14); #314059=CYLINDRICAL_SURFACE('',#346274,0.14); #314060=CYLINDRICAL_SURFACE('',#346421,0.14); #314061=CYLINDRICAL_SURFACE('',#346424,0.14); #314062=CYLINDRICAL_SURFACE('',#346427,0.14); #314063=CYLINDRICAL_SURFACE('',#346430,0.14); #314064=CYLINDRICAL_SURFACE('',#346433,0.14); #314065=CYLINDRICAL_SURFACE('',#346436,0.14); #314066=CYLINDRICAL_SURFACE('',#346457,0.140000000000002); #314067=CYLINDRICAL_SURFACE('',#346460,0.140000000000002); #314068=CYLINDRICAL_SURFACE('',#346463,0.140000000000002); #314069=CYLINDRICAL_SURFACE('',#346466,0.140000000000002); #314070=CYLINDRICAL_SURFACE('',#346469,0.140000000000002); #314071=CYLINDRICAL_SURFACE('',#346472,0.140000000000002); #314072=CYLINDRICAL_SURFACE('',#346565,0.139999999999998); #314073=CYLINDRICAL_SURFACE('',#346568,0.139999999999998); #314074=CYLINDRICAL_SURFACE('',#346571,0.139999999999998); #314075=CYLINDRICAL_SURFACE('',#346574,0.139999999999998); #314076=CYLINDRICAL_SURFACE('',#346577,0.139999999999998); #314077=CYLINDRICAL_SURFACE('',#346580,0.139999999999998); #314078=CYLINDRICAL_SURFACE('',#346583,0.139999999999998); #314079=CYLINDRICAL_SURFACE('',#346586,0.139999999999998); #314080=CYLINDRICAL_SURFACE('',#346589,0.139999999999998); #314081=CYLINDRICAL_SURFACE('',#346592,0.139999999999998); #314082=CYLINDRICAL_SURFACE('',#346595,0.139999999999998); #314083=CYLINDRICAL_SURFACE('',#346598,0.139999999999998); #314084=CYLINDRICAL_SURFACE('',#346601,0.139999999999998); #314085=CYLINDRICAL_SURFACE('',#346604,0.139999999999998); #314086=CYLINDRICAL_SURFACE('',#346607,0.139999999999998); #314087=CYLINDRICAL_SURFACE('',#346610,0.139999999999998); #314088=CYLINDRICAL_SURFACE('',#346613,0.139999999999998); #314089=CYLINDRICAL_SURFACE('',#346616,0.139999999999998); #314090=CYLINDRICAL_SURFACE('',#346619,0.139999999999998); #314091=CYLINDRICAL_SURFACE('',#346622,0.139999999999998); #314092=CYLINDRICAL_SURFACE('',#346625,0.139999999999998); #314093=CYLINDRICAL_SURFACE('',#346628,0.139999999999998); #314094=CYLINDRICAL_SURFACE('',#346631,0.139999999999998); #314095=CYLINDRICAL_SURFACE('',#346634,0.139999999999998); #314096=CYLINDRICAL_SURFACE('',#346637,0.139999999999998); #314097=CYLINDRICAL_SURFACE('',#346640,0.139999999999998); #314098=CYLINDRICAL_SURFACE('',#346643,0.139999999999998); #314099=CYLINDRICAL_SURFACE('',#346646,0.139999999999998); #314100=CYLINDRICAL_SURFACE('',#346649,0.139999999999998); #314101=CYLINDRICAL_SURFACE('',#346652,0.139999999999998); #314102=CYLINDRICAL_SURFACE('',#346655,0.139999999999998); #314103=CYLINDRICAL_SURFACE('',#346658,0.139999999999998); #314104=CYLINDRICAL_SURFACE('',#346661,0.139999999999998); #314105=CYLINDRICAL_SURFACE('',#346664,0.139999999999998); #314106=CYLINDRICAL_SURFACE('',#346667,0.139999999999998); #314107=CYLINDRICAL_SURFACE('',#346670,0.139999999999998); #314108=CYLINDRICAL_SURFACE('',#346673,0.139999999999998); #314109=CYLINDRICAL_SURFACE('',#346676,0.139999999999998); #314110=CYLINDRICAL_SURFACE('',#346679,0.139999999999998); #314111=CYLINDRICAL_SURFACE('',#346682,0.139999999999998); #314112=CYLINDRICAL_SURFACE('',#346685,0.139999999999998); #314113=CYLINDRICAL_SURFACE('',#346688,0.139999999999998); #314114=CYLINDRICAL_SURFACE('',#346691,0.139999999999998); #314115=CYLINDRICAL_SURFACE('',#346694,0.139999999999998); #314116=CYLINDRICAL_SURFACE('',#346697,0.139999999999998); #314117=CYLINDRICAL_SURFACE('',#346700,0.139999999999998); #314118=CYLINDRICAL_SURFACE('',#346703,0.139999999999998); #314119=CYLINDRICAL_SURFACE('',#346706,0.139999999999998); #314120=CYLINDRICAL_SURFACE('',#346709,0.139999999999998); #314121=CYLINDRICAL_SURFACE('',#346802,0.140000000000002); #314122=CYLINDRICAL_SURFACE('',#346823,0.139999999999998); #314123=CYLINDRICAL_SURFACE('',#346898,0.14); #314124=CYLINDRICAL_SURFACE('',#346901,0.14); #314125=CYLINDRICAL_SURFACE('',#346904,0.14); #314126=CYLINDRICAL_SURFACE('',#346907,0.14); #314127=CYLINDRICAL_SURFACE('',#346910,0.14); #314128=CYLINDRICAL_SURFACE('',#346913,0.14); #314129=CYLINDRICAL_SURFACE('',#346916,0.14); #314130=CYLINDRICAL_SURFACE('',#346919,0.14); #314131=CYLINDRICAL_SURFACE('',#346922,0.14); #314132=CYLINDRICAL_SURFACE('',#346943,0.139999999999998); #314133=CYLINDRICAL_SURFACE('',#346970,0.139999999999998); #314134=CYLINDRICAL_SURFACE('',#346979,0.139999999999998); #314135=CYLINDRICAL_SURFACE('',#347006,0.140000000000002); #314136=CYLINDRICAL_SURFACE('',#347009,0.140000000000002); #314137=CYLINDRICAL_SURFACE('',#347012,0.140000000000002); #314138=CYLINDRICAL_SURFACE('',#347015,0.140000000000002); #314139=CYLINDRICAL_SURFACE('',#347018,0.140000000000002); #314140=CYLINDRICAL_SURFACE('',#347021,0.140000000000002); #314141=CYLINDRICAL_SURFACE('',#347184,0.140000000000002); #314142=CYLINDRICAL_SURFACE('',#347187,0.140000000000002); #314143=CYLINDRICAL_SURFACE('',#347190,0.140000000000002); #314144=CYLINDRICAL_SURFACE('',#347193,0.140000000000002); #314145=CYLINDRICAL_SURFACE('',#347196,0.140000000000002); #314146=CYLINDRICAL_SURFACE('',#347199,0.140000000000002); #314147=CYLINDRICAL_SURFACE('',#347257,0.564999999999998); #314148=CYLINDRICAL_SURFACE('',#347261,0.900857088405025); #314149=CYLINDRICAL_SURFACE('',#347264,0.900856769723134); #314150=CYLINDRICAL_SURFACE('',#347281,0.114999999999998); #314151=CYLINDRICAL_SURFACE('',#347284,0.114999999999998); #314152=CYLINDRICAL_SURFACE('',#347299,0.114999999999998); #314153=CYLINDRICAL_SURFACE('',#347302,0.114999999999998); #314154=CYLINDRICAL_SURFACE('',#347317,0.114999999999998); #314155=CYLINDRICAL_SURFACE('',#347320,0.114999999999998); #314156=CYLINDRICAL_SURFACE('',#347335,0.114999999999998); #314157=CYLINDRICAL_SURFACE('',#347338,0.114999999999998); #314158=CYLINDRICAL_SURFACE('',#347359,0.127000308727433); #314159=CYLINDRICAL_SURFACE('',#347367,0.126999843437875); #314160=CYLINDRICAL_SURFACE('',#347372,0.126998775938454); #314161=CYLINDRICAL_SURFACE('',#347382,0.126999785858201); #314162=CYLINDRICAL_SURFACE('',#347386,0.127000308727438); #314163=CYLINDRICAL_SURFACE('',#347397,0.127000308727436); #314164=CYLINDRICAL_SURFACE('',#347401,0.127000308727441); #314165=CYLINDRICAL_SURFACE('',#347407,0.127001478143445); #314166=CYLINDRICAL_SURFACE('',#347411,0.127000248685803); #314167=CYLINDRICAL_SURFACE('',#347419,0.127000023435621); #314168=CYLINDRICAL_SURFACE('',#347428,0.127000308727437); #314169=CYLINDRICAL_SURFACE('',#347435,0.139999999999998); #314170=CYLINDRICAL_SURFACE('',#347438,0.139999999999998); #314171=CYLINDRICAL_SURFACE('',#347442,0.275850826114455); #314172=CYLINDRICAL_SURFACE('',#347445,0.275429566248996); #314173=CYLINDRICAL_SURFACE('',#347449,0.275429704872049); #314174=CYLINDRICAL_SURFACE('',#347452,0.27542977774263); #314175=CYLINDRICAL_SURFACE('',#347456,0.275430756167224); #314176=CYLINDRICAL_SURFACE('',#347461,0.139999999999998); #314177=CYLINDRICAL_SURFACE('',#347465,0.275429726247122); #314178=CYLINDRICAL_SURFACE('',#347475,0.27542954841201); #314179=CYLINDRICAL_SURFACE('',#347480,0.139999999999998); #314180=CYLINDRICAL_SURFACE('',#347488,0.127000429438416); #314181=CYLINDRICAL_SURFACE('',#347492,0.127073045682421); #314182=CYLINDRICAL_SURFACE('',#347495,0.275429182716805); #314183=CYLINDRICAL_SURFACE('',#347498,0.275466854775623); #314184=CYLINDRICAL_SURFACE('',#347506,0.139999999999998); #314185=CYLINDRICAL_SURFACE('',#347509,0.140000000000002); #314186=CYLINDRICAL_SURFACE('',#347513,0.275850826114459); #314187=CYLINDRICAL_SURFACE('',#347516,0.275429566248996); #314188=CYLINDRICAL_SURFACE('',#347524,0.127000308727436); #314189=CYLINDRICAL_SURFACE('',#347529,0.127000308727436); #314190=CYLINDRICAL_SURFACE('',#347533,0.127000308727436); #314191=CYLINDRICAL_SURFACE('',#347539,0.127000308727441); #314192=CYLINDRICAL_SURFACE('',#347543,0.127000308727438); #314193=CYLINDRICAL_SURFACE('',#347547,0.275429728561968); #314194=CYLINDRICAL_SURFACE('',#347550,0.275429566248991); #314195=CYLINDRICAL_SURFACE('',#347556,0.127000308727436); #314196=CYLINDRICAL_SURFACE('',#347560,0.127000308727436); #314197=CYLINDRICAL_SURFACE('',#347566,0.12700030872744); #314198=CYLINDRICAL_SURFACE('',#347571,0.127000308727436); #314199=CYLINDRICAL_SURFACE('',#347575,0.127000308727441); #314200=CYLINDRICAL_SURFACE('',#347579,0.127000308727433); #314201=CYLINDRICAL_SURFACE('',#347583,0.127000308727438); #314202=CYLINDRICAL_SURFACE('',#347587,0.275430756167224); #314203=CYLINDRICAL_SURFACE('',#347592,0.140000000000002); #314204=CYLINDRICAL_SURFACE('',#347595,0.139999999999998); #314205=CYLINDRICAL_SURFACE('',#347599,0.275850826114452); #314206=CYLINDRICAL_SURFACE('',#347602,0.275429566248992); #314207=CYLINDRICAL_SURFACE('',#347607,0.275430616157734); #314208=CYLINDRICAL_SURFACE('',#347610,0.275430435747982); #314209=CYLINDRICAL_SURFACE('',#347614,0.127000308727439); #314210=CYLINDRICAL_SURFACE('',#347618,0.275430756167224); #314211=CYLINDRICAL_SURFACE('',#347623,0.139999999999998); #314212=CYLINDRICAL_SURFACE('',#347631,0.127000429438416); #314213=CYLINDRICAL_SURFACE('',#347635,0.127073045682421); #314214=CYLINDRICAL_SURFACE('',#347638,0.275008823028508); #314215=CYLINDRICAL_SURFACE('',#347641,0.127073045682415); #314216=CYLINDRICAL_SURFACE('',#347645,0.127000429438414); #314217=CYLINDRICAL_SURFACE('',#347656,0.275084370745809); #314218=CYLINDRICAL_SURFACE('',#347664,0.139999999999998); #314219=CYLINDRICAL_SURFACE('',#347668,0.275429773854103); #314220=CYLINDRICAL_SURFACE('',#347671,0.12691207986283); #314221=CYLINDRICAL_SURFACE('',#347675,0.127000429438417); #314222=CYLINDRICAL_SURFACE('',#347686,0.275006306563116); #314223=CYLINDRICAL_SURFACE('',#347697,0.127000429438417); #314224=CYLINDRICAL_SURFACE('',#347701,0.126926612717977); #314225=CYLINDRICAL_SURFACE('',#347704,0.275430611325914); #314226=CYLINDRICAL_SURFACE('',#347709,0.139999999999998); #314227=CYLINDRICAL_SURFACE('',#347712,0.139999999999998); #314228=CYLINDRICAL_SURFACE('',#347717,0.127000308727438); #314229=CYLINDRICAL_SURFACE('',#347722,0.27542972856197); #314230=CYLINDRICAL_SURFACE('',#347725,0.275429566248993); #314231=CYLINDRICAL_SURFACE('',#347729,0.127000308727433); #314232=CYLINDRICAL_SURFACE('',#347735,0.127000308727449); #314233=CYLINDRICAL_SURFACE('',#347743,0.127000308727451); #314234=CYLINDRICAL_SURFACE('',#347748,0.127000308727436); #314235=CYLINDRICAL_SURFACE('',#347752,0.12700030872744); #314236=CYLINDRICAL_SURFACE('',#347758,0.12700030872744); #314237=CYLINDRICAL_SURFACE('',#347762,0.127000308727449); #314238=CYLINDRICAL_SURFACE('',#347766,0.127000308727438); #314239=CYLINDRICAL_SURFACE('',#347771,0.275429728561968); #314240=CYLINDRICAL_SURFACE('',#347774,0.275429566248991); #314241=CYLINDRICAL_SURFACE('',#347778,0.127000308727436); #314242=CYLINDRICAL_SURFACE('',#347785,0.127000308727425); #314243=CYLINDRICAL_SURFACE('',#347789,0.127000308727425); #314244=CYLINDRICAL_SURFACE('',#347795,0.127000308727436); #314245=CYLINDRICAL_SURFACE('',#347800,0.127001515838133); #314246=CYLINDRICAL_SURFACE('',#347804,0.127001515838138); #314247=CYLINDRICAL_SURFACE('',#347808,0.127001515838138); #314248=CYLINDRICAL_SURFACE('',#347812,0.127001515838131); #314249=CYLINDRICAL_SURFACE('',#347817,0.126758017197157); #314250=CYLINDRICAL_SURFACE('',#347822,0.139999999999998); #314251=CYLINDRICAL_SURFACE('',#347825,0.139999999999998); #314252=CYLINDRICAL_SURFACE('',#347829,0.275850826114455); #314253=CYLINDRICAL_SURFACE('',#347832,0.275429566248996); #314254=CYLINDRICAL_SURFACE('',#347836,0.275429704872049); #314255=CYLINDRICAL_SURFACE('',#347839,0.27542977774263); #314256=CYLINDRICAL_SURFACE('',#347843,0.275430756167224); #314257=CYLINDRICAL_SURFACE('',#347848,0.139999999999998); #314258=CYLINDRICAL_SURFACE('',#347851,0.139999999999998); #314259=CYLINDRICAL_SURFACE('',#347855,0.275850826114455); #314260=CYLINDRICAL_SURFACE('',#347858,0.275429566248993); #314261=CYLINDRICAL_SURFACE('',#347862,0.275429704872047); #314262=CYLINDRICAL_SURFACE('',#347865,0.275429777742628); #314263=CYLINDRICAL_SURFACE('',#347869,0.275430756167224); #314264=CYLINDRICAL_SURFACE('',#347874,0.139999999999998); #314265=CYLINDRICAL_SURFACE('',#347877,0.139999999999998); #314266=CYLINDRICAL_SURFACE('',#347881,0.275850826114455); #314267=CYLINDRICAL_SURFACE('',#347884,0.275429566248995); #314268=CYLINDRICAL_SURFACE('',#347888,0.275429704872054); #314269=CYLINDRICAL_SURFACE('',#347891,0.275429777742634); #314270=CYLINDRICAL_SURFACE('',#347895,0.275430756167224); #314271=CYLINDRICAL_SURFACE('',#347900,0.140000000000002); #314272=CYLINDRICAL_SURFACE('',#347903,0.139999999999998); #314273=CYLINDRICAL_SURFACE('',#347907,0.275429677195186); #314274=CYLINDRICAL_SURFACE('',#347913,0.27542972856197); #314275=CYLINDRICAL_SURFACE('',#347916,0.275429566248992); #314276=CYLINDRICAL_SURFACE('',#347920,0.127000308727437); #314277=CYLINDRICAL_SURFACE('',#347924,0.127000308727438); #314278=CYLINDRICAL_SURFACE('',#347928,0.275429442438527); #314279=CYLINDRICAL_SURFACE('',#347933,0.139999999999998); #314280=CYLINDRICAL_SURFACE('',#347942,0.139999999999998); #314281=CYLINDRICAL_SURFACE('',#347951,0.140000000000002); #314282=CYLINDRICAL_SURFACE('',#347960,0.139999999999998); #314283=CYLINDRICAL_SURFACE('',#347964,0.275429677195181); #314284=CYLINDRICAL_SURFACE('',#347974,0.275429442438526); #314285=CYLINDRICAL_SURFACE('',#347979,0.139999999999998); #314286=CYLINDRICAL_SURFACE('',#347982,0.139999999999998); #314287=CYLINDRICAL_SURFACE('',#347986,0.275429677195185); #314288=CYLINDRICAL_SURFACE('',#347990,0.275429704872052); #314289=CYLINDRICAL_SURFACE('',#347993,0.275429777742632); #314290=CYLINDRICAL_SURFACE('',#347997,0.275429442438526); #314291=CYLINDRICAL_SURFACE('',#348002,0.139999999999998); #314292=CYLINDRICAL_SURFACE('',#348006,0.275429677195181); #314293=CYLINDRICAL_SURFACE('',#348016,0.275429442438521); #314294=CYLINDRICAL_SURFACE('',#348021,0.140000000000002); #314295=CYLINDRICAL_SURFACE('',#348024,0.140000000000002); #314296=CYLINDRICAL_SURFACE('',#348028,0.275429677195186); #314297=CYLINDRICAL_SURFACE('',#348032,0.275429728561971); #314298=CYLINDRICAL_SURFACE('',#348035,0.275429566248994); #314299=CYLINDRICAL_SURFACE('',#348039,0.275429442438529); #314300=CYLINDRICAL_SURFACE('',#348044,0.139999999999998); #314301=CYLINDRICAL_SURFACE('',#348048,0.275429677195182); #314302=CYLINDRICAL_SURFACE('',#348058,0.275429442438522); #314303=CYLINDRICAL_SURFACE('',#348063,0.139999999999998); #314304=CYLINDRICAL_SURFACE('',#348067,0.275429677195182); #314305=CYLINDRICAL_SURFACE('',#348077,0.275429442438521); #314306=CYLINDRICAL_SURFACE('',#348082,0.139999999999998); #314307=CYLINDRICAL_SURFACE('',#348086,0.275430523299247); #314308=CYLINDRICAL_SURFACE('',#348090,0.127001515838137); #314309=CYLINDRICAL_SURFACE('',#348094,0.127001515838134); #314310=CYLINDRICAL_SURFACE('',#348106,0.275430756167224); #314311=CYLINDRICAL_SURFACE('',#348109,0.275850020106943); #314312=CYLINDRICAL_SURFACE('',#348114,0.465); #314313=CYLINDRICAL_SURFACE('',#348125,0.750581792116793); #314314=CYLINDRICAL_SURFACE('',#348128,0.750581774250364); #314315=CYLINDRICAL_SURFACE('',#348132,0.127000308727438); #314316=CYLINDRICAL_SURFACE('',#348136,0.127000308727433); #314317=CYLINDRICAL_SURFACE('',#348142,0.465); #314318=CYLINDRICAL_SURFACE('',#348146,0.750904962541172); #314319=CYLINDRICAL_SURFACE('',#348149,0.750905460124643); #314320=CYLINDRICAL_SURFACE('',#348154,0.139999999999998); #314321=CYLINDRICAL_SURFACE('',#348164,0.127000308727438); #314322=CYLINDRICAL_SURFACE('',#348169,0.275429728561968); #314323=CYLINDRICAL_SURFACE('',#348172,0.275429566248991); #314324=CYLINDRICAL_SURFACE('',#348176,0.127000308727444); #314325=CYLINDRICAL_SURFACE('',#348181,0.127000308727438); #314326=CYLINDRICAL_SURFACE('',#348185,0.127000308727435); #314327=CYLINDRICAL_SURFACE('',#348192,0.465); #314328=CYLINDRICAL_SURFACE('',#348199,0.127000308727438); #314329=CYLINDRICAL_SURFACE('',#348203,0.127000308727438); #314330=CYLINDRICAL_SURFACE('',#348207,0.750581792116793); #314331=CYLINDRICAL_SURFACE('',#348210,0.750581774250364); #314332=CYLINDRICAL_SURFACE('',#348225,0.127000308727435); #314333=CYLINDRICAL_SURFACE('',#348249,0.127000308727435); #314334=CYLINDRICAL_SURFACE('',#348256,0.564999999999998); #314335=CYLINDRICAL_SURFACE('',#348264,0.127000308727436); #314336=CYLINDRICAL_SURFACE('',#348271,0.127000308727438); #314337=CYLINDRICAL_SURFACE('',#348275,0.12700030872744); #314338=CYLINDRICAL_SURFACE('',#348287,0.127000308727438); #314339=CYLINDRICAL_SURFACE('',#348291,0.12700030872744); #314340=CYLINDRICAL_SURFACE('',#348295,0.127000308727435); #314341=CYLINDRICAL_SURFACE('',#348311,0.139999999999998); #314342=CYLINDRICAL_SURFACE('',#348314,0.139999999999998); #314343=CYLINDRICAL_SURFACE('',#348318,0.275429677195185); #314344=CYLINDRICAL_SURFACE('',#348322,0.27542972856197); #314345=CYLINDRICAL_SURFACE('',#348325,0.275429566248993); #314346=CYLINDRICAL_SURFACE('',#348329,0.275429442438528); #314347=CYLINDRICAL_SURFACE('',#348334,0.140000000000002); #314348=CYLINDRICAL_SURFACE('',#348343,0.139999999999998); #314349=CYLINDRICAL_SURFACE('',#348346,0.139999999999998); #314350=CYLINDRICAL_SURFACE('',#348350,0.275430523299248); #314351=CYLINDRICAL_SURFACE('',#348354,0.127000308727438); #314352=CYLINDRICAL_SURFACE('',#348358,0.127000308727433); #314353=CYLINDRICAL_SURFACE('',#348362,0.127000308727436); #314354=CYLINDRICAL_SURFACE('',#348366,0.127000308727438); #314355=CYLINDRICAL_SURFACE('',#348370,0.127000308727444); #314356=CYLINDRICAL_SURFACE('',#348374,0.275430209658209); #314357=CYLINDRICAL_SURFACE('',#348377,0.275430264558768); #314358=CYLINDRICAL_SURFACE('',#348386,0.275430756167224); #314359=CYLINDRICAL_SURFACE('',#348389,0.275850020106942); #314360=CYLINDRICAL_SURFACE('',#348394,0.139999999999998); #314361=CYLINDRICAL_SURFACE('',#348397,0.139999999999998); #314362=CYLINDRICAL_SURFACE('',#348401,0.275430525995305); #314363=CYLINDRICAL_SURFACE('',#348405,0.275430616157734); #314364=CYLINDRICAL_SURFACE('',#348408,0.27543090603658); #314365=CYLINDRICAL_SURFACE('',#348413,0.275850020106941); #314366=CYLINDRICAL_SURFACE('',#348418,0.139999999999998); #314367=CYLINDRICAL_SURFACE('',#348427,0.565000000000002); #314368=CYLINDRICAL_SURFACE('',#348431,0.900857088405025); #314369=CYLINDRICAL_SURFACE('',#348434,0.900856769723134); #314370=CYLINDRICAL_SURFACE('',#348439,0.139999999999998); #314371=CYLINDRICAL_SURFACE('',#348442,0.139999999999998); #314372=CYLINDRICAL_SURFACE('',#348445,0.139999999999998); #314373=CYLINDRICAL_SURFACE('',#348448,0.139999999999998); #314374=CYLINDRICAL_SURFACE('',#348451,0.139999999999998); #314375=CYLINDRICAL_SURFACE('',#348454,0.139999999999998); #314376=CYLINDRICAL_SURFACE('',#348457,0.139999999999998); #314377=CYLINDRICAL_SURFACE('',#348460,0.139999999999998); #314378=CYLINDRICAL_SURFACE('',#348463,0.139999999999998); #314379=CYLINDRICAL_SURFACE('',#348466,0.139999999999998); #314380=CYLINDRICAL_SURFACE('',#348469,0.139999999999998); #314381=CYLINDRICAL_SURFACE('',#348472,0.465); #314382=CYLINDRICAL_SURFACE('',#348475,0.139999999999998); #314383=CYLINDRICAL_SURFACE('',#348496,0.126999756401237); #314384=CYLINDRICAL_SURFACE('',#348519,0.127000308727433); #314385=CYLINDRICAL_SURFACE('',#348570,0.127000308727438); #314386=CYLINDRICAL_SURFACE('',#348574,0.127000308727433); #314387=CYLINDRICAL_SURFACE('',#348582,0.126735934237225); #314388=CYLINDRICAL_SURFACE('',#348587,0.14); #314389=CYLINDRICAL_SURFACE('',#348590,0.14); #314390=CYLINDRICAL_SURFACE('',#348593,0.14); #314391=CYLINDRICAL_SURFACE('',#348596,0.14); #314392=CYLINDRICAL_SURFACE('',#348599,0.14); #314393=CYLINDRICAL_SURFACE('',#348602,0.14); #314394=CYLINDRICAL_SURFACE('',#348605,0.14); #314395=CYLINDRICAL_SURFACE('',#348608,0.14); #314396=CYLINDRICAL_SURFACE('',#348611,0.14); #314397=CYLINDRICAL_SURFACE('',#348614,0.514999999999999); #314398=CYLINDRICAL_SURFACE('',#348617,0.472999999999999); #314399=CYLINDRICAL_SURFACE('',#348620,0.465); #314400=CYLINDRICAL_SURFACE('',#348943,0.565); #314401=CYLINDRICAL_SURFACE('',#348947,0.565); #314402=CYLINDRICAL_SURFACE('',#349060,0.472999999999999); #314403=CYLINDRICAL_SURFACE('',#349073,0.139999999999998); #314404=CYLINDRICAL_SURFACE('',#349076,0.139999999999998); #314405=CYLINDRICAL_SURFACE('',#349079,0.139999999999998); #314406=CYLINDRICAL_SURFACE('',#349082,0.139999999999998); #314407=CYLINDRICAL_SURFACE('',#349085,0.139999999999998); #314408=CYLINDRICAL_SURFACE('',#349088,0.139999999999998); #314409=CYLINDRICAL_SURFACE('',#349091,0.465); #314410=CYLINDRICAL_SURFACE('',#349094,0.139999999999998); #314411=CYLINDRICAL_SURFACE('',#349097,0.139999999999998); #314412=CYLINDRICAL_SURFACE('',#349100,0.139999999999998); #314413=CYLINDRICAL_SURFACE('',#349103,0.139999999999998); #314414=CYLINDRICAL_SURFACE('',#349106,0.139999999999998); #314415=CYLINDRICAL_SURFACE('',#349109,0.139999999999998); #314416=CYLINDRICAL_SURFACE('',#349112,0.514999999999999); #314417=CYLINDRICAL_SURFACE('',#349115,0.139999999999998); #314418=CYLINDRICAL_SURFACE('',#349118,0.139999999999998); #314419=CYLINDRICAL_SURFACE('',#349122,0.315); #314420=CYLINDRICAL_SURFACE('',#349126,0.315); #314421=CYLINDRICAL_SURFACE('',#349130,0.315); #314422=CYLINDRICAL_SURFACE('',#349134,0.315); #314423=CYLINDRICAL_SURFACE('',#349137,0.139999999999998); #314424=CYLINDRICAL_SURFACE('',#349140,0.114999999999998); #314425=CYLINDRICAL_SURFACE('',#349143,0.114999999999998); #314426=CYLINDRICAL_SURFACE('',#349146,0.139999999999998); #314427=CYLINDRICAL_SURFACE('',#349149,0.114999999999998); #314428=CYLINDRICAL_SURFACE('',#349152,0.114999999999998); #314429=CYLINDRICAL_SURFACE('',#349155,0.139999999999998); #314430=CYLINDRICAL_SURFACE('',#349158,0.139999999999998); #314431=CYLINDRICAL_SURFACE('',#349162,0.315); #314432=CYLINDRICAL_SURFACE('',#349166,0.315); #314433=CYLINDRICAL_SURFACE('',#349170,0.315); #314434=CYLINDRICAL_SURFACE('',#349174,0.315); #314435=CYLINDRICAL_SURFACE('',#349177,0.139999999999998); #314436=CYLINDRICAL_SURFACE('',#349180,0.139999999999998); #314437=CYLINDRICAL_SURFACE('',#349183,0.14); #314438=CYLINDRICAL_SURFACE('',#349186,0.139999999999998); #314439=CYLINDRICAL_SURFACE('',#349189,0.14); #314440=CYLINDRICAL_SURFACE('',#349192,0.139999999999998); #314441=CYLINDRICAL_SURFACE('',#349195,0.140000000000002); #314442=CYLINDRICAL_SURFACE('',#349198,0.139999999999998); #314443=CYLINDRICAL_SURFACE('',#349201,0.140000000000002); #314444=CYLINDRICAL_SURFACE('',#349204,0.140000000000002); #314445=CYLINDRICAL_SURFACE('',#349207,0.139999999999998); #314446=CYLINDRICAL_SURFACE('',#349210,0.140000000000002); #314447=CYLINDRICAL_SURFACE('',#349213,0.140000000000002); #314448=CYLINDRICAL_SURFACE('',#349216,0.139999999999998); #314449=CYLINDRICAL_SURFACE('',#349219,0.140000000000002); #314450=CYLINDRICAL_SURFACE('',#349222,0.140000000000002); #314451=CYLINDRICAL_SURFACE('',#349225,0.139999999999998); #314452=CYLINDRICAL_SURFACE('',#349228,0.140000000000002); #314453=CYLINDRICAL_SURFACE('',#349231,0.14); #314454=CYLINDRICAL_SURFACE('',#349234,0.139999999999998); #314455=CYLINDRICAL_SURFACE('',#349237,0.14); #314456=CYLINDRICAL_SURFACE('',#349240,0.140000000000002); #314457=CYLINDRICAL_SURFACE('',#349243,0.139999999999998); #314458=CYLINDRICAL_SURFACE('',#349246,0.140000000000002); #314459=CYLINDRICAL_SURFACE('',#349249,0.140000000000002); #314460=CYLINDRICAL_SURFACE('',#349252,0.139999999999998); #314461=CYLINDRICAL_SURFACE('',#349255,0.140000000000002); #314462=CYLINDRICAL_SURFACE('',#349258,0.14); #314463=CYLINDRICAL_SURFACE('',#349261,0.139999999999998); #314464=CYLINDRICAL_SURFACE('',#349264,0.14); #314465=CYLINDRICAL_SURFACE('',#349267,0.139999999999998); #314466=CYLINDRICAL_SURFACE('',#349270,0.14); #314467=CYLINDRICAL_SURFACE('',#349273,0.139999999999998); #314468=CYLINDRICAL_SURFACE('',#349276,0.14); #314469=CYLINDRICAL_SURFACE('',#349279,0.14); #314470=CYLINDRICAL_SURFACE('',#349282,0.139999999999998); #314471=CYLINDRICAL_SURFACE('',#349285,0.14); #314472=CYLINDRICAL_SURFACE('',#349288,0.139999999999998); #314473=CYLINDRICAL_SURFACE('',#349291,0.14); #314474=CYLINDRICAL_SURFACE('',#349294,0.139999999999998); #314475=CYLINDRICAL_SURFACE('',#349297,0.14); #314476=CYLINDRICAL_SURFACE('',#349300,0.139999999999998); #314477=CYLINDRICAL_SURFACE('',#349303,0.139999999999998); #314478=CYLINDRICAL_SURFACE('',#349306,0.14); #314479=CYLINDRICAL_SURFACE('',#349309,0.139999999999998); #314480=CYLINDRICAL_SURFACE('',#349312,0.14); #314481=CYLINDRICAL_SURFACE('',#349315,0.139999999999998); #314482=CYLINDRICAL_SURFACE('',#349318,0.139999999999998); #314483=CYLINDRICAL_SURFACE('',#349321,0.139999999999998); #314484=CYLINDRICAL_SURFACE('',#349324,0.140000000000002); #314485=CYLINDRICAL_SURFACE('',#349327,0.139999999999998); #314486=CYLINDRICAL_SURFACE('',#349330,0.140000000000002); #314487=CYLINDRICAL_SURFACE('',#349333,0.139999999999998); #314488=CYLINDRICAL_SURFACE('',#349336,0.139999999999998); #314489=CYLINDRICAL_SURFACE('',#349339,0.140000000000002); #314490=CYLINDRICAL_SURFACE('',#349342,0.465); #314491=CYLINDRICAL_SURFACE('',#349345,0.140000000000002); #314492=CYLINDRICAL_SURFACE('',#349348,0.139999999999998); #314493=CYLINDRICAL_SURFACE('',#349351,0.140000000000002); #314494=CYLINDRICAL_SURFACE('',#349354,0.139999999999998); #314495=CYLINDRICAL_SURFACE('',#349357,0.139999999999998); #314496=CYLINDRICAL_SURFACE('',#349360,0.139999999999998); #314497=CYLINDRICAL_SURFACE('',#349363,0.140000000000002); #314498=CYLINDRICAL_SURFACE('',#349366,0.139999999999998); #314499=CYLINDRICAL_SURFACE('',#349369,0.140000000000002); #314500=CYLINDRICAL_SURFACE('',#349372,0.139999999999998); #314501=CYLINDRICAL_SURFACE('',#349375,0.139999999999998); #314502=CYLINDRICAL_SURFACE('',#349378,0.140000000000002); #314503=CYLINDRICAL_SURFACE('',#349381,0.140000000000002); #314504=CYLINDRICAL_SURFACE('',#349384,0.139999999999998); #314505=CYLINDRICAL_SURFACE('',#349387,0.140000000000002); #314506=CYLINDRICAL_SURFACE('',#349390,0.140000000000002); #314507=CYLINDRICAL_SURFACE('',#349393,0.139999999999998); #314508=CYLINDRICAL_SURFACE('',#349396,0.140000000000002); #314509=CYLINDRICAL_SURFACE('',#349399,0.564999999999998); #314510=CYLINDRICAL_SURFACE('',#349402,0.140000000000002); #314511=CYLINDRICAL_SURFACE('',#349405,0.139999999999998); #314512=CYLINDRICAL_SURFACE('',#349408,0.140000000000002); #314513=CYLINDRICAL_SURFACE('',#349411,0.140000000000002); #314514=CYLINDRICAL_SURFACE('',#349414,0.139999999999998); #314515=CYLINDRICAL_SURFACE('',#349417,0.140000000000002); #314516=CYLINDRICAL_SURFACE('',#349420,0.140000000000002); #314517=CYLINDRICAL_SURFACE('',#349423,0.139999999999998); #314518=CYLINDRICAL_SURFACE('',#349426,0.140000000000002); #314519=CYLINDRICAL_SURFACE('',#349429,0.140000000000002); #314520=CYLINDRICAL_SURFACE('',#349432,0.139999999999998); #314521=CYLINDRICAL_SURFACE('',#349435,0.140000000000002); #314522=CYLINDRICAL_SURFACE('',#349438,0.14); #314523=CYLINDRICAL_SURFACE('',#349441,0.139999999999998); #314524=CYLINDRICAL_SURFACE('',#349444,0.14); #314525=CYLINDRICAL_SURFACE('',#349447,0.140000000000002); #314526=CYLINDRICAL_SURFACE('',#349450,0.139999999999998); #314527=CYLINDRICAL_SURFACE('',#349453,0.14); #314528=CYLINDRICAL_SURFACE('',#349456,0.14); #314529=CYLINDRICAL_SURFACE('',#349459,0.139999999999998); #314530=CYLINDRICAL_SURFACE('',#349462,0.14); #314531=CYLINDRICAL_SURFACE('',#349465,0.14); #314532=CYLINDRICAL_SURFACE('',#349468,0.139999999999998); #314533=CYLINDRICAL_SURFACE('',#349471,0.14); #314534=CYLINDRICAL_SURFACE('',#350976,0.565); #314535=CYLINDRICAL_SURFACE('',#350980,0.565); #314536=CYLINDRICAL_SURFACE('',#351131,0.472999999999999); #314537=CYLINDRICAL_SURFACE('',#351292,0.140000000000002); #314538=CYLINDRICAL_SURFACE('',#351295,0.140000000000002); #314539=CYLINDRICAL_SURFACE('',#351298,0.140000000000002); #314540=CYLINDRICAL_SURFACE('',#351301,0.140000000000002); #314541=CYLINDRICAL_SURFACE('',#351304,0.140000000000002); #314542=CYLINDRICAL_SURFACE('',#351307,0.140000000000002); #314543=CYLINDRICAL_SURFACE('',#351310,0.140000000000002); #314544=CYLINDRICAL_SURFACE('',#351313,0.140000000000002); #314545=CYLINDRICAL_SURFACE('',#351316,0.140000000000002); #314546=CYLINDRICAL_SURFACE('',#351319,0.140000000000002); #314547=CYLINDRICAL_SURFACE('',#351322,0.140000000000002); #314548=CYLINDRICAL_SURFACE('',#351325,0.140000000000002); #314549=CYLINDRICAL_SURFACE('',#351328,0.140000000000002); #314550=CYLINDRICAL_SURFACE('',#351331,0.140000000000002); #314551=CYLINDRICAL_SURFACE('',#351334,0.140000000000002); #314552=CYLINDRICAL_SURFACE('',#351337,0.140000000000002); #314553=CYLINDRICAL_SURFACE('',#351416,0.139999999999998); #314554=CYLINDRICAL_SURFACE('',#351419,0.139999999999998); #314555=CYLINDRICAL_SURFACE('',#351422,0.139999999999998); #314556=CYLINDRICAL_SURFACE('',#351425,0.139999999999998); #314557=CYLINDRICAL_SURFACE('',#351428,0.139999999999998); #314558=CYLINDRICAL_SURFACE('',#351431,0.139999999999998); #314559=CYLINDRICAL_SURFACE('',#351434,0.139999999999998); #314560=CYLINDRICAL_SURFACE('',#351437,0.139999999999998); #314561=CYLINDRICAL_SURFACE('',#351440,0.139999999999998); #314562=CYLINDRICAL_SURFACE('',#351443,0.139999999999998); #314563=CYLINDRICAL_SURFACE('',#351446,0.139999999999998); #314564=CYLINDRICAL_SURFACE('',#351449,0.139999999999998); #314565=CYLINDRICAL_SURFACE('',#351452,0.139999999999998); #314566=CYLINDRICAL_SURFACE('',#351455,0.139999999999998); #314567=CYLINDRICAL_SURFACE('',#351458,0.139999999999998); #314568=CYLINDRICAL_SURFACE('',#351461,0.139999999999998); #314569=CYLINDRICAL_SURFACE('',#351536,0.14); #314570=CYLINDRICAL_SURFACE('',#351539,0.14); #314571=CYLINDRICAL_SURFACE('',#351542,0.14); #314572=CYLINDRICAL_SURFACE('',#351545,0.14); #314573=CYLINDRICAL_SURFACE('',#351548,0.14); #314574=CYLINDRICAL_SURFACE('',#351551,0.14); #314575=CYLINDRICAL_SURFACE('',#351554,0.14); #314576=CYLINDRICAL_SURFACE('',#351557,0.14); #314577=CYLINDRICAL_SURFACE('',#351560,0.139999999999998); #314578=CYLINDRICAL_SURFACE('',#351563,0.139999999999998); #314579=CYLINDRICAL_SURFACE('',#351566,0.14); #314580=CYLINDRICAL_SURFACE('',#351569,0.14); #314581=CYLINDRICAL_SURFACE('',#351572,0.14); #314582=CYLINDRICAL_SURFACE('',#351575,0.14); #314583=CYLINDRICAL_SURFACE('',#351578,0.139999999999998); #314584=CYLINDRICAL_SURFACE('',#351581,0.139999999999998); #314585=CYLINDRICAL_SURFACE('',#351584,0.139999999999998); #314586=CYLINDRICAL_SURFACE('',#351587,0.139999999999998); #314587=CYLINDRICAL_SURFACE('',#351590,0.14); #314588=CYLINDRICAL_SURFACE('',#351593,0.14); #314589=CYLINDRICAL_SURFACE('',#351596,0.14); #314590=CYLINDRICAL_SURFACE('',#351599,0.139999999999998); #314591=CYLINDRICAL_SURFACE('',#351602,0.139999999999998); #314592=CYLINDRICAL_SURFACE('',#351605,0.139999999999998); #314593=CYLINDRICAL_SURFACE('',#351608,0.139999999999998); #314594=CYLINDRICAL_SURFACE('',#351611,0.139999999999998); #314595=CYLINDRICAL_SURFACE('',#351614,0.139999999999998); #314596=CYLINDRICAL_SURFACE('',#351617,0.139999999999998); #314597=CYLINDRICAL_SURFACE('',#351620,0.139999999999998); #314598=CYLINDRICAL_SURFACE('',#351623,0.139999999999998); #314599=CYLINDRICAL_SURFACE('',#351673,0.139999999999998); #314600=CYLINDRICAL_SURFACE('',#351676,0.139999999999998); #314601=CYLINDRICAL_SURFACE('',#351680,0.275429677195186); #314602=CYLINDRICAL_SURFACE('',#351684,0.27542972856197); #314603=CYLINDRICAL_SURFACE('',#351687,0.275429566248992); #314604=CYLINDRICAL_SURFACE('',#351691,0.275429442438528); #314605=CYLINDRICAL_SURFACE('',#351696,0.140000000000002); #314606=CYLINDRICAL_SURFACE('',#351699,0.140000000000002); #314607=CYLINDRICAL_SURFACE('',#351702,0.140000000000002); #314608=CYLINDRICAL_SURFACE('',#351705,0.140000000000002); #314609=CYLINDRICAL_SURFACE('',#351708,0.140000000000002); #314610=CYLINDRICAL_SURFACE('',#351711,0.140000000000002); #314611=CYLINDRICAL_SURFACE('',#351714,0.140000000000002); #314612=CYLINDRICAL_SURFACE('',#351717,0.140000000000002); #314613=CYLINDRICAL_SURFACE('',#351720,0.140000000000002); #314614=CYLINDRICAL_SURFACE('',#351723,0.140000000000002); #314615=CYLINDRICAL_SURFACE('',#351726,0.140000000000002); #314616=CYLINDRICAL_SURFACE('',#351729,0.140000000000002); #314617=CYLINDRICAL_SURFACE('',#351732,0.140000000000002); #314618=CYLINDRICAL_SURFACE('',#351735,0.140000000000002); #314619=CYLINDRICAL_SURFACE('',#351738,0.140000000000002); #314620=CYLINDRICAL_SURFACE('',#351741,0.140000000000002); #314621=CYLINDRICAL_SURFACE('',#351744,0.140000000000002); #314622=CYLINDRICAL_SURFACE('',#351747,0.140000000000002); #314623=CYLINDRICAL_SURFACE('',#351750,0.140000000000002); #314624=CYLINDRICAL_SURFACE('',#351753,0.140000000000002); #314625=CYLINDRICAL_SURFACE('',#351756,0.140000000000002); #314626=CYLINDRICAL_SURFACE('',#351759,0.140000000000002); #314627=CYLINDRICAL_SURFACE('',#351762,0.140000000000002); #314628=CYLINDRICAL_SURFACE('',#351765,0.140000000000002); #314629=CYLINDRICAL_SURFACE('',#351768,0.140000000000002); #314630=CYLINDRICAL_SURFACE('',#351771,0.140000000000002); #314631=CYLINDRICAL_SURFACE('',#351774,0.140000000000002); #314632=CYLINDRICAL_SURFACE('',#351777,0.140000000000002); #314633=CYLINDRICAL_SURFACE('',#351780,0.140000000000002); #314634=CYLINDRICAL_SURFACE('',#351783,0.140000000000002); #314635=CYLINDRICAL_SURFACE('',#351833,0.140000000000002); #314636=CYLINDRICAL_SURFACE('',#351836,0.140000000000002); #314637=CYLINDRICAL_SURFACE('',#351840,0.275429677195186); #314638=CYLINDRICAL_SURFACE('',#351844,0.27542972856197); #314639=CYLINDRICAL_SURFACE('',#351847,0.275429566248994); #314640=CYLINDRICAL_SURFACE('',#351851,0.275429442438528); #314641=CYLINDRICAL_SURFACE('',#351856,0.465); #314642=CYLINDRICAL_SURFACE('',#351859,0.472999999999999); #314643=CYLINDRICAL_SURFACE('',#351965,0.139999999999998); #314644=CYLINDRICAL_SURFACE('',#351969,0.27585023155909); #314645=CYLINDRICAL_SURFACE('',#351972,0.275850020106942); #314646=CYLINDRICAL_SURFACE('',#351977,0.139999999999998); #314647=CYLINDRICAL_SURFACE('',#351980,0.139999999999998); #314648=CYLINDRICAL_SURFACE('',#351983,0.139999999999998); #314649=CYLINDRICAL_SURFACE('',#351986,0.114999999999998); #314650=CYLINDRICAL_SURFACE('',#351989,0.114999999999998); #314651=CYLINDRICAL_SURFACE('',#351992,0.114999999999998); #314652=CYLINDRICAL_SURFACE('',#351995,0.114999999999998); #314653=CYLINDRICAL_SURFACE('',#351998,0.139999999999998); #314654=CYLINDRICAL_SURFACE('',#352001,0.139999999999998); #314655=CYLINDRICAL_SURFACE('',#352004,0.139999999999998); #314656=CYLINDRICAL_SURFACE('',#352007,0.139999999999998); #314657=CYLINDRICAL_SURFACE('',#352010,0.139999999999998); #314658=CYLINDRICAL_SURFACE('',#352013,0.139999999999998); #314659=CYLINDRICAL_SURFACE('',#352016,0.139999999999998); #314660=CYLINDRICAL_SURFACE('',#352019,0.139999999999998); #314661=CYLINDRICAL_SURFACE('',#352191,0.140000000000002); #314662=CYLINDRICAL_SURFACE('',#352194,0.139999999999998); #314663=CYLINDRICAL_SURFACE('',#352198,0.275430525995306); #314664=CYLINDRICAL_SURFACE('',#352203,0.127000308727438); #314665=CYLINDRICAL_SURFACE('',#352208,0.12700030872744); #314666=CYLINDRICAL_SURFACE('',#352212,0.12700030872744); #314667=CYLINDRICAL_SURFACE('',#352218,0.12700030872744); #314668=CYLINDRICAL_SURFACE('',#352222,0.127000308727436); #314669=CYLINDRICAL_SURFACE('',#352227,0.127000308727438); #314670=CYLINDRICAL_SURFACE('',#352231,0.275429728561968); #314671=CYLINDRICAL_SURFACE('',#352234,0.275429566248991); #314672=CYLINDRICAL_SURFACE('',#352239,0.127000308727438); #314673=CYLINDRICAL_SURFACE('',#352245,0.12700030872744); #314674=CYLINDRICAL_SURFACE('',#352249,0.12700030872744); #314675=CYLINDRICAL_SURFACE('',#352255,0.127000308727447); #314676=CYLINDRICAL_SURFACE('',#352260,0.12700030872744); #314677=CYLINDRICAL_SURFACE('',#352265,0.275850020106941); #314678=CYLINDRICAL_SURFACE('',#352270,0.139999999999998); #314679=CYLINDRICAL_SURFACE('',#352274,0.275850231559089); #314680=CYLINDRICAL_SURFACE('',#352277,0.275850020106942); #314681=CYLINDRICAL_SURFACE('',#352282,0.140000000000002); #314682=CYLINDRICAL_SURFACE('',#352285,0.140000000000002); #314683=CYLINDRICAL_SURFACE('',#352288,0.465); #314684=CYLINDRICAL_SURFACE('',#352291,0.140000000000002); #314685=CYLINDRICAL_SURFACE('',#352294,0.140000000000002); #314686=CYLINDRICAL_SURFACE('',#352297,0.140000000000002); #314687=CYLINDRICAL_SURFACE('',#352300,0.140000000000002); #314688=CYLINDRICAL_SURFACE('',#352303,0.140000000000002); #314689=CYLINDRICAL_SURFACE('',#352306,0.140000000000002); #314690=CYLINDRICAL_SURFACE('',#352309,0.140000000000002); #314691=CYLINDRICAL_SURFACE('',#352338,0.564999999999998); #314692=CYLINDRICAL_SURFACE('',#352342,0.900857088405025); #314693=CYLINDRICAL_SURFACE('',#352345,0.900856769723134); #314694=CYLINDRICAL_SURFACE('',#352350,0.565000000000002); #314695=CYLINDRICAL_SURFACE('',#352354,0.900857088405025); #314696=CYLINDRICAL_SURFACE('',#352357,0.900856769723134); #314697=CYLINDRICAL_SURFACE('',#352362,0.465); #314698=CYLINDRICAL_SURFACE('',#352366,0.750904962541172); #314699=CYLINDRICAL_SURFACE('',#352369,0.750905460124644); #314700=CYLINDRICAL_SURFACE('',#352374,0.472999999999999); #314701=CYLINDRICAL_SURFACE('',#352387,0.465); #314702=CYLINDRICAL_SURFACE('',#352391,0.750904962541172); #314703=CYLINDRICAL_SURFACE('',#352394,0.750905460124644); #314704=CYLINDRICAL_SURFACE('',#352399,0.514999999999999); #314705=CYLINDRICAL_SURFACE('',#352408,0.564999999999998); #314706=CYLINDRICAL_SURFACE('',#352412,0.900857088405025); #314707=CYLINDRICAL_SURFACE('',#352415,0.900856769723134); #314708=CYLINDRICAL_SURFACE('',#352421,0.315); #314709=CYLINDRICAL_SURFACE('',#352425,0.315); #314710=CYLINDRICAL_SURFACE('',#352486,0.465); #314711=CYLINDRICAL_SURFACE('',#352490,0.750904962541171); #314712=CYLINDRICAL_SURFACE('',#352493,0.750905460124643); #314713=CYLINDRICAL_SURFACE('',#352499,0.315); #314714=CYLINDRICAL_SURFACE('',#352503,0.315); #314715=CYLINDRICAL_SURFACE('',#352565,0.565); #314716=CYLINDRICAL_SURFACE('',#352569,0.565); #314717=CYLINDRICAL_SURFACE('',#352647,0.315); #314718=CYLINDRICAL_SURFACE('',#352651,0.315); #314719=CYLINDRICAL_SURFACE('',#352712,0.514999999999999); #314720=CYLINDRICAL_SURFACE('',#352716,0.825995597015952); #314721=CYLINDRICAL_SURFACE('',#352719,0.825996336030615); #314722=CYLINDRICAL_SURFACE('',#352725,0.315); #314723=CYLINDRICAL_SURFACE('',#352729,0.315); #314724=CYLINDRICAL_SURFACE('',#352790,0.472999999999999); #314725=CYLINDRICAL_SURFACE('',#352803,0.465); #314726=CYLINDRICAL_SURFACE('',#352807,0.750904962541172); #314727=CYLINDRICAL_SURFACE('',#352810,0.750905460124644); #314728=CYLINDRICAL_SURFACE('',#352816,0.565); #314729=CYLINDRICAL_SURFACE('',#352820,0.565); #314730=CYLINDRICAL_SURFACE('',#352897,0.472999999999999); #314731=CYLINDRICAL_SURFACE('',#352910,0.465); #314732=CYLINDRICAL_SURFACE('',#352914,0.750904962541172); #314733=CYLINDRICAL_SURFACE('',#352917,0.750905460124644); #314734=CYLINDRICAL_SURFACE('',#352922,0.465); #314735=CYLINDRICAL_SURFACE('',#352926,0.750904962541172); #314736=CYLINDRICAL_SURFACE('',#352929,0.750905460124643); #314737=CYLINDRICAL_SURFACE('',#352934,0.465); #314738=CYLINDRICAL_SURFACE('',#352938,0.750904962541172); #314739=CYLINDRICAL_SURFACE('',#352941,0.750905460124643); #314740=CYLINDRICAL_SURFACE('',#352946,0.564999999999998); #314741=CYLINDRICAL_SURFACE('',#352955,0.472999999999999); #314742=CYLINDRICAL_SURFACE('',#352968,0.465); #314743=CYLINDRICAL_SURFACE('',#352972,0.750904962541172); #314744=CYLINDRICAL_SURFACE('',#352975,0.750905460124643); #314745=CYLINDRICAL_SURFACE('',#352980,0.465); #314746=CYLINDRICAL_SURFACE('',#352984,0.750904962541172); #314747=CYLINDRICAL_SURFACE('',#352987,0.750905460124643); #314748=CYLINDRICAL_SURFACE('',#353130,0.114999999999998); #314749=CYLINDRICAL_SURFACE('',#353133,0.114999999999998); #314750=CYLINDRICAL_SURFACE('',#353190,0.114999999999998); #314751=CYLINDRICAL_SURFACE('',#353193,0.114999999999998); #314752=CYLINDRICAL_SURFACE('',#353250,0.140000000000002); #314753=CYLINDRICAL_SURFACE('',#353283,0.140000000000002); #314754=CYLINDRICAL_SURFACE('',#353286,0.140000000000002); #314755=CYLINDRICAL_SURFACE('',#353289,0.140000000000002); #314756=CYLINDRICAL_SURFACE('',#353292,0.140000000000002); #314757=CYLINDRICAL_SURFACE('',#353295,0.140000000000002); #314758=CYLINDRICAL_SURFACE('',#353298,0.140000000000002); #314759=CYLINDRICAL_SURFACE('',#353301,0.140000000000002); #314760=CYLINDRICAL_SURFACE('',#353304,0.140000000000002); #314761=CYLINDRICAL_SURFACE('',#353307,0.140000000000002); #314762=CYLINDRICAL_SURFACE('',#353310,0.140000000000002); #314763=CYLINDRICAL_SURFACE('',#353313,0.140000000000002); #314764=CYLINDRICAL_SURFACE('',#353316,0.140000000000002); #314765=CYLINDRICAL_SURFACE('',#353319,0.140000000000002); #314766=CYLINDRICAL_SURFACE('',#353322,0.140000000000002); #314767=CYLINDRICAL_SURFACE('',#353325,0.140000000000002); #314768=CYLINDRICAL_SURFACE('',#353352,0.139999999999998); #314769=CYLINDRICAL_SURFACE('',#353385,0.139999999999998); #314770=CYLINDRICAL_SURFACE('',#353388,0.139999999999998); #314771=CYLINDRICAL_SURFACE('',#353391,0.139999999999998); #314772=CYLINDRICAL_SURFACE('',#353394,0.139999999999998); #314773=CYLINDRICAL_SURFACE('',#353397,0.139999999999998); #314774=CYLINDRICAL_SURFACE('',#353400,0.139999999999998); #314775=CYLINDRICAL_SURFACE('',#353403,0.139999999999998); #314776=CYLINDRICAL_SURFACE('',#353406,0.139999999999998); #314777=CYLINDRICAL_SURFACE('',#353409,0.139999999999998); #314778=CYLINDRICAL_SURFACE('',#353412,0.139999999999998); #314779=CYLINDRICAL_SURFACE('',#353415,0.139999999999998); #314780=CYLINDRICAL_SURFACE('',#353418,0.139999999999998); #314781=CYLINDRICAL_SURFACE('',#353421,0.139999999999998); #314782=CYLINDRICAL_SURFACE('',#353424,0.139999999999998); #314783=CYLINDRICAL_SURFACE('',#353427,0.139999999999998); #314784=CYLINDRICAL_SURFACE('',#353454,0.139999999999998); #314785=CYLINDRICAL_SURFACE('',#353463,0.139999999999998); #314786=CYLINDRICAL_SURFACE('',#353472,0.140000000000002); #314787=CYLINDRICAL_SURFACE('',#353481,0.139999999999998); #314788=CYLINDRICAL_SURFACE('',#353484,0.139999999999998); #314789=CYLINDRICAL_SURFACE('',#353487,0.139999999999998); #314790=CYLINDRICAL_SURFACE('',#353490,0.139999999999998); #314791=CYLINDRICAL_SURFACE('',#353493,0.139999999999998); #314792=CYLINDRICAL_SURFACE('',#353496,0.139999999999998); #314793=CYLINDRICAL_SURFACE('',#353499,0.139999999999998); #314794=CYLINDRICAL_SURFACE('',#353502,0.139999999999998); #314795=CYLINDRICAL_SURFACE('',#353505,0.139999999999998); #314796=CYLINDRICAL_SURFACE('',#353508,0.139999999999998); #314797=CYLINDRICAL_SURFACE('',#353511,0.139999999999998); #314798=CYLINDRICAL_SURFACE('',#353514,0.139999999999998); #314799=CYLINDRICAL_SURFACE('',#353517,0.139999999999998); #314800=CYLINDRICAL_SURFACE('',#353520,0.139999999999998); #314801=CYLINDRICAL_SURFACE('',#353523,0.139999999999998); #314802=CYLINDRICAL_SURFACE('',#353652,0.140000000000002); #314803=CYLINDRICAL_SURFACE('',#353655,0.140000000000002); #314804=CYLINDRICAL_SURFACE('',#353658,0.140000000000002); #314805=CYLINDRICAL_SURFACE('',#353661,0.140000000000002); #314806=CYLINDRICAL_SURFACE('',#353664,0.140000000000002); #314807=CYLINDRICAL_SURFACE('',#353667,0.140000000000002); #314808=CYLINDRICAL_SURFACE('',#353670,0.140000000000002); #314809=CYLINDRICAL_SURFACE('',#353673,0.140000000000002); #314810=CYLINDRICAL_SURFACE('',#353676,0.140000000000002); #314811=CYLINDRICAL_SURFACE('',#353679,0.140000000000002); #314812=CYLINDRICAL_SURFACE('',#353682,0.140000000000002); #314813=CYLINDRICAL_SURFACE('',#353685,0.140000000000002); #314814=CYLINDRICAL_SURFACE('',#353688,0.140000000000002); #314815=CYLINDRICAL_SURFACE('',#353691,0.140000000000002); #314816=CYLINDRICAL_SURFACE('',#353694,0.140000000000002); #314817=CYLINDRICAL_SURFACE('',#353739,0.140000000000002); #314818=CYLINDRICAL_SURFACE('',#353748,0.114999999999998); #314819=CYLINDRICAL_SURFACE('',#353751,0.114999999999998); #314820=CYLINDRICAL_SURFACE('',#353760,0.114999999999998); #314821=CYLINDRICAL_SURFACE('',#353763,0.114999999999998); #314822=CYLINDRICAL_SURFACE('',#353772,0.139999999999998); #314823=CYLINDRICAL_SURFACE('',#353788,0.325); #314824=CYLINDRICAL_SURFACE('',#353791,0.325); #314825=CYLINDRICAL_SURFACE('',#353794,0.600000000000001); #314826=CYLINDRICAL_SURFACE('',#353797,0.175000000000001); #314827=CYLINDRICAL_SURFACE('',#353800,0.175000000000001); #314828=CYLINDRICAL_SURFACE('',#353803,0.175000000000001); #314829=CYLINDRICAL_SURFACE('',#353806,0.175000000000001); #314830=CYLINDRICAL_SURFACE('',#353809,0.175000000000001); #314831=CYLINDRICAL_SURFACE('',#353812,0.175000000000001); #314832=CYLINDRICAL_SURFACE('',#353815,0.175000000000001); #314833=CYLINDRICAL_SURFACE('',#353818,0.175000000000001); #314834=CYLINDRICAL_SURFACE('',#353821,0.175000000000001); #314835=CYLINDRICAL_SURFACE('',#353824,0.175000000000001); #314836=CYLINDRICAL_SURFACE('',#353827,0.175000000000001); #314837=CYLINDRICAL_SURFACE('',#353830,0.175000000000001); #314838=CYLINDRICAL_SURFACE('',#353833,0.175000000000001); #314839=CYLINDRICAL_SURFACE('',#353836,0.175000000000001); #314840=CYLINDRICAL_SURFACE('',#353839,0.175000000000001); #314841=CYLINDRICAL_SURFACE('',#353842,0.499999999999998); #314842=CYLINDRICAL_SURFACE('',#353845,0.175000000000001); #314843=CYLINDRICAL_SURFACE('',#353848,0.175000000000001); #314844=CYLINDRICAL_SURFACE('',#353851,0.175000000000001); #314845=CYLINDRICAL_SURFACE('',#353854,0.175000000000001); #314846=CYLINDRICAL_SURFACE('',#353857,0.175000000000001); #314847=CYLINDRICAL_SURFACE('',#353860,0.175000000000001); #314848=CYLINDRICAL_SURFACE('',#353863,0.175000000000001); #314849=CYLINDRICAL_SURFACE('',#353866,0.175000000000001); #314850=CYLINDRICAL_SURFACE('',#353869,0.175000000000001); #314851=CYLINDRICAL_SURFACE('',#353872,0.175000000000001); #314852=CYLINDRICAL_SURFACE('',#353875,0.175000000000001); #314853=CYLINDRICAL_SURFACE('',#353878,0.175000000000001); #314854=CYLINDRICAL_SURFACE('',#353881,0.175000000000001); #314855=CYLINDRICAL_SURFACE('',#353884,0.175000000000001); #314856=CYLINDRICAL_SURFACE('',#353887,0.175000000000001); #314857=CYLINDRICAL_SURFACE('',#353890,0.550000000000002); #314858=CYLINDRICAL_SURFACE('',#353893,0.175000000000001); #314859=CYLINDRICAL_SURFACE('',#353896,0.175000000000001); #314860=CYLINDRICAL_SURFACE('',#353899,0.175000000000001); #314861=CYLINDRICAL_SURFACE('',#353902,0.175000000000001); #314862=CYLINDRICAL_SURFACE('',#353906,0.35); #314863=CYLINDRICAL_SURFACE('',#353910,0.35); #314864=CYLINDRICAL_SURFACE('',#353913,0.175000000000001); #314865=CYLINDRICAL_SURFACE('',#353917,0.35); #314866=CYLINDRICAL_SURFACE('',#353921,0.35); #314867=CYLINDRICAL_SURFACE('',#353924,0.175000000000001); #314868=CYLINDRICAL_SURFACE('',#353927,0.175000000000001); #314869=CYLINDRICAL_SURFACE('',#353930,0.175000000000001); #314870=CYLINDRICAL_SURFACE('',#353933,0.150000000000001); #314871=CYLINDRICAL_SURFACE('',#353936,0.175000000000001); #314872=CYLINDRICAL_SURFACE('',#353939,0.150000000000001); #314873=CYLINDRICAL_SURFACE('',#353942,0.175000000000001); #314874=CYLINDRICAL_SURFACE('',#353945,0.150000000000001); #314875=CYLINDRICAL_SURFACE('',#353948,0.175000000000001); #314876=CYLINDRICAL_SURFACE('',#353951,0.150000000000001); #314877=CYLINDRICAL_SURFACE('',#353954,0.175000000000001); #314878=CYLINDRICAL_SURFACE('',#353958,0.6); #314879=CYLINDRICAL_SURFACE('',#353962,0.6); #314880=CYLINDRICAL_SURFACE('',#353965,0.175000000000001); #314881=CYLINDRICAL_SURFACE('',#353969,0.6); #314882=CYLINDRICAL_SURFACE('',#353973,0.6); #314883=CYLINDRICAL_SURFACE('',#353976,0.175000000000001); #314884=CYLINDRICAL_SURFACE('',#353980,0.35); #314885=CYLINDRICAL_SURFACE('',#353984,0.35); #314886=CYLINDRICAL_SURFACE('',#353987,0.175000000000001); #314887=CYLINDRICAL_SURFACE('',#353991,0.35); #314888=CYLINDRICAL_SURFACE('',#353995,0.35); #314889=CYLINDRICAL_SURFACE('',#353998,0.499999999999998); #314890=CYLINDRICAL_SURFACE('',#354001,0.175000000000001); #314891=CYLINDRICAL_SURFACE('',#354004,0.175000000000001); #314892=CYLINDRICAL_SURFACE('',#354007,0.175000000000001); #314893=CYLINDRICAL_SURFACE('',#354010,0.175000000000001); #314894=CYLINDRICAL_SURFACE('',#354013,0.175000000000001); #314895=CYLINDRICAL_SURFACE('',#354016,0.175000000000001); #314896=CYLINDRICAL_SURFACE('',#354019,0.175000000000001); #314897=CYLINDRICAL_SURFACE('',#354022,0.175000000000001); #314898=CYLINDRICAL_SURFACE('',#354025,0.175000000000001); #314899=CYLINDRICAL_SURFACE('',#354028,0.175000000000001); #314900=CYLINDRICAL_SURFACE('',#354031,0.175000000000001); #314901=CYLINDRICAL_SURFACE('',#354034,0.175000000000001); #314902=CYLINDRICAL_SURFACE('',#354037,0.175000000000001); #314903=CYLINDRICAL_SURFACE('',#354040,0.175000000000001); #314904=CYLINDRICAL_SURFACE('',#354043,0.175000000000001); #314905=CYLINDRICAL_SURFACE('',#354046,0.600000000000001); #314906=CYLINDRICAL_SURFACE('',#354049,0.175000000000001); #314907=CYLINDRICAL_SURFACE('',#354052,0.175); #314908=CYLINDRICAL_SURFACE('',#354055,0.175000000000001); #314909=CYLINDRICAL_SURFACE('',#354058,0.175000000000001); #314910=CYLINDRICAL_SURFACE('',#354061,0.175000000000001); #314911=CYLINDRICAL_SURFACE('',#354064,0.175000000000001); #314912=CYLINDRICAL_SURFACE('',#354067,0.175000000000001); #314913=CYLINDRICAL_SURFACE('',#354070,0.175000000000001); #314914=CYLINDRICAL_SURFACE('',#354073,0.175000000000001); #314915=CYLINDRICAL_SURFACE('',#354076,0.175000000000001); #314916=CYLINDRICAL_SURFACE('',#354079,0.175000000000001); #314917=CYLINDRICAL_SURFACE('',#354082,0.175000000000001); #314918=CYLINDRICAL_SURFACE('',#354085,0.175000000000001); #314919=CYLINDRICAL_SURFACE('',#354088,0.175000000000001); #314920=CYLINDRICAL_SURFACE('',#354091,0.175000000000001); #314921=CYLINDRICAL_SURFACE('',#354094,0.499999999999998); #314922=CYLINDRICAL_SURFACE('',#354097,0.175000000000001); #314923=CYLINDRICAL_SURFACE('',#354100,0.175000000000001); #314924=CYLINDRICAL_SURFACE('',#354103,0.175000000000001); #314925=CYLINDRICAL_SURFACE('',#354106,0.175000000000001); #314926=CYLINDRICAL_SURFACE('',#354109,0.175000000000001); #314927=CYLINDRICAL_SURFACE('',#354112,0.175000000000001); #314928=CYLINDRICAL_SURFACE('',#354115,0.175000000000001); #314929=CYLINDRICAL_SURFACE('',#354118,0.175000000000001); #314930=CYLINDRICAL_SURFACE('',#354121,0.175000000000001); #314931=CYLINDRICAL_SURFACE('',#354124,0.175000000000001); #314932=CYLINDRICAL_SURFACE('',#354127,0.175000000000001); #314933=CYLINDRICAL_SURFACE('',#354130,0.175000000000001); #314934=CYLINDRICAL_SURFACE('',#354133,0.175000000000001); #314935=CYLINDRICAL_SURFACE('',#354136,0.175000000000001); #314936=CYLINDRICAL_SURFACE('',#354139,0.175000000000001); #314937=CYLINDRICAL_SURFACE('',#354142,0.507999999999997); #314938=CYLINDRICAL_SURFACE('',#354145,0.175000000000001); #314939=CYLINDRICAL_SURFACE('',#354148,0.175000000000001); #314940=CYLINDRICAL_SURFACE('',#354151,0.175000000000001); #314941=CYLINDRICAL_SURFACE('',#354154,0.175000000000001); #314942=CYLINDRICAL_SURFACE('',#354157,0.175000000000001); #314943=CYLINDRICAL_SURFACE('',#354160,0.175000000000001); #314944=CYLINDRICAL_SURFACE('',#354163,0.175000000000001); #314945=CYLINDRICAL_SURFACE('',#354166,0.175000000000001); #314946=CYLINDRICAL_SURFACE('',#354169,0.175000000000001); #314947=CYLINDRICAL_SURFACE('',#354172,0.175000000000001); #314948=CYLINDRICAL_SURFACE('',#354175,0.175000000000001); #314949=CYLINDRICAL_SURFACE('',#354178,0.175000000000001); #314950=CYLINDRICAL_SURFACE('',#354181,0.175000000000001); #314951=CYLINDRICAL_SURFACE('',#354184,0.175000000000001); #314952=CYLINDRICAL_SURFACE('',#354187,0.175000000000001); #314953=CYLINDRICAL_SURFACE('',#354190,0.499999999999998); #314954=CYLINDRICAL_SURFACE('',#354193,0.175000000000001); #314955=CYLINDRICAL_SURFACE('',#354196,0.175000000000001); #314956=CYLINDRICAL_SURFACE('',#354199,0.175000000000001); #314957=CYLINDRICAL_SURFACE('',#354202,0.175000000000001); #314958=CYLINDRICAL_SURFACE('',#354205,0.175000000000001); #314959=CYLINDRICAL_SURFACE('',#354208,0.175000000000001); #314960=CYLINDRICAL_SURFACE('',#354211,0.175000000000001); #314961=CYLINDRICAL_SURFACE('',#354214,0.175000000000001); #314962=CYLINDRICAL_SURFACE('',#354217,0.175000000000001); #314963=CYLINDRICAL_SURFACE('',#354220,0.175000000000001); #314964=CYLINDRICAL_SURFACE('',#354223,0.175000000000001); #314965=CYLINDRICAL_SURFACE('',#354226,0.175000000000001); #314966=CYLINDRICAL_SURFACE('',#354229,0.175000000000001); #314967=CYLINDRICAL_SURFACE('',#354232,0.175000000000001); #314968=CYLINDRICAL_SURFACE('',#354235,0.175000000000001); #314969=CYLINDRICAL_SURFACE('',#354238,0.550000000000002); #314970=CYLINDRICAL_SURFACE('',#354241,0.175000000000001); #314971=CYLINDRICAL_SURFACE('',#354244,0.175); #314972=CYLINDRICAL_SURFACE('',#354247,0.175000000000001); #314973=CYLINDRICAL_SURFACE('',#354250,0.175000000000001); #314974=CYLINDRICAL_SURFACE('',#354253,0.175000000000001); #314975=CYLINDRICAL_SURFACE('',#354256,0.175); #314976=CYLINDRICAL_SURFACE('',#354259,0.175000000000001); #314977=CYLINDRICAL_SURFACE('',#354262,0.175000000000001); #314978=CYLINDRICAL_SURFACE('',#354265,0.175000000000001); #314979=CYLINDRICAL_SURFACE('',#354268,0.175); #314980=CYLINDRICAL_SURFACE('',#354271,0.175000000000001); #314981=CYLINDRICAL_SURFACE('',#354274,0.175000000000001); #314982=CYLINDRICAL_SURFACE('',#354277,0.175000000000001); #314983=CYLINDRICAL_SURFACE('',#354280,0.175); #314984=CYLINDRICAL_SURFACE('',#354283,0.175000000000001); #314985=CYLINDRICAL_SURFACE('',#354286,0.499999999999998); #314986=CYLINDRICAL_SURFACE('',#354289,0.175000000000001); #314987=CYLINDRICAL_SURFACE('',#354292,0.175000000000001); #314988=CYLINDRICAL_SURFACE('',#354295,0.175000000000001); #314989=CYLINDRICAL_SURFACE('',#354298,0.175000000000001); #314990=CYLINDRICAL_SURFACE('',#354301,0.175000000000001); #314991=CYLINDRICAL_SURFACE('',#354304,0.175); #314992=CYLINDRICAL_SURFACE('',#354307,0.175000000000001); #314993=CYLINDRICAL_SURFACE('',#354310,0.175000000000001); #314994=CYLINDRICAL_SURFACE('',#354313,0.175000000000001); #314995=CYLINDRICAL_SURFACE('',#354316,0.175000000000001); #314996=CYLINDRICAL_SURFACE('',#354319,0.175000000000001); #314997=CYLINDRICAL_SURFACE('',#354322,0.175000000000001); #314998=CYLINDRICAL_SURFACE('',#354325,0.175000000000001); #314999=CYLINDRICAL_SURFACE('',#354328,0.175); #315000=CYLINDRICAL_SURFACE('',#354331,0.175000000000001); #315001=CYLINDRICAL_SURFACE('',#354334,0.507999999999997); #315002=CYLINDRICAL_SURFACE('',#354337,0.175000000000001); #315003=CYLINDRICAL_SURFACE('',#354340,0.175000000000001); #315004=CYLINDRICAL_SURFACE('',#354343,0.175000000000001); #315005=CYLINDRICAL_SURFACE('',#354346,0.175000000000001); #315006=CYLINDRICAL_SURFACE('',#354349,0.175000000000001); #315007=CYLINDRICAL_SURFACE('',#354352,0.175000000000001); #315008=CYLINDRICAL_SURFACE('',#354355,0.175000000000001); #315009=CYLINDRICAL_SURFACE('',#354358,0.175000000000001); #315010=CYLINDRICAL_SURFACE('',#354361,0.175000000000001); #315011=CYLINDRICAL_SURFACE('',#354364,0.175); #315012=CYLINDRICAL_SURFACE('',#354367,0.175000000000001); #315013=CYLINDRICAL_SURFACE('',#354370,0.175000000000001); #315014=CYLINDRICAL_SURFACE('',#354373,0.175000000000001); #315015=CYLINDRICAL_SURFACE('',#354376,0.175); #315016=CYLINDRICAL_SURFACE('',#354379,0.175000000000001); #315017=CYLINDRICAL_SURFACE('',#354382,0.175000000000001); #315018=CYLINDRICAL_SURFACE('',#354385,0.175000000000001); #315019=CYLINDRICAL_SURFACE('',#354388,0.175000000000001); #315020=CYLINDRICAL_SURFACE('',#354391,0.175000000000001); #315021=CYLINDRICAL_SURFACE('',#354394,0.175000000000001); #315022=CYLINDRICAL_SURFACE('',#354397,0.175000000000001); #315023=CYLINDRICAL_SURFACE('',#354400,0.175000000000001); #315024=CYLINDRICAL_SURFACE('',#354403,0.175000000000001); #315025=CYLINDRICAL_SURFACE('',#354406,0.175000000000001); #315026=CYLINDRICAL_SURFACE('',#354409,0.150000000000001); #315027=CYLINDRICAL_SURFACE('',#354412,0.175000000000001); #315028=CYLINDRICAL_SURFACE('',#354415,0.150000000000001); #315029=CYLINDRICAL_SURFACE('',#354418,0.175000000000001); #315030=CYLINDRICAL_SURFACE('',#354421,0.150000000000001); #315031=CYLINDRICAL_SURFACE('',#354424,0.175000000000001); #315032=CYLINDRICAL_SURFACE('',#354427,0.150000000000001); #315033=CYLINDRICAL_SURFACE('',#354430,0.600000000000001); #315034=CYLINDRICAL_SURFACE('',#354433,0.175000000000001); #315035=CYLINDRICAL_SURFACE('',#354436,0.175000000000001); #315036=CYLINDRICAL_SURFACE('',#354439,0.175000000000001); #315037=CYLINDRICAL_SURFACE('',#354442,0.175000000000001); #315038=CYLINDRICAL_SURFACE('',#354445,0.175000000000001); #315039=CYLINDRICAL_SURFACE('',#354448,0.175000000000001); #315040=CYLINDRICAL_SURFACE('',#354451,0.175000000000001); #315041=CYLINDRICAL_SURFACE('',#354454,0.175000000000001); #315042=CYLINDRICAL_SURFACE('',#354457,0.175000000000001); #315043=CYLINDRICAL_SURFACE('',#354460,0.175000000000001); #315044=CYLINDRICAL_SURFACE('',#354463,0.175000000000001); #315045=CYLINDRICAL_SURFACE('',#354466,0.175000000000001); #315046=CYLINDRICAL_SURFACE('',#354469,0.175000000000001); #315047=CYLINDRICAL_SURFACE('',#354472,0.175000000000001); #315048=CYLINDRICAL_SURFACE('',#354475,0.175000000000001); #315049=CYLINDRICAL_SURFACE('',#354478,0.5); #315050=CYLINDRICAL_SURFACE('',#354481,0.175000000000001); #315051=CYLINDRICAL_SURFACE('',#354484,0.175000000000001); #315052=CYLINDRICAL_SURFACE('',#354487,0.175000000000001); #315053=CYLINDRICAL_SURFACE('',#354490,0.175000000000001); #315054=CYLINDRICAL_SURFACE('',#354493,0.175000000000001); #315055=CYLINDRICAL_SURFACE('',#354496,0.175000000000001); #315056=CYLINDRICAL_SURFACE('',#354499,0.175000000000001); #315057=CYLINDRICAL_SURFACE('',#354502,0.175000000000001); #315058=CYLINDRICAL_SURFACE('',#354505,0.175000000000001); #315059=CYLINDRICAL_SURFACE('',#354508,0.175000000000001); #315060=CYLINDRICAL_SURFACE('',#354511,0.175000000000001); #315061=CYLINDRICAL_SURFACE('',#354514,0.175000000000001); #315062=CYLINDRICAL_SURFACE('',#354517,0.175000000000001); #315063=CYLINDRICAL_SURFACE('',#354520,0.175000000000001); #315064=CYLINDRICAL_SURFACE('',#354523,0.175000000000001); #315065=CYLINDRICAL_SURFACE('',#354526,0.507999999999997); #315066=CYLINDRICAL_SURFACE('',#354529,0.175000000000001); #315067=CYLINDRICAL_SURFACE('',#354532,0.175000000000001); #315068=CYLINDRICAL_SURFACE('',#354535,0.175000000000001); #315069=CYLINDRICAL_SURFACE('',#354538,0.175000000000001); #315070=CYLINDRICAL_SURFACE('',#354541,0.175000000000001); #315071=CYLINDRICAL_SURFACE('',#354544,0.175000000000001); #315072=CYLINDRICAL_SURFACE('',#354547,0.175000000000001); #315073=CYLINDRICAL_SURFACE('',#354550,0.175000000000001); #315074=CYLINDRICAL_SURFACE('',#354553,0.175000000000001); #315075=CYLINDRICAL_SURFACE('',#354556,0.175000000000001); #315076=CYLINDRICAL_SURFACE('',#354559,0.175000000000001); #315077=CYLINDRICAL_SURFACE('',#354562,0.175000000000001); #315078=CYLINDRICAL_SURFACE('',#354565,0.175000000000001); #315079=CYLINDRICAL_SURFACE('',#354568,0.175000000000001); #315080=CYLINDRICAL_SURFACE('',#354571,0.175000000000001); #315081=CYLINDRICAL_SURFACE('',#354574,0.5); #315082=CYLINDRICAL_SURFACE('',#354577,0.175000000000001); #315083=CYLINDRICAL_SURFACE('',#354580,0.175000000000001); #315084=CYLINDRICAL_SURFACE('',#354583,0.175000000000001); #315085=CYLINDRICAL_SURFACE('',#354586,0.175000000000001); #315086=CYLINDRICAL_SURFACE('',#354589,0.175000000000001); #315087=CYLINDRICAL_SURFACE('',#354592,0.175000000000001); #315088=CYLINDRICAL_SURFACE('',#354595,0.175000000000001); #315089=CYLINDRICAL_SURFACE('',#354598,0.175000000000001); #315090=CYLINDRICAL_SURFACE('',#354601,0.175000000000001); #315091=CYLINDRICAL_SURFACE('',#354604,0.175000000000001); #315092=CYLINDRICAL_SURFACE('',#354607,0.175000000000001); #315093=CYLINDRICAL_SURFACE('',#354610,0.175000000000001); #315094=CYLINDRICAL_SURFACE('',#354613,0.175000000000001); #315095=CYLINDRICAL_SURFACE('',#354616,0.175000000000001); #315096=CYLINDRICAL_SURFACE('',#354619,0.175000000000001); #315097=CYLINDRICAL_SURFACE('',#354622,0.600000000000001); #315098=CYLINDRICAL_SURFACE('',#354625,0.175000000000001); #315099=CYLINDRICAL_SURFACE('',#354628,0.175000000000001); #315100=CYLINDRICAL_SURFACE('',#354631,0.175000000000001); #315101=CYLINDRICAL_SURFACE('',#354634,0.175000000000001); #315102=CYLINDRICAL_SURFACE('',#354637,0.175000000000001); #315103=CYLINDRICAL_SURFACE('',#354640,0.175000000000001); #315104=CYLINDRICAL_SURFACE('',#354643,0.175000000000001); #315105=CYLINDRICAL_SURFACE('',#354646,0.175000000000001); #315106=CYLINDRICAL_SURFACE('',#354649,0.175000000000001); #315107=CYLINDRICAL_SURFACE('',#354652,0.175000000000001); #315108=CYLINDRICAL_SURFACE('',#354655,0.175000000000001); #315109=CYLINDRICAL_SURFACE('',#354658,0.175000000000001); #315110=CYLINDRICAL_SURFACE('',#354661,0.175000000000001); #315111=CYLINDRICAL_SURFACE('',#354664,0.175000000000001); #315112=CYLINDRICAL_SURFACE('',#354667,0.175000000000001); #315113=CYLINDRICAL_SURFACE('',#354670,0.499999999999998); #315114=CYLINDRICAL_SURFACE('',#354673,0.175000000000001); #315115=CYLINDRICAL_SURFACE('',#354676,0.175000000000001); #315116=CYLINDRICAL_SURFACE('',#354679,0.175000000000001); #315117=CYLINDRICAL_SURFACE('',#354682,0.175000000000001); #315118=CYLINDRICAL_SURFACE('',#354685,0.175000000000001); #315119=CYLINDRICAL_SURFACE('',#354688,0.175000000000001); #315120=CYLINDRICAL_SURFACE('',#354691,0.175000000000001); #315121=CYLINDRICAL_SURFACE('',#354694,0.175000000000001); #315122=CYLINDRICAL_SURFACE('',#354697,0.175000000000001); #315123=CYLINDRICAL_SURFACE('',#354700,0.175000000000001); #315124=CYLINDRICAL_SURFACE('',#354703,0.175000000000001); #315125=CYLINDRICAL_SURFACE('',#354706,0.175000000000001); #315126=CYLINDRICAL_SURFACE('',#354709,0.175000000000001); #315127=CYLINDRICAL_SURFACE('',#354712,0.175000000000001); #315128=CYLINDRICAL_SURFACE('',#354715,0.175000000000001); #315129=CYLINDRICAL_SURFACE('',#354718,0.507999999999997); #315130=CYLINDRICAL_SURFACE('',#354721,0.175000000000001); #315131=CYLINDRICAL_SURFACE('',#354724,0.175000000000001); #315132=CYLINDRICAL_SURFACE('',#354727,0.175000000000001); #315133=CYLINDRICAL_SURFACE('',#354730,0.175000000000001); #315134=CYLINDRICAL_SURFACE('',#354733,0.175000000000001); #315135=CYLINDRICAL_SURFACE('',#354736,0.175000000000001); #315136=CYLINDRICAL_SURFACE('',#354739,0.175000000000001); #315137=CYLINDRICAL_SURFACE('',#354742,0.175000000000001); #315138=CYLINDRICAL_SURFACE('',#354745,0.175000000000001); #315139=CYLINDRICAL_SURFACE('',#354748,0.175000000000001); #315140=CYLINDRICAL_SURFACE('',#354751,0.175000000000001); #315141=CYLINDRICAL_SURFACE('',#354754,0.175000000000001); #315142=CYLINDRICAL_SURFACE('',#354757,0.175000000000001); #315143=CYLINDRICAL_SURFACE('',#354760,0.175000000000001); #315144=CYLINDRICAL_SURFACE('',#354763,0.175000000000001); #315145=CYLINDRICAL_SURFACE('',#354766,0.499999999999998); #315146=CYLINDRICAL_SURFACE('',#354769,0.175000000000001); #315147=CYLINDRICAL_SURFACE('',#354772,0.175000000000001); #315148=CYLINDRICAL_SURFACE('',#354775,0.175000000000001); #315149=CYLINDRICAL_SURFACE('',#354778,0.175000000000001); #315150=CYLINDRICAL_SURFACE('',#354781,0.175000000000001); #315151=CYLINDRICAL_SURFACE('',#354784,0.175000000000001); #315152=CYLINDRICAL_SURFACE('',#354787,0.175000000000001); #315153=CYLINDRICAL_SURFACE('',#354790,0.175000000000001); #315154=CYLINDRICAL_SURFACE('',#354793,0.175000000000001); #315155=CYLINDRICAL_SURFACE('',#354796,0.175000000000001); #315156=CYLINDRICAL_SURFACE('',#354799,0.175000000000001); #315157=CYLINDRICAL_SURFACE('',#354802,0.175000000000001); #315158=CYLINDRICAL_SURFACE('',#354805,0.175000000000001); #315159=CYLINDRICAL_SURFACE('',#354808,0.175000000000001); #315160=CYLINDRICAL_SURFACE('',#354811,0.175000000000001); #315161=CYLINDRICAL_SURFACE('',#354814,34.); #315162=CYLINDRICAL_SURFACE('',#354820,0.325); #315163=CYLINDRICAL_SURFACE('',#354823,0.325); #315164=CYLINDRICAL_SURFACE('',#354826,0.600000000000001); #315165=CYLINDRICAL_SURFACE('',#354829,0.175000000000001); #315166=CYLINDRICAL_SURFACE('',#354832,0.175000000000001); #315167=CYLINDRICAL_SURFACE('',#354835,0.175000000000001); #315168=CYLINDRICAL_SURFACE('',#354838,0.175000000000001); #315169=CYLINDRICAL_SURFACE('',#354841,0.175000000000001); #315170=CYLINDRICAL_SURFACE('',#354844,0.175000000000001); #315171=CYLINDRICAL_SURFACE('',#354847,0.175000000000001); #315172=CYLINDRICAL_SURFACE('',#354850,0.175000000000001); #315173=CYLINDRICAL_SURFACE('',#354853,0.175000000000001); #315174=CYLINDRICAL_SURFACE('',#354856,0.175000000000001); #315175=CYLINDRICAL_SURFACE('',#354859,0.175000000000001); #315176=CYLINDRICAL_SURFACE('',#354862,0.175000000000001); #315177=CYLINDRICAL_SURFACE('',#354865,0.175000000000001); #315178=CYLINDRICAL_SURFACE('',#354868,0.175000000000001); #315179=CYLINDRICAL_SURFACE('',#354871,0.175000000000001); #315180=CYLINDRICAL_SURFACE('',#354874,0.499999999999998); #315181=CYLINDRICAL_SURFACE('',#354877,0.175000000000001); #315182=CYLINDRICAL_SURFACE('',#354880,0.175000000000001); #315183=CYLINDRICAL_SURFACE('',#354883,0.175000000000001); #315184=CYLINDRICAL_SURFACE('',#354886,0.175000000000001); #315185=CYLINDRICAL_SURFACE('',#354889,0.175000000000001); #315186=CYLINDRICAL_SURFACE('',#354892,0.175000000000001); #315187=CYLINDRICAL_SURFACE('',#354895,0.175000000000001); #315188=CYLINDRICAL_SURFACE('',#354898,0.175000000000001); #315189=CYLINDRICAL_SURFACE('',#354901,0.175000000000001); #315190=CYLINDRICAL_SURFACE('',#354904,0.175000000000001); #315191=CYLINDRICAL_SURFACE('',#354907,0.175000000000001); #315192=CYLINDRICAL_SURFACE('',#354910,0.175000000000001); #315193=CYLINDRICAL_SURFACE('',#354913,0.175000000000001); #315194=CYLINDRICAL_SURFACE('',#354916,0.175000000000001); #315195=CYLINDRICAL_SURFACE('',#354919,0.175000000000001); #315196=CYLINDRICAL_SURFACE('',#354922,0.550000000000002); #315197=CYLINDRICAL_SURFACE('',#354925,0.175000000000001); #315198=CYLINDRICAL_SURFACE('',#354928,0.175000000000001); #315199=CYLINDRICAL_SURFACE('',#354931,0.175000000000001); #315200=CYLINDRICAL_SURFACE('',#354934,0.175000000000001); #315201=CYLINDRICAL_SURFACE('',#354938,0.35); #315202=CYLINDRICAL_SURFACE('',#354942,0.35); #315203=CYLINDRICAL_SURFACE('',#354945,0.175000000000001); #315204=CYLINDRICAL_SURFACE('',#354949,0.35); #315205=CYLINDRICAL_SURFACE('',#354953,0.35); #315206=CYLINDRICAL_SURFACE('',#354956,0.175000000000001); #315207=CYLINDRICAL_SURFACE('',#354959,0.175000000000001); #315208=CYLINDRICAL_SURFACE('',#354962,0.175000000000001); #315209=CYLINDRICAL_SURFACE('',#354965,0.150000000000001); #315210=CYLINDRICAL_SURFACE('',#354968,0.175000000000001); #315211=CYLINDRICAL_SURFACE('',#354971,0.150000000000001); #315212=CYLINDRICAL_SURFACE('',#354974,0.175000000000001); #315213=CYLINDRICAL_SURFACE('',#354977,0.150000000000001); #315214=CYLINDRICAL_SURFACE('',#354980,0.175000000000001); #315215=CYLINDRICAL_SURFACE('',#354983,0.150000000000001); #315216=CYLINDRICAL_SURFACE('',#354986,0.175000000000001); #315217=CYLINDRICAL_SURFACE('',#354990,0.6); #315218=CYLINDRICAL_SURFACE('',#354994,0.6); #315219=CYLINDRICAL_SURFACE('',#354997,0.175000000000001); #315220=CYLINDRICAL_SURFACE('',#355001,0.6); #315221=CYLINDRICAL_SURFACE('',#355005,0.6); #315222=CYLINDRICAL_SURFACE('',#355008,0.175000000000001); #315223=CYLINDRICAL_SURFACE('',#355012,0.35); #315224=CYLINDRICAL_SURFACE('',#355016,0.35); #315225=CYLINDRICAL_SURFACE('',#355019,0.175000000000001); #315226=CYLINDRICAL_SURFACE('',#355023,0.35); #315227=CYLINDRICAL_SURFACE('',#355027,0.35); #315228=CYLINDRICAL_SURFACE('',#355030,0.499999999999998); #315229=CYLINDRICAL_SURFACE('',#355033,0.175000000000001); #315230=CYLINDRICAL_SURFACE('',#355036,0.175000000000001); #315231=CYLINDRICAL_SURFACE('',#355039,0.175000000000001); #315232=CYLINDRICAL_SURFACE('',#355042,0.175000000000001); #315233=CYLINDRICAL_SURFACE('',#355045,0.175000000000001); #315234=CYLINDRICAL_SURFACE('',#355048,0.175000000000001); #315235=CYLINDRICAL_SURFACE('',#355051,0.175000000000001); #315236=CYLINDRICAL_SURFACE('',#355054,0.175000000000001); #315237=CYLINDRICAL_SURFACE('',#355057,0.175000000000001); #315238=CYLINDRICAL_SURFACE('',#355060,0.175000000000001); #315239=CYLINDRICAL_SURFACE('',#355063,0.175000000000001); #315240=CYLINDRICAL_SURFACE('',#355066,0.175000000000001); #315241=CYLINDRICAL_SURFACE('',#355069,0.175000000000001); #315242=CYLINDRICAL_SURFACE('',#355072,0.175000000000001); #315243=CYLINDRICAL_SURFACE('',#355075,0.175000000000001); #315244=CYLINDRICAL_SURFACE('',#355078,0.600000000000001); #315245=CYLINDRICAL_SURFACE('',#355081,0.175000000000001); #315246=CYLINDRICAL_SURFACE('',#355084,0.175); #315247=CYLINDRICAL_SURFACE('',#355087,0.175000000000001); #315248=CYLINDRICAL_SURFACE('',#355090,0.175000000000001); #315249=CYLINDRICAL_SURFACE('',#355093,0.175000000000001); #315250=CYLINDRICAL_SURFACE('',#355096,0.175000000000001); #315251=CYLINDRICAL_SURFACE('',#355099,0.175000000000001); #315252=CYLINDRICAL_SURFACE('',#355102,0.175000000000001); #315253=CYLINDRICAL_SURFACE('',#355105,0.175000000000001); #315254=CYLINDRICAL_SURFACE('',#355108,0.175000000000001); #315255=CYLINDRICAL_SURFACE('',#355111,0.175000000000001); #315256=CYLINDRICAL_SURFACE('',#355114,0.175000000000001); #315257=CYLINDRICAL_SURFACE('',#355117,0.175000000000001); #315258=CYLINDRICAL_SURFACE('',#355120,0.175000000000001); #315259=CYLINDRICAL_SURFACE('',#355123,0.175000000000001); #315260=CYLINDRICAL_SURFACE('',#355126,0.499999999999998); #315261=CYLINDRICAL_SURFACE('',#355129,0.175000000000001); #315262=CYLINDRICAL_SURFACE('',#355132,0.175000000000001); #315263=CYLINDRICAL_SURFACE('',#355135,0.175000000000001); #315264=CYLINDRICAL_SURFACE('',#355138,0.175000000000001); #315265=CYLINDRICAL_SURFACE('',#355141,0.175000000000001); #315266=CYLINDRICAL_SURFACE('',#355144,0.175000000000001); #315267=CYLINDRICAL_SURFACE('',#355147,0.175000000000001); #315268=CYLINDRICAL_SURFACE('',#355150,0.175000000000001); #315269=CYLINDRICAL_SURFACE('',#355153,0.175000000000001); #315270=CYLINDRICAL_SURFACE('',#355156,0.175000000000001); #315271=CYLINDRICAL_SURFACE('',#355159,0.175000000000001); #315272=CYLINDRICAL_SURFACE('',#355162,0.175000000000001); #315273=CYLINDRICAL_SURFACE('',#355165,0.175000000000001); #315274=CYLINDRICAL_SURFACE('',#355168,0.175000000000001); #315275=CYLINDRICAL_SURFACE('',#355171,0.175000000000001); #315276=CYLINDRICAL_SURFACE('',#355174,0.507999999999997); #315277=CYLINDRICAL_SURFACE('',#355177,0.175000000000001); #315278=CYLINDRICAL_SURFACE('',#355180,0.175000000000001); #315279=CYLINDRICAL_SURFACE('',#355183,0.175000000000001); #315280=CYLINDRICAL_SURFACE('',#355186,0.175000000000001); #315281=CYLINDRICAL_SURFACE('',#355189,0.175000000000001); #315282=CYLINDRICAL_SURFACE('',#355192,0.175000000000001); #315283=CYLINDRICAL_SURFACE('',#355195,0.175000000000001); #315284=CYLINDRICAL_SURFACE('',#355198,0.175000000000001); #315285=CYLINDRICAL_SURFACE('',#355201,0.175000000000001); #315286=CYLINDRICAL_SURFACE('',#355204,0.175000000000001); #315287=CYLINDRICAL_SURFACE('',#355207,0.175000000000001); #315288=CYLINDRICAL_SURFACE('',#355210,0.175000000000001); #315289=CYLINDRICAL_SURFACE('',#355213,0.175000000000001); #315290=CYLINDRICAL_SURFACE('',#355216,0.175000000000001); #315291=CYLINDRICAL_SURFACE('',#355219,0.175000000000001); #315292=CYLINDRICAL_SURFACE('',#355222,0.499999999999998); #315293=CYLINDRICAL_SURFACE('',#355225,0.175000000000001); #315294=CYLINDRICAL_SURFACE('',#355228,0.175000000000001); #315295=CYLINDRICAL_SURFACE('',#355231,0.175000000000001); #315296=CYLINDRICAL_SURFACE('',#355234,0.175000000000001); #315297=CYLINDRICAL_SURFACE('',#355237,0.175000000000001); #315298=CYLINDRICAL_SURFACE('',#355240,0.175000000000001); #315299=CYLINDRICAL_SURFACE('',#355243,0.175000000000001); #315300=CYLINDRICAL_SURFACE('',#355246,0.175000000000001); #315301=CYLINDRICAL_SURFACE('',#355249,0.175000000000001); #315302=CYLINDRICAL_SURFACE('',#355252,0.175000000000001); #315303=CYLINDRICAL_SURFACE('',#355255,0.175000000000001); #315304=CYLINDRICAL_SURFACE('',#355258,0.175000000000001); #315305=CYLINDRICAL_SURFACE('',#355261,0.175000000000001); #315306=CYLINDRICAL_SURFACE('',#355264,0.175000000000001); #315307=CYLINDRICAL_SURFACE('',#355267,0.175000000000001); #315308=CYLINDRICAL_SURFACE('',#355270,0.550000000000002); #315309=CYLINDRICAL_SURFACE('',#355273,0.175000000000001); #315310=CYLINDRICAL_SURFACE('',#355276,0.175); #315311=CYLINDRICAL_SURFACE('',#355279,0.175000000000001); #315312=CYLINDRICAL_SURFACE('',#355282,0.175000000000001); #315313=CYLINDRICAL_SURFACE('',#355285,0.175000000000001); #315314=CYLINDRICAL_SURFACE('',#355288,0.175); #315315=CYLINDRICAL_SURFACE('',#355291,0.175000000000001); #315316=CYLINDRICAL_SURFACE('',#355294,0.175000000000001); #315317=CYLINDRICAL_SURFACE('',#355297,0.175000000000001); #315318=CYLINDRICAL_SURFACE('',#355300,0.175); #315319=CYLINDRICAL_SURFACE('',#355303,0.175000000000001); #315320=CYLINDRICAL_SURFACE('',#355306,0.175000000000001); #315321=CYLINDRICAL_SURFACE('',#355309,0.175000000000001); #315322=CYLINDRICAL_SURFACE('',#355312,0.175); #315323=CYLINDRICAL_SURFACE('',#355315,0.175000000000001); #315324=CYLINDRICAL_SURFACE('',#355318,0.499999999999998); #315325=CYLINDRICAL_SURFACE('',#355321,0.175000000000001); #315326=CYLINDRICAL_SURFACE('',#355324,0.175000000000001); #315327=CYLINDRICAL_SURFACE('',#355327,0.175000000000001); #315328=CYLINDRICAL_SURFACE('',#355330,0.175000000000001); #315329=CYLINDRICAL_SURFACE('',#355333,0.175000000000001); #315330=CYLINDRICAL_SURFACE('',#355336,0.175); #315331=CYLINDRICAL_SURFACE('',#355339,0.175000000000001); #315332=CYLINDRICAL_SURFACE('',#355342,0.175000000000001); #315333=CYLINDRICAL_SURFACE('',#355345,0.175000000000001); #315334=CYLINDRICAL_SURFACE('',#355348,0.175000000000001); #315335=CYLINDRICAL_SURFACE('',#355351,0.175000000000001); #315336=CYLINDRICAL_SURFACE('',#355354,0.175000000000001); #315337=CYLINDRICAL_SURFACE('',#355357,0.175000000000001); #315338=CYLINDRICAL_SURFACE('',#355360,0.175); #315339=CYLINDRICAL_SURFACE('',#355363,0.175000000000001); #315340=CYLINDRICAL_SURFACE('',#355366,0.507999999999997); #315341=CYLINDRICAL_SURFACE('',#355369,0.175000000000001); #315342=CYLINDRICAL_SURFACE('',#355372,0.175000000000001); #315343=CYLINDRICAL_SURFACE('',#355375,0.175000000000001); #315344=CYLINDRICAL_SURFACE('',#355378,0.175000000000001); #315345=CYLINDRICAL_SURFACE('',#355381,0.175000000000001); #315346=CYLINDRICAL_SURFACE('',#355384,0.175000000000001); #315347=CYLINDRICAL_SURFACE('',#355387,0.175000000000001); #315348=CYLINDRICAL_SURFACE('',#355390,0.175000000000001); #315349=CYLINDRICAL_SURFACE('',#355393,0.175000000000001); #315350=CYLINDRICAL_SURFACE('',#355396,0.175); #315351=CYLINDRICAL_SURFACE('',#355399,0.175000000000001); #315352=CYLINDRICAL_SURFACE('',#355402,0.175000000000001); #315353=CYLINDRICAL_SURFACE('',#355405,0.175000000000001); #315354=CYLINDRICAL_SURFACE('',#355408,0.175); #315355=CYLINDRICAL_SURFACE('',#355411,0.175000000000001); #315356=CYLINDRICAL_SURFACE('',#355414,0.175000000000001); #315357=CYLINDRICAL_SURFACE('',#355417,0.175000000000001); #315358=CYLINDRICAL_SURFACE('',#355420,0.175000000000001); #315359=CYLINDRICAL_SURFACE('',#355423,0.175000000000001); #315360=CYLINDRICAL_SURFACE('',#355426,0.175000000000001); #315361=CYLINDRICAL_SURFACE('',#355429,0.175000000000001); #315362=CYLINDRICAL_SURFACE('',#355432,0.175000000000001); #315363=CYLINDRICAL_SURFACE('',#355435,0.175000000000001); #315364=CYLINDRICAL_SURFACE('',#355438,0.175000000000001); #315365=CYLINDRICAL_SURFACE('',#355441,0.150000000000001); #315366=CYLINDRICAL_SURFACE('',#355444,0.175000000000001); #315367=CYLINDRICAL_SURFACE('',#355447,0.150000000000001); #315368=CYLINDRICAL_SURFACE('',#355450,0.175000000000001); #315369=CYLINDRICAL_SURFACE('',#355453,0.150000000000001); #315370=CYLINDRICAL_SURFACE('',#355456,0.175000000000001); #315371=CYLINDRICAL_SURFACE('',#355459,0.150000000000001); #315372=CYLINDRICAL_SURFACE('',#355462,0.600000000000001); #315373=CYLINDRICAL_SURFACE('',#355465,0.175000000000001); #315374=CYLINDRICAL_SURFACE('',#355468,0.175000000000001); #315375=CYLINDRICAL_SURFACE('',#355471,0.175000000000001); #315376=CYLINDRICAL_SURFACE('',#355474,0.175000000000001); #315377=CYLINDRICAL_SURFACE('',#355477,0.175000000000001); #315378=CYLINDRICAL_SURFACE('',#355480,0.175000000000001); #315379=CYLINDRICAL_SURFACE('',#355483,0.175000000000001); #315380=CYLINDRICAL_SURFACE('',#355486,0.175000000000001); #315381=CYLINDRICAL_SURFACE('',#355489,0.175000000000001); #315382=CYLINDRICAL_SURFACE('',#355492,0.175000000000001); #315383=CYLINDRICAL_SURFACE('',#355495,0.175000000000001); #315384=CYLINDRICAL_SURFACE('',#355498,0.175000000000001); #315385=CYLINDRICAL_SURFACE('',#355501,0.175000000000001); #315386=CYLINDRICAL_SURFACE('',#355504,0.175000000000001); #315387=CYLINDRICAL_SURFACE('',#355507,0.175000000000001); #315388=CYLINDRICAL_SURFACE('',#355510,0.5); #315389=CYLINDRICAL_SURFACE('',#355513,0.175000000000001); #315390=CYLINDRICAL_SURFACE('',#355516,0.175000000000001); #315391=CYLINDRICAL_SURFACE('',#355519,0.175000000000001); #315392=CYLINDRICAL_SURFACE('',#355522,0.175000000000001); #315393=CYLINDRICAL_SURFACE('',#355525,0.175000000000001); #315394=CYLINDRICAL_SURFACE('',#355528,0.175000000000001); #315395=CYLINDRICAL_SURFACE('',#355531,0.175000000000001); #315396=CYLINDRICAL_SURFACE('',#355534,0.175000000000001); #315397=CYLINDRICAL_SURFACE('',#355537,0.175000000000001); #315398=CYLINDRICAL_SURFACE('',#355540,0.175000000000001); #315399=CYLINDRICAL_SURFACE('',#355543,0.175000000000001); #315400=CYLINDRICAL_SURFACE('',#355546,0.175000000000001); #315401=CYLINDRICAL_SURFACE('',#355549,0.175000000000001); #315402=CYLINDRICAL_SURFACE('',#355552,0.175000000000001); #315403=CYLINDRICAL_SURFACE('',#355555,0.175000000000001); #315404=CYLINDRICAL_SURFACE('',#355558,0.507999999999997); #315405=CYLINDRICAL_SURFACE('',#355561,0.175000000000001); #315406=CYLINDRICAL_SURFACE('',#355564,0.175000000000001); #315407=CYLINDRICAL_SURFACE('',#355567,0.175000000000001); #315408=CYLINDRICAL_SURFACE('',#355570,0.175000000000001); #315409=CYLINDRICAL_SURFACE('',#355573,0.175000000000001); #315410=CYLINDRICAL_SURFACE('',#355576,0.175000000000001); #315411=CYLINDRICAL_SURFACE('',#355579,0.175000000000001); #315412=CYLINDRICAL_SURFACE('',#355582,0.175000000000001); #315413=CYLINDRICAL_SURFACE('',#355585,0.175000000000001); #315414=CYLINDRICAL_SURFACE('',#355588,0.175000000000001); #315415=CYLINDRICAL_SURFACE('',#355591,0.175000000000001); #315416=CYLINDRICAL_SURFACE('',#355594,0.175000000000001); #315417=CYLINDRICAL_SURFACE('',#355597,0.175000000000001); #315418=CYLINDRICAL_SURFACE('',#355600,0.175000000000001); #315419=CYLINDRICAL_SURFACE('',#355603,0.175000000000001); #315420=CYLINDRICAL_SURFACE('',#355606,0.5); #315421=CYLINDRICAL_SURFACE('',#355609,0.175000000000001); #315422=CYLINDRICAL_SURFACE('',#355612,0.175000000000001); #315423=CYLINDRICAL_SURFACE('',#355615,0.175000000000001); #315424=CYLINDRICAL_SURFACE('',#355618,0.175000000000001); #315425=CYLINDRICAL_SURFACE('',#355621,0.175000000000001); #315426=CYLINDRICAL_SURFACE('',#355624,0.175000000000001); #315427=CYLINDRICAL_SURFACE('',#355627,0.175000000000001); #315428=CYLINDRICAL_SURFACE('',#355630,0.175000000000001); #315429=CYLINDRICAL_SURFACE('',#355633,0.175000000000001); #315430=CYLINDRICAL_SURFACE('',#355636,0.175000000000001); #315431=CYLINDRICAL_SURFACE('',#355639,0.175000000000001); #315432=CYLINDRICAL_SURFACE('',#355642,0.175000000000001); #315433=CYLINDRICAL_SURFACE('',#355645,0.175000000000001); #315434=CYLINDRICAL_SURFACE('',#355648,0.175000000000001); #315435=CYLINDRICAL_SURFACE('',#355651,0.175000000000001); #315436=CYLINDRICAL_SURFACE('',#355654,0.600000000000001); #315437=CYLINDRICAL_SURFACE('',#355657,0.175000000000001); #315438=CYLINDRICAL_SURFACE('',#355660,0.175000000000001); #315439=CYLINDRICAL_SURFACE('',#355663,0.175000000000001); #315440=CYLINDRICAL_SURFACE('',#355666,0.175000000000001); #315441=CYLINDRICAL_SURFACE('',#355669,0.175000000000001); #315442=CYLINDRICAL_SURFACE('',#355672,0.175000000000001); #315443=CYLINDRICAL_SURFACE('',#355675,0.175000000000001); #315444=CYLINDRICAL_SURFACE('',#355678,0.175000000000001); #315445=CYLINDRICAL_SURFACE('',#355681,0.175000000000001); #315446=CYLINDRICAL_SURFACE('',#355684,0.175000000000001); #315447=CYLINDRICAL_SURFACE('',#355687,0.175000000000001); #315448=CYLINDRICAL_SURFACE('',#355690,0.175000000000001); #315449=CYLINDRICAL_SURFACE('',#355693,0.175000000000001); #315450=CYLINDRICAL_SURFACE('',#355696,0.175000000000001); #315451=CYLINDRICAL_SURFACE('',#355699,0.175000000000001); #315452=CYLINDRICAL_SURFACE('',#355702,0.499999999999998); #315453=CYLINDRICAL_SURFACE('',#355705,0.175000000000001); #315454=CYLINDRICAL_SURFACE('',#355708,0.175000000000001); #315455=CYLINDRICAL_SURFACE('',#355711,0.175000000000001); #315456=CYLINDRICAL_SURFACE('',#355714,0.175000000000001); #315457=CYLINDRICAL_SURFACE('',#355717,0.175000000000001); #315458=CYLINDRICAL_SURFACE('',#355720,0.175000000000001); #315459=CYLINDRICAL_SURFACE('',#355723,0.175000000000001); #315460=CYLINDRICAL_SURFACE('',#355726,0.175000000000001); #315461=CYLINDRICAL_SURFACE('',#355729,0.175000000000001); #315462=CYLINDRICAL_SURFACE('',#355732,0.175000000000001); #315463=CYLINDRICAL_SURFACE('',#355735,0.175000000000001); #315464=CYLINDRICAL_SURFACE('',#355738,0.175000000000001); #315465=CYLINDRICAL_SURFACE('',#355741,0.175000000000001); #315466=CYLINDRICAL_SURFACE('',#355744,0.175000000000001); #315467=CYLINDRICAL_SURFACE('',#355747,0.175000000000001); #315468=CYLINDRICAL_SURFACE('',#355750,0.507999999999997); #315469=CYLINDRICAL_SURFACE('',#355753,0.175000000000001); #315470=CYLINDRICAL_SURFACE('',#355756,0.175000000000001); #315471=CYLINDRICAL_SURFACE('',#355759,0.175000000000001); #315472=CYLINDRICAL_SURFACE('',#355762,0.175000000000001); #315473=CYLINDRICAL_SURFACE('',#355765,0.175000000000001); #315474=CYLINDRICAL_SURFACE('',#355768,0.175000000000001); #315475=CYLINDRICAL_SURFACE('',#355771,0.175000000000001); #315476=CYLINDRICAL_SURFACE('',#355774,0.175000000000001); #315477=CYLINDRICAL_SURFACE('',#355777,0.175000000000001); #315478=CYLINDRICAL_SURFACE('',#355780,0.175000000000001); #315479=CYLINDRICAL_SURFACE('',#355783,0.175000000000001); #315480=CYLINDRICAL_SURFACE('',#355786,0.175000000000001); #315481=CYLINDRICAL_SURFACE('',#355789,0.175000000000001); #315482=CYLINDRICAL_SURFACE('',#355792,0.175000000000001); #315483=CYLINDRICAL_SURFACE('',#355795,0.175000000000001); #315484=CYLINDRICAL_SURFACE('',#355798,0.499999999999998); #315485=CYLINDRICAL_SURFACE('',#355801,0.175000000000001); #315486=CYLINDRICAL_SURFACE('',#355804,0.175000000000001); #315487=CYLINDRICAL_SURFACE('',#355807,0.175000000000001); #315488=CYLINDRICAL_SURFACE('',#355810,0.175000000000001); #315489=CYLINDRICAL_SURFACE('',#355813,0.175000000000001); #315490=CYLINDRICAL_SURFACE('',#355816,0.175000000000001); #315491=CYLINDRICAL_SURFACE('',#355819,0.175000000000001); #315492=CYLINDRICAL_SURFACE('',#355822,0.175000000000001); #315493=CYLINDRICAL_SURFACE('',#355825,0.175000000000001); #315494=CYLINDRICAL_SURFACE('',#355828,0.175000000000001); #315495=CYLINDRICAL_SURFACE('',#355831,0.175000000000001); #315496=CYLINDRICAL_SURFACE('',#355834,0.175000000000001); #315497=CYLINDRICAL_SURFACE('',#355837,0.175000000000001); #315498=CYLINDRICAL_SURFACE('',#355840,0.175000000000001); #315499=CYLINDRICAL_SURFACE('',#355843,0.175000000000001); #315500=CYLINDRICAL_SURFACE('',#355846,34.); #315501=CYLINDRICAL_SURFACE('',#355981,0.016); #315502=CYLINDRICAL_SURFACE('',#355990,0.016); #315503=CYLINDRICAL_SURFACE('',#355991,0.016); #315504=CYLINDRICAL_SURFACE('',#355996,0.016); #315505=CYLINDRICAL_SURFACE('',#355997,0.016); #315506=CYLINDRICAL_SURFACE('',#355998,0.016); #315507=CYLINDRICAL_SURFACE('',#355999,0.016); #315508=CYLINDRICAL_SURFACE('',#356000,0.016); #315509=CYLINDRICAL_SURFACE('',#356001,0.016); #315510=CYLINDRICAL_SURFACE('',#356002,0.016); #315511=CYLINDRICAL_SURFACE('',#356003,0.016); #315512=CYLINDRICAL_SURFACE('',#356004,0.016); #315513=CYLINDRICAL_SURFACE('',#356031,0.016); #315514=CYLINDRICAL_SURFACE('',#356040,0.016); #315515=CYLINDRICAL_SURFACE('',#356041,0.016); #315516=CYLINDRICAL_SURFACE('',#356046,0.016); #315517=CYLINDRICAL_SURFACE('',#356047,0.016); #315518=CYLINDRICAL_SURFACE('',#356048,0.016); #315519=CYLINDRICAL_SURFACE('',#356049,0.016); #315520=CYLINDRICAL_SURFACE('',#356050,0.016); #315521=CYLINDRICAL_SURFACE('',#356051,0.016); #315522=CYLINDRICAL_SURFACE('',#356052,0.016); #315523=CYLINDRICAL_SURFACE('',#356053,0.016); #315524=CYLINDRICAL_SURFACE('',#356054,0.016); #315525=CYLINDRICAL_SURFACE('',#356086,0.45); #315526=CYLINDRICAL_SURFACE('',#356091,0.45); #315527=CYLINDRICAL_SURFACE('',#356175,0.96); #315528=CYLINDRICAL_SURFACE('',#356197,0.96); #315529=CYLINDRICAL_SURFACE('',#356201,0.96); #315530=CYLINDRICAL_SURFACE('',#356204,0.96); #315531=CYLINDRICAL_SURFACE('',#356208,0.45); #315532=CYLINDRICAL_SURFACE('',#356213,0.45); #315533=CYLINDRICAL_SURFACE('',#356225,0.250000000000004); #315534=CYLINDRICAL_SURFACE('',#356233,0.250000000000002); #315535=CYLINDRICAL_SURFACE('',#356258,0.14); #315536=CYLINDRICAL_SURFACE('',#356259,0.14); #315537=CYLINDRICAL_SURFACE('',#356288,0.25); #315538=CYLINDRICAL_SURFACE('',#356292,0.25); #315539=CYLINDRICAL_SURFACE('',#356408,0.1); #315540=CYLINDRICAL_SURFACE('',#356410,0.2); #315541=CYLINDRICAL_SURFACE('',#356412,0.22); #315542=CYLINDRICAL_SURFACE('',#356414,0.08); #315543=CYLINDRICAL_SURFACE('',#356437,0.1); #315544=CYLINDRICAL_SURFACE('',#356439,0.2); #315545=CYLINDRICAL_SURFACE('',#356441,0.22); #315546=CYLINDRICAL_SURFACE('',#356443,0.08); #315547=CYLINDRICAL_SURFACE('',#356466,0.1); #315548=CYLINDRICAL_SURFACE('',#356468,0.2); #315549=CYLINDRICAL_SURFACE('',#356470,0.22); #315550=CYLINDRICAL_SURFACE('',#356472,0.08); #315551=CYLINDRICAL_SURFACE('',#356495,0.1); #315552=CYLINDRICAL_SURFACE('',#356497,0.2); #315553=CYLINDRICAL_SURFACE('',#356499,0.22); #315554=CYLINDRICAL_SURFACE('',#356501,0.08); #315555=CYLINDRICAL_SURFACE('',#356524,0.1); #315556=CYLINDRICAL_SURFACE('',#356526,0.2); #315557=CYLINDRICAL_SURFACE('',#356528,0.22); #315558=CYLINDRICAL_SURFACE('',#356530,0.08); #315559=CYLINDRICAL_SURFACE('',#356553,0.1); #315560=CYLINDRICAL_SURFACE('',#356555,0.2); #315561=CYLINDRICAL_SURFACE('',#356557,0.22); #315562=CYLINDRICAL_SURFACE('',#356559,0.08); #315563=CYLINDRICAL_SURFACE('',#356582,0.1); #315564=CYLINDRICAL_SURFACE('',#356584,0.2); #315565=CYLINDRICAL_SURFACE('',#356586,0.22); #315566=CYLINDRICAL_SURFACE('',#356588,0.08); #315567=CYLINDRICAL_SURFACE('',#356611,0.1); #315568=CYLINDRICAL_SURFACE('',#356613,0.2); #315569=CYLINDRICAL_SURFACE('',#356615,0.22); #315570=CYLINDRICAL_SURFACE('',#356617,0.08); #315571=CYLINDRICAL_SURFACE('',#356640,0.1); #315572=CYLINDRICAL_SURFACE('',#356642,0.2); #315573=CYLINDRICAL_SURFACE('',#356644,0.22); #315574=CYLINDRICAL_SURFACE('',#356646,0.08); #315575=CYLINDRICAL_SURFACE('',#356669,0.1); #315576=CYLINDRICAL_SURFACE('',#356671,0.2); #315577=CYLINDRICAL_SURFACE('',#356673,0.22); #315578=CYLINDRICAL_SURFACE('',#356675,0.08); #315579=CYLINDRICAL_SURFACE('',#356698,0.1); #315580=CYLINDRICAL_SURFACE('',#356700,0.2); #315581=CYLINDRICAL_SURFACE('',#356702,0.22); #315582=CYLINDRICAL_SURFACE('',#356704,0.08); #315583=CYLINDRICAL_SURFACE('',#356727,0.1); #315584=CYLINDRICAL_SURFACE('',#356729,0.2); #315585=CYLINDRICAL_SURFACE('',#356731,0.22); #315586=CYLINDRICAL_SURFACE('',#356733,0.08); #315587=CYLINDRICAL_SURFACE('',#356756,0.1); #315588=CYLINDRICAL_SURFACE('',#356758,0.2); #315589=CYLINDRICAL_SURFACE('',#356760,0.22); #315590=CYLINDRICAL_SURFACE('',#356762,0.08); #315591=CYLINDRICAL_SURFACE('',#356785,0.1); #315592=CYLINDRICAL_SURFACE('',#356787,0.2); #315593=CYLINDRICAL_SURFACE('',#356789,0.22); #315594=CYLINDRICAL_SURFACE('',#356791,0.08); #315595=CYLINDRICAL_SURFACE('',#356800,0.099340716866279); #315596=CYLINDRICAL_SURFACE('',#356806,0.2); #315597=CYLINDRICAL_SURFACE('',#356810,0.07); #315598=CYLINDRICAL_SURFACE('',#356813,0.07); #315599=CYLINDRICAL_SURFACE('',#356817,0.0810588235294118); #315600=CYLINDRICAL_SURFACE('',#356821,0.0810588235294118); #315601=CYLINDRICAL_SURFACE('',#356831,0.0810588235294118); #315602=CYLINDRICAL_SURFACE('',#356835,0.0810588235294118); #315603=CYLINDRICAL_SURFACE('',#356839,0.07); #315604=CYLINDRICAL_SURFACE('',#356842,0.07); #315605=CYLINDRICAL_SURFACE('',#356846,0.2); #315606=CYLINDRICAL_SURFACE('',#356852,0.099340716866279); #315607=CYLINDRICAL_SURFACE('',#356899,0.15); #315608=CYLINDRICAL_SURFACE('',#356902,0.15); #315609=CYLINDRICAL_SURFACE('',#356980,0.15); #315610=CYLINDRICAL_SURFACE('',#357027,0.98); #315611=CYLINDRICAL_SURFACE('',#357030,0.98); #315612=CYLINDRICAL_SURFACE('',#357033,1.28); #315613=CYLINDRICAL_SURFACE('',#357036,1.28); #315614=CYLINDRICAL_SURFACE('',#357039,0.98); #315615=CYLINDRICAL_SURFACE('',#357042,1.28); #315616=CYLINDRICAL_SURFACE('',#357058,0.98); #315617=CYLINDRICAL_SURFACE('',#357060,0.98); #315618=CYLINDRICAL_SURFACE('',#357062,0.98); #315619=CYLINDRICAL_SURFACE('',#357085,1.28); #315620=CYLINDRICAL_SURFACE('',#357089,1.28); #315621=CYLINDRICAL_SURFACE('',#357090,1.28); #315622=CYLINDRICAL_SURFACE('',#357093,0.15); #315623=CYLINDRICAL_SURFACE('',#357094,0.15); #315624=CYLINDRICAL_SURFACE('',#357095,0.2); #315625=CYLINDRICAL_SURFACE('',#357100,0.203418496667774); #315626=CYLINDRICAL_SURFACE('',#357102,0.203418496667774); #315627=CYLINDRICAL_SURFACE('',#357105,0.2); #315628=CYLINDRICAL_SURFACE('',#357107,0.203418496667774); #315629=CYLINDRICAL_SURFACE('',#357109,0.203418496667774); #315630=CYLINDRICAL_SURFACE('',#357111,0.2); #315631=CYLINDRICAL_SURFACE('',#357112,0.2); #315632=CYLINDRICAL_SURFACE('',#357114,0.15); #315633=CYLINDRICAL_SURFACE('',#357116,0.2); #315634=CYLINDRICAL_SURFACE('',#357118,0.2); #315635=CYLINDRICAL_SURFACE('',#357121,0.15); #315636=CYLINDRICAL_SURFACE('',#357123,0.2); #315637=CYLINDRICAL_SURFACE('',#357125,0.2); #315638=CYLINDRICAL_SURFACE('',#357127,0.2); #315639=CYLINDRICAL_SURFACE('',#357129,0.2); #315640=CYLINDRICAL_SURFACE('',#357132,0.15); #315641=CYLINDRICAL_SURFACE('',#357134,0.2); #315642=CYLINDRICAL_SURFACE('',#357136,0.2); #315643=CYLINDRICAL_SURFACE('',#357138,0.156782950094636); #315644=CYLINDRICAL_SURFACE('',#357140,0.196634615384615); #315645=CYLINDRICAL_SURFACE('',#357142,0.196634615384615); #315646=CYLINDRICAL_SURFACE('',#357144,0.15678295009463); #315647=CYLINDRICAL_SURFACE('',#357146,0.1425625); #315648=CYLINDRICAL_SURFACE('',#357147,0.0999670307511854); #315649=CYLINDRICAL_SURFACE('',#357148,0.146360174065558); #315650=CYLINDRICAL_SURFACE('',#357150,0.15); #315651=CYLINDRICAL_SURFACE('',#357152,0.200511249999998); #315652=CYLINDRICAL_SURFACE('',#357153,0.200511249999998); #315653=CYLINDRICAL_SURFACE('',#357157,0.143940075739726); #315654=CYLINDRICAL_SURFACE('',#357159,0.199862831858373); #315655=CYLINDRICAL_SURFACE('',#357161,0.199862831858373); #315656=CYLINDRICAL_SURFACE('',#357163,0.143940075739726); #315657=CYLINDRICAL_SURFACE('',#357165,0.2); #315658=CYLINDRICAL_SURFACE('',#357166,0.2); #315659=CYLINDRICAL_SURFACE('',#357169,0.2); #315660=CYLINDRICAL_SURFACE('',#357170,0.2); #315661=CYLINDRICAL_SURFACE('',#357171,0.2); #315662=CYLINDRICAL_SURFACE('',#357203,0.410719203494586); #315663=CYLINDRICAL_SURFACE('',#357205,0.103126984126984); #315664=CYLINDRICAL_SURFACE('',#357207,0.103126984126984); #315665=CYLINDRICAL_SURFACE('',#357209,0.410719203494586); #315666=CYLINDRICAL_SURFACE('',#357210,0.110719203494586); #315667=CYLINDRICAL_SURFACE('',#357212,0.403126984126984); #315668=CYLINDRICAL_SURFACE('',#357214,0.403126984126984); #315669=CYLINDRICAL_SURFACE('',#357216,0.110719203494586); #315670=CYLINDRICAL_SURFACE('',#357239,0.410719203494586); #315671=CYLINDRICAL_SURFACE('',#357241,0.103126984126984); #315672=CYLINDRICAL_SURFACE('',#357243,0.103126984126984); #315673=CYLINDRICAL_SURFACE('',#357245,0.410719203494586); #315674=CYLINDRICAL_SURFACE('',#357246,0.110719203494586); #315675=CYLINDRICAL_SURFACE('',#357248,0.403126984126984); #315676=CYLINDRICAL_SURFACE('',#357250,0.403126984126984); #315677=CYLINDRICAL_SURFACE('',#357252,0.110719203494586); #315678=CYLINDRICAL_SURFACE('',#357254,0.124613333333333); #315679=CYLINDRICAL_SURFACE('',#357258,0.124613333333333); #315680=CYLINDRICAL_SURFACE('',#357263,0.15); #315681=CYLINDRICAL_SURFACE('',#357266,0.45); #315682=CYLINDRICAL_SURFACE('',#357267,0.15); #315683=CYLINDRICAL_SURFACE('',#357268,0.15); #315684=CYLINDRICAL_SURFACE('',#357272,0.15); #315685=CYLINDRICAL_SURFACE('',#357278,0.15); #315686=CYLINDRICAL_SURFACE('',#357288,0.15); #315687=CYLINDRICAL_SURFACE('',#357290,0.146360174065558); #315688=CYLINDRICAL_SURFACE('',#357291,0.0999670307511854); #315689=CYLINDRICAL_SURFACE('',#357292,0.1425625); #315690=CYLINDRICAL_SURFACE('',#357294,0.15678295009463); #315691=CYLINDRICAL_SURFACE('',#357296,0.196634615384615); #315692=CYLINDRICAL_SURFACE('',#357298,0.196634615384615); #315693=CYLINDRICAL_SURFACE('',#357300,0.156782950094636); #315694=CYLINDRICAL_SURFACE('',#357302,0.2); #315695=CYLINDRICAL_SURFACE('',#357303,0.2); #315696=CYLINDRICAL_SURFACE('',#357305,0.143940075739726); #315697=CYLINDRICAL_SURFACE('',#357307,0.199862831858373); #315698=CYLINDRICAL_SURFACE('',#357309,0.199862831858373); #315699=CYLINDRICAL_SURFACE('',#357311,0.143940075739726); #315700=CYLINDRICAL_SURFACE('',#357315,0.200511249999998); #315701=CYLINDRICAL_SURFACE('',#357316,0.200511249999998); #315702=CYLINDRICAL_SURFACE('',#357318,0.2); #315703=CYLINDRICAL_SURFACE('',#357319,0.2); #315704=CYLINDRICAL_SURFACE('',#357320,0.2); #315705=CYLINDRICAL_SURFACE('',#357343,0.410719203494586); #315706=CYLINDRICAL_SURFACE('',#357345,0.103126984126984); #315707=CYLINDRICAL_SURFACE('',#357347,0.103126984126984); #315708=CYLINDRICAL_SURFACE('',#357349,0.410719203494586); #315709=CYLINDRICAL_SURFACE('',#357350,0.110719203494586); #315710=CYLINDRICAL_SURFACE('',#357352,0.403126984126984); #315711=CYLINDRICAL_SURFACE('',#357354,0.403126984126984); #315712=CYLINDRICAL_SURFACE('',#357356,0.110719203494586); #315713=CYLINDRICAL_SURFACE('',#357379,0.410719203494586); #315714=CYLINDRICAL_SURFACE('',#357381,0.103126984126984); #315715=CYLINDRICAL_SURFACE('',#357383,0.103126984126984); #315716=CYLINDRICAL_SURFACE('',#357385,0.410719203494586); #315717=CYLINDRICAL_SURFACE('',#357386,0.110719203494586); #315718=CYLINDRICAL_SURFACE('',#357388,0.403126984126984); #315719=CYLINDRICAL_SURFACE('',#357390,0.403126984126984); #315720=CYLINDRICAL_SURFACE('',#357392,0.110719203494586); #315721=CYLINDRICAL_SURFACE('',#357393,0.124613333333333); #315722=CYLINDRICAL_SURFACE('',#357397,0.124613333333333); #315723=CYLINDRICAL_SURFACE('',#357401,0.15); #315724=CYLINDRICAL_SURFACE('',#357406,0.45); #315725=CYLINDRICAL_SURFACE('',#357407,0.15); #315726=CYLINDRICAL_SURFACE('',#357408,0.15); #315727=CYLINDRICAL_SURFACE('',#357411,0.15); #315728=CYLINDRICAL_SURFACE('',#357418,0.15); #315729=CYLINDRICAL_SURFACE('',#357427,0.2); #315730=CYLINDRICAL_SURFACE('',#357431,0.132018518518511); #315731=CYLINDRICAL_SURFACE('',#357434,0.148012635933508); #315732=CYLINDRICAL_SURFACE('',#357437,0.11087204124655); #315733=CYLINDRICAL_SURFACE('',#357441,0.11087204124655); #315734=CYLINDRICAL_SURFACE('',#357444,0.148012635933508); #315735=CYLINDRICAL_SURFACE('',#357447,0.132018518518511); #315736=CYLINDRICAL_SURFACE('',#357451,0.15); #315737=CYLINDRICAL_SURFACE('',#357455,0.15); #315738=CYLINDRICAL_SURFACE('',#357459,0.132018518518511); #315739=CYLINDRICAL_SURFACE('',#357462,0.148012635933508); #315740=CYLINDRICAL_SURFACE('',#357465,0.11087204124655); #315741=CYLINDRICAL_SURFACE('',#357469,0.11087204124655); #315742=CYLINDRICAL_SURFACE('',#357472,0.148012635933508); #315743=CYLINDRICAL_SURFACE('',#357475,0.132018518518511); #315744=CYLINDRICAL_SURFACE('',#357479,0.15); #315745=CYLINDRICAL_SURFACE('',#357483,0.15); #315746=CYLINDRICAL_SURFACE('',#357487,0.2); #315747=CYLINDRICAL_SURFACE('',#357495,0.2); #315748=CYLINDRICAL_SURFACE('',#357502,0.2); #315749=CYLINDRICAL_SURFACE('',#357508,0.15); #315750=CYLINDRICAL_SURFACE('',#357512,0.15); #315751=CYLINDRICAL_SURFACE('',#357515,0.148); #315752=CYLINDRICAL_SURFACE('',#357518,0.292004733994588); #315753=CYLINDRICAL_SURFACE('',#357521,1.15304609186865); #315754=CYLINDRICAL_SURFACE('',#357524,0.45); #315755=CYLINDRICAL_SURFACE('',#357527,0.14737794976545); #315756=CYLINDRICAL_SURFACE('',#357531,0.14737794976545); #315757=CYLINDRICAL_SURFACE('',#357535,0.144226016739943); #315758=CYLINDRICAL_SURFACE('',#357538,0.176676870506421); #315759=CYLINDRICAL_SURFACE('',#357544,0.176676870506421); #315760=CYLINDRICAL_SURFACE('',#357547,0.144226016739943); #315761=CYLINDRICAL_SURFACE('',#357551,0.144226016739943); #315762=CYLINDRICAL_SURFACE('',#357554,0.176676870506421); #315763=CYLINDRICAL_SURFACE('',#357560,0.176676870506421); #315764=CYLINDRICAL_SURFACE('',#357563,0.144226016739943); #315765=CYLINDRICAL_SURFACE('',#357567,0.14737794976545); #315766=CYLINDRICAL_SURFACE('',#357571,0.14737794976545); #315767=CYLINDRICAL_SURFACE('',#357576,0.45); #315768=CYLINDRICAL_SURFACE('',#357577,1.15304609186865); #315769=CYLINDRICAL_SURFACE('',#357580,0.292004733994588); #315770=CYLINDRICAL_SURFACE('',#357583,0.148); #315771=CYLINDRICAL_SURFACE('',#357588,0.15); #315772=CYLINDRICAL_SURFACE('',#357591,0.15); #315773=CYLINDRICAL_SURFACE('',#357600,0.15); #315774=CYLINDRICAL_SURFACE('',#357604,0.15); #315775=CYLINDRICAL_SURFACE('',#357613,0.45); #315776=CYLINDRICAL_SURFACE('',#357614,0.15); #315777=CYLINDRICAL_SURFACE('',#357620,0.45); #315778=CYLINDRICAL_SURFACE('',#357621,0.15); #315779=CYLINDRICAL_SURFACE('',#357622,0.14); #315780=CYLINDRICAL_SURFACE('',#357624,0.14); #315781=CYLINDRICAL_SURFACE('',#357626,0.14); #315782=CYLINDRICAL_SURFACE('',#357628,0.14); #315783=CYLINDRICAL_SURFACE('',#357629,0.14); #315784=CYLINDRICAL_SURFACE('',#357631,0.14); #315785=CYLINDRICAL_SURFACE('',#357633,0.14); #315786=CYLINDRICAL_SURFACE('',#357635,0.14); #315787=CYLINDRICAL_SURFACE('',#357636,0.15); #315788=CYLINDRICAL_SURFACE('',#357638,0.13); #315789=CYLINDRICAL_SURFACE('',#357640,0.13); #315790=CYLINDRICAL_SURFACE('',#357642,0.15); #315791=CYLINDRICAL_SURFACE('',#357643,0.15); #315792=CYLINDRICAL_SURFACE('',#357645,0.13); #315793=CYLINDRICAL_SURFACE('',#357647,0.13); #315794=CYLINDRICAL_SURFACE('',#357649,0.15); #315795=CYLINDRICAL_SURFACE('',#357669,0.1); #315796=CYLINDRICAL_SURFACE('',#357671,0.2); #315797=CYLINDRICAL_SURFACE('',#357673,0.22); #315798=CYLINDRICAL_SURFACE('',#357675,0.08); #315799=CYLINDRICAL_SURFACE('',#357735,0.999999985477); #315800=CYLINDRICAL_SURFACE('',#357738,0.999999985477); #315801=CYLINDRICAL_SURFACE('',#357786,0.499999992739); #315802=CYLINDRICAL_SURFACE('',#357787,0.499999992739); #315803=CYLINDRICAL_SURFACE('',#357814,0.04); #315804=CYLINDRICAL_SURFACE('',#357823,0.04); #315805=CYLINDRICAL_SURFACE('',#357824,0.04); #315806=CYLINDRICAL_SURFACE('',#357829,0.04); #315807=CYLINDRICAL_SURFACE('',#357830,0.04); #315808=CYLINDRICAL_SURFACE('',#357831,0.04); #315809=CYLINDRICAL_SURFACE('',#357832,0.04); #315810=CYLINDRICAL_SURFACE('',#357833,0.04); #315811=CYLINDRICAL_SURFACE('',#357834,0.04); #315812=CYLINDRICAL_SURFACE('',#357835,0.04); #315813=CYLINDRICAL_SURFACE('',#357836,0.04); #315814=CYLINDRICAL_SURFACE('',#357837,0.04); #315815=CYLINDRICAL_SURFACE('',#357864,0.04); #315816=CYLINDRICAL_SURFACE('',#357873,0.04); #315817=CYLINDRICAL_SURFACE('',#357874,0.04); #315818=CYLINDRICAL_SURFACE('',#357879,0.04); #315819=CYLINDRICAL_SURFACE('',#357880,0.04); #315820=CYLINDRICAL_SURFACE('',#357881,0.04); #315821=CYLINDRICAL_SURFACE('',#357882,0.04); #315822=CYLINDRICAL_SURFACE('',#357883,0.04); #315823=CYLINDRICAL_SURFACE('',#357884,0.04); #315824=CYLINDRICAL_SURFACE('',#357885,0.04); #315825=CYLINDRICAL_SURFACE('',#357886,0.04); #315826=CYLINDRICAL_SURFACE('',#357887,0.04); #315827=CYLINDRICAL_SURFACE('',#357923,0.032); #315828=CYLINDRICAL_SURFACE('',#357932,0.032); #315829=CYLINDRICAL_SURFACE('',#357933,0.032); #315830=CYLINDRICAL_SURFACE('',#357938,0.032); #315831=CYLINDRICAL_SURFACE('',#357939,0.032); #315832=CYLINDRICAL_SURFACE('',#357940,0.032); #315833=CYLINDRICAL_SURFACE('',#357941,0.032); #315834=CYLINDRICAL_SURFACE('',#357942,0.032); #315835=CYLINDRICAL_SURFACE('',#357943,0.032); #315836=CYLINDRICAL_SURFACE('',#357944,0.032); #315837=CYLINDRICAL_SURFACE('',#357945,0.032); #315838=CYLINDRICAL_SURFACE('',#357946,0.032); #315839=CYLINDRICAL_SURFACE('',#357973,0.032); #315840=CYLINDRICAL_SURFACE('',#357982,0.032); #315841=CYLINDRICAL_SURFACE('',#357983,0.032); #315842=CYLINDRICAL_SURFACE('',#357988,0.032); #315843=CYLINDRICAL_SURFACE('',#357989,0.032); #315844=CYLINDRICAL_SURFACE('',#357990,0.032); #315845=CYLINDRICAL_SURFACE('',#357991,0.032); #315846=CYLINDRICAL_SURFACE('',#357992,0.032); #315847=CYLINDRICAL_SURFACE('',#357993,0.032); #315848=CYLINDRICAL_SURFACE('',#357994,0.032); #315849=CYLINDRICAL_SURFACE('',#357995,0.032); #315850=CYLINDRICAL_SURFACE('',#357996,0.032); #315851=CYLINDRICAL_SURFACE('',#358032,0.04); #315852=CYLINDRICAL_SURFACE('',#358041,0.04); #315853=CYLINDRICAL_SURFACE('',#358042,0.04); #315854=CYLINDRICAL_SURFACE('',#358047,0.04); #315855=CYLINDRICAL_SURFACE('',#358048,0.04); #315856=CYLINDRICAL_SURFACE('',#358049,0.04); #315857=CYLINDRICAL_SURFACE('',#358050,0.04); #315858=CYLINDRICAL_SURFACE('',#358051,0.04); #315859=CYLINDRICAL_SURFACE('',#358052,0.04); #315860=CYLINDRICAL_SURFACE('',#358053,0.04); #315861=CYLINDRICAL_SURFACE('',#358054,0.04); #315862=CYLINDRICAL_SURFACE('',#358055,0.04); #315863=CYLINDRICAL_SURFACE('',#358082,0.04); #315864=CYLINDRICAL_SURFACE('',#358091,0.04); #315865=CYLINDRICAL_SURFACE('',#358092,0.04); #315866=CYLINDRICAL_SURFACE('',#358097,0.04); #315867=CYLINDRICAL_SURFACE('',#358098,0.04); #315868=CYLINDRICAL_SURFACE('',#358099,0.04); #315869=CYLINDRICAL_SURFACE('',#358100,0.04); #315870=CYLINDRICAL_SURFACE('',#358101,0.04); #315871=CYLINDRICAL_SURFACE('',#358102,0.04); #315872=CYLINDRICAL_SURFACE('',#358103,0.04); #315873=CYLINDRICAL_SURFACE('',#358104,0.04); #315874=CYLINDRICAL_SURFACE('',#358105,0.04); #315875=CYLINDRICAL_SURFACE('',#358121,3.11666666666667); #315876=CYLINDRICAL_SURFACE('',#358130,4.25); #315877=CYLINDRICAL_SURFACE('',#358141,4.25); #315878=CYLINDRICAL_SURFACE('',#358165,4.27); #315879=CYLINDRICAL_SURFACE('',#358175,4.25); #315880=CYLINDRICAL_SURFACE('',#358186,4.25); #315881=CYLINDRICAL_SURFACE('',#358196,4.27); #315882=CYLINDRICAL_SURFACE('',#358201,0.325); #315883=CYLINDRICAL_SURFACE('',#358206,0.325); #315884=CYLINDRICAL_SURFACE('',#358215,4.2715); #315885=CYLINDRICAL_SURFACE('',#358219,4.2705); #315886=CYLINDRICAL_SURFACE('',#358225,4.2715); #315887=CYLINDRICAL_SURFACE('',#358229,4.2705); #315888=CYLINDRICAL_SURFACE('',#358235,4.2715); #315889=CYLINDRICAL_SURFACE('',#358239,4.2705); #315890=CYLINDRICAL_SURFACE('',#358245,4.2715); #315891=CYLINDRICAL_SURFACE('',#358249,4.2705); #315892=CYLINDRICAL_SURFACE('',#358255,4.2715); #315893=CYLINDRICAL_SURFACE('',#358259,4.2705); #315894=CYLINDRICAL_SURFACE('',#358265,4.2715); #315895=CYLINDRICAL_SURFACE('',#358269,4.2705); #315896=CYLINDRICAL_SURFACE('',#358275,4.2715); #315897=CYLINDRICAL_SURFACE('',#358279,4.2705); #315898=CYLINDRICAL_SURFACE('',#358285,4.2715); #315899=CYLINDRICAL_SURFACE('',#358289,4.2705); #315900=CYLINDRICAL_SURFACE('',#358295,4.2715); #315901=CYLINDRICAL_SURFACE('',#358299,4.2705); #315902=CYLINDRICAL_SURFACE('',#358304,0.0750000000000001); #315903=CYLINDRICAL_SURFACE('',#358326,0.175); #315904=CYLINDRICAL_SURFACE('',#358330,0.175); #315905=CYLINDRICAL_SURFACE('',#358338,0.175); #315906=CYLINDRICAL_SURFACE('',#358342,0.175); #315907=CYLINDRICAL_SURFACE('',#358350,0.175); #315908=CYLINDRICAL_SURFACE('',#358354,0.175); #315909=CYLINDRICAL_SURFACE('',#358362,0.175); #315910=CYLINDRICAL_SURFACE('',#358366,0.175); #315911=CYLINDRICAL_SURFACE('',#358374,0.175); #315912=CYLINDRICAL_SURFACE('',#358378,0.175); #315913=CYLINDRICAL_SURFACE('',#358386,0.175); #315914=CYLINDRICAL_SURFACE('',#358390,0.175); #315915=CYLINDRICAL_SURFACE('',#358398,0.175); #315916=CYLINDRICAL_SURFACE('',#358402,0.175); #315917=CYLINDRICAL_SURFACE('',#358410,0.175); #315918=CYLINDRICAL_SURFACE('',#358414,0.175); #315919=CYLINDRICAL_SURFACE('',#358471,0.0750000000000001); #315920=CYLINDRICAL_SURFACE('',#358493,0.25); #315921=CYLINDRICAL_SURFACE('',#358497,0.25); #315922=CYLINDRICAL_SURFACE('',#358505,0.25); #315923=CYLINDRICAL_SURFACE('',#358509,0.25); #315924=CYLINDRICAL_SURFACE('',#358517,0.25); #315925=CYLINDRICAL_SURFACE('',#358521,0.25); #315926=CYLINDRICAL_SURFACE('',#358529,0.25); #315927=CYLINDRICAL_SURFACE('',#358533,0.25); #315928=CYLINDRICAL_SURFACE('',#358541,0.25); #315929=CYLINDRICAL_SURFACE('',#358545,0.25); #315930=CYLINDRICAL_SURFACE('',#358553,0.25); #315931=CYLINDRICAL_SURFACE('',#358557,0.25); #315932=CYLINDRICAL_SURFACE('',#358565,0.25); #315933=CYLINDRICAL_SURFACE('',#358569,0.25); #315934=CYLINDRICAL_SURFACE('',#358577,0.25); #315935=CYLINDRICAL_SURFACE('',#358581,0.25); #315936=CYLINDRICAL_SURFACE('',#358675,3.595); #315937=CYLINDRICAL_SURFACE('',#358678,5.76); #315938=CYLINDRICAL_SURFACE('',#358683,3.5); #315939=CYLINDRICAL_SURFACE('',#358684,3.5); #315940=CYLINDRICAL_SURFACE('',#358685,3.5); #315941=CYLINDRICAL_SURFACE('',#358687,3.14155); #315942=CYLINDRICAL_SURFACE('',#358689,3.14155); #315943=CYLINDRICAL_SURFACE('',#358690,3.14155); #315944=CYLINDRICAL_SURFACE('',#358691,3.14155); #315945=CYLINDRICAL_SURFACE('',#358692,3.5); #315946=CYLINDRICAL_SURFACE('',#358700,3.454); #315947=CYLINDRICAL_SURFACE('',#358702,3.454); #315948=CYLINDRICAL_SURFACE('',#358703,3.454); #315949=CYLINDRICAL_SURFACE('',#358704,3.454); #315950=CYLINDRICAL_SURFACE('',#358705,3.454); #315951=CYLINDRICAL_SURFACE('',#358706,3.454); #315952=CYLINDRICAL_SURFACE('',#358707,3.454); #315953=CYLINDRICAL_SURFACE('',#358708,3.02375); #315954=CYLINDRICAL_SURFACE('',#358710,3.02375); #315955=CYLINDRICAL_SURFACE('',#358712,3.02375); #315956=CYLINDRICAL_SURFACE('',#358713,3.02375); #315957=CYLINDRICAL_SURFACE('',#358714,3.02375); #315958=CYLINDRICAL_SURFACE('',#358715,3.02375); #315959=CYLINDRICAL_SURFACE('',#358716,3.02375); #315960=CYLINDRICAL_SURFACE('',#358843,3.); #315961=CYLINDRICAL_SURFACE('',#358847,0.150000000181); #315962=CYLINDRICAL_SURFACE('',#358850,0.400000000483); #315963=CYLINDRICAL_SURFACE('',#358862,0.1); #315964=CYLINDRICAL_SURFACE('',#358865,0.1); #315965=CYLINDRICAL_SURFACE('',#358867,0.3); #315966=CYLINDRICAL_SURFACE('',#358872,0.3); #315967=CYLINDRICAL_SURFACE('',#358885,0.3); #315968=CYLINDRICAL_SURFACE('',#358887,0.3); #315969=CYLINDRICAL_SURFACE('',#358888,0.1); #315970=CYLINDRICAL_SURFACE('',#358890,0.1); #315971=CYLINDRICAL_SURFACE('',#358896,0.400000000483); #315972=CYLINDRICAL_SURFACE('',#358899,0.150000000181); #315973=CYLINDRICAL_SURFACE('',#358909,0.3); #315974=CYLINDRICAL_SURFACE('',#358916,0.3); #315975=CYLINDRICAL_SURFACE('',#358917,0.1); #315976=CYLINDRICAL_SURFACE('',#358919,0.1); #315977=CYLINDRICAL_SURFACE('',#358926,0.150000000181); #315978=CYLINDRICAL_SURFACE('',#358929,0.400000000483); #315979=CYLINDRICAL_SURFACE('',#358941,0.1); #315980=CYLINDRICAL_SURFACE('',#358944,0.1); #315981=CYLINDRICAL_SURFACE('',#358946,0.3); #315982=CYLINDRICAL_SURFACE('',#358951,0.3); #315983=CYLINDRICAL_SURFACE('',#358968,0.1); #315984=CYLINDRICAL_SURFACE('',#358970,0.1); #315985=CYLINDRICAL_SURFACE('',#358971,0.3); #315986=CYLINDRICAL_SURFACE('',#358973,0.3); #315987=CYLINDRICAL_SURFACE('',#358989,3.02375); #315988=CYLINDRICAL_SURFACE('',#358991,3.454); #315989=CYLINDRICAL_SURFACE('',#359007,4.5); #315990=CYLINDRICAL_SURFACE('',#359017,4.5); #315991=CYLINDRICAL_SURFACE('',#359020,4.5); #315992=CYLINDRICAL_SURFACE('',#359027,4.5); #315993=CYLINDRICAL_SURFACE('',#359031,5.000000006042); #315994=CYLINDRICAL_SURFACE('',#359048,0.150000000181); #315995=CYLINDRICAL_SURFACE('',#359052,0.150000000181); #315996=CYLINDRICAL_SURFACE('',#359061,0.150000000181); #315997=CYLINDRICAL_SURFACE('',#359064,0.150000000181); #315998=CYLINDRICAL_SURFACE('',#359070,0.3); #315999=CYLINDRICAL_SURFACE('',#359074,0.3); #316000=CYLINDRICAL_SURFACE('',#359078,0.3); #316001=CYLINDRICAL_SURFACE('',#359080,0.3); #316002=CYLINDRICAL_SURFACE('',#359083,0.3); #316003=CYLINDRICAL_SURFACE('',#359087,0.3); #316004=CYLINDRICAL_SURFACE('',#359091,0.3); #316005=CYLINDRICAL_SURFACE('',#359095,0.3); #316006=CYLINDRICAL_SURFACE('',#359098,0.3); #316007=CYLINDRICAL_SURFACE('',#359112,0.3); #316008=CYLINDRICAL_SURFACE('',#359114,0.3); #316009=CYLINDRICAL_SURFACE('',#359116,0.3); #316010=CYLINDRICAL_SURFACE('',#359127,0.150000000181); #316011=CYLINDRICAL_SURFACE('',#359130,0.350000000423); #316012=CYLINDRICAL_SURFACE('',#359139,0.3); #316013=CYLINDRICAL_SURFACE('',#359144,0.3); #316014=CYLINDRICAL_SURFACE('',#359149,0.150000000181); #316015=CYLINDRICAL_SURFACE('',#359152,0.350000000423); #316016=CYLINDRICAL_SURFACE('',#359161,0.3); #316017=CYLINDRICAL_SURFACE('',#359166,0.3); #316018=CYLINDRICAL_SURFACE('',#359172,0.3); #316019=CYLINDRICAL_SURFACE('',#359176,0.3); #316020=CYLINDRICAL_SURFACE('',#359182,0.3); #316021=CYLINDRICAL_SURFACE('',#359186,0.3); #316022=CYLINDRICAL_SURFACE('',#359189,0.3); #316023=CYLINDRICAL_SURFACE('',#359197,0.3); #316024=CYLINDRICAL_SURFACE('',#359198,0.3); #316025=CYLINDRICAL_SURFACE('',#359204,0.3); #316026=CYLINDRICAL_SURFACE('',#359205,0.150000000181); #316027=CYLINDRICAL_SURFACE('',#359207,0.350000000423); #316028=CYLINDRICAL_SURFACE('',#359211,0.350000000423); #316029=CYLINDRICAL_SURFACE('',#359213,0.150000000181); #316030=CYLINDRICAL_SURFACE('',#359219,0.300000000363); #316031=CYLINDRICAL_SURFACE('',#359220,0.300000000363); #316032=CYLINDRICAL_SURFACE('',#359221,0.300000000363); #316033=CYLINDRICAL_SURFACE('',#359222,0.300000000363); #316034=CYLINDRICAL_SURFACE('',#359223,0.300000000363); #316035=CYLINDRICAL_SURFACE('',#359226,0.300000000363); #316036=CYLINDRICAL_SURFACE('',#359235,0.350000000423); #316037=CYLINDRICAL_SURFACE('',#359238,0.150000000181); #316038=CYLINDRICAL_SURFACE('',#359242,0.350000000423); #316039=CYLINDRICAL_SURFACE('',#359244,0.150000000181); #316040=CYLINDRICAL_SURFACE('',#359252,0.150000000181); #316041=CYLINDRICAL_SURFACE('',#359254,0.350000000423); #316042=CYLINDRICAL_SURFACE('',#359266,0.300000000363); #316043=CYLINDRICAL_SURFACE('',#359269,0.300000000363); #316044=CYLINDRICAL_SURFACE('',#359278,0.350000000423); #316045=CYLINDRICAL_SURFACE('',#359281,0.150000000181); #316046=CYLINDRICAL_SURFACE('',#359285,0.350000000423); #316047=CYLINDRICAL_SURFACE('',#359292,0.150000000181); #316048=CYLINDRICAL_SURFACE('',#359304,0.350000000423); #316049=CYLINDRICAL_SURFACE('',#359308,0.150000000181); #316050=CYLINDRICAL_SURFACE('',#359312,0.15); #316051=CYLINDRICAL_SURFACE('',#359328,0.15); #316052=CYLINDRICAL_SURFACE('',#359338,0.15); #316053=CYLINDRICAL_SURFACE('',#359348,0.15); #316054=CYLINDRICAL_SURFACE('',#359358,0.15); #316055=CYLINDRICAL_SURFACE('',#359368,0.15); #316056=CYLINDRICAL_SURFACE('',#359378,0.15); #316057=CYLINDRICAL_SURFACE('',#359388,0.15); #316058=CYLINDRICAL_SURFACE('',#359398,0.15); #316059=CYLINDRICAL_SURFACE('',#359408,0.15); #316060=CYLINDRICAL_SURFACE('',#359418,0.15); #316061=CYLINDRICAL_SURFACE('',#359428,0.15); #316062=CYLINDRICAL_SURFACE('',#359438,0.15); #316063=CYLINDRICAL_SURFACE('',#359448,0.15); #316064=CYLINDRICAL_SURFACE('',#359458,0.15); #316065=CYLINDRICAL_SURFACE('',#359468,0.15); #316066=CYLINDRICAL_SURFACE('',#359478,0.15); #316067=CYLINDRICAL_SURFACE('',#359488,0.15); #316068=CYLINDRICAL_SURFACE('',#359498,0.15); #316069=CYLINDRICAL_SURFACE('',#359508,0.15); #316070=CYLINDRICAL_SURFACE('',#359518,0.15); #316071=CYLINDRICAL_SURFACE('',#359528,0.15); #316072=CYLINDRICAL_SURFACE('',#359538,0.15); #316073=CYLINDRICAL_SURFACE('',#359548,0.15); #316074=CYLINDRICAL_SURFACE('',#359558,0.15); #316075=CYLINDRICAL_SURFACE('',#359568,0.15); #316076=CYLINDRICAL_SURFACE('',#359578,0.15); #316077=CYLINDRICAL_SURFACE('',#359588,0.15); #316078=CYLINDRICAL_SURFACE('',#359598,0.15); #316079=CYLINDRICAL_SURFACE('',#359608,0.15); #316080=CYLINDRICAL_SURFACE('',#359618,0.15); #316081=CYLINDRICAL_SURFACE('',#359628,0.15); #316082=CYLINDRICAL_SURFACE('',#359638,0.15); #316083=CYLINDRICAL_SURFACE('',#359648,0.15); #316084=CYLINDRICAL_SURFACE('',#359658,0.15); #316085=CYLINDRICAL_SURFACE('',#359668,0.15); #316086=CYLINDRICAL_SURFACE('',#359678,0.15); #316087=CYLINDRICAL_SURFACE('',#359688,0.15); #316088=CYLINDRICAL_SURFACE('',#359698,0.15); #316089=CYLINDRICAL_SURFACE('',#359708,0.15); #316090=CYLINDRICAL_SURFACE('',#359718,0.15); #316091=CYLINDRICAL_SURFACE('',#359728,0.15); #316092=CYLINDRICAL_SURFACE('',#359738,0.15); #316093=CYLINDRICAL_SURFACE('',#359748,0.15); #316094=CYLINDRICAL_SURFACE('',#359758,0.15); #316095=CYLINDRICAL_SURFACE('',#359768,0.15); #316096=CYLINDRICAL_SURFACE('',#359778,0.15); #316097=CYLINDRICAL_SURFACE('',#359788,0.15); #316098=CYLINDRICAL_SURFACE('',#359798,0.15); #316099=CYLINDRICAL_SURFACE('',#359835,0.04); #316100=CYLINDRICAL_SURFACE('',#359844,0.04); #316101=CYLINDRICAL_SURFACE('',#359845,0.04); #316102=CYLINDRICAL_SURFACE('',#359850,0.04); #316103=CYLINDRICAL_SURFACE('',#359851,0.04); #316104=CYLINDRICAL_SURFACE('',#359852,0.04); #316105=CYLINDRICAL_SURFACE('',#359853,0.04); #316106=CYLINDRICAL_SURFACE('',#359854,0.04); #316107=CYLINDRICAL_SURFACE('',#359855,0.04); #316108=CYLINDRICAL_SURFACE('',#359856,0.04); #316109=CYLINDRICAL_SURFACE('',#359857,0.04); #316110=CYLINDRICAL_SURFACE('',#359858,0.04); #316111=CYLINDRICAL_SURFACE('',#359885,0.04); #316112=CYLINDRICAL_SURFACE('',#359894,0.04); #316113=CYLINDRICAL_SURFACE('',#359895,0.04); #316114=CYLINDRICAL_SURFACE('',#359900,0.04); #316115=CYLINDRICAL_SURFACE('',#359901,0.04); #316116=CYLINDRICAL_SURFACE('',#359902,0.04); #316117=CYLINDRICAL_SURFACE('',#359903,0.04); #316118=CYLINDRICAL_SURFACE('',#359904,0.04); #316119=CYLINDRICAL_SURFACE('',#359905,0.04); #316120=CYLINDRICAL_SURFACE('',#359906,0.04); #316121=CYLINDRICAL_SURFACE('',#359907,0.04); #316122=CYLINDRICAL_SURFACE('',#359908,0.04); #316123=CYLINDRICAL_SURFACE('',#359967,0.1995); #316124=CYLINDRICAL_SURFACE('',#359991,0.0499999999991504); #316125=CYLINDRICAL_SURFACE('',#359996,0.200000000000034); #316126=CYLINDRICAL_SURFACE('',#359999,0.0500000000000014); #316127=CYLINDRICAL_SURFACE('',#360004,0.200000000000001); #316128=CYLINDRICAL_SURFACE('',#360013,0.0499999999991504); #316129=CYLINDRICAL_SURFACE('',#360018,0.200000000000034); #316130=CYLINDRICAL_SURFACE('',#360021,0.0500000000000014); #316131=CYLINDRICAL_SURFACE('',#360026,0.200000000000001); #316132=CYLINDRICAL_SURFACE('',#360035,0.0499999999991504); #316133=CYLINDRICAL_SURFACE('',#360040,0.200000000000034); #316134=CYLINDRICAL_SURFACE('',#360043,0.0500000000000014); #316135=CYLINDRICAL_SURFACE('',#360048,0.200000000000001); #316136=CYLINDRICAL_SURFACE('',#360057,0.0499999999991504); #316137=CYLINDRICAL_SURFACE('',#360062,0.200000000000034); #316138=CYLINDRICAL_SURFACE('',#360065,0.0500000000000014); #316139=CYLINDRICAL_SURFACE('',#360070,0.200000000000001); #316140=CYLINDRICAL_SURFACE('',#360079,0.0499999999991504); #316141=CYLINDRICAL_SURFACE('',#360084,0.200000000000034); #316142=CYLINDRICAL_SURFACE('',#360087,0.0500000000000014); #316143=CYLINDRICAL_SURFACE('',#360092,0.200000000000001); #316144=CYLINDRICAL_SURFACE('',#360101,0.0499999999991504); #316145=CYLINDRICAL_SURFACE('',#360106,0.200000000000034); #316146=CYLINDRICAL_SURFACE('',#360109,0.0500000000000014); #316147=CYLINDRICAL_SURFACE('',#360114,0.200000000000001); #316148=CYLINDRICAL_SURFACE('',#360123,0.0499999999991504); #316149=CYLINDRICAL_SURFACE('',#360128,0.200000000000034); #316150=CYLINDRICAL_SURFACE('',#360131,0.0500000000000014); #316151=CYLINDRICAL_SURFACE('',#360136,0.200000000000001); #316152=CYLINDRICAL_SURFACE('',#360145,0.0499999999991504); #316153=CYLINDRICAL_SURFACE('',#360150,0.200000000000034); #316154=CYLINDRICAL_SURFACE('',#360153,0.0500000000000014); #316155=CYLINDRICAL_SURFACE('',#360158,0.200000000000001); #316156=CYLINDRICAL_SURFACE('',#360164,0.085); #316157=CYLINDRICAL_SURFACE('',#360190,0.0325); #316158=CYLINDRICAL_SURFACE('',#360193,0.13); #316159=CYLINDRICAL_SURFACE('',#360198,0.0325); #316160=CYLINDRICAL_SURFACE('',#360201,0.13); #316161=CYLINDRICAL_SURFACE('',#360212,0.0325); #316162=CYLINDRICAL_SURFACE('',#360215,0.13); #316163=CYLINDRICAL_SURFACE('',#360220,0.0325); #316164=CYLINDRICAL_SURFACE('',#360223,0.13); #316165=CYLINDRICAL_SURFACE('',#360234,0.0325); #316166=CYLINDRICAL_SURFACE('',#360237,0.13); #316167=CYLINDRICAL_SURFACE('',#360242,0.0325); #316168=CYLINDRICAL_SURFACE('',#360245,0.13); #316169=CYLINDRICAL_SURFACE('',#360256,0.0325); #316170=CYLINDRICAL_SURFACE('',#360259,0.13); #316171=CYLINDRICAL_SURFACE('',#360264,0.0325); #316172=CYLINDRICAL_SURFACE('',#360267,0.13); #316173=CYLINDRICAL_SURFACE('',#360278,0.0325); #316174=CYLINDRICAL_SURFACE('',#360281,0.13); #316175=CYLINDRICAL_SURFACE('',#360286,0.0325); #316176=CYLINDRICAL_SURFACE('',#360289,0.13); #316177=CYLINDRICAL_SURFACE('',#360315,0.04); #316178=CYLINDRICAL_SURFACE('',#360324,0.04); #316179=CYLINDRICAL_SURFACE('',#360325,0.04); #316180=CYLINDRICAL_SURFACE('',#360330,0.04); #316181=CYLINDRICAL_SURFACE('',#360331,0.04); #316182=CYLINDRICAL_SURFACE('',#360332,0.04); #316183=CYLINDRICAL_SURFACE('',#360333,0.04); #316184=CYLINDRICAL_SURFACE('',#360334,0.04); #316185=CYLINDRICAL_SURFACE('',#360335,0.04); #316186=CYLINDRICAL_SURFACE('',#360336,0.04); #316187=CYLINDRICAL_SURFACE('',#360337,0.04); #316188=CYLINDRICAL_SURFACE('',#360338,0.04); #316189=CYLINDRICAL_SURFACE('',#360365,0.04); #316190=CYLINDRICAL_SURFACE('',#360374,0.04); #316191=CYLINDRICAL_SURFACE('',#360375,0.04); #316192=CYLINDRICAL_SURFACE('',#360380,0.04); #316193=CYLINDRICAL_SURFACE('',#360381,0.04); #316194=CYLINDRICAL_SURFACE('',#360382,0.04); #316195=CYLINDRICAL_SURFACE('',#360383,0.04); #316196=CYLINDRICAL_SURFACE('',#360384,0.04); #316197=CYLINDRICAL_SURFACE('',#360385,0.04); #316198=CYLINDRICAL_SURFACE('',#360386,0.04); #316199=CYLINDRICAL_SURFACE('',#360387,0.04); #316200=CYLINDRICAL_SURFACE('',#360388,0.04); #316201=CYLINDRICAL_SURFACE('',#360505,0.5775); #316202=CYLINDRICAL_SURFACE('',#360510,0.5775); #316203=CYLINDRICAL_SURFACE('',#360513,0.6); #316204=CYLINDRICAL_SURFACE('',#360518,0.75); #316205=CYLINDRICAL_SURFACE('',#360523,0.75); #316206=CYLINDRICAL_SURFACE('',#360528,0.579); #316207=ADVANCED_FACE('',(#21363),#312358,.F.); #316208=ADVANCED_FACE('',(#21364),#312359,.F.); #316209=ADVANCED_FACE('',(#21365),#312360,.F.); #316210=ADVANCED_FACE('',(#21366),#312361,.F.); #316211=ADVANCED_FACE('',(#21367),#312362,.F.); #316212=ADVANCED_FACE('',(#21368),#312363,.F.); #316213=ADVANCED_FACE('',(#21369),#312364,.F.); #316214=ADVANCED_FACE('',(#21370),#312365,.F.); #316215=ADVANCED_FACE('',(#21371),#312366,.F.); #316216=ADVANCED_FACE('',(#21372),#312367,.F.); #316217=ADVANCED_FACE('',(#21373),#312368,.F.); #316218=ADVANCED_FACE('',(#21374),#312369,.F.); #316219=ADVANCED_FACE('',(#21375),#312370,.F.); #316220=ADVANCED_FACE('',(#21376),#312371,.F.); #316221=ADVANCED_FACE('',(#21377),#312372,.F.); #316222=ADVANCED_FACE('',(#21378),#312373,.F.); #316223=ADVANCED_FACE('',(#21379),#312374,.F.); #316224=ADVANCED_FACE('',(#21380),#312375,.F.); #316225=ADVANCED_FACE('',(#21381),#312376,.F.); #316226=ADVANCED_FACE('',(#21382),#312377,.F.); #316227=ADVANCED_FACE('',(#21383),#312378,.F.); #316228=ADVANCED_FACE('',(#21384),#312379,.F.); #316229=ADVANCED_FACE('',(#21385),#312380,.F.); #316230=ADVANCED_FACE('',(#21386),#312381,.F.); #316231=ADVANCED_FACE('',(#21387),#312382,.F.); #316232=ADVANCED_FACE('',(#21388),#312383,.F.); #316233=ADVANCED_FACE('',(#21389),#312384,.F.); #316234=ADVANCED_FACE('',(#21390),#312385,.F.); #316235=ADVANCED_FACE('',(#21391),#312386,.F.); #316236=ADVANCED_FACE('',(#21392),#312387,.F.); #316237=ADVANCED_FACE('',(#21393),#312388,.F.); #316238=ADVANCED_FACE('',(#21394),#312389,.F.); #316239=ADVANCED_FACE('',(#21395),#312390,.F.); #316240=ADVANCED_FACE('',(#21396),#312391,.F.); #316241=ADVANCED_FACE('',(#21397),#312392,.F.); #316242=ADVANCED_FACE('',(#21398),#312393,.F.); #316243=ADVANCED_FACE('',(#21399),#312394,.F.); #316244=ADVANCED_FACE('',(#21400),#312395,.F.); #316245=ADVANCED_FACE('',(#21401),#312396,.F.); #316246=ADVANCED_FACE('',(#21402),#7876,.F.); #316247=ADVANCED_FACE('',(#21403),#312397,.F.); #316248=ADVANCED_FACE('',(#21404),#7877,.F.); #316249=ADVANCED_FACE('',(#21405),#312398,.F.); #316250=ADVANCED_FACE('',(#21406),#312399,.F.); #316251=ADVANCED_FACE('',(#21407),#7878,.F.); #316252=ADVANCED_FACE('',(#21408),#312400,.F.); #316253=ADVANCED_FACE('',(#21409),#7879,.F.); #316254=ADVANCED_FACE('',(#21410),#312401,.F.); #316255=ADVANCED_FACE('',(#21411),#312402,.F.); #316256=ADVANCED_FACE('',(#21412),#312403,.F.); #316257=ADVANCED_FACE('',(#21413),#312404,.F.); #316258=ADVANCED_FACE('',(#21414),#312405,.F.); #316259=ADVANCED_FACE('',(#21415),#312406,.F.); #316260=ADVANCED_FACE('',(#21416),#312407,.F.); #316261=ADVANCED_FACE('',(#21417),#312408,.F.); #316262=ADVANCED_FACE('',(#21418),#312409,.F.); #316263=ADVANCED_FACE('',(#21419),#312410,.F.); #316264=ADVANCED_FACE('',(#21420),#312411,.F.); #316265=ADVANCED_FACE('',(#21421),#312412,.F.); #316266=ADVANCED_FACE('',(#21422),#7880,.F.); #316267=ADVANCED_FACE('',(#21423),#312413,.F.); #316268=ADVANCED_FACE('',(#21424),#7881,.F.); #316269=ADVANCED_FACE('',(#21425),#312414,.F.); #316270=ADVANCED_FACE('',(#21426),#312415,.F.); #316271=ADVANCED_FACE('',(#21427),#7882,.F.); #316272=ADVANCED_FACE('',(#21428),#312416,.F.); #316273=ADVANCED_FACE('',(#21429),#7883,.F.); #316274=ADVANCED_FACE('',(#21430),#312417,.F.); #316275=ADVANCED_FACE('',(#21431),#312418,.F.); #316276=ADVANCED_FACE('',(#21432),#7884,.F.); #316277=ADVANCED_FACE('',(#21433),#312419,.F.); #316278=ADVANCED_FACE('',(#21434),#7885,.F.); #316279=ADVANCED_FACE('',(#21435),#312420,.F.); #316280=ADVANCED_FACE('',(#21436),#312421,.F.); #316281=ADVANCED_FACE('',(#21437),#7886,.F.); #316282=ADVANCED_FACE('',(#21438),#312422,.F.); #316283=ADVANCED_FACE('',(#21439),#7887,.F.); #316284=ADVANCED_FACE('',(#21440),#312423,.F.); #316285=ADVANCED_FACE('',(#21441),#312424,.F.); #316286=ADVANCED_FACE('',(#21442),#312425,.F.); #316287=ADVANCED_FACE('',(#21443),#312426,.F.); #316288=ADVANCED_FACE('',(#21444),#312427,.F.); #316289=ADVANCED_FACE('',(#21445),#312428,.F.); #316290=ADVANCED_FACE('',(#21446),#312429,.F.); #316291=ADVANCED_FACE('',(#21447),#312430,.F.); #316292=ADVANCED_FACE('',(#21448),#312431,.F.); #316293=ADVANCED_FACE('',(#21449),#312432,.F.); #316294=ADVANCED_FACE('',(#21450),#312433,.F.); #316295=ADVANCED_FACE('',(#21451),#312434,.F.); #316296=ADVANCED_FACE('',(#21452),#312435,.F.); #316297=ADVANCED_FACE('',(#21453),#312436,.F.); #316298=ADVANCED_FACE('',(#21454),#312437,.F.); #316299=ADVANCED_FACE('',(#21455),#312438,.F.); #316300=ADVANCED_FACE('',(#21456),#312439,.F.); #316301=ADVANCED_FACE('',(#21457),#312440,.F.); #316302=ADVANCED_FACE('',(#21458),#312441,.F.); #316303=ADVANCED_FACE('',(#21459),#312442,.F.); #316304=ADVANCED_FACE('',(#21460),#312443,.F.); #316305=ADVANCED_FACE('',(#21461),#312444,.F.); #316306=ADVANCED_FACE('',(#21462),#312445,.F.); #316307=ADVANCED_FACE('',(#21463),#312446,.F.); #316308=ADVANCED_FACE('',(#21464),#312447,.F.); #316309=ADVANCED_FACE('',(#21465),#312448,.F.); #316310=ADVANCED_FACE('',(#21466),#312449,.F.); #316311=ADVANCED_FACE('',(#21467),#312450,.F.); #316312=ADVANCED_FACE('',(#21468),#312451,.F.); #316313=ADVANCED_FACE('',(#21469),#312452,.F.); #316314=ADVANCED_FACE('',(#21470),#312453,.F.); #316315=ADVANCED_FACE('',(#21471),#312454,.F.); #316316=ADVANCED_FACE('',(#21472),#312455,.F.); #316317=ADVANCED_FACE('',(#21473),#312456,.F.); #316318=ADVANCED_FACE('',(#21474),#312457,.F.); #316319=ADVANCED_FACE('',(#21475),#312458,.F.); #316320=ADVANCED_FACE('',(#21476),#312459,.F.); #316321=ADVANCED_FACE('',(#21477),#312460,.F.); #316322=ADVANCED_FACE('',(#21478),#312461,.F.); #316323=ADVANCED_FACE('',(#21479),#312462,.F.); #316324=ADVANCED_FACE('',(#21480),#312463,.F.); #316325=ADVANCED_FACE('',(#21481),#312464,.F.); #316326=ADVANCED_FACE('',(#21482),#312465,.F.); #316327=ADVANCED_FACE('',(#21483),#312466,.F.); #316328=ADVANCED_FACE('',(#21484),#312467,.F.); #316329=ADVANCED_FACE('',(#21485),#312468,.F.); #316330=ADVANCED_FACE('',(#21486),#312469,.F.); #316331=ADVANCED_FACE('',(#21487),#312470,.F.); #316332=ADVANCED_FACE('',(#21488),#312471,.F.); #316333=ADVANCED_FACE('',(#21489),#312472,.F.); #316334=ADVANCED_FACE('',(#21490),#312473,.F.); #316335=ADVANCED_FACE('',(#21491),#312474,.F.); #316336=ADVANCED_FACE('',(#21492),#312475,.F.); #316337=ADVANCED_FACE('',(#21493),#312476,.F.); #316338=ADVANCED_FACE('',(#21494),#312477,.F.); #316339=ADVANCED_FACE('',(#21495),#312478,.F.); #316340=ADVANCED_FACE('',(#21496),#312479,.F.); #316341=ADVANCED_FACE('',(#21497),#312480,.F.); #316342=ADVANCED_FACE('',(#21498),#312481,.F.); #316343=ADVANCED_FACE('',(#21499),#312482,.F.); #316344=ADVANCED_FACE('',(#21500),#312483,.F.); #316345=ADVANCED_FACE('',(#21501),#312484,.F.); #316346=ADVANCED_FACE('',(#21502),#312485,.F.); #316347=ADVANCED_FACE('',(#21503),#312486,.F.); #316348=ADVANCED_FACE('',(#21504),#312487,.F.); #316349=ADVANCED_FACE('',(#21505),#312488,.F.); #316350=ADVANCED_FACE('',(#21506),#312489,.F.); #316351=ADVANCED_FACE('',(#21507),#312490,.F.); #316352=ADVANCED_FACE('',(#21508),#312491,.F.); #316353=ADVANCED_FACE('',(#21509),#312492,.F.); #316354=ADVANCED_FACE('',(#21510),#312493,.F.); #316355=ADVANCED_FACE('',(#21511),#312494,.F.); #316356=ADVANCED_FACE('',(#21512),#312495,.F.); #316357=ADVANCED_FACE('',(#21513),#312496,.F.); #316358=ADVANCED_FACE('',(#21514),#312497,.F.); #316359=ADVANCED_FACE('',(#21515),#312498,.F.); #316360=ADVANCED_FACE('',(#21516),#312499,.F.); #316361=ADVANCED_FACE('',(#21517),#312500,.F.); #316362=ADVANCED_FACE('',(#21518),#312501,.F.); #316363=ADVANCED_FACE('',(#21519),#312502,.F.); #316364=ADVANCED_FACE('',(#21520),#312503,.F.); #316365=ADVANCED_FACE('',(#21521),#312504,.F.); #316366=ADVANCED_FACE('',(#21522),#312505,.F.); #316367=ADVANCED_FACE('',(#21523),#312506,.F.); #316368=ADVANCED_FACE('',(#21524),#312507,.F.); #316369=ADVANCED_FACE('',(#21525),#312508,.F.); #316370=ADVANCED_FACE('',(#21526),#312509,.F.); #316371=ADVANCED_FACE('',(#21527),#312510,.F.); #316372=ADVANCED_FACE('',(#21528),#312511,.F.); #316373=ADVANCED_FACE('',(#21529),#312512,.F.); #316374=ADVANCED_FACE('',(#21530),#312513,.F.); #316375=ADVANCED_FACE('',(#21531),#312514,.F.); #316376=ADVANCED_FACE('',(#21532),#312515,.F.); #316377=ADVANCED_FACE('',(#21533),#312516,.F.); #316378=ADVANCED_FACE('',(#21534),#312517,.F.); #316379=ADVANCED_FACE('',(#21535),#312518,.F.); #316380=ADVANCED_FACE('',(#21536),#312519,.F.); #316381=ADVANCED_FACE('',(#21537),#312520,.F.); #316382=ADVANCED_FACE('',(#21538),#312521,.F.); #316383=ADVANCED_FACE('',(#21539),#312522,.F.); #316384=ADVANCED_FACE('',(#21540),#312523,.F.); #316385=ADVANCED_FACE('',(#21541),#312524,.F.); #316386=ADVANCED_FACE('',(#21542),#312525,.F.); #316387=ADVANCED_FACE('',(#21543),#312526,.F.); #316388=ADVANCED_FACE('',(#21544),#312527,.F.); #316389=ADVANCED_FACE('',(#21545),#312528,.F.); #316390=ADVANCED_FACE('',(#21546),#312529,.F.); #316391=ADVANCED_FACE('',(#21547),#312530,.F.); #316392=ADVANCED_FACE('',(#21548),#312531,.F.); #316393=ADVANCED_FACE('',(#21549),#312532,.F.); #316394=ADVANCED_FACE('',(#21550),#312533,.F.); #316395=ADVANCED_FACE('',(#21551),#312534,.F.); #316396=ADVANCED_FACE('',(#21552),#312535,.F.); #316397=ADVANCED_FACE('',(#21553),#312536,.F.); #316398=ADVANCED_FACE('',(#21554),#312537,.F.); #316399=ADVANCED_FACE('',(#21555),#312538,.F.); #316400=ADVANCED_FACE('',(#21556),#312539,.F.); #316401=ADVANCED_FACE('',(#21557),#312540,.F.); #316402=ADVANCED_FACE('',(#21558),#312541,.F.); #316403=ADVANCED_FACE('',(#21559),#312542,.F.); #316404=ADVANCED_FACE('',(#21560),#312543,.F.); #316405=ADVANCED_FACE('',(#21561),#312544,.F.); #316406=ADVANCED_FACE('',(#21562),#312545,.F.); #316407=ADVANCED_FACE('',(#21563),#312546,.F.); #316408=ADVANCED_FACE('',(#21564),#312547,.F.); #316409=ADVANCED_FACE('',(#21565),#312548,.F.); #316410=ADVANCED_FACE('',(#21566),#312549,.F.); #316411=ADVANCED_FACE('',(#21567),#312550,.F.); #316412=ADVANCED_FACE('',(#21568),#312551,.F.); #316413=ADVANCED_FACE('',(#21569),#312552,.F.); #316414=ADVANCED_FACE('',(#21570),#312553,.F.); #316415=ADVANCED_FACE('',(#21571),#312554,.F.); #316416=ADVANCED_FACE('',(#21572),#312555,.F.); #316417=ADVANCED_FACE('',(#21573),#312556,.F.); #316418=ADVANCED_FACE('',(#21574),#312557,.F.); #316419=ADVANCED_FACE('',(#21575),#312558,.F.); #316420=ADVANCED_FACE('',(#21576),#312559,.F.); #316421=ADVANCED_FACE('',(#21577),#312560,.F.); #316422=ADVANCED_FACE('',(#21578),#312561,.F.); #316423=ADVANCED_FACE('',(#21579),#312562,.F.); #316424=ADVANCED_FACE('',(#21580),#312563,.F.); #316425=ADVANCED_FACE('',(#21581),#312564,.F.); #316426=ADVANCED_FACE('',(#21582),#312565,.F.); #316427=ADVANCED_FACE('',(#21583),#312566,.F.); #316428=ADVANCED_FACE('',(#21584),#312567,.F.); #316429=ADVANCED_FACE('',(#21585),#312568,.F.); #316430=ADVANCED_FACE('',(#21586),#312569,.F.); #316431=ADVANCED_FACE('',(#21587),#312570,.F.); #316432=ADVANCED_FACE('',(#21588),#312571,.F.); #316433=ADVANCED_FACE('',(#21589),#312572,.F.); #316434=ADVANCED_FACE('',(#21590),#312573,.F.); #316435=ADVANCED_FACE('',(#21591),#312574,.F.); #316436=ADVANCED_FACE('',(#21592),#312575,.F.); #316437=ADVANCED_FACE('',(#21593),#312576,.F.); #316438=ADVANCED_FACE('',(#21594),#312577,.F.); #316439=ADVANCED_FACE('',(#21595),#312578,.F.); #316440=ADVANCED_FACE('',(#21596),#312579,.F.); #316441=ADVANCED_FACE('',(#21597),#312580,.F.); #316442=ADVANCED_FACE('',(#21598),#312581,.F.); #316443=ADVANCED_FACE('',(#21599),#312582,.F.); #316444=ADVANCED_FACE('',(#21600),#312583,.F.); #316445=ADVANCED_FACE('',(#21601),#312584,.F.); #316446=ADVANCED_FACE('',(#21602),#312585,.F.); #316447=ADVANCED_FACE('',(#21603),#312586,.F.); #316448=ADVANCED_FACE('',(#21604),#312587,.F.); #316449=ADVANCED_FACE('',(#21605),#312588,.F.); #316450=ADVANCED_FACE('',(#21606),#312589,.F.); #316451=ADVANCED_FACE('',(#21607),#312590,.F.); #316452=ADVANCED_FACE('',(#21608),#312591,.F.); #316453=ADVANCED_FACE('',(#21609),#312592,.F.); #316454=ADVANCED_FACE('',(#21610),#312593,.F.); #316455=ADVANCED_FACE('',(#21611),#312594,.F.); #316456=ADVANCED_FACE('',(#21612),#312595,.F.); #316457=ADVANCED_FACE('',(#21613),#312596,.F.); #316458=ADVANCED_FACE('',(#21614),#312597,.F.); #316459=ADVANCED_FACE('',(#21615),#312598,.F.); #316460=ADVANCED_FACE('',(#21616),#312599,.F.); #316461=ADVANCED_FACE('',(#21617),#312600,.F.); #316462=ADVANCED_FACE('',(#21618),#312601,.F.); #316463=ADVANCED_FACE('',(#21619),#312602,.F.); #316464=ADVANCED_FACE('',(#21620),#312603,.F.); #316465=ADVANCED_FACE('',(#21621),#312604,.F.); #316466=ADVANCED_FACE('',(#21622),#312605,.F.); #316467=ADVANCED_FACE('',(#21623),#312606,.F.); #316468=ADVANCED_FACE('',(#21624),#312607,.F.); #316469=ADVANCED_FACE('',(#21625),#312608,.F.); #316470=ADVANCED_FACE('',(#21626),#312609,.F.); #316471=ADVANCED_FACE('',(#21627),#312610,.F.); #316472=ADVANCED_FACE('',(#21628),#312611,.F.); #316473=ADVANCED_FACE('',(#21629),#312612,.F.); #316474=ADVANCED_FACE('',(#21630),#312613,.F.); #316475=ADVANCED_FACE('',(#21631),#312614,.F.); #316476=ADVANCED_FACE('',(#21632),#312615,.F.); #316477=ADVANCED_FACE('',(#21633),#312616,.F.); #316478=ADVANCED_FACE('',(#21634),#312617,.F.); #316479=ADVANCED_FACE('',(#21635),#312618,.F.); #316480=ADVANCED_FACE('',(#21636),#312619,.F.); #316481=ADVANCED_FACE('',(#21637),#312620,.F.); #316482=ADVANCED_FACE('',(#21638),#312621,.F.); #316483=ADVANCED_FACE('',(#21639),#312622,.F.); #316484=ADVANCED_FACE('',(#21640),#312623,.F.); #316485=ADVANCED_FACE('',(#21641),#312624,.F.); #316486=ADVANCED_FACE('',(#21642),#312625,.F.); #316487=ADVANCED_FACE('',(#21643),#312626,.F.); #316488=ADVANCED_FACE('',(#21644),#312627,.F.); #316489=ADVANCED_FACE('',(#21645),#312628,.F.); #316490=ADVANCED_FACE('',(#21646),#312629,.F.); #316491=ADVANCED_FACE('',(#21647),#312630,.F.); #316492=ADVANCED_FACE('',(#21648),#312631,.F.); #316493=ADVANCED_FACE('',(#21649),#312632,.F.); #316494=ADVANCED_FACE('',(#21650),#312633,.F.); #316495=ADVANCED_FACE('',(#21651),#312634,.F.); #316496=ADVANCED_FACE('',(#21652),#312635,.F.); #316497=ADVANCED_FACE('',(#21653),#312636,.F.); #316498=ADVANCED_FACE('',(#21654),#312637,.F.); #316499=ADVANCED_FACE('',(#21655),#312638,.F.); #316500=ADVANCED_FACE('',(#21656),#312639,.F.); #316501=ADVANCED_FACE('',(#21657),#312640,.F.); #316502=ADVANCED_FACE('',(#21658),#312641,.F.); #316503=ADVANCED_FACE('',(#21659),#312642,.F.); #316504=ADVANCED_FACE('',(#21660),#312643,.F.); #316505=ADVANCED_FACE('',(#21661),#312644,.F.); #316506=ADVANCED_FACE('',(#21662),#312645,.F.); #316507=ADVANCED_FACE('',(#21663),#312646,.F.); #316508=ADVANCED_FACE('',(#21664),#312647,.F.); #316509=ADVANCED_FACE('',(#21665),#312648,.F.); #316510=ADVANCED_FACE('',(#21666),#312649,.F.); #316511=ADVANCED_FACE('',(#21667),#312650,.F.); #316512=ADVANCED_FACE('',(#21668),#312651,.F.); #316513=ADVANCED_FACE('',(#21669),#312652,.F.); #316514=ADVANCED_FACE('',(#21670),#312653,.F.); #316515=ADVANCED_FACE('',(#21671),#312654,.F.); #316516=ADVANCED_FACE('',(#21672),#312655,.F.); #316517=ADVANCED_FACE('',(#21673),#312656,.F.); #316518=ADVANCED_FACE('',(#21674),#312657,.F.); #316519=ADVANCED_FACE('',(#21675),#312658,.F.); #316520=ADVANCED_FACE('',(#21676),#312659,.F.); #316521=ADVANCED_FACE('',(#21677),#312660,.F.); #316522=ADVANCED_FACE('',(#21678),#312661,.F.); #316523=ADVANCED_FACE('',(#21679),#312662,.F.); #316524=ADVANCED_FACE('',(#21680),#312663,.F.); #316525=ADVANCED_FACE('',(#21681),#312664,.F.); #316526=ADVANCED_FACE('',(#21682),#312665,.F.); #316527=ADVANCED_FACE('',(#21683),#312666,.F.); #316528=ADVANCED_FACE('',(#21684),#312667,.F.); #316529=ADVANCED_FACE('',(#21685),#312668,.F.); #316530=ADVANCED_FACE('',(#21686),#312669,.F.); #316531=ADVANCED_FACE('',(#21687),#312670,.F.); #316532=ADVANCED_FACE('',(#21688),#312671,.F.); #316533=ADVANCED_FACE('',(#21689),#312672,.F.); #316534=ADVANCED_FACE('',(#21690),#312673,.F.); #316535=ADVANCED_FACE('',(#21691),#312674,.F.); #316536=ADVANCED_FACE('',(#21692),#312675,.F.); #316537=ADVANCED_FACE('',(#21693),#312676,.F.); #316538=ADVANCED_FACE('',(#21694),#312677,.F.); #316539=ADVANCED_FACE('',(#21695),#312678,.F.); #316540=ADVANCED_FACE('',(#21696),#312679,.F.); #316541=ADVANCED_FACE('',(#21697),#312680,.F.); #316542=ADVANCED_FACE('',(#21698),#312681,.F.); #316543=ADVANCED_FACE('',(#21699),#312682,.F.); #316544=ADVANCED_FACE('',(#21700),#312683,.F.); #316545=ADVANCED_FACE('',(#21701),#312684,.F.); #316546=ADVANCED_FACE('',(#21702),#312685,.F.); #316547=ADVANCED_FACE('',(#21703),#312686,.F.); #316548=ADVANCED_FACE('',(#21704),#312687,.F.); #316549=ADVANCED_FACE('',(#21705),#312688,.F.); #316550=ADVANCED_FACE('',(#21706),#312689,.F.); #316551=ADVANCED_FACE('',(#21707),#312690,.F.); #316552=ADVANCED_FACE('',(#21708),#312691,.F.); #316553=ADVANCED_FACE('',(#21709),#312692,.F.); #316554=ADVANCED_FACE('',(#21710),#312693,.F.); #316555=ADVANCED_FACE('',(#21711),#312694,.F.); #316556=ADVANCED_FACE('',(#21712),#312695,.F.); #316557=ADVANCED_FACE('',(#21713),#312696,.T.); #316558=ADVANCED_FACE('',(#21714,#3343,#3344,#3345,#3346,#3347,#3348,#3349, #3350,#3351,#3352,#3353,#3354,#3355,#3356,#3357,#3358,#3359,#3360,#3361, #3362,#3363,#3364,#3365,#3366,#3367,#3368,#3369,#3370,#3371,#3372,#3373, #3374,#3375,#3376,#3377,#3378,#3379,#3380,#3381,#3382,#3383,#3384,#3385, #3386,#3387,#3388,#3389,#3390,#3391,#3392,#3393,#3394,#3395,#3396,#3397, #3398,#3399,#3400,#3401,#3402,#3403,#3404,#3405,#3406,#3407,#3408,#3409, #3410,#3411,#3412,#3413,#3414,#3415,#3416,#3417,#3418,#3419,#3420,#3421, #3422,#3423,#3424,#3425,#3426,#3427,#3428,#3429,#3430,#3431,#3432,#3433, #3434,#3435,#3436,#3437,#3438,#3439,#3440,#3441,#3442,#3443,#3444,#3445, #3446,#3447,#3448,#3449,#3450,#3451,#3452,#3453,#3454,#3455,#3456,#3457, #3458,#3459,#3460,#3461,#3462,#3463,#3464,#3465,#3466,#3467,#3468,#3469, #3470,#3471,#3472,#3473,#3474,#3475,#3476,#3477,#3478,#3479,#3480,#3481, #3482,#3483,#3484,#3485,#3486,#3487,#3488,#3489,#3490,#3491,#3492,#3493, #3494,#3495,#3496,#3497,#3498,#3499,#3500,#3501,#3502,#3503,#3504,#3505, #3506,#3507,#3508,#3509,#3510,#3511,#3512,#3513,#3514,#3515,#3516,#3517, #3518,#3519,#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527,#3528,#3529, #3530,#3531,#3532,#3533,#3534,#3535,#3536,#3537,#3538,#3539,#3540,#3541, #3542,#3543,#3544,#3545,#3546,#3547,#3548,#3549,#3550,#3551,#3552,#3553, #3554,#3555,#3556,#3557,#3558,#3559,#3560,#3561,#3562,#3563,#3564,#3565, #3566,#3567,#3568,#3569,#3570,#3571,#3572,#3573,#3574,#3575,#3576,#3577, #3578,#3579,#3580,#3581,#3582,#3583,#3584,#3585,#3586,#3587,#3588,#3589, #3590,#3591,#3592,#3593,#3594,#3595,#3596,#3597,#3598,#3599,#3600,#3601, #3602,#3603,#3604,#3605,#3606,#3607,#3608,#3609,#3610,#3611,#3612,#3613, #3614,#3615,#3616,#3617,#3618,#3619,#3620,#3621,#3622,#3623,#3624,#3625, #3626,#3627,#3628,#3629,#3630,#3631,#3632,#3633,#3634,#3635,#3636,#3637, #3638,#3639,#3640,#3641,#3642,#3643,#3644,#3645,#3646,#3647,#3648,#3649, #3650,#3651,#3652,#3653,#3654,#3655,#3656,#3657,#3658,#3659,#3660,#3661, #3662,#3663,#3664,#3665,#3666,#3667,#3668,#3669,#3670,#3671,#3672,#3673, #3674),#7888,.T.); #316559=ADVANCED_FACE('',(#21715,#3675,#3676,#3677,#3678,#3679,#3680,#3681, #3682,#3683,#3684,#3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692,#3693, #3694,#3695,#3696,#3697,#3698,#3699,#3700,#3701,#3702,#3703,#3704,#3705, #3706,#3707,#3708,#3709,#3710,#3711,#3712,#3713,#3714,#3715,#3716,#3717, #3718,#3719,#3720,#3721,#3722,#3723,#3724,#3725,#3726,#3727,#3728,#3729, #3730,#3731,#3732,#3733,#3734,#3735,#3736,#3737,#3738,#3739,#3740,#3741, #3742,#3743,#3744,#3745,#3746,#3747,#3748,#3749,#3750,#3751,#3752,#3753, #3754,#3755,#3756,#3757,#3758,#3759,#3760,#3761,#3762,#3763,#3764,#3765, #3766,#3767,#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775,#3776,#3777, #3778,#3779,#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788,#3789, #3790,#3791,#3792,#3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800,#3801, #3802,#3803,#3804,#3805,#3806,#3807,#3808,#3809,#3810,#3811,#3812,#3813, #3814,#3815,#3816,#3817,#3818,#3819,#3820,#3821,#3822,#3823,#3824,#3825, #3826,#3827,#3828,#3829,#3830,#3831,#3832,#3833,#3834,#3835,#3836,#3837, #3838,#3839,#3840,#3841,#3842,#3843,#3844,#3845,#3846,#3847,#3848,#3849, #3850,#3851,#3852,#3853,#3854,#3855,#3856,#3857,#3858,#3859,#3860,#3861, #3862,#3863,#3864,#3865,#3866,#3867,#3868,#3869,#3870,#3871,#3872,#3873, #3874,#3875,#3876,#3877,#3878,#3879,#3880,#3881,#3882,#3883,#3884,#3885, #3886,#3887,#3888,#3889,#3890,#3891,#3892,#3893,#3894,#3895,#3896,#3897, #3898,#3899,#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907,#3908,#3909, #3910,#3911,#3912,#3913,#3914,#3915,#3916,#3917,#3918,#3919,#3920,#3921, #3922,#3923,#3924,#3925,#3926,#3927,#3928,#3929,#3930,#3931,#3932,#3933, #3934,#3935,#3936,#3937,#3938,#3939,#3940,#3941,#3942,#3943,#3944,#3945, #3946,#3947,#3948,#3949,#3950,#3951,#3952,#3953,#3954,#3955,#3956,#3957, #3958,#3959,#3960,#3961,#3962,#3963,#3964,#3965,#3966,#3967,#3968,#3969, #3970,#3971,#3972,#3973,#3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981, #3982,#3983,#3984,#3985,#3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993, #3994,#3995,#3996,#3997,#3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005, #4006),#7889,.F.); #316560=ADVANCED_FACE('',(#21716),#312697,.F.); #316561=ADVANCED_FACE('',(#21717),#312698,.T.); #316562=ADVANCED_FACE('',(#21718,#4007),#7890,.T.); #316563=ADVANCED_FACE('',(#21719,#4008),#7891,.F.); #316564=ADVANCED_FACE('',(#21720),#312699,.F.); #316565=ADVANCED_FACE('',(#21721),#312700,.T.); #316566=ADVANCED_FACE('',(#21722,#4009),#7892,.T.); #316567=ADVANCED_FACE('',(#21723,#4010),#7893,.F.); #316568=ADVANCED_FACE('',(#21724),#312701,.F.); #316569=ADVANCED_FACE('',(#21725),#312702,.T.); #316570=ADVANCED_FACE('',(#21726,#4011),#7894,.T.); #316571=ADVANCED_FACE('',(#21727,#4012),#7895,.F.); #316572=ADVANCED_FACE('',(#21728),#312703,.F.); #316573=ADVANCED_FACE('',(#21729),#312704,.T.); #316574=ADVANCED_FACE('',(#21730,#4013),#7896,.T.); #316575=ADVANCED_FACE('',(#21731,#4014),#7897,.F.); #316576=ADVANCED_FACE('',(#21732),#312705,.F.); #316577=ADVANCED_FACE('',(#21733),#312706,.T.); #316578=ADVANCED_FACE('',(#21734,#4015),#7898,.T.); #316579=ADVANCED_FACE('',(#21735,#4016),#7899,.F.); #316580=ADVANCED_FACE('',(#21736),#312707,.F.); #316581=ADVANCED_FACE('',(#21737),#312708,.T.); #316582=ADVANCED_FACE('',(#21738,#4017),#7900,.T.); #316583=ADVANCED_FACE('',(#21739,#4018),#7901,.F.); #316584=ADVANCED_FACE('',(#21740),#312709,.F.); #316585=ADVANCED_FACE('',(#21741),#312710,.T.); #316586=ADVANCED_FACE('',(#21742,#4019),#7902,.T.); #316587=ADVANCED_FACE('',(#21743,#4020),#7903,.F.); #316588=ADVANCED_FACE('',(#21744),#312711,.F.); #316589=ADVANCED_FACE('',(#21745),#312712,.T.); #316590=ADVANCED_FACE('',(#21746,#4021),#7904,.T.); #316591=ADVANCED_FACE('',(#21747,#4022),#7905,.F.); #316592=ADVANCED_FACE('',(#21748),#312713,.F.); #316593=ADVANCED_FACE('',(#21749),#312714,.T.); #316594=ADVANCED_FACE('',(#21750,#4023),#7906,.T.); #316595=ADVANCED_FACE('',(#21751,#4024),#7907,.F.); #316596=ADVANCED_FACE('',(#21752),#312715,.F.); #316597=ADVANCED_FACE('',(#21753),#312716,.T.); #316598=ADVANCED_FACE('',(#21754,#4025),#7908,.T.); #316599=ADVANCED_FACE('',(#21755,#4026),#7909,.F.); #316600=ADVANCED_FACE('',(#21756),#312717,.F.); #316601=ADVANCED_FACE('',(#21757),#312718,.T.); #316602=ADVANCED_FACE('',(#21758,#4027),#7910,.T.); #316603=ADVANCED_FACE('',(#21759,#4028),#7911,.F.); #316604=ADVANCED_FACE('',(#21760),#312719,.F.); #316605=ADVANCED_FACE('',(#21761),#312720,.T.); #316606=ADVANCED_FACE('',(#21762,#4029),#7912,.T.); #316607=ADVANCED_FACE('',(#21763,#4030),#7913,.F.); #316608=ADVANCED_FACE('',(#21764),#312721,.F.); #316609=ADVANCED_FACE('',(#21765),#312722,.T.); #316610=ADVANCED_FACE('',(#21766,#4031),#7914,.T.); #316611=ADVANCED_FACE('',(#21767,#4032),#7915,.F.); #316612=ADVANCED_FACE('',(#21768),#312723,.F.); #316613=ADVANCED_FACE('',(#21769),#312724,.T.); #316614=ADVANCED_FACE('',(#21770,#4033),#7916,.T.); #316615=ADVANCED_FACE('',(#21771,#4034),#7917,.F.); #316616=ADVANCED_FACE('',(#21772),#312725,.F.); #316617=ADVANCED_FACE('',(#21773),#312726,.T.); #316618=ADVANCED_FACE('',(#21774,#4035),#7918,.T.); #316619=ADVANCED_FACE('',(#21775,#4036),#7919,.F.); #316620=ADVANCED_FACE('',(#21776),#312727,.F.); #316621=ADVANCED_FACE('',(#21777),#312728,.T.); #316622=ADVANCED_FACE('',(#21778,#4037),#7920,.T.); #316623=ADVANCED_FACE('',(#21779,#4038),#7921,.F.); #316624=ADVANCED_FACE('',(#21780),#312729,.F.); #316625=ADVANCED_FACE('',(#21781),#312730,.T.); #316626=ADVANCED_FACE('',(#21782,#4039),#7922,.T.); #316627=ADVANCED_FACE('',(#21783,#4040),#7923,.F.); #316628=ADVANCED_FACE('',(#21784),#312731,.F.); #316629=ADVANCED_FACE('',(#21785),#312732,.T.); #316630=ADVANCED_FACE('',(#21786,#4041),#7924,.T.); #316631=ADVANCED_FACE('',(#21787,#4042),#7925,.F.); #316632=ADVANCED_FACE('',(#21788),#312733,.F.); #316633=ADVANCED_FACE('',(#21789),#312734,.T.); #316634=ADVANCED_FACE('',(#21790,#4043),#7926,.T.); #316635=ADVANCED_FACE('',(#21791,#4044),#7927,.F.); #316636=ADVANCED_FACE('',(#21792),#312735,.F.); #316637=ADVANCED_FACE('',(#21793),#312736,.T.); #316638=ADVANCED_FACE('',(#21794,#4045),#7928,.T.); #316639=ADVANCED_FACE('',(#21795,#4046),#7929,.F.); #316640=ADVANCED_FACE('',(#21796),#312737,.F.); #316641=ADVANCED_FACE('',(#21797),#312738,.T.); #316642=ADVANCED_FACE('',(#21798,#4047),#7930,.T.); #316643=ADVANCED_FACE('',(#21799,#4048),#7931,.F.); #316644=ADVANCED_FACE('',(#21800),#312739,.F.); #316645=ADVANCED_FACE('',(#21801),#312740,.T.); #316646=ADVANCED_FACE('',(#21802,#4049),#7932,.T.); #316647=ADVANCED_FACE('',(#21803,#4050),#7933,.F.); #316648=ADVANCED_FACE('',(#21804),#312741,.F.); #316649=ADVANCED_FACE('',(#21805),#312742,.T.); #316650=ADVANCED_FACE('',(#21806,#4051),#7934,.T.); #316651=ADVANCED_FACE('',(#21807,#4052),#7935,.F.); #316652=ADVANCED_FACE('',(#21808),#312743,.F.); #316653=ADVANCED_FACE('',(#21809),#312744,.T.); #316654=ADVANCED_FACE('',(#21810,#4053),#7936,.T.); #316655=ADVANCED_FACE('',(#21811,#4054),#7937,.F.); #316656=ADVANCED_FACE('',(#21812),#312745,.F.); #316657=ADVANCED_FACE('',(#21813),#312746,.T.); #316658=ADVANCED_FACE('',(#21814,#4055),#7938,.T.); #316659=ADVANCED_FACE('',(#21815,#4056),#7939,.F.); #316660=ADVANCED_FACE('',(#21816),#312747,.F.); #316661=ADVANCED_FACE('',(#21817),#312748,.T.); #316662=ADVANCED_FACE('',(#21818,#4057),#7940,.T.); #316663=ADVANCED_FACE('',(#21819,#4058),#7941,.F.); #316664=ADVANCED_FACE('',(#21820),#312749,.F.); #316665=ADVANCED_FACE('',(#21821),#312750,.T.); #316666=ADVANCED_FACE('',(#21822,#4059),#7942,.T.); #316667=ADVANCED_FACE('',(#21823,#4060),#7943,.F.); #316668=ADVANCED_FACE('',(#21824),#312751,.F.); #316669=ADVANCED_FACE('',(#21825),#312752,.T.); #316670=ADVANCED_FACE('',(#21826,#4061),#7944,.T.); #316671=ADVANCED_FACE('',(#21827,#4062),#7945,.F.); #316672=ADVANCED_FACE('',(#21828),#312753,.F.); #316673=ADVANCED_FACE('',(#21829),#312754,.T.); #316674=ADVANCED_FACE('',(#21830,#4063),#7946,.T.); #316675=ADVANCED_FACE('',(#21831,#4064),#7947,.F.); #316676=ADVANCED_FACE('',(#21832),#312755,.F.); #316677=ADVANCED_FACE('',(#21833),#312756,.T.); #316678=ADVANCED_FACE('',(#21834,#4065),#7948,.T.); #316679=ADVANCED_FACE('',(#21835,#4066),#7949,.F.); #316680=ADVANCED_FACE('',(#21836),#312757,.F.); #316681=ADVANCED_FACE('',(#21837),#312758,.T.); #316682=ADVANCED_FACE('',(#21838,#4067),#7950,.T.); #316683=ADVANCED_FACE('',(#21839,#4068),#7951,.F.); #316684=ADVANCED_FACE('',(#21840),#312759,.F.); #316685=ADVANCED_FACE('',(#21841),#312760,.T.); #316686=ADVANCED_FACE('',(#21842,#4069),#7952,.T.); #316687=ADVANCED_FACE('',(#21843,#4070),#7953,.F.); #316688=ADVANCED_FACE('',(#21844),#312761,.F.); #316689=ADVANCED_FACE('',(#21845),#312762,.T.); #316690=ADVANCED_FACE('',(#21846,#4071),#7954,.T.); #316691=ADVANCED_FACE('',(#21847,#4072),#7955,.F.); #316692=ADVANCED_FACE('',(#21848),#312763,.F.); #316693=ADVANCED_FACE('',(#21849),#312764,.T.); #316694=ADVANCED_FACE('',(#21850,#4073),#7956,.T.); #316695=ADVANCED_FACE('',(#21851,#4074),#7957,.F.); #316696=ADVANCED_FACE('',(#21852),#312765,.F.); #316697=ADVANCED_FACE('',(#21853),#312766,.T.); #316698=ADVANCED_FACE('',(#21854,#4075),#7958,.T.); #316699=ADVANCED_FACE('',(#21855,#4076),#7959,.F.); #316700=ADVANCED_FACE('',(#21856),#312767,.F.); #316701=ADVANCED_FACE('',(#21857),#312768,.T.); #316702=ADVANCED_FACE('',(#21858,#4077),#7960,.T.); #316703=ADVANCED_FACE('',(#21859,#4078),#7961,.F.); #316704=ADVANCED_FACE('',(#21860),#312769,.F.); #316705=ADVANCED_FACE('',(#21861),#312770,.T.); #316706=ADVANCED_FACE('',(#21862,#4079),#7962,.T.); #316707=ADVANCED_FACE('',(#21863,#4080),#7963,.F.); #316708=ADVANCED_FACE('',(#21864),#312771,.F.); #316709=ADVANCED_FACE('',(#21865),#312772,.T.); #316710=ADVANCED_FACE('',(#21866,#4081),#7964,.T.); #316711=ADVANCED_FACE('',(#21867,#4082),#7965,.F.); #316712=ADVANCED_FACE('',(#21868),#312773,.F.); #316713=ADVANCED_FACE('',(#21869),#312774,.T.); #316714=ADVANCED_FACE('',(#21870,#4083),#7966,.T.); #316715=ADVANCED_FACE('',(#21871,#4084),#7967,.F.); #316716=ADVANCED_FACE('',(#21872),#312775,.F.); #316717=ADVANCED_FACE('',(#21873),#312776,.T.); #316718=ADVANCED_FACE('',(#21874,#4085),#7968,.T.); #316719=ADVANCED_FACE('',(#21875,#4086),#7969,.F.); #316720=ADVANCED_FACE('',(#21876),#312777,.F.); #316721=ADVANCED_FACE('',(#21877),#312778,.T.); #316722=ADVANCED_FACE('',(#21878,#4087),#7970,.T.); #316723=ADVANCED_FACE('',(#21879,#4088),#7971,.F.); #316724=ADVANCED_FACE('',(#21880),#312779,.F.); #316725=ADVANCED_FACE('',(#21881),#312780,.T.); #316726=ADVANCED_FACE('',(#21882,#4089),#7972,.T.); #316727=ADVANCED_FACE('',(#21883,#4090),#7973,.F.); #316728=ADVANCED_FACE('',(#21884),#312781,.F.); #316729=ADVANCED_FACE('',(#21885),#312782,.T.); #316730=ADVANCED_FACE('',(#21886,#4091),#7974,.T.); #316731=ADVANCED_FACE('',(#21887,#4092),#7975,.F.); #316732=ADVANCED_FACE('',(#21888),#312783,.F.); #316733=ADVANCED_FACE('',(#21889),#312784,.T.); #316734=ADVANCED_FACE('',(#21890,#4093),#7976,.T.); #316735=ADVANCED_FACE('',(#21891,#4094),#7977,.F.); #316736=ADVANCED_FACE('',(#21892),#312785,.F.); #316737=ADVANCED_FACE('',(#21893),#312786,.T.); #316738=ADVANCED_FACE('',(#21894,#4095),#7978,.T.); #316739=ADVANCED_FACE('',(#21895,#4096),#7979,.F.); #316740=ADVANCED_FACE('',(#21896),#312787,.F.); #316741=ADVANCED_FACE('',(#21897),#312788,.T.); #316742=ADVANCED_FACE('',(#21898,#4097),#7980,.T.); #316743=ADVANCED_FACE('',(#21899,#4098),#7981,.F.); #316744=ADVANCED_FACE('',(#21900),#312789,.F.); #316745=ADVANCED_FACE('',(#21901),#312790,.T.); #316746=ADVANCED_FACE('',(#21902,#4099),#7982,.T.); #316747=ADVANCED_FACE('',(#21903,#4100),#7983,.F.); #316748=ADVANCED_FACE('',(#21904),#312791,.F.); #316749=ADVANCED_FACE('',(#21905),#312792,.T.); #316750=ADVANCED_FACE('',(#21906,#4101),#7984,.T.); #316751=ADVANCED_FACE('',(#21907,#4102),#7985,.F.); #316752=ADVANCED_FACE('',(#21908),#312793,.F.); #316753=ADVANCED_FACE('',(#21909),#312794,.T.); #316754=ADVANCED_FACE('',(#21910,#4103),#7986,.T.); #316755=ADVANCED_FACE('',(#21911,#4104),#7987,.F.); #316756=ADVANCED_FACE('',(#21912),#312795,.F.); #316757=ADVANCED_FACE('',(#21913),#312796,.T.); #316758=ADVANCED_FACE('',(#21914,#4105),#7988,.T.); #316759=ADVANCED_FACE('',(#21915,#4106),#7989,.F.); #316760=ADVANCED_FACE('',(#21916),#312797,.F.); #316761=ADVANCED_FACE('',(#21917),#312798,.T.); #316762=ADVANCED_FACE('',(#21918,#4107),#7990,.T.); #316763=ADVANCED_FACE('',(#21919,#4108),#7991,.F.); #316764=ADVANCED_FACE('',(#21920),#312799,.F.); #316765=ADVANCED_FACE('',(#21921),#312800,.T.); #316766=ADVANCED_FACE('',(#21922,#4109),#7992,.T.); #316767=ADVANCED_FACE('',(#21923,#4110),#7993,.F.); #316768=ADVANCED_FACE('',(#21924),#312801,.F.); #316769=ADVANCED_FACE('',(#21925),#312802,.T.); #316770=ADVANCED_FACE('',(#21926,#4111),#7994,.T.); #316771=ADVANCED_FACE('',(#21927,#4112),#7995,.F.); #316772=ADVANCED_FACE('',(#21928),#312803,.F.); #316773=ADVANCED_FACE('',(#21929),#312804,.T.); #316774=ADVANCED_FACE('',(#21930,#4113),#7996,.T.); #316775=ADVANCED_FACE('',(#21931,#4114),#7997,.F.); #316776=ADVANCED_FACE('',(#21932),#312805,.F.); #316777=ADVANCED_FACE('',(#21933),#312806,.T.); #316778=ADVANCED_FACE('',(#21934,#4115),#7998,.T.); #316779=ADVANCED_FACE('',(#21935,#4116),#7999,.F.); #316780=ADVANCED_FACE('',(#21936),#312807,.F.); #316781=ADVANCED_FACE('',(#21937),#312808,.T.); #316782=ADVANCED_FACE('',(#21938,#4117),#8000,.T.); #316783=ADVANCED_FACE('',(#21939,#4118),#8001,.F.); #316784=ADVANCED_FACE('',(#21940),#312809,.F.); #316785=ADVANCED_FACE('',(#21941),#312810,.T.); #316786=ADVANCED_FACE('',(#21942,#4119),#8002,.T.); #316787=ADVANCED_FACE('',(#21943,#4120),#8003,.F.); #316788=ADVANCED_FACE('',(#21944),#312811,.F.); #316789=ADVANCED_FACE('',(#21945),#312812,.T.); #316790=ADVANCED_FACE('',(#21946,#4121),#8004,.T.); #316791=ADVANCED_FACE('',(#21947,#4122),#8005,.F.); #316792=ADVANCED_FACE('',(#21948),#312813,.F.); #316793=ADVANCED_FACE('',(#21949),#312814,.T.); #316794=ADVANCED_FACE('',(#21950,#4123),#8006,.T.); #316795=ADVANCED_FACE('',(#21951,#4124),#8007,.F.); #316796=ADVANCED_FACE('',(#21952),#312815,.F.); #316797=ADVANCED_FACE('',(#21953),#312816,.T.); #316798=ADVANCED_FACE('',(#21954,#4125),#8008,.T.); #316799=ADVANCED_FACE('',(#21955,#4126),#8009,.F.); #316800=ADVANCED_FACE('',(#21956),#312817,.F.); #316801=ADVANCED_FACE('',(#21957),#312818,.T.); #316802=ADVANCED_FACE('',(#21958,#4127),#8010,.T.); #316803=ADVANCED_FACE('',(#21959,#4128),#8011,.F.); #316804=ADVANCED_FACE('',(#21960),#312819,.F.); #316805=ADVANCED_FACE('',(#21961),#312820,.T.); #316806=ADVANCED_FACE('',(#21962,#4129),#8012,.T.); #316807=ADVANCED_FACE('',(#21963,#4130),#8013,.F.); #316808=ADVANCED_FACE('',(#21964),#312821,.F.); #316809=ADVANCED_FACE('',(#21965),#312822,.T.); #316810=ADVANCED_FACE('',(#21966,#4131),#8014,.T.); #316811=ADVANCED_FACE('',(#21967,#4132),#8015,.F.); #316812=ADVANCED_FACE('',(#21968),#312823,.F.); #316813=ADVANCED_FACE('',(#21969),#312824,.T.); #316814=ADVANCED_FACE('',(#21970,#4133),#8016,.T.); #316815=ADVANCED_FACE('',(#21971,#4134),#8017,.F.); #316816=ADVANCED_FACE('',(#21972),#312825,.F.); #316817=ADVANCED_FACE('',(#21973),#312826,.T.); #316818=ADVANCED_FACE('',(#21974,#4135),#8018,.T.); #316819=ADVANCED_FACE('',(#21975,#4136),#8019,.F.); #316820=ADVANCED_FACE('',(#21976),#312827,.F.); #316821=ADVANCED_FACE('',(#21977),#312828,.T.); #316822=ADVANCED_FACE('',(#21978,#4137),#8020,.T.); #316823=ADVANCED_FACE('',(#21979,#4138),#8021,.F.); #316824=ADVANCED_FACE('',(#21980),#312829,.F.); #316825=ADVANCED_FACE('',(#21981),#312830,.T.); #316826=ADVANCED_FACE('',(#21982,#4139),#8022,.T.); #316827=ADVANCED_FACE('',(#21983,#4140),#8023,.F.); #316828=ADVANCED_FACE('',(#21984),#312831,.F.); #316829=ADVANCED_FACE('',(#21985),#312832,.T.); #316830=ADVANCED_FACE('',(#21986,#4141),#8024,.T.); #316831=ADVANCED_FACE('',(#21987,#4142),#8025,.F.); #316832=ADVANCED_FACE('',(#21988),#312833,.F.); #316833=ADVANCED_FACE('',(#21989),#312834,.T.); #316834=ADVANCED_FACE('',(#21990,#4143),#8026,.T.); #316835=ADVANCED_FACE('',(#21991,#4144),#8027,.F.); #316836=ADVANCED_FACE('',(#21992),#312835,.F.); #316837=ADVANCED_FACE('',(#21993),#312836,.T.); #316838=ADVANCED_FACE('',(#21994,#4145),#8028,.T.); #316839=ADVANCED_FACE('',(#21995,#4146),#8029,.F.); #316840=ADVANCED_FACE('',(#21996),#312837,.F.); #316841=ADVANCED_FACE('',(#21997),#312838,.T.); #316842=ADVANCED_FACE('',(#21998,#4147),#8030,.T.); #316843=ADVANCED_FACE('',(#21999,#4148),#8031,.F.); #316844=ADVANCED_FACE('',(#22000),#312839,.F.); #316845=ADVANCED_FACE('',(#22001),#312840,.T.); #316846=ADVANCED_FACE('',(#22002,#4149),#8032,.T.); #316847=ADVANCED_FACE('',(#22003,#4150),#8033,.F.); #316848=ADVANCED_FACE('',(#22004),#312841,.F.); #316849=ADVANCED_FACE('',(#22005),#312842,.T.); #316850=ADVANCED_FACE('',(#22006,#4151),#8034,.T.); #316851=ADVANCED_FACE('',(#22007,#4152),#8035,.F.); #316852=ADVANCED_FACE('',(#22008),#312843,.F.); #316853=ADVANCED_FACE('',(#22009),#312844,.T.); #316854=ADVANCED_FACE('',(#22010,#4153),#8036,.T.); #316855=ADVANCED_FACE('',(#22011,#4154),#8037,.F.); #316856=ADVANCED_FACE('',(#22012),#312845,.F.); #316857=ADVANCED_FACE('',(#22013),#312846,.T.); #316858=ADVANCED_FACE('',(#22014,#4155),#8038,.T.); #316859=ADVANCED_FACE('',(#22015,#4156),#8039,.F.); #316860=ADVANCED_FACE('',(#22016),#312847,.F.); #316861=ADVANCED_FACE('',(#22017),#312848,.T.); #316862=ADVANCED_FACE('',(#22018,#4157),#8040,.T.); #316863=ADVANCED_FACE('',(#22019,#4158),#8041,.F.); #316864=ADVANCED_FACE('',(#22020),#312849,.F.); #316865=ADVANCED_FACE('',(#22021),#312850,.T.); #316866=ADVANCED_FACE('',(#22022,#4159),#8042,.T.); #316867=ADVANCED_FACE('',(#22023,#4160),#8043,.F.); #316868=ADVANCED_FACE('',(#22024),#312851,.F.); #316869=ADVANCED_FACE('',(#22025),#312852,.T.); #316870=ADVANCED_FACE('',(#22026,#4161),#8044,.T.); #316871=ADVANCED_FACE('',(#22027,#4162),#8045,.F.); #316872=ADVANCED_FACE('',(#22028),#312853,.F.); #316873=ADVANCED_FACE('',(#22029),#312854,.T.); #316874=ADVANCED_FACE('',(#22030,#4163),#8046,.T.); #316875=ADVANCED_FACE('',(#22031,#4164),#8047,.F.); #316876=ADVANCED_FACE('',(#22032),#312855,.F.); #316877=ADVANCED_FACE('',(#22033),#312856,.T.); #316878=ADVANCED_FACE('',(#22034,#4165),#8048,.T.); #316879=ADVANCED_FACE('',(#22035,#4166),#8049,.F.); #316880=ADVANCED_FACE('',(#22036),#312857,.F.); #316881=ADVANCED_FACE('',(#22037),#312858,.T.); #316882=ADVANCED_FACE('',(#22038,#4167),#8050,.T.); #316883=ADVANCED_FACE('',(#22039,#4168),#8051,.F.); #316884=ADVANCED_FACE('',(#22040),#312859,.F.); #316885=ADVANCED_FACE('',(#22041),#312860,.T.); #316886=ADVANCED_FACE('',(#22042,#4169),#8052,.T.); #316887=ADVANCED_FACE('',(#22043,#4170),#8053,.F.); #316888=ADVANCED_FACE('',(#22044),#312861,.F.); #316889=ADVANCED_FACE('',(#22045),#312862,.T.); #316890=ADVANCED_FACE('',(#22046,#4171),#8054,.T.); #316891=ADVANCED_FACE('',(#22047,#4172),#8055,.F.); #316892=ADVANCED_FACE('',(#22048),#312863,.F.); #316893=ADVANCED_FACE('',(#22049),#312864,.T.); #316894=ADVANCED_FACE('',(#22050,#4173),#8056,.T.); #316895=ADVANCED_FACE('',(#22051,#4174),#8057,.F.); #316896=ADVANCED_FACE('',(#22052),#312865,.F.); #316897=ADVANCED_FACE('',(#22053),#312866,.T.); #316898=ADVANCED_FACE('',(#22054,#4175),#8058,.T.); #316899=ADVANCED_FACE('',(#22055,#4176),#8059,.F.); #316900=ADVANCED_FACE('',(#22056),#312867,.F.); #316901=ADVANCED_FACE('',(#22057),#312868,.T.); #316902=ADVANCED_FACE('',(#22058,#4177),#8060,.T.); #316903=ADVANCED_FACE('',(#22059,#4178),#8061,.F.); #316904=ADVANCED_FACE('',(#22060),#312869,.F.); #316905=ADVANCED_FACE('',(#22061),#312870,.T.); #316906=ADVANCED_FACE('',(#22062,#4179),#8062,.T.); #316907=ADVANCED_FACE('',(#22063,#4180),#8063,.F.); #316908=ADVANCED_FACE('',(#22064),#312871,.F.); #316909=ADVANCED_FACE('',(#22065),#312872,.T.); #316910=ADVANCED_FACE('',(#22066,#4181),#8064,.T.); #316911=ADVANCED_FACE('',(#22067,#4182),#8065,.F.); #316912=ADVANCED_FACE('',(#22068),#312873,.F.); #316913=ADVANCED_FACE('',(#22069),#312874,.T.); #316914=ADVANCED_FACE('',(#22070,#4183),#8066,.T.); #316915=ADVANCED_FACE('',(#22071,#4184),#8067,.F.); #316916=ADVANCED_FACE('',(#22072),#312875,.F.); #316917=ADVANCED_FACE('',(#22073),#312876,.T.); #316918=ADVANCED_FACE('',(#22074,#4185),#8068,.T.); #316919=ADVANCED_FACE('',(#22075,#4186),#8069,.F.); #316920=ADVANCED_FACE('',(#22076),#312877,.F.); #316921=ADVANCED_FACE('',(#22077),#312878,.T.); #316922=ADVANCED_FACE('',(#22078,#4187),#8070,.T.); #316923=ADVANCED_FACE('',(#22079,#4188),#8071,.F.); #316924=ADVANCED_FACE('',(#22080),#312879,.F.); #316925=ADVANCED_FACE('',(#22081),#312880,.T.); #316926=ADVANCED_FACE('',(#22082,#4189),#8072,.T.); #316927=ADVANCED_FACE('',(#22083,#4190),#8073,.F.); #316928=ADVANCED_FACE('',(#22084),#312881,.F.); #316929=ADVANCED_FACE('',(#22085),#312882,.T.); #316930=ADVANCED_FACE('',(#22086,#4191),#8074,.T.); #316931=ADVANCED_FACE('',(#22087,#4192),#8075,.F.); #316932=ADVANCED_FACE('',(#22088),#312883,.F.); #316933=ADVANCED_FACE('',(#22089),#312884,.T.); #316934=ADVANCED_FACE('',(#22090,#4193),#8076,.T.); #316935=ADVANCED_FACE('',(#22091,#4194),#8077,.F.); #316936=ADVANCED_FACE('',(#22092),#312885,.F.); #316937=ADVANCED_FACE('',(#22093),#312886,.T.); #316938=ADVANCED_FACE('',(#22094,#4195),#8078,.T.); #316939=ADVANCED_FACE('',(#22095,#4196),#8079,.F.); #316940=ADVANCED_FACE('',(#22096),#312887,.F.); #316941=ADVANCED_FACE('',(#22097),#312888,.T.); #316942=ADVANCED_FACE('',(#22098,#4197),#8080,.T.); #316943=ADVANCED_FACE('',(#22099,#4198),#8081,.F.); #316944=ADVANCED_FACE('',(#22100),#312889,.F.); #316945=ADVANCED_FACE('',(#22101),#312890,.T.); #316946=ADVANCED_FACE('',(#22102,#4199),#8082,.T.); #316947=ADVANCED_FACE('',(#22103,#4200),#8083,.F.); #316948=ADVANCED_FACE('',(#22104),#312891,.F.); #316949=ADVANCED_FACE('',(#22105),#312892,.T.); #316950=ADVANCED_FACE('',(#22106,#4201),#8084,.T.); #316951=ADVANCED_FACE('',(#22107,#4202),#8085,.F.); #316952=ADVANCED_FACE('',(#22108),#312893,.F.); #316953=ADVANCED_FACE('',(#22109),#312894,.T.); #316954=ADVANCED_FACE('',(#22110,#4203),#8086,.T.); #316955=ADVANCED_FACE('',(#22111,#4204),#8087,.F.); #316956=ADVANCED_FACE('',(#22112),#312895,.F.); #316957=ADVANCED_FACE('',(#22113),#312896,.T.); #316958=ADVANCED_FACE('',(#22114,#4205),#8088,.T.); #316959=ADVANCED_FACE('',(#22115,#4206),#8089,.F.); #316960=ADVANCED_FACE('',(#22116),#312897,.F.); #316961=ADVANCED_FACE('',(#22117),#312898,.T.); #316962=ADVANCED_FACE('',(#22118,#4207),#8090,.T.); #316963=ADVANCED_FACE('',(#22119,#4208),#8091,.F.); #316964=ADVANCED_FACE('',(#22120),#312899,.F.); #316965=ADVANCED_FACE('',(#22121),#312900,.T.); #316966=ADVANCED_FACE('',(#22122,#4209),#8092,.T.); #316967=ADVANCED_FACE('',(#22123,#4210),#8093,.F.); #316968=ADVANCED_FACE('',(#22124),#312901,.F.); #316969=ADVANCED_FACE('',(#22125),#312902,.T.); #316970=ADVANCED_FACE('',(#22126,#4211),#8094,.T.); #316971=ADVANCED_FACE('',(#22127,#4212),#8095,.F.); #316972=ADVANCED_FACE('',(#22128),#312903,.F.); #316973=ADVANCED_FACE('',(#22129),#312904,.T.); #316974=ADVANCED_FACE('',(#22130,#4213),#8096,.T.); #316975=ADVANCED_FACE('',(#22131,#4214),#8097,.F.); #316976=ADVANCED_FACE('',(#22132),#312905,.F.); #316977=ADVANCED_FACE('',(#22133),#312906,.T.); #316978=ADVANCED_FACE('',(#22134,#4215),#8098,.T.); #316979=ADVANCED_FACE('',(#22135,#4216),#8099,.F.); #316980=ADVANCED_FACE('',(#22136),#312907,.F.); #316981=ADVANCED_FACE('',(#22137),#312908,.T.); #316982=ADVANCED_FACE('',(#22138,#4217),#8100,.T.); #316983=ADVANCED_FACE('',(#22139,#4218),#8101,.F.); #316984=ADVANCED_FACE('',(#22140),#312909,.F.); #316985=ADVANCED_FACE('',(#22141),#312910,.T.); #316986=ADVANCED_FACE('',(#22142,#4219),#8102,.T.); #316987=ADVANCED_FACE('',(#22143,#4220),#8103,.F.); #316988=ADVANCED_FACE('',(#22144),#312911,.F.); #316989=ADVANCED_FACE('',(#22145),#312912,.T.); #316990=ADVANCED_FACE('',(#22146,#4221),#8104,.T.); #316991=ADVANCED_FACE('',(#22147,#4222),#8105,.F.); #316992=ADVANCED_FACE('',(#22148),#312913,.F.); #316993=ADVANCED_FACE('',(#22149),#312914,.T.); #316994=ADVANCED_FACE('',(#22150,#4223),#8106,.T.); #316995=ADVANCED_FACE('',(#22151,#4224),#8107,.F.); #316996=ADVANCED_FACE('',(#22152),#312915,.F.); #316997=ADVANCED_FACE('',(#22153),#312916,.T.); #316998=ADVANCED_FACE('',(#22154,#4225),#8108,.T.); #316999=ADVANCED_FACE('',(#22155,#4226),#8109,.F.); #317000=ADVANCED_FACE('',(#22156),#312917,.F.); #317001=ADVANCED_FACE('',(#22157),#312918,.T.); #317002=ADVANCED_FACE('',(#22158,#4227),#8110,.T.); #317003=ADVANCED_FACE('',(#22159,#4228),#8111,.F.); #317004=ADVANCED_FACE('',(#22160),#312919,.F.); #317005=ADVANCED_FACE('',(#22161),#312920,.T.); #317006=ADVANCED_FACE('',(#22162,#4229),#8112,.T.); #317007=ADVANCED_FACE('',(#22163,#4230),#8113,.F.); #317008=ADVANCED_FACE('',(#22164),#312921,.F.); #317009=ADVANCED_FACE('',(#22165),#312922,.T.); #317010=ADVANCED_FACE('',(#22166,#4231),#8114,.T.); #317011=ADVANCED_FACE('',(#22167,#4232),#8115,.F.); #317012=ADVANCED_FACE('',(#22168),#312923,.F.); #317013=ADVANCED_FACE('',(#22169),#312924,.T.); #317014=ADVANCED_FACE('',(#22170,#4233),#8116,.T.); #317015=ADVANCED_FACE('',(#22171,#4234),#8117,.F.); #317016=ADVANCED_FACE('',(#22172),#312925,.F.); #317017=ADVANCED_FACE('',(#22173),#312926,.T.); #317018=ADVANCED_FACE('',(#22174,#4235),#8118,.T.); #317019=ADVANCED_FACE('',(#22175,#4236),#8119,.F.); #317020=ADVANCED_FACE('',(#22176),#312927,.F.); #317021=ADVANCED_FACE('',(#22177),#312928,.T.); #317022=ADVANCED_FACE('',(#22178,#4237),#8120,.T.); #317023=ADVANCED_FACE('',(#22179,#4238),#8121,.F.); #317024=ADVANCED_FACE('',(#22180),#312929,.F.); #317025=ADVANCED_FACE('',(#22181),#312930,.T.); #317026=ADVANCED_FACE('',(#22182,#4239),#8122,.T.); #317027=ADVANCED_FACE('',(#22183,#4240),#8123,.F.); #317028=ADVANCED_FACE('',(#22184),#312931,.F.); #317029=ADVANCED_FACE('',(#22185),#312932,.T.); #317030=ADVANCED_FACE('',(#22186,#4241),#8124,.T.); #317031=ADVANCED_FACE('',(#22187,#4242),#8125,.F.); #317032=ADVANCED_FACE('',(#22188),#312933,.F.); #317033=ADVANCED_FACE('',(#22189),#312934,.T.); #317034=ADVANCED_FACE('',(#22190,#4243),#8126,.T.); #317035=ADVANCED_FACE('',(#22191,#4244),#8127,.F.); #317036=ADVANCED_FACE('',(#22192),#312935,.F.); #317037=ADVANCED_FACE('',(#22193),#312936,.T.); #317038=ADVANCED_FACE('',(#22194,#4245),#8128,.T.); #317039=ADVANCED_FACE('',(#22195,#4246),#8129,.F.); #317040=ADVANCED_FACE('',(#22196),#312937,.F.); #317041=ADVANCED_FACE('',(#22197),#312938,.T.); #317042=ADVANCED_FACE('',(#22198,#4247),#8130,.T.); #317043=ADVANCED_FACE('',(#22199,#4248),#8131,.F.); #317044=ADVANCED_FACE('',(#22200),#312939,.F.); #317045=ADVANCED_FACE('',(#22201),#312940,.T.); #317046=ADVANCED_FACE('',(#22202,#4249),#8132,.T.); #317047=ADVANCED_FACE('',(#22203,#4250),#8133,.F.); #317048=ADVANCED_FACE('',(#22204),#312941,.F.); #317049=ADVANCED_FACE('',(#22205),#312942,.T.); #317050=ADVANCED_FACE('',(#22206,#4251),#8134,.T.); #317051=ADVANCED_FACE('',(#22207,#4252),#8135,.F.); #317052=ADVANCED_FACE('',(#22208),#312943,.F.); #317053=ADVANCED_FACE('',(#22209),#312944,.T.); #317054=ADVANCED_FACE('',(#22210,#4253),#8136,.T.); #317055=ADVANCED_FACE('',(#22211,#4254),#8137,.F.); #317056=ADVANCED_FACE('',(#22212),#312945,.F.); #317057=ADVANCED_FACE('',(#22213),#312946,.T.); #317058=ADVANCED_FACE('',(#22214,#4255),#8138,.T.); #317059=ADVANCED_FACE('',(#22215,#4256),#8139,.F.); #317060=ADVANCED_FACE('',(#22216),#312947,.F.); #317061=ADVANCED_FACE('',(#22217),#312948,.T.); #317062=ADVANCED_FACE('',(#22218,#4257),#8140,.T.); #317063=ADVANCED_FACE('',(#22219,#4258),#8141,.F.); #317064=ADVANCED_FACE('',(#22220),#312949,.F.); #317065=ADVANCED_FACE('',(#22221),#312950,.T.); #317066=ADVANCED_FACE('',(#22222,#4259),#8142,.T.); #317067=ADVANCED_FACE('',(#22223,#4260),#8143,.F.); #317068=ADVANCED_FACE('',(#22224),#312951,.F.); #317069=ADVANCED_FACE('',(#22225),#312952,.T.); #317070=ADVANCED_FACE('',(#22226,#4261),#8144,.T.); #317071=ADVANCED_FACE('',(#22227,#4262),#8145,.F.); #317072=ADVANCED_FACE('',(#22228),#312953,.F.); #317073=ADVANCED_FACE('',(#22229),#312954,.T.); #317074=ADVANCED_FACE('',(#22230,#4263),#8146,.T.); #317075=ADVANCED_FACE('',(#22231,#4264),#8147,.F.); #317076=ADVANCED_FACE('',(#22232),#312955,.F.); #317077=ADVANCED_FACE('',(#22233),#312956,.T.); #317078=ADVANCED_FACE('',(#22234,#4265),#8148,.T.); #317079=ADVANCED_FACE('',(#22235,#4266),#8149,.F.); #317080=ADVANCED_FACE('',(#22236),#312957,.F.); #317081=ADVANCED_FACE('',(#22237),#312958,.T.); #317082=ADVANCED_FACE('',(#22238,#4267),#8150,.T.); #317083=ADVANCED_FACE('',(#22239,#4268),#8151,.F.); #317084=ADVANCED_FACE('',(#22240),#312959,.F.); #317085=ADVANCED_FACE('',(#22241),#312960,.T.); #317086=ADVANCED_FACE('',(#22242,#4269),#8152,.T.); #317087=ADVANCED_FACE('',(#22243,#4270),#8153,.F.); #317088=ADVANCED_FACE('',(#22244),#312961,.F.); #317089=ADVANCED_FACE('',(#22245),#312962,.T.); #317090=ADVANCED_FACE('',(#22246,#4271),#8154,.T.); #317091=ADVANCED_FACE('',(#22247,#4272),#8155,.F.); #317092=ADVANCED_FACE('',(#22248),#312963,.F.); #317093=ADVANCED_FACE('',(#22249),#312964,.T.); #317094=ADVANCED_FACE('',(#22250,#4273),#8156,.T.); #317095=ADVANCED_FACE('',(#22251,#4274),#8157,.F.); #317096=ADVANCED_FACE('',(#22252),#312965,.F.); #317097=ADVANCED_FACE('',(#22253),#312966,.T.); #317098=ADVANCED_FACE('',(#22254,#4275),#8158,.T.); #317099=ADVANCED_FACE('',(#22255,#4276),#8159,.F.); #317100=ADVANCED_FACE('',(#22256),#312967,.F.); #317101=ADVANCED_FACE('',(#22257),#312968,.T.); #317102=ADVANCED_FACE('',(#22258,#4277),#8160,.T.); #317103=ADVANCED_FACE('',(#22259,#4278),#8161,.F.); #317104=ADVANCED_FACE('',(#22260),#312969,.F.); #317105=ADVANCED_FACE('',(#22261),#312970,.T.); #317106=ADVANCED_FACE('',(#22262,#4279),#8162,.T.); #317107=ADVANCED_FACE('',(#22263,#4280),#8163,.F.); #317108=ADVANCED_FACE('',(#22264),#312971,.F.); #317109=ADVANCED_FACE('',(#22265),#312972,.T.); #317110=ADVANCED_FACE('',(#22266,#4281),#8164,.T.); #317111=ADVANCED_FACE('',(#22267,#4282),#8165,.F.); #317112=ADVANCED_FACE('',(#22268),#312973,.F.); #317113=ADVANCED_FACE('',(#22269),#312974,.T.); #317114=ADVANCED_FACE('',(#22270,#4283),#8166,.T.); #317115=ADVANCED_FACE('',(#22271,#4284),#8167,.F.); #317116=ADVANCED_FACE('',(#22272),#312975,.F.); #317117=ADVANCED_FACE('',(#22273),#312976,.T.); #317118=ADVANCED_FACE('',(#22274,#4285),#8168,.T.); #317119=ADVANCED_FACE('',(#22275,#4286),#8169,.F.); #317120=ADVANCED_FACE('',(#22276),#312977,.F.); #317121=ADVANCED_FACE('',(#22277),#312978,.T.); #317122=ADVANCED_FACE('',(#22278,#4287),#8170,.T.); #317123=ADVANCED_FACE('',(#22279,#4288),#8171,.F.); #317124=ADVANCED_FACE('',(#22280),#312979,.F.); #317125=ADVANCED_FACE('',(#22281),#312980,.T.); #317126=ADVANCED_FACE('',(#22282,#4289),#8172,.T.); #317127=ADVANCED_FACE('',(#22283,#4290),#8173,.F.); #317128=ADVANCED_FACE('',(#22284),#312981,.F.); #317129=ADVANCED_FACE('',(#22285),#312982,.T.); #317130=ADVANCED_FACE('',(#22286,#4291),#8174,.T.); #317131=ADVANCED_FACE('',(#22287,#4292),#8175,.F.); #317132=ADVANCED_FACE('',(#22288),#312983,.F.); #317133=ADVANCED_FACE('',(#22289),#312984,.T.); #317134=ADVANCED_FACE('',(#22290,#4293),#8176,.T.); #317135=ADVANCED_FACE('',(#22291,#4294),#8177,.F.); #317136=ADVANCED_FACE('',(#22292),#312985,.F.); #317137=ADVANCED_FACE('',(#22293),#312986,.T.); #317138=ADVANCED_FACE('',(#22294,#4295),#8178,.T.); #317139=ADVANCED_FACE('',(#22295,#4296),#8179,.F.); #317140=ADVANCED_FACE('',(#22296),#312987,.F.); #317141=ADVANCED_FACE('',(#22297),#312988,.T.); #317142=ADVANCED_FACE('',(#22298,#4297),#8180,.T.); #317143=ADVANCED_FACE('',(#22299,#4298),#8181,.F.); #317144=ADVANCED_FACE('',(#22300),#312989,.F.); #317145=ADVANCED_FACE('',(#22301),#312990,.T.); #317146=ADVANCED_FACE('',(#22302,#4299),#8182,.T.); #317147=ADVANCED_FACE('',(#22303,#4300),#8183,.F.); #317148=ADVANCED_FACE('',(#22304),#312991,.F.); #317149=ADVANCED_FACE('',(#22305),#312992,.T.); #317150=ADVANCED_FACE('',(#22306,#4301),#8184,.T.); #317151=ADVANCED_FACE('',(#22307,#4302),#8185,.F.); #317152=ADVANCED_FACE('',(#22308),#312993,.F.); #317153=ADVANCED_FACE('',(#22309),#312994,.T.); #317154=ADVANCED_FACE('',(#22310,#4303),#8186,.T.); #317155=ADVANCED_FACE('',(#22311,#4304),#8187,.F.); #317156=ADVANCED_FACE('',(#22312),#312995,.F.); #317157=ADVANCED_FACE('',(#22313),#312996,.T.); #317158=ADVANCED_FACE('',(#22314,#4305),#8188,.T.); #317159=ADVANCED_FACE('',(#22315,#4306),#8189,.F.); #317160=ADVANCED_FACE('',(#22316),#312997,.F.); #317161=ADVANCED_FACE('',(#22317),#312998,.T.); #317162=ADVANCED_FACE('',(#22318,#4307),#8190,.T.); #317163=ADVANCED_FACE('',(#22319,#4308),#8191,.F.); #317164=ADVANCED_FACE('',(#22320),#312999,.F.); #317165=ADVANCED_FACE('',(#22321),#313000,.T.); #317166=ADVANCED_FACE('',(#22322,#4309),#8192,.T.); #317167=ADVANCED_FACE('',(#22323,#4310),#8193,.F.); #317168=ADVANCED_FACE('',(#22324),#313001,.F.); #317169=ADVANCED_FACE('',(#22325),#313002,.T.); #317170=ADVANCED_FACE('',(#22326,#4311),#8194,.T.); #317171=ADVANCED_FACE('',(#22327,#4312),#8195,.F.); #317172=ADVANCED_FACE('',(#22328),#313003,.F.); #317173=ADVANCED_FACE('',(#22329),#313004,.T.); #317174=ADVANCED_FACE('',(#22330,#4313),#8196,.T.); #317175=ADVANCED_FACE('',(#22331,#4314),#8197,.F.); #317176=ADVANCED_FACE('',(#22332),#313005,.F.); #317177=ADVANCED_FACE('',(#22333),#313006,.T.); #317178=ADVANCED_FACE('',(#22334,#4315),#8198,.T.); #317179=ADVANCED_FACE('',(#22335,#4316),#8199,.F.); #317180=ADVANCED_FACE('',(#22336),#313007,.F.); #317181=ADVANCED_FACE('',(#22337),#313008,.T.); #317182=ADVANCED_FACE('',(#22338,#4317),#8200,.T.); #317183=ADVANCED_FACE('',(#22339,#4318),#8201,.F.); #317184=ADVANCED_FACE('',(#22340),#313009,.F.); #317185=ADVANCED_FACE('',(#22341),#313010,.T.); #317186=ADVANCED_FACE('',(#22342,#4319),#8202,.T.); #317187=ADVANCED_FACE('',(#22343,#4320),#8203,.F.); #317188=ADVANCED_FACE('',(#22344),#313011,.F.); #317189=ADVANCED_FACE('',(#22345),#313012,.T.); #317190=ADVANCED_FACE('',(#22346,#4321),#8204,.T.); #317191=ADVANCED_FACE('',(#22347,#4322),#8205,.F.); #317192=ADVANCED_FACE('',(#22348),#313013,.F.); #317193=ADVANCED_FACE('',(#22349),#313014,.T.); #317194=ADVANCED_FACE('',(#22350,#4323),#8206,.T.); #317195=ADVANCED_FACE('',(#22351,#4324),#8207,.F.); #317196=ADVANCED_FACE('',(#22352),#313015,.F.); #317197=ADVANCED_FACE('',(#22353),#313016,.T.); #317198=ADVANCED_FACE('',(#22354,#4325),#8208,.T.); #317199=ADVANCED_FACE('',(#22355,#4326),#8209,.F.); #317200=ADVANCED_FACE('',(#22356),#313017,.F.); #317201=ADVANCED_FACE('',(#22357),#313018,.T.); #317202=ADVANCED_FACE('',(#22358,#4327),#8210,.T.); #317203=ADVANCED_FACE('',(#22359,#4328),#8211,.F.); #317204=ADVANCED_FACE('',(#22360),#313019,.F.); #317205=ADVANCED_FACE('',(#22361),#313020,.T.); #317206=ADVANCED_FACE('',(#22362,#4329),#8212,.T.); #317207=ADVANCED_FACE('',(#22363,#4330),#8213,.F.); #317208=ADVANCED_FACE('',(#22364),#313021,.F.); #317209=ADVANCED_FACE('',(#22365),#313022,.T.); #317210=ADVANCED_FACE('',(#22366,#4331),#8214,.T.); #317211=ADVANCED_FACE('',(#22367,#4332),#8215,.F.); #317212=ADVANCED_FACE('',(#22368),#313023,.F.); #317213=ADVANCED_FACE('',(#22369),#313024,.T.); #317214=ADVANCED_FACE('',(#22370,#4333),#8216,.T.); #317215=ADVANCED_FACE('',(#22371,#4334),#8217,.F.); #317216=ADVANCED_FACE('',(#22372),#313025,.F.); #317217=ADVANCED_FACE('',(#22373),#313026,.T.); #317218=ADVANCED_FACE('',(#22374,#4335),#8218,.T.); #317219=ADVANCED_FACE('',(#22375,#4336),#8219,.F.); #317220=ADVANCED_FACE('',(#22376),#313027,.F.); #317221=ADVANCED_FACE('',(#22377),#313028,.T.); #317222=ADVANCED_FACE('',(#22378,#4337),#8220,.T.); #317223=ADVANCED_FACE('',(#22379,#4338),#8221,.F.); #317224=ADVANCED_FACE('',(#22380),#313029,.F.); #317225=ADVANCED_FACE('',(#22381),#313030,.T.); #317226=ADVANCED_FACE('',(#22382,#4339),#8222,.T.); #317227=ADVANCED_FACE('',(#22383,#4340),#8223,.F.); #317228=ADVANCED_FACE('',(#22384),#313031,.F.); #317229=ADVANCED_FACE('',(#22385),#313032,.T.); #317230=ADVANCED_FACE('',(#22386,#4341),#8224,.T.); #317231=ADVANCED_FACE('',(#22387,#4342),#8225,.F.); #317232=ADVANCED_FACE('',(#22388),#313033,.F.); #317233=ADVANCED_FACE('',(#22389),#313034,.T.); #317234=ADVANCED_FACE('',(#22390,#4343),#8226,.T.); #317235=ADVANCED_FACE('',(#22391,#4344),#8227,.F.); #317236=ADVANCED_FACE('',(#22392),#313035,.F.); #317237=ADVANCED_FACE('',(#22393),#313036,.T.); #317238=ADVANCED_FACE('',(#22394,#4345),#8228,.T.); #317239=ADVANCED_FACE('',(#22395,#4346),#8229,.F.); #317240=ADVANCED_FACE('',(#22396),#313037,.F.); #317241=ADVANCED_FACE('',(#22397),#313038,.T.); #317242=ADVANCED_FACE('',(#22398,#4347),#8230,.T.); #317243=ADVANCED_FACE('',(#22399,#4348),#8231,.F.); #317244=ADVANCED_FACE('',(#22400),#313039,.F.); #317245=ADVANCED_FACE('',(#22401),#313040,.T.); #317246=ADVANCED_FACE('',(#22402,#4349),#8232,.T.); #317247=ADVANCED_FACE('',(#22403,#4350),#8233,.F.); #317248=ADVANCED_FACE('',(#22404),#313041,.F.); #317249=ADVANCED_FACE('',(#22405),#313042,.T.); #317250=ADVANCED_FACE('',(#22406,#4351),#8234,.T.); #317251=ADVANCED_FACE('',(#22407,#4352),#8235,.F.); #317252=ADVANCED_FACE('',(#22408),#313043,.F.); #317253=ADVANCED_FACE('',(#22409),#313044,.T.); #317254=ADVANCED_FACE('',(#22410,#4353),#8236,.T.); #317255=ADVANCED_FACE('',(#22411,#4354),#8237,.F.); #317256=ADVANCED_FACE('',(#22412),#313045,.F.); #317257=ADVANCED_FACE('',(#22413),#313046,.T.); #317258=ADVANCED_FACE('',(#22414,#4355),#8238,.T.); #317259=ADVANCED_FACE('',(#22415,#4356),#8239,.F.); #317260=ADVANCED_FACE('',(#22416),#313047,.F.); #317261=ADVANCED_FACE('',(#22417),#313048,.T.); #317262=ADVANCED_FACE('',(#22418,#4357),#8240,.T.); #317263=ADVANCED_FACE('',(#22419,#4358),#8241,.F.); #317264=ADVANCED_FACE('',(#22420),#313049,.F.); #317265=ADVANCED_FACE('',(#22421),#313050,.T.); #317266=ADVANCED_FACE('',(#22422,#4359),#8242,.T.); #317267=ADVANCED_FACE('',(#22423,#4360),#8243,.F.); #317268=ADVANCED_FACE('',(#22424),#313051,.F.); #317269=ADVANCED_FACE('',(#22425),#313052,.T.); #317270=ADVANCED_FACE('',(#22426,#4361),#8244,.T.); #317271=ADVANCED_FACE('',(#22427,#4362),#8245,.F.); #317272=ADVANCED_FACE('',(#22428),#313053,.F.); #317273=ADVANCED_FACE('',(#22429),#313054,.T.); #317274=ADVANCED_FACE('',(#22430,#4363),#8246,.T.); #317275=ADVANCED_FACE('',(#22431,#4364),#8247,.F.); #317276=ADVANCED_FACE('',(#22432),#313055,.F.); #317277=ADVANCED_FACE('',(#22433),#313056,.T.); #317278=ADVANCED_FACE('',(#22434,#4365),#8248,.T.); #317279=ADVANCED_FACE('',(#22435,#4366),#8249,.F.); #317280=ADVANCED_FACE('',(#22436),#313057,.F.); #317281=ADVANCED_FACE('',(#22437),#313058,.T.); #317282=ADVANCED_FACE('',(#22438,#4367),#8250,.T.); #317283=ADVANCED_FACE('',(#22439,#4368),#8251,.F.); #317284=ADVANCED_FACE('',(#22440),#313059,.F.); #317285=ADVANCED_FACE('',(#22441),#313060,.T.); #317286=ADVANCED_FACE('',(#22442,#4369),#8252,.T.); #317287=ADVANCED_FACE('',(#22443,#4370),#8253,.F.); #317288=ADVANCED_FACE('',(#22444),#313061,.F.); #317289=ADVANCED_FACE('',(#22445),#313062,.T.); #317290=ADVANCED_FACE('',(#22446,#4371),#8254,.T.); #317291=ADVANCED_FACE('',(#22447,#4372),#8255,.F.); #317292=ADVANCED_FACE('',(#22448),#313063,.F.); #317293=ADVANCED_FACE('',(#22449),#313064,.T.); #317294=ADVANCED_FACE('',(#22450,#4373),#8256,.T.); #317295=ADVANCED_FACE('',(#22451,#4374),#8257,.F.); #317296=ADVANCED_FACE('',(#22452),#313065,.F.); #317297=ADVANCED_FACE('',(#22453),#313066,.T.); #317298=ADVANCED_FACE('',(#22454,#4375),#8258,.T.); #317299=ADVANCED_FACE('',(#22455,#4376),#8259,.F.); #317300=ADVANCED_FACE('',(#22456),#313067,.F.); #317301=ADVANCED_FACE('',(#22457),#313068,.T.); #317302=ADVANCED_FACE('',(#22458,#4377),#8260,.T.); #317303=ADVANCED_FACE('',(#22459,#4378),#8261,.F.); #317304=ADVANCED_FACE('',(#22460),#313069,.F.); #317305=ADVANCED_FACE('',(#22461),#313070,.T.); #317306=ADVANCED_FACE('',(#22462,#4379),#8262,.T.); #317307=ADVANCED_FACE('',(#22463,#4380),#8263,.F.); #317308=ADVANCED_FACE('',(#22464),#313071,.F.); #317309=ADVANCED_FACE('',(#22465),#313072,.T.); #317310=ADVANCED_FACE('',(#22466,#4381),#8264,.T.); #317311=ADVANCED_FACE('',(#22467,#4382),#8265,.F.); #317312=ADVANCED_FACE('',(#22468),#313073,.F.); #317313=ADVANCED_FACE('',(#22469),#313074,.T.); #317314=ADVANCED_FACE('',(#22470,#4383),#8266,.T.); #317315=ADVANCED_FACE('',(#22471,#4384),#8267,.F.); #317316=ADVANCED_FACE('',(#22472),#313075,.F.); #317317=ADVANCED_FACE('',(#22473),#313076,.T.); #317318=ADVANCED_FACE('',(#22474,#4385),#8268,.T.); #317319=ADVANCED_FACE('',(#22475,#4386),#8269,.F.); #317320=ADVANCED_FACE('',(#22476),#313077,.F.); #317321=ADVANCED_FACE('',(#22477),#313078,.T.); #317322=ADVANCED_FACE('',(#22478,#4387),#8270,.T.); #317323=ADVANCED_FACE('',(#22479,#4388),#8271,.F.); #317324=ADVANCED_FACE('',(#22480),#313079,.F.); #317325=ADVANCED_FACE('',(#22481),#313080,.T.); #317326=ADVANCED_FACE('',(#22482,#4389),#8272,.T.); #317327=ADVANCED_FACE('',(#22483,#4390),#8273,.F.); #317328=ADVANCED_FACE('',(#22484),#313081,.F.); #317329=ADVANCED_FACE('',(#22485),#313082,.T.); #317330=ADVANCED_FACE('',(#22486,#4391),#8274,.T.); #317331=ADVANCED_FACE('',(#22487,#4392),#8275,.F.); #317332=ADVANCED_FACE('',(#22488),#313083,.F.); #317333=ADVANCED_FACE('',(#22489),#313084,.T.); #317334=ADVANCED_FACE('',(#22490,#4393),#8276,.T.); #317335=ADVANCED_FACE('',(#22491,#4394),#8277,.F.); #317336=ADVANCED_FACE('',(#22492),#313085,.F.); #317337=ADVANCED_FACE('',(#22493),#313086,.T.); #317338=ADVANCED_FACE('',(#22494,#4395),#8278,.T.); #317339=ADVANCED_FACE('',(#22495,#4396),#8279,.F.); #317340=ADVANCED_FACE('',(#22496),#313087,.F.); #317341=ADVANCED_FACE('',(#22497),#313088,.T.); #317342=ADVANCED_FACE('',(#22498,#4397),#8280,.T.); #317343=ADVANCED_FACE('',(#22499,#4398),#8281,.F.); #317344=ADVANCED_FACE('',(#22500),#313089,.F.); #317345=ADVANCED_FACE('',(#22501),#313090,.T.); #317346=ADVANCED_FACE('',(#22502,#4399),#8282,.T.); #317347=ADVANCED_FACE('',(#22503,#4400),#8283,.F.); #317348=ADVANCED_FACE('',(#22504),#313091,.F.); #317349=ADVANCED_FACE('',(#22505),#313092,.T.); #317350=ADVANCED_FACE('',(#22506,#4401),#8284,.T.); #317351=ADVANCED_FACE('',(#22507,#4402),#8285,.F.); #317352=ADVANCED_FACE('',(#22508),#313093,.F.); #317353=ADVANCED_FACE('',(#22509),#313094,.T.); #317354=ADVANCED_FACE('',(#22510,#4403),#8286,.T.); #317355=ADVANCED_FACE('',(#22511,#4404),#8287,.F.); #317356=ADVANCED_FACE('',(#22512),#313095,.F.); #317357=ADVANCED_FACE('',(#22513),#313096,.T.); #317358=ADVANCED_FACE('',(#22514,#4405),#8288,.T.); #317359=ADVANCED_FACE('',(#22515,#4406),#8289,.F.); #317360=ADVANCED_FACE('',(#22516),#313097,.F.); #317361=ADVANCED_FACE('',(#22517),#313098,.T.); #317362=ADVANCED_FACE('',(#22518,#4407),#8290,.T.); #317363=ADVANCED_FACE('',(#22519,#4408),#8291,.F.); #317364=ADVANCED_FACE('',(#22520),#313099,.F.); #317365=ADVANCED_FACE('',(#22521),#313100,.T.); #317366=ADVANCED_FACE('',(#22522,#4409),#8292,.T.); #317367=ADVANCED_FACE('',(#22523,#4410),#8293,.F.); #317368=ADVANCED_FACE('',(#22524),#313101,.F.); #317369=ADVANCED_FACE('',(#22525),#313102,.T.); #317370=ADVANCED_FACE('',(#22526,#4411),#8294,.T.); #317371=ADVANCED_FACE('',(#22527,#4412),#8295,.F.); #317372=ADVANCED_FACE('',(#22528),#313103,.F.); #317373=ADVANCED_FACE('',(#22529),#313104,.T.); #317374=ADVANCED_FACE('',(#22530,#4413),#8296,.T.); #317375=ADVANCED_FACE('',(#22531,#4414),#8297,.F.); #317376=ADVANCED_FACE('',(#22532),#313105,.F.); #317377=ADVANCED_FACE('',(#22533),#313106,.T.); #317378=ADVANCED_FACE('',(#22534,#4415),#8298,.T.); #317379=ADVANCED_FACE('',(#22535,#4416),#8299,.F.); #317380=ADVANCED_FACE('',(#22536),#313107,.F.); #317381=ADVANCED_FACE('',(#22537),#313108,.T.); #317382=ADVANCED_FACE('',(#22538,#4417),#8300,.T.); #317383=ADVANCED_FACE('',(#22539,#4418),#8301,.F.); #317384=ADVANCED_FACE('',(#22540),#313109,.F.); #317385=ADVANCED_FACE('',(#22541),#313110,.T.); #317386=ADVANCED_FACE('',(#22542,#4419),#8302,.T.); #317387=ADVANCED_FACE('',(#22543,#4420),#8303,.F.); #317388=ADVANCED_FACE('',(#22544),#313111,.F.); #317389=ADVANCED_FACE('',(#22545),#313112,.T.); #317390=ADVANCED_FACE('',(#22546,#4421),#8304,.T.); #317391=ADVANCED_FACE('',(#22547,#4422),#8305,.F.); #317392=ADVANCED_FACE('',(#22548),#313113,.F.); #317393=ADVANCED_FACE('',(#22549),#313114,.T.); #317394=ADVANCED_FACE('',(#22550,#4423),#8306,.T.); #317395=ADVANCED_FACE('',(#22551,#4424),#8307,.F.); #317396=ADVANCED_FACE('',(#22552),#313115,.F.); #317397=ADVANCED_FACE('',(#22553),#313116,.T.); #317398=ADVANCED_FACE('',(#22554,#4425),#8308,.T.); #317399=ADVANCED_FACE('',(#22555,#4426),#8309,.F.); #317400=ADVANCED_FACE('',(#22556),#313117,.F.); #317401=ADVANCED_FACE('',(#22557),#313118,.T.); #317402=ADVANCED_FACE('',(#22558,#4427),#8310,.T.); #317403=ADVANCED_FACE('',(#22559,#4428),#8311,.F.); #317404=ADVANCED_FACE('',(#22560),#313119,.F.); #317405=ADVANCED_FACE('',(#22561),#313120,.T.); #317406=ADVANCED_FACE('',(#22562,#4429),#8312,.T.); #317407=ADVANCED_FACE('',(#22563,#4430),#8313,.F.); #317408=ADVANCED_FACE('',(#22564),#313121,.F.); #317409=ADVANCED_FACE('',(#22565),#313122,.T.); #317410=ADVANCED_FACE('',(#22566,#4431),#8314,.T.); #317411=ADVANCED_FACE('',(#22567,#4432),#8315,.F.); #317412=ADVANCED_FACE('',(#22568),#313123,.F.); #317413=ADVANCED_FACE('',(#22569),#313124,.T.); #317414=ADVANCED_FACE('',(#22570,#4433),#8316,.T.); #317415=ADVANCED_FACE('',(#22571,#4434),#8317,.F.); #317416=ADVANCED_FACE('',(#22572),#313125,.F.); #317417=ADVANCED_FACE('',(#22573),#313126,.T.); #317418=ADVANCED_FACE('',(#22574,#4435),#8318,.T.); #317419=ADVANCED_FACE('',(#22575,#4436),#8319,.F.); #317420=ADVANCED_FACE('',(#22576),#313127,.F.); #317421=ADVANCED_FACE('',(#22577),#313128,.T.); #317422=ADVANCED_FACE('',(#22578,#4437),#8320,.T.); #317423=ADVANCED_FACE('',(#22579,#4438),#8321,.F.); #317424=ADVANCED_FACE('',(#22580),#313129,.F.); #317425=ADVANCED_FACE('',(#22581),#313130,.T.); #317426=ADVANCED_FACE('',(#22582,#4439),#8322,.T.); #317427=ADVANCED_FACE('',(#22583,#4440),#8323,.F.); #317428=ADVANCED_FACE('',(#22584),#313131,.F.); #317429=ADVANCED_FACE('',(#22585),#313132,.T.); #317430=ADVANCED_FACE('',(#22586,#4441),#8324,.T.); #317431=ADVANCED_FACE('',(#22587,#4442),#8325,.F.); #317432=ADVANCED_FACE('',(#22588),#313133,.F.); #317433=ADVANCED_FACE('',(#22589),#313134,.T.); #317434=ADVANCED_FACE('',(#22590,#4443),#8326,.T.); #317435=ADVANCED_FACE('',(#22591,#4444),#8327,.F.); #317436=ADVANCED_FACE('',(#22592),#313135,.F.); #317437=ADVANCED_FACE('',(#22593),#313136,.T.); #317438=ADVANCED_FACE('',(#22594,#4445),#8328,.T.); #317439=ADVANCED_FACE('',(#22595,#4446),#8329,.F.); #317440=ADVANCED_FACE('',(#22596),#313137,.F.); #317441=ADVANCED_FACE('',(#22597),#313138,.T.); #317442=ADVANCED_FACE('',(#22598,#4447),#8330,.T.); #317443=ADVANCED_FACE('',(#22599,#4448),#8331,.F.); #317444=ADVANCED_FACE('',(#22600),#313139,.F.); #317445=ADVANCED_FACE('',(#22601),#313140,.T.); #317446=ADVANCED_FACE('',(#22602,#4449),#8332,.T.); #317447=ADVANCED_FACE('',(#22603,#4450),#8333,.F.); #317448=ADVANCED_FACE('',(#22604),#313141,.F.); #317449=ADVANCED_FACE('',(#22605),#313142,.T.); #317450=ADVANCED_FACE('',(#22606,#4451),#8334,.T.); #317451=ADVANCED_FACE('',(#22607,#4452),#8335,.F.); #317452=ADVANCED_FACE('',(#22608),#313143,.F.); #317453=ADVANCED_FACE('',(#22609),#313144,.T.); #317454=ADVANCED_FACE('',(#22610,#4453),#8336,.T.); #317455=ADVANCED_FACE('',(#22611,#4454),#8337,.F.); #317456=ADVANCED_FACE('',(#22612),#313145,.F.); #317457=ADVANCED_FACE('',(#22613),#313146,.T.); #317458=ADVANCED_FACE('',(#22614,#4455),#8338,.T.); #317459=ADVANCED_FACE('',(#22615,#4456),#8339,.F.); #317460=ADVANCED_FACE('',(#22616),#313147,.F.); #317461=ADVANCED_FACE('',(#22617),#313148,.T.); #317462=ADVANCED_FACE('',(#22618,#4457),#8340,.T.); #317463=ADVANCED_FACE('',(#22619,#4458),#8341,.F.); #317464=ADVANCED_FACE('',(#22620),#313149,.F.); #317465=ADVANCED_FACE('',(#22621),#313150,.T.); #317466=ADVANCED_FACE('',(#22622,#4459),#8342,.T.); #317467=ADVANCED_FACE('',(#22623,#4460),#8343,.F.); #317468=ADVANCED_FACE('',(#22624),#313151,.F.); #317469=ADVANCED_FACE('',(#22625),#313152,.T.); #317470=ADVANCED_FACE('',(#22626,#4461),#8344,.T.); #317471=ADVANCED_FACE('',(#22627,#4462),#8345,.F.); #317472=ADVANCED_FACE('',(#22628),#313153,.F.); #317473=ADVANCED_FACE('',(#22629),#313154,.T.); #317474=ADVANCED_FACE('',(#22630,#4463),#8346,.T.); #317475=ADVANCED_FACE('',(#22631,#4464),#8347,.F.); #317476=ADVANCED_FACE('',(#22632),#313155,.F.); #317477=ADVANCED_FACE('',(#22633),#313156,.T.); #317478=ADVANCED_FACE('',(#22634,#4465),#8348,.T.); #317479=ADVANCED_FACE('',(#22635,#4466),#8349,.F.); #317480=ADVANCED_FACE('',(#22636),#313157,.F.); #317481=ADVANCED_FACE('',(#22637),#313158,.T.); #317482=ADVANCED_FACE('',(#22638,#4467),#8350,.T.); #317483=ADVANCED_FACE('',(#22639,#4468),#8351,.F.); #317484=ADVANCED_FACE('',(#22640),#313159,.F.); #317485=ADVANCED_FACE('',(#22641),#313160,.T.); #317486=ADVANCED_FACE('',(#22642,#4469),#8352,.T.); #317487=ADVANCED_FACE('',(#22643,#4470),#8353,.F.); #317488=ADVANCED_FACE('',(#22644),#313161,.F.); #317489=ADVANCED_FACE('',(#22645),#313162,.T.); #317490=ADVANCED_FACE('',(#22646,#4471),#8354,.T.); #317491=ADVANCED_FACE('',(#22647,#4472),#8355,.F.); #317492=ADVANCED_FACE('',(#22648),#313163,.F.); #317493=ADVANCED_FACE('',(#22649),#313164,.T.); #317494=ADVANCED_FACE('',(#22650,#4473),#8356,.T.); #317495=ADVANCED_FACE('',(#22651,#4474),#8357,.F.); #317496=ADVANCED_FACE('',(#22652),#313165,.F.); #317497=ADVANCED_FACE('',(#22653),#313166,.T.); #317498=ADVANCED_FACE('',(#22654,#4475),#8358,.T.); #317499=ADVANCED_FACE('',(#22655,#4476),#8359,.F.); #317500=ADVANCED_FACE('',(#22656),#313167,.F.); #317501=ADVANCED_FACE('',(#22657),#313168,.T.); #317502=ADVANCED_FACE('',(#22658,#4477),#8360,.T.); #317503=ADVANCED_FACE('',(#22659,#4478),#8361,.F.); #317504=ADVANCED_FACE('',(#22660),#313169,.F.); #317505=ADVANCED_FACE('',(#22661),#313170,.T.); #317506=ADVANCED_FACE('',(#22662,#4479),#8362,.T.); #317507=ADVANCED_FACE('',(#22663,#4480),#8363,.F.); #317508=ADVANCED_FACE('',(#22664),#313171,.F.); #317509=ADVANCED_FACE('',(#22665),#313172,.T.); #317510=ADVANCED_FACE('',(#22666,#4481),#8364,.T.); #317511=ADVANCED_FACE('',(#22667,#4482),#8365,.F.); #317512=ADVANCED_FACE('',(#22668),#313173,.F.); #317513=ADVANCED_FACE('',(#22669),#313174,.T.); #317514=ADVANCED_FACE('',(#22670,#4483),#8366,.T.); #317515=ADVANCED_FACE('',(#22671,#4484),#8367,.F.); #317516=ADVANCED_FACE('',(#22672),#313175,.F.); #317517=ADVANCED_FACE('',(#22673),#313176,.T.); #317518=ADVANCED_FACE('',(#22674,#4485),#8368,.T.); #317519=ADVANCED_FACE('',(#22675,#4486),#8369,.F.); #317520=ADVANCED_FACE('',(#22676),#313177,.F.); #317521=ADVANCED_FACE('',(#22677),#313178,.T.); #317522=ADVANCED_FACE('',(#22678,#4487),#8370,.T.); #317523=ADVANCED_FACE('',(#22679,#4488),#8371,.F.); #317524=ADVANCED_FACE('',(#22680),#313179,.F.); #317525=ADVANCED_FACE('',(#22681),#313180,.T.); #317526=ADVANCED_FACE('',(#22682,#4489),#8372,.T.); #317527=ADVANCED_FACE('',(#22683,#4490),#8373,.F.); #317528=ADVANCED_FACE('',(#22684),#313181,.F.); #317529=ADVANCED_FACE('',(#22685),#313182,.T.); #317530=ADVANCED_FACE('',(#22686,#4491),#8374,.T.); #317531=ADVANCED_FACE('',(#22687,#4492),#8375,.F.); #317532=ADVANCED_FACE('',(#22688),#313183,.F.); #317533=ADVANCED_FACE('',(#22689),#313184,.T.); #317534=ADVANCED_FACE('',(#22690,#4493),#8376,.T.); #317535=ADVANCED_FACE('',(#22691,#4494),#8377,.F.); #317536=ADVANCED_FACE('',(#22692),#313185,.F.); #317537=ADVANCED_FACE('',(#22693),#313186,.T.); #317538=ADVANCED_FACE('',(#22694,#4495),#8378,.T.); #317539=ADVANCED_FACE('',(#22695,#4496),#8379,.F.); #317540=ADVANCED_FACE('',(#22696),#313187,.F.); #317541=ADVANCED_FACE('',(#22697),#313188,.T.); #317542=ADVANCED_FACE('',(#22698,#4497),#8380,.T.); #317543=ADVANCED_FACE('',(#22699,#4498),#8381,.F.); #317544=ADVANCED_FACE('',(#22700),#313189,.F.); #317545=ADVANCED_FACE('',(#22701),#313190,.T.); #317546=ADVANCED_FACE('',(#22702,#4499),#8382,.T.); #317547=ADVANCED_FACE('',(#22703,#4500),#8383,.F.); #317548=ADVANCED_FACE('',(#22704),#313191,.F.); #317549=ADVANCED_FACE('',(#22705),#313192,.T.); #317550=ADVANCED_FACE('',(#22706,#4501),#8384,.T.); #317551=ADVANCED_FACE('',(#22707,#4502),#8385,.F.); #317552=ADVANCED_FACE('',(#22708),#313193,.F.); #317553=ADVANCED_FACE('',(#22709),#313194,.T.); #317554=ADVANCED_FACE('',(#22710,#4503),#8386,.T.); #317555=ADVANCED_FACE('',(#22711,#4504),#8387,.F.); #317556=ADVANCED_FACE('',(#22712),#313195,.F.); #317557=ADVANCED_FACE('',(#22713),#313196,.T.); #317558=ADVANCED_FACE('',(#22714,#4505),#8388,.T.); #317559=ADVANCED_FACE('',(#22715,#4506),#8389,.F.); #317560=ADVANCED_FACE('',(#22716),#313197,.F.); #317561=ADVANCED_FACE('',(#22717),#313198,.T.); #317562=ADVANCED_FACE('',(#22718,#4507),#8390,.T.); #317563=ADVANCED_FACE('',(#22719,#4508),#8391,.F.); #317564=ADVANCED_FACE('',(#22720),#313199,.F.); #317565=ADVANCED_FACE('',(#22721),#313200,.T.); #317566=ADVANCED_FACE('',(#22722,#4509),#8392,.T.); #317567=ADVANCED_FACE('',(#22723,#4510),#8393,.F.); #317568=ADVANCED_FACE('',(#22724),#313201,.F.); #317569=ADVANCED_FACE('',(#22725),#313202,.T.); #317570=ADVANCED_FACE('',(#22726,#4511),#8394,.T.); #317571=ADVANCED_FACE('',(#22727,#4512),#8395,.F.); #317572=ADVANCED_FACE('',(#22728),#313203,.F.); #317573=ADVANCED_FACE('',(#22729),#313204,.T.); #317574=ADVANCED_FACE('',(#22730,#4513),#8396,.T.); #317575=ADVANCED_FACE('',(#22731,#4514),#8397,.F.); #317576=ADVANCED_FACE('',(#22732),#313205,.F.); #317577=ADVANCED_FACE('',(#22733),#313206,.T.); #317578=ADVANCED_FACE('',(#22734,#4515),#8398,.T.); #317579=ADVANCED_FACE('',(#22735,#4516),#8399,.F.); #317580=ADVANCED_FACE('',(#22736),#313207,.F.); #317581=ADVANCED_FACE('',(#22737),#313208,.T.); #317582=ADVANCED_FACE('',(#22738,#4517),#8400,.T.); #317583=ADVANCED_FACE('',(#22739,#4518),#8401,.F.); #317584=ADVANCED_FACE('',(#22740),#313209,.F.); #317585=ADVANCED_FACE('',(#22741),#313210,.T.); #317586=ADVANCED_FACE('',(#22742,#4519),#8402,.T.); #317587=ADVANCED_FACE('',(#22743,#4520),#8403,.F.); #317588=ADVANCED_FACE('',(#22744),#313211,.F.); #317589=ADVANCED_FACE('',(#22745),#313212,.T.); #317590=ADVANCED_FACE('',(#22746,#4521),#8404,.T.); #317591=ADVANCED_FACE('',(#22747,#4522),#8405,.F.); #317592=ADVANCED_FACE('',(#22748),#313213,.F.); #317593=ADVANCED_FACE('',(#22749),#313214,.T.); #317594=ADVANCED_FACE('',(#22750,#4523),#8406,.T.); #317595=ADVANCED_FACE('',(#22751,#4524),#8407,.F.); #317596=ADVANCED_FACE('',(#22752),#313215,.F.); #317597=ADVANCED_FACE('',(#22753),#313216,.T.); #317598=ADVANCED_FACE('',(#22754,#4525),#8408,.T.); #317599=ADVANCED_FACE('',(#22755,#4526),#8409,.F.); #317600=ADVANCED_FACE('',(#22756),#313217,.F.); #317601=ADVANCED_FACE('',(#22757),#313218,.T.); #317602=ADVANCED_FACE('',(#22758,#4527),#8410,.T.); #317603=ADVANCED_FACE('',(#22759,#4528),#8411,.F.); #317604=ADVANCED_FACE('',(#22760),#313219,.F.); #317605=ADVANCED_FACE('',(#22761),#313220,.T.); #317606=ADVANCED_FACE('',(#22762,#4529),#8412,.T.); #317607=ADVANCED_FACE('',(#22763,#4530),#8413,.F.); #317608=ADVANCED_FACE('',(#22764),#313221,.F.); #317609=ADVANCED_FACE('',(#22765),#313222,.T.); #317610=ADVANCED_FACE('',(#22766,#4531),#8414,.T.); #317611=ADVANCED_FACE('',(#22767,#4532),#8415,.F.); #317612=ADVANCED_FACE('',(#22768),#313223,.F.); #317613=ADVANCED_FACE('',(#22769),#313224,.T.); #317614=ADVANCED_FACE('',(#22770,#4533),#8416,.T.); #317615=ADVANCED_FACE('',(#22771,#4534),#8417,.F.); #317616=ADVANCED_FACE('',(#22772),#313225,.F.); #317617=ADVANCED_FACE('',(#22773),#313226,.T.); #317618=ADVANCED_FACE('',(#22774,#4535),#8418,.T.); #317619=ADVANCED_FACE('',(#22775,#4536),#8419,.F.); #317620=ADVANCED_FACE('',(#22776),#313227,.F.); #317621=ADVANCED_FACE('',(#22777),#313228,.T.); #317622=ADVANCED_FACE('',(#22778,#4537),#8420,.T.); #317623=ADVANCED_FACE('',(#22779,#4538),#8421,.F.); #317624=ADVANCED_FACE('',(#22780),#313229,.F.); #317625=ADVANCED_FACE('',(#22781),#313230,.T.); #317626=ADVANCED_FACE('',(#22782,#4539),#8422,.T.); #317627=ADVANCED_FACE('',(#22783,#4540),#8423,.F.); #317628=ADVANCED_FACE('',(#22784),#313231,.F.); #317629=ADVANCED_FACE('',(#22785),#313232,.T.); #317630=ADVANCED_FACE('',(#22786,#4541),#8424,.T.); #317631=ADVANCED_FACE('',(#22787,#4542),#8425,.F.); #317632=ADVANCED_FACE('',(#22788),#313233,.F.); #317633=ADVANCED_FACE('',(#22789),#313234,.T.); #317634=ADVANCED_FACE('',(#22790,#4543),#8426,.T.); #317635=ADVANCED_FACE('',(#22791,#4544),#8427,.F.); #317636=ADVANCED_FACE('',(#22792),#313235,.F.); #317637=ADVANCED_FACE('',(#22793),#313236,.T.); #317638=ADVANCED_FACE('',(#22794,#4545),#8428,.T.); #317639=ADVANCED_FACE('',(#22795,#4546),#8429,.F.); #317640=ADVANCED_FACE('',(#22796),#313237,.F.); #317641=ADVANCED_FACE('',(#22797),#313238,.T.); #317642=ADVANCED_FACE('',(#22798,#4547),#8430,.T.); #317643=ADVANCED_FACE('',(#22799,#4548),#8431,.F.); #317644=ADVANCED_FACE('',(#22800),#313239,.F.); #317645=ADVANCED_FACE('',(#22801),#313240,.T.); #317646=ADVANCED_FACE('',(#22802,#4549),#8432,.T.); #317647=ADVANCED_FACE('',(#22803,#4550),#8433,.F.); #317648=ADVANCED_FACE('',(#22804),#8434,.T.); #317649=ADVANCED_FACE('',(#22805),#313241,.F.); #317650=ADVANCED_FACE('',(#22806),#8435,.T.); #317651=ADVANCED_FACE('',(#22807),#313242,.F.); #317652=ADVANCED_FACE('',(#22808),#8436,.T.); #317653=ADVANCED_FACE('',(#22809),#313243,.T.); #317654=ADVANCED_FACE('',(#22810),#8437,.T.); #317655=ADVANCED_FACE('',(#22811),#313244,.T.); #317656=ADVANCED_FACE('',(#22812,#4551),#8438,.T.); #317657=ADVANCED_FACE('',(#22813,#4552),#8439,.F.); #317658=ADVANCED_FACE('',(#22814),#313245,.F.); #317659=ADVANCED_FACE('',(#22815),#313246,.T.); #317660=ADVANCED_FACE('',(#22816,#4553),#8440,.T.); #317661=ADVANCED_FACE('',(#22817,#4554),#8441,.F.); #317662=ADVANCED_FACE('',(#22818),#8442,.T.); #317663=ADVANCED_FACE('',(#22819),#313247,.F.); #317664=ADVANCED_FACE('',(#22820),#8443,.T.); #317665=ADVANCED_FACE('',(#22821),#313248,.F.); #317666=ADVANCED_FACE('',(#22822),#8444,.T.); #317667=ADVANCED_FACE('',(#22823),#313249,.T.); #317668=ADVANCED_FACE('',(#22824),#8445,.T.); #317669=ADVANCED_FACE('',(#22825),#313250,.T.); #317670=ADVANCED_FACE('',(#22826,#4555),#8446,.T.); #317671=ADVANCED_FACE('',(#22827,#4556),#8447,.F.); #317672=ADVANCED_FACE('',(#22828),#313251,.F.); #317673=ADVANCED_FACE('',(#22829),#313252,.T.); #317674=ADVANCED_FACE('',(#22830,#4557),#8448,.T.); #317675=ADVANCED_FACE('',(#22831,#4558),#8449,.F.); #317676=ADVANCED_FACE('',(#22832),#8450,.T.); #317677=ADVANCED_FACE('',(#22833),#313253,.F.); #317678=ADVANCED_FACE('',(#22834),#8451,.T.); #317679=ADVANCED_FACE('',(#22835),#313254,.F.); #317680=ADVANCED_FACE('',(#22836),#8452,.T.); #317681=ADVANCED_FACE('',(#22837),#313255,.T.); #317682=ADVANCED_FACE('',(#22838),#8453,.T.); #317683=ADVANCED_FACE('',(#22839),#313256,.T.); #317684=ADVANCED_FACE('',(#22840,#4559),#8454,.T.); #317685=ADVANCED_FACE('',(#22841,#4560),#8455,.F.); #317686=ADVANCED_FACE('',(#22842),#313257,.F.); #317687=ADVANCED_FACE('',(#22843),#313258,.T.); #317688=ADVANCED_FACE('',(#22844,#4561),#8456,.T.); #317689=ADVANCED_FACE('',(#22845,#4562),#8457,.F.); #317690=ADVANCED_FACE('',(#22846),#8458,.T.); #317691=ADVANCED_FACE('',(#22847),#313259,.F.); #317692=ADVANCED_FACE('',(#22848),#8459,.T.); #317693=ADVANCED_FACE('',(#22849),#313260,.F.); #317694=ADVANCED_FACE('',(#22850),#8460,.T.); #317695=ADVANCED_FACE('',(#22851),#313261,.T.); #317696=ADVANCED_FACE('',(#22852),#8461,.T.); #317697=ADVANCED_FACE('',(#22853),#313262,.T.); #317698=ADVANCED_FACE('',(#22854,#4563),#8462,.T.); #317699=ADVANCED_FACE('',(#22855,#4564),#8463,.F.); #317700=ADVANCED_FACE('',(#22856),#313263,.F.); #317701=ADVANCED_FACE('',(#22857),#313264,.T.); #317702=ADVANCED_FACE('',(#22858,#4565),#8464,.T.); #317703=ADVANCED_FACE('',(#22859,#4566),#8465,.F.); #317704=ADVANCED_FACE('',(#22860),#313265,.F.); #317705=ADVANCED_FACE('',(#22861),#313266,.T.); #317706=ADVANCED_FACE('',(#22862,#4567),#8466,.T.); #317707=ADVANCED_FACE('',(#22863,#4568),#8467,.F.); #317708=ADVANCED_FACE('',(#22864),#313267,.F.); #317709=ADVANCED_FACE('',(#22865),#313268,.T.); #317710=ADVANCED_FACE('',(#22866,#4569),#8468,.T.); #317711=ADVANCED_FACE('',(#22867,#4570),#8469,.F.); #317712=ADVANCED_FACE('',(#22868),#313269,.F.); #317713=ADVANCED_FACE('',(#22869),#313270,.T.); #317714=ADVANCED_FACE('',(#22870,#4571),#8470,.T.); #317715=ADVANCED_FACE('',(#22871,#4572),#8471,.F.); #317716=ADVANCED_FACE('',(#22872),#313271,.F.); #317717=ADVANCED_FACE('',(#22873),#313272,.T.); #317718=ADVANCED_FACE('',(#22874,#4573),#8472,.T.); #317719=ADVANCED_FACE('',(#22875,#4574),#8473,.F.); #317720=ADVANCED_FACE('',(#22876),#313273,.F.); #317721=ADVANCED_FACE('',(#22877),#313274,.T.); #317722=ADVANCED_FACE('',(#22878,#4575),#8474,.T.); #317723=ADVANCED_FACE('',(#22879,#4576),#8475,.F.); #317724=ADVANCED_FACE('',(#22880),#313275,.F.); #317725=ADVANCED_FACE('',(#22881),#313276,.T.); #317726=ADVANCED_FACE('',(#22882,#4577),#8476,.T.); #317727=ADVANCED_FACE('',(#22883,#4578),#8477,.F.); #317728=ADVANCED_FACE('',(#22884),#313277,.F.); #317729=ADVANCED_FACE('',(#22885),#313278,.T.); #317730=ADVANCED_FACE('',(#22886,#4579),#8478,.T.); #317731=ADVANCED_FACE('',(#22887,#4580),#8479,.F.); #317732=ADVANCED_FACE('',(#22888),#313279,.F.); #317733=ADVANCED_FACE('',(#22889),#313280,.T.); #317734=ADVANCED_FACE('',(#22890,#4581),#8480,.T.); #317735=ADVANCED_FACE('',(#22891,#4582),#8481,.F.); #317736=ADVANCED_FACE('',(#22892),#313281,.F.); #317737=ADVANCED_FACE('',(#22893),#313282,.T.); #317738=ADVANCED_FACE('',(#22894,#4583),#8482,.T.); #317739=ADVANCED_FACE('',(#22895,#4584),#8483,.F.); #317740=ADVANCED_FACE('',(#22896),#313283,.F.); #317741=ADVANCED_FACE('',(#22897),#313284,.T.); #317742=ADVANCED_FACE('',(#22898,#4585),#8484,.T.); #317743=ADVANCED_FACE('',(#22899,#4586),#8485,.F.); #317744=ADVANCED_FACE('',(#22900),#8486,.T.); #317745=ADVANCED_FACE('',(#22901),#313285,.F.); #317746=ADVANCED_FACE('',(#22902),#8487,.T.); #317747=ADVANCED_FACE('',(#22903),#313286,.F.); #317748=ADVANCED_FACE('',(#22904),#8488,.T.); #317749=ADVANCED_FACE('',(#22905),#313287,.T.); #317750=ADVANCED_FACE('',(#22906),#8489,.T.); #317751=ADVANCED_FACE('',(#22907),#313288,.T.); #317752=ADVANCED_FACE('',(#22908,#4587),#8490,.T.); #317753=ADVANCED_FACE('',(#22909,#4588),#8491,.F.); #317754=ADVANCED_FACE('',(#22910),#313289,.F.); #317755=ADVANCED_FACE('',(#22911),#313290,.T.); #317756=ADVANCED_FACE('',(#22912,#4589),#8492,.T.); #317757=ADVANCED_FACE('',(#22913,#4590),#8493,.F.); #317758=ADVANCED_FACE('',(#22914),#8494,.T.); #317759=ADVANCED_FACE('',(#22915),#313291,.F.); #317760=ADVANCED_FACE('',(#22916),#8495,.T.); #317761=ADVANCED_FACE('',(#22917),#313292,.F.); #317762=ADVANCED_FACE('',(#22918),#8496,.T.); #317763=ADVANCED_FACE('',(#22919),#313293,.T.); #317764=ADVANCED_FACE('',(#22920),#8497,.T.); #317765=ADVANCED_FACE('',(#22921),#313294,.T.); #317766=ADVANCED_FACE('',(#22922,#4591),#8498,.T.); #317767=ADVANCED_FACE('',(#22923,#4592),#8499,.F.); #317768=ADVANCED_FACE('',(#22924),#313295,.F.); #317769=ADVANCED_FACE('',(#22925),#313296,.T.); #317770=ADVANCED_FACE('',(#22926,#4593),#8500,.T.); #317771=ADVANCED_FACE('',(#22927,#4594),#8501,.F.); #317772=ADVANCED_FACE('',(#22928),#313297,.F.); #317773=ADVANCED_FACE('',(#22929),#313298,.T.); #317774=ADVANCED_FACE('',(#22930,#4595),#8502,.T.); #317775=ADVANCED_FACE('',(#22931,#4596),#8503,.F.); #317776=ADVANCED_FACE('',(#22932),#313299,.F.); #317777=ADVANCED_FACE('',(#22933),#313300,.T.); #317778=ADVANCED_FACE('',(#22934,#4597),#8504,.T.); #317779=ADVANCED_FACE('',(#22935,#4598),#8505,.F.); #317780=ADVANCED_FACE('',(#22936),#313301,.F.); #317781=ADVANCED_FACE('',(#22937),#313302,.T.); #317782=ADVANCED_FACE('',(#22938,#4599),#8506,.T.); #317783=ADVANCED_FACE('',(#22939,#4600),#8507,.F.); #317784=ADVANCED_FACE('',(#22940),#313303,.F.); #317785=ADVANCED_FACE('',(#22941),#313304,.T.); #317786=ADVANCED_FACE('',(#22942,#4601),#8508,.T.); #317787=ADVANCED_FACE('',(#22943,#4602),#8509,.F.); #317788=ADVANCED_FACE('',(#22944),#313305,.F.); #317789=ADVANCED_FACE('',(#22945),#313306,.T.); #317790=ADVANCED_FACE('',(#22946,#4603),#8510,.T.); #317791=ADVANCED_FACE('',(#22947,#4604),#8511,.F.); #317792=ADVANCED_FACE('',(#22948),#313307,.F.); #317793=ADVANCED_FACE('',(#22949),#313308,.T.); #317794=ADVANCED_FACE('',(#22950,#4605),#8512,.T.); #317795=ADVANCED_FACE('',(#22951,#4606),#8513,.F.); #317796=ADVANCED_FACE('',(#22952),#313309,.F.); #317797=ADVANCED_FACE('',(#22953),#313310,.T.); #317798=ADVANCED_FACE('',(#22954,#4607),#8514,.T.); #317799=ADVANCED_FACE('',(#22955,#4608),#8515,.F.); #317800=ADVANCED_FACE('',(#22956),#313311,.F.); #317801=ADVANCED_FACE('',(#22957),#313312,.T.); #317802=ADVANCED_FACE('',(#22958,#4609),#8516,.T.); #317803=ADVANCED_FACE('',(#22959,#4610),#8517,.F.); #317804=ADVANCED_FACE('',(#22960),#313313,.F.); #317805=ADVANCED_FACE('',(#22961),#313314,.T.); #317806=ADVANCED_FACE('',(#22962,#4611),#8518,.T.); #317807=ADVANCED_FACE('',(#22963,#4612),#8519,.F.); #317808=ADVANCED_FACE('',(#22964),#313315,.F.); #317809=ADVANCED_FACE('',(#22965),#313316,.T.); #317810=ADVANCED_FACE('',(#22966,#4613),#8520,.T.); #317811=ADVANCED_FACE('',(#22967,#4614),#8521,.F.); #317812=ADVANCED_FACE('',(#22968),#313317,.F.); #317813=ADVANCED_FACE('',(#22969),#313318,.T.); #317814=ADVANCED_FACE('',(#22970,#4615),#8522,.T.); #317815=ADVANCED_FACE('',(#22971,#4616),#8523,.F.); #317816=ADVANCED_FACE('',(#22972),#313319,.F.); #317817=ADVANCED_FACE('',(#22973),#313320,.T.); #317818=ADVANCED_FACE('',(#22974,#4617),#8524,.T.); #317819=ADVANCED_FACE('',(#22975,#4618),#8525,.F.); #317820=ADVANCED_FACE('',(#22976),#313321,.F.); #317821=ADVANCED_FACE('',(#22977),#313322,.T.); #317822=ADVANCED_FACE('',(#22978,#4619),#8526,.T.); #317823=ADVANCED_FACE('',(#22979,#4620),#8527,.F.); #317824=ADVANCED_FACE('',(#22980),#313323,.F.); #317825=ADVANCED_FACE('',(#22981),#313324,.T.); #317826=ADVANCED_FACE('',(#22982,#4621),#8528,.T.); #317827=ADVANCED_FACE('',(#22983,#4622),#8529,.F.); #317828=ADVANCED_FACE('',(#22984),#313325,.F.); #317829=ADVANCED_FACE('',(#22985),#313326,.T.); #317830=ADVANCED_FACE('',(#22986,#4623),#8530,.T.); #317831=ADVANCED_FACE('',(#22987,#4624),#8531,.F.); #317832=ADVANCED_FACE('',(#22988),#313327,.F.); #317833=ADVANCED_FACE('',(#22989),#313328,.T.); #317834=ADVANCED_FACE('',(#22990,#4625),#8532,.T.); #317835=ADVANCED_FACE('',(#22991,#4626),#8533,.F.); #317836=ADVANCED_FACE('',(#22992),#313329,.F.); #317837=ADVANCED_FACE('',(#22993),#313330,.T.); #317838=ADVANCED_FACE('',(#22994,#4627),#8534,.T.); #317839=ADVANCED_FACE('',(#22995,#4628),#8535,.F.); #317840=ADVANCED_FACE('',(#22996),#313331,.F.); #317841=ADVANCED_FACE('',(#22997),#313332,.T.); #317842=ADVANCED_FACE('',(#22998,#4629),#8536,.T.); #317843=ADVANCED_FACE('',(#22999,#4630),#8537,.F.); #317844=ADVANCED_FACE('',(#23000),#313333,.F.); #317845=ADVANCED_FACE('',(#23001),#313334,.T.); #317846=ADVANCED_FACE('',(#23002,#4631),#8538,.T.); #317847=ADVANCED_FACE('',(#23003,#4632),#8539,.F.); #317848=ADVANCED_FACE('',(#23004),#313335,.F.); #317849=ADVANCED_FACE('',(#23005),#313336,.T.); #317850=ADVANCED_FACE('',(#23006,#4633),#8540,.T.); #317851=ADVANCED_FACE('',(#23007,#4634),#8541,.F.); #317852=ADVANCED_FACE('',(#23008),#313337,.F.); #317853=ADVANCED_FACE('',(#23009),#313338,.T.); #317854=ADVANCED_FACE('',(#23010,#4635),#8542,.T.); #317855=ADVANCED_FACE('',(#23011,#4636),#8543,.F.); #317856=ADVANCED_FACE('',(#23012),#313339,.F.); #317857=ADVANCED_FACE('',(#23013),#313340,.T.); #317858=ADVANCED_FACE('',(#23014,#4637),#8544,.T.); #317859=ADVANCED_FACE('',(#23015,#4638),#8545,.F.); #317860=ADVANCED_FACE('',(#23016),#313341,.F.); #317861=ADVANCED_FACE('',(#23017),#313342,.T.); #317862=ADVANCED_FACE('',(#23018,#4639),#8546,.T.); #317863=ADVANCED_FACE('',(#23019,#4640),#8547,.F.); #317864=ADVANCED_FACE('',(#23020),#313343,.F.); #317865=ADVANCED_FACE('',(#23021),#313344,.T.); #317866=ADVANCED_FACE('',(#23022,#4641),#8548,.T.); #317867=ADVANCED_FACE('',(#23023,#4642),#8549,.F.); #317868=ADVANCED_FACE('',(#23024),#313345,.F.); #317869=ADVANCED_FACE('',(#23025),#313346,.T.); #317870=ADVANCED_FACE('',(#23026,#4643),#8550,.T.); #317871=ADVANCED_FACE('',(#23027,#4644),#8551,.F.); #317872=ADVANCED_FACE('',(#23028),#313347,.F.); #317873=ADVANCED_FACE('',(#23029),#313348,.T.); #317874=ADVANCED_FACE('',(#23030,#4645),#8552,.T.); #317875=ADVANCED_FACE('',(#23031,#4646),#8553,.F.); #317876=ADVANCED_FACE('',(#23032),#313349,.F.); #317877=ADVANCED_FACE('',(#23033),#313350,.T.); #317878=ADVANCED_FACE('',(#23034,#4647),#8554,.T.); #317879=ADVANCED_FACE('',(#23035,#4648),#8555,.F.); #317880=ADVANCED_FACE('',(#23036),#313351,.F.); #317881=ADVANCED_FACE('',(#23037),#313352,.T.); #317882=ADVANCED_FACE('',(#23038,#4649),#8556,.T.); #317883=ADVANCED_FACE('',(#23039,#4650),#8557,.F.); #317884=ADVANCED_FACE('',(#23040),#313353,.F.); #317885=ADVANCED_FACE('',(#23041),#313354,.T.); #317886=ADVANCED_FACE('',(#23042,#4651),#8558,.T.); #317887=ADVANCED_FACE('',(#23043,#4652),#8559,.F.); #317888=ADVANCED_FACE('',(#23044),#313355,.F.); #317889=ADVANCED_FACE('',(#23045),#313356,.T.); #317890=ADVANCED_FACE('',(#23046,#4653),#8560,.T.); #317891=ADVANCED_FACE('',(#23047,#4654),#8561,.F.); #317892=ADVANCED_FACE('',(#23048),#313357,.F.); #317893=ADVANCED_FACE('',(#23049),#313358,.T.); #317894=ADVANCED_FACE('',(#23050,#4655),#8562,.T.); #317895=ADVANCED_FACE('',(#23051,#4656),#8563,.F.); #317896=ADVANCED_FACE('',(#23052),#313359,.F.); #317897=ADVANCED_FACE('',(#23053),#313360,.T.); #317898=ADVANCED_FACE('',(#23054,#4657),#8564,.T.); #317899=ADVANCED_FACE('',(#23055,#4658),#8565,.F.); #317900=ADVANCED_FACE('',(#23056),#313361,.F.); #317901=ADVANCED_FACE('',(#23057),#313362,.T.); #317902=ADVANCED_FACE('',(#23058,#4659),#8566,.T.); #317903=ADVANCED_FACE('',(#23059,#4660),#8567,.F.); #317904=ADVANCED_FACE('',(#23060),#313363,.F.); #317905=ADVANCED_FACE('',(#23061),#313364,.T.); #317906=ADVANCED_FACE('',(#23062,#4661),#8568,.T.); #317907=ADVANCED_FACE('',(#23063,#4662),#8569,.F.); #317908=ADVANCED_FACE('',(#23064),#313365,.F.); #317909=ADVANCED_FACE('',(#23065),#313366,.T.); #317910=ADVANCED_FACE('',(#23066,#4663),#8570,.T.); #317911=ADVANCED_FACE('',(#23067,#4664),#8571,.F.); #317912=ADVANCED_FACE('',(#23068),#313367,.F.); #317913=ADVANCED_FACE('',(#23069),#313368,.T.); #317914=ADVANCED_FACE('',(#23070,#4665),#8572,.T.); #317915=ADVANCED_FACE('',(#23071,#4666),#8573,.F.); #317916=ADVANCED_FACE('',(#23072),#313369,.F.); #317917=ADVANCED_FACE('',(#23073),#8574,.T.); #317918=ADVANCED_FACE('',(#23074),#313370,.T.); #317919=ADVANCED_FACE('',(#23075),#313371,.T.); #317920=ADVANCED_FACE('',(#23076,#4667),#8575,.T.); #317921=ADVANCED_FACE('',(#23077,#4668),#8576,.F.); #317922=ADVANCED_FACE('',(#23078),#8577,.T.); #317923=ADVANCED_FACE('',(#23079),#8578,.T.); #317924=ADVANCED_FACE('',(#23080),#8579,.T.); #317925=ADVANCED_FACE('',(#23081),#8580,.T.); #317926=ADVANCED_FACE('',(#23082),#8581,.T.); #317927=ADVANCED_FACE('',(#23083),#8582,.F.); #317928=ADVANCED_FACE('',(#23084),#8583,.T.); #317929=ADVANCED_FACE('',(#23085),#8584,.T.); #317930=ADVANCED_FACE('',(#23086),#8585,.T.); #317931=ADVANCED_FACE('',(#23087),#8586,.T.); #317932=ADVANCED_FACE('',(#23088),#8587,.T.); #317933=ADVANCED_FACE('',(#23089),#8588,.F.); #317934=ADVANCED_FACE('',(#23090),#8589,.T.); #317935=ADVANCED_FACE('',(#23091),#8590,.T.); #317936=ADVANCED_FACE('',(#23092),#8591,.T.); #317937=ADVANCED_FACE('',(#23093),#8592,.T.); #317938=ADVANCED_FACE('',(#23094),#8593,.T.); #317939=ADVANCED_FACE('',(#23095),#8594,.F.); #317940=ADVANCED_FACE('',(#23096),#8595,.T.); #317941=ADVANCED_FACE('',(#23097),#8596,.T.); #317942=ADVANCED_FACE('',(#23098),#8597,.T.); #317943=ADVANCED_FACE('',(#23099),#8598,.T.); #317944=ADVANCED_FACE('',(#23100),#8599,.T.); #317945=ADVANCED_FACE('',(#23101),#8600,.F.); #317946=ADVANCED_FACE('',(#23102),#8601,.T.); #317947=ADVANCED_FACE('',(#23103),#8602,.T.); #317948=ADVANCED_FACE('',(#23104),#8603,.T.); #317949=ADVANCED_FACE('',(#23105),#8604,.T.); #317950=ADVANCED_FACE('',(#23106),#8605,.T.); #317951=ADVANCED_FACE('',(#23107),#8606,.F.); #317952=ADVANCED_FACE('',(#23108),#8607,.T.); #317953=ADVANCED_FACE('',(#23109),#8608,.T.); #317954=ADVANCED_FACE('',(#23110),#8609,.T.); #317955=ADVANCED_FACE('',(#23111),#8610,.T.); #317956=ADVANCED_FACE('',(#23112),#8611,.T.); #317957=ADVANCED_FACE('',(#23113),#8612,.F.); #317958=ADVANCED_FACE('',(#23114),#8613,.T.); #317959=ADVANCED_FACE('',(#23115),#8614,.T.); #317960=ADVANCED_FACE('',(#23116),#8615,.T.); #317961=ADVANCED_FACE('',(#23117),#8616,.T.); #317962=ADVANCED_FACE('',(#23118),#8617,.T.); #317963=ADVANCED_FACE('',(#23119),#8618,.F.); #317964=ADVANCED_FACE('',(#23120),#8619,.T.); #317965=ADVANCED_FACE('',(#23121),#8620,.T.); #317966=ADVANCED_FACE('',(#23122),#8621,.T.); #317967=ADVANCED_FACE('',(#23123),#8622,.T.); #317968=ADVANCED_FACE('',(#23124),#8623,.T.); #317969=ADVANCED_FACE('',(#23125),#8624,.F.); #317970=ADVANCED_FACE('',(#23126),#8625,.T.); #317971=ADVANCED_FACE('',(#23127),#8626,.T.); #317972=ADVANCED_FACE('',(#23128),#8627,.T.); #317973=ADVANCED_FACE('',(#23129),#8628,.T.); #317974=ADVANCED_FACE('',(#23130),#8629,.T.); #317975=ADVANCED_FACE('',(#23131),#8630,.F.); #317976=ADVANCED_FACE('',(#23132),#8631,.T.); #317977=ADVANCED_FACE('',(#23133),#8632,.T.); #317978=ADVANCED_FACE('',(#23134),#8633,.T.); #317979=ADVANCED_FACE('',(#23135),#8634,.T.); #317980=ADVANCED_FACE('',(#23136),#8635,.T.); #317981=ADVANCED_FACE('',(#23137),#8636,.F.); #317982=ADVANCED_FACE('',(#23138),#8637,.T.); #317983=ADVANCED_FACE('',(#23139),#8638,.T.); #317984=ADVANCED_FACE('',(#23140),#8639,.T.); #317985=ADVANCED_FACE('',(#23141),#8640,.T.); #317986=ADVANCED_FACE('',(#23142),#8641,.T.); #317987=ADVANCED_FACE('',(#23143),#8642,.F.); #317988=ADVANCED_FACE('',(#23144),#313372,.F.); #317989=ADVANCED_FACE('',(#23145),#8643,.T.); #317990=ADVANCED_FACE('',(#23146),#313373,.T.); #317991=ADVANCED_FACE('',(#23147),#8644,.T.); #317992=ADVANCED_FACE('',(#23148),#313374,.T.); #317993=ADVANCED_FACE('',(#23149),#8645,.T.); #317994=ADVANCED_FACE('',(#23150),#8646,.T.); #317995=ADVANCED_FACE('',(#23151),#8647,.T.); #317996=ADVANCED_FACE('',(#23152),#8648,.T.); #317997=ADVANCED_FACE('',(#23153),#8649,.T.); #317998=ADVANCED_FACE('',(#23154),#8650,.T.); #317999=ADVANCED_FACE('',(#23155),#8651,.T.); #318000=ADVANCED_FACE('',(#23156),#313375,.T.); #318001=ADVANCED_FACE('',(#23157),#313376,.T.); #318002=ADVANCED_FACE('',(#23158,#4669),#8652,.T.); #318003=ADVANCED_FACE('',(#23159,#4670),#8653,.F.); #318004=ADVANCED_FACE('',(#23160),#313377,.F.); #318005=ADVANCED_FACE('',(#23161),#8654,.T.); #318006=ADVANCED_FACE('',(#23162),#313378,.T.); #318007=ADVANCED_FACE('',(#23163),#8655,.T.); #318008=ADVANCED_FACE('',(#23164),#8656,.T.); #318009=ADVANCED_FACE('',(#23165),#8657,.T.); #318010=ADVANCED_FACE('',(#23166),#8658,.T.); #318011=ADVANCED_FACE('',(#23167),#8659,.T.); #318012=ADVANCED_FACE('',(#23168),#8660,.T.); #318013=ADVANCED_FACE('',(#23169),#8661,.T.); #318014=ADVANCED_FACE('',(#23170),#313379,.T.); #318015=ADVANCED_FACE('',(#23171,#4671),#8662,.T.); #318016=ADVANCED_FACE('',(#23172,#4672),#8663,.F.); #318017=ADVANCED_FACE('',(#23173),#8664,.T.); #318018=ADVANCED_FACE('',(#23174),#8665,.T.); #318019=ADVANCED_FACE('',(#23175),#8666,.T.); #318020=ADVANCED_FACE('',(#23176),#8667,.T.); #318021=ADVANCED_FACE('',(#23177),#8668,.T.); #318022=ADVANCED_FACE('',(#23178),#8669,.T.); #318023=ADVANCED_FACE('',(#23179),#8670,.T.); #318024=ADVANCED_FACE('',(#23180),#8671,.T.); #318025=ADVANCED_FACE('',(#23181),#313380,.T.); #318026=ADVANCED_FACE('',(#23182),#8672,.T.); #318027=ADVANCED_FACE('',(#23183),#313381,.T.); #318028=ADVANCED_FACE('',(#23184),#8673,.T.); #318029=ADVANCED_FACE('',(#23185),#8674,.T.); #318030=ADVANCED_FACE('',(#23186),#8675,.T.); #318031=ADVANCED_FACE('',(#23187),#8676,.T.); #318032=ADVANCED_FACE('',(#23188),#8677,.T.); #318033=ADVANCED_FACE('',(#23189),#8678,.T.); #318034=ADVANCED_FACE('',(#23190),#8679,.T.); #318035=ADVANCED_FACE('',(#23191),#313382,.T.); #318036=ADVANCED_FACE('',(#23192),#8680,.T.); #318037=ADVANCED_FACE('',(#23193),#8681,.T.); #318038=ADVANCED_FACE('',(#23194),#8682,.F.); #318039=ADVANCED_FACE('',(#23195),#8683,.T.); #318040=ADVANCED_FACE('',(#23196),#8684,.T.); #318041=ADVANCED_FACE('',(#23197),#8685,.T.); #318042=ADVANCED_FACE('',(#23198),#8686,.T.); #318043=ADVANCED_FACE('',(#23199),#8687,.T.); #318044=ADVANCED_FACE('',(#23200),#313383,.T.); #318045=ADVANCED_FACE('',(#23201),#8688,.T.); #318046=ADVANCED_FACE('',(#23202),#8689,.T.); #318047=ADVANCED_FACE('',(#23203),#8690,.T.); #318048=ADVANCED_FACE('',(#23204),#8691,.T.); #318049=ADVANCED_FACE('',(#23205),#8692,.T.); #318050=ADVANCED_FACE('',(#23206),#8693,.T.); #318051=ADVANCED_FACE('',(#23207),#8694,.T.); #318052=ADVANCED_FACE('',(#23208),#313384,.T.); #318053=ADVANCED_FACE('',(#23209),#8695,.T.); #318054=ADVANCED_FACE('',(#23210),#8696,.T.); #318055=ADVANCED_FACE('',(#23211),#313385,.T.); #318056=ADVANCED_FACE('',(#23212),#8697,.T.); #318057=ADVANCED_FACE('',(#23213),#8698,.T.); #318058=ADVANCED_FACE('',(#23214),#8699,.T.); #318059=ADVANCED_FACE('',(#23215),#8700,.T.); #318060=ADVANCED_FACE('',(#23216),#8701,.T.); #318061=ADVANCED_FACE('',(#23217),#8702,.T.); #318062=ADVANCED_FACE('',(#23218),#8703,.T.); #318063=ADVANCED_FACE('',(#23219),#313386,.T.); #318064=ADVANCED_FACE('',(#23220),#8704,.T.); #318065=ADVANCED_FACE('',(#23221),#8705,.T.); #318066=ADVANCED_FACE('',(#23222),#8706,.T.); #318067=ADVANCED_FACE('',(#23223),#8707,.T.); #318068=ADVANCED_FACE('',(#23224),#8708,.T.); #318069=ADVANCED_FACE('',(#23225),#313387,.T.); #318070=ADVANCED_FACE('',(#23226),#8709,.T.); #318071=ADVANCED_FACE('',(#23227),#313388,.T.); #318072=ADVANCED_FACE('',(#23228),#8710,.T.); #318073=ADVANCED_FACE('',(#23229),#8711,.T.); #318074=ADVANCED_FACE('',(#23230),#8712,.T.); #318075=ADVANCED_FACE('',(#23231),#8713,.T.); #318076=ADVANCED_FACE('',(#23232),#8714,.F.); #318077=ADVANCED_FACE('',(#23233),#8715,.T.); #318078=ADVANCED_FACE('',(#23234),#8716,.T.); #318079=ADVANCED_FACE('',(#23235),#8717,.T.); #318080=ADVANCED_FACE('',(#23236),#8718,.T.); #318081=ADVANCED_FACE('',(#23237),#8719,.T.); #318082=ADVANCED_FACE('',(#23238),#8720,.T.); #318083=ADVANCED_FACE('',(#23239),#313389,.T.); #318084=ADVANCED_FACE('',(#23240),#8721,.T.); #318085=ADVANCED_FACE('',(#23241),#313390,.T.); #318086=ADVANCED_FACE('',(#23242),#8722,.T.); #318087=ADVANCED_FACE('',(#23243),#8723,.T.); #318088=ADVANCED_FACE('',(#23244),#8724,.T.); #318089=ADVANCED_FACE('',(#23245),#8725,.T.); #318090=ADVANCED_FACE('',(#23246),#8726,.T.); #318091=ADVANCED_FACE('',(#23247),#313391,.T.); #318092=ADVANCED_FACE('',(#23248),#8727,.T.); #318093=ADVANCED_FACE('',(#23249),#8728,.T.); #318094=ADVANCED_FACE('',(#23250),#8729,.T.); #318095=ADVANCED_FACE('',(#23251),#8730,.T.); #318096=ADVANCED_FACE('',(#23252),#8731,.T.); #318097=ADVANCED_FACE('',(#23253),#8732,.T.); #318098=ADVANCED_FACE('',(#23254),#8733,.T.); #318099=ADVANCED_FACE('',(#23255),#313392,.T.); #318100=ADVANCED_FACE('',(#23256),#8734,.T.); #318101=ADVANCED_FACE('',(#23257),#8735,.T.); #318102=ADVANCED_FACE('',(#23258),#313393,.T.); #318103=ADVANCED_FACE('',(#23259),#8736,.T.); #318104=ADVANCED_FACE('',(#23260),#8737,.T.); #318105=ADVANCED_FACE('',(#23261),#8738,.T.); #318106=ADVANCED_FACE('',(#23262),#8739,.T.); #318107=ADVANCED_FACE('',(#23263),#8740,.T.); #318108=ADVANCED_FACE('',(#23264),#8741,.T.); #318109=ADVANCED_FACE('',(#23265),#8742,.T.); #318110=ADVANCED_FACE('',(#23266),#313394,.T.); #318111=ADVANCED_FACE('',(#23267),#8743,.T.); #318112=ADVANCED_FACE('',(#23268),#8744,.T.); #318113=ADVANCED_FACE('',(#23269),#8745,.T.); #318114=ADVANCED_FACE('',(#23270),#8746,.F.); #318115=ADVANCED_FACE('',(#23271),#313395,.F.); #318116=ADVANCED_FACE('',(#23272),#8747,.T.); #318117=ADVANCED_FACE('',(#23273),#313396,.T.); #318118=ADVANCED_FACE('',(#23274),#8748,.T.); #318119=ADVANCED_FACE('',(#23275),#8749,.T.); #318120=ADVANCED_FACE('',(#23276),#313397,.T.); #318121=ADVANCED_FACE('',(#23277),#8750,.T.); #318122=ADVANCED_FACE('',(#23278),#8751,.T.); #318123=ADVANCED_FACE('',(#23279),#313398,.T.); #318124=ADVANCED_FACE('',(#23280),#8752,.T.); #318125=ADVANCED_FACE('',(#23281),#8753,.T.); #318126=ADVANCED_FACE('',(#23282),#8754,.T.); #318127=ADVANCED_FACE('',(#23283),#8755,.T.); #318128=ADVANCED_FACE('',(#23284),#8756,.T.); #318129=ADVANCED_FACE('',(#23285),#8757,.T.); #318130=ADVANCED_FACE('',(#23286),#8758,.T.); #318131=ADVANCED_FACE('',(#23287),#8759,.T.); #318132=ADVANCED_FACE('',(#23288),#313399,.T.); #318133=ADVANCED_FACE('',(#23289),#8760,.T.); #318134=ADVANCED_FACE('',(#23290),#8761,.T.); #318135=ADVANCED_FACE('',(#23291),#313400,.T.); #318136=ADVANCED_FACE('',(#23292),#8762,.T.); #318137=ADVANCED_FACE('',(#23293),#313401,.T.); #318138=ADVANCED_FACE('',(#23294,#4673),#8763,.T.); #318139=ADVANCED_FACE('',(#23295,#4674),#8764,.F.); #318140=ADVANCED_FACE('',(#23296),#313402,.F.); #318141=ADVANCED_FACE('',(#23297),#8765,.T.); #318142=ADVANCED_FACE('',(#23298),#313403,.T.); #318143=ADVANCED_FACE('',(#23299),#8766,.T.); #318144=ADVANCED_FACE('',(#23300),#8767,.T.); #318145=ADVANCED_FACE('',(#23301),#313404,.T.); #318146=ADVANCED_FACE('',(#23302),#8768,.T.); #318147=ADVANCED_FACE('',(#23303),#8769,.T.); #318148=ADVANCED_FACE('',(#23304),#8770,.T.); #318149=ADVANCED_FACE('',(#23305),#8771,.T.); #318150=ADVANCED_FACE('',(#23306),#8772,.T.); #318151=ADVANCED_FACE('',(#23307),#8773,.T.); #318152=ADVANCED_FACE('',(#23308),#8774,.T.); #318153=ADVANCED_FACE('',(#23309),#8775,.T.); #318154=ADVANCED_FACE('',(#23310),#313405,.T.); #318155=ADVANCED_FACE('',(#23311),#8776,.T.); #318156=ADVANCED_FACE('',(#23312),#313406,.T.); #318157=ADVANCED_FACE('',(#23313,#4675),#8777,.T.); #318158=ADVANCED_FACE('',(#23314,#4676),#8778,.F.); #318159=ADVANCED_FACE('',(#23315),#313407,.F.); #318160=ADVANCED_FACE('',(#23316),#8779,.T.); #318161=ADVANCED_FACE('',(#23317),#313408,.T.); #318162=ADVANCED_FACE('',(#23318),#8780,.T.); #318163=ADVANCED_FACE('',(#23319),#8781,.T.); #318164=ADVANCED_FACE('',(#23320),#8782,.T.); #318165=ADVANCED_FACE('',(#23321),#8783,.T.); #318166=ADVANCED_FACE('',(#23322),#8784,.T.); #318167=ADVANCED_FACE('',(#23323),#8785,.T.); #318168=ADVANCED_FACE('',(#23324),#8786,.T.); #318169=ADVANCED_FACE('',(#23325),#313409,.T.); #318170=ADVANCED_FACE('',(#23326),#8787,.T.); #318171=ADVANCED_FACE('',(#23327),#313410,.T.); #318172=ADVANCED_FACE('',(#23328,#4677),#8788,.T.); #318173=ADVANCED_FACE('',(#23329,#4678),#8789,.F.); #318174=ADVANCED_FACE('',(#23330),#313411,.F.); #318175=ADVANCED_FACE('',(#23331),#8790,.T.); #318176=ADVANCED_FACE('',(#23332),#313412,.T.); #318177=ADVANCED_FACE('',(#23333),#8791,.T.); #318178=ADVANCED_FACE('',(#23334),#313413,.T.); #318179=ADVANCED_FACE('',(#23335),#8792,.T.); #318180=ADVANCED_FACE('',(#23336),#8793,.T.); #318181=ADVANCED_FACE('',(#23337),#8794,.T.); #318182=ADVANCED_FACE('',(#23338),#8795,.T.); #318183=ADVANCED_FACE('',(#23339),#8796,.T.); #318184=ADVANCED_FACE('',(#23340),#8797,.T.); #318185=ADVANCED_FACE('',(#23341),#8798,.T.); #318186=ADVANCED_FACE('',(#23342),#8799,.T.); #318187=ADVANCED_FACE('',(#23343),#8800,.T.); #318188=ADVANCED_FACE('',(#23344),#313414,.T.); #318189=ADVANCED_FACE('',(#23345,#4679),#8801,.T.); #318190=ADVANCED_FACE('',(#23346,#4680),#8802,.F.); #318191=ADVANCED_FACE('',(#23347),#313415,.F.); #318192=ADVANCED_FACE('',(#23348),#313416,.F.); #318193=ADVANCED_FACE('',(#23349),#8803,.T.); #318194=ADVANCED_FACE('',(#23350),#313417,.T.); #318195=ADVANCED_FACE('',(#23351),#313418,.T.); #318196=ADVANCED_FACE('',(#23352),#8804,.T.); #318197=ADVANCED_FACE('',(#23353),#313419,.T.); #318198=ADVANCED_FACE('',(#23354),#313420,.T.); #318199=ADVANCED_FACE('',(#23355),#8805,.T.); #318200=ADVANCED_FACE('',(#23356),#313421,.T.); #318201=ADVANCED_FACE('',(#23357,#4681,#4682),#8806,.T.); #318202=ADVANCED_FACE('',(#23358,#4683,#4684),#8807,.F.); #318203=ADVANCED_FACE('',(#23359),#313422,.F.); #318204=ADVANCED_FACE('',(#23360),#8808,.T.); #318205=ADVANCED_FACE('',(#23361),#313423,.T.); #318206=ADVANCED_FACE('',(#23362),#8809,.T.); #318207=ADVANCED_FACE('',(#23363),#8810,.T.); #318208=ADVANCED_FACE('',(#23364),#313424,.T.); #318209=ADVANCED_FACE('',(#23365),#8811,.T.); #318210=ADVANCED_FACE('',(#23366),#8812,.T.); #318211=ADVANCED_FACE('',(#23367),#8813,.T.); #318212=ADVANCED_FACE('',(#23368),#8814,.T.); #318213=ADVANCED_FACE('',(#23369),#8815,.T.); #318214=ADVANCED_FACE('',(#23370),#8816,.T.); #318215=ADVANCED_FACE('',(#23371),#8817,.T.); #318216=ADVANCED_FACE('',(#23372),#8818,.T.); #318217=ADVANCED_FACE('',(#23373),#313425,.T.); #318218=ADVANCED_FACE('',(#23374),#8819,.T.); #318219=ADVANCED_FACE('',(#23375),#313426,.T.); #318220=ADVANCED_FACE('',(#23376,#4685),#8820,.T.); #318221=ADVANCED_FACE('',(#23377,#4686),#8821,.F.); #318222=ADVANCED_FACE('',(#23378),#313427,.F.); #318223=ADVANCED_FACE('',(#23379),#8822,.T.); #318224=ADVANCED_FACE('',(#23380),#313428,.T.); #318225=ADVANCED_FACE('',(#23381),#8823,.T.); #318226=ADVANCED_FACE('',(#23382),#8824,.T.); #318227=ADVANCED_FACE('',(#23383),#313429,.T.); #318228=ADVANCED_FACE('',(#23384),#8825,.T.); #318229=ADVANCED_FACE('',(#23385),#8826,.T.); #318230=ADVANCED_FACE('',(#23386),#313430,.T.); #318231=ADVANCED_FACE('',(#23387),#8827,.T.); #318232=ADVANCED_FACE('',(#23388),#8828,.T.); #318233=ADVANCED_FACE('',(#23389),#8829,.T.); #318234=ADVANCED_FACE('',(#23390),#8830,.T.); #318235=ADVANCED_FACE('',(#23391),#8831,.T.); #318236=ADVANCED_FACE('',(#23392),#8832,.T.); #318237=ADVANCED_FACE('',(#23393),#8833,.T.); #318238=ADVANCED_FACE('',(#23394),#8834,.T.); #318239=ADVANCED_FACE('',(#23395),#313431,.T.); #318240=ADVANCED_FACE('',(#23396),#8835,.T.); #318241=ADVANCED_FACE('',(#23397),#8836,.T.); #318242=ADVANCED_FACE('',(#23398),#313432,.T.); #318243=ADVANCED_FACE('',(#23399),#8837,.T.); #318244=ADVANCED_FACE('',(#23400),#313433,.T.); #318245=ADVANCED_FACE('',(#23401,#4687),#8838,.T.); #318246=ADVANCED_FACE('',(#23402,#4688),#8839,.F.); #318247=ADVANCED_FACE('',(#23403),#8840,.T.); #318248=ADVANCED_FACE('',(#23404),#8841,.T.); #318249=ADVANCED_FACE('',(#23405),#8842,.T.); #318250=ADVANCED_FACE('',(#23406),#8843,.T.); #318251=ADVANCED_FACE('',(#23407),#313434,.T.); #318252=ADVANCED_FACE('',(#23408),#8844,.T.); #318253=ADVANCED_FACE('',(#23409),#313435,.T.); #318254=ADVANCED_FACE('',(#23410),#8845,.T.); #318255=ADVANCED_FACE('',(#23411),#8846,.T.); #318256=ADVANCED_FACE('',(#23412),#8847,.T.); #318257=ADVANCED_FACE('',(#23413),#313436,.T.); #318258=ADVANCED_FACE('',(#23414),#8848,.T.); #318259=ADVANCED_FACE('',(#23415),#8849,.T.); #318260=ADVANCED_FACE('',(#23416),#8850,.T.); #318261=ADVANCED_FACE('',(#23417),#8851,.T.); #318262=ADVANCED_FACE('',(#23418),#8852,.T.); #318263=ADVANCED_FACE('',(#23419),#8853,.T.); #318264=ADVANCED_FACE('',(#23420),#8854,.T.); #318265=ADVANCED_FACE('',(#23421),#313437,.T.); #318266=ADVANCED_FACE('',(#23422),#8855,.T.); #318267=ADVANCED_FACE('',(#23423),#313438,.T.); #318268=ADVANCED_FACE('',(#23424),#8856,.T.); #318269=ADVANCED_FACE('',(#23425),#8857,.T.); #318270=ADVANCED_FACE('',(#23426),#8858,.T.); #318271=ADVANCED_FACE('',(#23427),#8859,.T.); #318272=ADVANCED_FACE('',(#23428),#8860,.T.); #318273=ADVANCED_FACE('',(#23429),#8861,.T.); #318274=ADVANCED_FACE('',(#23430),#8862,.F.); #318275=ADVANCED_FACE('',(#23431),#313439,.F.); #318276=ADVANCED_FACE('',(#23432),#8863,.T.); #318277=ADVANCED_FACE('',(#23433),#313440,.T.); #318278=ADVANCED_FACE('',(#23434),#8864,.T.); #318279=ADVANCED_FACE('',(#23435),#8865,.T.); #318280=ADVANCED_FACE('',(#23436),#313441,.T.); #318281=ADVANCED_FACE('',(#23437),#8866,.T.); #318282=ADVANCED_FACE('',(#23438),#313442,.T.); #318283=ADVANCED_FACE('',(#23439),#8867,.T.); #318284=ADVANCED_FACE('',(#23440),#313443,.T.); #318285=ADVANCED_FACE('',(#23441),#8868,.T.); #318286=ADVANCED_FACE('',(#23442),#8869,.T.); #318287=ADVANCED_FACE('',(#23443),#8870,.T.); #318288=ADVANCED_FACE('',(#23444),#8871,.T.); #318289=ADVANCED_FACE('',(#23445),#8872,.T.); #318290=ADVANCED_FACE('',(#23446),#8873,.T.); #318291=ADVANCED_FACE('',(#23447),#8874,.T.); #318292=ADVANCED_FACE('',(#23448),#313444,.T.); #318293=ADVANCED_FACE('',(#23449),#8875,.T.); #318294=ADVANCED_FACE('',(#23450),#8876,.T.); #318295=ADVANCED_FACE('',(#23451),#8877,.T.); #318296=ADVANCED_FACE('',(#23452),#8878,.T.); #318297=ADVANCED_FACE('',(#23453),#313445,.T.); #318298=ADVANCED_FACE('',(#23454),#8879,.T.); #318299=ADVANCED_FACE('',(#23455),#313446,.T.); #318300=ADVANCED_FACE('',(#23456,#4689),#8880,.T.); #318301=ADVANCED_FACE('',(#23457,#4690),#8881,.F.); #318302=ADVANCED_FACE('',(#23458),#313447,.F.); #318303=ADVANCED_FACE('',(#23459),#8882,.T.); #318304=ADVANCED_FACE('',(#23460),#313448,.T.); #318305=ADVANCED_FACE('',(#23461),#8883,.T.); #318306=ADVANCED_FACE('',(#23462),#8884,.T.); #318307=ADVANCED_FACE('',(#23463),#8885,.T.); #318308=ADVANCED_FACE('',(#23464),#8886,.T.); #318309=ADVANCED_FACE('',(#23465),#8887,.T.); #318310=ADVANCED_FACE('',(#23466),#8888,.T.); #318311=ADVANCED_FACE('',(#23467),#8889,.T.); #318312=ADVANCED_FACE('',(#23468),#313449,.T.); #318313=ADVANCED_FACE('',(#23469),#313450,.T.); #318314=ADVANCED_FACE('',(#23470,#4691),#8890,.T.); #318315=ADVANCED_FACE('',(#23471,#4692),#8891,.F.); #318316=ADVANCED_FACE('',(#23472),#8892,.T.); #318317=ADVANCED_FACE('',(#23473),#8893,.T.); #318318=ADVANCED_FACE('',(#23474),#8894,.T.); #318319=ADVANCED_FACE('',(#23475),#8895,.T.); #318320=ADVANCED_FACE('',(#23476),#8896,.T.); #318321=ADVANCED_FACE('',(#23477),#313451,.T.); #318322=ADVANCED_FACE('',(#23478),#8897,.T.); #318323=ADVANCED_FACE('',(#23479),#8898,.T.); #318324=ADVANCED_FACE('',(#23480),#8899,.T.); #318325=ADVANCED_FACE('',(#23481),#313452,.T.); #318326=ADVANCED_FACE('',(#23482),#8900,.T.); #318327=ADVANCED_FACE('',(#23483),#313453,.T.); #318328=ADVANCED_FACE('',(#23484),#8901,.T.); #318329=ADVANCED_FACE('',(#23485),#313454,.T.); #318330=ADVANCED_FACE('',(#23486),#8902,.T.); #318331=ADVANCED_FACE('',(#23487),#8903,.T.); #318332=ADVANCED_FACE('',(#23488),#313455,.T.); #318333=ADVANCED_FACE('',(#23489),#8904,.T.); #318334=ADVANCED_FACE('',(#23490),#8905,.T.); #318335=ADVANCED_FACE('',(#23491),#8906,.T.); #318336=ADVANCED_FACE('',(#23492),#8907,.T.); #318337=ADVANCED_FACE('',(#23493),#8908,.T.); #318338=ADVANCED_FACE('',(#23494),#8909,.T.); #318339=ADVANCED_FACE('',(#23495),#8910,.T.); #318340=ADVANCED_FACE('',(#23496),#313456,.T.); #318341=ADVANCED_FACE('',(#23497),#8911,.T.); #318342=ADVANCED_FACE('',(#23498),#8912,.T.); #318343=ADVANCED_FACE('',(#23499),#313457,.T.); #318344=ADVANCED_FACE('',(#23500),#8913,.T.); #318345=ADVANCED_FACE('',(#23501),#8914,.T.); #318346=ADVANCED_FACE('',(#23502),#8915,.T.); #318347=ADVANCED_FACE('',(#23503),#8916,.T.); #318348=ADVANCED_FACE('',(#23504),#313458,.T.); #318349=ADVANCED_FACE('',(#23505),#8917,.T.); #318350=ADVANCED_FACE('',(#23506),#313459,.T.); #318351=ADVANCED_FACE('',(#23507),#8918,.T.); #318352=ADVANCED_FACE('',(#23508),#8919,.T.); #318353=ADVANCED_FACE('',(#23509),#8920,.T.); #318354=ADVANCED_FACE('',(#23510),#8921,.T.); #318355=ADVANCED_FACE('',(#23511),#8922,.F.); #318356=ADVANCED_FACE('',(#23512),#8923,.T.); #318357=ADVANCED_FACE('',(#23513),#8924,.T.); #318358=ADVANCED_FACE('',(#23514),#8925,.T.); #318359=ADVANCED_FACE('',(#23515),#8926,.T.); #318360=ADVANCED_FACE('',(#23516),#8927,.T.); #318361=ADVANCED_FACE('',(#23517),#8928,.T.); #318362=ADVANCED_FACE('',(#23518),#313460,.T.); #318363=ADVANCED_FACE('',(#23519),#8929,.T.); #318364=ADVANCED_FACE('',(#23520),#313461,.T.); #318365=ADVANCED_FACE('',(#23521),#8930,.T.); #318366=ADVANCED_FACE('',(#23522),#8931,.T.); #318367=ADVANCED_FACE('',(#23523),#8932,.T.); #318368=ADVANCED_FACE('',(#23524),#8933,.T.); #318369=ADVANCED_FACE('',(#23525),#313462,.T.); #318370=ADVANCED_FACE('',(#23526),#8934,.T.); #318371=ADVANCED_FACE('',(#23527),#8935,.T.); #318372=ADVANCED_FACE('',(#23528),#313463,.T.); #318373=ADVANCED_FACE('',(#23529),#8936,.T.); #318374=ADVANCED_FACE('',(#23530),#8937,.T.); #318375=ADVANCED_FACE('',(#23531),#8938,.T.); #318376=ADVANCED_FACE('',(#23532),#8939,.T.); #318377=ADVANCED_FACE('',(#23533),#8940,.T.); #318378=ADVANCED_FACE('',(#23534),#8941,.T.); #318379=ADVANCED_FACE('',(#23535),#8942,.T.); #318380=ADVANCED_FACE('',(#23536),#313464,.T.); #318381=ADVANCED_FACE('',(#23537),#8943,.T.); #318382=ADVANCED_FACE('',(#23538),#8944,.T.); #318383=ADVANCED_FACE('',(#23539),#313465,.T.); #318384=ADVANCED_FACE('',(#23540),#8945,.T.); #318385=ADVANCED_FACE('',(#23541),#313466,.T.); #318386=ADVANCED_FACE('',(#23542),#8946,.T.); #318387=ADVANCED_FACE('',(#23543),#313467,.T.); #318388=ADVANCED_FACE('',(#23544),#8947,.T.); #318389=ADVANCED_FACE('',(#23545),#8948,.T.); #318390=ADVANCED_FACE('',(#23546),#8949,.T.); #318391=ADVANCED_FACE('',(#23547),#313468,.T.); #318392=ADVANCED_FACE('',(#23548),#8950,.T.); #318393=ADVANCED_FACE('',(#23549),#8951,.T.); #318394=ADVANCED_FACE('',(#23550),#8952,.T.); #318395=ADVANCED_FACE('',(#23551),#8953,.F.); #318396=ADVANCED_FACE('',(#23552),#8954,.T.); #318397=ADVANCED_FACE('',(#23553),#8955,.T.); #318398=ADVANCED_FACE('',(#23554),#8956,.T.); #318399=ADVANCED_FACE('',(#23555),#8957,.T.); #318400=ADVANCED_FACE('',(#23556),#313469,.T.); #318401=ADVANCED_FACE('',(#23557),#8958,.T.); #318402=ADVANCED_FACE('',(#23558),#8959,.T.); #318403=ADVANCED_FACE('',(#23559),#313470,.T.); #318404=ADVANCED_FACE('',(#23560),#8960,.T.); #318405=ADVANCED_FACE('',(#23561),#8961,.T.); #318406=ADVANCED_FACE('',(#23562),#8962,.T.); #318407=ADVANCED_FACE('',(#23563),#8963,.T.); #318408=ADVANCED_FACE('',(#23564),#8964,.T.); #318409=ADVANCED_FACE('',(#23565),#8965,.T.); #318410=ADVANCED_FACE('',(#23566),#8966,.T.); #318411=ADVANCED_FACE('',(#23567),#313471,.T.); #318412=ADVANCED_FACE('',(#23568),#8967,.T.); #318413=ADVANCED_FACE('',(#23569),#8968,.T.); #318414=ADVANCED_FACE('',(#23570),#8969,.T.); #318415=ADVANCED_FACE('',(#23571),#8970,.T.); #318416=ADVANCED_FACE('',(#23572),#8971,.T.); #318417=ADVANCED_FACE('',(#23573),#8972,.F.); #318418=ADVANCED_FACE('',(#23574),#313472,.F.); #318419=ADVANCED_FACE('',(#23575),#8973,.T.); #318420=ADVANCED_FACE('',(#23576),#313473,.T.); #318421=ADVANCED_FACE('',(#23577),#8974,.T.); #318422=ADVANCED_FACE('',(#23578),#313474,.T.); #318423=ADVANCED_FACE('',(#23579),#8975,.T.); #318424=ADVANCED_FACE('',(#23580),#8976,.T.); #318425=ADVANCED_FACE('',(#23581),#313475,.T.); #318426=ADVANCED_FACE('',(#23582),#8977,.T.); #318427=ADVANCED_FACE('',(#23583),#8978,.T.); #318428=ADVANCED_FACE('',(#23584),#8979,.T.); #318429=ADVANCED_FACE('',(#23585),#8980,.T.); #318430=ADVANCED_FACE('',(#23586),#8981,.T.); #318431=ADVANCED_FACE('',(#23587),#8982,.T.); #318432=ADVANCED_FACE('',(#23588),#8983,.T.); #318433=ADVANCED_FACE('',(#23589),#313476,.T.); #318434=ADVANCED_FACE('',(#23590),#8984,.T.); #318435=ADVANCED_FACE('',(#23591),#8985,.T.); #318436=ADVANCED_FACE('',(#23592),#313477,.T.); #318437=ADVANCED_FACE('',(#23593),#313478,.T.); #318438=ADVANCED_FACE('',(#23594,#4693),#8986,.T.); #318439=ADVANCED_FACE('',(#23595,#4694),#8987,.F.); #318440=ADVANCED_FACE('',(#23596),#313479,.F.); #318441=ADVANCED_FACE('',(#23597),#8988,.T.); #318442=ADVANCED_FACE('',(#23598),#313480,.T.); #318443=ADVANCED_FACE('',(#23599),#8989,.T.); #318444=ADVANCED_FACE('',(#23600),#8990,.T.); #318445=ADVANCED_FACE('',(#23601),#313481,.T.); #318446=ADVANCED_FACE('',(#23602),#8991,.T.); #318447=ADVANCED_FACE('',(#23603),#8992,.T.); #318448=ADVANCED_FACE('',(#23604),#8993,.T.); #318449=ADVANCED_FACE('',(#23605),#8994,.T.); #318450=ADVANCED_FACE('',(#23606),#8995,.T.); #318451=ADVANCED_FACE('',(#23607),#8996,.T.); #318452=ADVANCED_FACE('',(#23608),#8997,.T.); #318453=ADVANCED_FACE('',(#23609),#8998,.T.); #318454=ADVANCED_FACE('',(#23610),#313482,.T.); #318455=ADVANCED_FACE('',(#23611),#8999,.T.); #318456=ADVANCED_FACE('',(#23612),#313483,.T.); #318457=ADVANCED_FACE('',(#23613,#4695),#9000,.T.); #318458=ADVANCED_FACE('',(#23614,#4696),#9001,.F.); #318459=ADVANCED_FACE('',(#23615),#313484,.F.); #318460=ADVANCED_FACE('',(#23616),#9002,.T.); #318461=ADVANCED_FACE('',(#23617),#313485,.T.); #318462=ADVANCED_FACE('',(#23618),#313486,.T.); #318463=ADVANCED_FACE('',(#23619),#9003,.T.); #318464=ADVANCED_FACE('',(#23620),#9004,.T.); #318465=ADVANCED_FACE('',(#23621),#9005,.T.); #318466=ADVANCED_FACE('',(#23622),#9006,.T.); #318467=ADVANCED_FACE('',(#23623),#9007,.T.); #318468=ADVANCED_FACE('',(#23624),#9008,.T.); #318469=ADVANCED_FACE('',(#23625),#9009,.T.); #318470=ADVANCED_FACE('',(#23626),#313487,.T.); #318471=ADVANCED_FACE('',(#23627),#9010,.T.); #318472=ADVANCED_FACE('',(#23628),#313488,.T.); #318473=ADVANCED_FACE('',(#23629,#4697),#9011,.T.); #318474=ADVANCED_FACE('',(#23630,#4698),#9012,.F.); #318475=ADVANCED_FACE('',(#23631),#313489,.F.); #318476=ADVANCED_FACE('',(#23632),#9013,.T.); #318477=ADVANCED_FACE('',(#23633),#9014,.T.); #318478=ADVANCED_FACE('',(#23634),#9015,.T.); #318479=ADVANCED_FACE('',(#23635),#9016,.T.); #318480=ADVANCED_FACE('',(#23636),#9017,.T.); #318481=ADVANCED_FACE('',(#23637),#313490,.T.); #318482=ADVANCED_FACE('',(#23638),#9018,.T.); #318483=ADVANCED_FACE('',(#23639),#9019,.T.); #318484=ADVANCED_FACE('',(#23640),#313491,.T.); #318485=ADVANCED_FACE('',(#23641),#9020,.T.); #318486=ADVANCED_FACE('',(#23642),#313492,.T.); #318487=ADVANCED_FACE('',(#23643),#313493,.T.); #318488=ADVANCED_FACE('',(#23644),#9021,.T.); #318489=ADVANCED_FACE('',(#23645),#9022,.T.); #318490=ADVANCED_FACE('',(#23646),#313494,.T.); #318491=ADVANCED_FACE('',(#23647),#9023,.T.); #318492=ADVANCED_FACE('',(#23648),#9024,.T.); #318493=ADVANCED_FACE('',(#23649),#9025,.T.); #318494=ADVANCED_FACE('',(#23650,#4699),#9026,.T.); #318495=ADVANCED_FACE('',(#23651,#4700),#9027,.F.); #318496=ADVANCED_FACE('',(#23652),#313495,.F.); #318497=ADVANCED_FACE('',(#23653),#9028,.T.); #318498=ADVANCED_FACE('',(#23654),#313496,.T.); #318499=ADVANCED_FACE('',(#23655),#9029,.T.); #318500=ADVANCED_FACE('',(#23656),#313497,.T.); #318501=ADVANCED_FACE('',(#23657),#9030,.T.); #318502=ADVANCED_FACE('',(#23658),#9031,.T.); #318503=ADVANCED_FACE('',(#23659),#9032,.T.); #318504=ADVANCED_FACE('',(#23660),#9033,.T.); #318505=ADVANCED_FACE('',(#23661),#9034,.T.); #318506=ADVANCED_FACE('',(#23662),#9035,.T.); #318507=ADVANCED_FACE('',(#23663),#9036,.T.); #318508=ADVANCED_FACE('',(#23664),#313498,.T.); #318509=ADVANCED_FACE('',(#23665),#313499,.T.); #318510=ADVANCED_FACE('',(#23666,#4701),#9037,.T.); #318511=ADVANCED_FACE('',(#23667,#4702),#9038,.F.); #318512=ADVANCED_FACE('',(#23668),#313500,.F.); #318513=ADVANCED_FACE('',(#23669),#9039,.T.); #318514=ADVANCED_FACE('',(#23670),#9040,.T.); #318515=ADVANCED_FACE('',(#23671),#9041,.T.); #318516=ADVANCED_FACE('',(#23672),#9042,.T.); #318517=ADVANCED_FACE('',(#23673),#9043,.T.); #318518=ADVANCED_FACE('',(#23674),#9044,.T.); #318519=ADVANCED_FACE('',(#23675),#313501,.T.); #318520=ADVANCED_FACE('',(#23676),#9045,.T.); #318521=ADVANCED_FACE('',(#23677),#9046,.T.); #318522=ADVANCED_FACE('',(#23678),#313502,.T.); #318523=ADVANCED_FACE('',(#23679),#313503,.T.); #318524=ADVANCED_FACE('',(#23680),#9047,.T.); #318525=ADVANCED_FACE('',(#23681),#313504,.T.); #318526=ADVANCED_FACE('',(#23682),#9048,.T.); #318527=ADVANCED_FACE('',(#23683),#9049,.T.); #318528=ADVANCED_FACE('',(#23684),#313505,.T.); #318529=ADVANCED_FACE('',(#23685),#9050,.T.); #318530=ADVANCED_FACE('',(#23686),#9051,.T.); #318531=ADVANCED_FACE('',(#23687,#4703),#9052,.T.); #318532=ADVANCED_FACE('',(#23688,#4704),#9053,.F.); #318533=ADVANCED_FACE('',(#23689),#313506,.F.); #318534=ADVANCED_FACE('',(#23690),#9054,.T.); #318535=ADVANCED_FACE('',(#23691),#313507,.T.); #318536=ADVANCED_FACE('',(#23692),#9055,.T.); #318537=ADVANCED_FACE('',(#23693),#9056,.T.); #318538=ADVANCED_FACE('',(#23694),#9057,.T.); #318539=ADVANCED_FACE('',(#23695),#9058,.T.); #318540=ADVANCED_FACE('',(#23696),#9059,.T.); #318541=ADVANCED_FACE('',(#23697),#9060,.T.); #318542=ADVANCED_FACE('',(#23698),#9061,.T.); #318543=ADVANCED_FACE('',(#23699),#313508,.T.); #318544=ADVANCED_FACE('',(#23700),#313509,.T.); #318545=ADVANCED_FACE('',(#23701,#4705),#9062,.T.); #318546=ADVANCED_FACE('',(#23702,#4706),#9063,.F.); #318547=ADVANCED_FACE('',(#23703),#313510,.F.); #318548=ADVANCED_FACE('',(#23704),#9064,.T.); #318549=ADVANCED_FACE('',(#23705),#313511,.T.); #318550=ADVANCED_FACE('',(#23706),#313512,.T.); #318551=ADVANCED_FACE('',(#23707),#9065,.T.); #318552=ADVANCED_FACE('',(#23708),#9066,.T.); #318553=ADVANCED_FACE('',(#23709),#9067,.T.); #318554=ADVANCED_FACE('',(#23710),#9068,.T.); #318555=ADVANCED_FACE('',(#23711),#9069,.T.); #318556=ADVANCED_FACE('',(#23712),#9070,.T.); #318557=ADVANCED_FACE('',(#23713),#9071,.T.); #318558=ADVANCED_FACE('',(#23714),#313513,.T.); #318559=ADVANCED_FACE('',(#23715,#4707),#9072,.T.); #318560=ADVANCED_FACE('',(#23716,#4708),#9073,.F.); #318561=ADVANCED_FACE('',(#23717),#313514,.F.); #318562=ADVANCED_FACE('',(#23718),#9074,.T.); #318563=ADVANCED_FACE('',(#23719),#9075,.T.); #318564=ADVANCED_FACE('',(#23720),#9076,.T.); #318565=ADVANCED_FACE('',(#23721),#9077,.T.); #318566=ADVANCED_FACE('',(#23722),#9078,.T.); #318567=ADVANCED_FACE('',(#23723),#313515,.T.); #318568=ADVANCED_FACE('',(#23724),#9079,.T.); #318569=ADVANCED_FACE('',(#23725),#9080,.T.); #318570=ADVANCED_FACE('',(#23726),#9081,.T.); #318571=ADVANCED_FACE('',(#23727),#9082,.T.); #318572=ADVANCED_FACE('',(#23728),#9083,.T.); #318573=ADVANCED_FACE('',(#23729),#313516,.T.); #318574=ADVANCED_FACE('',(#23730),#313517,.T.); #318575=ADVANCED_FACE('',(#23731),#9084,.T.); #318576=ADVANCED_FACE('',(#23732),#313518,.T.); #318577=ADVANCED_FACE('',(#23733),#9085,.T.); #318578=ADVANCED_FACE('',(#23734),#313519,.T.); #318579=ADVANCED_FACE('',(#23735),#9086,.T.); #318580=ADVANCED_FACE('',(#23736),#313520,.T.); #318581=ADVANCED_FACE('',(#23737),#9087,.T.); #318582=ADVANCED_FACE('',(#23738),#313521,.T.); #318583=ADVANCED_FACE('',(#23739),#9088,.T.); #318584=ADVANCED_FACE('',(#23740),#9089,.T.); #318585=ADVANCED_FACE('',(#23741,#4709),#9090,.T.); #318586=ADVANCED_FACE('',(#23742,#4710),#9091,.F.); #318587=ADVANCED_FACE('',(#23743),#313522,.F.); #318588=ADVANCED_FACE('',(#23744),#9092,.T.); #318589=ADVANCED_FACE('',(#23745),#9093,.T.); #318590=ADVANCED_FACE('',(#23746),#9094,.T.); #318591=ADVANCED_FACE('',(#23747),#9095,.T.); #318592=ADVANCED_FACE('',(#23748),#9096,.T.); #318593=ADVANCED_FACE('',(#23749),#9097,.T.); #318594=ADVANCED_FACE('',(#23750),#9098,.T.); #318595=ADVANCED_FACE('',(#23751),#9099,.T.); #318596=ADVANCED_FACE('',(#23752),#313523,.T.); #318597=ADVANCED_FACE('',(#23753),#313524,.T.); #318598=ADVANCED_FACE('',(#23754),#9100,.T.); #318599=ADVANCED_FACE('',(#23755),#313525,.T.); #318600=ADVANCED_FACE('',(#23756),#9101,.T.); #318601=ADVANCED_FACE('',(#23757),#313526,.T.); #318602=ADVANCED_FACE('',(#23758),#9102,.T.); #318603=ADVANCED_FACE('',(#23759),#313527,.T.); #318604=ADVANCED_FACE('',(#23760),#9103,.T.); #318605=ADVANCED_FACE('',(#23761,#4711),#9104,.T.); #318606=ADVANCED_FACE('',(#23762,#4712),#9105,.F.); #318607=ADVANCED_FACE('',(#23763),#313528,.F.); #318608=ADVANCED_FACE('',(#23764),#9106,.T.); #318609=ADVANCED_FACE('',(#23765),#9107,.T.); #318610=ADVANCED_FACE('',(#23766),#9108,.T.); #318611=ADVANCED_FACE('',(#23767),#9109,.T.); #318612=ADVANCED_FACE('',(#23768),#9110,.T.); #318613=ADVANCED_FACE('',(#23769),#313529,.T.); #318614=ADVANCED_FACE('',(#23770),#9111,.T.); #318615=ADVANCED_FACE('',(#23771),#313530,.T.); #318616=ADVANCED_FACE('',(#23772),#9112,.T.); #318617=ADVANCED_FACE('',(#23773),#313531,.T.); #318618=ADVANCED_FACE('',(#23774),#9113,.T.); #318619=ADVANCED_FACE('',(#23775),#313532,.T.); #318620=ADVANCED_FACE('',(#23776),#9114,.T.); #318621=ADVANCED_FACE('',(#23777),#313533,.T.); #318622=ADVANCED_FACE('',(#23778),#313534,.T.); #318623=ADVANCED_FACE('',(#23779),#9115,.T.); #318624=ADVANCED_FACE('',(#23780),#9116,.T.); #318625=ADVANCED_FACE('',(#23781),#9117,.T.); #318626=ADVANCED_FACE('',(#23782),#9118,.T.); #318627=ADVANCED_FACE('',(#23783),#9119,.T.); #318628=ADVANCED_FACE('',(#23784),#313535,.T.); #318629=ADVANCED_FACE('',(#23785),#9120,.T.); #318630=ADVANCED_FACE('',(#23786),#9121,.T.); #318631=ADVANCED_FACE('',(#23787,#4713),#9122,.T.); #318632=ADVANCED_FACE('',(#23788,#4714),#9123,.F.); #318633=ADVANCED_FACE('',(#23789),#9124,.T.); #318634=ADVANCED_FACE('',(#23790),#9125,.T.); #318635=ADVANCED_FACE('',(#23791),#9126,.T.); #318636=ADVANCED_FACE('',(#23792),#9127,.T.); #318637=ADVANCED_FACE('',(#23793),#313536,.T.); #318638=ADVANCED_FACE('',(#23794),#9128,.T.); #318639=ADVANCED_FACE('',(#23795),#313537,.T.); #318640=ADVANCED_FACE('',(#23796),#9129,.T.); #318641=ADVANCED_FACE('',(#23797),#9130,.T.); #318642=ADVANCED_FACE('',(#23798),#9131,.T.); #318643=ADVANCED_FACE('',(#23799),#9132,.T.); #318644=ADVANCED_FACE('',(#23800),#9133,.T.); #318645=ADVANCED_FACE('',(#23801),#9134,.T.); #318646=ADVANCED_FACE('',(#23802),#9135,.T.); #318647=ADVANCED_FACE('',(#23803),#9136,.T.); #318648=ADVANCED_FACE('',(#23804),#9137,.T.); #318649=ADVANCED_FACE('',(#23805),#313538,.T.); #318650=ADVANCED_FACE('',(#23806),#9138,.T.); #318651=ADVANCED_FACE('',(#23807),#313539,.T.); #318652=ADVANCED_FACE('',(#23808),#9139,.T.); #318653=ADVANCED_FACE('',(#23809),#9140,.T.); #318654=ADVANCED_FACE('',(#23810),#9141,.T.); #318655=ADVANCED_FACE('',(#23811),#9142,.T.); #318656=ADVANCED_FACE('',(#23812),#9143,.T.); #318657=ADVANCED_FACE('',(#23813),#9144,.T.); #318658=ADVANCED_FACE('',(#23814),#9145,.F.); #318659=ADVANCED_FACE('',(#23815),#313540,.F.); #318660=ADVANCED_FACE('',(#23816),#313541,.F.); #318661=ADVANCED_FACE('',(#23817),#9146,.T.); #318662=ADVANCED_FACE('',(#23818),#313542,.T.); #318663=ADVANCED_FACE('',(#23819),#313543,.T.); #318664=ADVANCED_FACE('',(#23820),#9147,.T.); #318665=ADVANCED_FACE('',(#23821),#313544,.T.); #318666=ADVANCED_FACE('',(#23822),#313545,.T.); #318667=ADVANCED_FACE('',(#23823),#9148,.T.); #318668=ADVANCED_FACE('',(#23824),#313546,.T.); #318669=ADVANCED_FACE('',(#23825,#4715,#4716),#9149,.T.); #318670=ADVANCED_FACE('',(#23826,#4717,#4718),#9150,.F.); #318671=ADVANCED_FACE('',(#23827),#313547,.F.); #318672=ADVANCED_FACE('',(#23828),#9151,.T.); #318673=ADVANCED_FACE('',(#23829),#9152,.T.); #318674=ADVANCED_FACE('',(#23830),#9153,.T.); #318675=ADVANCED_FACE('',(#23831),#9154,.T.); #318676=ADVANCED_FACE('',(#23832,#4719),#9155,.T.); #318677=ADVANCED_FACE('',(#23833,#4720),#9156,.F.); #318678=ADVANCED_FACE('',(#23834),#313548,.F.); #318679=ADVANCED_FACE('',(#23835),#9157,.T.); #318680=ADVANCED_FACE('',(#23836),#9158,.T.); #318681=ADVANCED_FACE('',(#23837),#9159,.T.); #318682=ADVANCED_FACE('',(#23838),#9160,.T.); #318683=ADVANCED_FACE('',(#23839,#4721),#9161,.T.); #318684=ADVANCED_FACE('',(#23840,#4722),#9162,.F.); #318685=ADVANCED_FACE('',(#23841),#313549,.F.); #318686=ADVANCED_FACE('',(#23842),#9163,.T.); #318687=ADVANCED_FACE('',(#23843),#9164,.T.); #318688=ADVANCED_FACE('',(#23844),#9165,.T.); #318689=ADVANCED_FACE('',(#23845),#9166,.T.); #318690=ADVANCED_FACE('',(#23846),#9167,.T.); #318691=ADVANCED_FACE('',(#23847),#9168,.T.); #318692=ADVANCED_FACE('',(#23848),#9169,.T.); #318693=ADVANCED_FACE('',(#23849),#9170,.T.); #318694=ADVANCED_FACE('',(#23850),#9171,.T.); #318695=ADVANCED_FACE('',(#23851),#9172,.T.); #318696=ADVANCED_FACE('',(#23852),#313550,.T.); #318697=ADVANCED_FACE('',(#23853),#9173,.T.); #318698=ADVANCED_FACE('',(#23854),#313551,.T.); #318699=ADVANCED_FACE('',(#23855),#9174,.T.); #318700=ADVANCED_FACE('',(#23856),#9175,.T.); #318701=ADVANCED_FACE('',(#23857),#9176,.T.); #318702=ADVANCED_FACE('',(#23858),#313552,.T.); #318703=ADVANCED_FACE('',(#23859),#9177,.T.); #318704=ADVANCED_FACE('',(#23860),#313553,.T.); #318705=ADVANCED_FACE('',(#23861),#9178,.T.); #318706=ADVANCED_FACE('',(#23862),#9179,.T.); #318707=ADVANCED_FACE('',(#23863),#9180,.T.); #318708=ADVANCED_FACE('',(#23864),#313554,.T.); #318709=ADVANCED_FACE('',(#23865),#313555,.T.); #318710=ADVANCED_FACE('',(#23866),#9181,.T.); #318711=ADVANCED_FACE('',(#23867),#313556,.T.); #318712=ADVANCED_FACE('',(#23868),#9182,.T.); #318713=ADVANCED_FACE('',(#23869),#313557,.T.); #318714=ADVANCED_FACE('',(#23870),#9183,.T.); #318715=ADVANCED_FACE('',(#23871),#9184,.T.); #318716=ADVANCED_FACE('',(#23872),#9185,.T.); #318717=ADVANCED_FACE('',(#23873),#313558,.T.); #318718=ADVANCED_FACE('',(#23874),#9186,.T.); #318719=ADVANCED_FACE('',(#23875),#313559,.T.); #318720=ADVANCED_FACE('',(#23876),#9187,.T.); #318721=ADVANCED_FACE('',(#23877),#9188,.T.); #318722=ADVANCED_FACE('',(#23878),#9189,.T.); #318723=ADVANCED_FACE('',(#23879),#9190,.T.); #318724=ADVANCED_FACE('',(#23880),#9191,.T.); #318725=ADVANCED_FACE('',(#23881),#9192,.T.); #318726=ADVANCED_FACE('',(#23882),#9193,.T.); #318727=ADVANCED_FACE('',(#23883),#9194,.T.); #318728=ADVANCED_FACE('',(#23884),#9195,.T.); #318729=ADVANCED_FACE('',(#23885,#4723),#9196,.T.); #318730=ADVANCED_FACE('',(#23886,#4724),#9197,.F.); #318731=ADVANCED_FACE('',(#23887),#313560,.F.); #318732=ADVANCED_FACE('',(#23888),#9198,.T.); #318733=ADVANCED_FACE('',(#23889),#9199,.T.); #318734=ADVANCED_FACE('',(#23890),#9200,.T.); #318735=ADVANCED_FACE('',(#23891),#9201,.T.); #318736=ADVANCED_FACE('',(#23892),#9202,.T.); #318737=ADVANCED_FACE('',(#23893),#313561,.T.); #318738=ADVANCED_FACE('',(#23894),#9203,.T.); #318739=ADVANCED_FACE('',(#23895),#9204,.T.); #318740=ADVANCED_FACE('',(#23896),#313562,.T.); #318741=ADVANCED_FACE('',(#23897),#9205,.T.); #318742=ADVANCED_FACE('',(#23898),#9206,.T.); #318743=ADVANCED_FACE('',(#23899),#313563,.T.); #318744=ADVANCED_FACE('',(#23900),#313564,.T.); #318745=ADVANCED_FACE('',(#23901),#9207,.T.); #318746=ADVANCED_FACE('',(#23902),#313565,.T.); #318747=ADVANCED_FACE('',(#23903),#9208,.T.); #318748=ADVANCED_FACE('',(#23904),#9209,.T.); #318749=ADVANCED_FACE('',(#23905),#313566,.T.); #318750=ADVANCED_FACE('',(#23906),#9210,.T.); #318751=ADVANCED_FACE('',(#23907),#9211,.T.); #318752=ADVANCED_FACE('',(#23908),#9212,.T.); #318753=ADVANCED_FACE('',(#23909,#4725),#9213,.T.); #318754=ADVANCED_FACE('',(#23910,#4726),#9214,.F.); #318755=ADVANCED_FACE('',(#23911),#313567,.F.); #318756=ADVANCED_FACE('',(#23912),#9215,.T.); #318757=ADVANCED_FACE('',(#23913),#9216,.T.); #318758=ADVANCED_FACE('',(#23914),#9217,.T.); #318759=ADVANCED_FACE('',(#23915),#9218,.T.); #318760=ADVANCED_FACE('',(#23916,#4727),#9219,.T.); #318761=ADVANCED_FACE('',(#23917,#4728),#9220,.F.); #318762=ADVANCED_FACE('',(#23918),#313568,.F.); #318763=ADVANCED_FACE('',(#23919),#9221,.T.); #318764=ADVANCED_FACE('',(#23920),#9222,.T.); #318765=ADVANCED_FACE('',(#23921),#9223,.T.); #318766=ADVANCED_FACE('',(#23922),#9224,.T.); #318767=ADVANCED_FACE('',(#23923,#4729),#9225,.T.); #318768=ADVANCED_FACE('',(#23924,#4730),#9226,.F.); #318769=ADVANCED_FACE('',(#23925),#313569,.F.); #318770=ADVANCED_FACE('',(#23926),#9227,.T.); #318771=ADVANCED_FACE('',(#23927),#313570,.T.); #318772=ADVANCED_FACE('',(#23928),#9228,.T.); #318773=ADVANCED_FACE('',(#23929),#313571,.T.); #318774=ADVANCED_FACE('',(#23930),#9229,.T.); #318775=ADVANCED_FACE('',(#23931),#9230,.T.); #318776=ADVANCED_FACE('',(#23932),#9231,.T.); #318777=ADVANCED_FACE('',(#23933),#9232,.T.); #318778=ADVANCED_FACE('',(#23934),#9233,.T.); #318779=ADVANCED_FACE('',(#23935),#9234,.T.); #318780=ADVANCED_FACE('',(#23936),#9235,.T.); #318781=ADVANCED_FACE('',(#23937),#9236,.T.); #318782=ADVANCED_FACE('',(#23938),#9237,.T.); #318783=ADVANCED_FACE('',(#23939),#313572,.T.); #318784=ADVANCED_FACE('',(#23940,#4731),#9238,.T.); #318785=ADVANCED_FACE('',(#23941,#4732),#9239,.F.); #318786=ADVANCED_FACE('',(#23942),#313573,.F.); #318787=ADVANCED_FACE('',(#23943),#9240,.T.); #318788=ADVANCED_FACE('',(#23944),#313574,.T.); #318789=ADVANCED_FACE('',(#23945),#313575,.T.); #318790=ADVANCED_FACE('',(#23946,#4733),#9241,.T.); #318791=ADVANCED_FACE('',(#23947,#4734),#9242,.F.); #318792=ADVANCED_FACE('',(#23948),#313576,.F.); #318793=ADVANCED_FACE('',(#23949),#313577,.F.); #318794=ADVANCED_FACE('',(#23950),#9243,.T.); #318795=ADVANCED_FACE('',(#23951),#313578,.T.); #318796=ADVANCED_FACE('',(#23952),#9244,.T.); #318797=ADVANCED_FACE('',(#23953),#313579,.T.); #318798=ADVANCED_FACE('',(#23954),#313580,.T.); #318799=ADVANCED_FACE('',(#23955),#9245,.T.); #318800=ADVANCED_FACE('',(#23956),#313581,.T.); #318801=ADVANCED_FACE('',(#23957,#4735,#4736),#9246,.T.); #318802=ADVANCED_FACE('',(#23958,#4737,#4738),#9247,.F.); #318803=ADVANCED_FACE('',(#23959),#313582,.F.); #318804=ADVANCED_FACE('',(#23960),#9248,.T.); #318805=ADVANCED_FACE('',(#23961),#313583,.T.); #318806=ADVANCED_FACE('',(#23962),#313584,.T.); #318807=ADVANCED_FACE('',(#23963,#4739),#9249,.T.); #318808=ADVANCED_FACE('',(#23964,#4740),#9250,.F.); #318809=ADVANCED_FACE('',(#23965),#313585,.F.); #318810=ADVANCED_FACE('',(#23966),#9251,.T.); #318811=ADVANCED_FACE('',(#23967),#9252,.T.); #318812=ADVANCED_FACE('',(#23968),#9253,.T.); #318813=ADVANCED_FACE('',(#23969),#9254,.T.); #318814=ADVANCED_FACE('',(#23970,#4741),#9255,.T.); #318815=ADVANCED_FACE('',(#23971,#4742),#9256,.F.); #318816=ADVANCED_FACE('',(#23972),#313586,.F.); #318817=ADVANCED_FACE('',(#23973),#9257,.T.); #318818=ADVANCED_FACE('',(#23974),#9258,.T.); #318819=ADVANCED_FACE('',(#23975),#9259,.T.); #318820=ADVANCED_FACE('',(#23976),#9260,.T.); #318821=ADVANCED_FACE('',(#23977),#9261,.T.); #318822=ADVANCED_FACE('',(#23978),#9262,.T.); #318823=ADVANCED_FACE('',(#23979),#9263,.T.); #318824=ADVANCED_FACE('',(#23980),#9264,.T.); #318825=ADVANCED_FACE('',(#23981,#4743),#9265,.T.); #318826=ADVANCED_FACE('',(#23982,#4744),#9266,.F.); #318827=ADVANCED_FACE('',(#23983),#313587,.F.); #318828=ADVANCED_FACE('',(#23984),#9267,.T.); #318829=ADVANCED_FACE('',(#23985),#313588,.T.); #318830=ADVANCED_FACE('',(#23986),#313589,.T.); #318831=ADVANCED_FACE('',(#23987,#4745),#9268,.T.); #318832=ADVANCED_FACE('',(#23988,#4746),#9269,.F.); #318833=ADVANCED_FACE('',(#23989),#313590,.F.); #318834=ADVANCED_FACE('',(#23990),#9270,.T.); #318835=ADVANCED_FACE('',(#23991),#9271,.T.); #318836=ADVANCED_FACE('',(#23992),#9272,.T.); #318837=ADVANCED_FACE('',(#23993),#9273,.T.); #318838=ADVANCED_FACE('',(#23994),#9274,.T.); #318839=ADVANCED_FACE('',(#23995),#9275,.T.); #318840=ADVANCED_FACE('',(#23996),#9276,.T.); #318841=ADVANCED_FACE('',(#23997),#9277,.T.); #318842=ADVANCED_FACE('',(#23998),#9278,.T.); #318843=ADVANCED_FACE('',(#23999),#9279,.T.); #318844=ADVANCED_FACE('',(#24000),#9280,.T.); #318845=ADVANCED_FACE('',(#24001),#9281,.T.); #318846=ADVANCED_FACE('',(#24002),#313591,.T.); #318847=ADVANCED_FACE('',(#24003),#9282,.T.); #318848=ADVANCED_FACE('',(#24004),#313592,.T.); #318849=ADVANCED_FACE('',(#24005),#9283,.T.); #318850=ADVANCED_FACE('',(#24006),#9284,.T.); #318851=ADVANCED_FACE('',(#24007),#9285,.T.); #318852=ADVANCED_FACE('',(#24008),#313593,.T.); #318853=ADVANCED_FACE('',(#24009),#9286,.T.); #318854=ADVANCED_FACE('',(#24010),#313594,.T.); #318855=ADVANCED_FACE('',(#24011),#9287,.T.); #318856=ADVANCED_FACE('',(#24012),#313595,.T.); #318857=ADVANCED_FACE('',(#24013),#313596,.T.); #318858=ADVANCED_FACE('',(#24014),#9288,.T.); #318859=ADVANCED_FACE('',(#24015),#9289,.T.); #318860=ADVANCED_FACE('',(#24016),#9290,.T.); #318861=ADVANCED_FACE('',(#24017),#313597,.T.); #318862=ADVANCED_FACE('',(#24018),#9291,.T.); #318863=ADVANCED_FACE('',(#24019),#313598,.T.); #318864=ADVANCED_FACE('',(#24020),#9292,.T.); #318865=ADVANCED_FACE('',(#24021),#9293,.T.); #318866=ADVANCED_FACE('',(#24022),#9294,.T.); #318867=ADVANCED_FACE('',(#24023),#313599,.T.); #318868=ADVANCED_FACE('',(#24024),#9295,.T.); #318869=ADVANCED_FACE('',(#24025),#313600,.T.); #318870=ADVANCED_FACE('',(#24026),#9296,.T.); #318871=ADVANCED_FACE('',(#24027),#9297,.T.); #318872=ADVANCED_FACE('',(#24028),#9298,.T.); #318873=ADVANCED_FACE('',(#24029),#9299,.T.); #318874=ADVANCED_FACE('',(#24030),#9300,.T.); #318875=ADVANCED_FACE('',(#24031),#9301,.T.); #318876=ADVANCED_FACE('',(#24032),#9302,.T.); #318877=ADVANCED_FACE('',(#24033,#4747),#9303,.T.); #318878=ADVANCED_FACE('',(#24034,#4748),#9304,.F.); #318879=ADVANCED_FACE('',(#24035),#313601,.F.); #318880=ADVANCED_FACE('',(#24036),#9305,.T.); #318881=ADVANCED_FACE('',(#24037),#9306,.T.); #318882=ADVANCED_FACE('',(#24038),#9307,.T.); #318883=ADVANCED_FACE('',(#24039),#9308,.T.); #318884=ADVANCED_FACE('',(#24040),#313602,.T.); #318885=ADVANCED_FACE('',(#24041),#9309,.T.); #318886=ADVANCED_FACE('',(#24042),#313603,.T.); #318887=ADVANCED_FACE('',(#24043),#9310,.T.); #318888=ADVANCED_FACE('',(#24044),#9311,.T.); #318889=ADVANCED_FACE('',(#24045),#313604,.T.); #318890=ADVANCED_FACE('',(#24046),#9312,.T.); #318891=ADVANCED_FACE('',(#24047),#313605,.T.); #318892=ADVANCED_FACE('',(#24048),#313606,.T.); #318893=ADVANCED_FACE('',(#24049),#9313,.T.); #318894=ADVANCED_FACE('',(#24050),#9314,.T.); #318895=ADVANCED_FACE('',(#24051),#313607,.T.); #318896=ADVANCED_FACE('',(#24052),#9315,.T.); #318897=ADVANCED_FACE('',(#24053),#9316,.T.); #318898=ADVANCED_FACE('',(#24054),#9317,.T.); #318899=ADVANCED_FACE('',(#24055),#9318,.T.); #318900=ADVANCED_FACE('',(#24056),#9319,.T.); #318901=ADVANCED_FACE('',(#24057,#4749),#9320,.T.); #318902=ADVANCED_FACE('',(#24058,#4750),#9321,.F.); #318903=ADVANCED_FACE('',(#24059),#9322,.T.); #318904=ADVANCED_FACE('',(#24060),#9323,.T.); #318905=ADVANCED_FACE('',(#24061),#9324,.T.); #318906=ADVANCED_FACE('',(#24062),#9325,.T.); #318907=ADVANCED_FACE('',(#24063),#9326,.T.); #318908=ADVANCED_FACE('',(#24064),#9327,.T.); #318909=ADVANCED_FACE('',(#24065),#9328,.T.); #318910=ADVANCED_FACE('',(#24066),#9329,.T.); #318911=ADVANCED_FACE('',(#24067),#9330,.T.); #318912=ADVANCED_FACE('',(#24068),#9331,.T.); #318913=ADVANCED_FACE('',(#24069),#9332,.T.); #318914=ADVANCED_FACE('',(#24070),#9333,.T.); #318915=ADVANCED_FACE('',(#24071),#9334,.T.); #318916=ADVANCED_FACE('',(#24072),#9335,.F.); #318917=ADVANCED_FACE('',(#24073),#9336,.T.); #318918=ADVANCED_FACE('',(#24074),#9337,.T.); #318919=ADVANCED_FACE('',(#24075),#9338,.T.); #318920=ADVANCED_FACE('',(#24076),#9339,.T.); #318921=ADVANCED_FACE('',(#24077),#9340,.T.); #318922=ADVANCED_FACE('',(#24078),#9341,.T.); #318923=ADVANCED_FACE('',(#24079),#9342,.T.); #318924=ADVANCED_FACE('',(#24080),#9343,.T.); #318925=ADVANCED_FACE('',(#24081),#9344,.T.); #318926=ADVANCED_FACE('',(#24082),#9345,.T.); #318927=ADVANCED_FACE('',(#24083),#9346,.T.); #318928=ADVANCED_FACE('',(#24084),#9347,.T.); #318929=ADVANCED_FACE('',(#24085),#9348,.T.); #318930=ADVANCED_FACE('',(#24086),#9349,.F.); #318931=ADVANCED_FACE('',(#24087),#313608,.F.); #318932=ADVANCED_FACE('',(#24088),#9350,.T.); #318933=ADVANCED_FACE('',(#24089),#9351,.T.); #318934=ADVANCED_FACE('',(#24090),#9352,.T.); #318935=ADVANCED_FACE('',(#24091),#9353,.T.); #318936=ADVANCED_FACE('',(#24092),#313609,.T.); #318937=ADVANCED_FACE('',(#24093),#9354,.T.); #318938=ADVANCED_FACE('',(#24094),#313610,.T.); #318939=ADVANCED_FACE('',(#24095),#9355,.T.); #318940=ADVANCED_FACE('',(#24096),#313611,.T.); #318941=ADVANCED_FACE('',(#24097),#9356,.T.); #318942=ADVANCED_FACE('',(#24098),#313612,.T.); #318943=ADVANCED_FACE('',(#24099),#313613,.T.); #318944=ADVANCED_FACE('',(#24100),#9357,.T.); #318945=ADVANCED_FACE('',(#24101),#9358,.T.); #318946=ADVANCED_FACE('',(#24102),#9359,.T.); #318947=ADVANCED_FACE('',(#24103),#9360,.T.); #318948=ADVANCED_FACE('',(#24104),#9361,.T.); #318949=ADVANCED_FACE('',(#24105,#4751),#9362,.T.); #318950=ADVANCED_FACE('',(#24106,#4752),#9363,.F.); #318951=ADVANCED_FACE('',(#24107),#313614,.F.); #318952=ADVANCED_FACE('',(#24108),#9364,.T.); #318953=ADVANCED_FACE('',(#24109),#313615,.T.); #318954=ADVANCED_FACE('',(#24110),#9365,.T.); #318955=ADVANCED_FACE('',(#24111),#313616,.T.); #318956=ADVANCED_FACE('',(#24112),#9366,.T.); #318957=ADVANCED_FACE('',(#24113),#313617,.T.); #318958=ADVANCED_FACE('',(#24114),#9367,.T.); #318959=ADVANCED_FACE('',(#24115),#9368,.T.); #318960=ADVANCED_FACE('',(#24116),#9369,.T.); #318961=ADVANCED_FACE('',(#24117),#9370,.T.); #318962=ADVANCED_FACE('',(#24118),#9371,.T.); #318963=ADVANCED_FACE('',(#24119),#9372,.T.); #318964=ADVANCED_FACE('',(#24120),#9373,.T.); #318965=ADVANCED_FACE('',(#24121),#9374,.T.); #318966=ADVANCED_FACE('',(#24122),#9375,.T.); #318967=ADVANCED_FACE('',(#24123),#313618,.T.); #318968=ADVANCED_FACE('',(#24124),#9376,.T.); #318969=ADVANCED_FACE('',(#24125),#313619,.T.); #318970=ADVANCED_FACE('',(#24126),#9377,.T.); #318971=ADVANCED_FACE('',(#24127),#9378,.T.); #318972=ADVANCED_FACE('',(#24128),#9379,.T.); #318973=ADVANCED_FACE('',(#24129),#313620,.T.); #318974=ADVANCED_FACE('',(#24130,#4753),#9380,.T.); #318975=ADVANCED_FACE('',(#24131,#4754),#9381,.F.); #318976=ADVANCED_FACE('',(#24132),#313621,.F.); #318977=ADVANCED_FACE('',(#24133),#9382,.T.); #318978=ADVANCED_FACE('',(#24134),#313622,.T.); #318979=ADVANCED_FACE('',(#24135),#313623,.T.); #318980=ADVANCED_FACE('',(#24136),#9383,.T.); #318981=ADVANCED_FACE('',(#24137),#9384,.T.); #318982=ADVANCED_FACE('',(#24138),#9385,.T.); #318983=ADVANCED_FACE('',(#24139),#9386,.T.); #318984=ADVANCED_FACE('',(#24140),#9387,.T.); #318985=ADVANCED_FACE('',(#24141),#9388,.T.); #318986=ADVANCED_FACE('',(#24142),#313624,.T.); #318987=ADVANCED_FACE('',(#24143),#9389,.T.); #318988=ADVANCED_FACE('',(#24144),#313625,.T.); #318989=ADVANCED_FACE('',(#24145,#4755),#9390,.T.); #318990=ADVANCED_FACE('',(#24146,#4756),#9391,.F.); #318991=ADVANCED_FACE('',(#24147),#9392,.T.); #318992=ADVANCED_FACE('',(#24148),#9393,.T.); #318993=ADVANCED_FACE('',(#24149),#9394,.T.); #318994=ADVANCED_FACE('',(#24150),#9395,.T.); #318995=ADVANCED_FACE('',(#24151),#313626,.T.); #318996=ADVANCED_FACE('',(#24152),#9396,.T.); #318997=ADVANCED_FACE('',(#24153),#313627,.T.); #318998=ADVANCED_FACE('',(#24154),#9397,.T.); #318999=ADVANCED_FACE('',(#24155),#9398,.T.); #319000=ADVANCED_FACE('',(#24156),#9399,.T.); #319001=ADVANCED_FACE('',(#24157),#313628,.T.); #319002=ADVANCED_FACE('',(#24158),#9400,.T.); #319003=ADVANCED_FACE('',(#24159),#313629,.T.); #319004=ADVANCED_FACE('',(#24160),#9401,.T.); #319005=ADVANCED_FACE('',(#24161),#9402,.T.); #319006=ADVANCED_FACE('',(#24162),#9403,.T.); #319007=ADVANCED_FACE('',(#24163),#9404,.T.); #319008=ADVANCED_FACE('',(#24164),#9405,.T.); #319009=ADVANCED_FACE('',(#24165),#9406,.T.); #319010=ADVANCED_FACE('',(#24166),#9407,.T.); #319011=ADVANCED_FACE('',(#24167),#9408,.T.); #319012=ADVANCED_FACE('',(#24168),#9409,.T.); #319013=ADVANCED_FACE('',(#24169),#313630,.T.); #319014=ADVANCED_FACE('',(#24170),#9410,.T.); #319015=ADVANCED_FACE('',(#24171),#313631,.T.); #319016=ADVANCED_FACE('',(#24172),#9411,.T.); #319017=ADVANCED_FACE('',(#24173),#9412,.T.); #319018=ADVANCED_FACE('',(#24174),#9413,.T.); #319019=ADVANCED_FACE('',(#24175),#313632,.T.); #319020=ADVANCED_FACE('',(#24176),#9414,.T.); #319021=ADVANCED_FACE('',(#24177),#313633,.T.); #319022=ADVANCED_FACE('',(#24178),#9415,.T.); #319023=ADVANCED_FACE('',(#24179),#9416,.T.); #319024=ADVANCED_FACE('',(#24180),#9417,.T.); #319025=ADVANCED_FACE('',(#24181),#9418,.T.); #319026=ADVANCED_FACE('',(#24182),#9419,.T.); #319027=ADVANCED_FACE('',(#24183),#9420,.T.); #319028=ADVANCED_FACE('',(#24184),#9421,.F.); #319029=ADVANCED_FACE('',(#24185),#313634,.F.); #319030=ADVANCED_FACE('',(#24186),#9422,.T.); #319031=ADVANCED_FACE('',(#24187),#313635,.T.); #319032=ADVANCED_FACE('',(#24188),#9423,.T.); #319033=ADVANCED_FACE('',(#24189),#9424,.T.); #319034=ADVANCED_FACE('',(#24190),#9425,.T.); #319035=ADVANCED_FACE('',(#24191),#9426,.T.); #319036=ADVANCED_FACE('',(#24192),#9427,.T.); #319037=ADVANCED_FACE('',(#24193),#9428,.T.); #319038=ADVANCED_FACE('',(#24194),#9429,.T.); #319039=ADVANCED_FACE('',(#24195),#313636,.T.); #319040=ADVANCED_FACE('',(#24196,#4757),#9430,.T.); #319041=ADVANCED_FACE('',(#24197,#4758),#9431,.F.); #319042=ADVANCED_FACE('',(#24198),#313637,.F.); #319043=ADVANCED_FACE('',(#24199),#313638,.F.); #319044=ADVANCED_FACE('',(#24200),#9432,.T.); #319045=ADVANCED_FACE('',(#24201),#9433,.T.); #319046=ADVANCED_FACE('',(#24202),#313639,.T.); #319047=ADVANCED_FACE('',(#24203),#313640,.T.); #319048=ADVANCED_FACE('',(#24204),#9434,.T.); #319049=ADVANCED_FACE('',(#24205),#9435,.T.); #319050=ADVANCED_FACE('',(#24206),#313641,.T.); #319051=ADVANCED_FACE('',(#24207),#313642,.T.); #319052=ADVANCED_FACE('',(#24208),#9436,.T.); #319053=ADVANCED_FACE('',(#24209),#9437,.T.); #319054=ADVANCED_FACE('',(#24210),#9438,.T.); #319055=ADVANCED_FACE('',(#24211),#9439,.T.); #319056=ADVANCED_FACE('',(#24212),#9440,.T.); #319057=ADVANCED_FACE('',(#24213),#9441,.T.); #319058=ADVANCED_FACE('',(#24214),#9442,.T.); #319059=ADVANCED_FACE('',(#24215),#9443,.T.); #319060=ADVANCED_FACE('',(#24216),#9444,.T.); #319061=ADVANCED_FACE('',(#24217),#9445,.T.); #319062=ADVANCED_FACE('',(#24218),#313643,.T.); #319063=ADVANCED_FACE('',(#24219),#9446,.T.); #319064=ADVANCED_FACE('',(#24220),#313644,.T.); #319065=ADVANCED_FACE('',(#24221,#4759,#4760),#9447,.T.); #319066=ADVANCED_FACE('',(#24222,#4761,#4762),#9448,.F.); #319067=ADVANCED_FACE('',(#24223),#9449,.T.); #319068=ADVANCED_FACE('',(#24224),#9450,.T.); #319069=ADVANCED_FACE('',(#24225),#9451,.T.); #319070=ADVANCED_FACE('',(#24226),#9452,.T.); #319071=ADVANCED_FACE('',(#24227),#313645,.T.); #319072=ADVANCED_FACE('',(#24228),#9453,.T.); #319073=ADVANCED_FACE('',(#24229),#9454,.T.); #319074=ADVANCED_FACE('',(#24230),#9455,.T.); #319075=ADVANCED_FACE('',(#24231),#9456,.T.); #319076=ADVANCED_FACE('',(#24232),#9457,.T.); #319077=ADVANCED_FACE('',(#24233),#9458,.T.); #319078=ADVANCED_FACE('',(#24234),#9459,.T.); #319079=ADVANCED_FACE('',(#24235),#9460,.T.); #319080=ADVANCED_FACE('',(#24236),#9461,.T.); #319081=ADVANCED_FACE('',(#24237),#9462,.T.); #319082=ADVANCED_FACE('',(#24238),#9463,.F.); #319083=ADVANCED_FACE('',(#24239),#313646,.F.); #319084=ADVANCED_FACE('',(#24240),#313647,.F.); #319085=ADVANCED_FACE('',(#24241),#313648,.F.); #319086=ADVANCED_FACE('',(#24242),#313649,.F.); #319087=ADVANCED_FACE('',(#24243),#313650,.F.); #319088=ADVANCED_FACE('',(#24244),#313651,.F.); #319089=ADVANCED_FACE('',(#24245),#313652,.F.); #319090=ADVANCED_FACE('',(#24246),#313653,.F.); #319091=ADVANCED_FACE('',(#24247),#313654,.F.); #319092=ADVANCED_FACE('',(#24248),#313655,.F.); #319093=ADVANCED_FACE('',(#24249),#313656,.F.); #319094=ADVANCED_FACE('',(#24250),#313657,.F.); #319095=ADVANCED_FACE('',(#24251),#313658,.F.); #319096=ADVANCED_FACE('',(#24252),#9464,.T.); #319097=ADVANCED_FACE('',(#24253),#9465,.T.); #319098=ADVANCED_FACE('',(#24254),#9466,.T.); #319099=ADVANCED_FACE('',(#24255),#9467,.T.); #319100=ADVANCED_FACE('',(#24256),#9468,.T.); #319101=ADVANCED_FACE('',(#24257),#9469,.T.); #319102=ADVANCED_FACE('',(#24258),#9470,.T.); #319103=ADVANCED_FACE('',(#24259),#9471,.T.); #319104=ADVANCED_FACE('',(#24260),#9472,.T.); #319105=ADVANCED_FACE('',(#24261),#9473,.T.); #319106=ADVANCED_FACE('',(#24262),#9474,.T.); #319107=ADVANCED_FACE('',(#24263),#9475,.T.); #319108=ADVANCED_FACE('',(#24264),#9476,.T.); #319109=ADVANCED_FACE('',(#24265),#9477,.T.); #319110=ADVANCED_FACE('',(#24266),#9478,.T.); #319111=ADVANCED_FACE('',(#24267),#9479,.T.); #319112=ADVANCED_FACE('',(#24268),#9480,.T.); #319113=ADVANCED_FACE('',(#24269),#9481,.T.); #319114=ADVANCED_FACE('',(#24270),#9482,.T.); #319115=ADVANCED_FACE('',(#24271),#9483,.T.); #319116=ADVANCED_FACE('',(#24272),#9484,.T.); #319117=ADVANCED_FACE('',(#24273),#9485,.T.); #319118=ADVANCED_FACE('',(#24274),#9486,.T.); #319119=ADVANCED_FACE('',(#24275),#9487,.T.); #319120=ADVANCED_FACE('',(#24276),#9488,.T.); #319121=ADVANCED_FACE('',(#24277),#9489,.T.); #319122=ADVANCED_FACE('',(#24278),#9490,.T.); #319123=ADVANCED_FACE('',(#24279),#9491,.T.); #319124=ADVANCED_FACE('',(#24280),#9492,.T.); #319125=ADVANCED_FACE('',(#24281),#9493,.T.); #319126=ADVANCED_FACE('',(#24282),#9494,.T.); #319127=ADVANCED_FACE('',(#24283),#9495,.T.); #319128=ADVANCED_FACE('',(#24284),#9496,.T.); #319129=ADVANCED_FACE('',(#24285),#9497,.T.); #319130=ADVANCED_FACE('',(#24286),#9498,.T.); #319131=ADVANCED_FACE('',(#24287),#9499,.T.); #319132=ADVANCED_FACE('',(#24288),#9500,.T.); #319133=ADVANCED_FACE('',(#24289),#9501,.T.); #319134=ADVANCED_FACE('',(#24290),#9502,.T.); #319135=ADVANCED_FACE('',(#24291),#9503,.T.); #319136=ADVANCED_FACE('',(#24292),#9504,.T.); #319137=ADVANCED_FACE('',(#24293),#9505,.T.); #319138=ADVANCED_FACE('',(#24294),#9506,.T.); #319139=ADVANCED_FACE('',(#24295),#9507,.T.); #319140=ADVANCED_FACE('',(#24296),#9508,.T.); #319141=ADVANCED_FACE('',(#24297),#9509,.T.); #319142=ADVANCED_FACE('',(#24298),#9510,.T.); #319143=ADVANCED_FACE('',(#24299),#9511,.T.); #319144=ADVANCED_FACE('',(#24300),#9512,.T.); #319145=ADVANCED_FACE('',(#24301),#9513,.T.); #319146=ADVANCED_FACE('',(#24302),#9514,.T.); #319147=ADVANCED_FACE('',(#24303),#9515,.T.); #319148=ADVANCED_FACE('',(#24304),#9516,.T.); #319149=ADVANCED_FACE('',(#24305),#9517,.T.); #319150=ADVANCED_FACE('',(#24306),#9518,.T.); #319151=ADVANCED_FACE('',(#24307),#9519,.T.); #319152=ADVANCED_FACE('',(#24308),#9520,.T.); #319153=ADVANCED_FACE('',(#24309),#9521,.T.); #319154=ADVANCED_FACE('',(#24310),#9522,.T.); #319155=ADVANCED_FACE('',(#24311),#9523,.T.); #319156=ADVANCED_FACE('',(#24312),#9524,.T.); #319157=ADVANCED_FACE('',(#24313),#9525,.T.); #319158=ADVANCED_FACE('',(#24314),#9526,.T.); #319159=ADVANCED_FACE('',(#24315),#9527,.T.); #319160=ADVANCED_FACE('',(#24316),#9528,.T.); #319161=ADVANCED_FACE('',(#24317),#9529,.T.); #319162=ADVANCED_FACE('',(#24318),#9530,.T.); #319163=ADVANCED_FACE('',(#24319),#9531,.T.); #319164=ADVANCED_FACE('',(#24320),#9532,.T.); #319165=ADVANCED_FACE('',(#24321),#9533,.T.); #319166=ADVANCED_FACE('',(#24322),#9534,.T.); #319167=ADVANCED_FACE('',(#24323),#9535,.T.); #319168=ADVANCED_FACE('',(#24324),#9536,.T.); #319169=ADVANCED_FACE('',(#24325),#9537,.T.); #319170=ADVANCED_FACE('',(#24326),#9538,.T.); #319171=ADVANCED_FACE('',(#24327),#9539,.T.); #319172=ADVANCED_FACE('',(#24328),#9540,.T.); #319173=ADVANCED_FACE('',(#24329),#9541,.T.); #319174=ADVANCED_FACE('',(#24330),#9542,.T.); #319175=ADVANCED_FACE('',(#24331),#9543,.T.); #319176=ADVANCED_FACE('',(#24332),#9544,.T.); #319177=ADVANCED_FACE('',(#24333),#9545,.T.); #319178=ADVANCED_FACE('',(#24334),#9546,.T.); #319179=ADVANCED_FACE('',(#24335),#9547,.T.); #319180=ADVANCED_FACE('',(#24336),#9548,.T.); #319181=ADVANCED_FACE('',(#24337),#9549,.T.); #319182=ADVANCED_FACE('',(#24338),#9550,.T.); #319183=ADVANCED_FACE('',(#24339),#9551,.T.); #319184=ADVANCED_FACE('',(#24340),#9552,.T.); #319185=ADVANCED_FACE('',(#24341),#9553,.T.); #319186=ADVANCED_FACE('',(#24342),#9554,.T.); #319187=ADVANCED_FACE('',(#24343),#9555,.T.); #319188=ADVANCED_FACE('',(#24344),#9556,.T.); #319189=ADVANCED_FACE('',(#24345),#9557,.T.); #319190=ADVANCED_FACE('',(#24346),#9558,.T.); #319191=ADVANCED_FACE('',(#24347),#9559,.T.); #319192=ADVANCED_FACE('',(#24348),#9560,.T.); #319193=ADVANCED_FACE('',(#24349),#9561,.T.); #319194=ADVANCED_FACE('',(#24350,#4763,#4764,#4765,#4766,#4767,#4768,#4769, #4770,#4771,#4772,#4773,#4774,#4775,#4776),#9562,.T.); #319195=ADVANCED_FACE('',(#24351,#4777,#4778,#4779,#4780,#4781,#4782,#4783, #4784,#4785,#4786,#4787,#4788,#4789,#4790),#9563,.F.); #319196=ADVANCED_FACE('',(#24352),#313659,.F.); #319197=ADVANCED_FACE('',(#24353),#9564,.T.); #319198=ADVANCED_FACE('',(#24354),#313660,.T.); #319199=ADVANCED_FACE('',(#24355),#313661,.T.); #319200=ADVANCED_FACE('',(#24356,#4791),#9565,.T.); #319201=ADVANCED_FACE('',(#24357,#4792),#9566,.F.); #319202=ADVANCED_FACE('',(#24358),#313662,.F.); #319203=ADVANCED_FACE('',(#24359),#313663,.F.); #319204=ADVANCED_FACE('',(#24360),#313664,.F.); #319205=ADVANCED_FACE('',(#24361),#313665,.F.); #319206=ADVANCED_FACE('',(#24362),#313666,.F.); #319207=ADVANCED_FACE('',(#24363),#313667,.F.); #319208=ADVANCED_FACE('',(#24364),#313668,.F.); #319209=ADVANCED_FACE('',(#24365),#313669,.F.); #319210=ADVANCED_FACE('',(#24366),#313670,.F.); #319211=ADVANCED_FACE('',(#24367),#313671,.F.); #319212=ADVANCED_FACE('',(#24368),#313672,.F.); #319213=ADVANCED_FACE('',(#24369),#9567,.T.); #319214=ADVANCED_FACE('',(#24370),#9568,.T.); #319215=ADVANCED_FACE('',(#24371),#9569,.T.); #319216=ADVANCED_FACE('',(#24372),#9570,.T.); #319217=ADVANCED_FACE('',(#24373),#313673,.T.); #319218=ADVANCED_FACE('',(#24374),#9571,.T.); #319219=ADVANCED_FACE('',(#24375),#313674,.T.); #319220=ADVANCED_FACE('',(#24376),#9572,.T.); #319221=ADVANCED_FACE('',(#24377),#9573,.T.); #319222=ADVANCED_FACE('',(#24378),#9574,.T.); #319223=ADVANCED_FACE('',(#24379),#9575,.T.); #319224=ADVANCED_FACE('',(#24380),#9576,.T.); #319225=ADVANCED_FACE('',(#24381),#9577,.T.); #319226=ADVANCED_FACE('',(#24382),#9578,.T.); #319227=ADVANCED_FACE('',(#24383),#9579,.T.); #319228=ADVANCED_FACE('',(#24384),#9580,.T.); #319229=ADVANCED_FACE('',(#24385),#9581,.T.); #319230=ADVANCED_FACE('',(#24386),#9582,.T.); #319231=ADVANCED_FACE('',(#24387),#9583,.T.); #319232=ADVANCED_FACE('',(#24388),#9584,.T.); #319233=ADVANCED_FACE('',(#24389),#9585,.T.); #319234=ADVANCED_FACE('',(#24390),#9586,.T.); #319235=ADVANCED_FACE('',(#24391),#9587,.T.); #319236=ADVANCED_FACE('',(#24392),#9588,.T.); #319237=ADVANCED_FACE('',(#24393),#9589,.T.); #319238=ADVANCED_FACE('',(#24394),#9590,.T.); #319239=ADVANCED_FACE('',(#24395),#9591,.T.); #319240=ADVANCED_FACE('',(#24396),#9592,.T.); #319241=ADVANCED_FACE('',(#24397),#9593,.T.); #319242=ADVANCED_FACE('',(#24398),#9594,.T.); #319243=ADVANCED_FACE('',(#24399),#9595,.T.); #319244=ADVANCED_FACE('',(#24400),#9596,.T.); #319245=ADVANCED_FACE('',(#24401),#9597,.T.); #319246=ADVANCED_FACE('',(#24402),#9598,.T.); #319247=ADVANCED_FACE('',(#24403),#9599,.T.); #319248=ADVANCED_FACE('',(#24404),#9600,.T.); #319249=ADVANCED_FACE('',(#24405),#9601,.T.); #319250=ADVANCED_FACE('',(#24406),#9602,.T.); #319251=ADVANCED_FACE('',(#24407),#9603,.T.); #319252=ADVANCED_FACE('',(#24408),#9604,.T.); #319253=ADVANCED_FACE('',(#24409),#9605,.T.); #319254=ADVANCED_FACE('',(#24410),#9606,.T.); #319255=ADVANCED_FACE('',(#24411),#9607,.T.); #319256=ADVANCED_FACE('',(#24412),#9608,.T.); #319257=ADVANCED_FACE('',(#24413),#9609,.T.); #319258=ADVANCED_FACE('',(#24414),#9610,.T.); #319259=ADVANCED_FACE('',(#24415),#9611,.T.); #319260=ADVANCED_FACE('',(#24416),#9612,.T.); #319261=ADVANCED_FACE('',(#24417),#9613,.T.); #319262=ADVANCED_FACE('',(#24418),#9614,.T.); #319263=ADVANCED_FACE('',(#24419),#9615,.T.); #319264=ADVANCED_FACE('',(#24420),#9616,.T.); #319265=ADVANCED_FACE('',(#24421),#9617,.T.); #319266=ADVANCED_FACE('',(#24422),#9618,.T.); #319267=ADVANCED_FACE('',(#24423),#9619,.T.); #319268=ADVANCED_FACE('',(#24424),#9620,.T.); #319269=ADVANCED_FACE('',(#24425),#9621,.T.); #319270=ADVANCED_FACE('',(#24426),#9622,.T.); #319271=ADVANCED_FACE('',(#24427),#9623,.T.); #319272=ADVANCED_FACE('',(#24428),#9624,.T.); #319273=ADVANCED_FACE('',(#24429),#9625,.T.); #319274=ADVANCED_FACE('',(#24430),#9626,.T.); #319275=ADVANCED_FACE('',(#24431),#9627,.T.); #319276=ADVANCED_FACE('',(#24432),#9628,.T.); #319277=ADVANCED_FACE('',(#24433),#9629,.T.); #319278=ADVANCED_FACE('',(#24434),#9630,.T.); #319279=ADVANCED_FACE('',(#24435),#9631,.T.); #319280=ADVANCED_FACE('',(#24436),#9632,.T.); #319281=ADVANCED_FACE('',(#24437),#9633,.T.); #319282=ADVANCED_FACE('',(#24438),#9634,.T.); #319283=ADVANCED_FACE('',(#24439),#9635,.T.); #319284=ADVANCED_FACE('',(#24440),#9636,.T.); #319285=ADVANCED_FACE('',(#24441),#9637,.T.); #319286=ADVANCED_FACE('',(#24442),#9638,.T.); #319287=ADVANCED_FACE('',(#24443),#9639,.T.); #319288=ADVANCED_FACE('',(#24444),#9640,.T.); #319289=ADVANCED_FACE('',(#24445),#9641,.T.); #319290=ADVANCED_FACE('',(#24446),#9642,.T.); #319291=ADVANCED_FACE('',(#24447),#9643,.T.); #319292=ADVANCED_FACE('',(#24448),#9644,.T.); #319293=ADVANCED_FACE('',(#24449),#9645,.T.); #319294=ADVANCED_FACE('',(#24450),#9646,.T.); #319295=ADVANCED_FACE('',(#24451),#9647,.T.); #319296=ADVANCED_FACE('',(#24452),#9648,.T.); #319297=ADVANCED_FACE('',(#24453),#9649,.T.); #319298=ADVANCED_FACE('',(#24454),#9650,.T.); #319299=ADVANCED_FACE('',(#24455),#9651,.T.); #319300=ADVANCED_FACE('',(#24456),#9652,.T.); #319301=ADVANCED_FACE('',(#24457),#9653,.T.); #319302=ADVANCED_FACE('',(#24458),#9654,.T.); #319303=ADVANCED_FACE('',(#24459),#9655,.T.); #319304=ADVANCED_FACE('',(#24460),#9656,.T.); #319305=ADVANCED_FACE('',(#24461),#9657,.T.); #319306=ADVANCED_FACE('',(#24462),#9658,.T.); #319307=ADVANCED_FACE('',(#24463),#9659,.T.); #319308=ADVANCED_FACE('',(#24464),#9660,.T.); #319309=ADVANCED_FACE('',(#24465),#9661,.T.); #319310=ADVANCED_FACE('',(#24466),#9662,.T.); #319311=ADVANCED_FACE('',(#24467),#9663,.T.); #319312=ADVANCED_FACE('',(#24468),#9664,.T.); #319313=ADVANCED_FACE('',(#24469),#9665,.T.); #319314=ADVANCED_FACE('',(#24470),#9666,.T.); #319315=ADVANCED_FACE('',(#24471),#9667,.T.); #319316=ADVANCED_FACE('',(#24472),#9668,.T.); #319317=ADVANCED_FACE('',(#24473),#9669,.T.); #319318=ADVANCED_FACE('',(#24474),#9670,.T.); #319319=ADVANCED_FACE('',(#24475),#9671,.T.); #319320=ADVANCED_FACE('',(#24476),#9672,.T.); #319321=ADVANCED_FACE('',(#24477),#9673,.T.); #319322=ADVANCED_FACE('',(#24478),#9674,.T.); #319323=ADVANCED_FACE('',(#24479),#9675,.T.); #319324=ADVANCED_FACE('',(#24480),#9676,.T.); #319325=ADVANCED_FACE('',(#24481),#9677,.T.); #319326=ADVANCED_FACE('',(#24482),#9678,.T.); #319327=ADVANCED_FACE('',(#24483),#9679,.T.); #319328=ADVANCED_FACE('',(#24484),#9680,.T.); #319329=ADVANCED_FACE('',(#24485),#9681,.T.); #319330=ADVANCED_FACE('',(#24486),#9682,.T.); #319331=ADVANCED_FACE('',(#24487),#9683,.T.); #319332=ADVANCED_FACE('',(#24488),#9684,.T.); #319333=ADVANCED_FACE('',(#24489),#9685,.T.); #319334=ADVANCED_FACE('',(#24490),#9686,.T.); #319335=ADVANCED_FACE('',(#24491),#9687,.T.); #319336=ADVANCED_FACE('',(#24492),#9688,.T.); #319337=ADVANCED_FACE('',(#24493),#9689,.T.); #319338=ADVANCED_FACE('',(#24494),#313675,.T.); #319339=ADVANCED_FACE('',(#24495),#9690,.T.); #319340=ADVANCED_FACE('',(#24496),#313676,.T.); #319341=ADVANCED_FACE('',(#24497),#9691,.T.); #319342=ADVANCED_FACE('',(#24498),#9692,.T.); #319343=ADVANCED_FACE('',(#24499),#9693,.T.); #319344=ADVANCED_FACE('',(#24500),#9694,.T.); #319345=ADVANCED_FACE('',(#24501),#9695,.T.); #319346=ADVANCED_FACE('',(#24502,#4793,#4794,#4795,#4796,#4797,#4798,#4799, #4800,#4801,#4802,#4803),#9696,.T.); #319347=ADVANCED_FACE('',(#24503,#4804,#4805,#4806,#4807,#4808,#4809,#4810, #4811,#4812,#4813,#4814),#9697,.F.); #319348=ADVANCED_FACE('',(#24504),#313677,.F.); #319349=ADVANCED_FACE('',(#24505),#9698,.T.); #319350=ADVANCED_FACE('',(#24506),#9699,.T.); #319351=ADVANCED_FACE('',(#24507),#9700,.T.); #319352=ADVANCED_FACE('',(#24508),#9701,.T.); #319353=ADVANCED_FACE('',(#24509),#9702,.T.); #319354=ADVANCED_FACE('',(#24510),#9703,.T.); #319355=ADVANCED_FACE('',(#24511),#9704,.T.); #319356=ADVANCED_FACE('',(#24512),#9705,.T.); #319357=ADVANCED_FACE('',(#24513,#4815),#9706,.T.); #319358=ADVANCED_FACE('',(#24514,#4816),#9707,.F.); #319359=ADVANCED_FACE('',(#24515),#9708,.T.); #319360=ADVANCED_FACE('',(#24516),#9709,.T.); #319361=ADVANCED_FACE('',(#24517),#9710,.T.); #319362=ADVANCED_FACE('',(#24518),#9711,.T.); #319363=ADVANCED_FACE('',(#24519),#9712,.T.); #319364=ADVANCED_FACE('',(#24520),#9713,.T.); #319365=ADVANCED_FACE('',(#24521),#9714,.T.); #319366=ADVANCED_FACE('',(#24522),#9715,.T.); #319367=ADVANCED_FACE('',(#24523),#9716,.T.); #319368=ADVANCED_FACE('',(#24524),#9717,.T.); #319369=ADVANCED_FACE('',(#24525),#9718,.T.); #319370=ADVANCED_FACE('',(#24526),#9719,.T.); #319371=ADVANCED_FACE('',(#24527),#9720,.T.); #319372=ADVANCED_FACE('',(#24528),#9721,.T.); #319373=ADVANCED_FACE('',(#24529),#9722,.T.); #319374=ADVANCED_FACE('',(#24530),#9723,.T.); #319375=ADVANCED_FACE('',(#24531),#9724,.T.); #319376=ADVANCED_FACE('',(#24532),#9725,.T.); #319377=ADVANCED_FACE('',(#24533),#9726,.T.); #319378=ADVANCED_FACE('',(#24534),#9727,.T.); #319379=ADVANCED_FACE('',(#24535),#9728,.F.); #319380=ADVANCED_FACE('',(#24536),#9729,.T.); #319381=ADVANCED_FACE('',(#24537),#9730,.T.); #319382=ADVANCED_FACE('',(#24538),#9731,.T.); #319383=ADVANCED_FACE('',(#24539),#9732,.T.); #319384=ADVANCED_FACE('',(#24540),#9733,.T.); #319385=ADVANCED_FACE('',(#24541),#9734,.T.); #319386=ADVANCED_FACE('',(#24542),#9735,.T.); #319387=ADVANCED_FACE('',(#24543),#9736,.T.); #319388=ADVANCED_FACE('',(#24544),#9737,.T.); #319389=ADVANCED_FACE('',(#24545),#9738,.T.); #319390=ADVANCED_FACE('',(#24546),#9739,.T.); #319391=ADVANCED_FACE('',(#24547),#9740,.T.); #319392=ADVANCED_FACE('',(#24548),#9741,.T.); #319393=ADVANCED_FACE('',(#24549),#9742,.T.); #319394=ADVANCED_FACE('',(#24550),#9743,.T.); #319395=ADVANCED_FACE('',(#24551),#9744,.T.); #319396=ADVANCED_FACE('',(#24552),#9745,.T.); #319397=ADVANCED_FACE('',(#24553),#9746,.T.); #319398=ADVANCED_FACE('',(#24554),#9747,.T.); #319399=ADVANCED_FACE('',(#24555),#9748,.T.); #319400=ADVANCED_FACE('',(#24556),#9749,.T.); #319401=ADVANCED_FACE('',(#24557),#9750,.T.); #319402=ADVANCED_FACE('',(#24558),#9751,.F.); #319403=ADVANCED_FACE('',(#24559),#9752,.T.); #319404=ADVANCED_FACE('',(#24560),#9753,.T.); #319405=ADVANCED_FACE('',(#24561),#9754,.T.); #319406=ADVANCED_FACE('',(#24562),#9755,.T.); #319407=ADVANCED_FACE('',(#24563),#9756,.T.); #319408=ADVANCED_FACE('',(#24564),#9757,.T.); #319409=ADVANCED_FACE('',(#24565),#9758,.T.); #319410=ADVANCED_FACE('',(#24566),#9759,.T.); #319411=ADVANCED_FACE('',(#24567),#9760,.T.); #319412=ADVANCED_FACE('',(#24568),#9761,.T.); #319413=ADVANCED_FACE('',(#24569),#9762,.T.); #319414=ADVANCED_FACE('',(#24570),#9763,.T.); #319415=ADVANCED_FACE('',(#24571),#9764,.T.); #319416=ADVANCED_FACE('',(#24572),#9765,.T.); #319417=ADVANCED_FACE('',(#24573),#9766,.T.); #319418=ADVANCED_FACE('',(#24574),#9767,.T.); #319419=ADVANCED_FACE('',(#24575),#9768,.T.); #319420=ADVANCED_FACE('',(#24576),#9769,.T.); #319421=ADVANCED_FACE('',(#24577),#9770,.T.); #319422=ADVANCED_FACE('',(#24578),#9771,.T.); #319423=ADVANCED_FACE('',(#24579),#9772,.T.); #319424=ADVANCED_FACE('',(#24580),#9773,.F.); #319425=ADVANCED_FACE('',(#24581),#9774,.T.); #319426=ADVANCED_FACE('',(#24582),#9775,.T.); #319427=ADVANCED_FACE('',(#24583),#9776,.T.); #319428=ADVANCED_FACE('',(#24584),#9777,.T.); #319429=ADVANCED_FACE('',(#24585),#9778,.T.); #319430=ADVANCED_FACE('',(#24586),#9779,.T.); #319431=ADVANCED_FACE('',(#24587),#9780,.T.); #319432=ADVANCED_FACE('',(#24588),#9781,.T.); #319433=ADVANCED_FACE('',(#24589),#9782,.T.); #319434=ADVANCED_FACE('',(#24590),#9783,.T.); #319435=ADVANCED_FACE('',(#24591),#9784,.T.); #319436=ADVANCED_FACE('',(#24592),#9785,.T.); #319437=ADVANCED_FACE('',(#24593),#9786,.T.); #319438=ADVANCED_FACE('',(#24594),#9787,.T.); #319439=ADVANCED_FACE('',(#24595),#9788,.T.); #319440=ADVANCED_FACE('',(#24596),#9789,.T.); #319441=ADVANCED_FACE('',(#24597),#9790,.T.); #319442=ADVANCED_FACE('',(#24598),#9791,.T.); #319443=ADVANCED_FACE('',(#24599),#9792,.T.); #319444=ADVANCED_FACE('',(#24600),#9793,.T.); #319445=ADVANCED_FACE('',(#24601),#9794,.T.); #319446=ADVANCED_FACE('',(#24602),#9795,.T.); #319447=ADVANCED_FACE('',(#24603),#9796,.F.); #319448=ADVANCED_FACE('',(#24604),#313678,.F.); #319449=ADVANCED_FACE('',(#24605),#313679,.F.); #319450=ADVANCED_FACE('',(#24606),#313680,.F.); #319451=ADVANCED_FACE('',(#24607),#9797,.T.); #319452=ADVANCED_FACE('',(#24608),#9798,.T.); #319453=ADVANCED_FACE('',(#24609),#9799,.T.); #319454=ADVANCED_FACE('',(#24610),#9800,.T.); #319455=ADVANCED_FACE('',(#24611),#9801,.T.); #319456=ADVANCED_FACE('',(#24612),#9802,.T.); #319457=ADVANCED_FACE('',(#24613),#9803,.T.); #319458=ADVANCED_FACE('',(#24614),#9804,.T.); #319459=ADVANCED_FACE('',(#24615),#9805,.T.); #319460=ADVANCED_FACE('',(#24616),#9806,.T.); #319461=ADVANCED_FACE('',(#24617),#9807,.T.); #319462=ADVANCED_FACE('',(#24618),#9808,.T.); #319463=ADVANCED_FACE('',(#24619),#9809,.T.); #319464=ADVANCED_FACE('',(#24620),#9810,.T.); #319465=ADVANCED_FACE('',(#24621),#9811,.T.); #319466=ADVANCED_FACE('',(#24622),#9812,.T.); #319467=ADVANCED_FACE('',(#24623),#9813,.T.); #319468=ADVANCED_FACE('',(#24624),#9814,.T.); #319469=ADVANCED_FACE('',(#24625),#9815,.T.); #319470=ADVANCED_FACE('',(#24626),#9816,.T.); #319471=ADVANCED_FACE('',(#24627),#9817,.T.); #319472=ADVANCED_FACE('',(#24628),#9818,.T.); #319473=ADVANCED_FACE('',(#24629),#9819,.T.); #319474=ADVANCED_FACE('',(#24630),#9820,.T.); #319475=ADVANCED_FACE('',(#24631),#9821,.T.); #319476=ADVANCED_FACE('',(#24632),#9822,.T.); #319477=ADVANCED_FACE('',(#24633),#9823,.T.); #319478=ADVANCED_FACE('',(#24634),#9824,.T.); #319479=ADVANCED_FACE('',(#24635),#9825,.T.); #319480=ADVANCED_FACE('',(#24636),#9826,.T.); #319481=ADVANCED_FACE('',(#24637),#9827,.T.); #319482=ADVANCED_FACE('',(#24638),#9828,.T.); #319483=ADVANCED_FACE('',(#24639),#9829,.T.); #319484=ADVANCED_FACE('',(#24640),#9830,.T.); #319485=ADVANCED_FACE('',(#24641),#9831,.T.); #319486=ADVANCED_FACE('',(#24642),#9832,.T.); #319487=ADVANCED_FACE('',(#24643),#9833,.T.); #319488=ADVANCED_FACE('',(#24644),#9834,.T.); #319489=ADVANCED_FACE('',(#24645),#9835,.T.); #319490=ADVANCED_FACE('',(#24646),#9836,.T.); #319491=ADVANCED_FACE('',(#24647),#9837,.T.); #319492=ADVANCED_FACE('',(#24648),#9838,.T.); #319493=ADVANCED_FACE('',(#24649),#9839,.T.); #319494=ADVANCED_FACE('',(#24650),#9840,.T.); #319495=ADVANCED_FACE('',(#24651),#9841,.T.); #319496=ADVANCED_FACE('',(#24652),#9842,.T.); #319497=ADVANCED_FACE('',(#24653),#9843,.T.); #319498=ADVANCED_FACE('',(#24654),#9844,.T.); #319499=ADVANCED_FACE('',(#24655),#9845,.T.); #319500=ADVANCED_FACE('',(#24656),#9846,.T.); #319501=ADVANCED_FACE('',(#24657),#9847,.T.); #319502=ADVANCED_FACE('',(#24658),#9848,.T.); #319503=ADVANCED_FACE('',(#24659),#9849,.T.); #319504=ADVANCED_FACE('',(#24660),#9850,.T.); #319505=ADVANCED_FACE('',(#24661),#9851,.T.); #319506=ADVANCED_FACE('',(#24662),#9852,.T.); #319507=ADVANCED_FACE('',(#24663),#9853,.T.); #319508=ADVANCED_FACE('',(#24664),#9854,.T.); #319509=ADVANCED_FACE('',(#24665),#9855,.T.); #319510=ADVANCED_FACE('',(#24666),#9856,.T.); #319511=ADVANCED_FACE('',(#24667),#9857,.T.); #319512=ADVANCED_FACE('',(#24668),#9858,.T.); #319513=ADVANCED_FACE('',(#24669),#9859,.T.); #319514=ADVANCED_FACE('',(#24670),#9860,.T.); #319515=ADVANCED_FACE('',(#24671),#9861,.T.); #319516=ADVANCED_FACE('',(#24672),#9862,.T.); #319517=ADVANCED_FACE('',(#24673),#9863,.T.); #319518=ADVANCED_FACE('',(#24674),#9864,.T.); #319519=ADVANCED_FACE('',(#24675),#9865,.T.); #319520=ADVANCED_FACE('',(#24676),#9866,.T.); #319521=ADVANCED_FACE('',(#24677),#9867,.T.); #319522=ADVANCED_FACE('',(#24678),#9868,.T.); #319523=ADVANCED_FACE('',(#24679),#9869,.T.); #319524=ADVANCED_FACE('',(#24680),#9870,.T.); #319525=ADVANCED_FACE('',(#24681),#9871,.T.); #319526=ADVANCED_FACE('',(#24682),#9872,.T.); #319527=ADVANCED_FACE('',(#24683),#9873,.T.); #319528=ADVANCED_FACE('',(#24684),#9874,.T.); #319529=ADVANCED_FACE('',(#24685),#9875,.T.); #319530=ADVANCED_FACE('',(#24686),#9876,.T.); #319531=ADVANCED_FACE('',(#24687),#9877,.T.); #319532=ADVANCED_FACE('',(#24688),#9878,.T.); #319533=ADVANCED_FACE('',(#24689),#9879,.T.); #319534=ADVANCED_FACE('',(#24690),#9880,.T.); #319535=ADVANCED_FACE('',(#24691),#9881,.T.); #319536=ADVANCED_FACE('',(#24692),#9882,.T.); #319537=ADVANCED_FACE('',(#24693),#9883,.T.); #319538=ADVANCED_FACE('',(#24694),#9884,.T.); #319539=ADVANCED_FACE('',(#24695),#9885,.T.); #319540=ADVANCED_FACE('',(#24696),#9886,.T.); #319541=ADVANCED_FACE('',(#24697,#4817,#4818,#4819),#9887,.T.); #319542=ADVANCED_FACE('',(#24698,#4820,#4821,#4822),#9888,.F.); #319543=ADVANCED_FACE('',(#24699),#313681,.F.); #319544=ADVANCED_FACE('',(#24700),#313682,.F.); #319545=ADVANCED_FACE('',(#24701),#313683,.F.); #319546=ADVANCED_FACE('',(#24702),#313684,.F.); #319547=ADVANCED_FACE('',(#24703),#313685,.F.); #319548=ADVANCED_FACE('',(#24704),#313686,.F.); #319549=ADVANCED_FACE('',(#24705),#313687,.F.); #319550=ADVANCED_FACE('',(#24706),#313688,.F.); #319551=ADVANCED_FACE('',(#24707),#313689,.F.); #319552=ADVANCED_FACE('',(#24708),#9889,.F.); #319553=ADVANCED_FACE('',(#24709),#313690,.F.); #319554=ADVANCED_FACE('',(#24710),#9890,.F.); #319555=ADVANCED_FACE('',(#24711),#313691,.F.); #319556=ADVANCED_FACE('',(#24712),#9891,.F.); #319557=ADVANCED_FACE('',(#24713),#313692,.F.); #319558=ADVANCED_FACE('',(#24714),#9892,.F.); #319559=ADVANCED_FACE('',(#24715),#313693,.F.); #319560=ADVANCED_FACE('',(#24716),#313694,.F.); #319561=ADVANCED_FACE('',(#24717),#313695,.F.); #319562=ADVANCED_FACE('',(#24718),#313696,.F.); #319563=ADVANCED_FACE('',(#24719),#313697,.F.); #319564=ADVANCED_FACE('',(#24720),#9893,.F.); #319565=ADVANCED_FACE('',(#24721),#313698,.F.); #319566=ADVANCED_FACE('',(#24722),#9894,.F.); #319567=ADVANCED_FACE('',(#24723),#313699,.F.); #319568=ADVANCED_FACE('',(#24724),#9895,.F.); #319569=ADVANCED_FACE('',(#24725),#313700,.F.); #319570=ADVANCED_FACE('',(#24726),#9896,.F.); #319571=ADVANCED_FACE('',(#24727),#313701,.F.); #319572=ADVANCED_FACE('',(#24728),#313702,.F.); #319573=ADVANCED_FACE('',(#24729),#313703,.F.); #319574=ADVANCED_FACE('',(#24730),#313704,.F.); #319575=ADVANCED_FACE('',(#24731),#313705,.F.); #319576=ADVANCED_FACE('',(#24732),#313706,.F.); #319577=ADVANCED_FACE('',(#24733),#313707,.F.); #319578=ADVANCED_FACE('',(#24734),#313708,.F.); #319579=ADVANCED_FACE('',(#24735),#313709,.F.); #319580=ADVANCED_FACE('',(#24736),#313710,.F.); #319581=ADVANCED_FACE('',(#24737),#313711,.F.); #319582=ADVANCED_FACE('',(#24738),#313712,.F.); #319583=ADVANCED_FACE('',(#24739),#313713,.F.); #319584=ADVANCED_FACE('',(#24740),#313714,.F.); #319585=ADVANCED_FACE('',(#24741),#313715,.F.); #319586=ADVANCED_FACE('',(#24742),#313716,.F.); #319587=ADVANCED_FACE('',(#24743),#313717,.F.); #319588=ADVANCED_FACE('',(#24744),#313718,.F.); #319589=ADVANCED_FACE('',(#24745),#313719,.F.); #319590=ADVANCED_FACE('',(#24746),#313720,.F.); #319591=ADVANCED_FACE('',(#24747),#313721,.F.); #319592=ADVANCED_FACE('',(#24748),#313722,.F.); #319593=ADVANCED_FACE('',(#24749),#313723,.F.); #319594=ADVANCED_FACE('',(#24750),#313724,.F.); #319595=ADVANCED_FACE('',(#24751),#313725,.F.); #319596=ADVANCED_FACE('',(#24752),#313726,.F.); #319597=ADVANCED_FACE('',(#24753),#313727,.F.); #319598=ADVANCED_FACE('',(#24754),#313728,.F.); #319599=ADVANCED_FACE('',(#24755),#313729,.F.); #319600=ADVANCED_FACE('',(#24756),#313730,.F.); #319601=ADVANCED_FACE('',(#24757),#313731,.F.); #319602=ADVANCED_FACE('',(#24758),#313732,.F.); #319603=ADVANCED_FACE('',(#24759),#313733,.F.); #319604=ADVANCED_FACE('',(#24760),#313734,.F.); #319605=ADVANCED_FACE('',(#24761),#313735,.F.); #319606=ADVANCED_FACE('',(#24762),#313736,.F.); #319607=ADVANCED_FACE('',(#24763),#313737,.F.); #319608=ADVANCED_FACE('',(#24764),#313738,.F.); #319609=ADVANCED_FACE('',(#24765),#313739,.F.); #319610=ADVANCED_FACE('',(#24766),#313740,.F.); #319611=ADVANCED_FACE('',(#24767),#313741,.F.); #319612=ADVANCED_FACE('',(#24768),#313742,.F.); #319613=ADVANCED_FACE('',(#24769),#313743,.F.); #319614=ADVANCED_FACE('',(#24770),#313744,.F.); #319615=ADVANCED_FACE('',(#24771),#313745,.F.); #319616=ADVANCED_FACE('',(#24772),#313746,.F.); #319617=ADVANCED_FACE('',(#24773),#9897,.T.); #319618=ADVANCED_FACE('',(#24774),#9898,.T.); #319619=ADVANCED_FACE('',(#24775),#9899,.T.); #319620=ADVANCED_FACE('',(#24776),#9900,.T.); #319621=ADVANCED_FACE('',(#24777),#9901,.T.); #319622=ADVANCED_FACE('',(#24778),#9902,.T.); #319623=ADVANCED_FACE('',(#24779),#9903,.T.); #319624=ADVANCED_FACE('',(#24780),#9904,.T.); #319625=ADVANCED_FACE('',(#24781),#9905,.T.); #319626=ADVANCED_FACE('',(#24782),#9906,.T.); #319627=ADVANCED_FACE('',(#24783),#9907,.T.); #319628=ADVANCED_FACE('',(#24784),#9908,.T.); #319629=ADVANCED_FACE('',(#24785),#9909,.T.); #319630=ADVANCED_FACE('',(#24786),#9910,.T.); #319631=ADVANCED_FACE('',(#24787),#9911,.T.); #319632=ADVANCED_FACE('',(#24788),#9912,.T.); #319633=ADVANCED_FACE('',(#24789),#9913,.T.); #319634=ADVANCED_FACE('',(#24790),#9914,.T.); #319635=ADVANCED_FACE('',(#24791),#9915,.T.); #319636=ADVANCED_FACE('',(#24792),#9916,.T.); #319637=ADVANCED_FACE('',(#24793),#9917,.T.); #319638=ADVANCED_FACE('',(#24794),#9918,.T.); #319639=ADVANCED_FACE('',(#24795),#9919,.T.); #319640=ADVANCED_FACE('',(#24796),#9920,.T.); #319641=ADVANCED_FACE('',(#24797),#9921,.T.); #319642=ADVANCED_FACE('',(#24798),#9922,.T.); #319643=ADVANCED_FACE('',(#24799),#9923,.T.); #319644=ADVANCED_FACE('',(#24800),#9924,.T.); #319645=ADVANCED_FACE('',(#24801),#9925,.T.); #319646=ADVANCED_FACE('',(#24802),#9926,.T.); #319647=ADVANCED_FACE('',(#24803),#9927,.T.); #319648=ADVANCED_FACE('',(#24804),#9928,.T.); #319649=ADVANCED_FACE('',(#24805),#9929,.T.); #319650=ADVANCED_FACE('',(#24806),#9930,.T.); #319651=ADVANCED_FACE('',(#24807),#9931,.T.); #319652=ADVANCED_FACE('',(#24808),#9932,.T.); #319653=ADVANCED_FACE('',(#24809),#9933,.T.); #319654=ADVANCED_FACE('',(#24810),#9934,.T.); #319655=ADVANCED_FACE('',(#24811),#9935,.T.); #319656=ADVANCED_FACE('',(#24812),#9936,.T.); #319657=ADVANCED_FACE('',(#24813),#9937,.T.); #319658=ADVANCED_FACE('',(#24814),#9938,.T.); #319659=ADVANCED_FACE('',(#24815),#9939,.T.); #319660=ADVANCED_FACE('',(#24816),#9940,.T.); #319661=ADVANCED_FACE('',(#24817),#9941,.T.); #319662=ADVANCED_FACE('',(#24818),#9942,.T.); #319663=ADVANCED_FACE('',(#24819),#9943,.T.); #319664=ADVANCED_FACE('',(#24820),#9944,.T.); #319665=ADVANCED_FACE('',(#24821),#9945,.T.); #319666=ADVANCED_FACE('',(#24822),#9946,.T.); #319667=ADVANCED_FACE('',(#24823),#9947,.T.); #319668=ADVANCED_FACE('',(#24824),#9948,.T.); #319669=ADVANCED_FACE('',(#24825),#9949,.T.); #319670=ADVANCED_FACE('',(#24826),#9950,.T.); #319671=ADVANCED_FACE('',(#24827),#9951,.T.); #319672=ADVANCED_FACE('',(#24828),#9952,.T.); #319673=ADVANCED_FACE('',(#24829),#9953,.T.); #319674=ADVANCED_FACE('',(#24830),#9954,.T.); #319675=ADVANCED_FACE('',(#24831),#9955,.T.); #319676=ADVANCED_FACE('',(#24832),#9956,.T.); #319677=ADVANCED_FACE('',(#24833),#9957,.T.); #319678=ADVANCED_FACE('',(#24834),#9958,.T.); #319679=ADVANCED_FACE('',(#24835),#9959,.T.); #319680=ADVANCED_FACE('',(#24836),#9960,.T.); #319681=ADVANCED_FACE('',(#24837),#9961,.T.); #319682=ADVANCED_FACE('',(#24838),#9962,.T.); #319683=ADVANCED_FACE('',(#24839),#9963,.T.); #319684=ADVANCED_FACE('',(#24840),#9964,.T.); #319685=ADVANCED_FACE('',(#24841),#9965,.T.); #319686=ADVANCED_FACE('',(#24842),#9966,.T.); #319687=ADVANCED_FACE('',(#24843),#9967,.T.); #319688=ADVANCED_FACE('',(#24844),#9968,.T.); #319689=ADVANCED_FACE('',(#24845),#9969,.T.); #319690=ADVANCED_FACE('',(#24846),#9970,.T.); #319691=ADVANCED_FACE('',(#24847),#9971,.T.); #319692=ADVANCED_FACE('',(#24848),#9972,.T.); #319693=ADVANCED_FACE('',(#24849),#9973,.T.); #319694=ADVANCED_FACE('',(#24850),#9974,.T.); #319695=ADVANCED_FACE('',(#24851),#9975,.T.); #319696=ADVANCED_FACE('',(#24852),#9976,.T.); #319697=ADVANCED_FACE('',(#24853),#9977,.T.); #319698=ADVANCED_FACE('',(#24854),#9978,.T.); #319699=ADVANCED_FACE('',(#24855),#9979,.T.); #319700=ADVANCED_FACE('',(#24856),#9980,.T.); #319701=ADVANCED_FACE('',(#24857),#9981,.T.); #319702=ADVANCED_FACE('',(#24858),#9982,.T.); #319703=ADVANCED_FACE('',(#24859),#9983,.T.); #319704=ADVANCED_FACE('',(#24860),#9984,.T.); #319705=ADVANCED_FACE('',(#24861),#9985,.T.); #319706=ADVANCED_FACE('',(#24862),#9986,.T.); #319707=ADVANCED_FACE('',(#24863),#9987,.T.); #319708=ADVANCED_FACE('',(#24864),#9988,.T.); #319709=ADVANCED_FACE('',(#24865),#9989,.T.); #319710=ADVANCED_FACE('',(#24866),#9990,.T.); #319711=ADVANCED_FACE('',(#24867),#9991,.T.); #319712=ADVANCED_FACE('',(#24868),#9992,.T.); #319713=ADVANCED_FACE('',(#24869),#9993,.T.); #319714=ADVANCED_FACE('',(#24870),#9994,.T.); #319715=ADVANCED_FACE('',(#24871),#9995,.T.); #319716=ADVANCED_FACE('',(#24872),#9996,.T.); #319717=ADVANCED_FACE('',(#24873),#9997,.T.); #319718=ADVANCED_FACE('',(#24874),#9998,.T.); #319719=ADVANCED_FACE('',(#24875),#9999,.T.); #319720=ADVANCED_FACE('',(#24876),#10000,.T.); #319721=ADVANCED_FACE('',(#24877),#10001,.T.); #319722=ADVANCED_FACE('',(#24878),#10002,.T.); #319723=ADVANCED_FACE('',(#24879),#10003,.T.); #319724=ADVANCED_FACE('',(#24880),#10004,.T.); #319725=ADVANCED_FACE('',(#24881),#10005,.T.); #319726=ADVANCED_FACE('',(#24882),#10006,.T.); #319727=ADVANCED_FACE('',(#24883),#10007,.T.); #319728=ADVANCED_FACE('',(#24884),#10008,.T.); #319729=ADVANCED_FACE('',(#24885),#10009,.T.); #319730=ADVANCED_FACE('',(#24886),#10010,.T.); #319731=ADVANCED_FACE('',(#24887),#10011,.T.); #319732=ADVANCED_FACE('',(#24888),#10012,.T.); #319733=ADVANCED_FACE('',(#24889),#10013,.T.); #319734=ADVANCED_FACE('',(#24890),#10014,.T.); #319735=ADVANCED_FACE('',(#24891),#10015,.T.); #319736=ADVANCED_FACE('',(#24892),#10016,.T.); #319737=ADVANCED_FACE('',(#24893),#10017,.T.); #319738=ADVANCED_FACE('',(#24894),#10018,.T.); #319739=ADVANCED_FACE('',(#24895),#10019,.T.); #319740=ADVANCED_FACE('',(#24896),#10020,.T.); #319741=ADVANCED_FACE('',(#24897),#10021,.T.); #319742=ADVANCED_FACE('',(#24898),#10022,.T.); #319743=ADVANCED_FACE('',(#24899),#10023,.T.); #319744=ADVANCED_FACE('',(#24900),#10024,.T.); #319745=ADVANCED_FACE('',(#24901),#10025,.T.); #319746=ADVANCED_FACE('',(#24902),#10026,.T.); #319747=ADVANCED_FACE('',(#24903),#10027,.T.); #319748=ADVANCED_FACE('',(#24904),#10028,.T.); #319749=ADVANCED_FACE('',(#24905),#10029,.T.); #319750=ADVANCED_FACE('',(#24906),#10030,.T.); #319751=ADVANCED_FACE('',(#24907),#10031,.T.); #319752=ADVANCED_FACE('',(#24908),#10032,.T.); #319753=ADVANCED_FACE('',(#24909),#10033,.T.); #319754=ADVANCED_FACE('',(#24910),#10034,.T.); #319755=ADVANCED_FACE('',(#24911),#10035,.T.); #319756=ADVANCED_FACE('',(#24912),#10036,.T.); #319757=ADVANCED_FACE('',(#24913),#10037,.T.); #319758=ADVANCED_FACE('',(#24914),#10038,.T.); #319759=ADVANCED_FACE('',(#24915),#10039,.T.); #319760=ADVANCED_FACE('',(#24916),#10040,.T.); #319761=ADVANCED_FACE('',(#24917),#10041,.T.); #319762=ADVANCED_FACE('',(#24918),#10042,.T.); #319763=ADVANCED_FACE('',(#24919),#10043,.T.); #319764=ADVANCED_FACE('',(#24920),#10044,.T.); #319765=ADVANCED_FACE('',(#24921),#10045,.T.); #319766=ADVANCED_FACE('',(#24922),#10046,.T.); #319767=ADVANCED_FACE('',(#24923),#10047,.T.); #319768=ADVANCED_FACE('',(#24924),#10048,.T.); #319769=ADVANCED_FACE('',(#24925),#10049,.T.); #319770=ADVANCED_FACE('',(#24926),#10050,.T.); #319771=ADVANCED_FACE('',(#24927),#10051,.T.); #319772=ADVANCED_FACE('',(#24928),#10052,.T.); #319773=ADVANCED_FACE('',(#24929),#10053,.T.); #319774=ADVANCED_FACE('',(#24930),#10054,.T.); #319775=ADVANCED_FACE('',(#24931),#10055,.T.); #319776=ADVANCED_FACE('',(#24932),#10056,.T.); #319777=ADVANCED_FACE('',(#24933),#10057,.T.); #319778=ADVANCED_FACE('',(#24934),#10058,.T.); #319779=ADVANCED_FACE('',(#24935),#10059,.T.); #319780=ADVANCED_FACE('',(#24936),#10060,.T.); #319781=ADVANCED_FACE('',(#24937),#10061,.T.); #319782=ADVANCED_FACE('',(#24938),#10062,.T.); #319783=ADVANCED_FACE('',(#24939),#10063,.T.); #319784=ADVANCED_FACE('',(#24940),#10064,.T.); #319785=ADVANCED_FACE('',(#24941),#10065,.T.); #319786=ADVANCED_FACE('',(#24942),#10066,.T.); #319787=ADVANCED_FACE('',(#24943),#10067,.T.); #319788=ADVANCED_FACE('',(#24944),#10068,.T.); #319789=ADVANCED_FACE('',(#24945),#10069,.T.); #319790=ADVANCED_FACE('',(#24946),#10070,.T.); #319791=ADVANCED_FACE('',(#24947),#10071,.T.); #319792=ADVANCED_FACE('',(#24948),#10072,.T.); #319793=ADVANCED_FACE('',(#24949),#10073,.T.); #319794=ADVANCED_FACE('',(#24950),#10074,.T.); #319795=ADVANCED_FACE('',(#24951),#10075,.T.); #319796=ADVANCED_FACE('',(#24952),#10076,.T.); #319797=ADVANCED_FACE('',(#24953),#10077,.T.); #319798=ADVANCED_FACE('',(#24954),#10078,.T.); #319799=ADVANCED_FACE('',(#24955),#10079,.T.); #319800=ADVANCED_FACE('',(#24956),#10080,.T.); #319801=ADVANCED_FACE('',(#24957),#10081,.T.); #319802=ADVANCED_FACE('',(#24958),#10082,.T.); #319803=ADVANCED_FACE('',(#24959),#10083,.T.); #319804=ADVANCED_FACE('',(#24960),#10084,.T.); #319805=ADVANCED_FACE('',(#24961),#10085,.T.); #319806=ADVANCED_FACE('',(#24962),#10086,.T.); #319807=ADVANCED_FACE('',(#24963),#10087,.T.); #319808=ADVANCED_FACE('',(#24964),#10088,.T.); #319809=ADVANCED_FACE('',(#24965),#10089,.T.); #319810=ADVANCED_FACE('',(#24966),#10090,.T.); #319811=ADVANCED_FACE('',(#24967),#10091,.T.); #319812=ADVANCED_FACE('',(#24968),#10092,.T.); #319813=ADVANCED_FACE('',(#24969),#10093,.T.); #319814=ADVANCED_FACE('',(#24970),#10094,.T.); #319815=ADVANCED_FACE('',(#24971),#10095,.T.); #319816=ADVANCED_FACE('',(#24972),#10096,.T.); #319817=ADVANCED_FACE('',(#24973),#10097,.T.); #319818=ADVANCED_FACE('',(#24974),#10098,.T.); #319819=ADVANCED_FACE('',(#24975),#10099,.T.); #319820=ADVANCED_FACE('',(#24976),#10100,.T.); #319821=ADVANCED_FACE('',(#24977),#10101,.T.); #319822=ADVANCED_FACE('',(#24978),#10102,.T.); #319823=ADVANCED_FACE('',(#24979),#10103,.T.); #319824=ADVANCED_FACE('',(#24980),#10104,.T.); #319825=ADVANCED_FACE('',(#24981),#10105,.T.); #319826=ADVANCED_FACE('',(#24982),#10106,.T.); #319827=ADVANCED_FACE('',(#24983),#10107,.T.); #319828=ADVANCED_FACE('',(#24984),#10108,.T.); #319829=ADVANCED_FACE('',(#24985),#10109,.T.); #319830=ADVANCED_FACE('',(#24986),#10110,.T.); #319831=ADVANCED_FACE('',(#24987),#10111,.T.); #319832=ADVANCED_FACE('',(#24988),#10112,.T.); #319833=ADVANCED_FACE('',(#24989),#10113,.T.); #319834=ADVANCED_FACE('',(#24990),#10114,.T.); #319835=ADVANCED_FACE('',(#24991),#10115,.T.); #319836=ADVANCED_FACE('',(#24992),#10116,.T.); #319837=ADVANCED_FACE('',(#24993),#10117,.T.); #319838=ADVANCED_FACE('',(#24994),#10118,.T.); #319839=ADVANCED_FACE('',(#24995),#10119,.T.); #319840=ADVANCED_FACE('',(#24996),#10120,.T.); #319841=ADVANCED_FACE('',(#24997),#10121,.T.); #319842=ADVANCED_FACE('',(#24998),#10122,.T.); #319843=ADVANCED_FACE('',(#24999),#10123,.T.); #319844=ADVANCED_FACE('',(#25000),#10124,.T.); #319845=ADVANCED_FACE('',(#25001),#10125,.T.); #319846=ADVANCED_FACE('',(#25002),#10126,.T.); #319847=ADVANCED_FACE('',(#25003),#10127,.T.); #319848=ADVANCED_FACE('',(#25004),#10128,.T.); #319849=ADVANCED_FACE('',(#25005),#10129,.T.); #319850=ADVANCED_FACE('',(#25006),#10130,.T.); #319851=ADVANCED_FACE('',(#25007),#10131,.T.); #319852=ADVANCED_FACE('',(#25008),#10132,.T.); #319853=ADVANCED_FACE('',(#25009),#10133,.T.); #319854=ADVANCED_FACE('',(#25010),#10134,.T.); #319855=ADVANCED_FACE('',(#25011),#10135,.T.); #319856=ADVANCED_FACE('',(#25012),#10136,.T.); #319857=ADVANCED_FACE('',(#25013),#10137,.T.); #319858=ADVANCED_FACE('',(#25014),#10138,.T.); #319859=ADVANCED_FACE('',(#25015),#10139,.T.); #319860=ADVANCED_FACE('',(#25016),#10140,.T.); #319861=ADVANCED_FACE('',(#25017),#10141,.T.); #319862=ADVANCED_FACE('',(#25018),#10142,.T.); #319863=ADVANCED_FACE('',(#25019),#10143,.T.); #319864=ADVANCED_FACE('',(#25020),#10144,.T.); #319865=ADVANCED_FACE('',(#25021),#10145,.T.); #319866=ADVANCED_FACE('',(#25022),#10146,.T.); #319867=ADVANCED_FACE('',(#25023),#10147,.T.); #319868=ADVANCED_FACE('',(#25024),#10148,.T.); #319869=ADVANCED_FACE('',(#25025),#10149,.T.); #319870=ADVANCED_FACE('',(#25026),#10150,.T.); #319871=ADVANCED_FACE('',(#25027),#10151,.T.); #319872=ADVANCED_FACE('',(#25028),#10152,.T.); #319873=ADVANCED_FACE('',(#25029),#10153,.T.); #319874=ADVANCED_FACE('',(#25030),#10154,.T.); #319875=ADVANCED_FACE('',(#25031),#10155,.T.); #319876=ADVANCED_FACE('',(#25032),#10156,.T.); #319877=ADVANCED_FACE('',(#25033),#10157,.T.); #319878=ADVANCED_FACE('',(#25034),#10158,.T.); #319879=ADVANCED_FACE('',(#25035),#10159,.T.); #319880=ADVANCED_FACE('',(#25036),#10160,.T.); #319881=ADVANCED_FACE('',(#25037),#10161,.T.); #319882=ADVANCED_FACE('',(#25038),#10162,.T.); #319883=ADVANCED_FACE('',(#25039),#10163,.T.); #319884=ADVANCED_FACE('',(#25040),#10164,.T.); #319885=ADVANCED_FACE('',(#25041),#10165,.T.); #319886=ADVANCED_FACE('',(#25042),#10166,.T.); #319887=ADVANCED_FACE('',(#25043),#10167,.T.); #319888=ADVANCED_FACE('',(#25044),#10168,.T.); #319889=ADVANCED_FACE('',(#25045),#10169,.T.); #319890=ADVANCED_FACE('',(#25046),#10170,.T.); #319891=ADVANCED_FACE('',(#25047),#10171,.T.); #319892=ADVANCED_FACE('',(#25048),#10172,.T.); #319893=ADVANCED_FACE('',(#25049),#10173,.T.); #319894=ADVANCED_FACE('',(#25050),#10174,.T.); #319895=ADVANCED_FACE('',(#25051),#10175,.T.); #319896=ADVANCED_FACE('',(#25052),#10176,.T.); #319897=ADVANCED_FACE('',(#25053),#10177,.T.); #319898=ADVANCED_FACE('',(#25054),#10178,.T.); #319899=ADVANCED_FACE('',(#25055),#10179,.T.); #319900=ADVANCED_FACE('',(#25056),#10180,.T.); #319901=ADVANCED_FACE('',(#25057),#10181,.T.); #319902=ADVANCED_FACE('',(#25058),#10182,.T.); #319903=ADVANCED_FACE('',(#25059),#10183,.T.); #319904=ADVANCED_FACE('',(#25060),#10184,.T.); #319905=ADVANCED_FACE('',(#25061),#10185,.T.); #319906=ADVANCED_FACE('',(#25062),#10186,.T.); #319907=ADVANCED_FACE('',(#25063),#10187,.T.); #319908=ADVANCED_FACE('',(#25064),#10188,.T.); #319909=ADVANCED_FACE('',(#25065),#10189,.T.); #319910=ADVANCED_FACE('',(#25066),#10190,.T.); #319911=ADVANCED_FACE('',(#25067),#10191,.T.); #319912=ADVANCED_FACE('',(#25068),#10192,.T.); #319913=ADVANCED_FACE('',(#25069),#10193,.T.); #319914=ADVANCED_FACE('',(#25070),#10194,.T.); #319915=ADVANCED_FACE('',(#25071),#10195,.T.); #319916=ADVANCED_FACE('',(#25072),#10196,.T.); #319917=ADVANCED_FACE('',(#25073),#10197,.T.); #319918=ADVANCED_FACE('',(#25074),#10198,.T.); #319919=ADVANCED_FACE('',(#25075),#10199,.T.); #319920=ADVANCED_FACE('',(#25076),#10200,.T.); #319921=ADVANCED_FACE('',(#25077),#10201,.T.); #319922=ADVANCED_FACE('',(#25078),#10202,.T.); #319923=ADVANCED_FACE('',(#25079),#10203,.T.); #319924=ADVANCED_FACE('',(#25080),#10204,.T.); #319925=ADVANCED_FACE('',(#25081),#10205,.T.); #319926=ADVANCED_FACE('',(#25082),#10206,.T.); #319927=ADVANCED_FACE('',(#25083),#10207,.T.); #319928=ADVANCED_FACE('',(#25084),#10208,.T.); #319929=ADVANCED_FACE('',(#25085),#10209,.T.); #319930=ADVANCED_FACE('',(#25086),#10210,.T.); #319931=ADVANCED_FACE('',(#25087),#10211,.T.); #319932=ADVANCED_FACE('',(#25088),#10212,.T.); #319933=ADVANCED_FACE('',(#25089),#10213,.T.); #319934=ADVANCED_FACE('',(#25090),#10214,.T.); #319935=ADVANCED_FACE('',(#25091),#10215,.T.); #319936=ADVANCED_FACE('',(#25092),#10216,.T.); #319937=ADVANCED_FACE('',(#25093),#10217,.T.); #319938=ADVANCED_FACE('',(#25094),#10218,.T.); #319939=ADVANCED_FACE('',(#25095),#10219,.T.); #319940=ADVANCED_FACE('',(#25096),#10220,.T.); #319941=ADVANCED_FACE('',(#25097),#10221,.T.); #319942=ADVANCED_FACE('',(#25098),#10222,.T.); #319943=ADVANCED_FACE('',(#25099),#10223,.T.); #319944=ADVANCED_FACE('',(#25100),#10224,.T.); #319945=ADVANCED_FACE('',(#25101),#10225,.T.); #319946=ADVANCED_FACE('',(#25102),#10226,.T.); #319947=ADVANCED_FACE('',(#25103),#10227,.T.); #319948=ADVANCED_FACE('',(#25104),#10228,.T.); #319949=ADVANCED_FACE('',(#25105),#10229,.T.); #319950=ADVANCED_FACE('',(#25106),#10230,.T.); #319951=ADVANCED_FACE('',(#25107),#10231,.T.); #319952=ADVANCED_FACE('',(#25108),#10232,.T.); #319953=ADVANCED_FACE('',(#25109),#10233,.T.); #319954=ADVANCED_FACE('',(#25110),#10234,.T.); #319955=ADVANCED_FACE('',(#25111),#10235,.T.); #319956=ADVANCED_FACE('',(#25112),#10236,.T.); #319957=ADVANCED_FACE('',(#25113),#10237,.T.); #319958=ADVANCED_FACE('',(#25114),#10238,.T.); #319959=ADVANCED_FACE('',(#25115),#10239,.T.); #319960=ADVANCED_FACE('',(#25116),#10240,.T.); #319961=ADVANCED_FACE('',(#25117),#10241,.T.); #319962=ADVANCED_FACE('',(#25118),#10242,.T.); #319963=ADVANCED_FACE('',(#25119),#10243,.T.); #319964=ADVANCED_FACE('',(#25120),#10244,.T.); #319965=ADVANCED_FACE('',(#25121),#10245,.T.); #319966=ADVANCED_FACE('',(#25122),#10246,.T.); #319967=ADVANCED_FACE('',(#25123),#10247,.T.); #319968=ADVANCED_FACE('',(#25124),#10248,.T.); #319969=ADVANCED_FACE('',(#25125),#10249,.T.); #319970=ADVANCED_FACE('',(#25126),#10250,.T.); #319971=ADVANCED_FACE('',(#25127),#10251,.T.); #319972=ADVANCED_FACE('',(#25128),#10252,.T.); #319973=ADVANCED_FACE('',(#25129),#10253,.T.); #319974=ADVANCED_FACE('',(#25130),#10254,.T.); #319975=ADVANCED_FACE('',(#25131),#10255,.T.); #319976=ADVANCED_FACE('',(#25132),#10256,.T.); #319977=ADVANCED_FACE('',(#25133),#10257,.T.); #319978=ADVANCED_FACE('',(#25134),#10258,.T.); #319979=ADVANCED_FACE('',(#25135),#10259,.T.); #319980=ADVANCED_FACE('',(#25136),#10260,.T.); #319981=ADVANCED_FACE('',(#25137),#10261,.T.); #319982=ADVANCED_FACE('',(#25138),#10262,.T.); #319983=ADVANCED_FACE('',(#25139),#10263,.T.); #319984=ADVANCED_FACE('',(#25140),#10264,.T.); #319985=ADVANCED_FACE('',(#25141),#10265,.T.); #319986=ADVANCED_FACE('',(#25142),#10266,.T.); #319987=ADVANCED_FACE('',(#25143),#10267,.T.); #319988=ADVANCED_FACE('',(#25144),#10268,.T.); #319989=ADVANCED_FACE('',(#25145),#10269,.T.); #319990=ADVANCED_FACE('',(#25146),#10270,.T.); #319991=ADVANCED_FACE('',(#25147),#10271,.T.); #319992=ADVANCED_FACE('',(#25148),#10272,.T.); #319993=ADVANCED_FACE('',(#25149),#10273,.T.); #319994=ADVANCED_FACE('',(#25150),#10274,.T.); #319995=ADVANCED_FACE('',(#25151),#10275,.T.); #319996=ADVANCED_FACE('',(#25152),#10276,.T.); #319997=ADVANCED_FACE('',(#25153),#10277,.T.); #319998=ADVANCED_FACE('',(#25154),#10278,.T.); #319999=ADVANCED_FACE('',(#25155),#10279,.T.); #320000=ADVANCED_FACE('',(#25156),#10280,.T.); #320001=ADVANCED_FACE('',(#25157),#10281,.T.); #320002=ADVANCED_FACE('',(#25158),#10282,.T.); #320003=ADVANCED_FACE('',(#25159),#10283,.T.); #320004=ADVANCED_FACE('',(#25160),#10284,.T.); #320005=ADVANCED_FACE('',(#25161),#10285,.T.); #320006=ADVANCED_FACE('',(#25162),#10286,.T.); #320007=ADVANCED_FACE('',(#25163),#10287,.T.); #320008=ADVANCED_FACE('',(#25164),#10288,.T.); #320009=ADVANCED_FACE('',(#25165),#10289,.T.); #320010=ADVANCED_FACE('',(#25166),#10290,.T.); #320011=ADVANCED_FACE('',(#25167),#10291,.T.); #320012=ADVANCED_FACE('',(#25168),#10292,.T.); #320013=ADVANCED_FACE('',(#25169),#10293,.T.); #320014=ADVANCED_FACE('',(#25170),#10294,.T.); #320015=ADVANCED_FACE('',(#25171),#10295,.T.); #320016=ADVANCED_FACE('',(#25172),#10296,.T.); #320017=ADVANCED_FACE('',(#25173),#10297,.T.); #320018=ADVANCED_FACE('',(#25174),#10298,.T.); #320019=ADVANCED_FACE('',(#25175),#10299,.T.); #320020=ADVANCED_FACE('',(#25176),#10300,.T.); #320021=ADVANCED_FACE('',(#25177),#10301,.T.); #320022=ADVANCED_FACE('',(#25178),#10302,.T.); #320023=ADVANCED_FACE('',(#25179),#10303,.T.); #320024=ADVANCED_FACE('',(#25180),#10304,.T.); #320025=ADVANCED_FACE('',(#25181),#10305,.T.); #320026=ADVANCED_FACE('',(#25182),#10306,.T.); #320027=ADVANCED_FACE('',(#25183),#10307,.T.); #320028=ADVANCED_FACE('',(#25184),#10308,.T.); #320029=ADVANCED_FACE('',(#25185),#10309,.T.); #320030=ADVANCED_FACE('',(#25186),#10310,.T.); #320031=ADVANCED_FACE('',(#25187),#10311,.T.); #320032=ADVANCED_FACE('',(#25188),#10312,.T.); #320033=ADVANCED_FACE('',(#25189),#10313,.T.); #320034=ADVANCED_FACE('',(#25190),#10314,.T.); #320035=ADVANCED_FACE('',(#25191),#10315,.T.); #320036=ADVANCED_FACE('',(#25192),#10316,.T.); #320037=ADVANCED_FACE('',(#25193),#10317,.T.); #320038=ADVANCED_FACE('',(#25194),#10318,.T.); #320039=ADVANCED_FACE('',(#25195),#10319,.T.); #320040=ADVANCED_FACE('',(#25196),#10320,.T.); #320041=ADVANCED_FACE('',(#25197),#10321,.T.); #320042=ADVANCED_FACE('',(#25198),#10322,.T.); #320043=ADVANCED_FACE('',(#25199),#10323,.T.); #320044=ADVANCED_FACE('',(#25200),#10324,.T.); #320045=ADVANCED_FACE('',(#25201),#10325,.T.); #320046=ADVANCED_FACE('',(#25202),#10326,.T.); #320047=ADVANCED_FACE('',(#25203),#10327,.T.); #320048=ADVANCED_FACE('',(#25204),#10328,.T.); #320049=ADVANCED_FACE('',(#25205),#10329,.T.); #320050=ADVANCED_FACE('',(#25206),#10330,.T.); #320051=ADVANCED_FACE('',(#25207),#10331,.T.); #320052=ADVANCED_FACE('',(#25208),#10332,.T.); #320053=ADVANCED_FACE('',(#25209),#10333,.T.); #320054=ADVANCED_FACE('',(#25210),#10334,.T.); #320055=ADVANCED_FACE('',(#25211),#10335,.T.); #320056=ADVANCED_FACE('',(#25212),#10336,.T.); #320057=ADVANCED_FACE('',(#25213),#10337,.T.); #320058=ADVANCED_FACE('',(#25214),#10338,.T.); #320059=ADVANCED_FACE('',(#25215),#10339,.T.); #320060=ADVANCED_FACE('',(#25216),#10340,.T.); #320061=ADVANCED_FACE('',(#25217),#10341,.T.); #320062=ADVANCED_FACE('',(#25218),#10342,.T.); #320063=ADVANCED_FACE('',(#25219),#10343,.T.); #320064=ADVANCED_FACE('',(#25220),#10344,.T.); #320065=ADVANCED_FACE('',(#25221),#10345,.T.); #320066=ADVANCED_FACE('',(#25222),#10346,.T.); #320067=ADVANCED_FACE('',(#25223),#10347,.T.); #320068=ADVANCED_FACE('',(#25224),#10348,.T.); #320069=ADVANCED_FACE('',(#25225),#10349,.T.); #320070=ADVANCED_FACE('',(#25226),#10350,.T.); #320071=ADVANCED_FACE('',(#25227),#10351,.T.); #320072=ADVANCED_FACE('',(#25228),#10352,.T.); #320073=ADVANCED_FACE('',(#25229),#10353,.T.); #320074=ADVANCED_FACE('',(#25230),#10354,.T.); #320075=ADVANCED_FACE('',(#25231),#10355,.T.); #320076=ADVANCED_FACE('',(#25232),#10356,.T.); #320077=ADVANCED_FACE('',(#25233),#10357,.T.); #320078=ADVANCED_FACE('',(#25234),#10358,.T.); #320079=ADVANCED_FACE('',(#25235),#10359,.T.); #320080=ADVANCED_FACE('',(#25236),#10360,.T.); #320081=ADVANCED_FACE('',(#25237),#10361,.T.); #320082=ADVANCED_FACE('',(#25238),#10362,.T.); #320083=ADVANCED_FACE('',(#25239),#10363,.T.); #320084=ADVANCED_FACE('',(#25240),#10364,.T.); #320085=ADVANCED_FACE('',(#25241),#10365,.T.); #320086=ADVANCED_FACE('',(#25242),#10366,.T.); #320087=ADVANCED_FACE('',(#25243),#10367,.T.); #320088=ADVANCED_FACE('',(#25244),#10368,.T.); #320089=ADVANCED_FACE('',(#25245),#10369,.T.); #320090=ADVANCED_FACE('',(#25246),#10370,.T.); #320091=ADVANCED_FACE('',(#25247),#10371,.T.); #320092=ADVANCED_FACE('',(#25248),#10372,.T.); #320093=ADVANCED_FACE('',(#25249),#10373,.T.); #320094=ADVANCED_FACE('',(#25250),#10374,.T.); #320095=ADVANCED_FACE('',(#25251),#10375,.T.); #320096=ADVANCED_FACE('',(#25252),#10376,.T.); #320097=ADVANCED_FACE('',(#25253),#10377,.T.); #320098=ADVANCED_FACE('',(#25254),#10378,.T.); #320099=ADVANCED_FACE('',(#25255),#10379,.T.); #320100=ADVANCED_FACE('',(#25256),#10380,.T.); #320101=ADVANCED_FACE('',(#25257),#10381,.T.); #320102=ADVANCED_FACE('',(#25258),#10382,.T.); #320103=ADVANCED_FACE('',(#25259),#10383,.T.); #320104=ADVANCED_FACE('',(#25260),#10384,.T.); #320105=ADVANCED_FACE('',(#25261),#10385,.T.); #320106=ADVANCED_FACE('',(#25262),#10386,.T.); #320107=ADVANCED_FACE('',(#25263),#10387,.T.); #320108=ADVANCED_FACE('',(#25264),#10388,.T.); #320109=ADVANCED_FACE('',(#25265),#10389,.T.); #320110=ADVANCED_FACE('',(#25266),#10390,.T.); #320111=ADVANCED_FACE('',(#25267),#10391,.T.); #320112=ADVANCED_FACE('',(#25268),#10392,.T.); #320113=ADVANCED_FACE('',(#25269),#10393,.T.); #320114=ADVANCED_FACE('',(#25270),#10394,.T.); #320115=ADVANCED_FACE('',(#25271),#10395,.T.); #320116=ADVANCED_FACE('',(#25272),#10396,.T.); #320117=ADVANCED_FACE('',(#25273),#10397,.T.); #320118=ADVANCED_FACE('',(#25274),#10398,.T.); #320119=ADVANCED_FACE('',(#25275),#10399,.T.); #320120=ADVANCED_FACE('',(#25276),#10400,.T.); #320121=ADVANCED_FACE('',(#25277),#10401,.T.); #320122=ADVANCED_FACE('',(#25278),#10402,.T.); #320123=ADVANCED_FACE('',(#25279),#10403,.T.); #320124=ADVANCED_FACE('',(#25280),#10404,.T.); #320125=ADVANCED_FACE('',(#25281),#10405,.T.); #320126=ADVANCED_FACE('',(#25282),#10406,.T.); #320127=ADVANCED_FACE('',(#25283),#10407,.T.); #320128=ADVANCED_FACE('',(#25284),#10408,.T.); #320129=ADVANCED_FACE('',(#25285),#10409,.T.); #320130=ADVANCED_FACE('',(#25286),#10410,.T.); #320131=ADVANCED_FACE('',(#25287),#10411,.T.); #320132=ADVANCED_FACE('',(#25288),#10412,.T.); #320133=ADVANCED_FACE('',(#25289),#10413,.T.); #320134=ADVANCED_FACE('',(#25290),#10414,.T.); #320135=ADVANCED_FACE('',(#25291),#10415,.T.); #320136=ADVANCED_FACE('',(#25292),#10416,.T.); #320137=ADVANCED_FACE('',(#25293),#10417,.T.); #320138=ADVANCED_FACE('',(#25294),#10418,.T.); #320139=ADVANCED_FACE('',(#25295),#10419,.T.); #320140=ADVANCED_FACE('',(#25296),#10420,.T.); #320141=ADVANCED_FACE('',(#25297),#10421,.T.); #320142=ADVANCED_FACE('',(#25298),#10422,.T.); #320143=ADVANCED_FACE('',(#25299),#10423,.T.); #320144=ADVANCED_FACE('',(#25300),#10424,.T.); #320145=ADVANCED_FACE('',(#25301),#10425,.T.); #320146=ADVANCED_FACE('',(#25302),#10426,.T.); #320147=ADVANCED_FACE('',(#25303),#10427,.T.); #320148=ADVANCED_FACE('',(#25304),#10428,.T.); #320149=ADVANCED_FACE('',(#25305),#10429,.T.); #320150=ADVANCED_FACE('',(#25306),#10430,.T.); #320151=ADVANCED_FACE('',(#25307),#10431,.T.); #320152=ADVANCED_FACE('',(#25308),#10432,.T.); #320153=ADVANCED_FACE('',(#25309),#10433,.T.); #320154=ADVANCED_FACE('',(#25310),#10434,.T.); #320155=ADVANCED_FACE('',(#25311),#10435,.T.); #320156=ADVANCED_FACE('',(#25312),#10436,.T.); #320157=ADVANCED_FACE('',(#25313),#10437,.T.); #320158=ADVANCED_FACE('',(#25314),#10438,.T.); #320159=ADVANCED_FACE('',(#25315),#10439,.T.); #320160=ADVANCED_FACE('',(#25316),#10440,.T.); #320161=ADVANCED_FACE('',(#25317),#10441,.T.); #320162=ADVANCED_FACE('',(#25318),#10442,.T.); #320163=ADVANCED_FACE('',(#25319),#10443,.T.); #320164=ADVANCED_FACE('',(#25320),#10444,.T.); #320165=ADVANCED_FACE('',(#25321),#10445,.T.); #320166=ADVANCED_FACE('',(#25322),#10446,.T.); #320167=ADVANCED_FACE('',(#25323),#10447,.T.); #320168=ADVANCED_FACE('',(#25324),#10448,.T.); #320169=ADVANCED_FACE('',(#25325),#10449,.T.); #320170=ADVANCED_FACE('',(#25326),#10450,.T.); #320171=ADVANCED_FACE('',(#25327),#10451,.T.); #320172=ADVANCED_FACE('',(#25328),#10452,.T.); #320173=ADVANCED_FACE('',(#25329),#10453,.T.); #320174=ADVANCED_FACE('',(#25330),#10454,.T.); #320175=ADVANCED_FACE('',(#25331),#10455,.T.); #320176=ADVANCED_FACE('',(#25332),#10456,.T.); #320177=ADVANCED_FACE('',(#25333),#10457,.T.); #320178=ADVANCED_FACE('',(#25334),#10458,.T.); #320179=ADVANCED_FACE('',(#25335),#10459,.T.); #320180=ADVANCED_FACE('',(#25336),#10460,.T.); #320181=ADVANCED_FACE('',(#25337),#10461,.T.); #320182=ADVANCED_FACE('',(#25338),#10462,.T.); #320183=ADVANCED_FACE('',(#25339),#10463,.T.); #320184=ADVANCED_FACE('',(#25340),#10464,.T.); #320185=ADVANCED_FACE('',(#25341),#10465,.T.); #320186=ADVANCED_FACE('',(#25342),#10466,.T.); #320187=ADVANCED_FACE('',(#25343),#10467,.T.); #320188=ADVANCED_FACE('',(#25344),#10468,.T.); #320189=ADVANCED_FACE('',(#25345),#10469,.T.); #320190=ADVANCED_FACE('',(#25346),#10470,.T.); #320191=ADVANCED_FACE('',(#25347),#10471,.T.); #320192=ADVANCED_FACE('',(#25348),#10472,.T.); #320193=ADVANCED_FACE('',(#25349),#10473,.T.); #320194=ADVANCED_FACE('',(#25350),#10474,.T.); #320195=ADVANCED_FACE('',(#25351),#10475,.T.); #320196=ADVANCED_FACE('',(#25352),#10476,.T.); #320197=ADVANCED_FACE('',(#25353),#10477,.T.); #320198=ADVANCED_FACE('',(#25354),#10478,.T.); #320199=ADVANCED_FACE('',(#25355),#10479,.T.); #320200=ADVANCED_FACE('',(#25356),#10480,.T.); #320201=ADVANCED_FACE('',(#25357),#10481,.T.); #320202=ADVANCED_FACE('',(#25358),#10482,.T.); #320203=ADVANCED_FACE('',(#25359),#10483,.T.); #320204=ADVANCED_FACE('',(#25360),#10484,.T.); #320205=ADVANCED_FACE('',(#25361),#10485,.T.); #320206=ADVANCED_FACE('',(#25362),#10486,.T.); #320207=ADVANCED_FACE('',(#25363),#10487,.T.); #320208=ADVANCED_FACE('',(#25364),#10488,.T.); #320209=ADVANCED_FACE('',(#25365),#10489,.T.); #320210=ADVANCED_FACE('',(#25366),#10490,.T.); #320211=ADVANCED_FACE('',(#25367),#10491,.T.); #320212=ADVANCED_FACE('',(#25368),#10492,.T.); #320213=ADVANCED_FACE('',(#25369),#10493,.T.); #320214=ADVANCED_FACE('',(#25370),#10494,.T.); #320215=ADVANCED_FACE('',(#25371),#10495,.T.); #320216=ADVANCED_FACE('',(#25372),#10496,.T.); #320217=ADVANCED_FACE('',(#25373),#10497,.T.); #320218=ADVANCED_FACE('',(#25374),#10498,.T.); #320219=ADVANCED_FACE('',(#25375),#10499,.T.); #320220=ADVANCED_FACE('',(#25376),#10500,.T.); #320221=ADVANCED_FACE('',(#25377),#10501,.T.); #320222=ADVANCED_FACE('',(#25378),#10502,.T.); #320223=ADVANCED_FACE('',(#25379),#10503,.T.); #320224=ADVANCED_FACE('',(#25380),#10504,.T.); #320225=ADVANCED_FACE('',(#25381),#10505,.T.); #320226=ADVANCED_FACE('',(#25382),#10506,.T.); #320227=ADVANCED_FACE('',(#25383),#10507,.T.); #320228=ADVANCED_FACE('',(#25384),#10508,.T.); #320229=ADVANCED_FACE('',(#25385),#10509,.T.); #320230=ADVANCED_FACE('',(#25386),#10510,.T.); #320231=ADVANCED_FACE('',(#25387),#10511,.T.); #320232=ADVANCED_FACE('',(#25388),#10512,.T.); #320233=ADVANCED_FACE('',(#25389),#10513,.T.); #320234=ADVANCED_FACE('',(#25390),#10514,.T.); #320235=ADVANCED_FACE('',(#25391),#10515,.T.); #320236=ADVANCED_FACE('',(#25392),#10516,.T.); #320237=ADVANCED_FACE('',(#25393),#10517,.T.); #320238=ADVANCED_FACE('',(#25394),#10518,.T.); #320239=ADVANCED_FACE('',(#25395),#10519,.T.); #320240=ADVANCED_FACE('',(#25396),#10520,.T.); #320241=ADVANCED_FACE('',(#25397),#10521,.T.); #320242=ADVANCED_FACE('',(#25398),#10522,.T.); #320243=ADVANCED_FACE('',(#25399),#10523,.T.); #320244=ADVANCED_FACE('',(#25400),#10524,.T.); #320245=ADVANCED_FACE('',(#25401),#10525,.T.); #320246=ADVANCED_FACE('',(#25402),#10526,.T.); #320247=ADVANCED_FACE('',(#25403),#10527,.T.); #320248=ADVANCED_FACE('',(#25404),#10528,.T.); #320249=ADVANCED_FACE('',(#25405),#10529,.T.); #320250=ADVANCED_FACE('',(#25406),#10530,.T.); #320251=ADVANCED_FACE('',(#25407),#10531,.T.); #320252=ADVANCED_FACE('',(#25408),#10532,.T.); #320253=ADVANCED_FACE('',(#25409),#10533,.T.); #320254=ADVANCED_FACE('',(#25410),#10534,.T.); #320255=ADVANCED_FACE('',(#25411),#10535,.T.); #320256=ADVANCED_FACE('',(#25412),#10536,.T.); #320257=ADVANCED_FACE('',(#25413),#10537,.T.); #320258=ADVANCED_FACE('',(#25414),#10538,.T.); #320259=ADVANCED_FACE('',(#25415),#10539,.T.); #320260=ADVANCED_FACE('',(#25416),#10540,.T.); #320261=ADVANCED_FACE('',(#25417),#10541,.T.); #320262=ADVANCED_FACE('',(#25418),#10542,.T.); #320263=ADVANCED_FACE('',(#25419),#10543,.T.); #320264=ADVANCED_FACE('',(#25420),#10544,.T.); #320265=ADVANCED_FACE('',(#25421),#10545,.T.); #320266=ADVANCED_FACE('',(#25422),#10546,.T.); #320267=ADVANCED_FACE('',(#25423),#10547,.T.); #320268=ADVANCED_FACE('',(#25424),#10548,.T.); #320269=ADVANCED_FACE('',(#25425),#10549,.T.); #320270=ADVANCED_FACE('',(#25426),#10550,.T.); #320271=ADVANCED_FACE('',(#25427),#10551,.T.); #320272=ADVANCED_FACE('',(#25428),#10552,.T.); #320273=ADVANCED_FACE('',(#25429),#10553,.T.); #320274=ADVANCED_FACE('',(#25430),#10554,.T.); #320275=ADVANCED_FACE('',(#25431),#10555,.T.); #320276=ADVANCED_FACE('',(#25432),#10556,.T.); #320277=ADVANCED_FACE('',(#25433),#10557,.T.); #320278=ADVANCED_FACE('',(#25434),#10558,.T.); #320279=ADVANCED_FACE('',(#25435),#10559,.T.); #320280=ADVANCED_FACE('',(#25436),#10560,.T.); #320281=ADVANCED_FACE('',(#25437),#10561,.T.); #320282=ADVANCED_FACE('',(#25438),#10562,.T.); #320283=ADVANCED_FACE('',(#25439),#10563,.T.); #320284=ADVANCED_FACE('',(#25440),#10564,.T.); #320285=ADVANCED_FACE('',(#25441),#10565,.T.); #320286=ADVANCED_FACE('',(#25442),#10566,.T.); #320287=ADVANCED_FACE('',(#25443),#10567,.T.); #320288=ADVANCED_FACE('',(#25444),#10568,.T.); #320289=ADVANCED_FACE('',(#25445),#10569,.T.); #320290=ADVANCED_FACE('',(#25446),#10570,.T.); #320291=ADVANCED_FACE('',(#25447),#10571,.T.); #320292=ADVANCED_FACE('',(#25448),#10572,.T.); #320293=ADVANCED_FACE('',(#25449),#10573,.T.); #320294=ADVANCED_FACE('',(#25450),#10574,.T.); #320295=ADVANCED_FACE('',(#25451),#10575,.T.); #320296=ADVANCED_FACE('',(#25452),#10576,.T.); #320297=ADVANCED_FACE('',(#25453),#10577,.T.); #320298=ADVANCED_FACE('',(#25454),#10578,.T.); #320299=ADVANCED_FACE('',(#25455),#10579,.T.); #320300=ADVANCED_FACE('',(#25456),#10580,.T.); #320301=ADVANCED_FACE('',(#25457),#10581,.T.); #320302=ADVANCED_FACE('',(#25458),#10582,.T.); #320303=ADVANCED_FACE('',(#25459),#10583,.T.); #320304=ADVANCED_FACE('',(#25460),#10584,.T.); #320305=ADVANCED_FACE('',(#25461),#10585,.T.); #320306=ADVANCED_FACE('',(#25462),#10586,.T.); #320307=ADVANCED_FACE('',(#25463),#10587,.T.); #320308=ADVANCED_FACE('',(#25464),#10588,.T.); #320309=ADVANCED_FACE('',(#25465),#10589,.T.); #320310=ADVANCED_FACE('',(#25466),#10590,.T.); #320311=ADVANCED_FACE('',(#25467),#10591,.T.); #320312=ADVANCED_FACE('',(#25468),#10592,.T.); #320313=ADVANCED_FACE('',(#25469),#10593,.T.); #320314=ADVANCED_FACE('',(#25470),#10594,.T.); #320315=ADVANCED_FACE('',(#25471),#10595,.T.); #320316=ADVANCED_FACE('',(#25472),#10596,.T.); #320317=ADVANCED_FACE('',(#25473),#10597,.T.); #320318=ADVANCED_FACE('',(#25474),#10598,.T.); #320319=ADVANCED_FACE('',(#25475),#10599,.T.); #320320=ADVANCED_FACE('',(#25476),#10600,.T.); #320321=ADVANCED_FACE('',(#25477),#10601,.T.); #320322=ADVANCED_FACE('',(#25478),#10602,.T.); #320323=ADVANCED_FACE('',(#25479),#10603,.T.); #320324=ADVANCED_FACE('',(#25480),#10604,.T.); #320325=ADVANCED_FACE('',(#25481),#10605,.T.); #320326=ADVANCED_FACE('',(#25482),#10606,.T.); #320327=ADVANCED_FACE('',(#25483),#10607,.T.); #320328=ADVANCED_FACE('',(#25484),#10608,.T.); #320329=ADVANCED_FACE('',(#25485),#10609,.T.); #320330=ADVANCED_FACE('',(#25486),#10610,.T.); #320331=ADVANCED_FACE('',(#25487),#10611,.T.); #320332=ADVANCED_FACE('',(#25488),#10612,.T.); #320333=ADVANCED_FACE('',(#25489),#10613,.T.); #320334=ADVANCED_FACE('',(#25490),#10614,.T.); #320335=ADVANCED_FACE('',(#25491),#10615,.T.); #320336=ADVANCED_FACE('',(#25492),#10616,.T.); #320337=ADVANCED_FACE('',(#25493),#10617,.T.); #320338=ADVANCED_FACE('',(#25494),#10618,.T.); #320339=ADVANCED_FACE('',(#25495),#10619,.T.); #320340=ADVANCED_FACE('',(#25496),#10620,.T.); #320341=ADVANCED_FACE('',(#25497),#10621,.T.); #320342=ADVANCED_FACE('',(#25498),#10622,.T.); #320343=ADVANCED_FACE('',(#25499),#10623,.T.); #320344=ADVANCED_FACE('',(#25500),#10624,.T.); #320345=ADVANCED_FACE('',(#25501),#10625,.T.); #320346=ADVANCED_FACE('',(#25502),#10626,.T.); #320347=ADVANCED_FACE('',(#25503),#10627,.T.); #320348=ADVANCED_FACE('',(#25504),#10628,.T.); #320349=ADVANCED_FACE('',(#25505),#10629,.T.); #320350=ADVANCED_FACE('',(#25506),#10630,.T.); #320351=ADVANCED_FACE('',(#25507),#10631,.T.); #320352=ADVANCED_FACE('',(#25508),#10632,.T.); #320353=ADVANCED_FACE('',(#25509),#10633,.T.); #320354=ADVANCED_FACE('',(#25510),#10634,.T.); #320355=ADVANCED_FACE('',(#25511),#10635,.T.); #320356=ADVANCED_FACE('',(#25512),#10636,.T.); #320357=ADVANCED_FACE('',(#25513),#10637,.T.); #320358=ADVANCED_FACE('',(#25514),#10638,.T.); #320359=ADVANCED_FACE('',(#25515),#10639,.T.); #320360=ADVANCED_FACE('',(#25516),#10640,.T.); #320361=ADVANCED_FACE('',(#25517),#10641,.T.); #320362=ADVANCED_FACE('',(#25518),#10642,.T.); #320363=ADVANCED_FACE('',(#25519),#10643,.T.); #320364=ADVANCED_FACE('',(#25520),#10644,.T.); #320365=ADVANCED_FACE('',(#25521),#10645,.T.); #320366=ADVANCED_FACE('',(#25522),#10646,.T.); #320367=ADVANCED_FACE('',(#25523),#10647,.T.); #320368=ADVANCED_FACE('',(#25524),#10648,.T.); #320369=ADVANCED_FACE('',(#25525),#10649,.T.); #320370=ADVANCED_FACE('',(#25526),#10650,.T.); #320371=ADVANCED_FACE('',(#25527),#10651,.T.); #320372=ADVANCED_FACE('',(#25528),#10652,.T.); #320373=ADVANCED_FACE('',(#25529),#10653,.T.); #320374=ADVANCED_FACE('',(#25530),#10654,.T.); #320375=ADVANCED_FACE('',(#25531),#10655,.T.); #320376=ADVANCED_FACE('',(#25532),#10656,.T.); #320377=ADVANCED_FACE('',(#25533),#10657,.T.); #320378=ADVANCED_FACE('',(#25534),#10658,.T.); #320379=ADVANCED_FACE('',(#25535),#10659,.T.); #320380=ADVANCED_FACE('',(#25536),#10660,.T.); #320381=ADVANCED_FACE('',(#25537),#10661,.T.); #320382=ADVANCED_FACE('',(#25538),#10662,.T.); #320383=ADVANCED_FACE('',(#25539),#10663,.T.); #320384=ADVANCED_FACE('',(#25540),#10664,.T.); #320385=ADVANCED_FACE('',(#25541),#10665,.T.); #320386=ADVANCED_FACE('',(#25542),#10666,.T.); #320387=ADVANCED_FACE('',(#25543),#10667,.T.); #320388=ADVANCED_FACE('',(#25544),#10668,.T.); #320389=ADVANCED_FACE('',(#25545),#10669,.T.); #320390=ADVANCED_FACE('',(#25546),#10670,.T.); #320391=ADVANCED_FACE('',(#25547),#10671,.T.); #320392=ADVANCED_FACE('',(#25548),#10672,.T.); #320393=ADVANCED_FACE('',(#25549),#10673,.T.); #320394=ADVANCED_FACE('',(#25550),#10674,.T.); #320395=ADVANCED_FACE('',(#25551),#10675,.T.); #320396=ADVANCED_FACE('',(#25552),#10676,.T.); #320397=ADVANCED_FACE('',(#25553),#10677,.T.); #320398=ADVANCED_FACE('',(#25554),#10678,.T.); #320399=ADVANCED_FACE('',(#25555),#10679,.T.); #320400=ADVANCED_FACE('',(#25556),#10680,.T.); #320401=ADVANCED_FACE('',(#25557),#10681,.T.); #320402=ADVANCED_FACE('',(#25558),#10682,.T.); #320403=ADVANCED_FACE('',(#25559),#10683,.T.); #320404=ADVANCED_FACE('',(#25560),#10684,.T.); #320405=ADVANCED_FACE('',(#25561),#10685,.T.); #320406=ADVANCED_FACE('',(#25562),#10686,.T.); #320407=ADVANCED_FACE('',(#25563),#10687,.T.); #320408=ADVANCED_FACE('',(#25564),#10688,.T.); #320409=ADVANCED_FACE('',(#25565),#10689,.T.); #320410=ADVANCED_FACE('',(#25566),#10690,.T.); #320411=ADVANCED_FACE('',(#25567),#10691,.T.); #320412=ADVANCED_FACE('',(#25568),#10692,.T.); #320413=ADVANCED_FACE('',(#25569),#10693,.T.); #320414=ADVANCED_FACE('',(#25570),#10694,.T.); #320415=ADVANCED_FACE('',(#25571),#10695,.T.); #320416=ADVANCED_FACE('',(#25572),#10696,.T.); #320417=ADVANCED_FACE('',(#25573),#10697,.T.); #320418=ADVANCED_FACE('',(#25574),#10698,.T.); #320419=ADVANCED_FACE('',(#25575),#10699,.T.); #320420=ADVANCED_FACE('',(#25576),#10700,.T.); #320421=ADVANCED_FACE('',(#25577),#10701,.T.); #320422=ADVANCED_FACE('',(#25578),#10702,.T.); #320423=ADVANCED_FACE('',(#25579),#10703,.T.); #320424=ADVANCED_FACE('',(#25580),#10704,.T.); #320425=ADVANCED_FACE('',(#25581),#10705,.T.); #320426=ADVANCED_FACE('',(#25582),#10706,.T.); #320427=ADVANCED_FACE('',(#25583),#10707,.T.); #320428=ADVANCED_FACE('',(#25584),#10708,.T.); #320429=ADVANCED_FACE('',(#25585),#10709,.T.); #320430=ADVANCED_FACE('',(#25586),#10710,.T.); #320431=ADVANCED_FACE('',(#25587),#10711,.T.); #320432=ADVANCED_FACE('',(#25588),#10712,.T.); #320433=ADVANCED_FACE('',(#25589),#10713,.T.); #320434=ADVANCED_FACE('',(#25590),#10714,.T.); #320435=ADVANCED_FACE('',(#25591),#10715,.T.); #320436=ADVANCED_FACE('',(#25592),#10716,.T.); #320437=ADVANCED_FACE('',(#25593),#10717,.T.); #320438=ADVANCED_FACE('',(#25594),#10718,.T.); #320439=ADVANCED_FACE('',(#25595),#10719,.T.); #320440=ADVANCED_FACE('',(#25596),#10720,.T.); #320441=ADVANCED_FACE('',(#25597),#10721,.T.); #320442=ADVANCED_FACE('',(#25598),#10722,.T.); #320443=ADVANCED_FACE('',(#25599),#10723,.T.); #320444=ADVANCED_FACE('',(#25600),#10724,.T.); #320445=ADVANCED_FACE('',(#25601),#10725,.T.); #320446=ADVANCED_FACE('',(#25602),#10726,.T.); #320447=ADVANCED_FACE('',(#25603),#10727,.T.); #320448=ADVANCED_FACE('',(#25604),#10728,.T.); #320449=ADVANCED_FACE('',(#25605),#10729,.T.); #320450=ADVANCED_FACE('',(#25606),#10730,.T.); #320451=ADVANCED_FACE('',(#25607),#10731,.T.); #320452=ADVANCED_FACE('',(#25608),#10732,.T.); #320453=ADVANCED_FACE('',(#25609),#10733,.T.); #320454=ADVANCED_FACE('',(#25610),#10734,.T.); #320455=ADVANCED_FACE('',(#25611),#10735,.T.); #320456=ADVANCED_FACE('',(#25612),#10736,.T.); #320457=ADVANCED_FACE('',(#25613),#10737,.T.); #320458=ADVANCED_FACE('',(#25614),#10738,.T.); #320459=ADVANCED_FACE('',(#25615),#10739,.T.); #320460=ADVANCED_FACE('',(#25616),#10740,.T.); #320461=ADVANCED_FACE('',(#25617),#10741,.T.); #320462=ADVANCED_FACE('',(#25618),#10742,.T.); #320463=ADVANCED_FACE('',(#25619),#10743,.T.); #320464=ADVANCED_FACE('',(#25620),#10744,.T.); #320465=ADVANCED_FACE('',(#25621),#10745,.T.); #320466=ADVANCED_FACE('',(#25622),#10746,.T.); #320467=ADVANCED_FACE('',(#25623),#10747,.T.); #320468=ADVANCED_FACE('',(#25624),#10748,.T.); #320469=ADVANCED_FACE('',(#25625),#10749,.T.); #320470=ADVANCED_FACE('',(#25626),#10750,.T.); #320471=ADVANCED_FACE('',(#25627),#10751,.T.); #320472=ADVANCED_FACE('',(#25628),#10752,.T.); #320473=ADVANCED_FACE('',(#25629),#10753,.T.); #320474=ADVANCED_FACE('',(#25630),#10754,.T.); #320475=ADVANCED_FACE('',(#25631),#10755,.T.); #320476=ADVANCED_FACE('',(#25632),#10756,.T.); #320477=ADVANCED_FACE('',(#25633),#10757,.T.); #320478=ADVANCED_FACE('',(#25634),#10758,.T.); #320479=ADVANCED_FACE('',(#25635),#10759,.T.); #320480=ADVANCED_FACE('',(#25636),#10760,.T.); #320481=ADVANCED_FACE('',(#25637),#10761,.T.); #320482=ADVANCED_FACE('',(#25638),#10762,.T.); #320483=ADVANCED_FACE('',(#25639),#10763,.T.); #320484=ADVANCED_FACE('',(#25640),#10764,.T.); #320485=ADVANCED_FACE('',(#25641),#10765,.T.); #320486=ADVANCED_FACE('',(#25642),#10766,.T.); #320487=ADVANCED_FACE('',(#25643),#10767,.T.); #320488=ADVANCED_FACE('',(#25644),#10768,.T.); #320489=ADVANCED_FACE('',(#25645),#10769,.T.); #320490=ADVANCED_FACE('',(#25646),#10770,.T.); #320491=ADVANCED_FACE('',(#25647),#10771,.T.); #320492=ADVANCED_FACE('',(#25648),#10772,.T.); #320493=ADVANCED_FACE('',(#25649),#10773,.T.); #320494=ADVANCED_FACE('',(#25650),#10774,.T.); #320495=ADVANCED_FACE('',(#25651),#10775,.T.); #320496=ADVANCED_FACE('',(#25652),#10776,.T.); #320497=ADVANCED_FACE('',(#25653),#10777,.T.); #320498=ADVANCED_FACE('',(#25654),#10778,.T.); #320499=ADVANCED_FACE('',(#25655),#10779,.T.); #320500=ADVANCED_FACE('',(#25656),#10780,.T.); #320501=ADVANCED_FACE('',(#25657),#10781,.T.); #320502=ADVANCED_FACE('',(#25658),#10782,.T.); #320503=ADVANCED_FACE('',(#25659),#10783,.T.); #320504=ADVANCED_FACE('',(#25660),#10784,.T.); #320505=ADVANCED_FACE('',(#25661),#10785,.T.); #320506=ADVANCED_FACE('',(#25662),#10786,.T.); #320507=ADVANCED_FACE('',(#25663),#10787,.T.); #320508=ADVANCED_FACE('',(#25664),#10788,.T.); #320509=ADVANCED_FACE('',(#25665),#10789,.T.); #320510=ADVANCED_FACE('',(#25666),#10790,.T.); #320511=ADVANCED_FACE('',(#25667),#10791,.T.); #320512=ADVANCED_FACE('',(#25668),#10792,.T.); #320513=ADVANCED_FACE('',(#25669),#10793,.T.); #320514=ADVANCED_FACE('',(#25670),#10794,.T.); #320515=ADVANCED_FACE('',(#25671),#10795,.T.); #320516=ADVANCED_FACE('',(#25672),#10796,.T.); #320517=ADVANCED_FACE('',(#25673,#4823,#4824,#4825,#4826,#4827,#4828,#4829, #4830,#4831,#4832,#4833,#4834,#4835,#4836,#4837,#4838,#4839,#4840,#4841, #4842,#4843,#4844,#4845,#4846,#4847,#4848,#4849,#4850,#4851,#4852,#4853, #4854,#4855,#4856,#4857,#4858,#4859,#4860,#4861,#4862,#4863,#4864,#4865, #4866,#4867,#4868,#4869,#4870,#4871,#4872,#4873,#4874,#4875,#4876,#4877, #4878,#4879,#4880,#4881,#4882,#4883,#4884,#4885,#4886,#4887,#4888,#4889, #4890,#4891),#10797,.T.); #320518=ADVANCED_FACE('',(#25674,#4892,#4893,#4894,#4895,#4896,#4897,#4898, #4899,#4900,#4901,#4902,#4903,#4904,#4905,#4906,#4907,#4908,#4909,#4910, #4911,#4912,#4913,#4914,#4915,#4916,#4917,#4918,#4919,#4920,#4921,#4922, #4923,#4924,#4925,#4926,#4927,#4928,#4929,#4930,#4931,#4932,#4933,#4934, #4935,#4936,#4937,#4938,#4939,#4940,#4941,#4942,#4943,#4944,#4945,#4946, #4947,#4948,#4949,#4950,#4951,#4952,#4953,#4954,#4955,#4956,#4957,#4958, #4959,#4960),#10798,.F.); #320519=ADVANCED_FACE('',(#25675),#10799,.T.); #320520=ADVANCED_FACE('',(#25676),#10800,.T.); #320521=ADVANCED_FACE('',(#25677),#10801,.T.); #320522=ADVANCED_FACE('',(#25678),#10802,.T.); #320523=ADVANCED_FACE('',(#25679),#10803,.T.); #320524=ADVANCED_FACE('',(#25680),#10804,.T.); #320525=ADVANCED_FACE('',(#25681),#10805,.T.); #320526=ADVANCED_FACE('',(#25682),#10806,.T.); #320527=ADVANCED_FACE('',(#25683),#10807,.T.); #320528=ADVANCED_FACE('',(#25684),#10808,.T.); #320529=ADVANCED_FACE('',(#25685),#10809,.T.); #320530=ADVANCED_FACE('',(#25686),#10810,.T.); #320531=ADVANCED_FACE('',(#25687),#10811,.T.); #320532=ADVANCED_FACE('',(#25688),#10812,.T.); #320533=ADVANCED_FACE('',(#25689),#10813,.T.); #320534=ADVANCED_FACE('',(#25690),#10814,.T.); #320535=ADVANCED_FACE('',(#25691),#10815,.T.); #320536=ADVANCED_FACE('',(#25692),#10816,.T.); #320537=ADVANCED_FACE('',(#25693),#10817,.T.); #320538=ADVANCED_FACE('',(#25694),#10818,.T.); #320539=ADVANCED_FACE('',(#25695),#10819,.T.); #320540=ADVANCED_FACE('',(#25696),#10820,.F.); #320541=ADVANCED_FACE('',(#25697),#10821,.T.); #320542=ADVANCED_FACE('',(#25698),#10822,.T.); #320543=ADVANCED_FACE('',(#25699),#10823,.T.); #320544=ADVANCED_FACE('',(#25700),#10824,.T.); #320545=ADVANCED_FACE('',(#25701),#10825,.T.); #320546=ADVANCED_FACE('',(#25702),#10826,.T.); #320547=ADVANCED_FACE('',(#25703),#10827,.T.); #320548=ADVANCED_FACE('',(#25704),#10828,.T.); #320549=ADVANCED_FACE('',(#25705),#10829,.T.); #320550=ADVANCED_FACE('',(#25706),#10830,.T.); #320551=ADVANCED_FACE('',(#25707),#10831,.T.); #320552=ADVANCED_FACE('',(#25708),#10832,.T.); #320553=ADVANCED_FACE('',(#25709),#10833,.T.); #320554=ADVANCED_FACE('',(#25710),#10834,.T.); #320555=ADVANCED_FACE('',(#25711),#10835,.T.); #320556=ADVANCED_FACE('',(#25712),#10836,.T.); #320557=ADVANCED_FACE('',(#25713),#10837,.T.); #320558=ADVANCED_FACE('',(#25714),#10838,.T.); #320559=ADVANCED_FACE('',(#25715),#10839,.T.); #320560=ADVANCED_FACE('',(#25716),#10840,.T.); #320561=ADVANCED_FACE('',(#25717),#10841,.T.); #320562=ADVANCED_FACE('',(#25718),#10842,.T.); #320563=ADVANCED_FACE('',(#25719),#10843,.T.); #320564=ADVANCED_FACE('',(#25720),#10844,.T.); #320565=ADVANCED_FACE('',(#25721),#10845,.T.); #320566=ADVANCED_FACE('',(#25722),#10846,.T.); #320567=ADVANCED_FACE('',(#25723),#10847,.T.); #320568=ADVANCED_FACE('',(#25724),#10848,.T.); #320569=ADVANCED_FACE('',(#25725),#10849,.T.); #320570=ADVANCED_FACE('',(#25726),#10850,.T.); #320571=ADVANCED_FACE('',(#25727),#10851,.T.); #320572=ADVANCED_FACE('',(#25728),#10852,.T.); #320573=ADVANCED_FACE('',(#25729),#10853,.T.); #320574=ADVANCED_FACE('',(#25730),#10854,.T.); #320575=ADVANCED_FACE('',(#25731),#10855,.T.); #320576=ADVANCED_FACE('',(#25732),#10856,.T.); #320577=ADVANCED_FACE('',(#25733),#10857,.T.); #320578=ADVANCED_FACE('',(#25734),#10858,.T.); #320579=ADVANCED_FACE('',(#25735),#10859,.T.); #320580=ADVANCED_FACE('',(#25736),#10860,.T.); #320581=ADVANCED_FACE('',(#25737),#10861,.T.); #320582=ADVANCED_FACE('',(#25738),#10862,.F.); #320583=ADVANCED_FACE('',(#25739),#10863,.F.); #320584=ADVANCED_FACE('',(#25740),#313747,.F.); #320585=ADVANCED_FACE('',(#25741),#10864,.F.); #320586=ADVANCED_FACE('',(#25742),#313748,.F.); #320587=ADVANCED_FACE('',(#25743),#313749,.F.); #320588=ADVANCED_FACE('',(#25744),#313750,.F.); #320589=ADVANCED_FACE('',(#25745),#313751,.F.); #320590=ADVANCED_FACE('',(#25746),#313752,.F.); #320591=ADVANCED_FACE('',(#25747),#313753,.F.); #320592=ADVANCED_FACE('',(#25748),#313754,.F.); #320593=ADVANCED_FACE('',(#25749),#313755,.F.); #320594=ADVANCED_FACE('',(#25750),#313756,.F.); #320595=ADVANCED_FACE('',(#25751),#313757,.F.); #320596=ADVANCED_FACE('',(#25752),#313758,.F.); #320597=ADVANCED_FACE('',(#25753),#313759,.F.); #320598=ADVANCED_FACE('',(#25754),#10865,.T.); #320599=ADVANCED_FACE('',(#25755),#10866,.T.); #320600=ADVANCED_FACE('',(#25756),#10867,.T.); #320601=ADVANCED_FACE('',(#25757),#10868,.T.); #320602=ADVANCED_FACE('',(#25758),#10869,.T.); #320603=ADVANCED_FACE('',(#25759),#10870,.T.); #320604=ADVANCED_FACE('',(#25760),#10871,.T.); #320605=ADVANCED_FACE('',(#25761),#10872,.T.); #320606=ADVANCED_FACE('',(#25762),#10873,.T.); #320607=ADVANCED_FACE('',(#25763),#10874,.T.); #320608=ADVANCED_FACE('',(#25764),#10875,.T.); #320609=ADVANCED_FACE('',(#25765),#10876,.T.); #320610=ADVANCED_FACE('',(#25766),#10877,.T.); #320611=ADVANCED_FACE('',(#25767),#10878,.T.); #320612=ADVANCED_FACE('',(#25768),#10879,.T.); #320613=ADVANCED_FACE('',(#25769),#10880,.T.); #320614=ADVANCED_FACE('',(#25770),#10881,.T.); #320615=ADVANCED_FACE('',(#25771),#10882,.T.); #320616=ADVANCED_FACE('',(#25772),#10883,.T.); #320617=ADVANCED_FACE('',(#25773),#10884,.T.); #320618=ADVANCED_FACE('',(#25774),#10885,.T.); #320619=ADVANCED_FACE('',(#25775),#10886,.T.); #320620=ADVANCED_FACE('',(#25776),#10887,.T.); #320621=ADVANCED_FACE('',(#25777),#10888,.T.); #320622=ADVANCED_FACE('',(#25778),#10889,.T.); #320623=ADVANCED_FACE('',(#25779),#10890,.T.); #320624=ADVANCED_FACE('',(#25780),#10891,.T.); #320625=ADVANCED_FACE('',(#25781),#10892,.T.); #320626=ADVANCED_FACE('',(#25782),#10893,.T.); #320627=ADVANCED_FACE('',(#25783),#10894,.T.); #320628=ADVANCED_FACE('',(#25784),#10895,.T.); #320629=ADVANCED_FACE('',(#25785),#10896,.T.); #320630=ADVANCED_FACE('',(#25786),#10897,.T.); #320631=ADVANCED_FACE('',(#25787),#10898,.T.); #320632=ADVANCED_FACE('',(#25788),#10899,.T.); #320633=ADVANCED_FACE('',(#25789),#10900,.T.); #320634=ADVANCED_FACE('',(#25790),#10901,.T.); #320635=ADVANCED_FACE('',(#25791),#10902,.T.); #320636=ADVANCED_FACE('',(#25792),#10903,.T.); #320637=ADVANCED_FACE('',(#25793),#10904,.T.); #320638=ADVANCED_FACE('',(#25794),#10905,.T.); #320639=ADVANCED_FACE('',(#25795),#10906,.T.); #320640=ADVANCED_FACE('',(#25796),#10907,.T.); #320641=ADVANCED_FACE('',(#25797),#10908,.T.); #320642=ADVANCED_FACE('',(#25798),#10909,.T.); #320643=ADVANCED_FACE('',(#25799),#10910,.T.); #320644=ADVANCED_FACE('',(#25800),#10911,.T.); #320645=ADVANCED_FACE('',(#25801),#10912,.T.); #320646=ADVANCED_FACE('',(#25802),#10913,.T.); #320647=ADVANCED_FACE('',(#25803),#10914,.T.); #320648=ADVANCED_FACE('',(#25804),#10915,.T.); #320649=ADVANCED_FACE('',(#25805),#10916,.T.); #320650=ADVANCED_FACE('',(#25806),#10917,.T.); #320651=ADVANCED_FACE('',(#25807),#10918,.T.); #320652=ADVANCED_FACE('',(#25808),#10919,.T.); #320653=ADVANCED_FACE('',(#25809),#10920,.T.); #320654=ADVANCED_FACE('',(#25810),#10921,.T.); #320655=ADVANCED_FACE('',(#25811),#10922,.T.); #320656=ADVANCED_FACE('',(#25812),#10923,.T.); #320657=ADVANCED_FACE('',(#25813),#10924,.T.); #320658=ADVANCED_FACE('',(#25814),#10925,.T.); #320659=ADVANCED_FACE('',(#25815),#10926,.T.); #320660=ADVANCED_FACE('',(#25816),#10927,.T.); #320661=ADVANCED_FACE('',(#25817),#10928,.T.); #320662=ADVANCED_FACE('',(#25818),#10929,.T.); #320663=ADVANCED_FACE('',(#25819),#10930,.T.); #320664=ADVANCED_FACE('',(#25820),#10931,.T.); #320665=ADVANCED_FACE('',(#25821),#10932,.T.); #320666=ADVANCED_FACE('',(#25822),#10933,.T.); #320667=ADVANCED_FACE('',(#25823),#10934,.T.); #320668=ADVANCED_FACE('',(#25824),#10935,.T.); #320669=ADVANCED_FACE('',(#25825),#10936,.T.); #320670=ADVANCED_FACE('',(#25826),#10937,.T.); #320671=ADVANCED_FACE('',(#25827),#10938,.T.); #320672=ADVANCED_FACE('',(#25828),#10939,.T.); #320673=ADVANCED_FACE('',(#25829),#10940,.T.); #320674=ADVANCED_FACE('',(#25830),#10941,.T.); #320675=ADVANCED_FACE('',(#25831),#10942,.T.); #320676=ADVANCED_FACE('',(#25832),#10943,.T.); #320677=ADVANCED_FACE('',(#25833),#10944,.T.); #320678=ADVANCED_FACE('',(#25834),#10945,.T.); #320679=ADVANCED_FACE('',(#25835),#10946,.T.); #320680=ADVANCED_FACE('',(#25836),#10947,.T.); #320681=ADVANCED_FACE('',(#25837),#10948,.T.); #320682=ADVANCED_FACE('',(#25838),#10949,.T.); #320683=ADVANCED_FACE('',(#25839),#10950,.T.); #320684=ADVANCED_FACE('',(#25840),#10951,.T.); #320685=ADVANCED_FACE('',(#25841),#10952,.T.); #320686=ADVANCED_FACE('',(#25842),#10953,.T.); #320687=ADVANCED_FACE('',(#25843),#10954,.T.); #320688=ADVANCED_FACE('',(#25844),#10955,.T.); #320689=ADVANCED_FACE('',(#25845),#10956,.T.); #320690=ADVANCED_FACE('',(#25846),#10957,.T.); #320691=ADVANCED_FACE('',(#25847),#10958,.T.); #320692=ADVANCED_FACE('',(#25848),#10959,.T.); #320693=ADVANCED_FACE('',(#25849),#10960,.T.); #320694=ADVANCED_FACE('',(#25850),#10961,.T.); #320695=ADVANCED_FACE('',(#25851),#10962,.T.); #320696=ADVANCED_FACE('',(#25852),#10963,.T.); #320697=ADVANCED_FACE('',(#25853),#10964,.T.); #320698=ADVANCED_FACE('',(#25854),#10965,.T.); #320699=ADVANCED_FACE('',(#25855),#10966,.T.); #320700=ADVANCED_FACE('',(#25856),#10967,.T.); #320701=ADVANCED_FACE('',(#25857),#10968,.T.); #320702=ADVANCED_FACE('',(#25858),#10969,.T.); #320703=ADVANCED_FACE('',(#25859),#10970,.T.); #320704=ADVANCED_FACE('',(#25860),#10971,.T.); #320705=ADVANCED_FACE('',(#25861),#10972,.T.); #320706=ADVANCED_FACE('',(#25862),#10973,.T.); #320707=ADVANCED_FACE('',(#25863),#10974,.T.); #320708=ADVANCED_FACE('',(#25864),#10975,.T.); #320709=ADVANCED_FACE('',(#25865),#10976,.T.); #320710=ADVANCED_FACE('',(#25866),#10977,.T.); #320711=ADVANCED_FACE('',(#25867),#10978,.T.); #320712=ADVANCED_FACE('',(#25868),#10979,.T.); #320713=ADVANCED_FACE('',(#25869),#10980,.T.); #320714=ADVANCED_FACE('',(#25870),#10981,.T.); #320715=ADVANCED_FACE('',(#25871),#10982,.T.); #320716=ADVANCED_FACE('',(#25872),#10983,.T.); #320717=ADVANCED_FACE('',(#25873),#10984,.T.); #320718=ADVANCED_FACE('',(#25874),#10985,.T.); #320719=ADVANCED_FACE('',(#25875),#10986,.T.); #320720=ADVANCED_FACE('',(#25876),#10987,.T.); #320721=ADVANCED_FACE('',(#25877),#10988,.T.); #320722=ADVANCED_FACE('',(#25878),#10989,.T.); #320723=ADVANCED_FACE('',(#25879),#10990,.T.); #320724=ADVANCED_FACE('',(#25880),#10991,.T.); #320725=ADVANCED_FACE('',(#25881),#10992,.T.); #320726=ADVANCED_FACE('',(#25882),#10993,.T.); #320727=ADVANCED_FACE('',(#25883),#10994,.T.); #320728=ADVANCED_FACE('',(#25884),#10995,.T.); #320729=ADVANCED_FACE('',(#25885),#10996,.T.); #320730=ADVANCED_FACE('',(#25886),#10997,.T.); #320731=ADVANCED_FACE('',(#25887),#10998,.T.); #320732=ADVANCED_FACE('',(#25888),#10999,.T.); #320733=ADVANCED_FACE('',(#25889),#11000,.T.); #320734=ADVANCED_FACE('',(#25890),#11001,.T.); #320735=ADVANCED_FACE('',(#25891),#11002,.T.); #320736=ADVANCED_FACE('',(#25892),#11003,.T.); #320737=ADVANCED_FACE('',(#25893),#11004,.T.); #320738=ADVANCED_FACE('',(#25894),#11005,.T.); #320739=ADVANCED_FACE('',(#25895),#11006,.T.); #320740=ADVANCED_FACE('',(#25896),#11007,.T.); #320741=ADVANCED_FACE('',(#25897),#11008,.T.); #320742=ADVANCED_FACE('',(#25898),#11009,.T.); #320743=ADVANCED_FACE('',(#25899),#11010,.T.); #320744=ADVANCED_FACE('',(#25900),#11011,.T.); #320745=ADVANCED_FACE('',(#25901),#11012,.T.); #320746=ADVANCED_FACE('',(#25902),#11013,.T.); #320747=ADVANCED_FACE('',(#25903),#11014,.T.); #320748=ADVANCED_FACE('',(#25904),#11015,.T.); #320749=ADVANCED_FACE('',(#25905),#11016,.T.); #320750=ADVANCED_FACE('',(#25906),#11017,.T.); #320751=ADVANCED_FACE('',(#25907),#11018,.T.); #320752=ADVANCED_FACE('',(#25908),#11019,.T.); #320753=ADVANCED_FACE('',(#25909),#11020,.T.); #320754=ADVANCED_FACE('',(#25910),#11021,.T.); #320755=ADVANCED_FACE('',(#25911),#11022,.T.); #320756=ADVANCED_FACE('',(#25912),#11023,.T.); #320757=ADVANCED_FACE('',(#25913),#11024,.T.); #320758=ADVANCED_FACE('',(#25914),#11025,.T.); #320759=ADVANCED_FACE('',(#25915),#11026,.T.); #320760=ADVANCED_FACE('',(#25916),#11027,.T.); #320761=ADVANCED_FACE('',(#25917),#11028,.T.); #320762=ADVANCED_FACE('',(#25918),#11029,.T.); #320763=ADVANCED_FACE('',(#25919),#11030,.T.); #320764=ADVANCED_FACE('',(#25920),#11031,.T.); #320765=ADVANCED_FACE('',(#25921),#11032,.T.); #320766=ADVANCED_FACE('',(#25922),#11033,.T.); #320767=ADVANCED_FACE('',(#25923),#11034,.T.); #320768=ADVANCED_FACE('',(#25924),#11035,.T.); #320769=ADVANCED_FACE('',(#25925),#11036,.T.); #320770=ADVANCED_FACE('',(#25926),#11037,.T.); #320771=ADVANCED_FACE('',(#25927),#11038,.T.); #320772=ADVANCED_FACE('',(#25928),#11039,.T.); #320773=ADVANCED_FACE('',(#25929),#11040,.T.); #320774=ADVANCED_FACE('',(#25930),#11041,.T.); #320775=ADVANCED_FACE('',(#25931),#11042,.T.); #320776=ADVANCED_FACE('',(#25932),#11043,.T.); #320777=ADVANCED_FACE('',(#25933),#11044,.T.); #320778=ADVANCED_FACE('',(#25934),#11045,.T.); #320779=ADVANCED_FACE('',(#25935),#11046,.T.); #320780=ADVANCED_FACE('',(#25936),#11047,.T.); #320781=ADVANCED_FACE('',(#25937),#11048,.T.); #320782=ADVANCED_FACE('',(#25938),#11049,.T.); #320783=ADVANCED_FACE('',(#25939),#11050,.T.); #320784=ADVANCED_FACE('',(#25940),#11051,.T.); #320785=ADVANCED_FACE('',(#25941),#11052,.T.); #320786=ADVANCED_FACE('',(#25942),#11053,.T.); #320787=ADVANCED_FACE('',(#25943),#11054,.T.); #320788=ADVANCED_FACE('',(#25944),#11055,.T.); #320789=ADVANCED_FACE('',(#25945),#11056,.T.); #320790=ADVANCED_FACE('',(#25946),#11057,.T.); #320791=ADVANCED_FACE('',(#25947),#11058,.T.); #320792=ADVANCED_FACE('',(#25948),#11059,.T.); #320793=ADVANCED_FACE('',(#25949),#11060,.T.); #320794=ADVANCED_FACE('',(#25950),#11061,.T.); #320795=ADVANCED_FACE('',(#25951),#11062,.T.); #320796=ADVANCED_FACE('',(#25952),#11063,.T.); #320797=ADVANCED_FACE('',(#25953,#4961,#4962,#4963,#4964,#4965,#4966,#4967, #4968,#4969,#4970,#4971,#4972,#4973,#4974),#11064,.T.); #320798=ADVANCED_FACE('',(#25954,#4975,#4976,#4977,#4978,#4979,#4980,#4981, #4982,#4983,#4984,#4985,#4986,#4987,#4988),#11065,.F.); #320799=ADVANCED_FACE('',(#25955),#11066,.T.); #320800=ADVANCED_FACE('',(#25956),#11067,.T.); #320801=ADVANCED_FACE('',(#25957),#11068,.T.); #320802=ADVANCED_FACE('',(#25958),#11069,.T.); #320803=ADVANCED_FACE('',(#25959),#11070,.T.); #320804=ADVANCED_FACE('',(#25960),#11071,.T.); #320805=ADVANCED_FACE('',(#25961),#11072,.T.); #320806=ADVANCED_FACE('',(#25962),#11073,.T.); #320807=ADVANCED_FACE('',(#25963),#11074,.T.); #320808=ADVANCED_FACE('',(#25964),#11075,.T.); #320809=ADVANCED_FACE('',(#25965),#11076,.T.); #320810=ADVANCED_FACE('',(#25966),#11077,.T.); #320811=ADVANCED_FACE('',(#25967),#11078,.T.); #320812=ADVANCED_FACE('',(#25968),#11079,.T.); #320813=ADVANCED_FACE('',(#25969),#11080,.T.); #320814=ADVANCED_FACE('',(#25970),#11081,.T.); #320815=ADVANCED_FACE('',(#25971),#11082,.T.); #320816=ADVANCED_FACE('',(#25972),#11083,.T.); #320817=ADVANCED_FACE('',(#25973),#11084,.T.); #320818=ADVANCED_FACE('',(#25974),#11085,.T.); #320819=ADVANCED_FACE('',(#25975),#11086,.T.); #320820=ADVANCED_FACE('',(#25976),#11087,.F.); #320821=ADVANCED_FACE('',(#25977),#11088,.T.); #320822=ADVANCED_FACE('',(#25978),#11089,.T.); #320823=ADVANCED_FACE('',(#25979),#11090,.T.); #320824=ADVANCED_FACE('',(#25980),#11091,.T.); #320825=ADVANCED_FACE('',(#25981),#11092,.T.); #320826=ADVANCED_FACE('',(#25982),#11093,.T.); #320827=ADVANCED_FACE('',(#25983),#11094,.T.); #320828=ADVANCED_FACE('',(#25984),#11095,.T.); #320829=ADVANCED_FACE('',(#25985),#11096,.T.); #320830=ADVANCED_FACE('',(#25986),#11097,.T.); #320831=ADVANCED_FACE('',(#25987),#11098,.T.); #320832=ADVANCED_FACE('',(#25988),#11099,.T.); #320833=ADVANCED_FACE('',(#25989),#11100,.T.); #320834=ADVANCED_FACE('',(#25990),#11101,.T.); #320835=ADVANCED_FACE('',(#25991),#11102,.T.); #320836=ADVANCED_FACE('',(#25992),#11103,.T.); #320837=ADVANCED_FACE('',(#25993),#11104,.T.); #320838=ADVANCED_FACE('',(#25994),#11105,.T.); #320839=ADVANCED_FACE('',(#25995),#11106,.T.); #320840=ADVANCED_FACE('',(#25996),#11107,.T.); #320841=ADVANCED_FACE('',(#25997),#11108,.T.); #320842=ADVANCED_FACE('',(#25998),#11109,.T.); #320843=ADVANCED_FACE('',(#25999),#11110,.F.); #320844=ADVANCED_FACE('',(#26000),#313760,.F.); #320845=ADVANCED_FACE('',(#26001),#313761,.F.); #320846=ADVANCED_FACE('',(#26002),#313762,.F.); #320847=ADVANCED_FACE('',(#26003),#313763,.F.); #320848=ADVANCED_FACE('',(#26004),#313764,.F.); #320849=ADVANCED_FACE('',(#26005),#313765,.F.); #320850=ADVANCED_FACE('',(#26006),#313766,.F.); #320851=ADVANCED_FACE('',(#26007),#313767,.F.); #320852=ADVANCED_FACE('',(#26008),#313768,.F.); #320853=ADVANCED_FACE('',(#26009),#313769,.F.); #320854=ADVANCED_FACE('',(#26010),#313770,.F.); #320855=ADVANCED_FACE('',(#26011),#313771,.F.); #320856=ADVANCED_FACE('',(#26012),#313772,.F.); #320857=ADVANCED_FACE('',(#26013),#313773,.F.); #320858=ADVANCED_FACE('',(#26014),#313774,.F.); #320859=ADVANCED_FACE('',(#26015),#313775,.F.); #320860=ADVANCED_FACE('',(#26016),#313776,.F.); #320861=ADVANCED_FACE('',(#26017),#313777,.F.); #320862=ADVANCED_FACE('',(#26018),#313778,.F.); #320863=ADVANCED_FACE('',(#26019),#313779,.F.); #320864=ADVANCED_FACE('',(#26020),#313780,.F.); #320865=ADVANCED_FACE('',(#26021),#313781,.F.); #320866=ADVANCED_FACE('',(#26022),#313782,.F.); #320867=ADVANCED_FACE('',(#26023),#313783,.F.); #320868=ADVANCED_FACE('',(#26024),#313784,.F.); #320869=ADVANCED_FACE('',(#26025),#313785,.F.); #320870=ADVANCED_FACE('',(#26026),#313786,.F.); #320871=ADVANCED_FACE('',(#26027),#313787,.F.); #320872=ADVANCED_FACE('',(#26028),#313788,.F.); #320873=ADVANCED_FACE('',(#26029),#313789,.F.); #320874=ADVANCED_FACE('',(#26030),#313790,.F.); #320875=ADVANCED_FACE('',(#26031),#313791,.F.); #320876=ADVANCED_FACE('',(#26032),#313792,.F.); #320877=ADVANCED_FACE('',(#26033),#313793,.F.); #320878=ADVANCED_FACE('',(#26034),#313794,.F.); #320879=ADVANCED_FACE('',(#26035),#313795,.F.); #320880=ADVANCED_FACE('',(#26036),#313796,.F.); #320881=ADVANCED_FACE('',(#26037),#313797,.F.); #320882=ADVANCED_FACE('',(#26038),#313798,.F.); #320883=ADVANCED_FACE('',(#26039),#313799,.F.); #320884=ADVANCED_FACE('',(#26040),#313800,.F.); #320885=ADVANCED_FACE('',(#26041),#313801,.F.); #320886=ADVANCED_FACE('',(#26042),#313802,.F.); #320887=ADVANCED_FACE('',(#26043),#313803,.F.); #320888=ADVANCED_FACE('',(#26044),#313804,.F.); #320889=ADVANCED_FACE('',(#26045),#313805,.F.); #320890=ADVANCED_FACE('',(#26046),#313806,.F.); #320891=ADVANCED_FACE('',(#26047),#313807,.F.); #320892=ADVANCED_FACE('',(#26048),#313808,.F.); #320893=ADVANCED_FACE('',(#26049),#11111,.T.); #320894=ADVANCED_FACE('',(#26050),#11112,.T.); #320895=ADVANCED_FACE('',(#26051),#11113,.T.); #320896=ADVANCED_FACE('',(#26052),#11114,.T.); #320897=ADVANCED_FACE('',(#26053),#11115,.T.); #320898=ADVANCED_FACE('',(#26054),#11116,.T.); #320899=ADVANCED_FACE('',(#26055),#11117,.T.); #320900=ADVANCED_FACE('',(#26056),#11118,.T.); #320901=ADVANCED_FACE('',(#26057),#11119,.T.); #320902=ADVANCED_FACE('',(#26058),#11120,.T.); #320903=ADVANCED_FACE('',(#26059),#11121,.T.); #320904=ADVANCED_FACE('',(#26060),#11122,.T.); #320905=ADVANCED_FACE('',(#26061),#11123,.T.); #320906=ADVANCED_FACE('',(#26062),#11124,.T.); #320907=ADVANCED_FACE('',(#26063),#11125,.T.); #320908=ADVANCED_FACE('',(#26064),#11126,.T.); #320909=ADVANCED_FACE('',(#26065),#11127,.T.); #320910=ADVANCED_FACE('',(#26066),#11128,.T.); #320911=ADVANCED_FACE('',(#26067),#11129,.T.); #320912=ADVANCED_FACE('',(#26068),#11130,.T.); #320913=ADVANCED_FACE('',(#26069),#11131,.T.); #320914=ADVANCED_FACE('',(#26070),#11132,.T.); #320915=ADVANCED_FACE('',(#26071),#11133,.T.); #320916=ADVANCED_FACE('',(#26072),#11134,.T.); #320917=ADVANCED_FACE('',(#26073),#11135,.T.); #320918=ADVANCED_FACE('',(#26074),#11136,.T.); #320919=ADVANCED_FACE('',(#26075),#11137,.T.); #320920=ADVANCED_FACE('',(#26076),#11138,.T.); #320921=ADVANCED_FACE('',(#26077),#11139,.T.); #320922=ADVANCED_FACE('',(#26078),#11140,.T.); #320923=ADVANCED_FACE('',(#26079),#11141,.T.); #320924=ADVANCED_FACE('',(#26080),#11142,.T.); #320925=ADVANCED_FACE('',(#26081),#11143,.T.); #320926=ADVANCED_FACE('',(#26082),#11144,.T.); #320927=ADVANCED_FACE('',(#26083),#11145,.T.); #320928=ADVANCED_FACE('',(#26084),#11146,.T.); #320929=ADVANCED_FACE('',(#26085),#11147,.T.); #320930=ADVANCED_FACE('',(#26086),#11148,.T.); #320931=ADVANCED_FACE('',(#26087),#11149,.T.); #320932=ADVANCED_FACE('',(#26088),#11150,.T.); #320933=ADVANCED_FACE('',(#26089),#11151,.T.); #320934=ADVANCED_FACE('',(#26090),#11152,.T.); #320935=ADVANCED_FACE('',(#26091),#11153,.T.); #320936=ADVANCED_FACE('',(#26092),#11154,.T.); #320937=ADVANCED_FACE('',(#26093),#11155,.T.); #320938=ADVANCED_FACE('',(#26094),#11156,.T.); #320939=ADVANCED_FACE('',(#26095),#11157,.T.); #320940=ADVANCED_FACE('',(#26096),#11158,.T.); #320941=ADVANCED_FACE('',(#26097),#11159,.T.); #320942=ADVANCED_FACE('',(#26098),#11160,.T.); #320943=ADVANCED_FACE('',(#26099),#11161,.T.); #320944=ADVANCED_FACE('',(#26100),#11162,.T.); #320945=ADVANCED_FACE('',(#26101),#11163,.T.); #320946=ADVANCED_FACE('',(#26102),#11164,.T.); #320947=ADVANCED_FACE('',(#26103),#11165,.T.); #320948=ADVANCED_FACE('',(#26104),#11166,.T.); #320949=ADVANCED_FACE('',(#26105),#11167,.T.); #320950=ADVANCED_FACE('',(#26106),#11168,.T.); #320951=ADVANCED_FACE('',(#26107),#11169,.T.); #320952=ADVANCED_FACE('',(#26108),#11170,.T.); #320953=ADVANCED_FACE('',(#26109),#11171,.T.); #320954=ADVANCED_FACE('',(#26110),#11172,.T.); #320955=ADVANCED_FACE('',(#26111),#11173,.T.); #320956=ADVANCED_FACE('',(#26112),#11174,.T.); #320957=ADVANCED_FACE('',(#26113),#11175,.T.); #320958=ADVANCED_FACE('',(#26114),#11176,.T.); #320959=ADVANCED_FACE('',(#26115),#11177,.T.); #320960=ADVANCED_FACE('',(#26116),#11178,.T.); #320961=ADVANCED_FACE('',(#26117),#11179,.T.); #320962=ADVANCED_FACE('',(#26118),#11180,.T.); #320963=ADVANCED_FACE('',(#26119),#11181,.T.); #320964=ADVANCED_FACE('',(#26120),#11182,.T.); #320965=ADVANCED_FACE('',(#26121),#11183,.T.); #320966=ADVANCED_FACE('',(#26122),#11184,.T.); #320967=ADVANCED_FACE('',(#26123),#11185,.T.); #320968=ADVANCED_FACE('',(#26124),#11186,.T.); #320969=ADVANCED_FACE('',(#26125),#11187,.T.); #320970=ADVANCED_FACE('',(#26126),#11188,.T.); #320971=ADVANCED_FACE('',(#26127),#11189,.T.); #320972=ADVANCED_FACE('',(#26128),#11190,.T.); #320973=ADVANCED_FACE('',(#26129),#11191,.T.); #320974=ADVANCED_FACE('',(#26130),#11192,.T.); #320975=ADVANCED_FACE('',(#26131),#11193,.T.); #320976=ADVANCED_FACE('',(#26132),#11194,.T.); #320977=ADVANCED_FACE('',(#26133),#11195,.T.); #320978=ADVANCED_FACE('',(#26134),#11196,.T.); #320979=ADVANCED_FACE('',(#26135),#11197,.T.); #320980=ADVANCED_FACE('',(#26136),#11198,.T.); #320981=ADVANCED_FACE('',(#26137),#11199,.T.); #320982=ADVANCED_FACE('',(#26138),#11200,.T.); #320983=ADVANCED_FACE('',(#26139),#11201,.T.); #320984=ADVANCED_FACE('',(#26140),#11202,.T.); #320985=ADVANCED_FACE('',(#26141),#11203,.T.); #320986=ADVANCED_FACE('',(#26142),#11204,.T.); #320987=ADVANCED_FACE('',(#26143),#11205,.T.); #320988=ADVANCED_FACE('',(#26144),#11206,.T.); #320989=ADVANCED_FACE('',(#26145),#11207,.T.); #320990=ADVANCED_FACE('',(#26146),#11208,.T.); #320991=ADVANCED_FACE('',(#26147),#11209,.T.); #320992=ADVANCED_FACE('',(#26148),#11210,.T.); #320993=ADVANCED_FACE('',(#26149),#11211,.T.); #320994=ADVANCED_FACE('',(#26150),#11212,.T.); #320995=ADVANCED_FACE('',(#26151),#11213,.T.); #320996=ADVANCED_FACE('',(#26152),#11214,.T.); #320997=ADVANCED_FACE('',(#26153),#11215,.T.); #320998=ADVANCED_FACE('',(#26154),#11216,.T.); #320999=ADVANCED_FACE('',(#26155),#11217,.T.); #321000=ADVANCED_FACE('',(#26156),#11218,.T.); #321001=ADVANCED_FACE('',(#26157),#11219,.T.); #321002=ADVANCED_FACE('',(#26158),#11220,.T.); #321003=ADVANCED_FACE('',(#26159),#11221,.T.); #321004=ADVANCED_FACE('',(#26160),#11222,.T.); #321005=ADVANCED_FACE('',(#26161),#11223,.T.); #321006=ADVANCED_FACE('',(#26162),#11224,.T.); #321007=ADVANCED_FACE('',(#26163),#11225,.T.); #321008=ADVANCED_FACE('',(#26164),#11226,.T.); #321009=ADVANCED_FACE('',(#26165),#11227,.T.); #321010=ADVANCED_FACE('',(#26166),#11228,.T.); #321011=ADVANCED_FACE('',(#26167),#11229,.T.); #321012=ADVANCED_FACE('',(#26168),#11230,.T.); #321013=ADVANCED_FACE('',(#26169),#11231,.T.); #321014=ADVANCED_FACE('',(#26170),#11232,.T.); #321015=ADVANCED_FACE('',(#26171),#11233,.T.); #321016=ADVANCED_FACE('',(#26172),#11234,.T.); #321017=ADVANCED_FACE('',(#26173),#11235,.T.); #321018=ADVANCED_FACE('',(#26174),#11236,.T.); #321019=ADVANCED_FACE('',(#26175),#11237,.T.); #321020=ADVANCED_FACE('',(#26176),#11238,.T.); #321021=ADVANCED_FACE('',(#26177),#11239,.T.); #321022=ADVANCED_FACE('',(#26178),#11240,.T.); #321023=ADVANCED_FACE('',(#26179),#11241,.T.); #321024=ADVANCED_FACE('',(#26180),#11242,.T.); #321025=ADVANCED_FACE('',(#26181),#11243,.T.); #321026=ADVANCED_FACE('',(#26182),#11244,.T.); #321027=ADVANCED_FACE('',(#26183),#11245,.T.); #321028=ADVANCED_FACE('',(#26184),#11246,.T.); #321029=ADVANCED_FACE('',(#26185),#11247,.T.); #321030=ADVANCED_FACE('',(#26186),#11248,.T.); #321031=ADVANCED_FACE('',(#26187),#11249,.T.); #321032=ADVANCED_FACE('',(#26188),#11250,.T.); #321033=ADVANCED_FACE('',(#26189),#11251,.T.); #321034=ADVANCED_FACE('',(#26190),#11252,.T.); #321035=ADVANCED_FACE('',(#26191),#11253,.T.); #321036=ADVANCED_FACE('',(#26192),#11254,.T.); #321037=ADVANCED_FACE('',(#26193),#11255,.T.); #321038=ADVANCED_FACE('',(#26194),#11256,.T.); #321039=ADVANCED_FACE('',(#26195),#11257,.T.); #321040=ADVANCED_FACE('',(#26196),#11258,.T.); #321041=ADVANCED_FACE('',(#26197),#11259,.T.); #321042=ADVANCED_FACE('',(#26198),#11260,.T.); #321043=ADVANCED_FACE('',(#26199),#11261,.T.); #321044=ADVANCED_FACE('',(#26200),#11262,.T.); #321045=ADVANCED_FACE('',(#26201),#11263,.T.); #321046=ADVANCED_FACE('',(#26202),#11264,.T.); #321047=ADVANCED_FACE('',(#26203),#11265,.T.); #321048=ADVANCED_FACE('',(#26204),#11266,.T.); #321049=ADVANCED_FACE('',(#26205),#11267,.T.); #321050=ADVANCED_FACE('',(#26206),#11268,.T.); #321051=ADVANCED_FACE('',(#26207),#11269,.T.); #321052=ADVANCED_FACE('',(#26208),#11270,.T.); #321053=ADVANCED_FACE('',(#26209),#11271,.T.); #321054=ADVANCED_FACE('',(#26210),#11272,.T.); #321055=ADVANCED_FACE('',(#26211),#11273,.T.); #321056=ADVANCED_FACE('',(#26212),#11274,.T.); #321057=ADVANCED_FACE('',(#26213),#11275,.T.); #321058=ADVANCED_FACE('',(#26214),#11276,.T.); #321059=ADVANCED_FACE('',(#26215),#11277,.T.); #321060=ADVANCED_FACE('',(#26216),#11278,.T.); #321061=ADVANCED_FACE('',(#26217),#11279,.T.); #321062=ADVANCED_FACE('',(#26218),#11280,.T.); #321063=ADVANCED_FACE('',(#26219),#11281,.T.); #321064=ADVANCED_FACE('',(#26220),#11282,.T.); #321065=ADVANCED_FACE('',(#26221),#11283,.T.); #321066=ADVANCED_FACE('',(#26222),#11284,.T.); #321067=ADVANCED_FACE('',(#26223),#11285,.T.); #321068=ADVANCED_FACE('',(#26224),#11286,.T.); #321069=ADVANCED_FACE('',(#26225),#11287,.T.); #321070=ADVANCED_FACE('',(#26226),#11288,.T.); #321071=ADVANCED_FACE('',(#26227),#11289,.T.); #321072=ADVANCED_FACE('',(#26228),#11290,.T.); #321073=ADVANCED_FACE('',(#26229),#11291,.T.); #321074=ADVANCED_FACE('',(#26230),#11292,.T.); #321075=ADVANCED_FACE('',(#26231),#11293,.T.); #321076=ADVANCED_FACE('',(#26232),#11294,.T.); #321077=ADVANCED_FACE('',(#26233),#11295,.T.); #321078=ADVANCED_FACE('',(#26234),#11296,.T.); #321079=ADVANCED_FACE('',(#26235),#11297,.T.); #321080=ADVANCED_FACE('',(#26236),#11298,.T.); #321081=ADVANCED_FACE('',(#26237),#11299,.T.); #321082=ADVANCED_FACE('',(#26238),#11300,.T.); #321083=ADVANCED_FACE('',(#26239),#11301,.T.); #321084=ADVANCED_FACE('',(#26240),#11302,.T.); #321085=ADVANCED_FACE('',(#26241),#11303,.T.); #321086=ADVANCED_FACE('',(#26242),#11304,.T.); #321087=ADVANCED_FACE('',(#26243),#11305,.T.); #321088=ADVANCED_FACE('',(#26244),#11306,.T.); #321089=ADVANCED_FACE('',(#26245),#11307,.T.); #321090=ADVANCED_FACE('',(#26246),#11308,.T.); #321091=ADVANCED_FACE('',(#26247),#11309,.T.); #321092=ADVANCED_FACE('',(#26248),#11310,.T.); #321093=ADVANCED_FACE('',(#26249),#11311,.T.); #321094=ADVANCED_FACE('',(#26250),#11312,.T.); #321095=ADVANCED_FACE('',(#26251),#11313,.T.); #321096=ADVANCED_FACE('',(#26252),#11314,.T.); #321097=ADVANCED_FACE('',(#26253),#11315,.T.); #321098=ADVANCED_FACE('',(#26254),#11316,.T.); #321099=ADVANCED_FACE('',(#26255),#11317,.T.); #321100=ADVANCED_FACE('',(#26256),#11318,.T.); #321101=ADVANCED_FACE('',(#26257),#11319,.T.); #321102=ADVANCED_FACE('',(#26258),#11320,.T.); #321103=ADVANCED_FACE('',(#26259),#11321,.T.); #321104=ADVANCED_FACE('',(#26260),#11322,.T.); #321105=ADVANCED_FACE('',(#26261),#11323,.T.); #321106=ADVANCED_FACE('',(#26262),#11324,.T.); #321107=ADVANCED_FACE('',(#26263),#11325,.T.); #321108=ADVANCED_FACE('',(#26264),#11326,.T.); #321109=ADVANCED_FACE('',(#26265),#11327,.T.); #321110=ADVANCED_FACE('',(#26266),#11328,.T.); #321111=ADVANCED_FACE('',(#26267),#11329,.T.); #321112=ADVANCED_FACE('',(#26268),#11330,.T.); #321113=ADVANCED_FACE('',(#26269),#11331,.T.); #321114=ADVANCED_FACE('',(#26270),#11332,.T.); #321115=ADVANCED_FACE('',(#26271),#11333,.T.); #321116=ADVANCED_FACE('',(#26272),#11334,.T.); #321117=ADVANCED_FACE('',(#26273),#11335,.T.); #321118=ADVANCED_FACE('',(#26274),#11336,.T.); #321119=ADVANCED_FACE('',(#26275),#11337,.T.); #321120=ADVANCED_FACE('',(#26276),#11338,.T.); #321121=ADVANCED_FACE('',(#26277),#11339,.T.); #321122=ADVANCED_FACE('',(#26278),#11340,.T.); #321123=ADVANCED_FACE('',(#26279),#11341,.T.); #321124=ADVANCED_FACE('',(#26280),#11342,.T.); #321125=ADVANCED_FACE('',(#26281),#11343,.T.); #321126=ADVANCED_FACE('',(#26282),#11344,.T.); #321127=ADVANCED_FACE('',(#26283),#11345,.T.); #321128=ADVANCED_FACE('',(#26284),#11346,.T.); #321129=ADVANCED_FACE('',(#26285),#11347,.T.); #321130=ADVANCED_FACE('',(#26286),#11348,.T.); #321131=ADVANCED_FACE('',(#26287),#11349,.T.); #321132=ADVANCED_FACE('',(#26288),#11350,.T.); #321133=ADVANCED_FACE('',(#26289),#11351,.T.); #321134=ADVANCED_FACE('',(#26290),#11352,.T.); #321135=ADVANCED_FACE('',(#26291),#11353,.T.); #321136=ADVANCED_FACE('',(#26292),#11354,.T.); #321137=ADVANCED_FACE('',(#26293),#11355,.T.); #321138=ADVANCED_FACE('',(#26294),#11356,.T.); #321139=ADVANCED_FACE('',(#26295),#11357,.T.); #321140=ADVANCED_FACE('',(#26296),#11358,.T.); #321141=ADVANCED_FACE('',(#26297),#11359,.T.); #321142=ADVANCED_FACE('',(#26298),#11360,.T.); #321143=ADVANCED_FACE('',(#26299),#11361,.T.); #321144=ADVANCED_FACE('',(#26300),#11362,.T.); #321145=ADVANCED_FACE('',(#26301),#11363,.T.); #321146=ADVANCED_FACE('',(#26302),#11364,.T.); #321147=ADVANCED_FACE('',(#26303),#11365,.T.); #321148=ADVANCED_FACE('',(#26304),#11366,.T.); #321149=ADVANCED_FACE('',(#26305),#11367,.T.); #321150=ADVANCED_FACE('',(#26306),#11368,.T.); #321151=ADVANCED_FACE('',(#26307),#11369,.T.); #321152=ADVANCED_FACE('',(#26308),#11370,.T.); #321153=ADVANCED_FACE('',(#26309),#11371,.T.); #321154=ADVANCED_FACE('',(#26310),#11372,.T.); #321155=ADVANCED_FACE('',(#26311),#11373,.T.); #321156=ADVANCED_FACE('',(#26312),#11374,.T.); #321157=ADVANCED_FACE('',(#26313),#11375,.T.); #321158=ADVANCED_FACE('',(#26314),#11376,.T.); #321159=ADVANCED_FACE('',(#26315),#11377,.T.); #321160=ADVANCED_FACE('',(#26316),#11378,.T.); #321161=ADVANCED_FACE('',(#26317),#11379,.T.); #321162=ADVANCED_FACE('',(#26318),#11380,.T.); #321163=ADVANCED_FACE('',(#26319),#11381,.T.); #321164=ADVANCED_FACE('',(#26320),#11382,.T.); #321165=ADVANCED_FACE('',(#26321),#11383,.T.); #321166=ADVANCED_FACE('',(#26322),#11384,.T.); #321167=ADVANCED_FACE('',(#26323),#11385,.T.); #321168=ADVANCED_FACE('',(#26324),#11386,.T.); #321169=ADVANCED_FACE('',(#26325),#11387,.T.); #321170=ADVANCED_FACE('',(#26326),#11388,.T.); #321171=ADVANCED_FACE('',(#26327),#11389,.T.); #321172=ADVANCED_FACE('',(#26328),#11390,.T.); #321173=ADVANCED_FACE('',(#26329),#11391,.T.); #321174=ADVANCED_FACE('',(#26330),#11392,.T.); #321175=ADVANCED_FACE('',(#26331),#11393,.T.); #321176=ADVANCED_FACE('',(#26332),#11394,.T.); #321177=ADVANCED_FACE('',(#26333),#11395,.T.); #321178=ADVANCED_FACE('',(#26334),#11396,.T.); #321179=ADVANCED_FACE('',(#26335),#11397,.T.); #321180=ADVANCED_FACE('',(#26336),#11398,.T.); #321181=ADVANCED_FACE('',(#26337),#11399,.T.); #321182=ADVANCED_FACE('',(#26338),#11400,.T.); #321183=ADVANCED_FACE('',(#26339),#11401,.T.); #321184=ADVANCED_FACE('',(#26340),#11402,.T.); #321185=ADVANCED_FACE('',(#26341),#11403,.T.); #321186=ADVANCED_FACE('',(#26342),#11404,.T.); #321187=ADVANCED_FACE('',(#26343),#11405,.T.); #321188=ADVANCED_FACE('',(#26344),#11406,.T.); #321189=ADVANCED_FACE('',(#26345,#4989,#4990,#4991,#4992,#4993,#4994,#4995, #4996,#4997,#4998,#4999,#5000,#5001,#5002,#5003,#5004,#5005,#5006,#5007, #5008,#5009,#5010,#5011,#5012,#5013,#5014,#5015,#5016,#5017,#5018,#5019, #5020,#5021,#5022,#5023,#5024,#5025,#5026,#5027,#5028,#5029,#5030,#5031, #5032,#5033,#5034,#5035,#5036,#5037),#11407,.T.); #321190=ADVANCED_FACE('',(#26346,#5038,#5039,#5040,#5041,#5042,#5043,#5044, #5045,#5046,#5047,#5048,#5049,#5050,#5051,#5052,#5053,#5054,#5055,#5056, #5057,#5058,#5059,#5060,#5061,#5062,#5063,#5064,#5065,#5066,#5067,#5068, #5069,#5070,#5071,#5072,#5073,#5074,#5075,#5076,#5077,#5078,#5079,#5080, #5081,#5082,#5083,#5084,#5085,#5086),#11408,.F.); #321191=ADVANCED_FACE('',(#26347),#11409,.T.); #321192=ADVANCED_FACE('',(#26348),#11410,.T.); #321193=ADVANCED_FACE('',(#26349),#11411,.T.); #321194=ADVANCED_FACE('',(#26350),#11412,.T.); #321195=ADVANCED_FACE('',(#26351),#11413,.T.); #321196=ADVANCED_FACE('',(#26352),#11414,.T.); #321197=ADVANCED_FACE('',(#26353),#11415,.T.); #321198=ADVANCED_FACE('',(#26354),#11416,.T.); #321199=ADVANCED_FACE('',(#26355),#11417,.T.); #321200=ADVANCED_FACE('',(#26356),#11418,.T.); #321201=ADVANCED_FACE('',(#26357),#11419,.T.); #321202=ADVANCED_FACE('',(#26358),#11420,.T.); #321203=ADVANCED_FACE('',(#26359),#11421,.T.); #321204=ADVANCED_FACE('',(#26360),#11422,.T.); #321205=ADVANCED_FACE('',(#26361),#11423,.T.); #321206=ADVANCED_FACE('',(#26362),#11424,.T.); #321207=ADVANCED_FACE('',(#26363),#11425,.T.); #321208=ADVANCED_FACE('',(#26364),#11426,.T.); #321209=ADVANCED_FACE('',(#26365),#11427,.T.); #321210=ADVANCED_FACE('',(#26366),#11428,.T.); #321211=ADVANCED_FACE('',(#26367),#11429,.T.); #321212=ADVANCED_FACE('',(#26368),#11430,.F.); #321213=ADVANCED_FACE('',(#26369),#11431,.T.); #321214=ADVANCED_FACE('',(#26370),#11432,.T.); #321215=ADVANCED_FACE('',(#26371),#11433,.T.); #321216=ADVANCED_FACE('',(#26372),#11434,.T.); #321217=ADVANCED_FACE('',(#26373),#11435,.T.); #321218=ADVANCED_FACE('',(#26374),#11436,.T.); #321219=ADVANCED_FACE('',(#26375),#11437,.T.); #321220=ADVANCED_FACE('',(#26376),#11438,.T.); #321221=ADVANCED_FACE('',(#26377),#11439,.T.); #321222=ADVANCED_FACE('',(#26378),#11440,.T.); #321223=ADVANCED_FACE('',(#26379),#11441,.T.); #321224=ADVANCED_FACE('',(#26380),#11442,.T.); #321225=ADVANCED_FACE('',(#26381),#11443,.T.); #321226=ADVANCED_FACE('',(#26382),#11444,.T.); #321227=ADVANCED_FACE('',(#26383),#11445,.T.); #321228=ADVANCED_FACE('',(#26384),#11446,.T.); #321229=ADVANCED_FACE('',(#26385),#11447,.T.); #321230=ADVANCED_FACE('',(#26386),#11448,.T.); #321231=ADVANCED_FACE('',(#26387),#11449,.T.); #321232=ADVANCED_FACE('',(#26388),#11450,.T.); #321233=ADVANCED_FACE('',(#26389),#11451,.T.); #321234=ADVANCED_FACE('',(#26390),#11452,.T.); #321235=ADVANCED_FACE('',(#26391),#11453,.T.); #321236=ADVANCED_FACE('',(#26392),#11454,.T.); #321237=ADVANCED_FACE('',(#26393),#11455,.T.); #321238=ADVANCED_FACE('',(#26394),#11456,.T.); #321239=ADVANCED_FACE('',(#26395),#11457,.T.); #321240=ADVANCED_FACE('',(#26396),#11458,.F.); #321241=ADVANCED_FACE('',(#26397),#11459,.T.); #321242=ADVANCED_FACE('',(#26398),#11460,.T.); #321243=ADVANCED_FACE('',(#26399),#11461,.T.); #321244=ADVANCED_FACE('',(#26400),#11462,.T.); #321245=ADVANCED_FACE('',(#26401),#11463,.T.); #321246=ADVANCED_FACE('',(#26402),#11464,.T.); #321247=ADVANCED_FACE('',(#26403),#11465,.T.); #321248=ADVANCED_FACE('',(#26404),#11466,.T.); #321249=ADVANCED_FACE('',(#26405),#11467,.T.); #321250=ADVANCED_FACE('',(#26406),#11468,.T.); #321251=ADVANCED_FACE('',(#26407),#11469,.T.); #321252=ADVANCED_FACE('',(#26408),#11470,.T.); #321253=ADVANCED_FACE('',(#26409),#11471,.T.); #321254=ADVANCED_FACE('',(#26410),#11472,.T.); #321255=ADVANCED_FACE('',(#26411),#11473,.T.); #321256=ADVANCED_FACE('',(#26412),#11474,.T.); #321257=ADVANCED_FACE('',(#26413),#11475,.T.); #321258=ADVANCED_FACE('',(#26414),#11476,.T.); #321259=ADVANCED_FACE('',(#26415),#11477,.T.); #321260=ADVANCED_FACE('',(#26416),#11478,.T.); #321261=ADVANCED_FACE('',(#26417),#11479,.T.); #321262=ADVANCED_FACE('',(#26418),#11480,.T.); #321263=ADVANCED_FACE('',(#26419),#11481,.F.); #321264=ADVANCED_FACE('',(#26420),#11482,.T.); #321265=ADVANCED_FACE('',(#26421),#11483,.T.); #321266=ADVANCED_FACE('',(#26422),#11484,.T.); #321267=ADVANCED_FACE('',(#26423),#11485,.T.); #321268=ADVANCED_FACE('',(#26424),#11486,.T.); #321269=ADVANCED_FACE('',(#26425),#11487,.T.); #321270=ADVANCED_FACE('',(#26426),#11488,.T.); #321271=ADVANCED_FACE('',(#26427),#11489,.T.); #321272=ADVANCED_FACE('',(#26428),#11490,.T.); #321273=ADVANCED_FACE('',(#26429),#11491,.T.); #321274=ADVANCED_FACE('',(#26430),#11492,.T.); #321275=ADVANCED_FACE('',(#26431),#11493,.T.); #321276=ADVANCED_FACE('',(#26432),#11494,.T.); #321277=ADVANCED_FACE('',(#26433),#11495,.T.); #321278=ADVANCED_FACE('',(#26434),#11496,.T.); #321279=ADVANCED_FACE('',(#26435),#11497,.T.); #321280=ADVANCED_FACE('',(#26436),#11498,.T.); #321281=ADVANCED_FACE('',(#26437),#11499,.T.); #321282=ADVANCED_FACE('',(#26438),#11500,.T.); #321283=ADVANCED_FACE('',(#26439),#11501,.T.); #321284=ADVANCED_FACE('',(#26440),#11502,.T.); #321285=ADVANCED_FACE('',(#26441),#11503,.T.); #321286=ADVANCED_FACE('',(#26442),#11504,.T.); #321287=ADVANCED_FACE('',(#26443),#11505,.T.); #321288=ADVANCED_FACE('',(#26444),#11506,.T.); #321289=ADVANCED_FACE('',(#26445),#11507,.T.); #321290=ADVANCED_FACE('',(#26446),#11508,.T.); #321291=ADVANCED_FACE('',(#26447),#11509,.T.); #321292=ADVANCED_FACE('',(#26448),#11510,.T.); #321293=ADVANCED_FACE('',(#26449),#11511,.T.); #321294=ADVANCED_FACE('',(#26450),#11512,.T.); #321295=ADVANCED_FACE('',(#26451),#11513,.T.); #321296=ADVANCED_FACE('',(#26452),#11514,.T.); #321297=ADVANCED_FACE('',(#26453),#11515,.T.); #321298=ADVANCED_FACE('',(#26454),#11516,.F.); #321299=ADVANCED_FACE('',(#26455),#11517,.T.); #321300=ADVANCED_FACE('',(#26456),#11518,.T.); #321301=ADVANCED_FACE('',(#26457),#11519,.T.); #321302=ADVANCED_FACE('',(#26458),#11520,.T.); #321303=ADVANCED_FACE('',(#26459),#11521,.T.); #321304=ADVANCED_FACE('',(#26460),#11522,.T.); #321305=ADVANCED_FACE('',(#26461),#11523,.T.); #321306=ADVANCED_FACE('',(#26462),#11524,.T.); #321307=ADVANCED_FACE('',(#26463),#11525,.T.); #321308=ADVANCED_FACE('',(#26464),#11526,.T.); #321309=ADVANCED_FACE('',(#26465),#11527,.T.); #321310=ADVANCED_FACE('',(#26466),#11528,.T.); #321311=ADVANCED_FACE('',(#26467),#11529,.T.); #321312=ADVANCED_FACE('',(#26468),#11530,.T.); #321313=ADVANCED_FACE('',(#26469),#11531,.T.); #321314=ADVANCED_FACE('',(#26470),#11532,.T.); #321315=ADVANCED_FACE('',(#26471),#11533,.T.); #321316=ADVANCED_FACE('',(#26472),#11534,.T.); #321317=ADVANCED_FACE('',(#26473),#11535,.T.); #321318=ADVANCED_FACE('',(#26474),#11536,.T.); #321319=ADVANCED_FACE('',(#26475),#11537,.T.); #321320=ADVANCED_FACE('',(#26476),#11538,.F.); #321321=ADVANCED_FACE('',(#26477),#11539,.T.); #321322=ADVANCED_FACE('',(#26478),#11540,.T.); #321323=ADVANCED_FACE('',(#26479),#11541,.T.); #321324=ADVANCED_FACE('',(#26480),#11542,.T.); #321325=ADVANCED_FACE('',(#26481),#11543,.T.); #321326=ADVANCED_FACE('',(#26482),#11544,.T.); #321327=ADVANCED_FACE('',(#26483),#11545,.T.); #321328=ADVANCED_FACE('',(#26484),#11546,.T.); #321329=ADVANCED_FACE('',(#26485),#11547,.T.); #321330=ADVANCED_FACE('',(#26486),#11548,.T.); #321331=ADVANCED_FACE('',(#26487),#11549,.T.); #321332=ADVANCED_FACE('',(#26488),#11550,.T.); #321333=ADVANCED_FACE('',(#26489),#11551,.T.); #321334=ADVANCED_FACE('',(#26490),#11552,.T.); #321335=ADVANCED_FACE('',(#26491),#11553,.T.); #321336=ADVANCED_FACE('',(#26492),#11554,.T.); #321337=ADVANCED_FACE('',(#26493),#11555,.T.); #321338=ADVANCED_FACE('',(#26494),#11556,.T.); #321339=ADVANCED_FACE('',(#26495),#11557,.T.); #321340=ADVANCED_FACE('',(#26496),#11558,.T.); #321341=ADVANCED_FACE('',(#26497),#11559,.T.); #321342=ADVANCED_FACE('',(#26498),#11560,.T.); #321343=ADVANCED_FACE('',(#26499),#11561,.T.); #321344=ADVANCED_FACE('',(#26500),#11562,.T.); #321345=ADVANCED_FACE('',(#26501),#11563,.F.); #321346=ADVANCED_FACE('',(#26502),#313809,.F.); #321347=ADVANCED_FACE('',(#26503),#313810,.F.); #321348=ADVANCED_FACE('',(#26504),#313811,.F.); #321349=ADVANCED_FACE('',(#26505),#11564,.T.); #321350=ADVANCED_FACE('',(#26506),#11565,.T.); #321351=ADVANCED_FACE('',(#26507),#11566,.T.); #321352=ADVANCED_FACE('',(#26508),#11567,.T.); #321353=ADVANCED_FACE('',(#26509),#11568,.T.); #321354=ADVANCED_FACE('',(#26510),#11569,.T.); #321355=ADVANCED_FACE('',(#26511),#11570,.T.); #321356=ADVANCED_FACE('',(#26512),#11571,.T.); #321357=ADVANCED_FACE('',(#26513),#11572,.T.); #321358=ADVANCED_FACE('',(#26514),#11573,.T.); #321359=ADVANCED_FACE('',(#26515),#11574,.T.); #321360=ADVANCED_FACE('',(#26516),#11575,.T.); #321361=ADVANCED_FACE('',(#26517),#11576,.T.); #321362=ADVANCED_FACE('',(#26518),#11577,.T.); #321363=ADVANCED_FACE('',(#26519),#11578,.T.); #321364=ADVANCED_FACE('',(#26520),#11579,.T.); #321365=ADVANCED_FACE('',(#26521),#11580,.T.); #321366=ADVANCED_FACE('',(#26522),#11581,.T.); #321367=ADVANCED_FACE('',(#26523),#11582,.T.); #321368=ADVANCED_FACE('',(#26524),#11583,.T.); #321369=ADVANCED_FACE('',(#26525),#11584,.T.); #321370=ADVANCED_FACE('',(#26526),#11585,.T.); #321371=ADVANCED_FACE('',(#26527),#11586,.T.); #321372=ADVANCED_FACE('',(#26528),#11587,.T.); #321373=ADVANCED_FACE('',(#26529),#11588,.T.); #321374=ADVANCED_FACE('',(#26530),#11589,.T.); #321375=ADVANCED_FACE('',(#26531),#11590,.T.); #321376=ADVANCED_FACE('',(#26532),#11591,.T.); #321377=ADVANCED_FACE('',(#26533),#11592,.T.); #321378=ADVANCED_FACE('',(#26534),#11593,.T.); #321379=ADVANCED_FACE('',(#26535),#11594,.T.); #321380=ADVANCED_FACE('',(#26536),#11595,.T.); #321381=ADVANCED_FACE('',(#26537),#11596,.T.); #321382=ADVANCED_FACE('',(#26538),#11597,.T.); #321383=ADVANCED_FACE('',(#26539),#11598,.T.); #321384=ADVANCED_FACE('',(#26540),#11599,.T.); #321385=ADVANCED_FACE('',(#26541),#11600,.T.); #321386=ADVANCED_FACE('',(#26542),#11601,.T.); #321387=ADVANCED_FACE('',(#26543),#11602,.T.); #321388=ADVANCED_FACE('',(#26544),#11603,.T.); #321389=ADVANCED_FACE('',(#26545),#11604,.T.); #321390=ADVANCED_FACE('',(#26546),#11605,.T.); #321391=ADVANCED_FACE('',(#26547),#11606,.T.); #321392=ADVANCED_FACE('',(#26548),#11607,.T.); #321393=ADVANCED_FACE('',(#26549),#11608,.T.); #321394=ADVANCED_FACE('',(#26550),#11609,.T.); #321395=ADVANCED_FACE('',(#26551),#11610,.T.); #321396=ADVANCED_FACE('',(#26552),#11611,.T.); #321397=ADVANCED_FACE('',(#26553),#11612,.T.); #321398=ADVANCED_FACE('',(#26554),#11613,.T.); #321399=ADVANCED_FACE('',(#26555),#11614,.T.); #321400=ADVANCED_FACE('',(#26556),#11615,.T.); #321401=ADVANCED_FACE('',(#26557),#11616,.T.); #321402=ADVANCED_FACE('',(#26558),#11617,.T.); #321403=ADVANCED_FACE('',(#26559),#11618,.T.); #321404=ADVANCED_FACE('',(#26560),#11619,.T.); #321405=ADVANCED_FACE('',(#26561),#11620,.T.); #321406=ADVANCED_FACE('',(#26562),#11621,.T.); #321407=ADVANCED_FACE('',(#26563),#11622,.T.); #321408=ADVANCED_FACE('',(#26564),#11623,.T.); #321409=ADVANCED_FACE('',(#26565),#11624,.T.); #321410=ADVANCED_FACE('',(#26566),#11625,.T.); #321411=ADVANCED_FACE('',(#26567),#11626,.T.); #321412=ADVANCED_FACE('',(#26568),#11627,.T.); #321413=ADVANCED_FACE('',(#26569),#11628,.T.); #321414=ADVANCED_FACE('',(#26570),#11629,.T.); #321415=ADVANCED_FACE('',(#26571),#11630,.T.); #321416=ADVANCED_FACE('',(#26572),#11631,.T.); #321417=ADVANCED_FACE('',(#26573),#11632,.T.); #321418=ADVANCED_FACE('',(#26574),#11633,.T.); #321419=ADVANCED_FACE('',(#26575),#11634,.T.); #321420=ADVANCED_FACE('',(#26576),#11635,.T.); #321421=ADVANCED_FACE('',(#26577),#11636,.T.); #321422=ADVANCED_FACE('',(#26578),#11637,.T.); #321423=ADVANCED_FACE('',(#26579),#11638,.T.); #321424=ADVANCED_FACE('',(#26580),#11639,.T.); #321425=ADVANCED_FACE('',(#26581),#11640,.T.); #321426=ADVANCED_FACE('',(#26582),#11641,.T.); #321427=ADVANCED_FACE('',(#26583),#11642,.T.); #321428=ADVANCED_FACE('',(#26584),#11643,.T.); #321429=ADVANCED_FACE('',(#26585),#11644,.T.); #321430=ADVANCED_FACE('',(#26586),#11645,.T.); #321431=ADVANCED_FACE('',(#26587),#11646,.T.); #321432=ADVANCED_FACE('',(#26588),#11647,.T.); #321433=ADVANCED_FACE('',(#26589),#11648,.T.); #321434=ADVANCED_FACE('',(#26590),#11649,.T.); #321435=ADVANCED_FACE('',(#26591),#11650,.T.); #321436=ADVANCED_FACE('',(#26592,#5087,#5088,#5089),#11651,.T.); #321437=ADVANCED_FACE('',(#26593,#5090,#5091,#5092),#11652,.F.); #321438=ADVANCED_FACE('',(#26594),#11653,.T.); #321439=ADVANCED_FACE('',(#26595),#11654,.T.); #321440=ADVANCED_FACE('',(#26596),#11655,.T.); #321441=ADVANCED_FACE('',(#26597),#11656,.T.); #321442=ADVANCED_FACE('',(#26598),#11657,.T.); #321443=ADVANCED_FACE('',(#26599),#11658,.T.); #321444=ADVANCED_FACE('',(#26600),#11659,.T.); #321445=ADVANCED_FACE('',(#26601),#11660,.T.); #321446=ADVANCED_FACE('',(#26602),#11661,.T.); #321447=ADVANCED_FACE('',(#26603),#11662,.T.); #321448=ADVANCED_FACE('',(#26604),#11663,.T.); #321449=ADVANCED_FACE('',(#26605),#11664,.T.); #321450=ADVANCED_FACE('',(#26606),#11665,.T.); #321451=ADVANCED_FACE('',(#26607),#11666,.T.); #321452=ADVANCED_FACE('',(#26608),#11667,.T.); #321453=ADVANCED_FACE('',(#26609),#11668,.T.); #321454=ADVANCED_FACE('',(#26610),#11669,.T.); #321455=ADVANCED_FACE('',(#26611),#11670,.T.); #321456=ADVANCED_FACE('',(#26612),#11671,.T.); #321457=ADVANCED_FACE('',(#26613),#11672,.T.); #321458=ADVANCED_FACE('',(#26614),#11673,.T.); #321459=ADVANCED_FACE('',(#26615),#11674,.T.); #321460=ADVANCED_FACE('',(#26616),#11675,.F.); #321461=ADVANCED_FACE('',(#26617),#11676,.T.); #321462=ADVANCED_FACE('',(#26618),#11677,.T.); #321463=ADVANCED_FACE('',(#26619),#11678,.T.); #321464=ADVANCED_FACE('',(#26620),#11679,.T.); #321465=ADVANCED_FACE('',(#26621),#11680,.T.); #321466=ADVANCED_FACE('',(#26622),#11681,.T.); #321467=ADVANCED_FACE('',(#26623),#11682,.T.); #321468=ADVANCED_FACE('',(#26624),#11683,.T.); #321469=ADVANCED_FACE('',(#26625),#11684,.T.); #321470=ADVANCED_FACE('',(#26626),#11685,.T.); #321471=ADVANCED_FACE('',(#26627),#11686,.T.); #321472=ADVANCED_FACE('',(#26628),#11687,.T.); #321473=ADVANCED_FACE('',(#26629),#11688,.T.); #321474=ADVANCED_FACE('',(#26630),#11689,.T.); #321475=ADVANCED_FACE('',(#26631),#11690,.T.); #321476=ADVANCED_FACE('',(#26632),#11691,.T.); #321477=ADVANCED_FACE('',(#26633),#11692,.T.); #321478=ADVANCED_FACE('',(#26634),#11693,.T.); #321479=ADVANCED_FACE('',(#26635),#11694,.T.); #321480=ADVANCED_FACE('',(#26636),#11695,.T.); #321481=ADVANCED_FACE('',(#26637),#11696,.F.); #321482=ADVANCED_FACE('',(#26638),#11697,.T.); #321483=ADVANCED_FACE('',(#26639),#11698,.T.); #321484=ADVANCED_FACE('',(#26640),#11699,.T.); #321485=ADVANCED_FACE('',(#26641),#11700,.T.); #321486=ADVANCED_FACE('',(#26642),#11701,.T.); #321487=ADVANCED_FACE('',(#26643),#11702,.T.); #321488=ADVANCED_FACE('',(#26644),#11703,.T.); #321489=ADVANCED_FACE('',(#26645),#11704,.T.); #321490=ADVANCED_FACE('',(#26646),#11705,.T.); #321491=ADVANCED_FACE('',(#26647),#11706,.T.); #321492=ADVANCED_FACE('',(#26648),#11707,.T.); #321493=ADVANCED_FACE('',(#26649),#11708,.T.); #321494=ADVANCED_FACE('',(#26650),#11709,.T.); #321495=ADVANCED_FACE('',(#26651),#11710,.T.); #321496=ADVANCED_FACE('',(#26652),#11711,.T.); #321497=ADVANCED_FACE('',(#26653),#11712,.T.); #321498=ADVANCED_FACE('',(#26654),#11713,.T.); #321499=ADVANCED_FACE('',(#26655),#11714,.T.); #321500=ADVANCED_FACE('',(#26656),#11715,.T.); #321501=ADVANCED_FACE('',(#26657),#11716,.T.); #321502=ADVANCED_FACE('',(#26658),#11717,.T.); #321503=ADVANCED_FACE('',(#26659),#11718,.T.); #321504=ADVANCED_FACE('',(#26660),#11719,.T.); #321505=ADVANCED_FACE('',(#26661),#11720,.T.); #321506=ADVANCED_FACE('',(#26662),#11721,.T.); #321507=ADVANCED_FACE('',(#26663),#11722,.T.); #321508=ADVANCED_FACE('',(#26664),#11723,.T.); #321509=ADVANCED_FACE('',(#26665),#11724,.T.); #321510=ADVANCED_FACE('',(#26666),#11725,.T.); #321511=ADVANCED_FACE('',(#26667),#11726,.T.); #321512=ADVANCED_FACE('',(#26668),#11727,.F.); #321513=ADVANCED_FACE('',(#26669),#11728,.T.); #321514=ADVANCED_FACE('',(#26670),#11729,.T.); #321515=ADVANCED_FACE('',(#26671),#11730,.T.); #321516=ADVANCED_FACE('',(#26672),#11731,.T.); #321517=ADVANCED_FACE('',(#26673),#11732,.T.); #321518=ADVANCED_FACE('',(#26674),#11733,.T.); #321519=ADVANCED_FACE('',(#26675),#11734,.T.); #321520=ADVANCED_FACE('',(#26676),#11735,.T.); #321521=ADVANCED_FACE('',(#26677),#11736,.T.); #321522=ADVANCED_FACE('',(#26678),#11737,.T.); #321523=ADVANCED_FACE('',(#26679),#11738,.T.); #321524=ADVANCED_FACE('',(#26680),#11739,.T.); #321525=ADVANCED_FACE('',(#26681),#11740,.T.); #321526=ADVANCED_FACE('',(#26682),#11741,.T.); #321527=ADVANCED_FACE('',(#26683),#11742,.T.); #321528=ADVANCED_FACE('',(#26684),#11743,.T.); #321529=ADVANCED_FACE('',(#26685),#11744,.T.); #321530=ADVANCED_FACE('',(#26686),#11745,.T.); #321531=ADVANCED_FACE('',(#26687),#11746,.T.); #321532=ADVANCED_FACE('',(#26688),#11747,.T.); #321533=ADVANCED_FACE('',(#26689),#11748,.T.); #321534=ADVANCED_FACE('',(#26690),#11749,.T.); #321535=ADVANCED_FACE('',(#26691),#11750,.F.); #321536=ADVANCED_FACE('',(#26692),#11751,.T.); #321537=ADVANCED_FACE('',(#26693),#11752,.T.); #321538=ADVANCED_FACE('',(#26694),#11753,.T.); #321539=ADVANCED_FACE('',(#26695),#11754,.T.); #321540=ADVANCED_FACE('',(#26696),#11755,.T.); #321541=ADVANCED_FACE('',(#26697),#11756,.T.); #321542=ADVANCED_FACE('',(#26698),#11757,.T.); #321543=ADVANCED_FACE('',(#26699),#11758,.T.); #321544=ADVANCED_FACE('',(#26700),#11759,.T.); #321545=ADVANCED_FACE('',(#26701),#11760,.T.); #321546=ADVANCED_FACE('',(#26702),#11761,.T.); #321547=ADVANCED_FACE('',(#26703),#11762,.T.); #321548=ADVANCED_FACE('',(#26704),#11763,.T.); #321549=ADVANCED_FACE('',(#26705),#11764,.T.); #321550=ADVANCED_FACE('',(#26706),#11765,.T.); #321551=ADVANCED_FACE('',(#26707),#11766,.T.); #321552=ADVANCED_FACE('',(#26708),#11767,.T.); #321553=ADVANCED_FACE('',(#26709),#11768,.T.); #321554=ADVANCED_FACE('',(#26710),#11769,.T.); #321555=ADVANCED_FACE('',(#26711),#11770,.T.); #321556=ADVANCED_FACE('',(#26712),#11771,.F.); #321557=ADVANCED_FACE('',(#26713),#11772,.T.); #321558=ADVANCED_FACE('',(#26714),#11773,.T.); #321559=ADVANCED_FACE('',(#26715),#11774,.T.); #321560=ADVANCED_FACE('',(#26716),#11775,.T.); #321561=ADVANCED_FACE('',(#26717),#11776,.T.); #321562=ADVANCED_FACE('',(#26718),#11777,.T.); #321563=ADVANCED_FACE('',(#26719),#11778,.T.); #321564=ADVANCED_FACE('',(#26720),#11779,.T.); #321565=ADVANCED_FACE('',(#26721),#11780,.T.); #321566=ADVANCED_FACE('',(#26722),#11781,.T.); #321567=ADVANCED_FACE('',(#26723),#11782,.T.); #321568=ADVANCED_FACE('',(#26724),#11783,.T.); #321569=ADVANCED_FACE('',(#26725),#11784,.T.); #321570=ADVANCED_FACE('',(#26726),#11785,.T.); #321571=ADVANCED_FACE('',(#26727),#11786,.T.); #321572=ADVANCED_FACE('',(#26728),#11787,.T.); #321573=ADVANCED_FACE('',(#26729),#11788,.T.); #321574=ADVANCED_FACE('',(#26730),#11789,.T.); #321575=ADVANCED_FACE('',(#26731),#11790,.T.); #321576=ADVANCED_FACE('',(#26732),#11791,.T.); #321577=ADVANCED_FACE('',(#26733),#11792,.F.); #321578=ADVANCED_FACE('',(#26734),#11793,.T.); #321579=ADVANCED_FACE('',(#26735),#11794,.T.); #321580=ADVANCED_FACE('',(#26736),#11795,.T.); #321581=ADVANCED_FACE('',(#26737),#11796,.T.); #321582=ADVANCED_FACE('',(#26738),#11797,.T.); #321583=ADVANCED_FACE('',(#26739),#11798,.T.); #321584=ADVANCED_FACE('',(#26740),#11799,.T.); #321585=ADVANCED_FACE('',(#26741),#11800,.T.); #321586=ADVANCED_FACE('',(#26742),#11801,.T.); #321587=ADVANCED_FACE('',(#26743),#11802,.T.); #321588=ADVANCED_FACE('',(#26744),#11803,.T.); #321589=ADVANCED_FACE('',(#26745),#11804,.T.); #321590=ADVANCED_FACE('',(#26746),#11805,.T.); #321591=ADVANCED_FACE('',(#26747),#11806,.T.); #321592=ADVANCED_FACE('',(#26748),#11807,.T.); #321593=ADVANCED_FACE('',(#26749),#11808,.T.); #321594=ADVANCED_FACE('',(#26750),#11809,.T.); #321595=ADVANCED_FACE('',(#26751),#11810,.T.); #321596=ADVANCED_FACE('',(#26752),#11811,.T.); #321597=ADVANCED_FACE('',(#26753),#11812,.T.); #321598=ADVANCED_FACE('',(#26754),#11813,.T.); #321599=ADVANCED_FACE('',(#26755),#11814,.T.); #321600=ADVANCED_FACE('',(#26756),#11815,.F.); #321601=ADVANCED_FACE('',(#26757),#11816,.T.); #321602=ADVANCED_FACE('',(#26758),#11817,.T.); #321603=ADVANCED_FACE('',(#26759),#11818,.T.); #321604=ADVANCED_FACE('',(#26760),#11819,.T.); #321605=ADVANCED_FACE('',(#26761),#11820,.T.); #321606=ADVANCED_FACE('',(#26762),#11821,.T.); #321607=ADVANCED_FACE('',(#26763),#11822,.T.); #321608=ADVANCED_FACE('',(#26764),#11823,.T.); #321609=ADVANCED_FACE('',(#26765),#11824,.T.); #321610=ADVANCED_FACE('',(#26766),#11825,.T.); #321611=ADVANCED_FACE('',(#26767),#11826,.T.); #321612=ADVANCED_FACE('',(#26768),#11827,.T.); #321613=ADVANCED_FACE('',(#26769),#11828,.T.); #321614=ADVANCED_FACE('',(#26770),#11829,.T.); #321615=ADVANCED_FACE('',(#26771),#11830,.T.); #321616=ADVANCED_FACE('',(#26772),#11831,.T.); #321617=ADVANCED_FACE('',(#26773),#11832,.T.); #321618=ADVANCED_FACE('',(#26774),#11833,.T.); #321619=ADVANCED_FACE('',(#26775),#11834,.T.); #321620=ADVANCED_FACE('',(#26776),#11835,.T.); #321621=ADVANCED_FACE('',(#26777),#11836,.T.); #321622=ADVANCED_FACE('',(#26778),#11837,.F.); #321623=ADVANCED_FACE('',(#26779),#11838,.T.); #321624=ADVANCED_FACE('',(#26780),#11839,.T.); #321625=ADVANCED_FACE('',(#26781),#11840,.T.); #321626=ADVANCED_FACE('',(#26782),#11841,.T.); #321627=ADVANCED_FACE('',(#26783),#11842,.T.); #321628=ADVANCED_FACE('',(#26784),#11843,.T.); #321629=ADVANCED_FACE('',(#26785),#11844,.T.); #321630=ADVANCED_FACE('',(#26786),#11845,.T.); #321631=ADVANCED_FACE('',(#26787),#11846,.T.); #321632=ADVANCED_FACE('',(#26788),#11847,.T.); #321633=ADVANCED_FACE('',(#26789),#11848,.T.); #321634=ADVANCED_FACE('',(#26790),#11849,.T.); #321635=ADVANCED_FACE('',(#26791),#11850,.T.); #321636=ADVANCED_FACE('',(#26792),#11851,.T.); #321637=ADVANCED_FACE('',(#26793),#11852,.T.); #321638=ADVANCED_FACE('',(#26794),#11853,.T.); #321639=ADVANCED_FACE('',(#26795),#11854,.T.); #321640=ADVANCED_FACE('',(#26796),#11855,.T.); #321641=ADVANCED_FACE('',(#26797),#11856,.T.); #321642=ADVANCED_FACE('',(#26798),#11857,.T.); #321643=ADVANCED_FACE('',(#26799),#11858,.T.); #321644=ADVANCED_FACE('',(#26800),#11859,.T.); #321645=ADVANCED_FACE('',(#26801),#11860,.T.); #321646=ADVANCED_FACE('',(#26802),#11861,.T.); #321647=ADVANCED_FACE('',(#26803),#11862,.T.); #321648=ADVANCED_FACE('',(#26804),#11863,.T.); #321649=ADVANCED_FACE('',(#26805),#11864,.T.); #321650=ADVANCED_FACE('',(#26806),#11865,.T.); #321651=ADVANCED_FACE('',(#26807),#11866,.T.); #321652=ADVANCED_FACE('',(#26808),#11867,.T.); #321653=ADVANCED_FACE('',(#26809),#11868,.T.); #321654=ADVANCED_FACE('',(#26810),#11869,.T.); #321655=ADVANCED_FACE('',(#26811),#11870,.T.); #321656=ADVANCED_FACE('',(#26812),#11871,.T.); #321657=ADVANCED_FACE('',(#26813),#11872,.T.); #321658=ADVANCED_FACE('',(#26814),#11873,.T.); #321659=ADVANCED_FACE('',(#26815),#11874,.T.); #321660=ADVANCED_FACE('',(#26816),#11875,.T.); #321661=ADVANCED_FACE('',(#26817),#11876,.F.); #321662=ADVANCED_FACE('',(#26818),#11877,.T.); #321663=ADVANCED_FACE('',(#26819),#11878,.T.); #321664=ADVANCED_FACE('',(#26820),#11879,.T.); #321665=ADVANCED_FACE('',(#26821),#11880,.T.); #321666=ADVANCED_FACE('',(#26822),#11881,.T.); #321667=ADVANCED_FACE('',(#26823),#11882,.T.); #321668=ADVANCED_FACE('',(#26824),#11883,.T.); #321669=ADVANCED_FACE('',(#26825),#11884,.T.); #321670=ADVANCED_FACE('',(#26826),#11885,.T.); #321671=ADVANCED_FACE('',(#26827),#11886,.T.); #321672=ADVANCED_FACE('',(#26828),#11887,.T.); #321673=ADVANCED_FACE('',(#26829),#11888,.T.); #321674=ADVANCED_FACE('',(#26830),#11889,.T.); #321675=ADVANCED_FACE('',(#26831),#11890,.T.); #321676=ADVANCED_FACE('',(#26832),#11891,.T.); #321677=ADVANCED_FACE('',(#26833),#11892,.T.); #321678=ADVANCED_FACE('',(#26834),#11893,.T.); #321679=ADVANCED_FACE('',(#26835),#11894,.T.); #321680=ADVANCED_FACE('',(#26836),#11895,.T.); #321681=ADVANCED_FACE('',(#26837),#11896,.T.); #321682=ADVANCED_FACE('',(#26838),#11897,.T.); #321683=ADVANCED_FACE('',(#26839),#11898,.F.); #321684=ADVANCED_FACE('',(#26840),#11899,.T.); #321685=ADVANCED_FACE('',(#26841),#11900,.T.); #321686=ADVANCED_FACE('',(#26842),#11901,.T.); #321687=ADVANCED_FACE('',(#26843),#11902,.T.); #321688=ADVANCED_FACE('',(#26844),#11903,.T.); #321689=ADVANCED_FACE('',(#26845),#11904,.T.); #321690=ADVANCED_FACE('',(#26846),#11905,.T.); #321691=ADVANCED_FACE('',(#26847),#11906,.T.); #321692=ADVANCED_FACE('',(#26848),#11907,.T.); #321693=ADVANCED_FACE('',(#26849),#11908,.T.); #321694=ADVANCED_FACE('',(#26850),#11909,.T.); #321695=ADVANCED_FACE('',(#26851),#11910,.T.); #321696=ADVANCED_FACE('',(#26852),#11911,.T.); #321697=ADVANCED_FACE('',(#26853),#11912,.T.); #321698=ADVANCED_FACE('',(#26854),#11913,.T.); #321699=ADVANCED_FACE('',(#26855),#11914,.T.); #321700=ADVANCED_FACE('',(#26856),#11915,.T.); #321701=ADVANCED_FACE('',(#26857),#11916,.T.); #321702=ADVANCED_FACE('',(#26858),#11917,.T.); #321703=ADVANCED_FACE('',(#26859),#11918,.T.); #321704=ADVANCED_FACE('',(#26860),#11919,.T.); #321705=ADVANCED_FACE('',(#26861),#11920,.T.); #321706=ADVANCED_FACE('',(#26862),#11921,.T.); #321707=ADVANCED_FACE('',(#26863),#11922,.T.); #321708=ADVANCED_FACE('',(#26864),#11923,.T.); #321709=ADVANCED_FACE('',(#26865),#11924,.T.); #321710=ADVANCED_FACE('',(#26866),#11925,.T.); #321711=ADVANCED_FACE('',(#26867),#11926,.T.); #321712=ADVANCED_FACE('',(#26868),#11927,.T.); #321713=ADVANCED_FACE('',(#26869),#11928,.T.); #321714=ADVANCED_FACE('',(#26870),#11929,.T.); #321715=ADVANCED_FACE('',(#26871),#11930,.T.); #321716=ADVANCED_FACE('',(#26872),#11931,.T.); #321717=ADVANCED_FACE('',(#26873),#11932,.T.); #321718=ADVANCED_FACE('',(#26874),#11933,.T.); #321719=ADVANCED_FACE('',(#26875),#11934,.T.); #321720=ADVANCED_FACE('',(#26876),#11935,.T.); #321721=ADVANCED_FACE('',(#26877),#11936,.T.); #321722=ADVANCED_FACE('',(#26878),#11937,.T.); #321723=ADVANCED_FACE('',(#26879),#11938,.T.); #321724=ADVANCED_FACE('',(#26880),#11939,.T.); #321725=ADVANCED_FACE('',(#26881),#11940,.T.); #321726=ADVANCED_FACE('',(#26882),#11941,.T.); #321727=ADVANCED_FACE('',(#26883),#11942,.T.); #321728=ADVANCED_FACE('',(#26884),#11943,.T.); #321729=ADVANCED_FACE('',(#26885),#11944,.T.); #321730=ADVANCED_FACE('',(#26886),#11945,.T.); #321731=ADVANCED_FACE('',(#26887),#11946,.T.); #321732=ADVANCED_FACE('',(#26888),#11947,.T.); #321733=ADVANCED_FACE('',(#26889),#11948,.F.); #321734=ADVANCED_FACE('',(#26890),#11949,.T.); #321735=ADVANCED_FACE('',(#26891),#11950,.T.); #321736=ADVANCED_FACE('',(#26892),#11951,.T.); #321737=ADVANCED_FACE('',(#26893),#11952,.T.); #321738=ADVANCED_FACE('',(#26894),#11953,.T.); #321739=ADVANCED_FACE('',(#26895),#11954,.T.); #321740=ADVANCED_FACE('',(#26896),#11955,.T.); #321741=ADVANCED_FACE('',(#26897),#11956,.T.); #321742=ADVANCED_FACE('',(#26898),#11957,.T.); #321743=ADVANCED_FACE('',(#26899),#11958,.T.); #321744=ADVANCED_FACE('',(#26900),#11959,.T.); #321745=ADVANCED_FACE('',(#26901),#11960,.T.); #321746=ADVANCED_FACE('',(#26902),#11961,.T.); #321747=ADVANCED_FACE('',(#26903),#11962,.T.); #321748=ADVANCED_FACE('',(#26904),#11963,.T.); #321749=ADVANCED_FACE('',(#26905),#11964,.T.); #321750=ADVANCED_FACE('',(#26906),#11965,.T.); #321751=ADVANCED_FACE('',(#26907),#11966,.T.); #321752=ADVANCED_FACE('',(#26908),#11967,.T.); #321753=ADVANCED_FACE('',(#26909),#11968,.T.); #321754=ADVANCED_FACE('',(#26910),#11969,.T.); #321755=ADVANCED_FACE('',(#26911),#11970,.F.); #321756=ADVANCED_FACE('',(#26912),#11971,.T.); #321757=ADVANCED_FACE('',(#26913),#11972,.T.); #321758=ADVANCED_FACE('',(#26914),#11973,.T.); #321759=ADVANCED_FACE('',(#26915),#11974,.T.); #321760=ADVANCED_FACE('',(#26916),#11975,.T.); #321761=ADVANCED_FACE('',(#26917),#11976,.T.); #321762=ADVANCED_FACE('',(#26918),#11977,.T.); #321763=ADVANCED_FACE('',(#26919),#11978,.T.); #321764=ADVANCED_FACE('',(#26920),#11979,.T.); #321765=ADVANCED_FACE('',(#26921),#11980,.T.); #321766=ADVANCED_FACE('',(#26922),#11981,.T.); #321767=ADVANCED_FACE('',(#26923),#11982,.T.); #321768=ADVANCED_FACE('',(#26924),#11983,.T.); #321769=ADVANCED_FACE('',(#26925),#11984,.T.); #321770=ADVANCED_FACE('',(#26926),#11985,.T.); #321771=ADVANCED_FACE('',(#26927),#11986,.T.); #321772=ADVANCED_FACE('',(#26928),#11987,.T.); #321773=ADVANCED_FACE('',(#26929),#11988,.T.); #321774=ADVANCED_FACE('',(#26930),#11989,.T.); #321775=ADVANCED_FACE('',(#26931),#11990,.T.); #321776=ADVANCED_FACE('',(#26932),#11991,.T.); #321777=ADVANCED_FACE('',(#26933),#11992,.T.); #321778=ADVANCED_FACE('',(#26934),#11993,.T.); #321779=ADVANCED_FACE('',(#26935),#11994,.T.); #321780=ADVANCED_FACE('',(#26936),#11995,.T.); #321781=ADVANCED_FACE('',(#26937),#11996,.T.); #321782=ADVANCED_FACE('',(#26938),#11997,.T.); #321783=ADVANCED_FACE('',(#26939),#11998,.T.); #321784=ADVANCED_FACE('',(#26940),#11999,.T.); #321785=ADVANCED_FACE('',(#26941),#12000,.T.); #321786=ADVANCED_FACE('',(#26942),#12001,.T.); #321787=ADVANCED_FACE('',(#26943),#12002,.T.); #321788=ADVANCED_FACE('',(#26944),#12003,.F.); #321789=ADVANCED_FACE('',(#26945),#12004,.T.); #321790=ADVANCED_FACE('',(#26946),#12005,.T.); #321791=ADVANCED_FACE('',(#26947),#12006,.T.); #321792=ADVANCED_FACE('',(#26948),#12007,.T.); #321793=ADVANCED_FACE('',(#26949),#12008,.T.); #321794=ADVANCED_FACE('',(#26950),#12009,.T.); #321795=ADVANCED_FACE('',(#26951),#12010,.T.); #321796=ADVANCED_FACE('',(#26952),#12011,.T.); #321797=ADVANCED_FACE('',(#26953),#12012,.T.); #321798=ADVANCED_FACE('',(#26954),#12013,.T.); #321799=ADVANCED_FACE('',(#26955),#12014,.T.); #321800=ADVANCED_FACE('',(#26956),#12015,.T.); #321801=ADVANCED_FACE('',(#26957),#12016,.T.); #321802=ADVANCED_FACE('',(#26958),#12017,.T.); #321803=ADVANCED_FACE('',(#26959),#12018,.T.); #321804=ADVANCED_FACE('',(#26960),#12019,.T.); #321805=ADVANCED_FACE('',(#26961),#12020,.T.); #321806=ADVANCED_FACE('',(#26962),#12021,.T.); #321807=ADVANCED_FACE('',(#26963),#12022,.T.); #321808=ADVANCED_FACE('',(#26964),#12023,.T.); #321809=ADVANCED_FACE('',(#26965),#12024,.T.); #321810=ADVANCED_FACE('',(#26966),#12025,.F.); #321811=ADVANCED_FACE('',(#26967),#12026,.T.); #321812=ADVANCED_FACE('',(#26968),#12027,.T.); #321813=ADVANCED_FACE('',(#26969),#12028,.T.); #321814=ADVANCED_FACE('',(#26970),#12029,.T.); #321815=ADVANCED_FACE('',(#26971),#12030,.T.); #321816=ADVANCED_FACE('',(#26972),#12031,.T.); #321817=ADVANCED_FACE('',(#26973),#12032,.T.); #321818=ADVANCED_FACE('',(#26974),#12033,.T.); #321819=ADVANCED_FACE('',(#26975),#12034,.T.); #321820=ADVANCED_FACE('',(#26976),#12035,.T.); #321821=ADVANCED_FACE('',(#26977),#12036,.T.); #321822=ADVANCED_FACE('',(#26978),#12037,.T.); #321823=ADVANCED_FACE('',(#26979),#12038,.T.); #321824=ADVANCED_FACE('',(#26980),#12039,.T.); #321825=ADVANCED_FACE('',(#26981),#12040,.T.); #321826=ADVANCED_FACE('',(#26982),#12041,.T.); #321827=ADVANCED_FACE('',(#26983),#12042,.T.); #321828=ADVANCED_FACE('',(#26984),#12043,.T.); #321829=ADVANCED_FACE('',(#26985),#12044,.T.); #321830=ADVANCED_FACE('',(#26986),#12045,.T.); #321831=ADVANCED_FACE('',(#26987),#12046,.T.); #321832=ADVANCED_FACE('',(#26988),#12047,.T.); #321833=ADVANCED_FACE('',(#26989),#12048,.T.); #321834=ADVANCED_FACE('',(#26990),#12049,.T.); #321835=ADVANCED_FACE('',(#26991),#12050,.T.); #321836=ADVANCED_FACE('',(#26992),#12051,.T.); #321837=ADVANCED_FACE('',(#26993),#12052,.T.); #321838=ADVANCED_FACE('',(#26994),#12053,.T.); #321839=ADVANCED_FACE('',(#26995),#12054,.T.); #321840=ADVANCED_FACE('',(#26996),#12055,.T.); #321841=ADVANCED_FACE('',(#26997),#12056,.T.); #321842=ADVANCED_FACE('',(#26998),#12057,.T.); #321843=ADVANCED_FACE('',(#26999),#12058,.F.); #321844=ADVANCED_FACE('',(#27000),#12059,.T.); #321845=ADVANCED_FACE('',(#27001),#12060,.T.); #321846=ADVANCED_FACE('',(#27002),#12061,.T.); #321847=ADVANCED_FACE('',(#27003),#12062,.T.); #321848=ADVANCED_FACE('',(#27004),#12063,.T.); #321849=ADVANCED_FACE('',(#27005),#12064,.T.); #321850=ADVANCED_FACE('',(#27006),#12065,.T.); #321851=ADVANCED_FACE('',(#27007),#12066,.T.); #321852=ADVANCED_FACE('',(#27008),#12067,.T.); #321853=ADVANCED_FACE('',(#27009),#12068,.T.); #321854=ADVANCED_FACE('',(#27010),#12069,.T.); #321855=ADVANCED_FACE('',(#27011),#12070,.T.); #321856=ADVANCED_FACE('',(#27012),#12071,.T.); #321857=ADVANCED_FACE('',(#27013),#12072,.T.); #321858=ADVANCED_FACE('',(#27014),#12073,.T.); #321859=ADVANCED_FACE('',(#27015),#12074,.T.); #321860=ADVANCED_FACE('',(#27016),#12075,.T.); #321861=ADVANCED_FACE('',(#27017),#12076,.T.); #321862=ADVANCED_FACE('',(#27018),#12077,.T.); #321863=ADVANCED_FACE('',(#27019),#12078,.T.); #321864=ADVANCED_FACE('',(#27020),#12079,.T.); #321865=ADVANCED_FACE('',(#27021),#12080,.T.); #321866=ADVANCED_FACE('',(#27022),#12081,.F.); #321867=ADVANCED_FACE('',(#27023),#12082,.T.); #321868=ADVANCED_FACE('',(#27024),#12083,.T.); #321869=ADVANCED_FACE('',(#27025),#12084,.T.); #321870=ADVANCED_FACE('',(#27026),#12085,.T.); #321871=ADVANCED_FACE('',(#27027),#12086,.T.); #321872=ADVANCED_FACE('',(#27028),#12087,.T.); #321873=ADVANCED_FACE('',(#27029),#12088,.T.); #321874=ADVANCED_FACE('',(#27030),#12089,.T.); #321875=ADVANCED_FACE('',(#27031),#12090,.T.); #321876=ADVANCED_FACE('',(#27032),#12091,.T.); #321877=ADVANCED_FACE('',(#27033),#12092,.T.); #321878=ADVANCED_FACE('',(#27034),#12093,.T.); #321879=ADVANCED_FACE('',(#27035),#12094,.T.); #321880=ADVANCED_FACE('',(#27036),#12095,.T.); #321881=ADVANCED_FACE('',(#27037),#12096,.T.); #321882=ADVANCED_FACE('',(#27038),#12097,.T.); #321883=ADVANCED_FACE('',(#27039),#12098,.T.); #321884=ADVANCED_FACE('',(#27040),#12099,.T.); #321885=ADVANCED_FACE('',(#27041),#12100,.T.); #321886=ADVANCED_FACE('',(#27042),#12101,.T.); #321887=ADVANCED_FACE('',(#27043),#12102,.T.); #321888=ADVANCED_FACE('',(#27044),#12103,.T.); #321889=ADVANCED_FACE('',(#27045),#12104,.T.); #321890=ADVANCED_FACE('',(#27046),#12105,.T.); #321891=ADVANCED_FACE('',(#27047),#12106,.T.); #321892=ADVANCED_FACE('',(#27048),#12107,.T.); #321893=ADVANCED_FACE('',(#27049),#12108,.T.); #321894=ADVANCED_FACE('',(#27050),#12109,.T.); #321895=ADVANCED_FACE('',(#27051),#12110,.T.); #321896=ADVANCED_FACE('',(#27052),#12111,.T.); #321897=ADVANCED_FACE('',(#27053),#12112,.T.); #321898=ADVANCED_FACE('',(#27054),#12113,.T.); #321899=ADVANCED_FACE('',(#27055),#12114,.T.); #321900=ADVANCED_FACE('',(#27056),#12115,.T.); #321901=ADVANCED_FACE('',(#27057),#12116,.T.); #321902=ADVANCED_FACE('',(#27058),#12117,.T.); #321903=ADVANCED_FACE('',(#27059),#12118,.T.); #321904=ADVANCED_FACE('',(#27060),#12119,.T.); #321905=ADVANCED_FACE('',(#27061),#12120,.T.); #321906=ADVANCED_FACE('',(#27062),#12121,.T.); #321907=ADVANCED_FACE('',(#27063),#12122,.T.); #321908=ADVANCED_FACE('',(#27064),#12123,.F.); #321909=ADVANCED_FACE('',(#27065),#12124,.T.); #321910=ADVANCED_FACE('',(#27066),#12125,.T.); #321911=ADVANCED_FACE('',(#27067),#12126,.T.); #321912=ADVANCED_FACE('',(#27068),#12127,.T.); #321913=ADVANCED_FACE('',(#27069),#12128,.T.); #321914=ADVANCED_FACE('',(#27070),#12129,.T.); #321915=ADVANCED_FACE('',(#27071),#12130,.T.); #321916=ADVANCED_FACE('',(#27072),#12131,.T.); #321917=ADVANCED_FACE('',(#27073),#12132,.T.); #321918=ADVANCED_FACE('',(#27074),#12133,.T.); #321919=ADVANCED_FACE('',(#27075),#12134,.T.); #321920=ADVANCED_FACE('',(#27076),#12135,.T.); #321921=ADVANCED_FACE('',(#27077),#12136,.T.); #321922=ADVANCED_FACE('',(#27078),#12137,.T.); #321923=ADVANCED_FACE('',(#27079),#12138,.T.); #321924=ADVANCED_FACE('',(#27080),#12139,.T.); #321925=ADVANCED_FACE('',(#27081),#12140,.T.); #321926=ADVANCED_FACE('',(#27082),#12141,.T.); #321927=ADVANCED_FACE('',(#27083),#12142,.T.); #321928=ADVANCED_FACE('',(#27084),#12143,.T.); #321929=ADVANCED_FACE('',(#27085),#12144,.T.); #321930=ADVANCED_FACE('',(#27086),#12145,.F.); #321931=ADVANCED_FACE('',(#27087),#12146,.T.); #321932=ADVANCED_FACE('',(#27088),#12147,.T.); #321933=ADVANCED_FACE('',(#27089),#12148,.T.); #321934=ADVANCED_FACE('',(#27090),#12149,.T.); #321935=ADVANCED_FACE('',(#27091),#12150,.T.); #321936=ADVANCED_FACE('',(#27092),#12151,.T.); #321937=ADVANCED_FACE('',(#27093),#12152,.T.); #321938=ADVANCED_FACE('',(#27094),#12153,.T.); #321939=ADVANCED_FACE('',(#27095),#12154,.T.); #321940=ADVANCED_FACE('',(#27096),#12155,.T.); #321941=ADVANCED_FACE('',(#27097),#12156,.T.); #321942=ADVANCED_FACE('',(#27098),#12157,.T.); #321943=ADVANCED_FACE('',(#27099),#12158,.T.); #321944=ADVANCED_FACE('',(#27100),#12159,.T.); #321945=ADVANCED_FACE('',(#27101),#12160,.T.); #321946=ADVANCED_FACE('',(#27102),#12161,.T.); #321947=ADVANCED_FACE('',(#27103),#12162,.T.); #321948=ADVANCED_FACE('',(#27104),#12163,.T.); #321949=ADVANCED_FACE('',(#27105),#12164,.T.); #321950=ADVANCED_FACE('',(#27106),#12165,.T.); #321951=ADVANCED_FACE('',(#27107),#12166,.T.); #321952=ADVANCED_FACE('',(#27108),#12167,.T.); #321953=ADVANCED_FACE('',(#27109),#12168,.T.); #321954=ADVANCED_FACE('',(#27110),#12169,.T.); #321955=ADVANCED_FACE('',(#27111),#12170,.T.); #321956=ADVANCED_FACE('',(#27112),#12171,.T.); #321957=ADVANCED_FACE('',(#27113),#12172,.T.); #321958=ADVANCED_FACE('',(#27114),#12173,.T.); #321959=ADVANCED_FACE('',(#27115),#12174,.T.); #321960=ADVANCED_FACE('',(#27116),#12175,.T.); #321961=ADVANCED_FACE('',(#27117),#12176,.T.); #321962=ADVANCED_FACE('',(#27118),#12177,.T.); #321963=ADVANCED_FACE('',(#27119),#12178,.T.); #321964=ADVANCED_FACE('',(#27120),#12179,.T.); #321965=ADVANCED_FACE('',(#27121),#12180,.T.); #321966=ADVANCED_FACE('',(#27122),#12181,.T.); #321967=ADVANCED_FACE('',(#27123),#12182,.T.); #321968=ADVANCED_FACE('',(#27124),#12183,.T.); #321969=ADVANCED_FACE('',(#27125),#12184,.T.); #321970=ADVANCED_FACE('',(#27126),#12185,.T.); #321971=ADVANCED_FACE('',(#27127),#12186,.T.); #321972=ADVANCED_FACE('',(#27128),#12187,.F.); #321973=ADVANCED_FACE('',(#27129),#12188,.T.); #321974=ADVANCED_FACE('',(#27130),#12189,.T.); #321975=ADVANCED_FACE('',(#27131),#12190,.T.); #321976=ADVANCED_FACE('',(#27132),#12191,.T.); #321977=ADVANCED_FACE('',(#27133),#12192,.T.); #321978=ADVANCED_FACE('',(#27134),#12193,.T.); #321979=ADVANCED_FACE('',(#27135),#12194,.T.); #321980=ADVANCED_FACE('',(#27136),#12195,.T.); #321981=ADVANCED_FACE('',(#27137),#12196,.T.); #321982=ADVANCED_FACE('',(#27138),#12197,.T.); #321983=ADVANCED_FACE('',(#27139),#12198,.T.); #321984=ADVANCED_FACE('',(#27140),#12199,.T.); #321985=ADVANCED_FACE('',(#27141),#12200,.T.); #321986=ADVANCED_FACE('',(#27142),#12201,.T.); #321987=ADVANCED_FACE('',(#27143),#12202,.T.); #321988=ADVANCED_FACE('',(#27144),#12203,.T.); #321989=ADVANCED_FACE('',(#27145),#12204,.T.); #321990=ADVANCED_FACE('',(#27146),#12205,.T.); #321991=ADVANCED_FACE('',(#27147),#12206,.T.); #321992=ADVANCED_FACE('',(#27148),#12207,.T.); #321993=ADVANCED_FACE('',(#27149),#12208,.T.); #321994=ADVANCED_FACE('',(#27150),#12209,.F.); #321995=ADVANCED_FACE('',(#27151),#12210,.T.); #321996=ADVANCED_FACE('',(#27152),#12211,.T.); #321997=ADVANCED_FACE('',(#27153),#12212,.T.); #321998=ADVANCED_FACE('',(#27154),#12213,.T.); #321999=ADVANCED_FACE('',(#27155),#12214,.T.); #322000=ADVANCED_FACE('',(#27156),#12215,.T.); #322001=ADVANCED_FACE('',(#27157),#12216,.T.); #322002=ADVANCED_FACE('',(#27158),#12217,.T.); #322003=ADVANCED_FACE('',(#27159),#12218,.T.); #322004=ADVANCED_FACE('',(#27160),#12219,.T.); #322005=ADVANCED_FACE('',(#27161),#12220,.T.); #322006=ADVANCED_FACE('',(#27162),#12221,.T.); #322007=ADVANCED_FACE('',(#27163),#12222,.T.); #322008=ADVANCED_FACE('',(#27164),#12223,.T.); #322009=ADVANCED_FACE('',(#27165),#12224,.T.); #322010=ADVANCED_FACE('',(#27166),#12225,.T.); #322011=ADVANCED_FACE('',(#27167),#12226,.T.); #322012=ADVANCED_FACE('',(#27168),#12227,.T.); #322013=ADVANCED_FACE('',(#27169),#12228,.T.); #322014=ADVANCED_FACE('',(#27170),#12229,.T.); #322015=ADVANCED_FACE('',(#27171),#12230,.T.); #322016=ADVANCED_FACE('',(#27172),#12231,.F.); #322017=ADVANCED_FACE('',(#27173),#313812,.F.); #322018=ADVANCED_FACE('',(#27174),#12232,.F.); #322019=ADVANCED_FACE('',(#27175),#313813,.F.); #322020=ADVANCED_FACE('',(#27176),#12233,.F.); #322021=ADVANCED_FACE('',(#27177),#313814,.F.); #322022=ADVANCED_FACE('',(#27178),#12234,.T.); #322023=ADVANCED_FACE('',(#27179),#12235,.T.); #322024=ADVANCED_FACE('',(#27180),#12236,.T.); #322025=ADVANCED_FACE('',(#27181),#12237,.T.); #322026=ADVANCED_FACE('',(#27182),#12238,.T.); #322027=ADVANCED_FACE('',(#27183),#12239,.T.); #322028=ADVANCED_FACE('',(#27184),#12240,.T.); #322029=ADVANCED_FACE('',(#27185),#12241,.T.); #322030=ADVANCED_FACE('',(#27186),#12242,.T.); #322031=ADVANCED_FACE('',(#27187),#12243,.T.); #322032=ADVANCED_FACE('',(#27188),#12244,.T.); #322033=ADVANCED_FACE('',(#27189),#12245,.T.); #322034=ADVANCED_FACE('',(#27190),#12246,.T.); #322035=ADVANCED_FACE('',(#27191),#12247,.T.); #322036=ADVANCED_FACE('',(#27192),#12248,.T.); #322037=ADVANCED_FACE('',(#27193),#12249,.T.); #322038=ADVANCED_FACE('',(#27194),#12250,.T.); #322039=ADVANCED_FACE('',(#27195),#12251,.T.); #322040=ADVANCED_FACE('',(#27196),#12252,.T.); #322041=ADVANCED_FACE('',(#27197),#12253,.T.); #322042=ADVANCED_FACE('',(#27198),#12254,.T.); #322043=ADVANCED_FACE('',(#27199),#12255,.T.); #322044=ADVANCED_FACE('',(#27200),#12256,.T.); #322045=ADVANCED_FACE('',(#27201),#12257,.T.); #322046=ADVANCED_FACE('',(#27202),#12258,.T.); #322047=ADVANCED_FACE('',(#27203),#12259,.T.); #322048=ADVANCED_FACE('',(#27204),#12260,.T.); #322049=ADVANCED_FACE('',(#27205),#12261,.T.); #322050=ADVANCED_FACE('',(#27206),#12262,.T.); #322051=ADVANCED_FACE('',(#27207),#12263,.T.); #322052=ADVANCED_FACE('',(#27208),#12264,.T.); #322053=ADVANCED_FACE('',(#27209),#12265,.T.); #322054=ADVANCED_FACE('',(#27210),#12266,.T.); #322055=ADVANCED_FACE('',(#27211),#12267,.T.); #322056=ADVANCED_FACE('',(#27212),#12268,.T.); #322057=ADVANCED_FACE('',(#27213),#12269,.T.); #322058=ADVANCED_FACE('',(#27214),#12270,.T.); #322059=ADVANCED_FACE('',(#27215),#12271,.T.); #322060=ADVANCED_FACE('',(#27216),#12272,.T.); #322061=ADVANCED_FACE('',(#27217),#12273,.T.); #322062=ADVANCED_FACE('',(#27218),#12274,.T.); #322063=ADVANCED_FACE('',(#27219),#12275,.T.); #322064=ADVANCED_FACE('',(#27220),#12276,.T.); #322065=ADVANCED_FACE('',(#27221),#12277,.T.); #322066=ADVANCED_FACE('',(#27222),#12278,.T.); #322067=ADVANCED_FACE('',(#27223),#12279,.T.); #322068=ADVANCED_FACE('',(#27224),#12280,.T.); #322069=ADVANCED_FACE('',(#27225),#12281,.T.); #322070=ADVANCED_FACE('',(#27226),#12282,.T.); #322071=ADVANCED_FACE('',(#27227),#12283,.T.); #322072=ADVANCED_FACE('',(#27228),#12284,.T.); #322073=ADVANCED_FACE('',(#27229),#12285,.T.); #322074=ADVANCED_FACE('',(#27230),#12286,.T.); #322075=ADVANCED_FACE('',(#27231),#12287,.T.); #322076=ADVANCED_FACE('',(#27232),#12288,.T.); #322077=ADVANCED_FACE('',(#27233),#12289,.T.); #322078=ADVANCED_FACE('',(#27234),#12290,.T.); #322079=ADVANCED_FACE('',(#27235),#12291,.T.); #322080=ADVANCED_FACE('',(#27236),#12292,.T.); #322081=ADVANCED_FACE('',(#27237),#12293,.T.); #322082=ADVANCED_FACE('',(#27238),#12294,.T.); #322083=ADVANCED_FACE('',(#27239),#12295,.T.); #322084=ADVANCED_FACE('',(#27240),#12296,.T.); #322085=ADVANCED_FACE('',(#27241),#12297,.T.); #322086=ADVANCED_FACE('',(#27242),#12298,.T.); #322087=ADVANCED_FACE('',(#27243),#12299,.T.); #322088=ADVANCED_FACE('',(#27244),#12300,.T.); #322089=ADVANCED_FACE('',(#27245),#12301,.T.); #322090=ADVANCED_FACE('',(#27246),#12302,.T.); #322091=ADVANCED_FACE('',(#27247),#12303,.T.); #322092=ADVANCED_FACE('',(#27248),#12304,.T.); #322093=ADVANCED_FACE('',(#27249),#12305,.T.); #322094=ADVANCED_FACE('',(#27250),#12306,.T.); #322095=ADVANCED_FACE('',(#27251),#12307,.T.); #322096=ADVANCED_FACE('',(#27252),#12308,.T.); #322097=ADVANCED_FACE('',(#27253),#12309,.T.); #322098=ADVANCED_FACE('',(#27254),#12310,.T.); #322099=ADVANCED_FACE('',(#27255),#12311,.T.); #322100=ADVANCED_FACE('',(#27256),#12312,.T.); #322101=ADVANCED_FACE('',(#27257),#12313,.T.); #322102=ADVANCED_FACE('',(#27258),#12314,.T.); #322103=ADVANCED_FACE('',(#27259),#12315,.T.); #322104=ADVANCED_FACE('',(#27260),#12316,.T.); #322105=ADVANCED_FACE('',(#27261),#12317,.T.); #322106=ADVANCED_FACE('',(#27262),#12318,.T.); #322107=ADVANCED_FACE('',(#27263),#12319,.T.); #322108=ADVANCED_FACE('',(#27264),#12320,.T.); #322109=ADVANCED_FACE('',(#27265),#12321,.T.); #322110=ADVANCED_FACE('',(#27266),#12322,.T.); #322111=ADVANCED_FACE('',(#27267),#12323,.T.); #322112=ADVANCED_FACE('',(#27268),#12324,.T.); #322113=ADVANCED_FACE('',(#27269),#12325,.T.); #322114=ADVANCED_FACE('',(#27270),#12326,.T.); #322115=ADVANCED_FACE('',(#27271,#5093,#5094),#12327,.T.); #322116=ADVANCED_FACE('',(#27272,#5095,#5096),#12328,.F.); #322117=ADVANCED_FACE('',(#27273),#12329,.T.); #322118=ADVANCED_FACE('',(#27274),#12330,.T.); #322119=ADVANCED_FACE('',(#27275),#12331,.T.); #322120=ADVANCED_FACE('',(#27276),#12332,.T.); #322121=ADVANCED_FACE('',(#27277),#12333,.T.); #322122=ADVANCED_FACE('',(#27278),#12334,.T.); #322123=ADVANCED_FACE('',(#27279),#12335,.T.); #322124=ADVANCED_FACE('',(#27280),#12336,.T.); #322125=ADVANCED_FACE('',(#27281),#12337,.T.); #322126=ADVANCED_FACE('',(#27282),#12338,.T.); #322127=ADVANCED_FACE('',(#27283),#12339,.T.); #322128=ADVANCED_FACE('',(#27284),#12340,.T.); #322129=ADVANCED_FACE('',(#27285),#12341,.T.); #322130=ADVANCED_FACE('',(#27286),#12342,.T.); #322131=ADVANCED_FACE('',(#27287),#12343,.T.); #322132=ADVANCED_FACE('',(#27288),#12344,.T.); #322133=ADVANCED_FACE('',(#27289),#12345,.T.); #322134=ADVANCED_FACE('',(#27290),#12346,.T.); #322135=ADVANCED_FACE('',(#27291),#12347,.T.); #322136=ADVANCED_FACE('',(#27292),#12348,.T.); #322137=ADVANCED_FACE('',(#27293),#12349,.T.); #322138=ADVANCED_FACE('',(#27294),#12350,.T.); #322139=ADVANCED_FACE('',(#27295),#12351,.T.); #322140=ADVANCED_FACE('',(#27296),#12352,.T.); #322141=ADVANCED_FACE('',(#27297),#12353,.T.); #322142=ADVANCED_FACE('',(#27298),#12354,.T.); #322143=ADVANCED_FACE('',(#27299),#12355,.T.); #322144=ADVANCED_FACE('',(#27300),#12356,.T.); #322145=ADVANCED_FACE('',(#27301),#12357,.T.); #322146=ADVANCED_FACE('',(#27302),#12358,.T.); #322147=ADVANCED_FACE('',(#27303),#12359,.T.); #322148=ADVANCED_FACE('',(#27304),#12360,.F.); #322149=ADVANCED_FACE('',(#27305),#313815,.F.); #322150=ADVANCED_FACE('',(#27306),#313816,.F.); #322151=ADVANCED_FACE('',(#27307),#313817,.F.); #322152=ADVANCED_FACE('',(#27308),#313818,.F.); #322153=ADVANCED_FACE('',(#27309),#313819,.F.); #322154=ADVANCED_FACE('',(#27310),#313820,.F.); #322155=ADVANCED_FACE('',(#27311),#313821,.F.); #322156=ADVANCED_FACE('',(#27312),#313822,.F.); #322157=ADVANCED_FACE('',(#27313),#313823,.F.); #322158=ADVANCED_FACE('',(#27314),#313824,.F.); #322159=ADVANCED_FACE('',(#27315),#313825,.F.); #322160=ADVANCED_FACE('',(#27316),#313826,.F.); #322161=ADVANCED_FACE('',(#27317),#313827,.F.); #322162=ADVANCED_FACE('',(#27318),#313828,.F.); #322163=ADVANCED_FACE('',(#27319),#313829,.F.); #322164=ADVANCED_FACE('',(#27320),#12361,.T.); #322165=ADVANCED_FACE('',(#27321),#12362,.T.); #322166=ADVANCED_FACE('',(#27322),#12363,.T.); #322167=ADVANCED_FACE('',(#27323),#12364,.T.); #322168=ADVANCED_FACE('',(#27324),#12365,.T.); #322169=ADVANCED_FACE('',(#27325),#12366,.T.); #322170=ADVANCED_FACE('',(#27326),#12367,.T.); #322171=ADVANCED_FACE('',(#27327),#12368,.T.); #322172=ADVANCED_FACE('',(#27328),#12369,.T.); #322173=ADVANCED_FACE('',(#27329),#12370,.T.); #322174=ADVANCED_FACE('',(#27330),#12371,.T.); #322175=ADVANCED_FACE('',(#27331),#12372,.T.); #322176=ADVANCED_FACE('',(#27332),#12373,.T.); #322177=ADVANCED_FACE('',(#27333),#12374,.T.); #322178=ADVANCED_FACE('',(#27334),#12375,.T.); #322179=ADVANCED_FACE('',(#27335),#12376,.T.); #322180=ADVANCED_FACE('',(#27336),#12377,.T.); #322181=ADVANCED_FACE('',(#27337),#12378,.T.); #322182=ADVANCED_FACE('',(#27338),#12379,.T.); #322183=ADVANCED_FACE('',(#27339),#12380,.T.); #322184=ADVANCED_FACE('',(#27340),#12381,.T.); #322185=ADVANCED_FACE('',(#27341),#12382,.T.); #322186=ADVANCED_FACE('',(#27342),#12383,.T.); #322187=ADVANCED_FACE('',(#27343),#12384,.T.); #322188=ADVANCED_FACE('',(#27344),#12385,.T.); #322189=ADVANCED_FACE('',(#27345),#12386,.T.); #322190=ADVANCED_FACE('',(#27346),#12387,.T.); #322191=ADVANCED_FACE('',(#27347),#12388,.T.); #322192=ADVANCED_FACE('',(#27348),#12389,.T.); #322193=ADVANCED_FACE('',(#27349),#12390,.T.); #322194=ADVANCED_FACE('',(#27350),#12391,.T.); #322195=ADVANCED_FACE('',(#27351),#12392,.T.); #322196=ADVANCED_FACE('',(#27352),#12393,.T.); #322197=ADVANCED_FACE('',(#27353),#12394,.T.); #322198=ADVANCED_FACE('',(#27354),#12395,.T.); #322199=ADVANCED_FACE('',(#27355),#12396,.T.); #322200=ADVANCED_FACE('',(#27356),#12397,.T.); #322201=ADVANCED_FACE('',(#27357),#12398,.T.); #322202=ADVANCED_FACE('',(#27358),#12399,.T.); #322203=ADVANCED_FACE('',(#27359),#12400,.T.); #322204=ADVANCED_FACE('',(#27360),#12401,.T.); #322205=ADVANCED_FACE('',(#27361,#5097,#5098,#5099,#5100,#5101,#5102,#5103, #5104,#5105,#5106,#5107,#5108,#5109,#5110,#5111),#12402,.T.); #322206=ADVANCED_FACE('',(#27362,#5112,#5113,#5114,#5115,#5116,#5117,#5118, #5119,#5120,#5121,#5122,#5123,#5124,#5125,#5126),#12403,.F.); #322207=ADVANCED_FACE('',(#27363),#313830,.F.); #322208=ADVANCED_FACE('',(#27364),#12404,.T.); #322209=ADVANCED_FACE('',(#27365),#12405,.T.); #322210=ADVANCED_FACE('',(#27366),#12406,.T.); #322211=ADVANCED_FACE('',(#27367),#12407,.T.); #322212=ADVANCED_FACE('',(#27368),#12408,.T.); #322213=ADVANCED_FACE('',(#27369),#313831,.T.); #322214=ADVANCED_FACE('',(#27370),#12409,.T.); #322215=ADVANCED_FACE('',(#27371),#12410,.T.); #322216=ADVANCED_FACE('',(#27372),#313832,.T.); #322217=ADVANCED_FACE('',(#27373),#12411,.T.); #322218=ADVANCED_FACE('',(#27374),#12412,.T.); #322219=ADVANCED_FACE('',(#27375),#12413,.T.); #322220=ADVANCED_FACE('',(#27376),#12414,.T.); #322221=ADVANCED_FACE('',(#27377),#12415,.T.); #322222=ADVANCED_FACE('',(#27378),#12416,.T.); #322223=ADVANCED_FACE('',(#27379),#12417,.T.); #322224=ADVANCED_FACE('',(#27380),#12418,.T.); #322225=ADVANCED_FACE('',(#27381),#12419,.T.); #322226=ADVANCED_FACE('',(#27382),#12420,.T.); #322227=ADVANCED_FACE('',(#27383),#12421,.T.); #322228=ADVANCED_FACE('',(#27384),#12422,.T.); #322229=ADVANCED_FACE('',(#27385),#12423,.T.); #322230=ADVANCED_FACE('',(#27386),#12424,.T.); #322231=ADVANCED_FACE('',(#27387),#12425,.T.); #322232=ADVANCED_FACE('',(#27388),#12426,.T.); #322233=ADVANCED_FACE('',(#27389),#313833,.T.); #322234=ADVANCED_FACE('',(#27390),#12427,.T.); #322235=ADVANCED_FACE('',(#27391),#313834,.T.); #322236=ADVANCED_FACE('',(#27392),#12428,.T.); #322237=ADVANCED_FACE('',(#27393),#313835,.T.); #322238=ADVANCED_FACE('',(#27394),#12429,.T.); #322239=ADVANCED_FACE('',(#27395),#12430,.T.); #322240=ADVANCED_FACE('',(#27396),#12431,.T.); #322241=ADVANCED_FACE('',(#27397),#313836,.T.); #322242=ADVANCED_FACE('',(#27398),#12432,.T.); #322243=ADVANCED_FACE('',(#27399),#313837,.T.); #322244=ADVANCED_FACE('',(#27400),#12433,.T.); #322245=ADVANCED_FACE('',(#27401),#12434,.T.); #322246=ADVANCED_FACE('',(#27402),#313838,.T.); #322247=ADVANCED_FACE('',(#27403),#12435,.T.); #322248=ADVANCED_FACE('',(#27404),#12436,.T.); #322249=ADVANCED_FACE('',(#27405),#12437,.T.); #322250=ADVANCED_FACE('',(#27406,#5127),#12438,.T.); #322251=ADVANCED_FACE('',(#27407,#5128),#12439,.F.); #322252=ADVANCED_FACE('',(#27408),#313839,.F.); #322253=ADVANCED_FACE('',(#27409),#313840,.F.); #322254=ADVANCED_FACE('',(#27410),#313841,.F.); #322255=ADVANCED_FACE('',(#27411),#313842,.F.); #322256=ADVANCED_FACE('',(#27412),#313843,.F.); #322257=ADVANCED_FACE('',(#27413),#313844,.F.); #322258=ADVANCED_FACE('',(#27414),#313845,.F.); #322259=ADVANCED_FACE('',(#27415),#313846,.F.); #322260=ADVANCED_FACE('',(#27416),#313847,.F.); #322261=ADVANCED_FACE('',(#27417),#313848,.F.); #322262=ADVANCED_FACE('',(#27418),#313849,.F.); #322263=ADVANCED_FACE('',(#27419),#313850,.F.); #322264=ADVANCED_FACE('',(#27420),#313851,.F.); #322265=ADVANCED_FACE('',(#27421),#313852,.F.); #322266=ADVANCED_FACE('',(#27422),#313853,.F.); #322267=ADVANCED_FACE('',(#27423),#12440,.T.); #322268=ADVANCED_FACE('',(#27424),#12441,.T.); #322269=ADVANCED_FACE('',(#27425),#12442,.T.); #322270=ADVANCED_FACE('',(#27426),#12443,.T.); #322271=ADVANCED_FACE('',(#27427),#12444,.T.); #322272=ADVANCED_FACE('',(#27428),#12445,.T.); #322273=ADVANCED_FACE('',(#27429),#12446,.T.); #322274=ADVANCED_FACE('',(#27430),#12447,.T.); #322275=ADVANCED_FACE('',(#27431),#12448,.T.); #322276=ADVANCED_FACE('',(#27432),#12449,.T.); #322277=ADVANCED_FACE('',(#27433),#12450,.T.); #322278=ADVANCED_FACE('',(#27434),#12451,.T.); #322279=ADVANCED_FACE('',(#27435),#12452,.T.); #322280=ADVANCED_FACE('',(#27436),#12453,.T.); #322281=ADVANCED_FACE('',(#27437),#12454,.T.); #322282=ADVANCED_FACE('',(#27438),#12455,.T.); #322283=ADVANCED_FACE('',(#27439),#12456,.T.); #322284=ADVANCED_FACE('',(#27440),#12457,.T.); #322285=ADVANCED_FACE('',(#27441),#12458,.T.); #322286=ADVANCED_FACE('',(#27442),#12459,.T.); #322287=ADVANCED_FACE('',(#27443),#12460,.T.); #322288=ADVANCED_FACE('',(#27444),#12461,.T.); #322289=ADVANCED_FACE('',(#27445),#12462,.T.); #322290=ADVANCED_FACE('',(#27446),#12463,.T.); #322291=ADVANCED_FACE('',(#27447),#12464,.T.); #322292=ADVANCED_FACE('',(#27448),#12465,.T.); #322293=ADVANCED_FACE('',(#27449),#12466,.T.); #322294=ADVANCED_FACE('',(#27450),#12467,.T.); #322295=ADVANCED_FACE('',(#27451),#12468,.T.); #322296=ADVANCED_FACE('',(#27452),#12469,.T.); #322297=ADVANCED_FACE('',(#27453),#12470,.T.); #322298=ADVANCED_FACE('',(#27454),#12471,.T.); #322299=ADVANCED_FACE('',(#27455),#12472,.T.); #322300=ADVANCED_FACE('',(#27456),#12473,.T.); #322301=ADVANCED_FACE('',(#27457),#12474,.T.); #322302=ADVANCED_FACE('',(#27458),#12475,.T.); #322303=ADVANCED_FACE('',(#27459),#12476,.T.); #322304=ADVANCED_FACE('',(#27460),#12477,.T.); #322305=ADVANCED_FACE('',(#27461),#12478,.T.); #322306=ADVANCED_FACE('',(#27462),#12479,.T.); #322307=ADVANCED_FACE('',(#27463),#12480,.T.); #322308=ADVANCED_FACE('',(#27464),#12481,.T.); #322309=ADVANCED_FACE('',(#27465),#12482,.T.); #322310=ADVANCED_FACE('',(#27466),#12483,.T.); #322311=ADVANCED_FACE('',(#27467),#12484,.T.); #322312=ADVANCED_FACE('',(#27468),#12485,.T.); #322313=ADVANCED_FACE('',(#27469),#12486,.T.); #322314=ADVANCED_FACE('',(#27470),#12487,.T.); #322315=ADVANCED_FACE('',(#27471),#12488,.T.); #322316=ADVANCED_FACE('',(#27472,#5129,#5130,#5131,#5132,#5133,#5134,#5135, #5136,#5137,#5138,#5139,#5140,#5141,#5142,#5143),#12489,.T.); #322317=ADVANCED_FACE('',(#27473,#5144,#5145,#5146,#5147,#5148,#5149,#5150, #5151,#5152,#5153,#5154,#5155,#5156,#5157,#5158),#12490,.F.); #322318=ADVANCED_FACE('',(#27474),#313854,.F.); #322319=ADVANCED_FACE('',(#27475),#12491,.T.); #322320=ADVANCED_FACE('',(#27476),#12492,.T.); #322321=ADVANCED_FACE('',(#27477),#12493,.T.); #322322=ADVANCED_FACE('',(#27478),#12494,.T.); #322323=ADVANCED_FACE('',(#27479),#12495,.T.); #322324=ADVANCED_FACE('',(#27480),#12496,.T.); #322325=ADVANCED_FACE('',(#27481),#313855,.T.); #322326=ADVANCED_FACE('',(#27482),#12497,.T.); #322327=ADVANCED_FACE('',(#27483),#12498,.T.); #322328=ADVANCED_FACE('',(#27484),#313856,.T.); #322329=ADVANCED_FACE('',(#27485),#12499,.T.); #322330=ADVANCED_FACE('',(#27486),#313857,.T.); #322331=ADVANCED_FACE('',(#27487),#12500,.T.); #322332=ADVANCED_FACE('',(#27488),#12501,.T.); #322333=ADVANCED_FACE('',(#27489),#12502,.T.); #322334=ADVANCED_FACE('',(#27490),#313858,.T.); #322335=ADVANCED_FACE('',(#27491),#12503,.T.); #322336=ADVANCED_FACE('',(#27492),#313859,.T.); #322337=ADVANCED_FACE('',(#27493),#12504,.T.); #322338=ADVANCED_FACE('',(#27494),#313860,.T.); #322339=ADVANCED_FACE('',(#27495),#12505,.T.); #322340=ADVANCED_FACE('',(#27496),#12506,.T.); #322341=ADVANCED_FACE('',(#27497),#12507,.T.); #322342=ADVANCED_FACE('',(#27498),#12508,.T.); #322343=ADVANCED_FACE('',(#27499),#12509,.T.); #322344=ADVANCED_FACE('',(#27500),#12510,.T.); #322345=ADVANCED_FACE('',(#27501),#12511,.T.); #322346=ADVANCED_FACE('',(#27502),#12512,.T.); #322347=ADVANCED_FACE('',(#27503),#12513,.T.); #322348=ADVANCED_FACE('',(#27504),#12514,.T.); #322349=ADVANCED_FACE('',(#27505),#12515,.T.); #322350=ADVANCED_FACE('',(#27506),#12516,.T.); #322351=ADVANCED_FACE('',(#27507),#12517,.T.); #322352=ADVANCED_FACE('',(#27508),#12518,.T.); #322353=ADVANCED_FACE('',(#27509),#12519,.T.); #322354=ADVANCED_FACE('',(#27510),#12520,.T.); #322355=ADVANCED_FACE('',(#27511),#313861,.T.); #322356=ADVANCED_FACE('',(#27512),#12521,.T.); #322357=ADVANCED_FACE('',(#27513),#12522,.T.); #322358=ADVANCED_FACE('',(#27514),#313862,.T.); #322359=ADVANCED_FACE('',(#27515),#12523,.T.); #322360=ADVANCED_FACE('',(#27516),#12524,.T.); #322361=ADVANCED_FACE('',(#27517,#5159),#12525,.T.); #322362=ADVANCED_FACE('',(#27518,#5160),#12526,.F.); #322363=ADVANCED_FACE('',(#27519),#313863,.F.); #322364=ADVANCED_FACE('',(#27520),#313864,.F.); #322365=ADVANCED_FACE('',(#27521),#313865,.F.); #322366=ADVANCED_FACE('',(#27522),#313866,.F.); #322367=ADVANCED_FACE('',(#27523),#313867,.F.); #322368=ADVANCED_FACE('',(#27524),#313868,.F.); #322369=ADVANCED_FACE('',(#27525),#313869,.F.); #322370=ADVANCED_FACE('',(#27526),#313870,.F.); #322371=ADVANCED_FACE('',(#27527),#313871,.F.); #322372=ADVANCED_FACE('',(#27528),#313872,.F.); #322373=ADVANCED_FACE('',(#27529),#313873,.F.); #322374=ADVANCED_FACE('',(#27530),#313874,.F.); #322375=ADVANCED_FACE('',(#27531),#313875,.F.); #322376=ADVANCED_FACE('',(#27532),#313876,.F.); #322377=ADVANCED_FACE('',(#27533),#313877,.F.); #322378=ADVANCED_FACE('',(#27534),#313878,.F.); #322379=ADVANCED_FACE('',(#27535),#313879,.F.); #322380=ADVANCED_FACE('',(#27536),#313880,.F.); #322381=ADVANCED_FACE('',(#27537),#313881,.F.); #322382=ADVANCED_FACE('',(#27538),#313882,.F.); #322383=ADVANCED_FACE('',(#27539),#313883,.F.); #322384=ADVANCED_FACE('',(#27540),#313884,.F.); #322385=ADVANCED_FACE('',(#27541),#313885,.F.); #322386=ADVANCED_FACE('',(#27542),#313886,.F.); #322387=ADVANCED_FACE('',(#27543),#313887,.F.); #322388=ADVANCED_FACE('',(#27544),#313888,.F.); #322389=ADVANCED_FACE('',(#27545),#313889,.F.); #322390=ADVANCED_FACE('',(#27546),#313890,.F.); #322391=ADVANCED_FACE('',(#27547),#313891,.F.); #322392=ADVANCED_FACE('',(#27548),#313892,.F.); #322393=ADVANCED_FACE('',(#27549),#313893,.F.); #322394=ADVANCED_FACE('',(#27550),#12527,.T.); #322395=ADVANCED_FACE('',(#27551),#313894,.T.); #322396=ADVANCED_FACE('',(#27552),#12528,.T.); #322397=ADVANCED_FACE('',(#27553),#12529,.T.); #322398=ADVANCED_FACE('',(#27554),#12530,.T.); #322399=ADVANCED_FACE('',(#27555),#313895,.T.); #322400=ADVANCED_FACE('',(#27556),#12531,.T.); #322401=ADVANCED_FACE('',(#27557),#313896,.T.); #322402=ADVANCED_FACE('',(#27558),#12532,.T.); #322403=ADVANCED_FACE('',(#27559),#12533,.T.); #322404=ADVANCED_FACE('',(#27560),#12534,.T.); #322405=ADVANCED_FACE('',(#27561),#12535,.T.); #322406=ADVANCED_FACE('',(#27562),#12536,.T.); #322407=ADVANCED_FACE('',(#27563),#12537,.T.); #322408=ADVANCED_FACE('',(#27564),#12538,.T.); #322409=ADVANCED_FACE('',(#27565),#12539,.T.); #322410=ADVANCED_FACE('',(#27566),#12540,.T.); #322411=ADVANCED_FACE('',(#27567),#12541,.T.); #322412=ADVANCED_FACE('',(#27568),#12542,.T.); #322413=ADVANCED_FACE('',(#27569),#12543,.T.); #322414=ADVANCED_FACE('',(#27570),#12544,.T.); #322415=ADVANCED_FACE('',(#27571),#12545,.T.); #322416=ADVANCED_FACE('',(#27572),#12546,.T.); #322417=ADVANCED_FACE('',(#27573),#12547,.T.); #322418=ADVANCED_FACE('',(#27574),#12548,.T.); #322419=ADVANCED_FACE('',(#27575),#12549,.T.); #322420=ADVANCED_FACE('',(#27576),#12550,.T.); #322421=ADVANCED_FACE('',(#27577),#12551,.T.); #322422=ADVANCED_FACE('',(#27578),#12552,.T.); #322423=ADVANCED_FACE('',(#27579),#12553,.T.); #322424=ADVANCED_FACE('',(#27580),#12554,.T.); #322425=ADVANCED_FACE('',(#27581),#12555,.T.); #322426=ADVANCED_FACE('',(#27582),#12556,.T.); #322427=ADVANCED_FACE('',(#27583),#12557,.T.); #322428=ADVANCED_FACE('',(#27584),#12558,.T.); #322429=ADVANCED_FACE('',(#27585),#12559,.T.); #322430=ADVANCED_FACE('',(#27586),#12560,.T.); #322431=ADVANCED_FACE('',(#27587),#12561,.T.); #322432=ADVANCED_FACE('',(#27588),#12562,.T.); #322433=ADVANCED_FACE('',(#27589),#12563,.T.); #322434=ADVANCED_FACE('',(#27590),#12564,.T.); #322435=ADVANCED_FACE('',(#27591),#12565,.T.); #322436=ADVANCED_FACE('',(#27592),#12566,.T.); #322437=ADVANCED_FACE('',(#27593),#12567,.T.); #322438=ADVANCED_FACE('',(#27594),#12568,.T.); #322439=ADVANCED_FACE('',(#27595),#12569,.T.); #322440=ADVANCED_FACE('',(#27596),#12570,.T.); #322441=ADVANCED_FACE('',(#27597),#12571,.T.); #322442=ADVANCED_FACE('',(#27598),#12572,.T.); #322443=ADVANCED_FACE('',(#27599),#12573,.T.); #322444=ADVANCED_FACE('',(#27600),#12574,.T.); #322445=ADVANCED_FACE('',(#27601),#12575,.T.); #322446=ADVANCED_FACE('',(#27602),#12576,.T.); #322447=ADVANCED_FACE('',(#27603),#12577,.T.); #322448=ADVANCED_FACE('',(#27604),#12578,.T.); #322449=ADVANCED_FACE('',(#27605),#12579,.T.); #322450=ADVANCED_FACE('',(#27606),#12580,.T.); #322451=ADVANCED_FACE('',(#27607),#12581,.T.); #322452=ADVANCED_FACE('',(#27608),#12582,.T.); #322453=ADVANCED_FACE('',(#27609),#12583,.T.); #322454=ADVANCED_FACE('',(#27610),#12584,.T.); #322455=ADVANCED_FACE('',(#27611),#12585,.T.); #322456=ADVANCED_FACE('',(#27612),#12586,.T.); #322457=ADVANCED_FACE('',(#27613),#12587,.T.); #322458=ADVANCED_FACE('',(#27614),#12588,.T.); #322459=ADVANCED_FACE('',(#27615),#12589,.T.); #322460=ADVANCED_FACE('',(#27616),#12590,.T.); #322461=ADVANCED_FACE('',(#27617),#12591,.T.); #322462=ADVANCED_FACE('',(#27618),#12592,.T.); #322463=ADVANCED_FACE('',(#27619),#12593,.T.); #322464=ADVANCED_FACE('',(#27620),#12594,.T.); #322465=ADVANCED_FACE('',(#27621),#12595,.T.); #322466=ADVANCED_FACE('',(#27622),#12596,.T.); #322467=ADVANCED_FACE('',(#27623),#12597,.T.); #322468=ADVANCED_FACE('',(#27624),#12598,.T.); #322469=ADVANCED_FACE('',(#27625),#12599,.T.); #322470=ADVANCED_FACE('',(#27626),#12600,.T.); #322471=ADVANCED_FACE('',(#27627),#12601,.T.); #322472=ADVANCED_FACE('',(#27628),#12602,.T.); #322473=ADVANCED_FACE('',(#27629),#12603,.T.); #322474=ADVANCED_FACE('',(#27630),#313897,.T.); #322475=ADVANCED_FACE('',(#27631),#12604,.T.); #322476=ADVANCED_FACE('',(#27632),#313898,.T.); #322477=ADVANCED_FACE('',(#27633),#12605,.T.); #322478=ADVANCED_FACE('',(#27634),#313899,.T.); #322479=ADVANCED_FACE('',(#27635,#5161,#5162,#5163,#5164,#5165,#5166,#5167, #5168,#5169,#5170,#5171,#5172,#5173,#5174,#5175,#5176,#5177,#5178,#5179, #5180,#5181,#5182,#5183,#5184,#5185,#5186,#5187,#5188,#5189,#5190,#5191), #12606,.T.); #322480=ADVANCED_FACE('',(#27636,#5192,#5193,#5194,#5195,#5196,#5197,#5198, #5199,#5200,#5201,#5202,#5203,#5204,#5205,#5206,#5207,#5208,#5209,#5210, #5211,#5212,#5213,#5214,#5215,#5216,#5217,#5218,#5219,#5220,#5221,#5222), #12607,.F.); #322481=ADVANCED_FACE('',(#27637),#313900,.F.); #322482=ADVANCED_FACE('',(#27638),#12608,.T.); #322483=ADVANCED_FACE('',(#27639),#12609,.T.); #322484=ADVANCED_FACE('',(#27640),#12610,.T.); #322485=ADVANCED_FACE('',(#27641),#12611,.T.); #322486=ADVANCED_FACE('',(#27642),#12612,.T.); #322487=ADVANCED_FACE('',(#27643),#12613,.T.); #322488=ADVANCED_FACE('',(#27644),#313901,.T.); #322489=ADVANCED_FACE('',(#27645),#12614,.T.); #322490=ADVANCED_FACE('',(#27646),#12615,.T.); #322491=ADVANCED_FACE('',(#27647),#313902,.T.); #322492=ADVANCED_FACE('',(#27648),#12616,.T.); #322493=ADVANCED_FACE('',(#27649),#313903,.T.); #322494=ADVANCED_FACE('',(#27650),#313904,.T.); #322495=ADVANCED_FACE('',(#27651),#12617,.T.); #322496=ADVANCED_FACE('',(#27652),#12618,.T.); #322497=ADVANCED_FACE('',(#27653),#313905,.T.); #322498=ADVANCED_FACE('',(#27654),#12619,.T.); #322499=ADVANCED_FACE('',(#27655),#12620,.T.); #322500=ADVANCED_FACE('',(#27656),#313906,.T.); #322501=ADVANCED_FACE('',(#27657),#12621,.T.); #322502=ADVANCED_FACE('',(#27658),#12622,.T.); #322503=ADVANCED_FACE('',(#27659,#5223),#12623,.T.); #322504=ADVANCED_FACE('',(#27660,#5224),#12624,.F.); #322505=ADVANCED_FACE('',(#27661),#313907,.F.); #322506=ADVANCED_FACE('',(#27662),#313908,.F.); #322507=ADVANCED_FACE('',(#27663),#313909,.F.); #322508=ADVANCED_FACE('',(#27664),#313910,.F.); #322509=ADVANCED_FACE('',(#27665),#313911,.F.); #322510=ADVANCED_FACE('',(#27666),#313912,.F.); #322511=ADVANCED_FACE('',(#27667),#313913,.F.); #322512=ADVANCED_FACE('',(#27668),#313914,.F.); #322513=ADVANCED_FACE('',(#27669),#313915,.F.); #322514=ADVANCED_FACE('',(#27670),#313916,.F.); #322515=ADVANCED_FACE('',(#27671),#313917,.F.); #322516=ADVANCED_FACE('',(#27672),#313918,.F.); #322517=ADVANCED_FACE('',(#27673),#313919,.F.); #322518=ADVANCED_FACE('',(#27674),#313920,.F.); #322519=ADVANCED_FACE('',(#27675),#313921,.F.); #322520=ADVANCED_FACE('',(#27676),#313922,.F.); #322521=ADVANCED_FACE('',(#27677),#313923,.F.); #322522=ADVANCED_FACE('',(#27678),#313924,.F.); #322523=ADVANCED_FACE('',(#27679),#313925,.F.); #322524=ADVANCED_FACE('',(#27680),#313926,.F.); #322525=ADVANCED_FACE('',(#27681),#313927,.F.); #322526=ADVANCED_FACE('',(#27682),#313928,.F.); #322527=ADVANCED_FACE('',(#27683),#313929,.F.); #322528=ADVANCED_FACE('',(#27684),#313930,.F.); #322529=ADVANCED_FACE('',(#27685),#313931,.F.); #322530=ADVANCED_FACE('',(#27686),#313932,.F.); #322531=ADVANCED_FACE('',(#27687),#313933,.F.); #322532=ADVANCED_FACE('',(#27688),#313934,.F.); #322533=ADVANCED_FACE('',(#27689),#313935,.F.); #322534=ADVANCED_FACE('',(#27690),#313936,.F.); #322535=ADVANCED_FACE('',(#27691),#313937,.F.); #322536=ADVANCED_FACE('',(#27692),#12625,.T.); #322537=ADVANCED_FACE('',(#27693),#313938,.T.); #322538=ADVANCED_FACE('',(#27694),#12626,.T.); #322539=ADVANCED_FACE('',(#27695),#313939,.T.); #322540=ADVANCED_FACE('',(#27696),#12627,.T.); #322541=ADVANCED_FACE('',(#27697),#313940,.T.); #322542=ADVANCED_FACE('',(#27698),#12628,.T.); #322543=ADVANCED_FACE('',(#27699),#12629,.T.); #322544=ADVANCED_FACE('',(#27700),#12630,.T.); #322545=ADVANCED_FACE('',(#27701),#12631,.T.); #322546=ADVANCED_FACE('',(#27702),#12632,.T.); #322547=ADVANCED_FACE('',(#27703),#12633,.T.); #322548=ADVANCED_FACE('',(#27704),#12634,.T.); #322549=ADVANCED_FACE('',(#27705),#12635,.T.); #322550=ADVANCED_FACE('',(#27706),#12636,.T.); #322551=ADVANCED_FACE('',(#27707),#12637,.T.); #322552=ADVANCED_FACE('',(#27708),#12638,.T.); #322553=ADVANCED_FACE('',(#27709),#12639,.T.); #322554=ADVANCED_FACE('',(#27710),#12640,.T.); #322555=ADVANCED_FACE('',(#27711),#12641,.T.); #322556=ADVANCED_FACE('',(#27712),#12642,.T.); #322557=ADVANCED_FACE('',(#27713),#12643,.T.); #322558=ADVANCED_FACE('',(#27714),#12644,.T.); #322559=ADVANCED_FACE('',(#27715),#12645,.T.); #322560=ADVANCED_FACE('',(#27716),#12646,.T.); #322561=ADVANCED_FACE('',(#27717),#12647,.T.); #322562=ADVANCED_FACE('',(#27718),#12648,.T.); #322563=ADVANCED_FACE('',(#27719),#12649,.T.); #322564=ADVANCED_FACE('',(#27720),#12650,.T.); #322565=ADVANCED_FACE('',(#27721),#12651,.T.); #322566=ADVANCED_FACE('',(#27722),#12652,.T.); #322567=ADVANCED_FACE('',(#27723),#12653,.T.); #322568=ADVANCED_FACE('',(#27724),#12654,.T.); #322569=ADVANCED_FACE('',(#27725),#12655,.T.); #322570=ADVANCED_FACE('',(#27726),#12656,.T.); #322571=ADVANCED_FACE('',(#27727),#12657,.T.); #322572=ADVANCED_FACE('',(#27728),#12658,.T.); #322573=ADVANCED_FACE('',(#27729),#12659,.T.); #322574=ADVANCED_FACE('',(#27730),#12660,.T.); #322575=ADVANCED_FACE('',(#27731),#12661,.T.); #322576=ADVANCED_FACE('',(#27732),#12662,.T.); #322577=ADVANCED_FACE('',(#27733),#12663,.T.); #322578=ADVANCED_FACE('',(#27734),#12664,.T.); #322579=ADVANCED_FACE('',(#27735),#12665,.T.); #322580=ADVANCED_FACE('',(#27736),#12666,.T.); #322581=ADVANCED_FACE('',(#27737),#12667,.T.); #322582=ADVANCED_FACE('',(#27738),#12668,.T.); #322583=ADVANCED_FACE('',(#27739),#12669,.T.); #322584=ADVANCED_FACE('',(#27740),#12670,.T.); #322585=ADVANCED_FACE('',(#27741),#12671,.T.); #322586=ADVANCED_FACE('',(#27742),#12672,.T.); #322587=ADVANCED_FACE('',(#27743),#12673,.T.); #322588=ADVANCED_FACE('',(#27744),#12674,.T.); #322589=ADVANCED_FACE('',(#27745),#12675,.T.); #322590=ADVANCED_FACE('',(#27746),#12676,.T.); #322591=ADVANCED_FACE('',(#27747),#12677,.T.); #322592=ADVANCED_FACE('',(#27748),#12678,.T.); #322593=ADVANCED_FACE('',(#27749),#12679,.T.); #322594=ADVANCED_FACE('',(#27750),#12680,.T.); #322595=ADVANCED_FACE('',(#27751),#12681,.T.); #322596=ADVANCED_FACE('',(#27752),#12682,.T.); #322597=ADVANCED_FACE('',(#27753),#12683,.T.); #322598=ADVANCED_FACE('',(#27754),#12684,.T.); #322599=ADVANCED_FACE('',(#27755),#12685,.T.); #322600=ADVANCED_FACE('',(#27756),#12686,.T.); #322601=ADVANCED_FACE('',(#27757),#12687,.T.); #322602=ADVANCED_FACE('',(#27758),#12688,.T.); #322603=ADVANCED_FACE('',(#27759),#12689,.T.); #322604=ADVANCED_FACE('',(#27760),#12690,.T.); #322605=ADVANCED_FACE('',(#27761),#12691,.T.); #322606=ADVANCED_FACE('',(#27762),#12692,.T.); #322607=ADVANCED_FACE('',(#27763),#12693,.T.); #322608=ADVANCED_FACE('',(#27764),#12694,.T.); #322609=ADVANCED_FACE('',(#27765),#313941,.T.); #322610=ADVANCED_FACE('',(#27766),#12695,.T.); #322611=ADVANCED_FACE('',(#27767),#313942,.T.); #322612=ADVANCED_FACE('',(#27768),#12696,.T.); #322613=ADVANCED_FACE('',(#27769),#12697,.T.); #322614=ADVANCED_FACE('',(#27770),#12698,.T.); #322615=ADVANCED_FACE('',(#27771),#313943,.T.); #322616=ADVANCED_FACE('',(#27772,#5225,#5226,#5227,#5228,#5229,#5230,#5231, #5232,#5233,#5234,#5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242,#5243, #5244,#5245,#5246,#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255), #12699,.T.); #322617=ADVANCED_FACE('',(#27773,#5256,#5257,#5258,#5259,#5260,#5261,#5262, #5263,#5264,#5265,#5266,#5267,#5268,#5269,#5270,#5271,#5272,#5273,#5274, #5275,#5276,#5277,#5278,#5279,#5280,#5281,#5282,#5283,#5284,#5285,#5286), #12700,.F.); #322618=ADVANCED_FACE('',(#27774),#313944,.F.); #322619=ADVANCED_FACE('',(#27775),#12701,.T.); #322620=ADVANCED_FACE('',(#27776),#12702,.T.); #322621=ADVANCED_FACE('',(#27777),#12703,.T.); #322622=ADVANCED_FACE('',(#27778),#12704,.T.); #322623=ADVANCED_FACE('',(#27779),#12705,.T.); #322624=ADVANCED_FACE('',(#27780),#313945,.T.); #322625=ADVANCED_FACE('',(#27781),#12706,.T.); #322626=ADVANCED_FACE('',(#27782),#12707,.T.); #322627=ADVANCED_FACE('',(#27783),#313946,.T.); #322628=ADVANCED_FACE('',(#27784),#12708,.T.); #322629=ADVANCED_FACE('',(#27785),#12709,.T.); #322630=ADVANCED_FACE('',(#27786),#313947,.T.); #322631=ADVANCED_FACE('',(#27787),#313948,.T.); #322632=ADVANCED_FACE('',(#27788),#12710,.T.); #322633=ADVANCED_FACE('',(#27789),#313949,.T.); #322634=ADVANCED_FACE('',(#27790),#12711,.T.); #322635=ADVANCED_FACE('',(#27791),#12712,.T.); #322636=ADVANCED_FACE('',(#27792),#313950,.T.); #322637=ADVANCED_FACE('',(#27793),#12713,.T.); #322638=ADVANCED_FACE('',(#27794),#12714,.T.); #322639=ADVANCED_FACE('',(#27795),#12715,.T.); #322640=ADVANCED_FACE('',(#27796,#5287),#12716,.T.); #322641=ADVANCED_FACE('',(#27797,#5288),#12717,.F.); #322642=ADVANCED_FACE('',(#27798),#313951,.F.); #322643=ADVANCED_FACE('',(#27799),#313952,.F.); #322644=ADVANCED_FACE('',(#27800),#313953,.F.); #322645=ADVANCED_FACE('',(#27801),#313954,.F.); #322646=ADVANCED_FACE('',(#27802),#313955,.F.); #322647=ADVANCED_FACE('',(#27803),#313956,.F.); #322648=ADVANCED_FACE('',(#27804),#313957,.F.); #322649=ADVANCED_FACE('',(#27805),#313958,.F.); #322650=ADVANCED_FACE('',(#27806),#313959,.F.); #322651=ADVANCED_FACE('',(#27807),#313960,.F.); #322652=ADVANCED_FACE('',(#27808),#313961,.F.); #322653=ADVANCED_FACE('',(#27809),#313962,.F.); #322654=ADVANCED_FACE('',(#27810),#313963,.F.); #322655=ADVANCED_FACE('',(#27811),#313964,.F.); #322656=ADVANCED_FACE('',(#27812),#313965,.F.); #322657=ADVANCED_FACE('',(#27813),#313966,.F.); #322658=ADVANCED_FACE('',(#27814),#12718,.T.); #322659=ADVANCED_FACE('',(#27815),#12719,.T.); #322660=ADVANCED_FACE('',(#27816),#12720,.T.); #322661=ADVANCED_FACE('',(#27817),#12721,.T.); #322662=ADVANCED_FACE('',(#27818),#12722,.T.); #322663=ADVANCED_FACE('',(#27819),#12723,.T.); #322664=ADVANCED_FACE('',(#27820),#12724,.T.); #322665=ADVANCED_FACE('',(#27821),#12725,.T.); #322666=ADVANCED_FACE('',(#27822),#12726,.T.); #322667=ADVANCED_FACE('',(#27823),#12727,.T.); #322668=ADVANCED_FACE('',(#27824),#12728,.T.); #322669=ADVANCED_FACE('',(#27825),#12729,.T.); #322670=ADVANCED_FACE('',(#27826),#12730,.T.); #322671=ADVANCED_FACE('',(#27827),#12731,.T.); #322672=ADVANCED_FACE('',(#27828),#12732,.T.); #322673=ADVANCED_FACE('',(#27829),#12733,.T.); #322674=ADVANCED_FACE('',(#27830),#12734,.T.); #322675=ADVANCED_FACE('',(#27831),#12735,.T.); #322676=ADVANCED_FACE('',(#27832),#12736,.T.); #322677=ADVANCED_FACE('',(#27833),#12737,.T.); #322678=ADVANCED_FACE('',(#27834),#12738,.T.); #322679=ADVANCED_FACE('',(#27835),#12739,.T.); #322680=ADVANCED_FACE('',(#27836),#12740,.T.); #322681=ADVANCED_FACE('',(#27837),#12741,.T.); #322682=ADVANCED_FACE('',(#27838),#12742,.T.); #322683=ADVANCED_FACE('',(#27839),#12743,.T.); #322684=ADVANCED_FACE('',(#27840),#12744,.T.); #322685=ADVANCED_FACE('',(#27841),#12745,.T.); #322686=ADVANCED_FACE('',(#27842),#12746,.T.); #322687=ADVANCED_FACE('',(#27843),#12747,.T.); #322688=ADVANCED_FACE('',(#27844),#12748,.T.); #322689=ADVANCED_FACE('',(#27845),#12749,.T.); #322690=ADVANCED_FACE('',(#27846),#12750,.T.); #322691=ADVANCED_FACE('',(#27847),#12751,.T.); #322692=ADVANCED_FACE('',(#27848),#12752,.T.); #322693=ADVANCED_FACE('',(#27849),#12753,.T.); #322694=ADVANCED_FACE('',(#27850),#12754,.T.); #322695=ADVANCED_FACE('',(#27851),#12755,.T.); #322696=ADVANCED_FACE('',(#27852),#12756,.T.); #322697=ADVANCED_FACE('',(#27853),#12757,.T.); #322698=ADVANCED_FACE('',(#27854),#12758,.T.); #322699=ADVANCED_FACE('',(#27855),#12759,.T.); #322700=ADVANCED_FACE('',(#27856),#12760,.T.); #322701=ADVANCED_FACE('',(#27857),#12761,.T.); #322702=ADVANCED_FACE('',(#27858),#12762,.T.); #322703=ADVANCED_FACE('',(#27859),#12763,.T.); #322704=ADVANCED_FACE('',(#27860),#12764,.T.); #322705=ADVANCED_FACE('',(#27861),#12765,.T.); #322706=ADVANCED_FACE('',(#27862),#12766,.T.); #322707=ADVANCED_FACE('',(#27863),#12767,.T.); #322708=ADVANCED_FACE('',(#27864),#12768,.T.); #322709=ADVANCED_FACE('',(#27865),#12769,.T.); #322710=ADVANCED_FACE('',(#27866),#12770,.T.); #322711=ADVANCED_FACE('',(#27867),#12771,.T.); #322712=ADVANCED_FACE('',(#27868),#12772,.T.); #322713=ADVANCED_FACE('',(#27869),#12773,.T.); #322714=ADVANCED_FACE('',(#27870),#12774,.T.); #322715=ADVANCED_FACE('',(#27871),#12775,.T.); #322716=ADVANCED_FACE('',(#27872),#12776,.T.); #322717=ADVANCED_FACE('',(#27873),#12777,.T.); #322718=ADVANCED_FACE('',(#27874),#12778,.T.); #322719=ADVANCED_FACE('',(#27875),#12779,.T.); #322720=ADVANCED_FACE('',(#27876),#12780,.T.); #322721=ADVANCED_FACE('',(#27877),#12781,.T.); #322722=ADVANCED_FACE('',(#27878),#12782,.T.); #322723=ADVANCED_FACE('',(#27879),#12783,.T.); #322724=ADVANCED_FACE('',(#27880),#12784,.T.); #322725=ADVANCED_FACE('',(#27881),#12785,.T.); #322726=ADVANCED_FACE('',(#27882),#12786,.T.); #322727=ADVANCED_FACE('',(#27883),#12787,.T.); #322728=ADVANCED_FACE('',(#27884),#12788,.T.); #322729=ADVANCED_FACE('',(#27885),#12789,.T.); #322730=ADVANCED_FACE('',(#27886),#12790,.T.); #322731=ADVANCED_FACE('',(#27887),#12791,.T.); #322732=ADVANCED_FACE('',(#27888),#12792,.T.); #322733=ADVANCED_FACE('',(#27889),#12793,.T.); #322734=ADVANCED_FACE('',(#27890),#12794,.T.); #322735=ADVANCED_FACE('',(#27891),#12795,.T.); #322736=ADVANCED_FACE('',(#27892),#12796,.T.); #322737=ADVANCED_FACE('',(#27893),#12797,.T.); #322738=ADVANCED_FACE('',(#27894),#12798,.T.); #322739=ADVANCED_FACE('',(#27895),#12799,.T.); #322740=ADVANCED_FACE('',(#27896),#12800,.T.); #322741=ADVANCED_FACE('',(#27897),#12801,.T.); #322742=ADVANCED_FACE('',(#27898),#12802,.T.); #322743=ADVANCED_FACE('',(#27899),#12803,.T.); #322744=ADVANCED_FACE('',(#27900),#12804,.T.); #322745=ADVANCED_FACE('',(#27901),#12805,.T.); #322746=ADVANCED_FACE('',(#27902),#12806,.T.); #322747=ADVANCED_FACE('',(#27903),#12807,.T.); #322748=ADVANCED_FACE('',(#27904),#12808,.T.); #322749=ADVANCED_FACE('',(#27905),#12809,.T.); #322750=ADVANCED_FACE('',(#27906),#12810,.T.); #322751=ADVANCED_FACE('',(#27907),#12811,.T.); #322752=ADVANCED_FACE('',(#27908),#12812,.T.); #322753=ADVANCED_FACE('',(#27909),#12813,.T.); #322754=ADVANCED_FACE('',(#27910),#12814,.T.); #322755=ADVANCED_FACE('',(#27911),#12815,.T.); #322756=ADVANCED_FACE('',(#27912),#12816,.T.); #322757=ADVANCED_FACE('',(#27913),#12817,.T.); #322758=ADVANCED_FACE('',(#27914),#12818,.T.); #322759=ADVANCED_FACE('',(#27915),#12819,.T.); #322760=ADVANCED_FACE('',(#27916),#12820,.T.); #322761=ADVANCED_FACE('',(#27917),#12821,.T.); #322762=ADVANCED_FACE('',(#27918),#12822,.T.); #322763=ADVANCED_FACE('',(#27919),#12823,.T.); #322764=ADVANCED_FACE('',(#27920),#12824,.T.); #322765=ADVANCED_FACE('',(#27921),#12825,.T.); #322766=ADVANCED_FACE('',(#27922),#12826,.T.); #322767=ADVANCED_FACE('',(#27923),#12827,.T.); #322768=ADVANCED_FACE('',(#27924),#12828,.T.); #322769=ADVANCED_FACE('',(#27925),#12829,.T.); #322770=ADVANCED_FACE('',(#27926),#12830,.T.); #322771=ADVANCED_FACE('',(#27927),#12831,.T.); #322772=ADVANCED_FACE('',(#27928),#12832,.T.); #322773=ADVANCED_FACE('',(#27929),#12833,.T.); #322774=ADVANCED_FACE('',(#27930),#12834,.T.); #322775=ADVANCED_FACE('',(#27931),#12835,.T.); #322776=ADVANCED_FACE('',(#27932),#12836,.T.); #322777=ADVANCED_FACE('',(#27933),#12837,.T.); #322778=ADVANCED_FACE('',(#27934),#12838,.T.); #322779=ADVANCED_FACE('',(#27935),#12839,.T.); #322780=ADVANCED_FACE('',(#27936),#12840,.T.); #322781=ADVANCED_FACE('',(#27937),#12841,.T.); #322782=ADVANCED_FACE('',(#27938),#12842,.T.); #322783=ADVANCED_FACE('',(#27939),#12843,.T.); #322784=ADVANCED_FACE('',(#27940),#12844,.T.); #322785=ADVANCED_FACE('',(#27941),#12845,.T.); #322786=ADVANCED_FACE('',(#27942),#12846,.T.); #322787=ADVANCED_FACE('',(#27943),#12847,.T.); #322788=ADVANCED_FACE('',(#27944),#12848,.T.); #322789=ADVANCED_FACE('',(#27945),#12849,.T.); #322790=ADVANCED_FACE('',(#27946),#12850,.T.); #322791=ADVANCED_FACE('',(#27947),#12851,.T.); #322792=ADVANCED_FACE('',(#27948),#12852,.T.); #322793=ADVANCED_FACE('',(#27949),#12853,.T.); #322794=ADVANCED_FACE('',(#27950),#12854,.T.); #322795=ADVANCED_FACE('',(#27951),#12855,.T.); #322796=ADVANCED_FACE('',(#27952),#12856,.T.); #322797=ADVANCED_FACE('',(#27953),#12857,.T.); #322798=ADVANCED_FACE('',(#27954),#12858,.T.); #322799=ADVANCED_FACE('',(#27955),#12859,.T.); #322800=ADVANCED_FACE('',(#27956),#12860,.T.); #322801=ADVANCED_FACE('',(#27957),#12861,.T.); #322802=ADVANCED_FACE('',(#27958),#12862,.T.); #322803=ADVANCED_FACE('',(#27959),#12863,.T.); #322804=ADVANCED_FACE('',(#27960),#12864,.T.); #322805=ADVANCED_FACE('',(#27961),#12865,.T.); #322806=ADVANCED_FACE('',(#27962),#12866,.T.); #322807=ADVANCED_FACE('',(#27963),#12867,.T.); #322808=ADVANCED_FACE('',(#27964),#12868,.T.); #322809=ADVANCED_FACE('',(#27965),#12869,.T.); #322810=ADVANCED_FACE('',(#27966),#12870,.T.); #322811=ADVANCED_FACE('',(#27967),#12871,.T.); #322812=ADVANCED_FACE('',(#27968),#12872,.T.); #322813=ADVANCED_FACE('',(#27969),#12873,.T.); #322814=ADVANCED_FACE('',(#27970),#12874,.T.); #322815=ADVANCED_FACE('',(#27971),#12875,.T.); #322816=ADVANCED_FACE('',(#27972),#12876,.T.); #322817=ADVANCED_FACE('',(#27973),#12877,.T.); #322818=ADVANCED_FACE('',(#27974),#12878,.T.); #322819=ADVANCED_FACE('',(#27975),#12879,.T.); #322820=ADVANCED_FACE('',(#27976),#12880,.T.); #322821=ADVANCED_FACE('',(#27977),#12881,.T.); #322822=ADVANCED_FACE('',(#27978),#12882,.T.); #322823=ADVANCED_FACE('',(#27979),#12883,.T.); #322824=ADVANCED_FACE('',(#27980),#12884,.T.); #322825=ADVANCED_FACE('',(#27981),#12885,.T.); #322826=ADVANCED_FACE('',(#27982),#12886,.T.); #322827=ADVANCED_FACE('',(#27983),#12887,.T.); #322828=ADVANCED_FACE('',(#27984),#12888,.T.); #322829=ADVANCED_FACE('',(#27985),#12889,.T.); #322830=ADVANCED_FACE('',(#27986),#12890,.T.); #322831=ADVANCED_FACE('',(#27987),#12891,.T.); #322832=ADVANCED_FACE('',(#27988),#12892,.T.); #322833=ADVANCED_FACE('',(#27989),#12893,.T.); #322834=ADVANCED_FACE('',(#27990),#12894,.T.); #322835=ADVANCED_FACE('',(#27991),#12895,.T.); #322836=ADVANCED_FACE('',(#27992),#12896,.T.); #322837=ADVANCED_FACE('',(#27993),#12897,.T.); #322838=ADVANCED_FACE('',(#27994),#12898,.T.); #322839=ADVANCED_FACE('',(#27995),#12899,.T.); #322840=ADVANCED_FACE('',(#27996),#12900,.T.); #322841=ADVANCED_FACE('',(#27997),#12901,.T.); #322842=ADVANCED_FACE('',(#27998),#12902,.T.); #322843=ADVANCED_FACE('',(#27999),#12903,.T.); #322844=ADVANCED_FACE('',(#28000),#12904,.T.); #322845=ADVANCED_FACE('',(#28001),#12905,.T.); #322846=ADVANCED_FACE('',(#28002),#12906,.T.); #322847=ADVANCED_FACE('',(#28003),#12907,.T.); #322848=ADVANCED_FACE('',(#28004),#12908,.T.); #322849=ADVANCED_FACE('',(#28005),#12909,.T.); #322850=ADVANCED_FACE('',(#28006),#12910,.T.); #322851=ADVANCED_FACE('',(#28007),#12911,.T.); #322852=ADVANCED_FACE('',(#28008),#12912,.T.); #322853=ADVANCED_FACE('',(#28009),#12913,.T.); #322854=ADVANCED_FACE('',(#28010),#12914,.T.); #322855=ADVANCED_FACE('',(#28011),#12915,.T.); #322856=ADVANCED_FACE('',(#28012),#12916,.T.); #322857=ADVANCED_FACE('',(#28013),#12917,.T.); #322858=ADVANCED_FACE('',(#28014),#12918,.T.); #322859=ADVANCED_FACE('',(#28015),#12919,.T.); #322860=ADVANCED_FACE('',(#28016),#12920,.T.); #322861=ADVANCED_FACE('',(#28017),#12921,.T.); #322862=ADVANCED_FACE('',(#28018),#12922,.T.); #322863=ADVANCED_FACE('',(#28019),#12923,.T.); #322864=ADVANCED_FACE('',(#28020),#12924,.T.); #322865=ADVANCED_FACE('',(#28021),#12925,.T.); #322866=ADVANCED_FACE('',(#28022),#12926,.T.); #322867=ADVANCED_FACE('',(#28023),#12927,.T.); #322868=ADVANCED_FACE('',(#28024),#12928,.T.); #322869=ADVANCED_FACE('',(#28025),#12929,.T.); #322870=ADVANCED_FACE('',(#28026),#12930,.T.); #322871=ADVANCED_FACE('',(#28027),#12931,.T.); #322872=ADVANCED_FACE('',(#28028),#12932,.T.); #322873=ADVANCED_FACE('',(#28029),#12933,.T.); #322874=ADVANCED_FACE('',(#28030),#313967,.T.); #322875=ADVANCED_FACE('',(#28031),#12934,.T.); #322876=ADVANCED_FACE('',(#28032),#313968,.T.); #322877=ADVANCED_FACE('',(#28033),#12935,.T.); #322878=ADVANCED_FACE('',(#28034),#12936,.T.); #322879=ADVANCED_FACE('',(#28035),#12937,.T.); #322880=ADVANCED_FACE('',(#28036),#12938,.T.); #322881=ADVANCED_FACE('',(#28037),#12939,.T.); #322882=ADVANCED_FACE('',(#28038),#12940,.T.); #322883=ADVANCED_FACE('',(#28039),#12941,.T.); #322884=ADVANCED_FACE('',(#28040),#12942,.T.); #322885=ADVANCED_FACE('',(#28041),#313969,.T.); #322886=ADVANCED_FACE('',(#28042),#12943,.T.); #322887=ADVANCED_FACE('',(#28043),#12944,.T.); #322888=ADVANCED_FACE('',(#28044),#313970,.T.); #322889=ADVANCED_FACE('',(#28045),#12945,.T.); #322890=ADVANCED_FACE('',(#28046),#12946,.T.); #322891=ADVANCED_FACE('',(#28047),#12947,.T.); #322892=ADVANCED_FACE('',(#28048),#12948,.T.); #322893=ADVANCED_FACE('',(#28049),#12949,.T.); #322894=ADVANCED_FACE('',(#28050),#12950,.T.); #322895=ADVANCED_FACE('',(#28051),#12951,.T.); #322896=ADVANCED_FACE('',(#28052),#12952,.T.); #322897=ADVANCED_FACE('',(#28053),#12953,.T.); #322898=ADVANCED_FACE('',(#28054),#12954,.T.); #322899=ADVANCED_FACE('',(#28055),#12955,.T.); #322900=ADVANCED_FACE('',(#28056),#12956,.T.); #322901=ADVANCED_FACE('',(#28057),#12957,.T.); #322902=ADVANCED_FACE('',(#28058),#12958,.T.); #322903=ADVANCED_FACE('',(#28059),#12959,.T.); #322904=ADVANCED_FACE('',(#28060),#12960,.T.); #322905=ADVANCED_FACE('',(#28061),#12961,.T.); #322906=ADVANCED_FACE('',(#28062),#12962,.T.); #322907=ADVANCED_FACE('',(#28063),#12963,.T.); #322908=ADVANCED_FACE('',(#28064),#12964,.T.); #322909=ADVANCED_FACE('',(#28065),#12965,.T.); #322910=ADVANCED_FACE('',(#28066),#12966,.T.); #322911=ADVANCED_FACE('',(#28067),#12967,.T.); #322912=ADVANCED_FACE('',(#28068),#12968,.T.); #322913=ADVANCED_FACE('',(#28069),#12969,.T.); #322914=ADVANCED_FACE('',(#28070),#12970,.T.); #322915=ADVANCED_FACE('',(#28071),#12971,.T.); #322916=ADVANCED_FACE('',(#28072),#12972,.T.); #322917=ADVANCED_FACE('',(#28073),#12973,.T.); #322918=ADVANCED_FACE('',(#28074),#12974,.T.); #322919=ADVANCED_FACE('',(#28075),#12975,.T.); #322920=ADVANCED_FACE('',(#28076),#12976,.T.); #322921=ADVANCED_FACE('',(#28077),#12977,.T.); #322922=ADVANCED_FACE('',(#28078),#12978,.T.); #322923=ADVANCED_FACE('',(#28079),#12979,.T.); #322924=ADVANCED_FACE('',(#28080),#12980,.T.); #322925=ADVANCED_FACE('',(#28081),#12981,.T.); #322926=ADVANCED_FACE('',(#28082),#12982,.T.); #322927=ADVANCED_FACE('',(#28083),#12983,.T.); #322928=ADVANCED_FACE('',(#28084),#12984,.T.); #322929=ADVANCED_FACE('',(#28085),#12985,.T.); #322930=ADVANCED_FACE('',(#28086),#12986,.T.); #322931=ADVANCED_FACE('',(#28087),#12987,.T.); #322932=ADVANCED_FACE('',(#28088),#12988,.T.); #322933=ADVANCED_FACE('',(#28089),#12989,.T.); #322934=ADVANCED_FACE('',(#28090),#12990,.T.); #322935=ADVANCED_FACE('',(#28091),#12991,.T.); #322936=ADVANCED_FACE('',(#28092),#12992,.T.); #322937=ADVANCED_FACE('',(#28093),#12993,.T.); #322938=ADVANCED_FACE('',(#28094),#12994,.T.); #322939=ADVANCED_FACE('',(#28095),#12995,.T.); #322940=ADVANCED_FACE('',(#28096),#12996,.T.); #322941=ADVANCED_FACE('',(#28097),#12997,.T.); #322942=ADVANCED_FACE('',(#28098),#12998,.T.); #322943=ADVANCED_FACE('',(#28099),#12999,.T.); #322944=ADVANCED_FACE('',(#28100),#13000,.T.); #322945=ADVANCED_FACE('',(#28101),#13001,.T.); #322946=ADVANCED_FACE('',(#28102),#13002,.T.); #322947=ADVANCED_FACE('',(#28103),#13003,.T.); #322948=ADVANCED_FACE('',(#28104),#13004,.T.); #322949=ADVANCED_FACE('',(#28105),#13005,.T.); #322950=ADVANCED_FACE('',(#28106),#13006,.T.); #322951=ADVANCED_FACE('',(#28107),#13007,.T.); #322952=ADVANCED_FACE('',(#28108),#13008,.T.); #322953=ADVANCED_FACE('',(#28109),#13009,.T.); #322954=ADVANCED_FACE('',(#28110),#13010,.T.); #322955=ADVANCED_FACE('',(#28111),#13011,.T.); #322956=ADVANCED_FACE('',(#28112),#13012,.T.); #322957=ADVANCED_FACE('',(#28113),#13013,.T.); #322958=ADVANCED_FACE('',(#28114),#13014,.T.); #322959=ADVANCED_FACE('',(#28115),#13015,.T.); #322960=ADVANCED_FACE('',(#28116),#13016,.T.); #322961=ADVANCED_FACE('',(#28117),#13017,.T.); #322962=ADVANCED_FACE('',(#28118),#13018,.T.); #322963=ADVANCED_FACE('',(#28119),#13019,.T.); #322964=ADVANCED_FACE('',(#28120),#13020,.T.); #322965=ADVANCED_FACE('',(#28121),#13021,.T.); #322966=ADVANCED_FACE('',(#28122),#13022,.T.); #322967=ADVANCED_FACE('',(#28123),#13023,.T.); #322968=ADVANCED_FACE('',(#28124),#13024,.T.); #322969=ADVANCED_FACE('',(#28125),#13025,.T.); #322970=ADVANCED_FACE('',(#28126),#13026,.T.); #322971=ADVANCED_FACE('',(#28127),#13027,.T.); #322972=ADVANCED_FACE('',(#28128),#13028,.T.); #322973=ADVANCED_FACE('',(#28129,#5289,#5290,#5291,#5292,#5293,#5294,#5295, #5296,#5297,#5298,#5299,#5300,#5301,#5302,#5303,#5304,#5305),#13029,.T.); #322974=ADVANCED_FACE('',(#28130,#5306,#5307,#5308,#5309,#5310,#5311,#5312, #5313,#5314,#5315,#5316,#5317,#5318,#5319,#5320,#5321,#5322),#13030,.F.); #322975=ADVANCED_FACE('',(#28131),#13031,.T.); #322976=ADVANCED_FACE('',(#28132),#13032,.T.); #322977=ADVANCED_FACE('',(#28133),#13033,.T.); #322978=ADVANCED_FACE('',(#28134),#13034,.T.); #322979=ADVANCED_FACE('',(#28135),#13035,.T.); #322980=ADVANCED_FACE('',(#28136),#13036,.T.); #322981=ADVANCED_FACE('',(#28137),#13037,.T.); #322982=ADVANCED_FACE('',(#28138),#13038,.T.); #322983=ADVANCED_FACE('',(#28139),#13039,.T.); #322984=ADVANCED_FACE('',(#28140),#13040,.T.); #322985=ADVANCED_FACE('',(#28141),#13041,.T.); #322986=ADVANCED_FACE('',(#28142),#13042,.T.); #322987=ADVANCED_FACE('',(#28143),#13043,.T.); #322988=ADVANCED_FACE('',(#28144),#13044,.T.); #322989=ADVANCED_FACE('',(#28145),#13045,.T.); #322990=ADVANCED_FACE('',(#28146),#13046,.T.); #322991=ADVANCED_FACE('',(#28147),#13047,.T.); #322992=ADVANCED_FACE('',(#28148),#13048,.T.); #322993=ADVANCED_FACE('',(#28149),#13049,.T.); #322994=ADVANCED_FACE('',(#28150),#13050,.T.); #322995=ADVANCED_FACE('',(#28151),#13051,.T.); #322996=ADVANCED_FACE('',(#28152),#13052,.F.); #322997=ADVANCED_FACE('',(#28153),#13053,.T.); #322998=ADVANCED_FACE('',(#28154),#13054,.T.); #322999=ADVANCED_FACE('',(#28155),#13055,.T.); #323000=ADVANCED_FACE('',(#28156),#13056,.T.); #323001=ADVANCED_FACE('',(#28157),#13057,.T.); #323002=ADVANCED_FACE('',(#28158),#13058,.T.); #323003=ADVANCED_FACE('',(#28159),#13059,.T.); #323004=ADVANCED_FACE('',(#28160),#13060,.T.); #323005=ADVANCED_FACE('',(#28161),#13061,.T.); #323006=ADVANCED_FACE('',(#28162),#13062,.T.); #323007=ADVANCED_FACE('',(#28163),#13063,.T.); #323008=ADVANCED_FACE('',(#28164),#13064,.T.); #323009=ADVANCED_FACE('',(#28165),#13065,.T.); #323010=ADVANCED_FACE('',(#28166),#13066,.T.); #323011=ADVANCED_FACE('',(#28167),#13067,.T.); #323012=ADVANCED_FACE('',(#28168),#13068,.T.); #323013=ADVANCED_FACE('',(#28169),#13069,.T.); #323014=ADVANCED_FACE('',(#28170),#13070,.T.); #323015=ADVANCED_FACE('',(#28171),#13071,.T.); #323016=ADVANCED_FACE('',(#28172),#13072,.T.); #323017=ADVANCED_FACE('',(#28173),#13073,.T.); #323018=ADVANCED_FACE('',(#28174),#13074,.F.); #323019=ADVANCED_FACE('',(#28175),#13075,.T.); #323020=ADVANCED_FACE('',(#28176),#13076,.T.); #323021=ADVANCED_FACE('',(#28177),#13077,.T.); #323022=ADVANCED_FACE('',(#28178),#13078,.T.); #323023=ADVANCED_FACE('',(#28179),#13079,.T.); #323024=ADVANCED_FACE('',(#28180),#13080,.T.); #323025=ADVANCED_FACE('',(#28181),#13081,.T.); #323026=ADVANCED_FACE('',(#28182),#13082,.T.); #323027=ADVANCED_FACE('',(#28183),#13083,.T.); #323028=ADVANCED_FACE('',(#28184),#13084,.T.); #323029=ADVANCED_FACE('',(#28185),#13085,.T.); #323030=ADVANCED_FACE('',(#28186),#13086,.T.); #323031=ADVANCED_FACE('',(#28187),#13087,.T.); #323032=ADVANCED_FACE('',(#28188),#13088,.T.); #323033=ADVANCED_FACE('',(#28189),#13089,.T.); #323034=ADVANCED_FACE('',(#28190),#13090,.T.); #323035=ADVANCED_FACE('',(#28191),#13091,.T.); #323036=ADVANCED_FACE('',(#28192),#13092,.T.); #323037=ADVANCED_FACE('',(#28193),#13093,.T.); #323038=ADVANCED_FACE('',(#28194),#13094,.T.); #323039=ADVANCED_FACE('',(#28195),#13095,.T.); #323040=ADVANCED_FACE('',(#28196),#13096,.F.); #323041=ADVANCED_FACE('',(#28197),#13097,.T.); #323042=ADVANCED_FACE('',(#28198),#13098,.T.); #323043=ADVANCED_FACE('',(#28199),#13099,.T.); #323044=ADVANCED_FACE('',(#28200),#13100,.T.); #323045=ADVANCED_FACE('',(#28201),#13101,.T.); #323046=ADVANCED_FACE('',(#28202),#13102,.T.); #323047=ADVANCED_FACE('',(#28203),#13103,.T.); #323048=ADVANCED_FACE('',(#28204),#13104,.T.); #323049=ADVANCED_FACE('',(#28205),#13105,.T.); #323050=ADVANCED_FACE('',(#28206),#13106,.T.); #323051=ADVANCED_FACE('',(#28207),#13107,.T.); #323052=ADVANCED_FACE('',(#28208),#13108,.T.); #323053=ADVANCED_FACE('',(#28209),#13109,.T.); #323054=ADVANCED_FACE('',(#28210),#13110,.T.); #323055=ADVANCED_FACE('',(#28211),#13111,.T.); #323056=ADVANCED_FACE('',(#28212),#13112,.T.); #323057=ADVANCED_FACE('',(#28213),#13113,.T.); #323058=ADVANCED_FACE('',(#28214),#13114,.T.); #323059=ADVANCED_FACE('',(#28215),#13115,.T.); #323060=ADVANCED_FACE('',(#28216),#13116,.T.); #323061=ADVANCED_FACE('',(#28217),#13117,.T.); #323062=ADVANCED_FACE('',(#28218),#13118,.T.); #323063=ADVANCED_FACE('',(#28219),#13119,.T.); #323064=ADVANCED_FACE('',(#28220),#13120,.T.); #323065=ADVANCED_FACE('',(#28221),#13121,.F.); #323066=ADVANCED_FACE('',(#28222),#13122,.T.); #323067=ADVANCED_FACE('',(#28223),#13123,.T.); #323068=ADVANCED_FACE('',(#28224),#13124,.T.); #323069=ADVANCED_FACE('',(#28225),#13125,.T.); #323070=ADVANCED_FACE('',(#28226),#13126,.T.); #323071=ADVANCED_FACE('',(#28227),#13127,.T.); #323072=ADVANCED_FACE('',(#28228),#13128,.T.); #323073=ADVANCED_FACE('',(#28229),#13129,.T.); #323074=ADVANCED_FACE('',(#28230),#13130,.T.); #323075=ADVANCED_FACE('',(#28231),#13131,.T.); #323076=ADVANCED_FACE('',(#28232),#13132,.T.); #323077=ADVANCED_FACE('',(#28233),#13133,.T.); #323078=ADVANCED_FACE('',(#28234),#13134,.T.); #323079=ADVANCED_FACE('',(#28235),#13135,.T.); #323080=ADVANCED_FACE('',(#28236),#13136,.T.); #323081=ADVANCED_FACE('',(#28237),#13137,.T.); #323082=ADVANCED_FACE('',(#28238),#13138,.T.); #323083=ADVANCED_FACE('',(#28239),#13139,.T.); #323084=ADVANCED_FACE('',(#28240),#13140,.T.); #323085=ADVANCED_FACE('',(#28241),#13141,.T.); #323086=ADVANCED_FACE('',(#28242),#13142,.T.); #323087=ADVANCED_FACE('',(#28243),#13143,.F.); #323088=ADVANCED_FACE('',(#28244),#13144,.T.); #323089=ADVANCED_FACE('',(#28245),#13145,.T.); #323090=ADVANCED_FACE('',(#28246),#13146,.T.); #323091=ADVANCED_FACE('',(#28247),#13147,.T.); #323092=ADVANCED_FACE('',(#28248),#13148,.T.); #323093=ADVANCED_FACE('',(#28249),#13149,.T.); #323094=ADVANCED_FACE('',(#28250),#13150,.T.); #323095=ADVANCED_FACE('',(#28251),#13151,.T.); #323096=ADVANCED_FACE('',(#28252),#13152,.T.); #323097=ADVANCED_FACE('',(#28253),#13153,.T.); #323098=ADVANCED_FACE('',(#28254),#13154,.T.); #323099=ADVANCED_FACE('',(#28255),#13155,.T.); #323100=ADVANCED_FACE('',(#28256),#13156,.T.); #323101=ADVANCED_FACE('',(#28257),#13157,.T.); #323102=ADVANCED_FACE('',(#28258),#13158,.T.); #323103=ADVANCED_FACE('',(#28259),#13159,.T.); #323104=ADVANCED_FACE('',(#28260),#13160,.T.); #323105=ADVANCED_FACE('',(#28261),#13161,.T.); #323106=ADVANCED_FACE('',(#28262),#13162,.T.); #323107=ADVANCED_FACE('',(#28263),#13163,.T.); #323108=ADVANCED_FACE('',(#28264),#13164,.T.); #323109=ADVANCED_FACE('',(#28265),#13165,.T.); #323110=ADVANCED_FACE('',(#28266),#13166,.F.); #323111=ADVANCED_FACE('',(#28267),#313971,.F.); #323112=ADVANCED_FACE('',(#28268),#13167,.T.); #323113=ADVANCED_FACE('',(#28269),#313972,.T.); #323114=ADVANCED_FACE('',(#28270),#13168,.T.); #323115=ADVANCED_FACE('',(#28271),#313973,.T.); #323116=ADVANCED_FACE('',(#28272),#13169,.T.); #323117=ADVANCED_FACE('',(#28273),#13170,.T.); #323118=ADVANCED_FACE('',(#28274),#13171,.T.); #323119=ADVANCED_FACE('',(#28275),#13172,.T.); #323120=ADVANCED_FACE('',(#28276),#313974,.T.); #323121=ADVANCED_FACE('',(#28277),#13173,.T.); #323122=ADVANCED_FACE('',(#28278),#13174,.T.); #323123=ADVANCED_FACE('',(#28279),#13175,.T.); #323124=ADVANCED_FACE('',(#28280),#13176,.T.); #323125=ADVANCED_FACE('',(#28281),#13177,.T.); #323126=ADVANCED_FACE('',(#28282),#13178,.T.); #323127=ADVANCED_FACE('',(#28283),#13179,.T.); #323128=ADVANCED_FACE('',(#28284),#313975,.T.); #323129=ADVANCED_FACE('',(#28285),#13180,.T.); #323130=ADVANCED_FACE('',(#28286),#313976,.T.); #323131=ADVANCED_FACE('',(#28287),#13181,.T.); #323132=ADVANCED_FACE('',(#28288),#313977,.T.); #323133=ADVANCED_FACE('',(#28289),#13182,.T.); #323134=ADVANCED_FACE('',(#28290),#13183,.T.); #323135=ADVANCED_FACE('',(#28291),#313978,.T.); #323136=ADVANCED_FACE('',(#28292,#5323),#13184,.T.); #323137=ADVANCED_FACE('',(#28293,#5324),#13185,.F.); #323138=ADVANCED_FACE('',(#28294),#313979,.F.); #323139=ADVANCED_FACE('',(#28295),#313980,.F.); #323140=ADVANCED_FACE('',(#28296),#313981,.F.); #323141=ADVANCED_FACE('',(#28297),#313982,.F.); #323142=ADVANCED_FACE('',(#28298),#313983,.F.); #323143=ADVANCED_FACE('',(#28299),#313984,.F.); #323144=ADVANCED_FACE('',(#28300),#313985,.F.); #323145=ADVANCED_FACE('',(#28301),#313986,.F.); #323146=ADVANCED_FACE('',(#28302),#313987,.F.); #323147=ADVANCED_FACE('',(#28303),#313988,.F.); #323148=ADVANCED_FACE('',(#28304),#313989,.F.); #323149=ADVANCED_FACE('',(#28305),#313990,.F.); #323150=ADVANCED_FACE('',(#28306),#13186,.T.); #323151=ADVANCED_FACE('',(#28307),#13187,.T.); #323152=ADVANCED_FACE('',(#28308),#13188,.T.); #323153=ADVANCED_FACE('',(#28309),#13189,.T.); #323154=ADVANCED_FACE('',(#28310),#13190,.T.); #323155=ADVANCED_FACE('',(#28311),#13191,.T.); #323156=ADVANCED_FACE('',(#28312),#13192,.T.); #323157=ADVANCED_FACE('',(#28313),#13193,.T.); #323158=ADVANCED_FACE('',(#28314),#13194,.T.); #323159=ADVANCED_FACE('',(#28315),#13195,.T.); #323160=ADVANCED_FACE('',(#28316),#13196,.T.); #323161=ADVANCED_FACE('',(#28317),#13197,.T.); #323162=ADVANCED_FACE('',(#28318),#13198,.T.); #323163=ADVANCED_FACE('',(#28319),#13199,.T.); #323164=ADVANCED_FACE('',(#28320),#13200,.T.); #323165=ADVANCED_FACE('',(#28321),#13201,.T.); #323166=ADVANCED_FACE('',(#28322),#13202,.T.); #323167=ADVANCED_FACE('',(#28323),#13203,.T.); #323168=ADVANCED_FACE('',(#28324),#13204,.T.); #323169=ADVANCED_FACE('',(#28325),#13205,.T.); #323170=ADVANCED_FACE('',(#28326),#13206,.T.); #323171=ADVANCED_FACE('',(#28327),#13207,.T.); #323172=ADVANCED_FACE('',(#28328),#13208,.T.); #323173=ADVANCED_FACE('',(#28329),#13209,.T.); #323174=ADVANCED_FACE('',(#28330),#313991,.T.); #323175=ADVANCED_FACE('',(#28331),#13210,.T.); #323176=ADVANCED_FACE('',(#28332),#13211,.T.); #323177=ADVANCED_FACE('',(#28333),#313992,.T.); #323178=ADVANCED_FACE('',(#28334),#13212,.T.); #323179=ADVANCED_FACE('',(#28335),#13213,.T.); #323180=ADVANCED_FACE('',(#28336),#13214,.T.); #323181=ADVANCED_FACE('',(#28337),#13215,.T.); #323182=ADVANCED_FACE('',(#28338),#13216,.T.); #323183=ADVANCED_FACE('',(#28339),#13217,.T.); #323184=ADVANCED_FACE('',(#28340),#13218,.T.); #323185=ADVANCED_FACE('',(#28341),#13219,.T.); #323186=ADVANCED_FACE('',(#28342),#313993,.T.); #323187=ADVANCED_FACE('',(#28343),#13220,.T.); #323188=ADVANCED_FACE('',(#28344),#313994,.T.); #323189=ADVANCED_FACE('',(#28345),#13221,.T.); #323190=ADVANCED_FACE('',(#28346),#13222,.T.); #323191=ADVANCED_FACE('',(#28347),#13223,.T.); #323192=ADVANCED_FACE('',(#28348),#13224,.T.); #323193=ADVANCED_FACE('',(#28349),#13225,.T.); #323194=ADVANCED_FACE('',(#28350),#13226,.T.); #323195=ADVANCED_FACE('',(#28351),#13227,.T.); #323196=ADVANCED_FACE('',(#28352),#13228,.T.); #323197=ADVANCED_FACE('',(#28353),#13229,.T.); #323198=ADVANCED_FACE('',(#28354),#13230,.T.); #323199=ADVANCED_FACE('',(#28355),#13231,.T.); #323200=ADVANCED_FACE('',(#28356),#13232,.T.); #323201=ADVANCED_FACE('',(#28357),#13233,.T.); #323202=ADVANCED_FACE('',(#28358),#13234,.T.); #323203=ADVANCED_FACE('',(#28359),#13235,.T.); #323204=ADVANCED_FACE('',(#28360),#313995,.T.); #323205=ADVANCED_FACE('',(#28361),#13236,.T.); #323206=ADVANCED_FACE('',(#28362),#313996,.T.); #323207=ADVANCED_FACE('',(#28363),#13237,.T.); #323208=ADVANCED_FACE('',(#28364),#13238,.T.); #323209=ADVANCED_FACE('',(#28365),#13239,.T.); #323210=ADVANCED_FACE('',(#28366),#13240,.T.); #323211=ADVANCED_FACE('',(#28367),#13241,.T.); #323212=ADVANCED_FACE('',(#28368),#13242,.T.); #323213=ADVANCED_FACE('',(#28369),#13243,.T.); #323214=ADVANCED_FACE('',(#28370),#13244,.T.); #323215=ADVANCED_FACE('',(#28371),#13245,.T.); #323216=ADVANCED_FACE('',(#28372),#13246,.T.); #323217=ADVANCED_FACE('',(#28373),#13247,.T.); #323218=ADVANCED_FACE('',(#28374),#13248,.T.); #323219=ADVANCED_FACE('',(#28375),#13249,.T.); #323220=ADVANCED_FACE('',(#28376),#13250,.T.); #323221=ADVANCED_FACE('',(#28377),#13251,.T.); #323222=ADVANCED_FACE('',(#28378),#13252,.T.); #323223=ADVANCED_FACE('',(#28379),#13253,.T.); #323224=ADVANCED_FACE('',(#28380),#13254,.T.); #323225=ADVANCED_FACE('',(#28381),#13255,.T.); #323226=ADVANCED_FACE('',(#28382),#13256,.T.); #323227=ADVANCED_FACE('',(#28383),#13257,.T.); #323228=ADVANCED_FACE('',(#28384),#13258,.T.); #323229=ADVANCED_FACE('',(#28385),#13259,.T.); #323230=ADVANCED_FACE('',(#28386),#13260,.T.); #323231=ADVANCED_FACE('',(#28387),#13261,.T.); #323232=ADVANCED_FACE('',(#28388),#13262,.T.); #323233=ADVANCED_FACE('',(#28389),#13263,.T.); #323234=ADVANCED_FACE('',(#28390),#13264,.T.); #323235=ADVANCED_FACE('',(#28391),#13265,.T.); #323236=ADVANCED_FACE('',(#28392),#13266,.T.); #323237=ADVANCED_FACE('',(#28393),#13267,.T.); #323238=ADVANCED_FACE('',(#28394),#13268,.T.); #323239=ADVANCED_FACE('',(#28395),#13269,.T.); #323240=ADVANCED_FACE('',(#28396),#13270,.T.); #323241=ADVANCED_FACE('',(#28397),#13271,.T.); #323242=ADVANCED_FACE('',(#28398),#13272,.T.); #323243=ADVANCED_FACE('',(#28399),#13273,.T.); #323244=ADVANCED_FACE('',(#28400),#13274,.T.); #323245=ADVANCED_FACE('',(#28401),#13275,.T.); #323246=ADVANCED_FACE('',(#28402),#13276,.T.); #323247=ADVANCED_FACE('',(#28403),#13277,.T.); #323248=ADVANCED_FACE('',(#28404),#13278,.T.); #323249=ADVANCED_FACE('',(#28405),#13279,.T.); #323250=ADVANCED_FACE('',(#28406),#13280,.T.); #323251=ADVANCED_FACE('',(#28407),#13281,.T.); #323252=ADVANCED_FACE('',(#28408),#13282,.T.); #323253=ADVANCED_FACE('',(#28409),#13283,.T.); #323254=ADVANCED_FACE('',(#28410),#13284,.T.); #323255=ADVANCED_FACE('',(#28411),#13285,.T.); #323256=ADVANCED_FACE('',(#28412),#13286,.T.); #323257=ADVANCED_FACE('',(#28413),#13287,.T.); #323258=ADVANCED_FACE('',(#28414),#13288,.T.); #323259=ADVANCED_FACE('',(#28415),#13289,.T.); #323260=ADVANCED_FACE('',(#28416),#13290,.T.); #323261=ADVANCED_FACE('',(#28417),#13291,.T.); #323262=ADVANCED_FACE('',(#28418),#13292,.T.); #323263=ADVANCED_FACE('',(#28419),#13293,.T.); #323264=ADVANCED_FACE('',(#28420),#13294,.T.); #323265=ADVANCED_FACE('',(#28421),#13295,.T.); #323266=ADVANCED_FACE('',(#28422),#13296,.T.); #323267=ADVANCED_FACE('',(#28423),#13297,.T.); #323268=ADVANCED_FACE('',(#28424),#13298,.T.); #323269=ADVANCED_FACE('',(#28425),#13299,.T.); #323270=ADVANCED_FACE('',(#28426),#13300,.T.); #323271=ADVANCED_FACE('',(#28427),#13301,.T.); #323272=ADVANCED_FACE('',(#28428),#13302,.T.); #323273=ADVANCED_FACE('',(#28429),#13303,.T.); #323274=ADVANCED_FACE('',(#28430,#5325,#5326,#5327,#5328,#5329,#5330,#5331, #5332,#5333,#5334,#5335,#5336),#13304,.T.); #323275=ADVANCED_FACE('',(#28431,#5337,#5338,#5339,#5340,#5341,#5342,#5343, #5344,#5345,#5346,#5347,#5348),#13305,.F.); #323276=ADVANCED_FACE('',(#28432),#313997,.F.); #323277=ADVANCED_FACE('',(#28433),#13306,.T.); #323278=ADVANCED_FACE('',(#28434),#313998,.T.); #323279=ADVANCED_FACE('',(#28435),#313999,.T.); #323280=ADVANCED_FACE('',(#28436,#5349),#13307,.T.); #323281=ADVANCED_FACE('',(#28437,#5350),#13308,.F.); #323282=ADVANCED_FACE('',(#28438),#314000,.F.); #323283=ADVANCED_FACE('',(#28439),#13309,.T.); #323284=ADVANCED_FACE('',(#28440),#314001,.T.); #323285=ADVANCED_FACE('',(#28441),#314002,.T.); #323286=ADVANCED_FACE('',(#28442,#5351),#13310,.T.); #323287=ADVANCED_FACE('',(#28443,#5352),#13311,.F.); #323288=ADVANCED_FACE('',(#28444),#314003,.F.); #323289=ADVANCED_FACE('',(#28445),#13312,.T.); #323290=ADVANCED_FACE('',(#28446),#314004,.T.); #323291=ADVANCED_FACE('',(#28447),#314005,.T.); #323292=ADVANCED_FACE('',(#28448,#5353),#13313,.T.); #323293=ADVANCED_FACE('',(#28449,#5354),#13314,.F.); #323294=ADVANCED_FACE('',(#28450),#314006,.F.); #323295=ADVANCED_FACE('',(#28451),#13315,.T.); #323296=ADVANCED_FACE('',(#28452),#13316,.T.); #323297=ADVANCED_FACE('',(#28453),#13317,.T.); #323298=ADVANCED_FACE('',(#28454),#13318,.T.); #323299=ADVANCED_FACE('',(#28455),#13319,.T.); #323300=ADVANCED_FACE('',(#28456),#13320,.T.); #323301=ADVANCED_FACE('',(#28457),#13321,.T.); #323302=ADVANCED_FACE('',(#28458),#13322,.T.); #323303=ADVANCED_FACE('',(#28459,#5355),#13323,.T.); #323304=ADVANCED_FACE('',(#28460,#5356),#13324,.F.); #323305=ADVANCED_FACE('',(#28461),#314007,.F.); #323306=ADVANCED_FACE('',(#28462),#13325,.T.); #323307=ADVANCED_FACE('',(#28463),#314008,.T.); #323308=ADVANCED_FACE('',(#28464),#314009,.T.); #323309=ADVANCED_FACE('',(#28465,#5357),#13326,.T.); #323310=ADVANCED_FACE('',(#28466,#5358),#13327,.F.); #323311=ADVANCED_FACE('',(#28467),#314010,.F.); #323312=ADVANCED_FACE('',(#28468),#13328,.T.); #323313=ADVANCED_FACE('',(#28469),#13329,.T.); #323314=ADVANCED_FACE('',(#28470),#13330,.T.); #323315=ADVANCED_FACE('',(#28471),#13331,.T.); #323316=ADVANCED_FACE('',(#28472,#5359),#13332,.T.); #323317=ADVANCED_FACE('',(#28473,#5360),#13333,.F.); #323318=ADVANCED_FACE('',(#28474),#314011,.F.); #323319=ADVANCED_FACE('',(#28475),#13334,.T.); #323320=ADVANCED_FACE('',(#28476),#314012,.T.); #323321=ADVANCED_FACE('',(#28477),#314013,.T.); #323322=ADVANCED_FACE('',(#28478,#5361),#13335,.T.); #323323=ADVANCED_FACE('',(#28479,#5362),#13336,.F.); #323324=ADVANCED_FACE('',(#28480),#13337,.F.); #323325=ADVANCED_FACE('',(#28481),#314014,.F.); #323326=ADVANCED_FACE('',(#28482),#13338,.F.); #323327=ADVANCED_FACE('',(#28483),#314015,.F.); #323328=ADVANCED_FACE('',(#28484),#13339,.T.); #323329=ADVANCED_FACE('',(#28485),#13340,.T.); #323330=ADVANCED_FACE('',(#28486),#13341,.T.); #323331=ADVANCED_FACE('',(#28487),#13342,.T.); #323332=ADVANCED_FACE('',(#28488),#13343,.T.); #323333=ADVANCED_FACE('',(#28489),#13344,.T.); #323334=ADVANCED_FACE('',(#28490),#13345,.T.); #323335=ADVANCED_FACE('',(#28491),#13346,.T.); #323336=ADVANCED_FACE('',(#28492),#13347,.T.); #323337=ADVANCED_FACE('',(#28493),#13348,.T.); #323338=ADVANCED_FACE('',(#28494),#13349,.T.); #323339=ADVANCED_FACE('',(#28495),#13350,.T.); #323340=ADVANCED_FACE('',(#28496),#13351,.T.); #323341=ADVANCED_FACE('',(#28497),#13352,.T.); #323342=ADVANCED_FACE('',(#28498),#13353,.T.); #323343=ADVANCED_FACE('',(#28499),#13354,.T.); #323344=ADVANCED_FACE('',(#28500),#13355,.T.); #323345=ADVANCED_FACE('',(#28501),#13356,.T.); #323346=ADVANCED_FACE('',(#28502),#13357,.T.); #323347=ADVANCED_FACE('',(#28503),#13358,.T.); #323348=ADVANCED_FACE('',(#28504),#13359,.T.); #323349=ADVANCED_FACE('',(#28505),#13360,.T.); #323350=ADVANCED_FACE('',(#28506),#13361,.T.); #323351=ADVANCED_FACE('',(#28507),#13362,.T.); #323352=ADVANCED_FACE('',(#28508),#13363,.T.); #323353=ADVANCED_FACE('',(#28509),#13364,.T.); #323354=ADVANCED_FACE('',(#28510),#13365,.T.); #323355=ADVANCED_FACE('',(#28511),#13366,.T.); #323356=ADVANCED_FACE('',(#28512),#13367,.T.); #323357=ADVANCED_FACE('',(#28513),#13368,.T.); #323358=ADVANCED_FACE('',(#28514),#13369,.T.); #323359=ADVANCED_FACE('',(#28515),#13370,.T.); #323360=ADVANCED_FACE('',(#28516),#13371,.T.); #323361=ADVANCED_FACE('',(#28517),#13372,.T.); #323362=ADVANCED_FACE('',(#28518),#13373,.T.); #323363=ADVANCED_FACE('',(#28519),#13374,.T.); #323364=ADVANCED_FACE('',(#28520),#13375,.T.); #323365=ADVANCED_FACE('',(#28521),#13376,.T.); #323366=ADVANCED_FACE('',(#28522),#13377,.T.); #323367=ADVANCED_FACE('',(#28523),#13378,.T.); #323368=ADVANCED_FACE('',(#28524),#13379,.T.); #323369=ADVANCED_FACE('',(#28525),#13380,.T.); #323370=ADVANCED_FACE('',(#28526),#13381,.T.); #323371=ADVANCED_FACE('',(#28527),#13382,.T.); #323372=ADVANCED_FACE('',(#28528),#13383,.T.); #323373=ADVANCED_FACE('',(#28529),#13384,.T.); #323374=ADVANCED_FACE('',(#28530),#13385,.T.); #323375=ADVANCED_FACE('',(#28531),#13386,.T.); #323376=ADVANCED_FACE('',(#28532),#13387,.T.); #323377=ADVANCED_FACE('',(#28533),#13388,.T.); #323378=ADVANCED_FACE('',(#28534),#13389,.T.); #323379=ADVANCED_FACE('',(#28535),#13390,.T.); #323380=ADVANCED_FACE('',(#28536),#13391,.T.); #323381=ADVANCED_FACE('',(#28537),#13392,.T.); #323382=ADVANCED_FACE('',(#28538),#13393,.T.); #323383=ADVANCED_FACE('',(#28539),#13394,.T.); #323384=ADVANCED_FACE('',(#28540,#5363),#13395,.T.); #323385=ADVANCED_FACE('',(#28541,#5364),#13396,.F.); #323386=ADVANCED_FACE('',(#28542),#314016,.F.); #323387=ADVANCED_FACE('',(#28543),#13397,.T.); #323388=ADVANCED_FACE('',(#28544),#314017,.T.); #323389=ADVANCED_FACE('',(#28545),#314018,.T.); #323390=ADVANCED_FACE('',(#28546,#5365),#13398,.T.); #323391=ADVANCED_FACE('',(#28547,#5366),#13399,.F.); #323392=ADVANCED_FACE('',(#28548),#13400,.F.); #323393=ADVANCED_FACE('',(#28549),#314019,.F.); #323394=ADVANCED_FACE('',(#28550),#13401,.F.); #323395=ADVANCED_FACE('',(#28551),#314020,.F.); #323396=ADVANCED_FACE('',(#28552),#13402,.T.); #323397=ADVANCED_FACE('',(#28553),#13403,.T.); #323398=ADVANCED_FACE('',(#28554),#13404,.T.); #323399=ADVANCED_FACE('',(#28555),#13405,.T.); #323400=ADVANCED_FACE('',(#28556),#13406,.T.); #323401=ADVANCED_FACE('',(#28557),#13407,.T.); #323402=ADVANCED_FACE('',(#28558),#13408,.T.); #323403=ADVANCED_FACE('',(#28559),#13409,.T.); #323404=ADVANCED_FACE('',(#28560),#13410,.T.); #323405=ADVANCED_FACE('',(#28561),#13411,.T.); #323406=ADVANCED_FACE('',(#28562),#13412,.T.); #323407=ADVANCED_FACE('',(#28563),#13413,.T.); #323408=ADVANCED_FACE('',(#28564),#13414,.T.); #323409=ADVANCED_FACE('',(#28565),#13415,.T.); #323410=ADVANCED_FACE('',(#28566),#13416,.T.); #323411=ADVANCED_FACE('',(#28567),#13417,.T.); #323412=ADVANCED_FACE('',(#28568),#13418,.T.); #323413=ADVANCED_FACE('',(#28569),#13419,.T.); #323414=ADVANCED_FACE('',(#28570),#13420,.T.); #323415=ADVANCED_FACE('',(#28571),#13421,.T.); #323416=ADVANCED_FACE('',(#28572),#13422,.T.); #323417=ADVANCED_FACE('',(#28573),#13423,.T.); #323418=ADVANCED_FACE('',(#28574),#13424,.T.); #323419=ADVANCED_FACE('',(#28575),#13425,.T.); #323420=ADVANCED_FACE('',(#28576),#13426,.T.); #323421=ADVANCED_FACE('',(#28577),#13427,.T.); #323422=ADVANCED_FACE('',(#28578),#13428,.T.); #323423=ADVANCED_FACE('',(#28579),#13429,.T.); #323424=ADVANCED_FACE('',(#28580),#13430,.T.); #323425=ADVANCED_FACE('',(#28581),#13431,.T.); #323426=ADVANCED_FACE('',(#28582),#13432,.T.); #323427=ADVANCED_FACE('',(#28583),#13433,.T.); #323428=ADVANCED_FACE('',(#28584),#13434,.T.); #323429=ADVANCED_FACE('',(#28585),#13435,.T.); #323430=ADVANCED_FACE('',(#28586),#13436,.T.); #323431=ADVANCED_FACE('',(#28587),#13437,.T.); #323432=ADVANCED_FACE('',(#28588),#13438,.T.); #323433=ADVANCED_FACE('',(#28589),#13439,.T.); #323434=ADVANCED_FACE('',(#28590),#13440,.T.); #323435=ADVANCED_FACE('',(#28591),#13441,.T.); #323436=ADVANCED_FACE('',(#28592),#13442,.T.); #323437=ADVANCED_FACE('',(#28593),#13443,.T.); #323438=ADVANCED_FACE('',(#28594),#13444,.T.); #323439=ADVANCED_FACE('',(#28595),#13445,.T.); #323440=ADVANCED_FACE('',(#28596),#13446,.T.); #323441=ADVANCED_FACE('',(#28597),#13447,.T.); #323442=ADVANCED_FACE('',(#28598),#13448,.T.); #323443=ADVANCED_FACE('',(#28599),#13449,.T.); #323444=ADVANCED_FACE('',(#28600),#13450,.T.); #323445=ADVANCED_FACE('',(#28601),#13451,.T.); #323446=ADVANCED_FACE('',(#28602),#13452,.T.); #323447=ADVANCED_FACE('',(#28603),#13453,.T.); #323448=ADVANCED_FACE('',(#28604),#13454,.T.); #323449=ADVANCED_FACE('',(#28605),#13455,.T.); #323450=ADVANCED_FACE('',(#28606),#13456,.T.); #323451=ADVANCED_FACE('',(#28607),#13457,.T.); #323452=ADVANCED_FACE('',(#28608,#5367),#13458,.T.); #323453=ADVANCED_FACE('',(#28609,#5368),#13459,.F.); #323454=ADVANCED_FACE('',(#28610),#13460,.F.); #323455=ADVANCED_FACE('',(#28611),#314021,.F.); #323456=ADVANCED_FACE('',(#28612),#13461,.F.); #323457=ADVANCED_FACE('',(#28613),#314022,.F.); #323458=ADVANCED_FACE('',(#28614),#13462,.T.); #323459=ADVANCED_FACE('',(#28615),#13463,.T.); #323460=ADVANCED_FACE('',(#28616),#13464,.T.); #323461=ADVANCED_FACE('',(#28617),#13465,.T.); #323462=ADVANCED_FACE('',(#28618),#13466,.T.); #323463=ADVANCED_FACE('',(#28619),#13467,.T.); #323464=ADVANCED_FACE('',(#28620),#13468,.T.); #323465=ADVANCED_FACE('',(#28621),#13469,.T.); #323466=ADVANCED_FACE('',(#28622),#13470,.T.); #323467=ADVANCED_FACE('',(#28623),#13471,.T.); #323468=ADVANCED_FACE('',(#28624),#13472,.T.); #323469=ADVANCED_FACE('',(#28625),#13473,.T.); #323470=ADVANCED_FACE('',(#28626),#13474,.T.); #323471=ADVANCED_FACE('',(#28627),#13475,.T.); #323472=ADVANCED_FACE('',(#28628),#13476,.T.); #323473=ADVANCED_FACE('',(#28629),#13477,.T.); #323474=ADVANCED_FACE('',(#28630),#13478,.T.); #323475=ADVANCED_FACE('',(#28631),#13479,.T.); #323476=ADVANCED_FACE('',(#28632),#13480,.T.); #323477=ADVANCED_FACE('',(#28633),#13481,.T.); #323478=ADVANCED_FACE('',(#28634),#13482,.T.); #323479=ADVANCED_FACE('',(#28635),#13483,.T.); #323480=ADVANCED_FACE('',(#28636),#13484,.T.); #323481=ADVANCED_FACE('',(#28637),#13485,.T.); #323482=ADVANCED_FACE('',(#28638),#13486,.T.); #323483=ADVANCED_FACE('',(#28639),#13487,.T.); #323484=ADVANCED_FACE('',(#28640),#13488,.T.); #323485=ADVANCED_FACE('',(#28641),#13489,.T.); #323486=ADVANCED_FACE('',(#28642),#13490,.T.); #323487=ADVANCED_FACE('',(#28643),#13491,.T.); #323488=ADVANCED_FACE('',(#28644),#13492,.T.); #323489=ADVANCED_FACE('',(#28645),#13493,.T.); #323490=ADVANCED_FACE('',(#28646),#13494,.T.); #323491=ADVANCED_FACE('',(#28647),#13495,.T.); #323492=ADVANCED_FACE('',(#28648),#13496,.T.); #323493=ADVANCED_FACE('',(#28649),#13497,.T.); #323494=ADVANCED_FACE('',(#28650),#13498,.T.); #323495=ADVANCED_FACE('',(#28651),#13499,.T.); #323496=ADVANCED_FACE('',(#28652),#13500,.T.); #323497=ADVANCED_FACE('',(#28653),#13501,.T.); #323498=ADVANCED_FACE('',(#28654),#13502,.T.); #323499=ADVANCED_FACE('',(#28655),#13503,.T.); #323500=ADVANCED_FACE('',(#28656),#13504,.T.); #323501=ADVANCED_FACE('',(#28657),#13505,.T.); #323502=ADVANCED_FACE('',(#28658),#13506,.T.); #323503=ADVANCED_FACE('',(#28659),#13507,.T.); #323504=ADVANCED_FACE('',(#28660),#13508,.T.); #323505=ADVANCED_FACE('',(#28661),#13509,.T.); #323506=ADVANCED_FACE('',(#28662),#13510,.T.); #323507=ADVANCED_FACE('',(#28663),#13511,.T.); #323508=ADVANCED_FACE('',(#28664),#13512,.T.); #323509=ADVANCED_FACE('',(#28665),#13513,.T.); #323510=ADVANCED_FACE('',(#28666),#13514,.T.); #323511=ADVANCED_FACE('',(#28667),#13515,.T.); #323512=ADVANCED_FACE('',(#28668),#13516,.T.); #323513=ADVANCED_FACE('',(#28669),#13517,.T.); #323514=ADVANCED_FACE('',(#28670),#13518,.T.); #323515=ADVANCED_FACE('',(#28671),#13519,.T.); #323516=ADVANCED_FACE('',(#28672),#13520,.T.); #323517=ADVANCED_FACE('',(#28673),#13521,.T.); #323518=ADVANCED_FACE('',(#28674),#13522,.T.); #323519=ADVANCED_FACE('',(#28675),#13523,.T.); #323520=ADVANCED_FACE('',(#28676),#13524,.T.); #323521=ADVANCED_FACE('',(#28677),#13525,.T.); #323522=ADVANCED_FACE('',(#28678),#13526,.T.); #323523=ADVANCED_FACE('',(#28679),#13527,.T.); #323524=ADVANCED_FACE('',(#28680),#13528,.T.); #323525=ADVANCED_FACE('',(#28681),#13529,.T.); #323526=ADVANCED_FACE('',(#28682),#13530,.T.); #323527=ADVANCED_FACE('',(#28683),#13531,.T.); #323528=ADVANCED_FACE('',(#28684),#13532,.T.); #323529=ADVANCED_FACE('',(#28685),#13533,.T.); #323530=ADVANCED_FACE('',(#28686,#5369),#13534,.T.); #323531=ADVANCED_FACE('',(#28687,#5370),#13535,.F.); #323532=ADVANCED_FACE('',(#28688),#13536,.F.); #323533=ADVANCED_FACE('',(#28689),#314023,.F.); #323534=ADVANCED_FACE('',(#28690),#13537,.F.); #323535=ADVANCED_FACE('',(#28691),#314024,.F.); #323536=ADVANCED_FACE('',(#28692),#13538,.T.); #323537=ADVANCED_FACE('',(#28693),#13539,.T.); #323538=ADVANCED_FACE('',(#28694),#13540,.T.); #323539=ADVANCED_FACE('',(#28695),#13541,.T.); #323540=ADVANCED_FACE('',(#28696),#13542,.T.); #323541=ADVANCED_FACE('',(#28697),#13543,.T.); #323542=ADVANCED_FACE('',(#28698),#13544,.T.); #323543=ADVANCED_FACE('',(#28699),#13545,.T.); #323544=ADVANCED_FACE('',(#28700),#13546,.T.); #323545=ADVANCED_FACE('',(#28701),#13547,.T.); #323546=ADVANCED_FACE('',(#28702),#13548,.T.); #323547=ADVANCED_FACE('',(#28703),#13549,.T.); #323548=ADVANCED_FACE('',(#28704),#13550,.T.); #323549=ADVANCED_FACE('',(#28705),#13551,.T.); #323550=ADVANCED_FACE('',(#28706),#13552,.T.); #323551=ADVANCED_FACE('',(#28707),#13553,.T.); #323552=ADVANCED_FACE('',(#28708),#13554,.T.); #323553=ADVANCED_FACE('',(#28709),#13555,.T.); #323554=ADVANCED_FACE('',(#28710),#13556,.T.); #323555=ADVANCED_FACE('',(#28711),#13557,.T.); #323556=ADVANCED_FACE('',(#28712),#13558,.T.); #323557=ADVANCED_FACE('',(#28713),#13559,.T.); #323558=ADVANCED_FACE('',(#28714),#13560,.T.); #323559=ADVANCED_FACE('',(#28715),#13561,.T.); #323560=ADVANCED_FACE('',(#28716),#13562,.T.); #323561=ADVANCED_FACE('',(#28717),#13563,.T.); #323562=ADVANCED_FACE('',(#28718),#13564,.T.); #323563=ADVANCED_FACE('',(#28719),#13565,.T.); #323564=ADVANCED_FACE('',(#28720),#13566,.T.); #323565=ADVANCED_FACE('',(#28721),#13567,.T.); #323566=ADVANCED_FACE('',(#28722),#13568,.T.); #323567=ADVANCED_FACE('',(#28723),#13569,.T.); #323568=ADVANCED_FACE('',(#28724),#13570,.T.); #323569=ADVANCED_FACE('',(#28725),#13571,.T.); #323570=ADVANCED_FACE('',(#28726),#13572,.T.); #323571=ADVANCED_FACE('',(#28727),#13573,.T.); #323572=ADVANCED_FACE('',(#28728),#13574,.T.); #323573=ADVANCED_FACE('',(#28729),#13575,.T.); #323574=ADVANCED_FACE('',(#28730),#13576,.T.); #323575=ADVANCED_FACE('',(#28731),#13577,.T.); #323576=ADVANCED_FACE('',(#28732),#13578,.T.); #323577=ADVANCED_FACE('',(#28733),#13579,.T.); #323578=ADVANCED_FACE('',(#28734),#13580,.T.); #323579=ADVANCED_FACE('',(#28735),#13581,.T.); #323580=ADVANCED_FACE('',(#28736),#13582,.T.); #323581=ADVANCED_FACE('',(#28737),#13583,.T.); #323582=ADVANCED_FACE('',(#28738),#13584,.T.); #323583=ADVANCED_FACE('',(#28739),#13585,.T.); #323584=ADVANCED_FACE('',(#28740),#13586,.T.); #323585=ADVANCED_FACE('',(#28741),#13587,.T.); #323586=ADVANCED_FACE('',(#28742),#13588,.T.); #323587=ADVANCED_FACE('',(#28743),#13589,.T.); #323588=ADVANCED_FACE('',(#28744),#13590,.T.); #323589=ADVANCED_FACE('',(#28745),#13591,.T.); #323590=ADVANCED_FACE('',(#28746),#13592,.T.); #323591=ADVANCED_FACE('',(#28747),#13593,.T.); #323592=ADVANCED_FACE('',(#28748,#5371),#13594,.T.); #323593=ADVANCED_FACE('',(#28749,#5372),#13595,.F.); #323594=ADVANCED_FACE('',(#28750),#314025,.F.); #323595=ADVANCED_FACE('',(#28751),#13596,.T.); #323596=ADVANCED_FACE('',(#28752),#314026,.T.); #323597=ADVANCED_FACE('',(#28753),#314027,.T.); #323598=ADVANCED_FACE('',(#28754,#5373),#13597,.T.); #323599=ADVANCED_FACE('',(#28755,#5374),#13598,.F.); #323600=ADVANCED_FACE('',(#28756),#13599,.F.); #323601=ADVANCED_FACE('',(#28757),#314028,.F.); #323602=ADVANCED_FACE('',(#28758),#13600,.F.); #323603=ADVANCED_FACE('',(#28759),#314029,.F.); #323604=ADVANCED_FACE('',(#28760),#13601,.T.); #323605=ADVANCED_FACE('',(#28761),#13602,.T.); #323606=ADVANCED_FACE('',(#28762),#13603,.T.); #323607=ADVANCED_FACE('',(#28763),#13604,.T.); #323608=ADVANCED_FACE('',(#28764),#13605,.T.); #323609=ADVANCED_FACE('',(#28765),#13606,.T.); #323610=ADVANCED_FACE('',(#28766),#13607,.T.); #323611=ADVANCED_FACE('',(#28767),#13608,.T.); #323612=ADVANCED_FACE('',(#28768),#13609,.T.); #323613=ADVANCED_FACE('',(#28769),#13610,.T.); #323614=ADVANCED_FACE('',(#28770),#13611,.T.); #323615=ADVANCED_FACE('',(#28771),#13612,.T.); #323616=ADVANCED_FACE('',(#28772),#13613,.T.); #323617=ADVANCED_FACE('',(#28773),#13614,.T.); #323618=ADVANCED_FACE('',(#28774),#13615,.T.); #323619=ADVANCED_FACE('',(#28775),#13616,.T.); #323620=ADVANCED_FACE('',(#28776),#13617,.T.); #323621=ADVANCED_FACE('',(#28777),#13618,.T.); #323622=ADVANCED_FACE('',(#28778),#13619,.T.); #323623=ADVANCED_FACE('',(#28779),#13620,.T.); #323624=ADVANCED_FACE('',(#28780),#13621,.T.); #323625=ADVANCED_FACE('',(#28781),#13622,.T.); #323626=ADVANCED_FACE('',(#28782),#13623,.T.); #323627=ADVANCED_FACE('',(#28783),#13624,.T.); #323628=ADVANCED_FACE('',(#28784),#13625,.T.); #323629=ADVANCED_FACE('',(#28785),#13626,.T.); #323630=ADVANCED_FACE('',(#28786),#13627,.T.); #323631=ADVANCED_FACE('',(#28787),#13628,.T.); #323632=ADVANCED_FACE('',(#28788),#13629,.T.); #323633=ADVANCED_FACE('',(#28789),#13630,.T.); #323634=ADVANCED_FACE('',(#28790),#13631,.T.); #323635=ADVANCED_FACE('',(#28791),#13632,.T.); #323636=ADVANCED_FACE('',(#28792),#13633,.T.); #323637=ADVANCED_FACE('',(#28793),#13634,.T.); #323638=ADVANCED_FACE('',(#28794),#13635,.T.); #323639=ADVANCED_FACE('',(#28795),#13636,.T.); #323640=ADVANCED_FACE('',(#28796),#13637,.T.); #323641=ADVANCED_FACE('',(#28797),#13638,.T.); #323642=ADVANCED_FACE('',(#28798),#13639,.T.); #323643=ADVANCED_FACE('',(#28799),#13640,.T.); #323644=ADVANCED_FACE('',(#28800),#13641,.T.); #323645=ADVANCED_FACE('',(#28801),#13642,.T.); #323646=ADVANCED_FACE('',(#28802),#13643,.T.); #323647=ADVANCED_FACE('',(#28803),#13644,.T.); #323648=ADVANCED_FACE('',(#28804),#13645,.T.); #323649=ADVANCED_FACE('',(#28805),#13646,.T.); #323650=ADVANCED_FACE('',(#28806),#13647,.T.); #323651=ADVANCED_FACE('',(#28807),#13648,.T.); #323652=ADVANCED_FACE('',(#28808),#13649,.T.); #323653=ADVANCED_FACE('',(#28809),#13650,.T.); #323654=ADVANCED_FACE('',(#28810),#13651,.T.); #323655=ADVANCED_FACE('',(#28811),#13652,.T.); #323656=ADVANCED_FACE('',(#28812),#13653,.T.); #323657=ADVANCED_FACE('',(#28813),#13654,.T.); #323658=ADVANCED_FACE('',(#28814),#13655,.T.); #323659=ADVANCED_FACE('',(#28815),#13656,.T.); #323660=ADVANCED_FACE('',(#28816,#5375),#13657,.T.); #323661=ADVANCED_FACE('',(#28817,#5376),#13658,.F.); #323662=ADVANCED_FACE('',(#28818),#314030,.F.); #323663=ADVANCED_FACE('',(#28819),#13659,.T.); #323664=ADVANCED_FACE('',(#28820),#13660,.T.); #323665=ADVANCED_FACE('',(#28821),#13661,.T.); #323666=ADVANCED_FACE('',(#28822),#13662,.T.); #323667=ADVANCED_FACE('',(#28823),#13663,.T.); #323668=ADVANCED_FACE('',(#28824),#13664,.T.); #323669=ADVANCED_FACE('',(#28825),#13665,.T.); #323670=ADVANCED_FACE('',(#28826),#13666,.T.); #323671=ADVANCED_FACE('',(#28827,#5377),#13667,.T.); #323672=ADVANCED_FACE('',(#28828,#5378),#13668,.F.); #323673=ADVANCED_FACE('',(#28829),#314031,.F.); #323674=ADVANCED_FACE('',(#28830),#13669,.T.); #323675=ADVANCED_FACE('',(#28831),#314032,.T.); #323676=ADVANCED_FACE('',(#28832),#314033,.T.); #323677=ADVANCED_FACE('',(#28833,#5379),#13670,.T.); #323678=ADVANCED_FACE('',(#28834,#5380),#13671,.F.); #323679=ADVANCED_FACE('',(#28835),#13672,.F.); #323680=ADVANCED_FACE('',(#28836),#314034,.F.); #323681=ADVANCED_FACE('',(#28837),#13673,.F.); #323682=ADVANCED_FACE('',(#28838),#314035,.F.); #323683=ADVANCED_FACE('',(#28839),#13674,.T.); #323684=ADVANCED_FACE('',(#28840),#13675,.T.); #323685=ADVANCED_FACE('',(#28841),#13676,.T.); #323686=ADVANCED_FACE('',(#28842),#13677,.T.); #323687=ADVANCED_FACE('',(#28843),#13678,.T.); #323688=ADVANCED_FACE('',(#28844),#13679,.T.); #323689=ADVANCED_FACE('',(#28845),#13680,.T.); #323690=ADVANCED_FACE('',(#28846),#13681,.T.); #323691=ADVANCED_FACE('',(#28847),#13682,.T.); #323692=ADVANCED_FACE('',(#28848),#13683,.T.); #323693=ADVANCED_FACE('',(#28849),#13684,.T.); #323694=ADVANCED_FACE('',(#28850),#13685,.T.); #323695=ADVANCED_FACE('',(#28851),#13686,.T.); #323696=ADVANCED_FACE('',(#28852),#13687,.T.); #323697=ADVANCED_FACE('',(#28853),#13688,.T.); #323698=ADVANCED_FACE('',(#28854),#13689,.T.); #323699=ADVANCED_FACE('',(#28855),#13690,.T.); #323700=ADVANCED_FACE('',(#28856),#13691,.T.); #323701=ADVANCED_FACE('',(#28857),#13692,.T.); #323702=ADVANCED_FACE('',(#28858),#13693,.T.); #323703=ADVANCED_FACE('',(#28859),#13694,.T.); #323704=ADVANCED_FACE('',(#28860),#13695,.T.); #323705=ADVANCED_FACE('',(#28861),#13696,.T.); #323706=ADVANCED_FACE('',(#28862),#13697,.T.); #323707=ADVANCED_FACE('',(#28863),#13698,.T.); #323708=ADVANCED_FACE('',(#28864),#13699,.T.); #323709=ADVANCED_FACE('',(#28865),#13700,.T.); #323710=ADVANCED_FACE('',(#28866),#13701,.T.); #323711=ADVANCED_FACE('',(#28867),#13702,.T.); #323712=ADVANCED_FACE('',(#28868),#13703,.T.); #323713=ADVANCED_FACE('',(#28869),#13704,.T.); #323714=ADVANCED_FACE('',(#28870),#13705,.T.); #323715=ADVANCED_FACE('',(#28871),#13706,.T.); #323716=ADVANCED_FACE('',(#28872),#13707,.T.); #323717=ADVANCED_FACE('',(#28873),#13708,.T.); #323718=ADVANCED_FACE('',(#28874),#13709,.T.); #323719=ADVANCED_FACE('',(#28875),#13710,.T.); #323720=ADVANCED_FACE('',(#28876),#13711,.T.); #323721=ADVANCED_FACE('',(#28877),#13712,.T.); #323722=ADVANCED_FACE('',(#28878),#13713,.T.); #323723=ADVANCED_FACE('',(#28879),#13714,.T.); #323724=ADVANCED_FACE('',(#28880),#13715,.T.); #323725=ADVANCED_FACE('',(#28881),#13716,.T.); #323726=ADVANCED_FACE('',(#28882),#13717,.T.); #323727=ADVANCED_FACE('',(#28883),#13718,.T.); #323728=ADVANCED_FACE('',(#28884),#13719,.T.); #323729=ADVANCED_FACE('',(#28885),#13720,.T.); #323730=ADVANCED_FACE('',(#28886),#13721,.T.); #323731=ADVANCED_FACE('',(#28887),#13722,.T.); #323732=ADVANCED_FACE('',(#28888),#13723,.T.); #323733=ADVANCED_FACE('',(#28889),#13724,.T.); #323734=ADVANCED_FACE('',(#28890),#13725,.T.); #323735=ADVANCED_FACE('',(#28891),#13726,.T.); #323736=ADVANCED_FACE('',(#28892),#13727,.T.); #323737=ADVANCED_FACE('',(#28893),#13728,.T.); #323738=ADVANCED_FACE('',(#28894),#13729,.T.); #323739=ADVANCED_FACE('',(#28895),#13730,.T.); #323740=ADVANCED_FACE('',(#28896),#13731,.T.); #323741=ADVANCED_FACE('',(#28897),#13732,.T.); #323742=ADVANCED_FACE('',(#28898),#13733,.T.); #323743=ADVANCED_FACE('',(#28899),#13734,.T.); #323744=ADVANCED_FACE('',(#28900),#13735,.T.); #323745=ADVANCED_FACE('',(#28901),#13736,.T.); #323746=ADVANCED_FACE('',(#28902),#13737,.T.); #323747=ADVANCED_FACE('',(#28903),#13738,.T.); #323748=ADVANCED_FACE('',(#28904),#13739,.T.); #323749=ADVANCED_FACE('',(#28905),#13740,.T.); #323750=ADVANCED_FACE('',(#28906),#13741,.T.); #323751=ADVANCED_FACE('',(#28907),#13742,.T.); #323752=ADVANCED_FACE('',(#28908),#13743,.T.); #323753=ADVANCED_FACE('',(#28909),#13744,.T.); #323754=ADVANCED_FACE('',(#28910),#13745,.T.); #323755=ADVANCED_FACE('',(#28911,#5381),#13746,.T.); #323756=ADVANCED_FACE('',(#28912,#5382),#13747,.F.); #323757=ADVANCED_FACE('',(#28913),#314036,.F.); #323758=ADVANCED_FACE('',(#28914),#13748,.T.); #323759=ADVANCED_FACE('',(#28915),#13749,.T.); #323760=ADVANCED_FACE('',(#28916),#13750,.T.); #323761=ADVANCED_FACE('',(#28917),#13751,.T.); #323762=ADVANCED_FACE('',(#28918),#13752,.T.); #323763=ADVANCED_FACE('',(#28919),#13753,.T.); #323764=ADVANCED_FACE('',(#28920),#13754,.T.); #323765=ADVANCED_FACE('',(#28921),#13755,.T.); #323766=ADVANCED_FACE('',(#28922,#5383),#13756,.T.); #323767=ADVANCED_FACE('',(#28923,#5384),#13757,.F.); #323768=ADVANCED_FACE('',(#28924),#314037,.F.); #323769=ADVANCED_FACE('',(#28925),#13758,.T.); #323770=ADVANCED_FACE('',(#28926),#314038,.T.); #323771=ADVANCED_FACE('',(#28927),#314039,.T.); #323772=ADVANCED_FACE('',(#28928,#5385),#13759,.T.); #323773=ADVANCED_FACE('',(#28929,#5386),#13760,.F.); #323774=ADVANCED_FACE('',(#28930),#314040,.F.); #323775=ADVANCED_FACE('',(#28931),#13761,.T.); #323776=ADVANCED_FACE('',(#28932),#314041,.T.); #323777=ADVANCED_FACE('',(#28933),#314042,.T.); #323778=ADVANCED_FACE('',(#28934,#5387),#13762,.T.); #323779=ADVANCED_FACE('',(#28935,#5388),#13763,.F.); #323780=ADVANCED_FACE('',(#28936),#314043,.F.); #323781=ADVANCED_FACE('',(#28937),#13764,.T.); #323782=ADVANCED_FACE('',(#28938),#314044,.T.); #323783=ADVANCED_FACE('',(#28939),#314045,.T.); #323784=ADVANCED_FACE('',(#28940,#5389),#13765,.T.); #323785=ADVANCED_FACE('',(#28941,#5390),#13766,.F.); #323786=ADVANCED_FACE('',(#28942),#314046,.F.); #323787=ADVANCED_FACE('',(#28943),#13767,.T.); #323788=ADVANCED_FACE('',(#28944),#13768,.T.); #323789=ADVANCED_FACE('',(#28945),#13769,.T.); #323790=ADVANCED_FACE('',(#28946),#13770,.T.); #323791=ADVANCED_FACE('',(#28947,#5391),#13771,.T.); #323792=ADVANCED_FACE('',(#28948,#5392),#13772,.F.); #323793=ADVANCED_FACE('',(#28949),#314047,.F.); #323794=ADVANCED_FACE('',(#28950),#13773,.T.); #323795=ADVANCED_FACE('',(#28951),#13774,.T.); #323796=ADVANCED_FACE('',(#28952),#13775,.T.); #323797=ADVANCED_FACE('',(#28953),#13776,.T.); #323798=ADVANCED_FACE('',(#28954),#13777,.T.); #323799=ADVANCED_FACE('',(#28955),#13778,.T.); #323800=ADVANCED_FACE('',(#28956),#13779,.T.); #323801=ADVANCED_FACE('',(#28957),#13780,.T.); #323802=ADVANCED_FACE('',(#28958,#5393),#13781,.T.); #323803=ADVANCED_FACE('',(#28959,#5394),#13782,.F.); #323804=ADVANCED_FACE('',(#28960),#314048,.F.); #323805=ADVANCED_FACE('',(#28961),#13783,.T.); #323806=ADVANCED_FACE('',(#28962),#314049,.T.); #323807=ADVANCED_FACE('',(#28963),#314050,.T.); #323808=ADVANCED_FACE('',(#28964,#5395),#13784,.T.); #323809=ADVANCED_FACE('',(#28965,#5396),#13785,.F.); #323810=ADVANCED_FACE('',(#28966),#314051,.F.); #323811=ADVANCED_FACE('',(#28967),#13786,.T.); #323812=ADVANCED_FACE('',(#28968),#314052,.T.); #323813=ADVANCED_FACE('',(#28969),#314053,.T.); #323814=ADVANCED_FACE('',(#28970,#5397),#13787,.T.); #323815=ADVANCED_FACE('',(#28971,#5398),#13788,.F.); #323816=ADVANCED_FACE('',(#28972),#13789,.T.); #323817=ADVANCED_FACE('',(#28973),#13790,.T.); #323818=ADVANCED_FACE('',(#28974),#13791,.T.); #323819=ADVANCED_FACE('',(#28975),#13792,.T.); #323820=ADVANCED_FACE('',(#28976),#13793,.T.); #323821=ADVANCED_FACE('',(#28977),#13794,.F.); #323822=ADVANCED_FACE('',(#28978),#13795,.T.); #323823=ADVANCED_FACE('',(#28979),#13796,.T.); #323824=ADVANCED_FACE('',(#28980),#13797,.T.); #323825=ADVANCED_FACE('',(#28981),#13798,.T.); #323826=ADVANCED_FACE('',(#28982),#13799,.T.); #323827=ADVANCED_FACE('',(#28983),#13800,.F.); #323828=ADVANCED_FACE('',(#28984),#13801,.T.); #323829=ADVANCED_FACE('',(#28985),#13802,.T.); #323830=ADVANCED_FACE('',(#28986),#13803,.T.); #323831=ADVANCED_FACE('',(#28987),#13804,.T.); #323832=ADVANCED_FACE('',(#28988),#13805,.T.); #323833=ADVANCED_FACE('',(#28989),#13806,.F.); #323834=ADVANCED_FACE('',(#28990),#13807,.T.); #323835=ADVANCED_FACE('',(#28991),#13808,.T.); #323836=ADVANCED_FACE('',(#28992),#13809,.T.); #323837=ADVANCED_FACE('',(#28993),#13810,.T.); #323838=ADVANCED_FACE('',(#28994),#13811,.T.); #323839=ADVANCED_FACE('',(#28995),#13812,.F.); #323840=ADVANCED_FACE('',(#28996),#13813,.T.); #323841=ADVANCED_FACE('',(#28997),#13814,.T.); #323842=ADVANCED_FACE('',(#28998),#13815,.T.); #323843=ADVANCED_FACE('',(#28999),#13816,.T.); #323844=ADVANCED_FACE('',(#29000),#13817,.T.); #323845=ADVANCED_FACE('',(#29001),#13818,.F.); #323846=ADVANCED_FACE('',(#29002),#13819,.T.); #323847=ADVANCED_FACE('',(#29003),#13820,.T.); #323848=ADVANCED_FACE('',(#29004),#13821,.T.); #323849=ADVANCED_FACE('',(#29005),#13822,.T.); #323850=ADVANCED_FACE('',(#29006),#13823,.T.); #323851=ADVANCED_FACE('',(#29007),#13824,.F.); #323852=ADVANCED_FACE('',(#29008),#13825,.T.); #323853=ADVANCED_FACE('',(#29009),#13826,.T.); #323854=ADVANCED_FACE('',(#29010),#13827,.T.); #323855=ADVANCED_FACE('',(#29011),#13828,.T.); #323856=ADVANCED_FACE('',(#29012),#13829,.T.); #323857=ADVANCED_FACE('',(#29013),#13830,.F.); #323858=ADVANCED_FACE('',(#29014),#13831,.T.); #323859=ADVANCED_FACE('',(#29015),#13832,.T.); #323860=ADVANCED_FACE('',(#29016),#13833,.T.); #323861=ADVANCED_FACE('',(#29017),#13834,.T.); #323862=ADVANCED_FACE('',(#29018),#13835,.T.); #323863=ADVANCED_FACE('',(#29019),#13836,.F.); #323864=ADVANCED_FACE('',(#29020),#13837,.T.); #323865=ADVANCED_FACE('',(#29021),#13838,.T.); #323866=ADVANCED_FACE('',(#29022),#13839,.T.); #323867=ADVANCED_FACE('',(#29023),#13840,.T.); #323868=ADVANCED_FACE('',(#29024),#13841,.T.); #323869=ADVANCED_FACE('',(#29025),#13842,.F.); #323870=ADVANCED_FACE('',(#29026),#13843,.T.); #323871=ADVANCED_FACE('',(#29027),#13844,.T.); #323872=ADVANCED_FACE('',(#29028),#13845,.T.); #323873=ADVANCED_FACE('',(#29029),#13846,.T.); #323874=ADVANCED_FACE('',(#29030),#13847,.T.); #323875=ADVANCED_FACE('',(#29031),#13848,.F.); #323876=ADVANCED_FACE('',(#29032),#13849,.T.); #323877=ADVANCED_FACE('',(#29033),#13850,.T.); #323878=ADVANCED_FACE('',(#29034),#13851,.T.); #323879=ADVANCED_FACE('',(#29035),#13852,.T.); #323880=ADVANCED_FACE('',(#29036),#13853,.T.); #323881=ADVANCED_FACE('',(#29037),#13854,.F.); #323882=ADVANCED_FACE('',(#29038),#13855,.T.); #323883=ADVANCED_FACE('',(#29039),#13856,.T.); #323884=ADVANCED_FACE('',(#29040),#13857,.T.); #323885=ADVANCED_FACE('',(#29041),#13858,.T.); #323886=ADVANCED_FACE('',(#29042),#13859,.T.); #323887=ADVANCED_FACE('',(#29043),#13860,.F.); #323888=ADVANCED_FACE('',(#29044),#13861,.T.); #323889=ADVANCED_FACE('',(#29045),#13862,.T.); #323890=ADVANCED_FACE('',(#29046),#13863,.T.); #323891=ADVANCED_FACE('',(#29047),#13864,.T.); #323892=ADVANCED_FACE('',(#29048),#13865,.T.); #323893=ADVANCED_FACE('',(#29049),#13866,.F.); #323894=ADVANCED_FACE('',(#29050),#13867,.T.); #323895=ADVANCED_FACE('',(#29051),#13868,.T.); #323896=ADVANCED_FACE('',(#29052),#13869,.T.); #323897=ADVANCED_FACE('',(#29053),#13870,.T.); #323898=ADVANCED_FACE('',(#29054),#13871,.T.); #323899=ADVANCED_FACE('',(#29055),#13872,.F.); #323900=ADVANCED_FACE('',(#29056),#314054,.F.); #323901=ADVANCED_FACE('',(#29057),#314055,.F.); #323902=ADVANCED_FACE('',(#29058),#314056,.F.); #323903=ADVANCED_FACE('',(#29059),#314057,.F.); #323904=ADVANCED_FACE('',(#29060),#314058,.F.); #323905=ADVANCED_FACE('',(#29061),#314059,.F.); #323906=ADVANCED_FACE('',(#29062),#13873,.T.); #323907=ADVANCED_FACE('',(#29063),#13874,.T.); #323908=ADVANCED_FACE('',(#29064),#13875,.T.); #323909=ADVANCED_FACE('',(#29065),#13876,.T.); #323910=ADVANCED_FACE('',(#29066,#5399,#5400,#5401,#5402,#5403,#5404),#13877, .T.); #323911=ADVANCED_FACE('',(#29067,#5405,#5406,#5407,#5408,#5409,#5410),#13878, .F.); #323912=ADVANCED_FACE('',(#29068),#13879,.T.); #323913=ADVANCED_FACE('',(#29069),#13880,.T.); #323914=ADVANCED_FACE('',(#29070),#13881,.T.); #323915=ADVANCED_FACE('',(#29071),#13882,.T.); #323916=ADVANCED_FACE('',(#29072),#13883,.T.); #323917=ADVANCED_FACE('',(#29073),#13884,.F.); #323918=ADVANCED_FACE('',(#29074),#13885,.T.); #323919=ADVANCED_FACE('',(#29075),#13886,.T.); #323920=ADVANCED_FACE('',(#29076),#13887,.T.); #323921=ADVANCED_FACE('',(#29077),#13888,.T.); #323922=ADVANCED_FACE('',(#29078),#13889,.T.); #323923=ADVANCED_FACE('',(#29079),#13890,.F.); #323924=ADVANCED_FACE('',(#29080),#13891,.T.); #323925=ADVANCED_FACE('',(#29081),#13892,.T.); #323926=ADVANCED_FACE('',(#29082),#13893,.T.); #323927=ADVANCED_FACE('',(#29083),#13894,.T.); #323928=ADVANCED_FACE('',(#29084),#13895,.T.); #323929=ADVANCED_FACE('',(#29085),#13896,.F.); #323930=ADVANCED_FACE('',(#29086),#13897,.T.); #323931=ADVANCED_FACE('',(#29087),#13898,.T.); #323932=ADVANCED_FACE('',(#29088),#13899,.T.); #323933=ADVANCED_FACE('',(#29089),#13900,.T.); #323934=ADVANCED_FACE('',(#29090),#13901,.T.); #323935=ADVANCED_FACE('',(#29091),#13902,.F.); #323936=ADVANCED_FACE('',(#29092),#13903,.T.); #323937=ADVANCED_FACE('',(#29093),#13904,.T.); #323938=ADVANCED_FACE('',(#29094),#13905,.T.); #323939=ADVANCED_FACE('',(#29095),#13906,.T.); #323940=ADVANCED_FACE('',(#29096),#13907,.T.); #323941=ADVANCED_FACE('',(#29097),#13908,.F.); #323942=ADVANCED_FACE('',(#29098),#13909,.T.); #323943=ADVANCED_FACE('',(#29099),#13910,.T.); #323944=ADVANCED_FACE('',(#29100),#13911,.T.); #323945=ADVANCED_FACE('',(#29101),#13912,.T.); #323946=ADVANCED_FACE('',(#29102),#13913,.T.); #323947=ADVANCED_FACE('',(#29103),#13914,.F.); #323948=ADVANCED_FACE('',(#29104),#13915,.T.); #323949=ADVANCED_FACE('',(#29105),#13916,.T.); #323950=ADVANCED_FACE('',(#29106),#13917,.T.); #323951=ADVANCED_FACE('',(#29107),#13918,.T.); #323952=ADVANCED_FACE('',(#29108),#13919,.T.); #323953=ADVANCED_FACE('',(#29109),#13920,.F.); #323954=ADVANCED_FACE('',(#29110),#13921,.T.); #323955=ADVANCED_FACE('',(#29111),#13922,.T.); #323956=ADVANCED_FACE('',(#29112),#13923,.T.); #323957=ADVANCED_FACE('',(#29113),#13924,.T.); #323958=ADVANCED_FACE('',(#29114),#13925,.T.); #323959=ADVANCED_FACE('',(#29115),#13926,.F.); #323960=ADVANCED_FACE('',(#29116),#13927,.T.); #323961=ADVANCED_FACE('',(#29117),#13928,.T.); #323962=ADVANCED_FACE('',(#29118),#13929,.T.); #323963=ADVANCED_FACE('',(#29119),#13930,.T.); #323964=ADVANCED_FACE('',(#29120),#13931,.T.); #323965=ADVANCED_FACE('',(#29121),#13932,.F.); #323966=ADVANCED_FACE('',(#29122),#13933,.T.); #323967=ADVANCED_FACE('',(#29123),#13934,.T.); #323968=ADVANCED_FACE('',(#29124),#13935,.T.); #323969=ADVANCED_FACE('',(#29125),#13936,.T.); #323970=ADVANCED_FACE('',(#29126),#13937,.T.); #323971=ADVANCED_FACE('',(#29127),#13938,.F.); #323972=ADVANCED_FACE('',(#29128),#13939,.T.); #323973=ADVANCED_FACE('',(#29129),#13940,.T.); #323974=ADVANCED_FACE('',(#29130),#13941,.T.); #323975=ADVANCED_FACE('',(#29131),#13942,.T.); #323976=ADVANCED_FACE('',(#29132),#13943,.T.); #323977=ADVANCED_FACE('',(#29133),#13944,.F.); #323978=ADVANCED_FACE('',(#29134),#13945,.T.); #323979=ADVANCED_FACE('',(#29135),#13946,.T.); #323980=ADVANCED_FACE('',(#29136),#13947,.T.); #323981=ADVANCED_FACE('',(#29137),#13948,.T.); #323982=ADVANCED_FACE('',(#29138),#13949,.T.); #323983=ADVANCED_FACE('',(#29139),#13950,.F.); #323984=ADVANCED_FACE('',(#29140),#13951,.T.); #323985=ADVANCED_FACE('',(#29141),#13952,.T.); #323986=ADVANCED_FACE('',(#29142),#13953,.T.); #323987=ADVANCED_FACE('',(#29143),#13954,.T.); #323988=ADVANCED_FACE('',(#29144),#13955,.T.); #323989=ADVANCED_FACE('',(#29145),#13956,.F.); #323990=ADVANCED_FACE('',(#29146),#13957,.T.); #323991=ADVANCED_FACE('',(#29147),#13958,.T.); #323992=ADVANCED_FACE('',(#29148),#13959,.T.); #323993=ADVANCED_FACE('',(#29149),#13960,.T.); #323994=ADVANCED_FACE('',(#29150),#13961,.T.); #323995=ADVANCED_FACE('',(#29151),#13962,.F.); #323996=ADVANCED_FACE('',(#29152),#13963,.T.); #323997=ADVANCED_FACE('',(#29153),#13964,.T.); #323998=ADVANCED_FACE('',(#29154),#13965,.T.); #323999=ADVANCED_FACE('',(#29155),#13966,.T.); #324000=ADVANCED_FACE('',(#29156),#13967,.T.); #324001=ADVANCED_FACE('',(#29157),#13968,.F.); #324002=ADVANCED_FACE('',(#29158),#13969,.T.); #324003=ADVANCED_FACE('',(#29159),#13970,.T.); #324004=ADVANCED_FACE('',(#29160),#13971,.T.); #324005=ADVANCED_FACE('',(#29161),#13972,.T.); #324006=ADVANCED_FACE('',(#29162),#13973,.T.); #324007=ADVANCED_FACE('',(#29163),#13974,.F.); #324008=ADVANCED_FACE('',(#29164),#13975,.T.); #324009=ADVANCED_FACE('',(#29165),#13976,.T.); #324010=ADVANCED_FACE('',(#29166),#13977,.T.); #324011=ADVANCED_FACE('',(#29167),#13978,.T.); #324012=ADVANCED_FACE('',(#29168),#13979,.T.); #324013=ADVANCED_FACE('',(#29169),#13980,.F.); #324014=ADVANCED_FACE('',(#29170),#13981,.T.); #324015=ADVANCED_FACE('',(#29171),#13982,.T.); #324016=ADVANCED_FACE('',(#29172),#13983,.T.); #324017=ADVANCED_FACE('',(#29173),#13984,.T.); #324018=ADVANCED_FACE('',(#29174),#13985,.T.); #324019=ADVANCED_FACE('',(#29175),#13986,.F.); #324020=ADVANCED_FACE('',(#29176),#13987,.T.); #324021=ADVANCED_FACE('',(#29177),#13988,.T.); #324022=ADVANCED_FACE('',(#29178),#13989,.T.); #324023=ADVANCED_FACE('',(#29179),#13990,.T.); #324024=ADVANCED_FACE('',(#29180),#13991,.T.); #324025=ADVANCED_FACE('',(#29181),#13992,.F.); #324026=ADVANCED_FACE('',(#29182),#13993,.T.); #324027=ADVANCED_FACE('',(#29183),#13994,.T.); #324028=ADVANCED_FACE('',(#29184),#13995,.T.); #324029=ADVANCED_FACE('',(#29185),#13996,.T.); #324030=ADVANCED_FACE('',(#29186),#13997,.T.); #324031=ADVANCED_FACE('',(#29187),#13998,.F.); #324032=ADVANCED_FACE('',(#29188),#13999,.T.); #324033=ADVANCED_FACE('',(#29189),#14000,.T.); #324034=ADVANCED_FACE('',(#29190),#14001,.T.); #324035=ADVANCED_FACE('',(#29191),#14002,.T.); #324036=ADVANCED_FACE('',(#29192),#14003,.T.); #324037=ADVANCED_FACE('',(#29193),#14004,.F.); #324038=ADVANCED_FACE('',(#29194),#14005,.T.); #324039=ADVANCED_FACE('',(#29195),#14006,.T.); #324040=ADVANCED_FACE('',(#29196),#14007,.T.); #324041=ADVANCED_FACE('',(#29197),#14008,.T.); #324042=ADVANCED_FACE('',(#29198),#14009,.T.); #324043=ADVANCED_FACE('',(#29199),#14010,.F.); #324044=ADVANCED_FACE('',(#29200),#14011,.T.); #324045=ADVANCED_FACE('',(#29201),#14012,.T.); #324046=ADVANCED_FACE('',(#29202),#14013,.T.); #324047=ADVANCED_FACE('',(#29203),#14014,.T.); #324048=ADVANCED_FACE('',(#29204),#14015,.T.); #324049=ADVANCED_FACE('',(#29205),#14016,.F.); #324050=ADVANCED_FACE('',(#29206),#314060,.F.); #324051=ADVANCED_FACE('',(#29207),#314061,.F.); #324052=ADVANCED_FACE('',(#29208),#314062,.F.); #324053=ADVANCED_FACE('',(#29209),#314063,.F.); #324054=ADVANCED_FACE('',(#29210),#314064,.F.); #324055=ADVANCED_FACE('',(#29211),#314065,.F.); #324056=ADVANCED_FACE('',(#29212),#14017,.T.); #324057=ADVANCED_FACE('',(#29213),#14018,.T.); #324058=ADVANCED_FACE('',(#29214),#14019,.T.); #324059=ADVANCED_FACE('',(#29215),#14020,.T.); #324060=ADVANCED_FACE('',(#29216,#5411,#5412,#5413,#5414,#5415,#5416),#14021, .T.); #324061=ADVANCED_FACE('',(#29217,#5417,#5418,#5419,#5420,#5421,#5422),#14022, .F.); #324062=ADVANCED_FACE('',(#29218),#14023,.T.); #324063=ADVANCED_FACE('',(#29219),#14024,.T.); #324064=ADVANCED_FACE('',(#29220),#14025,.T.); #324065=ADVANCED_FACE('',(#29221),#14026,.T.); #324066=ADVANCED_FACE('',(#29222),#14027,.T.); #324067=ADVANCED_FACE('',(#29223),#14028,.F.); #324068=ADVANCED_FACE('',(#29224),#14029,.T.); #324069=ADVANCED_FACE('',(#29225),#14030,.T.); #324070=ADVANCED_FACE('',(#29226),#14031,.T.); #324071=ADVANCED_FACE('',(#29227),#14032,.T.); #324072=ADVANCED_FACE('',(#29228),#14033,.T.); #324073=ADVANCED_FACE('',(#29229),#14034,.F.); #324074=ADVANCED_FACE('',(#29230),#314066,.F.); #324075=ADVANCED_FACE('',(#29231),#314067,.F.); #324076=ADVANCED_FACE('',(#29232),#314068,.F.); #324077=ADVANCED_FACE('',(#29233),#314069,.F.); #324078=ADVANCED_FACE('',(#29234),#314070,.F.); #324079=ADVANCED_FACE('',(#29235),#314071,.F.); #324080=ADVANCED_FACE('',(#29236),#14035,.T.); #324081=ADVANCED_FACE('',(#29237),#14036,.T.); #324082=ADVANCED_FACE('',(#29238),#14037,.T.); #324083=ADVANCED_FACE('',(#29239),#14038,.T.); #324084=ADVANCED_FACE('',(#29240,#5423,#5424,#5425,#5426,#5427,#5428),#14039, .T.); #324085=ADVANCED_FACE('',(#29241,#5429,#5430,#5431,#5432,#5433,#5434),#14040, .F.); #324086=ADVANCED_FACE('',(#29242),#14041,.T.); #324087=ADVANCED_FACE('',(#29243),#14042,.T.); #324088=ADVANCED_FACE('',(#29244),#14043,.T.); #324089=ADVANCED_FACE('',(#29245),#14044,.T.); #324090=ADVANCED_FACE('',(#29246),#14045,.T.); #324091=ADVANCED_FACE('',(#29247),#14046,.F.); #324092=ADVANCED_FACE('',(#29248),#14047,.T.); #324093=ADVANCED_FACE('',(#29249),#14048,.T.); #324094=ADVANCED_FACE('',(#29250),#14049,.T.); #324095=ADVANCED_FACE('',(#29251),#14050,.T.); #324096=ADVANCED_FACE('',(#29252),#14051,.T.); #324097=ADVANCED_FACE('',(#29253),#14052,.F.); #324098=ADVANCED_FACE('',(#29254),#14053,.T.); #324099=ADVANCED_FACE('',(#29255),#14054,.T.); #324100=ADVANCED_FACE('',(#29256),#14055,.T.); #324101=ADVANCED_FACE('',(#29257),#14056,.T.); #324102=ADVANCED_FACE('',(#29258),#14057,.T.); #324103=ADVANCED_FACE('',(#29259),#14058,.F.); #324104=ADVANCED_FACE('',(#29260),#14059,.T.); #324105=ADVANCED_FACE('',(#29261),#14060,.T.); #324106=ADVANCED_FACE('',(#29262),#14061,.T.); #324107=ADVANCED_FACE('',(#29263),#14062,.T.); #324108=ADVANCED_FACE('',(#29264),#14063,.T.); #324109=ADVANCED_FACE('',(#29265),#14064,.F.); #324110=ADVANCED_FACE('',(#29266),#14065,.T.); #324111=ADVANCED_FACE('',(#29267),#14066,.T.); #324112=ADVANCED_FACE('',(#29268),#14067,.T.); #324113=ADVANCED_FACE('',(#29269),#14068,.T.); #324114=ADVANCED_FACE('',(#29270),#14069,.T.); #324115=ADVANCED_FACE('',(#29271),#14070,.F.); #324116=ADVANCED_FACE('',(#29272),#14071,.T.); #324117=ADVANCED_FACE('',(#29273),#14072,.T.); #324118=ADVANCED_FACE('',(#29274),#14073,.T.); #324119=ADVANCED_FACE('',(#29275),#14074,.T.); #324120=ADVANCED_FACE('',(#29276),#14075,.T.); #324121=ADVANCED_FACE('',(#29277),#14076,.F.); #324122=ADVANCED_FACE('',(#29278),#14077,.T.); #324123=ADVANCED_FACE('',(#29279),#14078,.T.); #324124=ADVANCED_FACE('',(#29280),#14079,.T.); #324125=ADVANCED_FACE('',(#29281),#14080,.T.); #324126=ADVANCED_FACE('',(#29282),#14081,.T.); #324127=ADVANCED_FACE('',(#29283),#14082,.F.); #324128=ADVANCED_FACE('',(#29284),#14083,.T.); #324129=ADVANCED_FACE('',(#29285),#14084,.T.); #324130=ADVANCED_FACE('',(#29286),#14085,.T.); #324131=ADVANCED_FACE('',(#29287),#14086,.T.); #324132=ADVANCED_FACE('',(#29288),#14087,.T.); #324133=ADVANCED_FACE('',(#29289),#14088,.F.); #324134=ADVANCED_FACE('',(#29290),#14089,.T.); #324135=ADVANCED_FACE('',(#29291),#14090,.T.); #324136=ADVANCED_FACE('',(#29292),#14091,.T.); #324137=ADVANCED_FACE('',(#29293),#14092,.T.); #324138=ADVANCED_FACE('',(#29294),#14093,.T.); #324139=ADVANCED_FACE('',(#29295),#14094,.F.); #324140=ADVANCED_FACE('',(#29296),#14095,.T.); #324141=ADVANCED_FACE('',(#29297),#14096,.T.); #324142=ADVANCED_FACE('',(#29298),#14097,.T.); #324143=ADVANCED_FACE('',(#29299),#14098,.T.); #324144=ADVANCED_FACE('',(#29300),#14099,.T.); #324145=ADVANCED_FACE('',(#29301),#14100,.F.); #324146=ADVANCED_FACE('',(#29302),#14101,.T.); #324147=ADVANCED_FACE('',(#29303),#14102,.T.); #324148=ADVANCED_FACE('',(#29304),#14103,.T.); #324149=ADVANCED_FACE('',(#29305),#14104,.T.); #324150=ADVANCED_FACE('',(#29306),#14105,.T.); #324151=ADVANCED_FACE('',(#29307),#14106,.F.); #324152=ADVANCED_FACE('',(#29308),#14107,.T.); #324153=ADVANCED_FACE('',(#29309),#14108,.T.); #324154=ADVANCED_FACE('',(#29310),#14109,.T.); #324155=ADVANCED_FACE('',(#29311),#14110,.T.); #324156=ADVANCED_FACE('',(#29312),#14111,.T.); #324157=ADVANCED_FACE('',(#29313),#14112,.F.); #324158=ADVANCED_FACE('',(#29314),#14113,.T.); #324159=ADVANCED_FACE('',(#29315),#14114,.T.); #324160=ADVANCED_FACE('',(#29316),#14115,.T.); #324161=ADVANCED_FACE('',(#29317),#14116,.T.); #324162=ADVANCED_FACE('',(#29318),#14117,.T.); #324163=ADVANCED_FACE('',(#29319),#14118,.F.); #324164=ADVANCED_FACE('',(#29320),#14119,.T.); #324165=ADVANCED_FACE('',(#29321),#14120,.T.); #324166=ADVANCED_FACE('',(#29322),#14121,.T.); #324167=ADVANCED_FACE('',(#29323),#14122,.T.); #324168=ADVANCED_FACE('',(#29324),#14123,.T.); #324169=ADVANCED_FACE('',(#29325),#14124,.F.); #324170=ADVANCED_FACE('',(#29326),#314072,.F.); #324171=ADVANCED_FACE('',(#29327),#314073,.F.); #324172=ADVANCED_FACE('',(#29328),#314074,.F.); #324173=ADVANCED_FACE('',(#29329),#314075,.F.); #324174=ADVANCED_FACE('',(#29330),#314076,.F.); #324175=ADVANCED_FACE('',(#29331),#314077,.F.); #324176=ADVANCED_FACE('',(#29332),#314078,.F.); #324177=ADVANCED_FACE('',(#29333),#314079,.F.); #324178=ADVANCED_FACE('',(#29334),#314080,.F.); #324179=ADVANCED_FACE('',(#29335),#314081,.F.); #324180=ADVANCED_FACE('',(#29336),#314082,.F.); #324181=ADVANCED_FACE('',(#29337),#314083,.F.); #324182=ADVANCED_FACE('',(#29338),#314084,.F.); #324183=ADVANCED_FACE('',(#29339),#314085,.F.); #324184=ADVANCED_FACE('',(#29340),#314086,.F.); #324185=ADVANCED_FACE('',(#29341),#314087,.F.); #324186=ADVANCED_FACE('',(#29342),#314088,.F.); #324187=ADVANCED_FACE('',(#29343),#314089,.F.); #324188=ADVANCED_FACE('',(#29344),#314090,.F.); #324189=ADVANCED_FACE('',(#29345),#314091,.F.); #324190=ADVANCED_FACE('',(#29346),#314092,.F.); #324191=ADVANCED_FACE('',(#29347),#314093,.F.); #324192=ADVANCED_FACE('',(#29348),#314094,.F.); #324193=ADVANCED_FACE('',(#29349),#314095,.F.); #324194=ADVANCED_FACE('',(#29350),#314096,.F.); #324195=ADVANCED_FACE('',(#29351),#314097,.F.); #324196=ADVANCED_FACE('',(#29352),#314098,.F.); #324197=ADVANCED_FACE('',(#29353),#314099,.F.); #324198=ADVANCED_FACE('',(#29354),#314100,.F.); #324199=ADVANCED_FACE('',(#29355),#314101,.F.); #324200=ADVANCED_FACE('',(#29356),#314102,.F.); #324201=ADVANCED_FACE('',(#29357),#314103,.F.); #324202=ADVANCED_FACE('',(#29358),#314104,.F.); #324203=ADVANCED_FACE('',(#29359),#314105,.F.); #324204=ADVANCED_FACE('',(#29360),#314106,.F.); #324205=ADVANCED_FACE('',(#29361),#314107,.F.); #324206=ADVANCED_FACE('',(#29362),#314108,.F.); #324207=ADVANCED_FACE('',(#29363),#314109,.F.); #324208=ADVANCED_FACE('',(#29364),#314110,.F.); #324209=ADVANCED_FACE('',(#29365),#314111,.F.); #324210=ADVANCED_FACE('',(#29366),#314112,.F.); #324211=ADVANCED_FACE('',(#29367),#314113,.F.); #324212=ADVANCED_FACE('',(#29368),#314114,.F.); #324213=ADVANCED_FACE('',(#29369),#314115,.F.); #324214=ADVANCED_FACE('',(#29370),#314116,.F.); #324215=ADVANCED_FACE('',(#29371),#314117,.F.); #324216=ADVANCED_FACE('',(#29372),#314118,.F.); #324217=ADVANCED_FACE('',(#29373),#314119,.F.); #324218=ADVANCED_FACE('',(#29374),#314120,.F.); #324219=ADVANCED_FACE('',(#29375),#14125,.T.); #324220=ADVANCED_FACE('',(#29376),#14126,.T.); #324221=ADVANCED_FACE('',(#29377),#14127,.T.); #324222=ADVANCED_FACE('',(#29378),#14128,.T.); #324223=ADVANCED_FACE('',(#29379,#5435,#5436,#5437,#5438,#5439,#5440,#5441, #5442,#5443,#5444,#5445,#5446,#5447,#5448,#5449,#5450,#5451,#5452,#5453, #5454,#5455,#5456,#5457,#5458,#5459,#5460,#5461,#5462,#5463,#5464,#5465, #5466,#5467,#5468,#5469,#5470,#5471,#5472,#5473,#5474,#5475,#5476,#5477, #5478,#5479,#5480,#5481,#5482,#5483),#14129,.T.); #324224=ADVANCED_FACE('',(#29380,#5484,#5485,#5486,#5487,#5488,#5489,#5490, #5491,#5492,#5493,#5494,#5495,#5496,#5497,#5498,#5499,#5500,#5501,#5502, #5503,#5504,#5505,#5506,#5507,#5508,#5509,#5510,#5511,#5512,#5513,#5514, #5515,#5516,#5517,#5518,#5519,#5520,#5521,#5522,#5523,#5524,#5525,#5526, #5527,#5528,#5529,#5530,#5531,#5532),#14130,.F.); #324225=ADVANCED_FACE('',(#29381),#14131,.T.); #324226=ADVANCED_FACE('',(#29382),#14132,.T.); #324227=ADVANCED_FACE('',(#29383),#14133,.T.); #324228=ADVANCED_FACE('',(#29384),#14134,.T.); #324229=ADVANCED_FACE('',(#29385),#14135,.T.); #324230=ADVANCED_FACE('',(#29386),#14136,.F.); #324231=ADVANCED_FACE('',(#29387),#14137,.T.); #324232=ADVANCED_FACE('',(#29388),#14138,.T.); #324233=ADVANCED_FACE('',(#29389),#14139,.T.); #324234=ADVANCED_FACE('',(#29390),#14140,.T.); #324235=ADVANCED_FACE('',(#29391),#14141,.T.); #324236=ADVANCED_FACE('',(#29392),#14142,.F.); #324237=ADVANCED_FACE('',(#29393),#14143,.T.); #324238=ADVANCED_FACE('',(#29394),#14144,.T.); #324239=ADVANCED_FACE('',(#29395),#14145,.T.); #324240=ADVANCED_FACE('',(#29396),#14146,.T.); #324241=ADVANCED_FACE('',(#29397),#14147,.T.); #324242=ADVANCED_FACE('',(#29398),#14148,.F.); #324243=ADVANCED_FACE('',(#29399),#14149,.T.); #324244=ADVANCED_FACE('',(#29400),#14150,.T.); #324245=ADVANCED_FACE('',(#29401),#14151,.T.); #324246=ADVANCED_FACE('',(#29402),#14152,.T.); #324247=ADVANCED_FACE('',(#29403),#14153,.T.); #324248=ADVANCED_FACE('',(#29404),#14154,.F.); #324249=ADVANCED_FACE('',(#29405),#14155,.T.); #324250=ADVANCED_FACE('',(#29406),#14156,.T.); #324251=ADVANCED_FACE('',(#29407),#14157,.T.); #324252=ADVANCED_FACE('',(#29408),#14158,.T.); #324253=ADVANCED_FACE('',(#29409),#14159,.T.); #324254=ADVANCED_FACE('',(#29410),#14160,.F.); #324255=ADVANCED_FACE('',(#29411),#14161,.T.); #324256=ADVANCED_FACE('',(#29412),#14162,.T.); #324257=ADVANCED_FACE('',(#29413),#14163,.T.); #324258=ADVANCED_FACE('',(#29414),#14164,.T.); #324259=ADVANCED_FACE('',(#29415),#14165,.T.); #324260=ADVANCED_FACE('',(#29416),#14166,.F.); #324261=ADVANCED_FACE('',(#29417),#14167,.T.); #324262=ADVANCED_FACE('',(#29418),#14168,.T.); #324263=ADVANCED_FACE('',(#29419),#14169,.T.); #324264=ADVANCED_FACE('',(#29420),#14170,.T.); #324265=ADVANCED_FACE('',(#29421),#14171,.T.); #324266=ADVANCED_FACE('',(#29422),#14172,.F.); #324267=ADVANCED_FACE('',(#29423),#14173,.T.); #324268=ADVANCED_FACE('',(#29424),#14174,.T.); #324269=ADVANCED_FACE('',(#29425),#14175,.T.); #324270=ADVANCED_FACE('',(#29426),#14176,.T.); #324271=ADVANCED_FACE('',(#29427),#14177,.T.); #324272=ADVANCED_FACE('',(#29428),#14178,.F.); #324273=ADVANCED_FACE('',(#29429),#14179,.T.); #324274=ADVANCED_FACE('',(#29430),#14180,.T.); #324275=ADVANCED_FACE('',(#29431),#14181,.T.); #324276=ADVANCED_FACE('',(#29432),#14182,.T.); #324277=ADVANCED_FACE('',(#29433),#14183,.T.); #324278=ADVANCED_FACE('',(#29434),#14184,.F.); #324279=ADVANCED_FACE('',(#29435),#14185,.T.); #324280=ADVANCED_FACE('',(#29436),#14186,.T.); #324281=ADVANCED_FACE('',(#29437),#14187,.T.); #324282=ADVANCED_FACE('',(#29438),#14188,.T.); #324283=ADVANCED_FACE('',(#29439),#14189,.T.); #324284=ADVANCED_FACE('',(#29440),#14190,.F.); #324285=ADVANCED_FACE('',(#29441),#14191,.T.); #324286=ADVANCED_FACE('',(#29442),#14192,.T.); #324287=ADVANCED_FACE('',(#29443),#14193,.T.); #324288=ADVANCED_FACE('',(#29444),#14194,.T.); #324289=ADVANCED_FACE('',(#29445),#14195,.T.); #324290=ADVANCED_FACE('',(#29446),#14196,.F.); #324291=ADVANCED_FACE('',(#29447),#14197,.T.); #324292=ADVANCED_FACE('',(#29448),#14198,.T.); #324293=ADVANCED_FACE('',(#29449),#14199,.T.); #324294=ADVANCED_FACE('',(#29450),#14200,.T.); #324295=ADVANCED_FACE('',(#29451),#14201,.T.); #324296=ADVANCED_FACE('',(#29452),#14202,.F.); #324297=ADVANCED_FACE('',(#29453),#14203,.T.); #324298=ADVANCED_FACE('',(#29454),#14204,.T.); #324299=ADVANCED_FACE('',(#29455),#14205,.T.); #324300=ADVANCED_FACE('',(#29456),#14206,.T.); #324301=ADVANCED_FACE('',(#29457),#14207,.T.); #324302=ADVANCED_FACE('',(#29458),#14208,.F.); #324303=ADVANCED_FACE('',(#29459),#14209,.T.); #324304=ADVANCED_FACE('',(#29460),#14210,.T.); #324305=ADVANCED_FACE('',(#29461),#14211,.T.); #324306=ADVANCED_FACE('',(#29462),#14212,.T.); #324307=ADVANCED_FACE('',(#29463),#14213,.T.); #324308=ADVANCED_FACE('',(#29464),#14214,.F.); #324309=ADVANCED_FACE('',(#29465),#314121,.F.); #324310=ADVANCED_FACE('',(#29466),#14215,.T.); #324311=ADVANCED_FACE('',(#29467),#14216,.T.); #324312=ADVANCED_FACE('',(#29468),#14217,.T.); #324313=ADVANCED_FACE('',(#29469),#14218,.T.); #324314=ADVANCED_FACE('',(#29470,#5533),#14219,.T.); #324315=ADVANCED_FACE('',(#29471,#5534),#14220,.F.); #324316=ADVANCED_FACE('',(#29472),#14221,.T.); #324317=ADVANCED_FACE('',(#29473),#14222,.T.); #324318=ADVANCED_FACE('',(#29474),#14223,.T.); #324319=ADVANCED_FACE('',(#29475),#14224,.T.); #324320=ADVANCED_FACE('',(#29476),#14225,.T.); #324321=ADVANCED_FACE('',(#29477),#14226,.F.); #324322=ADVANCED_FACE('',(#29478),#14227,.T.); #324323=ADVANCED_FACE('',(#29479),#14228,.T.); #324324=ADVANCED_FACE('',(#29480),#14229,.T.); #324325=ADVANCED_FACE('',(#29481),#14230,.T.); #324326=ADVANCED_FACE('',(#29482),#14231,.T.); #324327=ADVANCED_FACE('',(#29483),#14232,.F.); #324328=ADVANCED_FACE('',(#29484),#314122,.F.); #324329=ADVANCED_FACE('',(#29485),#14233,.T.); #324330=ADVANCED_FACE('',(#29486),#14234,.T.); #324331=ADVANCED_FACE('',(#29487),#14235,.T.); #324332=ADVANCED_FACE('',(#29488),#14236,.T.); #324333=ADVANCED_FACE('',(#29489,#5535),#14237,.T.); #324334=ADVANCED_FACE('',(#29490,#5536),#14238,.F.); #324335=ADVANCED_FACE('',(#29491),#14239,.T.); #324336=ADVANCED_FACE('',(#29492),#14240,.T.); #324337=ADVANCED_FACE('',(#29493),#14241,.T.); #324338=ADVANCED_FACE('',(#29494),#14242,.T.); #324339=ADVANCED_FACE('',(#29495),#14243,.T.); #324340=ADVANCED_FACE('',(#29496),#14244,.F.); #324341=ADVANCED_FACE('',(#29497),#14245,.T.); #324342=ADVANCED_FACE('',(#29498),#14246,.T.); #324343=ADVANCED_FACE('',(#29499),#14247,.T.); #324344=ADVANCED_FACE('',(#29500),#14248,.T.); #324345=ADVANCED_FACE('',(#29501),#14249,.T.); #324346=ADVANCED_FACE('',(#29502),#14250,.F.); #324347=ADVANCED_FACE('',(#29503),#14251,.T.); #324348=ADVANCED_FACE('',(#29504),#14252,.T.); #324349=ADVANCED_FACE('',(#29505),#14253,.T.); #324350=ADVANCED_FACE('',(#29506),#14254,.T.); #324351=ADVANCED_FACE('',(#29507),#14255,.T.); #324352=ADVANCED_FACE('',(#29508),#14256,.F.); #324353=ADVANCED_FACE('',(#29509),#14257,.T.); #324354=ADVANCED_FACE('',(#29510),#14258,.T.); #324355=ADVANCED_FACE('',(#29511),#14259,.T.); #324356=ADVANCED_FACE('',(#29512),#14260,.T.); #324357=ADVANCED_FACE('',(#29513),#14261,.T.); #324358=ADVANCED_FACE('',(#29514),#14262,.F.); #324359=ADVANCED_FACE('',(#29515),#14263,.T.); #324360=ADVANCED_FACE('',(#29516),#14264,.T.); #324361=ADVANCED_FACE('',(#29517),#14265,.T.); #324362=ADVANCED_FACE('',(#29518),#14266,.T.); #324363=ADVANCED_FACE('',(#29519),#14267,.T.); #324364=ADVANCED_FACE('',(#29520),#14268,.F.); #324365=ADVANCED_FACE('',(#29521),#14269,.T.); #324366=ADVANCED_FACE('',(#29522),#14270,.T.); #324367=ADVANCED_FACE('',(#29523),#14271,.T.); #324368=ADVANCED_FACE('',(#29524),#14272,.T.); #324369=ADVANCED_FACE('',(#29525),#14273,.T.); #324370=ADVANCED_FACE('',(#29526),#14274,.F.); #324371=ADVANCED_FACE('',(#29527),#14275,.T.); #324372=ADVANCED_FACE('',(#29528),#14276,.T.); #324373=ADVANCED_FACE('',(#29529),#14277,.T.); #324374=ADVANCED_FACE('',(#29530),#14278,.T.); #324375=ADVANCED_FACE('',(#29531),#14279,.T.); #324376=ADVANCED_FACE('',(#29532),#14280,.F.); #324377=ADVANCED_FACE('',(#29533),#14281,.T.); #324378=ADVANCED_FACE('',(#29534),#14282,.T.); #324379=ADVANCED_FACE('',(#29535),#14283,.T.); #324380=ADVANCED_FACE('',(#29536),#14284,.T.); #324381=ADVANCED_FACE('',(#29537),#14285,.T.); #324382=ADVANCED_FACE('',(#29538),#14286,.F.); #324383=ADVANCED_FACE('',(#29539),#14287,.T.); #324384=ADVANCED_FACE('',(#29540),#14288,.T.); #324385=ADVANCED_FACE('',(#29541),#14289,.T.); #324386=ADVANCED_FACE('',(#29542),#14290,.T.); #324387=ADVANCED_FACE('',(#29543),#14291,.T.); #324388=ADVANCED_FACE('',(#29544),#14292,.F.); #324389=ADVANCED_FACE('',(#29545),#14293,.T.); #324390=ADVANCED_FACE('',(#29546),#14294,.T.); #324391=ADVANCED_FACE('',(#29547),#14295,.T.); #324392=ADVANCED_FACE('',(#29548),#14296,.T.); #324393=ADVANCED_FACE('',(#29549),#14297,.T.); #324394=ADVANCED_FACE('',(#29550),#14298,.F.); #324395=ADVANCED_FACE('',(#29551),#14299,.T.); #324396=ADVANCED_FACE('',(#29552),#14300,.T.); #324397=ADVANCED_FACE('',(#29553),#14301,.T.); #324398=ADVANCED_FACE('',(#29554),#14302,.T.); #324399=ADVANCED_FACE('',(#29555),#14303,.T.); #324400=ADVANCED_FACE('',(#29556),#14304,.F.); #324401=ADVANCED_FACE('',(#29557),#314123,.F.); #324402=ADVANCED_FACE('',(#29558),#314124,.F.); #324403=ADVANCED_FACE('',(#29559),#314125,.F.); #324404=ADVANCED_FACE('',(#29560),#314126,.F.); #324405=ADVANCED_FACE('',(#29561),#314127,.F.); #324406=ADVANCED_FACE('',(#29562),#314128,.F.); #324407=ADVANCED_FACE('',(#29563),#314129,.F.); #324408=ADVANCED_FACE('',(#29564),#314130,.F.); #324409=ADVANCED_FACE('',(#29565),#314131,.F.); #324410=ADVANCED_FACE('',(#29566),#14305,.T.); #324411=ADVANCED_FACE('',(#29567),#14306,.T.); #324412=ADVANCED_FACE('',(#29568),#14307,.T.); #324413=ADVANCED_FACE('',(#29569),#14308,.T.); #324414=ADVANCED_FACE('',(#29570),#14309,.T.); #324415=ADVANCED_FACE('',(#29571),#14310,.T.); #324416=ADVANCED_FACE('',(#29572),#14311,.T.); #324417=ADVANCED_FACE('',(#29573),#14312,.T.); #324418=ADVANCED_FACE('',(#29574),#14313,.T.); #324419=ADVANCED_FACE('',(#29575,#5537),#14314,.F.); #324420=ADVANCED_FACE('',(#29576),#14315,.T.); #324421=ADVANCED_FACE('',(#29577,#5538),#14316,.T.); #324422=ADVANCED_FACE('',(#29578),#14317,.T.); #324423=ADVANCED_FACE('',(#29579),#14318,.T.); #324424=ADVANCED_FACE('',(#29580),#14319,.T.); #324425=ADVANCED_FACE('',(#29581),#14320,.T.); #324426=ADVANCED_FACE('',(#29582),#14321,.T.); #324427=ADVANCED_FACE('',(#29583),#14322,.F.); #324428=ADVANCED_FACE('',(#29584),#314132,.F.); #324429=ADVANCED_FACE('',(#29585),#14323,.T.); #324430=ADVANCED_FACE('',(#29586),#14324,.T.); #324431=ADVANCED_FACE('',(#29587),#14325,.T.); #324432=ADVANCED_FACE('',(#29588),#14326,.T.); #324433=ADVANCED_FACE('',(#29589,#5539),#14327,.T.); #324434=ADVANCED_FACE('',(#29590,#5540),#14328,.F.); #324435=ADVANCED_FACE('',(#29591),#14329,.T.); #324436=ADVANCED_FACE('',(#29592),#14330,.T.); #324437=ADVANCED_FACE('',(#29593),#14331,.T.); #324438=ADVANCED_FACE('',(#29594),#14332,.T.); #324439=ADVANCED_FACE('',(#29595),#14333,.T.); #324440=ADVANCED_FACE('',(#29596),#14334,.F.); #324441=ADVANCED_FACE('',(#29597),#14335,.T.); #324442=ADVANCED_FACE('',(#29598),#14336,.T.); #324443=ADVANCED_FACE('',(#29599),#14337,.T.); #324444=ADVANCED_FACE('',(#29600),#14338,.T.); #324445=ADVANCED_FACE('',(#29601),#14339,.T.); #324446=ADVANCED_FACE('',(#29602),#14340,.F.); #324447=ADVANCED_FACE('',(#29603),#14341,.T.); #324448=ADVANCED_FACE('',(#29604),#14342,.T.); #324449=ADVANCED_FACE('',(#29605),#14343,.T.); #324450=ADVANCED_FACE('',(#29606),#14344,.T.); #324451=ADVANCED_FACE('',(#29607),#14345,.T.); #324452=ADVANCED_FACE('',(#29608),#14346,.F.); #324453=ADVANCED_FACE('',(#29609),#314133,.F.); #324454=ADVANCED_FACE('',(#29610),#14347,.T.); #324455=ADVANCED_FACE('',(#29611),#14348,.T.); #324456=ADVANCED_FACE('',(#29612),#14349,.T.); #324457=ADVANCED_FACE('',(#29613),#14350,.T.); #324458=ADVANCED_FACE('',(#29614,#5541),#14351,.T.); #324459=ADVANCED_FACE('',(#29615,#5542),#14352,.F.); #324460=ADVANCED_FACE('',(#29616),#314134,.F.); #324461=ADVANCED_FACE('',(#29617),#14353,.T.); #324462=ADVANCED_FACE('',(#29618),#14354,.T.); #324463=ADVANCED_FACE('',(#29619),#14355,.T.); #324464=ADVANCED_FACE('',(#29620),#14356,.T.); #324465=ADVANCED_FACE('',(#29621,#5543),#14357,.T.); #324466=ADVANCED_FACE('',(#29622,#5544),#14358,.F.); #324467=ADVANCED_FACE('',(#29623),#14359,.T.); #324468=ADVANCED_FACE('',(#29624),#14360,.T.); #324469=ADVANCED_FACE('',(#29625),#14361,.T.); #324470=ADVANCED_FACE('',(#29626),#14362,.T.); #324471=ADVANCED_FACE('',(#29627),#14363,.T.); #324472=ADVANCED_FACE('',(#29628),#14364,.F.); #324473=ADVANCED_FACE('',(#29629),#14365,.T.); #324474=ADVANCED_FACE('',(#29630),#14366,.T.); #324475=ADVANCED_FACE('',(#29631),#14367,.T.); #324476=ADVANCED_FACE('',(#29632),#14368,.T.); #324477=ADVANCED_FACE('',(#29633),#14369,.T.); #324478=ADVANCED_FACE('',(#29634),#14370,.F.); #324479=ADVANCED_FACE('',(#29635),#14371,.T.); #324480=ADVANCED_FACE('',(#29636),#14372,.T.); #324481=ADVANCED_FACE('',(#29637),#14373,.T.); #324482=ADVANCED_FACE('',(#29638),#14374,.T.); #324483=ADVANCED_FACE('',(#29639),#14375,.T.); #324484=ADVANCED_FACE('',(#29640),#14376,.F.); #324485=ADVANCED_FACE('',(#29641),#314135,.F.); #324486=ADVANCED_FACE('',(#29642),#314136,.F.); #324487=ADVANCED_FACE('',(#29643),#314137,.F.); #324488=ADVANCED_FACE('',(#29644),#314138,.F.); #324489=ADVANCED_FACE('',(#29645),#314139,.F.); #324490=ADVANCED_FACE('',(#29646),#314140,.F.); #324491=ADVANCED_FACE('',(#29647),#14377,.T.); #324492=ADVANCED_FACE('',(#29648),#14378,.T.); #324493=ADVANCED_FACE('',(#29649),#14379,.T.); #324494=ADVANCED_FACE('',(#29650),#14380,.T.); #324495=ADVANCED_FACE('',(#29651),#14381,.T.); #324496=ADVANCED_FACE('',(#29652),#14382,.T.); #324497=ADVANCED_FACE('',(#29653,#5545,#5546),#14383,.F.); #324498=ADVANCED_FACE('',(#29654),#14384,.T.); #324499=ADVANCED_FACE('',(#29655),#14385,.T.); #324500=ADVANCED_FACE('',(#29656,#5547,#5548),#14386,.T.); #324501=ADVANCED_FACE('',(#29657),#14387,.T.); #324502=ADVANCED_FACE('',(#29658),#14388,.T.); #324503=ADVANCED_FACE('',(#29659),#14389,.T.); #324504=ADVANCED_FACE('',(#29660),#14390,.T.); #324505=ADVANCED_FACE('',(#29661),#14391,.T.); #324506=ADVANCED_FACE('',(#29662),#14392,.F.); #324507=ADVANCED_FACE('',(#29663),#14393,.T.); #324508=ADVANCED_FACE('',(#29664),#14394,.T.); #324509=ADVANCED_FACE('',(#29665),#14395,.T.); #324510=ADVANCED_FACE('',(#29666),#14396,.T.); #324511=ADVANCED_FACE('',(#29667),#14397,.T.); #324512=ADVANCED_FACE('',(#29668),#14398,.F.); #324513=ADVANCED_FACE('',(#29669),#14399,.T.); #324514=ADVANCED_FACE('',(#29670),#14400,.T.); #324515=ADVANCED_FACE('',(#29671),#14401,.T.); #324516=ADVANCED_FACE('',(#29672),#14402,.T.); #324517=ADVANCED_FACE('',(#29673),#14403,.T.); #324518=ADVANCED_FACE('',(#29674),#14404,.F.); #324519=ADVANCED_FACE('',(#29675),#14405,.T.); #324520=ADVANCED_FACE('',(#29676),#14406,.T.); #324521=ADVANCED_FACE('',(#29677),#14407,.T.); #324522=ADVANCED_FACE('',(#29678),#14408,.T.); #324523=ADVANCED_FACE('',(#29679),#14409,.T.); #324524=ADVANCED_FACE('',(#29680),#14410,.F.); #324525=ADVANCED_FACE('',(#29681),#14411,.T.); #324526=ADVANCED_FACE('',(#29682),#14412,.T.); #324527=ADVANCED_FACE('',(#29683),#14413,.T.); #324528=ADVANCED_FACE('',(#29684),#14414,.T.); #324529=ADVANCED_FACE('',(#29685),#14415,.T.); #324530=ADVANCED_FACE('',(#29686),#14416,.F.); #324531=ADVANCED_FACE('',(#29687),#14417,.T.); #324532=ADVANCED_FACE('',(#29688),#14418,.T.); #324533=ADVANCED_FACE('',(#29689),#14419,.T.); #324534=ADVANCED_FACE('',(#29690),#14420,.T.); #324535=ADVANCED_FACE('',(#29691),#14421,.T.); #324536=ADVANCED_FACE('',(#29692),#14422,.F.); #324537=ADVANCED_FACE('',(#29693),#14423,.T.); #324538=ADVANCED_FACE('',(#29694),#14424,.T.); #324539=ADVANCED_FACE('',(#29695),#14425,.T.); #324540=ADVANCED_FACE('',(#29696),#14426,.T.); #324541=ADVANCED_FACE('',(#29697),#14427,.T.); #324542=ADVANCED_FACE('',(#29698),#14428,.F.); #324543=ADVANCED_FACE('',(#29699),#14429,.T.); #324544=ADVANCED_FACE('',(#29700),#14430,.T.); #324545=ADVANCED_FACE('',(#29701),#14431,.T.); #324546=ADVANCED_FACE('',(#29702),#14432,.T.); #324547=ADVANCED_FACE('',(#29703),#14433,.T.); #324548=ADVANCED_FACE('',(#29704),#14434,.F.); #324549=ADVANCED_FACE('',(#29705),#14435,.T.); #324550=ADVANCED_FACE('',(#29706),#14436,.T.); #324551=ADVANCED_FACE('',(#29707),#14437,.T.); #324552=ADVANCED_FACE('',(#29708),#14438,.T.); #324553=ADVANCED_FACE('',(#29709),#14439,.T.); #324554=ADVANCED_FACE('',(#29710),#14440,.F.); #324555=ADVANCED_FACE('',(#29711),#14441,.T.); #324556=ADVANCED_FACE('',(#29712),#14442,.T.); #324557=ADVANCED_FACE('',(#29713),#14443,.T.); #324558=ADVANCED_FACE('',(#29714),#14444,.T.); #324559=ADVANCED_FACE('',(#29715),#14445,.T.); #324560=ADVANCED_FACE('',(#29716),#14446,.F.); #324561=ADVANCED_FACE('',(#29717),#14447,.T.); #324562=ADVANCED_FACE('',(#29718),#14448,.T.); #324563=ADVANCED_FACE('',(#29719),#14449,.T.); #324564=ADVANCED_FACE('',(#29720),#14450,.T.); #324565=ADVANCED_FACE('',(#29721),#14451,.T.); #324566=ADVANCED_FACE('',(#29722),#14452,.F.); #324567=ADVANCED_FACE('',(#29723),#14453,.T.); #324568=ADVANCED_FACE('',(#29724),#14454,.T.); #324569=ADVANCED_FACE('',(#29725),#14455,.T.); #324570=ADVANCED_FACE('',(#29726),#14456,.T.); #324571=ADVANCED_FACE('',(#29727),#14457,.T.); #324572=ADVANCED_FACE('',(#29728),#14458,.F.); #324573=ADVANCED_FACE('',(#29729),#14459,.T.); #324574=ADVANCED_FACE('',(#29730),#14460,.T.); #324575=ADVANCED_FACE('',(#29731),#14461,.T.); #324576=ADVANCED_FACE('',(#29732),#14462,.T.); #324577=ADVANCED_FACE('',(#29733),#14463,.T.); #324578=ADVANCED_FACE('',(#29734),#14464,.F.); #324579=ADVANCED_FACE('',(#29735),#14465,.T.); #324580=ADVANCED_FACE('',(#29736),#14466,.T.); #324581=ADVANCED_FACE('',(#29737),#14467,.T.); #324582=ADVANCED_FACE('',(#29738),#14468,.T.); #324583=ADVANCED_FACE('',(#29739),#14469,.T.); #324584=ADVANCED_FACE('',(#29740),#14470,.F.); #324585=ADVANCED_FACE('',(#29741),#14471,.T.); #324586=ADVANCED_FACE('',(#29742),#14472,.T.); #324587=ADVANCED_FACE('',(#29743),#14473,.T.); #324588=ADVANCED_FACE('',(#29744),#14474,.T.); #324589=ADVANCED_FACE('',(#29745),#14475,.T.); #324590=ADVANCED_FACE('',(#29746),#14476,.F.); #324591=ADVANCED_FACE('',(#29747),#14477,.T.); #324592=ADVANCED_FACE('',(#29748),#14478,.T.); #324593=ADVANCED_FACE('',(#29749),#14479,.T.); #324594=ADVANCED_FACE('',(#29750),#14480,.T.); #324595=ADVANCED_FACE('',(#29751),#14481,.T.); #324596=ADVANCED_FACE('',(#29752),#14482,.F.); #324597=ADVANCED_FACE('',(#29753),#14483,.T.); #324598=ADVANCED_FACE('',(#29754),#14484,.T.); #324599=ADVANCED_FACE('',(#29755),#14485,.T.); #324600=ADVANCED_FACE('',(#29756),#14486,.T.); #324601=ADVANCED_FACE('',(#29757),#14487,.T.); #324602=ADVANCED_FACE('',(#29758),#14488,.F.); #324603=ADVANCED_FACE('',(#29759),#14489,.T.); #324604=ADVANCED_FACE('',(#29760),#14490,.T.); #324605=ADVANCED_FACE('',(#29761),#14491,.T.); #324606=ADVANCED_FACE('',(#29762),#14492,.T.); #324607=ADVANCED_FACE('',(#29763),#14493,.T.); #324608=ADVANCED_FACE('',(#29764),#14494,.F.); #324609=ADVANCED_FACE('',(#29765),#14495,.T.); #324610=ADVANCED_FACE('',(#29766),#14496,.T.); #324611=ADVANCED_FACE('',(#29767),#14497,.T.); #324612=ADVANCED_FACE('',(#29768),#14498,.T.); #324613=ADVANCED_FACE('',(#29769),#14499,.T.); #324614=ADVANCED_FACE('',(#29770),#14500,.F.); #324615=ADVANCED_FACE('',(#29771),#14501,.T.); #324616=ADVANCED_FACE('',(#29772),#14502,.T.); #324617=ADVANCED_FACE('',(#29773),#14503,.T.); #324618=ADVANCED_FACE('',(#29774),#14504,.T.); #324619=ADVANCED_FACE('',(#29775),#14505,.T.); #324620=ADVANCED_FACE('',(#29776),#14506,.F.); #324621=ADVANCED_FACE('',(#29777),#14507,.T.); #324622=ADVANCED_FACE('',(#29778),#14508,.T.); #324623=ADVANCED_FACE('',(#29779),#14509,.T.); #324624=ADVANCED_FACE('',(#29780),#14510,.T.); #324625=ADVANCED_FACE('',(#29781),#14511,.T.); #324626=ADVANCED_FACE('',(#29782),#14512,.F.); #324627=ADVANCED_FACE('',(#29783),#14513,.T.); #324628=ADVANCED_FACE('',(#29784),#14514,.T.); #324629=ADVANCED_FACE('',(#29785),#14515,.T.); #324630=ADVANCED_FACE('',(#29786),#14516,.T.); #324631=ADVANCED_FACE('',(#29787),#14517,.T.); #324632=ADVANCED_FACE('',(#29788),#14518,.F.); #324633=ADVANCED_FACE('',(#29789),#14519,.T.); #324634=ADVANCED_FACE('',(#29790),#14520,.T.); #324635=ADVANCED_FACE('',(#29791),#14521,.T.); #324636=ADVANCED_FACE('',(#29792),#14522,.T.); #324637=ADVANCED_FACE('',(#29793),#14523,.T.); #324638=ADVANCED_FACE('',(#29794),#14524,.F.); #324639=ADVANCED_FACE('',(#29795),#14525,.T.); #324640=ADVANCED_FACE('',(#29796),#14526,.T.); #324641=ADVANCED_FACE('',(#29797),#14527,.T.); #324642=ADVANCED_FACE('',(#29798),#14528,.T.); #324643=ADVANCED_FACE('',(#29799),#14529,.T.); #324644=ADVANCED_FACE('',(#29800),#14530,.F.); #324645=ADVANCED_FACE('',(#29801),#14531,.T.); #324646=ADVANCED_FACE('',(#29802),#14532,.T.); #324647=ADVANCED_FACE('',(#29803),#14533,.T.); #324648=ADVANCED_FACE('',(#29804),#14534,.T.); #324649=ADVANCED_FACE('',(#29805),#14535,.T.); #324650=ADVANCED_FACE('',(#29806),#14536,.F.); #324651=ADVANCED_FACE('',(#29807),#314141,.F.); #324652=ADVANCED_FACE('',(#29808),#314142,.F.); #324653=ADVANCED_FACE('',(#29809),#314143,.F.); #324654=ADVANCED_FACE('',(#29810),#314144,.F.); #324655=ADVANCED_FACE('',(#29811),#314145,.F.); #324656=ADVANCED_FACE('',(#29812),#314146,.F.); #324657=ADVANCED_FACE('',(#29813),#14537,.T.); #324658=ADVANCED_FACE('',(#29814),#14538,.T.); #324659=ADVANCED_FACE('',(#29815),#14539,.T.); #324660=ADVANCED_FACE('',(#29816),#14540,.T.); #324661=ADVANCED_FACE('',(#29817,#5549,#5550,#5551,#5552,#5553,#5554),#14541, .T.); #324662=ADVANCED_FACE('',(#29818,#5555,#5556,#5557,#5558,#5559,#5560),#14542, .F.); #324663=ADVANCED_FACE('',(#29819),#14543,.T.); #324664=ADVANCED_FACE('',(#29820),#14544,.T.); #324665=ADVANCED_FACE('',(#29821),#14545,.T.); #324666=ADVANCED_FACE('',(#29822),#14546,.T.); #324667=ADVANCED_FACE('',(#29823),#14547,.T.); #324668=ADVANCED_FACE('',(#29824),#14548,.F.); #324669=ADVANCED_FACE('',(#29825),#14549,.T.); #324670=ADVANCED_FACE('',(#29826),#14550,.T.); #324671=ADVANCED_FACE('',(#29827),#14551,.T.); #324672=ADVANCED_FACE('',(#29828),#14552,.T.); #324673=ADVANCED_FACE('',(#29829),#14553,.T.); #324674=ADVANCED_FACE('',(#29830),#14554,.F.); #324675=ADVANCED_FACE('',(#29831),#14555,.T.); #324676=ADVANCED_FACE('',(#29832),#14556,.T.); #324677=ADVANCED_FACE('',(#29833),#14557,.T.); #324678=ADVANCED_FACE('',(#29834),#14558,.T.); #324679=ADVANCED_FACE('',(#29835),#14559,.T.); #324680=ADVANCED_FACE('',(#29836),#14560,.F.); #324681=ADVANCED_FACE('',(#29837),#14561,.T.); #324682=ADVANCED_FACE('',(#29838),#14562,.T.); #324683=ADVANCED_FACE('',(#29839),#14563,.T.); #324684=ADVANCED_FACE('',(#29840),#14564,.T.); #324685=ADVANCED_FACE('',(#29841),#14565,.T.); #324686=ADVANCED_FACE('',(#29842),#14566,.F.); #324687=ADVANCED_FACE('',(#29843),#14567,.T.); #324688=ADVANCED_FACE('',(#29844),#14568,.T.); #324689=ADVANCED_FACE('',(#29845),#14569,.T.); #324690=ADVANCED_FACE('',(#29846),#14570,.T.); #324691=ADVANCED_FACE('',(#29847),#14571,.T.); #324692=ADVANCED_FACE('',(#29848),#14572,.F.); #324693=ADVANCED_FACE('',(#29849),#14573,.T.); #324694=ADVANCED_FACE('',(#29850),#14574,.T.); #324695=ADVANCED_FACE('',(#29851),#14575,.T.); #324696=ADVANCED_FACE('',(#29852),#14576,.T.); #324697=ADVANCED_FACE('',(#29853),#14577,.T.); #324698=ADVANCED_FACE('',(#29854),#14578,.F.); #324699=ADVANCED_FACE('',(#29855),#14579,.T.); #324700=ADVANCED_FACE('',(#29856),#14580,.T.); #324701=ADVANCED_FACE('',(#29857),#14581,.T.); #324702=ADVANCED_FACE('',(#29858),#14582,.T.); #324703=ADVANCED_FACE('',(#29859),#14583,.T.); #324704=ADVANCED_FACE('',(#29860),#14584,.F.); #324705=ADVANCED_FACE('',(#29861),#14585,.T.); #324706=ADVANCED_FACE('',(#29862),#14586,.T.); #324707=ADVANCED_FACE('',(#29863),#14587,.T.); #324708=ADVANCED_FACE('',(#29864),#14588,.T.); #324709=ADVANCED_FACE('',(#29865),#14589,.T.); #324710=ADVANCED_FACE('',(#29866),#14590,.F.); #324711=ADVANCED_FACE('',(#29867),#314147,.F.); #324712=ADVANCED_FACE('',(#29868),#14591,.T.); #324713=ADVANCED_FACE('',(#29869),#314148,.T.); #324714=ADVANCED_FACE('',(#29870),#314149,.T.); #324715=ADVANCED_FACE('',(#29871,#5561),#14592,.T.); #324716=ADVANCED_FACE('',(#29872,#5562),#14593,.F.); #324717=ADVANCED_FACE('',(#29873),#14594,.T.); #324718=ADVANCED_FACE('',(#29874),#14595,.T.); #324719=ADVANCED_FACE('',(#29875),#14596,.T.); #324720=ADVANCED_FACE('',(#29876),#14597,.T.); #324721=ADVANCED_FACE('',(#29877),#14598,.T.); #324722=ADVANCED_FACE('',(#29878),#14599,.F.); #324723=ADVANCED_FACE('',(#29879),#14600,.T.); #324724=ADVANCED_FACE('',(#29880),#14601,.T.); #324725=ADVANCED_FACE('',(#29881),#14602,.T.); #324726=ADVANCED_FACE('',(#29882),#14603,.T.); #324727=ADVANCED_FACE('',(#29883),#14604,.T.); #324728=ADVANCED_FACE('',(#29884),#14605,.F.); #324729=ADVANCED_FACE('',(#29885),#314150,.F.); #324730=ADVANCED_FACE('',(#29886),#314151,.F.); #324731=ADVANCED_FACE('',(#29887),#14606,.T.); #324732=ADVANCED_FACE('',(#29888),#14607,.T.); #324733=ADVANCED_FACE('',(#29889),#14608,.T.); #324734=ADVANCED_FACE('',(#29890),#14609,.T.); #324735=ADVANCED_FACE('',(#29891,#5563,#5564),#14610,.T.); #324736=ADVANCED_FACE('',(#29892,#5565,#5566),#14611,.F.); #324737=ADVANCED_FACE('',(#29893),#14612,.T.); #324738=ADVANCED_FACE('',(#29894),#14613,.T.); #324739=ADVANCED_FACE('',(#29895),#14614,.T.); #324740=ADVANCED_FACE('',(#29896),#14615,.T.); #324741=ADVANCED_FACE('',(#29897),#14616,.T.); #324742=ADVANCED_FACE('',(#29898),#14617,.F.); #324743=ADVANCED_FACE('',(#29899),#314152,.F.); #324744=ADVANCED_FACE('',(#29900),#314153,.F.); #324745=ADVANCED_FACE('',(#29901),#14618,.T.); #324746=ADVANCED_FACE('',(#29902),#14619,.T.); #324747=ADVANCED_FACE('',(#29903),#14620,.T.); #324748=ADVANCED_FACE('',(#29904),#14621,.T.); #324749=ADVANCED_FACE('',(#29905,#5567,#5568),#14622,.T.); #324750=ADVANCED_FACE('',(#29906,#5569,#5570),#14623,.F.); #324751=ADVANCED_FACE('',(#29907),#14624,.T.); #324752=ADVANCED_FACE('',(#29908),#14625,.T.); #324753=ADVANCED_FACE('',(#29909),#14626,.T.); #324754=ADVANCED_FACE('',(#29910),#14627,.T.); #324755=ADVANCED_FACE('',(#29911),#14628,.T.); #324756=ADVANCED_FACE('',(#29912),#14629,.F.); #324757=ADVANCED_FACE('',(#29913),#314154,.F.); #324758=ADVANCED_FACE('',(#29914),#314155,.F.); #324759=ADVANCED_FACE('',(#29915),#14630,.T.); #324760=ADVANCED_FACE('',(#29916),#14631,.T.); #324761=ADVANCED_FACE('',(#29917),#14632,.T.); #324762=ADVANCED_FACE('',(#29918),#14633,.T.); #324763=ADVANCED_FACE('',(#29919,#5571,#5572),#14634,.T.); #324764=ADVANCED_FACE('',(#29920,#5573,#5574),#14635,.F.); #324765=ADVANCED_FACE('',(#29921),#14636,.T.); #324766=ADVANCED_FACE('',(#29922),#14637,.T.); #324767=ADVANCED_FACE('',(#29923),#14638,.T.); #324768=ADVANCED_FACE('',(#29924),#14639,.T.); #324769=ADVANCED_FACE('',(#29925),#14640,.T.); #324770=ADVANCED_FACE('',(#29926),#14641,.F.); #324771=ADVANCED_FACE('',(#29927),#314156,.F.); #324772=ADVANCED_FACE('',(#29928),#314157,.F.); #324773=ADVANCED_FACE('',(#29929),#14642,.T.); #324774=ADVANCED_FACE('',(#29930),#14643,.T.); #324775=ADVANCED_FACE('',(#29931),#14644,.T.); #324776=ADVANCED_FACE('',(#29932),#14645,.T.); #324777=ADVANCED_FACE('',(#29933,#5575,#5576),#14646,.T.); #324778=ADVANCED_FACE('',(#29934,#5577,#5578),#14647,.F.); #324779=ADVANCED_FACE('',(#29935),#14648,.T.); #324780=ADVANCED_FACE('',(#29936),#14649,.T.); #324781=ADVANCED_FACE('',(#29937),#14650,.T.); #324782=ADVANCED_FACE('',(#29938),#14651,.T.); #324783=ADVANCED_FACE('',(#29939),#14652,.T.); #324784=ADVANCED_FACE('',(#29940),#14653,.F.); #324785=ADVANCED_FACE('',(#29941),#14654,.T.); #324786=ADVANCED_FACE('',(#29942),#14655,.T.); #324787=ADVANCED_FACE('',(#29943),#14656,.T.); #324788=ADVANCED_FACE('',(#29944),#14657,.T.); #324789=ADVANCED_FACE('',(#29945),#14658,.T.); #324790=ADVANCED_FACE('',(#29946),#14659,.T.); #324791=ADVANCED_FACE('',(#29947),#314158,.T.); #324792=ADVANCED_FACE('',(#29948),#14660,.T.); #324793=ADVANCED_FACE('',(#29949),#14661,.T.); #324794=ADVANCED_FACE('',(#29950),#14662,.T.); #324795=ADVANCED_FACE('',(#29951),#14663,.T.); #324796=ADVANCED_FACE('',(#29952),#14664,.T.); #324797=ADVANCED_FACE('',(#29953),#314159,.T.); #324798=ADVANCED_FACE('',(#29954),#14665,.T.); #324799=ADVANCED_FACE('',(#29955),#14666,.T.); #324800=ADVANCED_FACE('',(#29956),#314160,.T.); #324801=ADVANCED_FACE('',(#29957),#14667,.T.); #324802=ADVANCED_FACE('',(#29958),#14668,.T.); #324803=ADVANCED_FACE('',(#29959),#14669,.T.); #324804=ADVANCED_FACE('',(#29960),#14670,.T.); #324805=ADVANCED_FACE('',(#29961),#14671,.T.); #324806=ADVANCED_FACE('',(#29962),#14672,.T.); #324807=ADVANCED_FACE('',(#29963),#14673,.T.); #324808=ADVANCED_FACE('',(#29964),#314161,.T.); #324809=ADVANCED_FACE('',(#29965),#14674,.T.); #324810=ADVANCED_FACE('',(#29966),#314162,.T.); #324811=ADVANCED_FACE('',(#29967),#14675,.T.); #324812=ADVANCED_FACE('',(#29968),#14676,.T.); #324813=ADVANCED_FACE('',(#29969),#14677,.T.); #324814=ADVANCED_FACE('',(#29970),#14678,.T.); #324815=ADVANCED_FACE('',(#29971),#14679,.T.); #324816=ADVANCED_FACE('',(#29972),#14680,.T.); #324817=ADVANCED_FACE('',(#29973),#14681,.T.); #324818=ADVANCED_FACE('',(#29974),#14682,.T.); #324819=ADVANCED_FACE('',(#29975),#314163,.T.); #324820=ADVANCED_FACE('',(#29976),#14683,.T.); #324821=ADVANCED_FACE('',(#29977),#314164,.T.); #324822=ADVANCED_FACE('',(#29978),#14684,.T.); #324823=ADVANCED_FACE('',(#29979),#14685,.T.); #324824=ADVANCED_FACE('',(#29980),#14686,.T.); #324825=ADVANCED_FACE('',(#29981),#314165,.T.); #324826=ADVANCED_FACE('',(#29982),#14687,.T.); #324827=ADVANCED_FACE('',(#29983),#314166,.T.); #324828=ADVANCED_FACE('',(#29984),#14688,.T.); #324829=ADVANCED_FACE('',(#29985),#14689,.T.); #324830=ADVANCED_FACE('',(#29986),#14690,.T.); #324831=ADVANCED_FACE('',(#29987),#14691,.T.); #324832=ADVANCED_FACE('',(#29988),#14692,.T.); #324833=ADVANCED_FACE('',(#29989),#314167,.T.); #324834=ADVANCED_FACE('',(#29990),#14693,.T.); #324835=ADVANCED_FACE('',(#29991),#14694,.T.); #324836=ADVANCED_FACE('',(#29992),#14695,.T.); #324837=ADVANCED_FACE('',(#29993),#14696,.T.); #324838=ADVANCED_FACE('',(#29994),#14697,.T.); #324839=ADVANCED_FACE('',(#29995),#14698,.T.); #324840=ADVANCED_FACE('',(#29996),#314168,.T.); #324841=ADVANCED_FACE('',(#29997),#14699,.T.); #324842=ADVANCED_FACE('',(#29998),#14700,.T.); #324843=ADVANCED_FACE('',(#29999),#14701,.T.); #324844=ADVANCED_FACE('',(#30000),#14702,.F.); #324845=ADVANCED_FACE('',(#30001),#314169,.F.); #324846=ADVANCED_FACE('',(#30002),#314170,.F.); #324847=ADVANCED_FACE('',(#30003),#14703,.T.); #324848=ADVANCED_FACE('',(#30004),#314171,.T.); #324849=ADVANCED_FACE('',(#30005),#314172,.T.); #324850=ADVANCED_FACE('',(#30006),#14704,.T.); #324851=ADVANCED_FACE('',(#30007),#314173,.T.); #324852=ADVANCED_FACE('',(#30008),#314174,.T.); #324853=ADVANCED_FACE('',(#30009),#14705,.T.); #324854=ADVANCED_FACE('',(#30010),#314175,.T.); #324855=ADVANCED_FACE('',(#30011,#5579,#5580),#14706,.T.); #324856=ADVANCED_FACE('',(#30012,#5581,#5582),#14707,.F.); #324857=ADVANCED_FACE('',(#30013),#314176,.F.); #324858=ADVANCED_FACE('',(#30014),#14708,.T.); #324859=ADVANCED_FACE('',(#30015),#314177,.T.); #324860=ADVANCED_FACE('',(#30016),#14709,.T.); #324861=ADVANCED_FACE('',(#30017),#14710,.T.); #324862=ADVANCED_FACE('',(#30018),#14711,.T.); #324863=ADVANCED_FACE('',(#30019),#14712,.T.); #324864=ADVANCED_FACE('',(#30020),#14713,.T.); #324865=ADVANCED_FACE('',(#30021),#14714,.T.); #324866=ADVANCED_FACE('',(#30022),#14715,.T.); #324867=ADVANCED_FACE('',(#30023),#314178,.T.); #324868=ADVANCED_FACE('',(#30024,#5583),#14716,.T.); #324869=ADVANCED_FACE('',(#30025,#5584),#14717,.F.); #324870=ADVANCED_FACE('',(#30026),#314179,.F.); #324871=ADVANCED_FACE('',(#30027),#14718,.T.); #324872=ADVANCED_FACE('',(#30028),#14719,.T.); #324873=ADVANCED_FACE('',(#30029),#14720,.T.); #324874=ADVANCED_FACE('',(#30030),#14721,.T.); #324875=ADVANCED_FACE('',(#30031),#14722,.T.); #324876=ADVANCED_FACE('',(#30032),#314180,.T.); #324877=ADVANCED_FACE('',(#30033),#14723,.T.); #324878=ADVANCED_FACE('',(#30034),#314181,.T.); #324879=ADVANCED_FACE('',(#30035),#314182,.T.); #324880=ADVANCED_FACE('',(#30036),#314183,.T.); #324881=ADVANCED_FACE('',(#30037),#14724,.T.); #324882=ADVANCED_FACE('',(#30038),#14725,.T.); #324883=ADVANCED_FACE('',(#30039),#14726,.T.); #324884=ADVANCED_FACE('',(#30040,#5585),#14727,.T.); #324885=ADVANCED_FACE('',(#30041,#5586),#14728,.F.); #324886=ADVANCED_FACE('',(#30042),#314184,.F.); #324887=ADVANCED_FACE('',(#30043),#314185,.F.); #324888=ADVANCED_FACE('',(#30044),#14729,.T.); #324889=ADVANCED_FACE('',(#30045),#314186,.T.); #324890=ADVANCED_FACE('',(#30046),#314187,.T.); #324891=ADVANCED_FACE('',(#30047),#14730,.T.); #324892=ADVANCED_FACE('',(#30048),#14731,.T.); #324893=ADVANCED_FACE('',(#30049),#14732,.T.); #324894=ADVANCED_FACE('',(#30050),#14733,.T.); #324895=ADVANCED_FACE('',(#30051),#14734,.T.); #324896=ADVANCED_FACE('',(#30052),#314188,.T.); #324897=ADVANCED_FACE('',(#30053),#14735,.T.); #324898=ADVANCED_FACE('',(#30054),#14736,.T.); #324899=ADVANCED_FACE('',(#30055),#314189,.T.); #324900=ADVANCED_FACE('',(#30056),#14737,.T.); #324901=ADVANCED_FACE('',(#30057),#314190,.T.); #324902=ADVANCED_FACE('',(#30058),#14738,.T.); #324903=ADVANCED_FACE('',(#30059),#14739,.T.); #324904=ADVANCED_FACE('',(#30060),#14740,.T.); #324905=ADVANCED_FACE('',(#30061),#314191,.T.); #324906=ADVANCED_FACE('',(#30062),#14741,.T.); #324907=ADVANCED_FACE('',(#30063),#314192,.T.); #324908=ADVANCED_FACE('',(#30064),#14742,.T.); #324909=ADVANCED_FACE('',(#30065),#314193,.T.); #324910=ADVANCED_FACE('',(#30066),#314194,.T.); #324911=ADVANCED_FACE('',(#30067),#14743,.T.); #324912=ADVANCED_FACE('',(#30068),#14744,.T.); #324913=ADVANCED_FACE('',(#30069),#14745,.T.); #324914=ADVANCED_FACE('',(#30070),#314195,.T.); #324915=ADVANCED_FACE('',(#30071),#14746,.T.); #324916=ADVANCED_FACE('',(#30072),#314196,.T.); #324917=ADVANCED_FACE('',(#30073),#14747,.T.); #324918=ADVANCED_FACE('',(#30074),#14748,.T.); #324919=ADVANCED_FACE('',(#30075),#14749,.T.); #324920=ADVANCED_FACE('',(#30076),#314197,.T.); #324921=ADVANCED_FACE('',(#30077),#14750,.T.); #324922=ADVANCED_FACE('',(#30078),#14751,.T.); #324923=ADVANCED_FACE('',(#30079),#314198,.T.); #324924=ADVANCED_FACE('',(#30080),#14752,.T.); #324925=ADVANCED_FACE('',(#30081),#314199,.T.); #324926=ADVANCED_FACE('',(#30082),#14753,.T.); #324927=ADVANCED_FACE('',(#30083),#314200,.T.); #324928=ADVANCED_FACE('',(#30084),#14754,.T.); #324929=ADVANCED_FACE('',(#30085),#314201,.T.); #324930=ADVANCED_FACE('',(#30086),#14755,.T.); #324931=ADVANCED_FACE('',(#30087),#314202,.T.); #324932=ADVANCED_FACE('',(#30088,#5587,#5588),#14756,.T.); #324933=ADVANCED_FACE('',(#30089,#5589,#5590),#14757,.F.); #324934=ADVANCED_FACE('',(#30090),#314203,.F.); #324935=ADVANCED_FACE('',(#30091),#314204,.F.); #324936=ADVANCED_FACE('',(#30092),#14758,.T.); #324937=ADVANCED_FACE('',(#30093),#314205,.T.); #324938=ADVANCED_FACE('',(#30094),#314206,.T.); #324939=ADVANCED_FACE('',(#30095),#14759,.T.); #324940=ADVANCED_FACE('',(#30096),#14760,.T.); #324941=ADVANCED_FACE('',(#30097),#314207,.T.); #324942=ADVANCED_FACE('',(#30098),#314208,.T.); #324943=ADVANCED_FACE('',(#30099),#14761,.T.); #324944=ADVANCED_FACE('',(#30100),#314209,.T.); #324945=ADVANCED_FACE('',(#30101),#14762,.T.); #324946=ADVANCED_FACE('',(#30102),#314210,.T.); #324947=ADVANCED_FACE('',(#30103,#5591,#5592),#14763,.T.); #324948=ADVANCED_FACE('',(#30104,#5593,#5594),#14764,.F.); #324949=ADVANCED_FACE('',(#30105),#314211,.F.); #324950=ADVANCED_FACE('',(#30106),#14765,.T.); #324951=ADVANCED_FACE('',(#30107),#14766,.T.); #324952=ADVANCED_FACE('',(#30108),#14767,.T.); #324953=ADVANCED_FACE('',(#30109),#14768,.T.); #324954=ADVANCED_FACE('',(#30110),#14769,.T.); #324955=ADVANCED_FACE('',(#30111),#314212,.T.); #324956=ADVANCED_FACE('',(#30112),#14770,.T.); #324957=ADVANCED_FACE('',(#30113),#314213,.T.); #324958=ADVANCED_FACE('',(#30114),#314214,.T.); #324959=ADVANCED_FACE('',(#30115),#314215,.T.); #324960=ADVANCED_FACE('',(#30116),#14771,.T.); #324961=ADVANCED_FACE('',(#30117),#314216,.T.); #324962=ADVANCED_FACE('',(#30118),#14772,.T.); #324963=ADVANCED_FACE('',(#30119),#14773,.T.); #324964=ADVANCED_FACE('',(#30120),#14774,.T.); #324965=ADVANCED_FACE('',(#30121),#14775,.T.); #324966=ADVANCED_FACE('',(#30122),#14776,.T.); #324967=ADVANCED_FACE('',(#30123),#14777,.T.); #324968=ADVANCED_FACE('',(#30124),#14778,.T.); #324969=ADVANCED_FACE('',(#30125),#14779,.T.); #324970=ADVANCED_FACE('',(#30126),#314217,.T.); #324971=ADVANCED_FACE('',(#30127),#14780,.T.); #324972=ADVANCED_FACE('',(#30128),#14781,.T.); #324973=ADVANCED_FACE('',(#30129),#14782,.T.); #324974=ADVANCED_FACE('',(#30130,#5595),#14783,.T.); #324975=ADVANCED_FACE('',(#30131,#5596),#14784,.F.); #324976=ADVANCED_FACE('',(#30132),#314218,.F.); #324977=ADVANCED_FACE('',(#30133),#14785,.T.); #324978=ADVANCED_FACE('',(#30134),#314219,.T.); #324979=ADVANCED_FACE('',(#30135),#314220,.T.); #324980=ADVANCED_FACE('',(#30136),#14786,.T.); #324981=ADVANCED_FACE('',(#30137),#314221,.T.); #324982=ADVANCED_FACE('',(#30138),#14787,.T.); #324983=ADVANCED_FACE('',(#30139),#14788,.T.); #324984=ADVANCED_FACE('',(#30140),#14789,.T.); #324985=ADVANCED_FACE('',(#30141),#14790,.T.); #324986=ADVANCED_FACE('',(#30142),#14791,.T.); #324987=ADVANCED_FACE('',(#30143),#14792,.T.); #324988=ADVANCED_FACE('',(#30144),#14793,.T.); #324989=ADVANCED_FACE('',(#30145),#14794,.T.); #324990=ADVANCED_FACE('',(#30146),#314222,.T.); #324991=ADVANCED_FACE('',(#30147),#14795,.T.); #324992=ADVANCED_FACE('',(#30148),#14796,.T.); #324993=ADVANCED_FACE('',(#30149),#14797,.T.); #324994=ADVANCED_FACE('',(#30150),#14798,.T.); #324995=ADVANCED_FACE('',(#30151),#14799,.T.); #324996=ADVANCED_FACE('',(#30152),#14800,.T.); #324997=ADVANCED_FACE('',(#30153),#14801,.T.); #324998=ADVANCED_FACE('',(#30154),#14802,.T.); #324999=ADVANCED_FACE('',(#30155),#314223,.T.); #325000=ADVANCED_FACE('',(#30156),#14803,.T.); #325001=ADVANCED_FACE('',(#30157),#314224,.T.); #325002=ADVANCED_FACE('',(#30158),#314225,.T.); #325003=ADVANCED_FACE('',(#30159,#5597),#14804,.T.); #325004=ADVANCED_FACE('',(#30160,#5598),#14805,.F.); #325005=ADVANCED_FACE('',(#30161),#314226,.F.); #325006=ADVANCED_FACE('',(#30162),#314227,.F.); #325007=ADVANCED_FACE('',(#30163),#14806,.T.); #325008=ADVANCED_FACE('',(#30164),#14807,.T.); #325009=ADVANCED_FACE('',(#30165),#314228,.T.); #325010=ADVANCED_FACE('',(#30166),#14808,.T.); #325011=ADVANCED_FACE('',(#30167),#14809,.T.); #325012=ADVANCED_FACE('',(#30168),#314229,.T.); #325013=ADVANCED_FACE('',(#30169),#314230,.T.); #325014=ADVANCED_FACE('',(#30170),#14810,.T.); #325015=ADVANCED_FACE('',(#30171),#314231,.T.); #325016=ADVANCED_FACE('',(#30172),#14811,.T.); #325017=ADVANCED_FACE('',(#30173),#14812,.T.); #325018=ADVANCED_FACE('',(#30174),#14813,.T.); #325019=ADVANCED_FACE('',(#30175),#314232,.T.); #325020=ADVANCED_FACE('',(#30176),#14814,.T.); #325021=ADVANCED_FACE('',(#30177),#14815,.T.); #325022=ADVANCED_FACE('',(#30178),#14816,.T.); #325023=ADVANCED_FACE('',(#30179),#14817,.T.); #325024=ADVANCED_FACE('',(#30180),#14818,.T.); #325025=ADVANCED_FACE('',(#30181),#314233,.T.); #325026=ADVANCED_FACE('',(#30182),#14819,.T.); #325027=ADVANCED_FACE('',(#30183),#14820,.T.); #325028=ADVANCED_FACE('',(#30184),#314234,.T.); #325029=ADVANCED_FACE('',(#30185),#14821,.T.); #325030=ADVANCED_FACE('',(#30186),#314235,.T.); #325031=ADVANCED_FACE('',(#30187),#14822,.T.); #325032=ADVANCED_FACE('',(#30188),#14823,.T.); #325033=ADVANCED_FACE('',(#30189),#14824,.T.); #325034=ADVANCED_FACE('',(#30190),#314236,.T.); #325035=ADVANCED_FACE('',(#30191),#14825,.T.); #325036=ADVANCED_FACE('',(#30192),#314237,.T.); #325037=ADVANCED_FACE('',(#30193),#14826,.T.); #325038=ADVANCED_FACE('',(#30194),#314238,.T.); #325039=ADVANCED_FACE('',(#30195),#14827,.T.); #325040=ADVANCED_FACE('',(#30196),#14828,.T.); #325041=ADVANCED_FACE('',(#30197),#314239,.T.); #325042=ADVANCED_FACE('',(#30198),#314240,.T.); #325043=ADVANCED_FACE('',(#30199),#14829,.T.); #325044=ADVANCED_FACE('',(#30200),#314241,.T.); #325045=ADVANCED_FACE('',(#30201),#14830,.T.); #325046=ADVANCED_FACE('',(#30202),#14831,.T.); #325047=ADVANCED_FACE('',(#30203),#14832,.T.); #325048=ADVANCED_FACE('',(#30204),#14833,.T.); #325049=ADVANCED_FACE('',(#30205),#314242,.T.); #325050=ADVANCED_FACE('',(#30206),#14834,.T.); #325051=ADVANCED_FACE('',(#30207),#314243,.T.); #325052=ADVANCED_FACE('',(#30208),#14835,.T.); #325053=ADVANCED_FACE('',(#30209),#14836,.T.); #325054=ADVANCED_FACE('',(#30210),#14837,.T.); #325055=ADVANCED_FACE('',(#30211),#314244,.T.); #325056=ADVANCED_FACE('',(#30212),#14838,.T.); #325057=ADVANCED_FACE('',(#30213),#14839,.T.); #325058=ADVANCED_FACE('',(#30214),#314245,.T.); #325059=ADVANCED_FACE('',(#30215),#14840,.T.); #325060=ADVANCED_FACE('',(#30216),#314246,.T.); #325061=ADVANCED_FACE('',(#30217),#14841,.T.); #325062=ADVANCED_FACE('',(#30218),#314247,.T.); #325063=ADVANCED_FACE('',(#30219),#14842,.T.); #325064=ADVANCED_FACE('',(#30220),#314248,.T.); #325065=ADVANCED_FACE('',(#30221),#14843,.T.); #325066=ADVANCED_FACE('',(#30222),#14844,.T.); #325067=ADVANCED_FACE('',(#30223),#314249,.T.); #325068=ADVANCED_FACE('',(#30224,#5599,#5600),#14845,.T.); #325069=ADVANCED_FACE('',(#30225,#5601,#5602),#14846,.F.); #325070=ADVANCED_FACE('',(#30226),#314250,.F.); #325071=ADVANCED_FACE('',(#30227),#314251,.F.); #325072=ADVANCED_FACE('',(#30228),#14847,.T.); #325073=ADVANCED_FACE('',(#30229),#314252,.T.); #325074=ADVANCED_FACE('',(#30230),#314253,.T.); #325075=ADVANCED_FACE('',(#30231),#14848,.T.); #325076=ADVANCED_FACE('',(#30232),#314254,.T.); #325077=ADVANCED_FACE('',(#30233),#314255,.T.); #325078=ADVANCED_FACE('',(#30234),#14849,.T.); #325079=ADVANCED_FACE('',(#30235),#314256,.T.); #325080=ADVANCED_FACE('',(#30236,#5603,#5604),#14850,.T.); #325081=ADVANCED_FACE('',(#30237,#5605,#5606),#14851,.F.); #325082=ADVANCED_FACE('',(#30238),#314257,.F.); #325083=ADVANCED_FACE('',(#30239),#314258,.F.); #325084=ADVANCED_FACE('',(#30240),#14852,.T.); #325085=ADVANCED_FACE('',(#30241),#314259,.T.); #325086=ADVANCED_FACE('',(#30242),#314260,.T.); #325087=ADVANCED_FACE('',(#30243),#14853,.T.); #325088=ADVANCED_FACE('',(#30244),#314261,.T.); #325089=ADVANCED_FACE('',(#30245),#314262,.T.); #325090=ADVANCED_FACE('',(#30246),#14854,.T.); #325091=ADVANCED_FACE('',(#30247),#314263,.T.); #325092=ADVANCED_FACE('',(#30248,#5607,#5608),#14855,.T.); #325093=ADVANCED_FACE('',(#30249,#5609,#5610),#14856,.F.); #325094=ADVANCED_FACE('',(#30250),#314264,.F.); #325095=ADVANCED_FACE('',(#30251),#314265,.F.); #325096=ADVANCED_FACE('',(#30252),#14857,.T.); #325097=ADVANCED_FACE('',(#30253),#314266,.T.); #325098=ADVANCED_FACE('',(#30254),#314267,.T.); #325099=ADVANCED_FACE('',(#30255),#14858,.T.); #325100=ADVANCED_FACE('',(#30256),#314268,.T.); #325101=ADVANCED_FACE('',(#30257),#314269,.T.); #325102=ADVANCED_FACE('',(#30258),#14859,.T.); #325103=ADVANCED_FACE('',(#30259),#314270,.T.); #325104=ADVANCED_FACE('',(#30260,#5611,#5612),#14860,.T.); #325105=ADVANCED_FACE('',(#30261,#5613,#5614),#14861,.F.); #325106=ADVANCED_FACE('',(#30262),#314271,.F.); #325107=ADVANCED_FACE('',(#30263),#314272,.F.); #325108=ADVANCED_FACE('',(#30264),#14862,.T.); #325109=ADVANCED_FACE('',(#30265),#314273,.T.); #325110=ADVANCED_FACE('',(#30266),#14863,.T.); #325111=ADVANCED_FACE('',(#30267),#14864,.T.); #325112=ADVANCED_FACE('',(#30268),#14865,.T.); #325113=ADVANCED_FACE('',(#30269),#314274,.T.); #325114=ADVANCED_FACE('',(#30270),#314275,.T.); #325115=ADVANCED_FACE('',(#30271),#14866,.T.); #325116=ADVANCED_FACE('',(#30272),#314276,.T.); #325117=ADVANCED_FACE('',(#30273),#14867,.T.); #325118=ADVANCED_FACE('',(#30274),#314277,.T.); #325119=ADVANCED_FACE('',(#30275),#14868,.T.); #325120=ADVANCED_FACE('',(#30276),#314278,.T.); #325121=ADVANCED_FACE('',(#30277,#5615,#5616),#14869,.T.); #325122=ADVANCED_FACE('',(#30278,#5617,#5618),#14870,.F.); #325123=ADVANCED_FACE('',(#30279),#314279,.F.); #325124=ADVANCED_FACE('',(#30280),#14871,.T.); #325125=ADVANCED_FACE('',(#30281),#14872,.T.); #325126=ADVANCED_FACE('',(#30282),#14873,.T.); #325127=ADVANCED_FACE('',(#30283),#14874,.T.); #325128=ADVANCED_FACE('',(#30284,#5619),#14875,.T.); #325129=ADVANCED_FACE('',(#30285,#5620),#14876,.F.); #325130=ADVANCED_FACE('',(#30286),#314280,.F.); #325131=ADVANCED_FACE('',(#30287),#14877,.T.); #325132=ADVANCED_FACE('',(#30288),#14878,.T.); #325133=ADVANCED_FACE('',(#30289),#14879,.T.); #325134=ADVANCED_FACE('',(#30290),#14880,.T.); #325135=ADVANCED_FACE('',(#30291,#5621),#14881,.T.); #325136=ADVANCED_FACE('',(#30292,#5622),#14882,.F.); #325137=ADVANCED_FACE('',(#30293),#314281,.F.); #325138=ADVANCED_FACE('',(#30294),#14883,.T.); #325139=ADVANCED_FACE('',(#30295),#14884,.T.); #325140=ADVANCED_FACE('',(#30296),#14885,.T.); #325141=ADVANCED_FACE('',(#30297),#14886,.T.); #325142=ADVANCED_FACE('',(#30298,#5623),#14887,.T.); #325143=ADVANCED_FACE('',(#30299,#5624),#14888,.F.); #325144=ADVANCED_FACE('',(#30300),#314282,.F.); #325145=ADVANCED_FACE('',(#30301),#14889,.T.); #325146=ADVANCED_FACE('',(#30302),#314283,.T.); #325147=ADVANCED_FACE('',(#30303),#14890,.T.); #325148=ADVANCED_FACE('',(#30304),#14891,.T.); #325149=ADVANCED_FACE('',(#30305),#14892,.T.); #325150=ADVANCED_FACE('',(#30306),#14893,.T.); #325151=ADVANCED_FACE('',(#30307),#14894,.T.); #325152=ADVANCED_FACE('',(#30308),#14895,.T.); #325153=ADVANCED_FACE('',(#30309),#14896,.T.); #325154=ADVANCED_FACE('',(#30310),#314284,.T.); #325155=ADVANCED_FACE('',(#30311,#5625),#14897,.T.); #325156=ADVANCED_FACE('',(#30312,#5626),#14898,.F.); #325157=ADVANCED_FACE('',(#30313),#314285,.F.); #325158=ADVANCED_FACE('',(#30314),#314286,.F.); #325159=ADVANCED_FACE('',(#30315),#14899,.T.); #325160=ADVANCED_FACE('',(#30316),#314287,.T.); #325161=ADVANCED_FACE('',(#30317),#14900,.T.); #325162=ADVANCED_FACE('',(#30318),#314288,.T.); #325163=ADVANCED_FACE('',(#30319),#314289,.T.); #325164=ADVANCED_FACE('',(#30320),#14901,.T.); #325165=ADVANCED_FACE('',(#30321),#314290,.T.); #325166=ADVANCED_FACE('',(#30322,#5627,#5628),#14902,.T.); #325167=ADVANCED_FACE('',(#30323,#5629,#5630),#14903,.F.); #325168=ADVANCED_FACE('',(#30324),#314291,.F.); #325169=ADVANCED_FACE('',(#30325),#14904,.T.); #325170=ADVANCED_FACE('',(#30326),#314292,.T.); #325171=ADVANCED_FACE('',(#30327),#14905,.T.); #325172=ADVANCED_FACE('',(#30328),#14906,.T.); #325173=ADVANCED_FACE('',(#30329),#14907,.T.); #325174=ADVANCED_FACE('',(#30330),#14908,.T.); #325175=ADVANCED_FACE('',(#30331),#14909,.T.); #325176=ADVANCED_FACE('',(#30332),#14910,.T.); #325177=ADVANCED_FACE('',(#30333),#14911,.T.); #325178=ADVANCED_FACE('',(#30334),#314293,.T.); #325179=ADVANCED_FACE('',(#30335,#5631),#14912,.T.); #325180=ADVANCED_FACE('',(#30336,#5632),#14913,.F.); #325181=ADVANCED_FACE('',(#30337),#314294,.F.); #325182=ADVANCED_FACE('',(#30338),#314295,.F.); #325183=ADVANCED_FACE('',(#30339),#14914,.T.); #325184=ADVANCED_FACE('',(#30340),#314296,.T.); #325185=ADVANCED_FACE('',(#30341),#14915,.T.); #325186=ADVANCED_FACE('',(#30342),#314297,.T.); #325187=ADVANCED_FACE('',(#30343),#314298,.T.); #325188=ADVANCED_FACE('',(#30344),#14916,.T.); #325189=ADVANCED_FACE('',(#30345),#314299,.T.); #325190=ADVANCED_FACE('',(#30346,#5633,#5634),#14917,.T.); #325191=ADVANCED_FACE('',(#30347,#5635,#5636),#14918,.F.); #325192=ADVANCED_FACE('',(#30348),#314300,.F.); #325193=ADVANCED_FACE('',(#30349),#14919,.T.); #325194=ADVANCED_FACE('',(#30350),#314301,.T.); #325195=ADVANCED_FACE('',(#30351),#14920,.T.); #325196=ADVANCED_FACE('',(#30352),#14921,.T.); #325197=ADVANCED_FACE('',(#30353),#14922,.T.); #325198=ADVANCED_FACE('',(#30354),#14923,.T.); #325199=ADVANCED_FACE('',(#30355),#14924,.T.); #325200=ADVANCED_FACE('',(#30356),#14925,.T.); #325201=ADVANCED_FACE('',(#30357),#14926,.T.); #325202=ADVANCED_FACE('',(#30358),#314302,.T.); #325203=ADVANCED_FACE('',(#30359,#5637),#14927,.T.); #325204=ADVANCED_FACE('',(#30360,#5638),#14928,.F.); #325205=ADVANCED_FACE('',(#30361),#314303,.F.); #325206=ADVANCED_FACE('',(#30362),#14929,.T.); #325207=ADVANCED_FACE('',(#30363),#314304,.T.); #325208=ADVANCED_FACE('',(#30364),#14930,.T.); #325209=ADVANCED_FACE('',(#30365),#14931,.T.); #325210=ADVANCED_FACE('',(#30366),#14932,.T.); #325211=ADVANCED_FACE('',(#30367),#14933,.T.); #325212=ADVANCED_FACE('',(#30368),#14934,.T.); #325213=ADVANCED_FACE('',(#30369),#14935,.T.); #325214=ADVANCED_FACE('',(#30370),#14936,.T.); #325215=ADVANCED_FACE('',(#30371),#314305,.T.); #325216=ADVANCED_FACE('',(#30372,#5639),#14937,.T.); #325217=ADVANCED_FACE('',(#30373,#5640),#14938,.F.); #325218=ADVANCED_FACE('',(#30374),#314306,.F.); #325219=ADVANCED_FACE('',(#30375),#14939,.T.); #325220=ADVANCED_FACE('',(#30376),#314307,.T.); #325221=ADVANCED_FACE('',(#30377),#14940,.T.); #325222=ADVANCED_FACE('',(#30378),#314308,.T.); #325223=ADVANCED_FACE('',(#30379),#14941,.T.); #325224=ADVANCED_FACE('',(#30380),#314309,.T.); #325225=ADVANCED_FACE('',(#30381),#14942,.T.); #325226=ADVANCED_FACE('',(#30382),#14943,.T.); #325227=ADVANCED_FACE('',(#30383),#14944,.T.); #325228=ADVANCED_FACE('',(#30384),#14945,.T.); #325229=ADVANCED_FACE('',(#30385),#14946,.T.); #325230=ADVANCED_FACE('',(#30386),#14947,.T.); #325231=ADVANCED_FACE('',(#30387),#14948,.T.); #325232=ADVANCED_FACE('',(#30388),#14949,.T.); #325233=ADVANCED_FACE('',(#30389),#14950,.T.); #325234=ADVANCED_FACE('',(#30390),#314310,.T.); #325235=ADVANCED_FACE('',(#30391),#314311,.T.); #325236=ADVANCED_FACE('',(#30392,#5641),#14951,.T.); #325237=ADVANCED_FACE('',(#30393,#5642),#14952,.F.); #325238=ADVANCED_FACE('',(#30394),#314312,.F.); #325239=ADVANCED_FACE('',(#30395),#14953,.T.); #325240=ADVANCED_FACE('',(#30396),#14954,.T.); #325241=ADVANCED_FACE('',(#30397),#14955,.T.); #325242=ADVANCED_FACE('',(#30398),#14956,.T.); #325243=ADVANCED_FACE('',(#30399),#14957,.T.); #325244=ADVANCED_FACE('',(#30400),#14958,.T.); #325245=ADVANCED_FACE('',(#30401),#14959,.T.); #325246=ADVANCED_FACE('',(#30402),#14960,.T.); #325247=ADVANCED_FACE('',(#30403),#314313,.T.); #325248=ADVANCED_FACE('',(#30404),#314314,.T.); #325249=ADVANCED_FACE('',(#30405),#14961,.T.); #325250=ADVANCED_FACE('',(#30406),#314315,.T.); #325251=ADVANCED_FACE('',(#30407),#14962,.T.); #325252=ADVANCED_FACE('',(#30408),#314316,.T.); #325253=ADVANCED_FACE('',(#30409),#14963,.T.); #325254=ADVANCED_FACE('',(#30410,#5643),#14964,.T.); #325255=ADVANCED_FACE('',(#30411,#5644),#14965,.F.); #325256=ADVANCED_FACE('',(#30412),#314317,.F.); #325257=ADVANCED_FACE('',(#30413),#14966,.T.); #325258=ADVANCED_FACE('',(#30414),#314318,.T.); #325259=ADVANCED_FACE('',(#30415),#314319,.T.); #325260=ADVANCED_FACE('',(#30416,#5645),#14967,.T.); #325261=ADVANCED_FACE('',(#30417,#5646),#14968,.F.); #325262=ADVANCED_FACE('',(#30418),#314320,.F.); #325263=ADVANCED_FACE('',(#30419),#14969,.T.); #325264=ADVANCED_FACE('',(#30420),#14970,.T.); #325265=ADVANCED_FACE('',(#30421),#14971,.T.); #325266=ADVANCED_FACE('',(#30422),#14972,.T.); #325267=ADVANCED_FACE('',(#30423),#14973,.T.); #325268=ADVANCED_FACE('',(#30424),#14974,.T.); #325269=ADVANCED_FACE('',(#30425),#14975,.T.); #325270=ADVANCED_FACE('',(#30426),#314321,.T.); #325271=ADVANCED_FACE('',(#30427),#14976,.T.); #325272=ADVANCED_FACE('',(#30428),#14977,.T.); #325273=ADVANCED_FACE('',(#30429),#314322,.T.); #325274=ADVANCED_FACE('',(#30430),#314323,.T.); #325275=ADVANCED_FACE('',(#30431),#14978,.T.); #325276=ADVANCED_FACE('',(#30432),#314324,.T.); #325277=ADVANCED_FACE('',(#30433),#14979,.T.); #325278=ADVANCED_FACE('',(#30434),#14980,.T.); #325279=ADVANCED_FACE('',(#30435),#314325,.T.); #325280=ADVANCED_FACE('',(#30436),#14981,.T.); #325281=ADVANCED_FACE('',(#30437),#314326,.T.); #325282=ADVANCED_FACE('',(#30438),#14982,.T.); #325283=ADVANCED_FACE('',(#30439),#14983,.T.); #325284=ADVANCED_FACE('',(#30440,#5647),#14984,.T.); #325285=ADVANCED_FACE('',(#30441,#5648),#14985,.F.); #325286=ADVANCED_FACE('',(#30442),#314327,.F.); #325287=ADVANCED_FACE('',(#30443),#14986,.T.); #325288=ADVANCED_FACE('',(#30444),#14987,.T.); #325289=ADVANCED_FACE('',(#30445),#14988,.T.); #325290=ADVANCED_FACE('',(#30446),#14989,.T.); #325291=ADVANCED_FACE('',(#30447),#314328,.T.); #325292=ADVANCED_FACE('',(#30448),#14990,.T.); #325293=ADVANCED_FACE('',(#30449),#314329,.T.); #325294=ADVANCED_FACE('',(#30450),#14991,.T.); #325295=ADVANCED_FACE('',(#30451),#314330,.T.); #325296=ADVANCED_FACE('',(#30452),#314331,.T.); #325297=ADVANCED_FACE('',(#30453),#14992,.T.); #325298=ADVANCED_FACE('',(#30454),#14993,.T.); #325299=ADVANCED_FACE('',(#30455),#14994,.T.); #325300=ADVANCED_FACE('',(#30456),#14995,.T.); #325301=ADVANCED_FACE('',(#30457),#14996,.T.); #325302=ADVANCED_FACE('',(#30458,#5649),#14997,.T.); #325303=ADVANCED_FACE('',(#30459,#5650),#14998,.F.); #325304=ADVANCED_FACE('',(#30460),#14999,.T.); #325305=ADVANCED_FACE('',(#30461),#15000,.T.); #325306=ADVANCED_FACE('',(#30462),#15001,.T.); #325307=ADVANCED_FACE('',(#30463),#15002,.T.); #325308=ADVANCED_FACE('',(#30464),#15003,.T.); #325309=ADVANCED_FACE('',(#30465),#314332,.T.); #325310=ADVANCED_FACE('',(#30466),#15004,.T.); #325311=ADVANCED_FACE('',(#30467),#15005,.T.); #325312=ADVANCED_FACE('',(#30468),#15006,.T.); #325313=ADVANCED_FACE('',(#30469),#15007,.T.); #325314=ADVANCED_FACE('',(#30470),#15008,.T.); #325315=ADVANCED_FACE('',(#30471),#15009,.T.); #325316=ADVANCED_FACE('',(#30472),#15010,.T.); #325317=ADVANCED_FACE('',(#30473),#15011,.T.); #325318=ADVANCED_FACE('',(#30474),#15012,.T.); #325319=ADVANCED_FACE('',(#30475),#15013,.F.); #325320=ADVANCED_FACE('',(#30476),#15014,.T.); #325321=ADVANCED_FACE('',(#30477),#15015,.T.); #325322=ADVANCED_FACE('',(#30478),#15016,.T.); #325323=ADVANCED_FACE('',(#30479),#15017,.T.); #325324=ADVANCED_FACE('',(#30480),#15018,.T.); #325325=ADVANCED_FACE('',(#30481),#15019,.T.); #325326=ADVANCED_FACE('',(#30482),#15020,.T.); #325327=ADVANCED_FACE('',(#30483),#15021,.T.); #325328=ADVANCED_FACE('',(#30484),#15022,.T.); #325329=ADVANCED_FACE('',(#30485),#15023,.T.); #325330=ADVANCED_FACE('',(#30486),#15024,.T.); #325331=ADVANCED_FACE('',(#30487),#314333,.T.); #325332=ADVANCED_FACE('',(#30488),#15025,.T.); #325333=ADVANCED_FACE('',(#30489),#15026,.T.); #325334=ADVANCED_FACE('',(#30490),#15027,.T.); #325335=ADVANCED_FACE('',(#30491),#15028,.F.); #325336=ADVANCED_FACE('',(#30492),#314334,.F.); #325337=ADVANCED_FACE('',(#30493),#15029,.T.); #325338=ADVANCED_FACE('',(#30494),#15030,.T.); #325339=ADVANCED_FACE('',(#30495),#15031,.T.); #325340=ADVANCED_FACE('',(#30496),#15032,.T.); #325341=ADVANCED_FACE('',(#30497),#15033,.T.); #325342=ADVANCED_FACE('',(#30498),#314335,.T.); #325343=ADVANCED_FACE('',(#30499),#15034,.T.); #325344=ADVANCED_FACE('',(#30500),#15035,.T.); #325345=ADVANCED_FACE('',(#30501),#15036,.T.); #325346=ADVANCED_FACE('',(#30502),#15037,.T.); #325347=ADVANCED_FACE('',(#30503),#314336,.T.); #325348=ADVANCED_FACE('',(#30504),#15038,.T.); #325349=ADVANCED_FACE('',(#30505),#314337,.T.); #325350=ADVANCED_FACE('',(#30506),#15039,.T.); #325351=ADVANCED_FACE('',(#30507),#15040,.T.); #325352=ADVANCED_FACE('',(#30508),#15041,.T.); #325353=ADVANCED_FACE('',(#30509),#15042,.T.); #325354=ADVANCED_FACE('',(#30510),#15043,.T.); #325355=ADVANCED_FACE('',(#30511),#15044,.T.); #325356=ADVANCED_FACE('',(#30512),#15045,.T.); #325357=ADVANCED_FACE('',(#30513),#15046,.T.); #325358=ADVANCED_FACE('',(#30514),#15047,.T.); #325359=ADVANCED_FACE('',(#30515),#314338,.T.); #325360=ADVANCED_FACE('',(#30516),#15048,.T.); #325361=ADVANCED_FACE('',(#30517),#314339,.T.); #325362=ADVANCED_FACE('',(#30518),#15049,.T.); #325363=ADVANCED_FACE('',(#30519),#314340,.T.); #325364=ADVANCED_FACE('',(#30520),#15050,.T.); #325365=ADVANCED_FACE('',(#30521),#15051,.T.); #325366=ADVANCED_FACE('',(#30522),#15052,.T.); #325367=ADVANCED_FACE('',(#30523),#15053,.T.); #325368=ADVANCED_FACE('',(#30524),#15054,.T.); #325369=ADVANCED_FACE('',(#30525),#15055,.T.); #325370=ADVANCED_FACE('',(#30526),#15056,.T.); #325371=ADVANCED_FACE('',(#30527),#15057,.T.); #325372=ADVANCED_FACE('',(#30528),#15058,.T.); #325373=ADVANCED_FACE('',(#30529),#15059,.T.); #325374=ADVANCED_FACE('',(#30530),#15060,.T.); #325375=ADVANCED_FACE('',(#30531,#5651),#15061,.T.); #325376=ADVANCED_FACE('',(#30532,#5652),#15062,.F.); #325377=ADVANCED_FACE('',(#30533),#314341,.F.); #325378=ADVANCED_FACE('',(#30534),#314342,.F.); #325379=ADVANCED_FACE('',(#30535),#15063,.T.); #325380=ADVANCED_FACE('',(#30536),#314343,.T.); #325381=ADVANCED_FACE('',(#30537),#15064,.T.); #325382=ADVANCED_FACE('',(#30538),#314344,.T.); #325383=ADVANCED_FACE('',(#30539),#314345,.T.); #325384=ADVANCED_FACE('',(#30540),#15065,.T.); #325385=ADVANCED_FACE('',(#30541),#314346,.T.); #325386=ADVANCED_FACE('',(#30542,#5653,#5654),#15066,.T.); #325387=ADVANCED_FACE('',(#30543,#5655,#5656),#15067,.F.); #325388=ADVANCED_FACE('',(#30544),#314347,.F.); #325389=ADVANCED_FACE('',(#30545),#15068,.T.); #325390=ADVANCED_FACE('',(#30546),#15069,.T.); #325391=ADVANCED_FACE('',(#30547),#15070,.T.); #325392=ADVANCED_FACE('',(#30548),#15071,.T.); #325393=ADVANCED_FACE('',(#30549,#5657),#15072,.T.); #325394=ADVANCED_FACE('',(#30550,#5658),#15073,.F.); #325395=ADVANCED_FACE('',(#30551),#314348,.F.); #325396=ADVANCED_FACE('',(#30552),#314349,.F.); #325397=ADVANCED_FACE('',(#30553),#15074,.T.); #325398=ADVANCED_FACE('',(#30554),#314350,.T.); #325399=ADVANCED_FACE('',(#30555),#15075,.T.); #325400=ADVANCED_FACE('',(#30556),#314351,.T.); #325401=ADVANCED_FACE('',(#30557),#15076,.T.); #325402=ADVANCED_FACE('',(#30558),#314352,.T.); #325403=ADVANCED_FACE('',(#30559),#15077,.T.); #325404=ADVANCED_FACE('',(#30560),#314353,.T.); #325405=ADVANCED_FACE('',(#30561),#15078,.T.); #325406=ADVANCED_FACE('',(#30562),#314354,.T.); #325407=ADVANCED_FACE('',(#30563),#15079,.T.); #325408=ADVANCED_FACE('',(#30564),#314355,.T.); #325409=ADVANCED_FACE('',(#30565),#15080,.T.); #325410=ADVANCED_FACE('',(#30566),#314356,.T.); #325411=ADVANCED_FACE('',(#30567),#314357,.T.); #325412=ADVANCED_FACE('',(#30568),#15081,.T.); #325413=ADVANCED_FACE('',(#30569),#15082,.T.); #325414=ADVANCED_FACE('',(#30570),#15083,.T.); #325415=ADVANCED_FACE('',(#30571),#15084,.T.); #325416=ADVANCED_FACE('',(#30572),#15085,.T.); #325417=ADVANCED_FACE('',(#30573),#15086,.T.); #325418=ADVANCED_FACE('',(#30574),#314358,.T.); #325419=ADVANCED_FACE('',(#30575),#314359,.T.); #325420=ADVANCED_FACE('',(#30576,#5659,#5660),#15087,.T.); #325421=ADVANCED_FACE('',(#30577,#5661,#5662),#15088,.F.); #325422=ADVANCED_FACE('',(#30578),#314360,.F.); #325423=ADVANCED_FACE('',(#30579),#314361,.F.); #325424=ADVANCED_FACE('',(#30580),#15089,.T.); #325425=ADVANCED_FACE('',(#30581),#314362,.T.); #325426=ADVANCED_FACE('',(#30582),#15090,.T.); #325427=ADVANCED_FACE('',(#30583),#314363,.T.); #325428=ADVANCED_FACE('',(#30584),#314364,.T.); #325429=ADVANCED_FACE('',(#30585),#15091,.T.); #325430=ADVANCED_FACE('',(#30586),#15092,.T.); #325431=ADVANCED_FACE('',(#30587),#314365,.T.); #325432=ADVANCED_FACE('',(#30588,#5663,#5664),#15093,.T.); #325433=ADVANCED_FACE('',(#30589,#5665,#5666),#15094,.F.); #325434=ADVANCED_FACE('',(#30590),#314366,.F.); #325435=ADVANCED_FACE('',(#30591),#15095,.T.); #325436=ADVANCED_FACE('',(#30592),#15096,.T.); #325437=ADVANCED_FACE('',(#30593),#15097,.T.); #325438=ADVANCED_FACE('',(#30594),#15098,.T.); #325439=ADVANCED_FACE('',(#30595,#5667),#15099,.T.); #325440=ADVANCED_FACE('',(#30596,#5668),#15100,.F.); #325441=ADVANCED_FACE('',(#30597),#314367,.F.); #325442=ADVANCED_FACE('',(#30598),#15101,.T.); #325443=ADVANCED_FACE('',(#30599),#314368,.T.); #325444=ADVANCED_FACE('',(#30600),#314369,.T.); #325445=ADVANCED_FACE('',(#30601,#5669),#15102,.T.); #325446=ADVANCED_FACE('',(#30602,#5670),#15103,.F.); #325447=ADVANCED_FACE('',(#30603),#314370,.F.); #325448=ADVANCED_FACE('',(#30604),#314371,.F.); #325449=ADVANCED_FACE('',(#30605),#314372,.F.); #325450=ADVANCED_FACE('',(#30606),#314373,.F.); #325451=ADVANCED_FACE('',(#30607),#314374,.F.); #325452=ADVANCED_FACE('',(#30608),#314375,.F.); #325453=ADVANCED_FACE('',(#30609),#314376,.F.); #325454=ADVANCED_FACE('',(#30610),#314377,.F.); #325455=ADVANCED_FACE('',(#30611),#314378,.F.); #325456=ADVANCED_FACE('',(#30612),#314379,.F.); #325457=ADVANCED_FACE('',(#30613),#314380,.F.); #325458=ADVANCED_FACE('',(#30614),#314381,.F.); #325459=ADVANCED_FACE('',(#30615),#314382,.F.); #325460=ADVANCED_FACE('',(#30616),#15104,.T.); #325461=ADVANCED_FACE('',(#30617),#15105,.T.); #325462=ADVANCED_FACE('',(#30618),#15106,.T.); #325463=ADVANCED_FACE('',(#30619),#15107,.T.); #325464=ADVANCED_FACE('',(#30620),#15108,.T.); #325465=ADVANCED_FACE('',(#30621),#15109,.T.); #325466=ADVANCED_FACE('',(#30622),#15110,.T.); #325467=ADVANCED_FACE('',(#30623),#15111,.T.); #325468=ADVANCED_FACE('',(#30624),#15112,.T.); #325469=ADVANCED_FACE('',(#30625),#15113,.T.); #325470=ADVANCED_FACE('',(#30626),#15114,.T.); #325471=ADVANCED_FACE('',(#30627),#15115,.T.); #325472=ADVANCED_FACE('',(#30628),#15116,.T.); #325473=ADVANCED_FACE('',(#30629),#15117,.T.); #325474=ADVANCED_FACE('',(#30630),#15118,.T.); #325475=ADVANCED_FACE('',(#30631),#15119,.T.); #325476=ADVANCED_FACE('',(#30632),#15120,.T.); #325477=ADVANCED_FACE('',(#30633),#15121,.T.); #325478=ADVANCED_FACE('',(#30634),#314383,.T.); #325479=ADVANCED_FACE('',(#30635),#15122,.T.); #325480=ADVANCED_FACE('',(#30636),#15123,.T.); #325481=ADVANCED_FACE('',(#30637),#15124,.T.); #325482=ADVANCED_FACE('',(#30638),#15125,.T.); #325483=ADVANCED_FACE('',(#30639),#15126,.T.); #325484=ADVANCED_FACE('',(#30640),#15127,.T.); #325485=ADVANCED_FACE('',(#30641),#15128,.T.); #325486=ADVANCED_FACE('',(#30642),#15129,.T.); #325487=ADVANCED_FACE('',(#30643),#15130,.T.); #325488=ADVANCED_FACE('',(#30644),#15131,.T.); #325489=ADVANCED_FACE('',(#30645),#15132,.T.); #325490=ADVANCED_FACE('',(#30646),#15133,.T.); #325491=ADVANCED_FACE('',(#30647),#15134,.T.); #325492=ADVANCED_FACE('',(#30648),#15135,.T.); #325493=ADVANCED_FACE('',(#30649),#15136,.T.); #325494=ADVANCED_FACE('',(#30650),#15137,.T.); #325495=ADVANCED_FACE('',(#30651),#15138,.T.); #325496=ADVANCED_FACE('',(#30652),#15139,.T.); #325497=ADVANCED_FACE('',(#30653),#15140,.T.); #325498=ADVANCED_FACE('',(#30654),#15141,.T.); #325499=ADVANCED_FACE('',(#30655),#314384,.T.); #325500=ADVANCED_FACE('',(#30656),#15142,.T.); #325501=ADVANCED_FACE('',(#30657),#15143,.T.); #325502=ADVANCED_FACE('',(#30658),#15144,.T.); #325503=ADVANCED_FACE('',(#30659),#15145,.T.); #325504=ADVANCED_FACE('',(#30660),#15146,.T.); #325505=ADVANCED_FACE('',(#30661),#15147,.T.); #325506=ADVANCED_FACE('',(#30662),#15148,.T.); #325507=ADVANCED_FACE('',(#30663),#15149,.T.); #325508=ADVANCED_FACE('',(#30664),#15150,.T.); #325509=ADVANCED_FACE('',(#30665),#15151,.T.); #325510=ADVANCED_FACE('',(#30666),#15152,.T.); #325511=ADVANCED_FACE('',(#30667),#15153,.T.); #325512=ADVANCED_FACE('',(#30668),#15154,.T.); #325513=ADVANCED_FACE('',(#30669),#15155,.T.); #325514=ADVANCED_FACE('',(#30670),#15156,.T.); #325515=ADVANCED_FACE('',(#30671),#15157,.T.); #325516=ADVANCED_FACE('',(#30672),#15158,.T.); #325517=ADVANCED_FACE('',(#30673),#15159,.T.); #325518=ADVANCED_FACE('',(#30674),#15160,.T.); #325519=ADVANCED_FACE('',(#30675),#15161,.T.); #325520=ADVANCED_FACE('',(#30676),#15162,.T.); #325521=ADVANCED_FACE('',(#30677),#15163,.T.); #325522=ADVANCED_FACE('',(#30678),#15164,.T.); #325523=ADVANCED_FACE('',(#30679),#15165,.T.); #325524=ADVANCED_FACE('',(#30680),#15166,.T.); #325525=ADVANCED_FACE('',(#30681),#15167,.T.); #325526=ADVANCED_FACE('',(#30682),#15168,.T.); #325527=ADVANCED_FACE('',(#30683),#15169,.T.); #325528=ADVANCED_FACE('',(#30684),#15170,.T.); #325529=ADVANCED_FACE('',(#30685),#15171,.T.); #325530=ADVANCED_FACE('',(#30686),#15172,.T.); #325531=ADVANCED_FACE('',(#30687),#15173,.T.); #325532=ADVANCED_FACE('',(#30688),#15174,.T.); #325533=ADVANCED_FACE('',(#30689),#15175,.T.); #325534=ADVANCED_FACE('',(#30690),#15176,.T.); #325535=ADVANCED_FACE('',(#30691),#15177,.T.); #325536=ADVANCED_FACE('',(#30692),#15178,.T.); #325537=ADVANCED_FACE('',(#30693),#15179,.T.); #325538=ADVANCED_FACE('',(#30694),#15180,.T.); #325539=ADVANCED_FACE('',(#30695),#15181,.T.); #325540=ADVANCED_FACE('',(#30696),#15182,.T.); #325541=ADVANCED_FACE('',(#30697),#15183,.T.); #325542=ADVANCED_FACE('',(#30698),#15184,.T.); #325543=ADVANCED_FACE('',(#30699),#15185,.T.); #325544=ADVANCED_FACE('',(#30700),#15186,.T.); #325545=ADVANCED_FACE('',(#30701),#15187,.T.); #325546=ADVANCED_FACE('',(#30702),#15188,.T.); #325547=ADVANCED_FACE('',(#30703),#15189,.T.); #325548=ADVANCED_FACE('',(#30704),#314385,.T.); #325549=ADVANCED_FACE('',(#30705),#15190,.T.); #325550=ADVANCED_FACE('',(#30706),#314386,.T.); #325551=ADVANCED_FACE('',(#30707),#15191,.T.); #325552=ADVANCED_FACE('',(#30708),#15192,.T.); #325553=ADVANCED_FACE('',(#30709),#15193,.T.); #325554=ADVANCED_FACE('',(#30710),#15194,.T.); #325555=ADVANCED_FACE('',(#30711),#15195,.T.); #325556=ADVANCED_FACE('',(#30712),#314387,.T.); #325557=ADVANCED_FACE('',(#30713,#5671,#5672,#5673,#5674,#5675,#5676,#5677, #5678,#5679,#5680,#5681,#5682,#5683,#5684),#15196,.T.); #325558=ADVANCED_FACE('',(#30714,#5685,#5686,#5687,#5688,#5689,#5690,#5691, #5692,#5693,#5694,#5695,#5696,#5697,#5698),#15197,.F.); #325559=ADVANCED_FACE('',(#30715),#314388,.F.); #325560=ADVANCED_FACE('',(#30716),#314389,.F.); #325561=ADVANCED_FACE('',(#30717),#314390,.F.); #325562=ADVANCED_FACE('',(#30718),#314391,.F.); #325563=ADVANCED_FACE('',(#30719),#314392,.F.); #325564=ADVANCED_FACE('',(#30720),#314393,.F.); #325565=ADVANCED_FACE('',(#30721),#314394,.F.); #325566=ADVANCED_FACE('',(#30722),#314395,.F.); #325567=ADVANCED_FACE('',(#30723),#314396,.F.); #325568=ADVANCED_FACE('',(#30724),#314397,.F.); #325569=ADVANCED_FACE('',(#30725),#314398,.F.); #325570=ADVANCED_FACE('',(#30726),#314399,.F.); #325571=ADVANCED_FACE('',(#30727),#15198,.T.); #325572=ADVANCED_FACE('',(#30728),#15199,.T.); #325573=ADVANCED_FACE('',(#30729),#15200,.T.); #325574=ADVANCED_FACE('',(#30730),#15201,.T.); #325575=ADVANCED_FACE('',(#30731),#15202,.T.); #325576=ADVANCED_FACE('',(#30732),#15203,.T.); #325577=ADVANCED_FACE('',(#30733),#15204,.T.); #325578=ADVANCED_FACE('',(#30734),#15205,.T.); #325579=ADVANCED_FACE('',(#30735),#15206,.T.); #325580=ADVANCED_FACE('',(#30736),#15207,.T.); #325581=ADVANCED_FACE('',(#30737),#15208,.T.); #325582=ADVANCED_FACE('',(#30738),#15209,.T.); #325583=ADVANCED_FACE('',(#30739),#15210,.T.); #325584=ADVANCED_FACE('',(#30740),#15211,.T.); #325585=ADVANCED_FACE('',(#30741),#15212,.T.); #325586=ADVANCED_FACE('',(#30742),#15213,.T.); #325587=ADVANCED_FACE('',(#30743),#15214,.T.); #325588=ADVANCED_FACE('',(#30744),#15215,.T.); #325589=ADVANCED_FACE('',(#30745),#15216,.T.); #325590=ADVANCED_FACE('',(#30746),#15217,.T.); #325591=ADVANCED_FACE('',(#30747),#15218,.T.); #325592=ADVANCED_FACE('',(#30748),#15219,.T.); #325593=ADVANCED_FACE('',(#30749),#15220,.T.); #325594=ADVANCED_FACE('',(#30750),#15221,.T.); #325595=ADVANCED_FACE('',(#30751),#15222,.T.); #325596=ADVANCED_FACE('',(#30752),#15223,.T.); #325597=ADVANCED_FACE('',(#30753),#15224,.T.); #325598=ADVANCED_FACE('',(#30754),#15225,.T.); #325599=ADVANCED_FACE('',(#30755),#15226,.T.); #325600=ADVANCED_FACE('',(#30756),#15227,.T.); #325601=ADVANCED_FACE('',(#30757),#15228,.T.); #325602=ADVANCED_FACE('',(#30758),#15229,.T.); #325603=ADVANCED_FACE('',(#30759),#15230,.T.); #325604=ADVANCED_FACE('',(#30760),#15231,.T.); #325605=ADVANCED_FACE('',(#30761),#15232,.T.); #325606=ADVANCED_FACE('',(#30762),#15233,.T.); #325607=ADVANCED_FACE('',(#30763),#15234,.T.); #325608=ADVANCED_FACE('',(#30764),#15235,.T.); #325609=ADVANCED_FACE('',(#30765),#15236,.T.); #325610=ADVANCED_FACE('',(#30766),#15237,.T.); #325611=ADVANCED_FACE('',(#30767),#15238,.T.); #325612=ADVANCED_FACE('',(#30768),#15239,.T.); #325613=ADVANCED_FACE('',(#30769),#15240,.T.); #325614=ADVANCED_FACE('',(#30770),#15241,.T.); #325615=ADVANCED_FACE('',(#30771),#15242,.T.); #325616=ADVANCED_FACE('',(#30772),#15243,.T.); #325617=ADVANCED_FACE('',(#30773),#15244,.T.); #325618=ADVANCED_FACE('',(#30774),#15245,.T.); #325619=ADVANCED_FACE('',(#30775),#15246,.T.); #325620=ADVANCED_FACE('',(#30776),#15247,.T.); #325621=ADVANCED_FACE('',(#30777),#15248,.T.); #325622=ADVANCED_FACE('',(#30778),#15249,.T.); #325623=ADVANCED_FACE('',(#30779),#15250,.T.); #325624=ADVANCED_FACE('',(#30780),#15251,.T.); #325625=ADVANCED_FACE('',(#30781),#15252,.T.); #325626=ADVANCED_FACE('',(#30782),#15253,.T.); #325627=ADVANCED_FACE('',(#30783),#15254,.T.); #325628=ADVANCED_FACE('',(#30784),#15255,.T.); #325629=ADVANCED_FACE('',(#30785),#15256,.T.); #325630=ADVANCED_FACE('',(#30786),#15257,.T.); #325631=ADVANCED_FACE('',(#30787),#15258,.T.); #325632=ADVANCED_FACE('',(#30788),#15259,.T.); #325633=ADVANCED_FACE('',(#30789),#15260,.T.); #325634=ADVANCED_FACE('',(#30790),#15261,.T.); #325635=ADVANCED_FACE('',(#30791),#15262,.T.); #325636=ADVANCED_FACE('',(#30792),#15263,.T.); #325637=ADVANCED_FACE('',(#30793),#15264,.T.); #325638=ADVANCED_FACE('',(#30794),#15265,.T.); #325639=ADVANCED_FACE('',(#30795),#15266,.T.); #325640=ADVANCED_FACE('',(#30796),#15267,.T.); #325641=ADVANCED_FACE('',(#30797),#15268,.T.); #325642=ADVANCED_FACE('',(#30798),#15269,.T.); #325643=ADVANCED_FACE('',(#30799),#15270,.T.); #325644=ADVANCED_FACE('',(#30800),#15271,.T.); #325645=ADVANCED_FACE('',(#30801),#15272,.T.); #325646=ADVANCED_FACE('',(#30802),#15273,.T.); #325647=ADVANCED_FACE('',(#30803),#15274,.T.); #325648=ADVANCED_FACE('',(#30804),#15275,.T.); #325649=ADVANCED_FACE('',(#30805),#15276,.T.); #325650=ADVANCED_FACE('',(#30806),#15277,.T.); #325651=ADVANCED_FACE('',(#30807),#15278,.T.); #325652=ADVANCED_FACE('',(#30808),#15279,.T.); #325653=ADVANCED_FACE('',(#30809),#15280,.T.); #325654=ADVANCED_FACE('',(#30810),#15281,.T.); #325655=ADVANCED_FACE('',(#30811),#15282,.T.); #325656=ADVANCED_FACE('',(#30812),#15283,.T.); #325657=ADVANCED_FACE('',(#30813),#15284,.T.); #325658=ADVANCED_FACE('',(#30814),#15285,.T.); #325659=ADVANCED_FACE('',(#30815),#15286,.T.); #325660=ADVANCED_FACE('',(#30816),#15287,.T.); #325661=ADVANCED_FACE('',(#30817),#15288,.T.); #325662=ADVANCED_FACE('',(#30818),#15289,.T.); #325663=ADVANCED_FACE('',(#30819),#15290,.T.); #325664=ADVANCED_FACE('',(#30820),#15291,.T.); #325665=ADVANCED_FACE('',(#30821),#15292,.T.); #325666=ADVANCED_FACE('',(#30822),#15293,.T.); #325667=ADVANCED_FACE('',(#30823),#15294,.T.); #325668=ADVANCED_FACE('',(#30824),#15295,.T.); #325669=ADVANCED_FACE('',(#30825),#15296,.T.); #325670=ADVANCED_FACE('',(#30826),#15297,.T.); #325671=ADVANCED_FACE('',(#30827),#15298,.T.); #325672=ADVANCED_FACE('',(#30828),#15299,.T.); #325673=ADVANCED_FACE('',(#30829),#15300,.T.); #325674=ADVANCED_FACE('',(#30830),#15301,.T.); #325675=ADVANCED_FACE('',(#30831),#15302,.T.); #325676=ADVANCED_FACE('',(#30832),#15303,.T.); #325677=ADVANCED_FACE('',(#30833),#15304,.T.); #325678=ADVANCED_FACE('',(#30834),#15305,.T.); #325679=ADVANCED_FACE('',(#30835),#15306,.T.); #325680=ADVANCED_FACE('',(#30836),#15307,.T.); #325681=ADVANCED_FACE('',(#30837),#15308,.T.); #325682=ADVANCED_FACE('',(#30838),#15309,.T.); #325683=ADVANCED_FACE('',(#30839),#15310,.T.); #325684=ADVANCED_FACE('',(#30840),#15311,.T.); #325685=ADVANCED_FACE('',(#30841),#15312,.T.); #325686=ADVANCED_FACE('',(#30842),#15313,.T.); #325687=ADVANCED_FACE('',(#30843),#15314,.T.); #325688=ADVANCED_FACE('',(#30844),#15315,.T.); #325689=ADVANCED_FACE('',(#30845),#15316,.T.); #325690=ADVANCED_FACE('',(#30846),#15317,.T.); #325691=ADVANCED_FACE('',(#30847),#15318,.T.); #325692=ADVANCED_FACE('',(#30848),#15319,.T.); #325693=ADVANCED_FACE('',(#30849),#15320,.T.); #325694=ADVANCED_FACE('',(#30850),#15321,.T.); #325695=ADVANCED_FACE('',(#30851),#15322,.T.); #325696=ADVANCED_FACE('',(#30852),#15323,.T.); #325697=ADVANCED_FACE('',(#30853),#15324,.T.); #325698=ADVANCED_FACE('',(#30854),#15325,.T.); #325699=ADVANCED_FACE('',(#30855),#15326,.T.); #325700=ADVANCED_FACE('',(#30856),#15327,.T.); #325701=ADVANCED_FACE('',(#30857),#15328,.T.); #325702=ADVANCED_FACE('',(#30858),#15329,.T.); #325703=ADVANCED_FACE('',(#30859),#15330,.T.); #325704=ADVANCED_FACE('',(#30860),#15331,.T.); #325705=ADVANCED_FACE('',(#30861),#15332,.T.); #325706=ADVANCED_FACE('',(#30862),#15333,.T.); #325707=ADVANCED_FACE('',(#30863),#15334,.T.); #325708=ADVANCED_FACE('',(#30864),#15335,.T.); #325709=ADVANCED_FACE('',(#30865),#15336,.T.); #325710=ADVANCED_FACE('',(#30866),#15337,.T.); #325711=ADVANCED_FACE('',(#30867),#15338,.T.); #325712=ADVANCED_FACE('',(#30868),#15339,.T.); #325713=ADVANCED_FACE('',(#30869),#15340,.T.); #325714=ADVANCED_FACE('',(#30870),#15341,.T.); #325715=ADVANCED_FACE('',(#30871),#15342,.T.); #325716=ADVANCED_FACE('',(#30872),#15343,.T.); #325717=ADVANCED_FACE('',(#30873),#15344,.T.); #325718=ADVANCED_FACE('',(#30874),#15345,.T.); #325719=ADVANCED_FACE('',(#30875),#15346,.T.); #325720=ADVANCED_FACE('',(#30876),#15347,.T.); #325721=ADVANCED_FACE('',(#30877),#15348,.T.); #325722=ADVANCED_FACE('',(#30878),#15349,.T.); #325723=ADVANCED_FACE('',(#30879),#15350,.T.); #325724=ADVANCED_FACE('',(#30880),#15351,.T.); #325725=ADVANCED_FACE('',(#30881),#15352,.T.); #325726=ADVANCED_FACE('',(#30882),#15353,.T.); #325727=ADVANCED_FACE('',(#30883),#15354,.T.); #325728=ADVANCED_FACE('',(#30884),#15355,.T.); #325729=ADVANCED_FACE('',(#30885),#15356,.T.); #325730=ADVANCED_FACE('',(#30886),#15357,.T.); #325731=ADVANCED_FACE('',(#30887),#15358,.T.); #325732=ADVANCED_FACE('',(#30888),#15359,.T.); #325733=ADVANCED_FACE('',(#30889),#15360,.T.); #325734=ADVANCED_FACE('',(#30890),#15361,.T.); #325735=ADVANCED_FACE('',(#30891),#15362,.T.); #325736=ADVANCED_FACE('',(#30892),#15363,.T.); #325737=ADVANCED_FACE('',(#30893),#15364,.T.); #325738=ADVANCED_FACE('',(#30894),#15365,.T.); #325739=ADVANCED_FACE('',(#30895),#15366,.T.); #325740=ADVANCED_FACE('',(#30896),#15367,.T.); #325741=ADVANCED_FACE('',(#30897),#15368,.T.); #325742=ADVANCED_FACE('',(#30898),#15369,.T.); #325743=ADVANCED_FACE('',(#30899),#15370,.T.); #325744=ADVANCED_FACE('',(#30900),#15371,.T.); #325745=ADVANCED_FACE('',(#30901),#15372,.T.); #325746=ADVANCED_FACE('',(#30902),#15373,.T.); #325747=ADVANCED_FACE('',(#30903),#15374,.T.); #325748=ADVANCED_FACE('',(#30904),#15375,.T.); #325749=ADVANCED_FACE('',(#30905),#15376,.T.); #325750=ADVANCED_FACE('',(#30906),#15377,.T.); #325751=ADVANCED_FACE('',(#30907),#15378,.T.); #325752=ADVANCED_FACE('',(#30908),#15379,.T.); #325753=ADVANCED_FACE('',(#30909),#15380,.T.); #325754=ADVANCED_FACE('',(#30910),#15381,.T.); #325755=ADVANCED_FACE('',(#30911),#15382,.T.); #325756=ADVANCED_FACE('',(#30912),#15383,.T.); #325757=ADVANCED_FACE('',(#30913),#15384,.T.); #325758=ADVANCED_FACE('',(#30914),#15385,.T.); #325759=ADVANCED_FACE('',(#30915),#15386,.T.); #325760=ADVANCED_FACE('',(#30916),#15387,.T.); #325761=ADVANCED_FACE('',(#30917),#15388,.T.); #325762=ADVANCED_FACE('',(#30918),#15389,.T.); #325763=ADVANCED_FACE('',(#30919),#15390,.T.); #325764=ADVANCED_FACE('',(#30920),#15391,.T.); #325765=ADVANCED_FACE('',(#30921),#15392,.T.); #325766=ADVANCED_FACE('',(#30922),#15393,.T.); #325767=ADVANCED_FACE('',(#30923),#15394,.T.); #325768=ADVANCED_FACE('',(#30924),#15395,.T.); #325769=ADVANCED_FACE('',(#30925),#15396,.T.); #325770=ADVANCED_FACE('',(#30926),#15397,.T.); #325771=ADVANCED_FACE('',(#30927),#15398,.T.); #325772=ADVANCED_FACE('',(#30928),#15399,.T.); #325773=ADVANCED_FACE('',(#30929),#15400,.T.); #325774=ADVANCED_FACE('',(#30930),#15401,.T.); #325775=ADVANCED_FACE('',(#30931),#15402,.T.); #325776=ADVANCED_FACE('',(#30932),#15403,.T.); #325777=ADVANCED_FACE('',(#30933),#15404,.T.); #325778=ADVANCED_FACE('',(#30934),#15405,.T.); #325779=ADVANCED_FACE('',(#30935),#15406,.T.); #325780=ADVANCED_FACE('',(#30936),#15407,.T.); #325781=ADVANCED_FACE('',(#30937),#15408,.T.); #325782=ADVANCED_FACE('',(#30938),#15409,.T.); #325783=ADVANCED_FACE('',(#30939),#15410,.T.); #325784=ADVANCED_FACE('',(#30940),#15411,.T.); #325785=ADVANCED_FACE('',(#30941),#15412,.T.); #325786=ADVANCED_FACE('',(#30942),#15413,.T.); #325787=ADVANCED_FACE('',(#30943),#15414,.T.); #325788=ADVANCED_FACE('',(#30944),#15415,.T.); #325789=ADVANCED_FACE('',(#30945),#15416,.T.); #325790=ADVANCED_FACE('',(#30946),#15417,.T.); #325791=ADVANCED_FACE('',(#30947),#15418,.T.); #325792=ADVANCED_FACE('',(#30948),#15419,.T.); #325793=ADVANCED_FACE('',(#30949),#15420,.T.); #325794=ADVANCED_FACE('',(#30950),#15421,.T.); #325795=ADVANCED_FACE('',(#30951),#15422,.T.); #325796=ADVANCED_FACE('',(#30952),#15423,.T.); #325797=ADVANCED_FACE('',(#30953),#15424,.T.); #325798=ADVANCED_FACE('',(#30954),#15425,.T.); #325799=ADVANCED_FACE('',(#30955),#15426,.T.); #325800=ADVANCED_FACE('',(#30956),#15427,.T.); #325801=ADVANCED_FACE('',(#30957),#15428,.T.); #325802=ADVANCED_FACE('',(#30958),#15429,.T.); #325803=ADVANCED_FACE('',(#30959),#15430,.T.); #325804=ADVANCED_FACE('',(#30960),#15431,.T.); #325805=ADVANCED_FACE('',(#30961),#15432,.T.); #325806=ADVANCED_FACE('',(#30962),#15433,.T.); #325807=ADVANCED_FACE('',(#30963),#15434,.T.); #325808=ADVANCED_FACE('',(#30964),#15435,.T.); #325809=ADVANCED_FACE('',(#30965),#15436,.T.); #325810=ADVANCED_FACE('',(#30966),#15437,.T.); #325811=ADVANCED_FACE('',(#30967),#15438,.T.); #325812=ADVANCED_FACE('',(#30968),#15439,.T.); #325813=ADVANCED_FACE('',(#30969),#15440,.T.); #325814=ADVANCED_FACE('',(#30970),#15441,.T.); #325815=ADVANCED_FACE('',(#30971),#15442,.T.); #325816=ADVANCED_FACE('',(#30972),#15443,.T.); #325817=ADVANCED_FACE('',(#30973),#15444,.T.); #325818=ADVANCED_FACE('',(#30974),#15445,.T.); #325819=ADVANCED_FACE('',(#30975,#5699,#5700,#5701,#5702,#5703,#5704,#5705, #5706,#5707,#5708,#5709,#5710,#5711,#5712,#5713,#5714,#5715),#15446,.T.); #325820=ADVANCED_FACE('',(#30976,#5716,#5717,#5718,#5719,#5720,#5721,#5722, #5723,#5724,#5725,#5726,#5727,#5728,#5729,#5730,#5731,#5732),#15447,.F.); #325821=ADVANCED_FACE('',(#30977),#15448,.T.); #325822=ADVANCED_FACE('',(#30978),#15449,.T.); #325823=ADVANCED_FACE('',(#30979),#15450,.T.); #325824=ADVANCED_FACE('',(#30980),#15451,.T.); #325825=ADVANCED_FACE('',(#30981),#15452,.T.); #325826=ADVANCED_FACE('',(#30982),#15453,.T.); #325827=ADVANCED_FACE('',(#30983),#15454,.T.); #325828=ADVANCED_FACE('',(#30984),#15455,.T.); #325829=ADVANCED_FACE('',(#30985),#15456,.T.); #325830=ADVANCED_FACE('',(#30986),#15457,.T.); #325831=ADVANCED_FACE('',(#30987),#15458,.T.); #325832=ADVANCED_FACE('',(#30988),#15459,.T.); #325833=ADVANCED_FACE('',(#30989),#15460,.T.); #325834=ADVANCED_FACE('',(#30990),#15461,.T.); #325835=ADVANCED_FACE('',(#30991),#15462,.T.); #325836=ADVANCED_FACE('',(#30992),#15463,.T.); #325837=ADVANCED_FACE('',(#30993),#15464,.T.); #325838=ADVANCED_FACE('',(#30994),#15465,.T.); #325839=ADVANCED_FACE('',(#30995),#15466,.T.); #325840=ADVANCED_FACE('',(#30996),#15467,.T.); #325841=ADVANCED_FACE('',(#30997),#15468,.T.); #325842=ADVANCED_FACE('',(#30998),#15469,.T.); #325843=ADVANCED_FACE('',(#30999),#15470,.T.); #325844=ADVANCED_FACE('',(#31000),#15471,.F.); #325845=ADVANCED_FACE('',(#31001),#15472,.T.); #325846=ADVANCED_FACE('',(#31002),#15473,.T.); #325847=ADVANCED_FACE('',(#31003),#15474,.T.); #325848=ADVANCED_FACE('',(#31004),#15475,.T.); #325849=ADVANCED_FACE('',(#31005),#15476,.T.); #325850=ADVANCED_FACE('',(#31006),#15477,.T.); #325851=ADVANCED_FACE('',(#31007),#15478,.T.); #325852=ADVANCED_FACE('',(#31008),#15479,.T.); #325853=ADVANCED_FACE('',(#31009),#15480,.T.); #325854=ADVANCED_FACE('',(#31010),#15481,.T.); #325855=ADVANCED_FACE('',(#31011),#15482,.T.); #325856=ADVANCED_FACE('',(#31012),#15483,.T.); #325857=ADVANCED_FACE('',(#31013),#15484,.T.); #325858=ADVANCED_FACE('',(#31014),#15485,.T.); #325859=ADVANCED_FACE('',(#31015),#15486,.T.); #325860=ADVANCED_FACE('',(#31016),#15487,.T.); #325861=ADVANCED_FACE('',(#31017),#15488,.T.); #325862=ADVANCED_FACE('',(#31018),#15489,.T.); #325863=ADVANCED_FACE('',(#31019),#15490,.T.); #325864=ADVANCED_FACE('',(#31020),#15491,.T.); #325865=ADVANCED_FACE('',(#31021),#15492,.T.); #325866=ADVANCED_FACE('',(#31022),#15493,.T.); #325867=ADVANCED_FACE('',(#31023),#15494,.F.); #325868=ADVANCED_FACE('',(#31024),#15495,.T.); #325869=ADVANCED_FACE('',(#31025),#15496,.T.); #325870=ADVANCED_FACE('',(#31026),#15497,.T.); #325871=ADVANCED_FACE('',(#31027),#15498,.T.); #325872=ADVANCED_FACE('',(#31028),#15499,.T.); #325873=ADVANCED_FACE('',(#31029),#15500,.T.); #325874=ADVANCED_FACE('',(#31030),#15501,.T.); #325875=ADVANCED_FACE('',(#31031),#15502,.T.); #325876=ADVANCED_FACE('',(#31032),#15503,.T.); #325877=ADVANCED_FACE('',(#31033),#15504,.T.); #325878=ADVANCED_FACE('',(#31034),#15505,.T.); #325879=ADVANCED_FACE('',(#31035),#15506,.T.); #325880=ADVANCED_FACE('',(#31036),#15507,.T.); #325881=ADVANCED_FACE('',(#31037),#15508,.T.); #325882=ADVANCED_FACE('',(#31038),#15509,.T.); #325883=ADVANCED_FACE('',(#31039),#15510,.T.); #325884=ADVANCED_FACE('',(#31040),#15511,.T.); #325885=ADVANCED_FACE('',(#31041),#15512,.T.); #325886=ADVANCED_FACE('',(#31042),#15513,.T.); #325887=ADVANCED_FACE('',(#31043),#15514,.T.); #325888=ADVANCED_FACE('',(#31044),#15515,.T.); #325889=ADVANCED_FACE('',(#31045),#15516,.F.); #325890=ADVANCED_FACE('',(#31046),#15517,.F.); #325891=ADVANCED_FACE('',(#31047),#314400,.F.); #325892=ADVANCED_FACE('',(#31048),#15518,.F.); #325893=ADVANCED_FACE('',(#31049),#314401,.F.); #325894=ADVANCED_FACE('',(#31050),#15519,.T.); #325895=ADVANCED_FACE('',(#31051),#15520,.T.); #325896=ADVANCED_FACE('',(#31052),#15521,.T.); #325897=ADVANCED_FACE('',(#31053),#15522,.T.); #325898=ADVANCED_FACE('',(#31054),#15523,.T.); #325899=ADVANCED_FACE('',(#31055),#15524,.T.); #325900=ADVANCED_FACE('',(#31056),#15525,.T.); #325901=ADVANCED_FACE('',(#31057),#15526,.T.); #325902=ADVANCED_FACE('',(#31058),#15527,.T.); #325903=ADVANCED_FACE('',(#31059),#15528,.T.); #325904=ADVANCED_FACE('',(#31060),#15529,.T.); #325905=ADVANCED_FACE('',(#31061),#15530,.T.); #325906=ADVANCED_FACE('',(#31062),#15531,.T.); #325907=ADVANCED_FACE('',(#31063),#15532,.T.); #325908=ADVANCED_FACE('',(#31064),#15533,.T.); #325909=ADVANCED_FACE('',(#31065),#15534,.T.); #325910=ADVANCED_FACE('',(#31066),#15535,.T.); #325911=ADVANCED_FACE('',(#31067),#15536,.T.); #325912=ADVANCED_FACE('',(#31068),#15537,.T.); #325913=ADVANCED_FACE('',(#31069),#15538,.T.); #325914=ADVANCED_FACE('',(#31070),#15539,.T.); #325915=ADVANCED_FACE('',(#31071),#15540,.T.); #325916=ADVANCED_FACE('',(#31072),#15541,.T.); #325917=ADVANCED_FACE('',(#31073),#15542,.T.); #325918=ADVANCED_FACE('',(#31074),#15543,.T.); #325919=ADVANCED_FACE('',(#31075),#15544,.T.); #325920=ADVANCED_FACE('',(#31076),#15545,.T.); #325921=ADVANCED_FACE('',(#31077),#15546,.T.); #325922=ADVANCED_FACE('',(#31078),#15547,.T.); #325923=ADVANCED_FACE('',(#31079),#15548,.T.); #325924=ADVANCED_FACE('',(#31080),#15549,.T.); #325925=ADVANCED_FACE('',(#31081),#15550,.T.); #325926=ADVANCED_FACE('',(#31082),#15551,.T.); #325927=ADVANCED_FACE('',(#31083),#15552,.T.); #325928=ADVANCED_FACE('',(#31084),#15553,.T.); #325929=ADVANCED_FACE('',(#31085),#15554,.T.); #325930=ADVANCED_FACE('',(#31086),#15555,.T.); #325931=ADVANCED_FACE('',(#31087),#15556,.T.); #325932=ADVANCED_FACE('',(#31088),#15557,.T.); #325933=ADVANCED_FACE('',(#31089),#15558,.T.); #325934=ADVANCED_FACE('',(#31090),#15559,.T.); #325935=ADVANCED_FACE('',(#31091),#15560,.T.); #325936=ADVANCED_FACE('',(#31092),#15561,.T.); #325937=ADVANCED_FACE('',(#31093),#15562,.T.); #325938=ADVANCED_FACE('',(#31094),#15563,.T.); #325939=ADVANCED_FACE('',(#31095),#15564,.T.); #325940=ADVANCED_FACE('',(#31096),#15565,.T.); #325941=ADVANCED_FACE('',(#31097),#15566,.T.); #325942=ADVANCED_FACE('',(#31098),#15567,.T.); #325943=ADVANCED_FACE('',(#31099),#15568,.T.); #325944=ADVANCED_FACE('',(#31100),#15569,.T.); #325945=ADVANCED_FACE('',(#31101),#15570,.T.); #325946=ADVANCED_FACE('',(#31102),#15571,.T.); #325947=ADVANCED_FACE('',(#31103),#15572,.T.); #325948=ADVANCED_FACE('',(#31104),#15573,.T.); #325949=ADVANCED_FACE('',(#31105),#15574,.T.); #325950=ADVANCED_FACE('',(#31106),#15575,.T.); #325951=ADVANCED_FACE('',(#31107),#15576,.T.); #325952=ADVANCED_FACE('',(#31108),#15577,.T.); #325953=ADVANCED_FACE('',(#31109),#15578,.T.); #325954=ADVANCED_FACE('',(#31110),#15579,.T.); #325955=ADVANCED_FACE('',(#31111),#15580,.T.); #325956=ADVANCED_FACE('',(#31112),#15581,.T.); #325957=ADVANCED_FACE('',(#31113),#15582,.T.); #325958=ADVANCED_FACE('',(#31114),#15583,.T.); #325959=ADVANCED_FACE('',(#31115),#15584,.T.); #325960=ADVANCED_FACE('',(#31116),#15585,.T.); #325961=ADVANCED_FACE('',(#31117),#15586,.T.); #325962=ADVANCED_FACE('',(#31118),#15587,.T.); #325963=ADVANCED_FACE('',(#31119),#15588,.T.); #325964=ADVANCED_FACE('',(#31120),#15589,.T.); #325965=ADVANCED_FACE('',(#31121),#15590,.T.); #325966=ADVANCED_FACE('',(#31122,#5733),#15591,.T.); #325967=ADVANCED_FACE('',(#31123,#5734),#15592,.F.); #325968=ADVANCED_FACE('',(#31124),#15593,.T.); #325969=ADVANCED_FACE('',(#31125),#15594,.T.); #325970=ADVANCED_FACE('',(#31126),#15595,.T.); #325971=ADVANCED_FACE('',(#31127),#15596,.T.); #325972=ADVANCED_FACE('',(#31128),#15597,.T.); #325973=ADVANCED_FACE('',(#31129),#15598,.T.); #325974=ADVANCED_FACE('',(#31130),#15599,.T.); #325975=ADVANCED_FACE('',(#31131),#15600,.T.); #325976=ADVANCED_FACE('',(#31132),#15601,.T.); #325977=ADVANCED_FACE('',(#31133),#15602,.T.); #325978=ADVANCED_FACE('',(#31134),#15603,.T.); #325979=ADVANCED_FACE('',(#31135),#15604,.T.); #325980=ADVANCED_FACE('',(#31136),#15605,.T.); #325981=ADVANCED_FACE('',(#31137),#15606,.T.); #325982=ADVANCED_FACE('',(#31138),#15607,.T.); #325983=ADVANCED_FACE('',(#31139),#15608,.T.); #325984=ADVANCED_FACE('',(#31140),#15609,.T.); #325985=ADVANCED_FACE('',(#31141),#15610,.T.); #325986=ADVANCED_FACE('',(#31142),#15611,.T.); #325987=ADVANCED_FACE('',(#31143),#15612,.T.); #325988=ADVANCED_FACE('',(#31144),#15613,.T.); #325989=ADVANCED_FACE('',(#31145),#15614,.T.); #325990=ADVANCED_FACE('',(#31146),#15615,.T.); #325991=ADVANCED_FACE('',(#31147),#15616,.T.); #325992=ADVANCED_FACE('',(#31148),#15617,.T.); #325993=ADVANCED_FACE('',(#31149),#15618,.T.); #325994=ADVANCED_FACE('',(#31150),#15619,.T.); #325995=ADVANCED_FACE('',(#31151),#15620,.T.); #325996=ADVANCED_FACE('',(#31152),#15621,.T.); #325997=ADVANCED_FACE('',(#31153),#15622,.T.); #325998=ADVANCED_FACE('',(#31154),#15623,.T.); #325999=ADVANCED_FACE('',(#31155),#15624,.T.); #326000=ADVANCED_FACE('',(#31156),#15625,.T.); #326001=ADVANCED_FACE('',(#31157),#15626,.T.); #326002=ADVANCED_FACE('',(#31158),#15627,.T.); #326003=ADVANCED_FACE('',(#31159),#15628,.F.); #326004=ADVANCED_FACE('',(#31160),#314402,.F.); #326005=ADVANCED_FACE('',(#31161),#15629,.T.); #326006=ADVANCED_FACE('',(#31162),#15630,.T.); #326007=ADVANCED_FACE('',(#31163),#15631,.T.); #326008=ADVANCED_FACE('',(#31164),#15632,.T.); #326009=ADVANCED_FACE('',(#31165),#15633,.T.); #326010=ADVANCED_FACE('',(#31166),#15634,.T.); #326011=ADVANCED_FACE('',(#31167),#15635,.T.); #326012=ADVANCED_FACE('',(#31168),#15636,.T.); #326013=ADVANCED_FACE('',(#31169,#5735),#15637,.T.); #326014=ADVANCED_FACE('',(#31170,#5736),#15638,.F.); #326015=ADVANCED_FACE('',(#31171),#314403,.F.); #326016=ADVANCED_FACE('',(#31172),#314404,.F.); #326017=ADVANCED_FACE('',(#31173),#314405,.F.); #326018=ADVANCED_FACE('',(#31174),#314406,.F.); #326019=ADVANCED_FACE('',(#31175),#314407,.F.); #326020=ADVANCED_FACE('',(#31176),#314408,.F.); #326021=ADVANCED_FACE('',(#31177),#314409,.F.); #326022=ADVANCED_FACE('',(#31178),#314410,.F.); #326023=ADVANCED_FACE('',(#31179),#314411,.F.); #326024=ADVANCED_FACE('',(#31180),#314412,.F.); #326025=ADVANCED_FACE('',(#31181),#314413,.F.); #326026=ADVANCED_FACE('',(#31182),#314414,.F.); #326027=ADVANCED_FACE('',(#31183),#314415,.F.); #326028=ADVANCED_FACE('',(#31184),#314416,.F.); #326029=ADVANCED_FACE('',(#31185),#314417,.F.); #326030=ADVANCED_FACE('',(#31186),#314418,.F.); #326031=ADVANCED_FACE('',(#31187),#15639,.F.); #326032=ADVANCED_FACE('',(#31188),#314419,.F.); #326033=ADVANCED_FACE('',(#31189),#15640,.F.); #326034=ADVANCED_FACE('',(#31190),#314420,.F.); #326035=ADVANCED_FACE('',(#31191),#15641,.F.); #326036=ADVANCED_FACE('',(#31192),#314421,.F.); #326037=ADVANCED_FACE('',(#31193),#15642,.F.); #326038=ADVANCED_FACE('',(#31194),#314422,.F.); #326039=ADVANCED_FACE('',(#31195),#314423,.F.); #326040=ADVANCED_FACE('',(#31196),#314424,.F.); #326041=ADVANCED_FACE('',(#31197),#314425,.F.); #326042=ADVANCED_FACE('',(#31198),#314426,.F.); #326043=ADVANCED_FACE('',(#31199),#314427,.F.); #326044=ADVANCED_FACE('',(#31200),#314428,.F.); #326045=ADVANCED_FACE('',(#31201),#314429,.F.); #326046=ADVANCED_FACE('',(#31202),#314430,.F.); #326047=ADVANCED_FACE('',(#31203),#15643,.F.); #326048=ADVANCED_FACE('',(#31204),#314431,.F.); #326049=ADVANCED_FACE('',(#31205),#15644,.F.); #326050=ADVANCED_FACE('',(#31206),#314432,.F.); #326051=ADVANCED_FACE('',(#31207),#15645,.F.); #326052=ADVANCED_FACE('',(#31208),#314433,.F.); #326053=ADVANCED_FACE('',(#31209),#15646,.F.); #326054=ADVANCED_FACE('',(#31210),#314434,.F.); #326055=ADVANCED_FACE('',(#31211),#314435,.F.); #326056=ADVANCED_FACE('',(#31212),#314436,.F.); #326057=ADVANCED_FACE('',(#31213),#314437,.F.); #326058=ADVANCED_FACE('',(#31214),#314438,.F.); #326059=ADVANCED_FACE('',(#31215),#314439,.F.); #326060=ADVANCED_FACE('',(#31216),#314440,.F.); #326061=ADVANCED_FACE('',(#31217),#314441,.F.); #326062=ADVANCED_FACE('',(#31218),#314442,.F.); #326063=ADVANCED_FACE('',(#31219),#314443,.F.); #326064=ADVANCED_FACE('',(#31220),#314444,.F.); #326065=ADVANCED_FACE('',(#31221),#314445,.F.); #326066=ADVANCED_FACE('',(#31222),#314446,.F.); #326067=ADVANCED_FACE('',(#31223),#314447,.F.); #326068=ADVANCED_FACE('',(#31224),#314448,.F.); #326069=ADVANCED_FACE('',(#31225),#314449,.F.); #326070=ADVANCED_FACE('',(#31226),#314450,.F.); #326071=ADVANCED_FACE('',(#31227),#314451,.F.); #326072=ADVANCED_FACE('',(#31228),#314452,.F.); #326073=ADVANCED_FACE('',(#31229),#314453,.F.); #326074=ADVANCED_FACE('',(#31230),#314454,.F.); #326075=ADVANCED_FACE('',(#31231),#314455,.F.); #326076=ADVANCED_FACE('',(#31232),#314456,.F.); #326077=ADVANCED_FACE('',(#31233),#314457,.F.); #326078=ADVANCED_FACE('',(#31234),#314458,.F.); #326079=ADVANCED_FACE('',(#31235),#314459,.F.); #326080=ADVANCED_FACE('',(#31236),#314460,.F.); #326081=ADVANCED_FACE('',(#31237),#314461,.F.); #326082=ADVANCED_FACE('',(#31238),#314462,.F.); #326083=ADVANCED_FACE('',(#31239),#314463,.F.); #326084=ADVANCED_FACE('',(#31240),#314464,.F.); #326085=ADVANCED_FACE('',(#31241),#314465,.F.); #326086=ADVANCED_FACE('',(#31242),#314466,.F.); #326087=ADVANCED_FACE('',(#31243),#314467,.F.); #326088=ADVANCED_FACE('',(#31244),#314468,.F.); #326089=ADVANCED_FACE('',(#31245),#314469,.F.); #326090=ADVANCED_FACE('',(#31246),#314470,.F.); #326091=ADVANCED_FACE('',(#31247),#314471,.F.); #326092=ADVANCED_FACE('',(#31248),#314472,.F.); #326093=ADVANCED_FACE('',(#31249),#314473,.F.); #326094=ADVANCED_FACE('',(#31250),#314474,.F.); #326095=ADVANCED_FACE('',(#31251),#314475,.F.); #326096=ADVANCED_FACE('',(#31252),#314476,.F.); #326097=ADVANCED_FACE('',(#31253),#314477,.F.); #326098=ADVANCED_FACE('',(#31254),#314478,.F.); #326099=ADVANCED_FACE('',(#31255),#314479,.F.); #326100=ADVANCED_FACE('',(#31256),#314480,.F.); #326101=ADVANCED_FACE('',(#31257),#314481,.F.); #326102=ADVANCED_FACE('',(#31258),#314482,.F.); #326103=ADVANCED_FACE('',(#31259),#314483,.F.); #326104=ADVANCED_FACE('',(#31260),#314484,.F.); #326105=ADVANCED_FACE('',(#31261),#314485,.F.); #326106=ADVANCED_FACE('',(#31262),#314486,.F.); #326107=ADVANCED_FACE('',(#31263),#314487,.F.); #326108=ADVANCED_FACE('',(#31264),#314488,.F.); #326109=ADVANCED_FACE('',(#31265),#314489,.F.); #326110=ADVANCED_FACE('',(#31266),#314490,.F.); #326111=ADVANCED_FACE('',(#31267),#314491,.F.); #326112=ADVANCED_FACE('',(#31268),#314492,.F.); #326113=ADVANCED_FACE('',(#31269),#314493,.F.); #326114=ADVANCED_FACE('',(#31270),#314494,.F.); #326115=ADVANCED_FACE('',(#31271),#314495,.F.); #326116=ADVANCED_FACE('',(#31272),#314496,.F.); #326117=ADVANCED_FACE('',(#31273),#314497,.F.); #326118=ADVANCED_FACE('',(#31274),#314498,.F.); #326119=ADVANCED_FACE('',(#31275),#314499,.F.); #326120=ADVANCED_FACE('',(#31276),#314500,.F.); #326121=ADVANCED_FACE('',(#31277),#314501,.F.); #326122=ADVANCED_FACE('',(#31278),#314502,.F.); #326123=ADVANCED_FACE('',(#31279),#314503,.F.); #326124=ADVANCED_FACE('',(#31280),#314504,.F.); #326125=ADVANCED_FACE('',(#31281),#314505,.F.); #326126=ADVANCED_FACE('',(#31282),#314506,.F.); #326127=ADVANCED_FACE('',(#31283),#314507,.F.); #326128=ADVANCED_FACE('',(#31284),#314508,.F.); #326129=ADVANCED_FACE('',(#31285),#314509,.F.); #326130=ADVANCED_FACE('',(#31286),#314510,.F.); #326131=ADVANCED_FACE('',(#31287),#314511,.F.); #326132=ADVANCED_FACE('',(#31288),#314512,.F.); #326133=ADVANCED_FACE('',(#31289),#314513,.F.); #326134=ADVANCED_FACE('',(#31290),#314514,.F.); #326135=ADVANCED_FACE('',(#31291),#314515,.F.); #326136=ADVANCED_FACE('',(#31292),#314516,.F.); #326137=ADVANCED_FACE('',(#31293),#314517,.F.); #326138=ADVANCED_FACE('',(#31294),#314518,.F.); #326139=ADVANCED_FACE('',(#31295),#314519,.F.); #326140=ADVANCED_FACE('',(#31296),#314520,.F.); #326141=ADVANCED_FACE('',(#31297),#314521,.F.); #326142=ADVANCED_FACE('',(#31298),#314522,.F.); #326143=ADVANCED_FACE('',(#31299),#314523,.F.); #326144=ADVANCED_FACE('',(#31300),#314524,.F.); #326145=ADVANCED_FACE('',(#31301),#314525,.F.); #326146=ADVANCED_FACE('',(#31302),#314526,.F.); #326147=ADVANCED_FACE('',(#31303),#314527,.F.); #326148=ADVANCED_FACE('',(#31304),#314528,.F.); #326149=ADVANCED_FACE('',(#31305),#314529,.F.); #326150=ADVANCED_FACE('',(#31306),#314530,.F.); #326151=ADVANCED_FACE('',(#31307),#314531,.F.); #326152=ADVANCED_FACE('',(#31308),#314532,.F.); #326153=ADVANCED_FACE('',(#31309),#314533,.F.); #326154=ADVANCED_FACE('',(#31310),#15647,.T.); #326155=ADVANCED_FACE('',(#31311),#15648,.T.); #326156=ADVANCED_FACE('',(#31312),#15649,.T.); #326157=ADVANCED_FACE('',(#31313),#15650,.T.); #326158=ADVANCED_FACE('',(#31314),#15651,.T.); #326159=ADVANCED_FACE('',(#31315),#15652,.T.); #326160=ADVANCED_FACE('',(#31316),#15653,.T.); #326161=ADVANCED_FACE('',(#31317),#15654,.T.); #326162=ADVANCED_FACE('',(#31318),#15655,.T.); #326163=ADVANCED_FACE('',(#31319),#15656,.T.); #326164=ADVANCED_FACE('',(#31320),#15657,.T.); #326165=ADVANCED_FACE('',(#31321),#15658,.T.); #326166=ADVANCED_FACE('',(#31322),#15659,.T.); #326167=ADVANCED_FACE('',(#31323),#15660,.T.); #326168=ADVANCED_FACE('',(#31324),#15661,.T.); #326169=ADVANCED_FACE('',(#31325),#15662,.T.); #326170=ADVANCED_FACE('',(#31326),#15663,.T.); #326171=ADVANCED_FACE('',(#31327),#15664,.T.); #326172=ADVANCED_FACE('',(#31328),#15665,.T.); #326173=ADVANCED_FACE('',(#31329),#15666,.T.); #326174=ADVANCED_FACE('',(#31330),#15667,.T.); #326175=ADVANCED_FACE('',(#31331),#15668,.T.); #326176=ADVANCED_FACE('',(#31332),#15669,.T.); #326177=ADVANCED_FACE('',(#31333),#15670,.T.); #326178=ADVANCED_FACE('',(#31334),#15671,.T.); #326179=ADVANCED_FACE('',(#31335),#15672,.T.); #326180=ADVANCED_FACE('',(#31336),#15673,.T.); #326181=ADVANCED_FACE('',(#31337),#15674,.T.); #326182=ADVANCED_FACE('',(#31338),#15675,.T.); #326183=ADVANCED_FACE('',(#31339),#15676,.T.); #326184=ADVANCED_FACE('',(#31340),#15677,.T.); #326185=ADVANCED_FACE('',(#31341),#15678,.T.); #326186=ADVANCED_FACE('',(#31342),#15679,.T.); #326187=ADVANCED_FACE('',(#31343),#15680,.T.); #326188=ADVANCED_FACE('',(#31344),#15681,.T.); #326189=ADVANCED_FACE('',(#31345),#15682,.T.); #326190=ADVANCED_FACE('',(#31346),#15683,.T.); #326191=ADVANCED_FACE('',(#31347),#15684,.T.); #326192=ADVANCED_FACE('',(#31348),#15685,.T.); #326193=ADVANCED_FACE('',(#31349),#15686,.T.); #326194=ADVANCED_FACE('',(#31350),#15687,.T.); #326195=ADVANCED_FACE('',(#31351),#15688,.T.); #326196=ADVANCED_FACE('',(#31352),#15689,.T.); #326197=ADVANCED_FACE('',(#31353),#15690,.T.); #326198=ADVANCED_FACE('',(#31354),#15691,.T.); #326199=ADVANCED_FACE('',(#31355),#15692,.T.); #326200=ADVANCED_FACE('',(#31356),#15693,.T.); #326201=ADVANCED_FACE('',(#31357),#15694,.T.); #326202=ADVANCED_FACE('',(#31358),#15695,.T.); #326203=ADVANCED_FACE('',(#31359),#15696,.T.); #326204=ADVANCED_FACE('',(#31360),#15697,.T.); #326205=ADVANCED_FACE('',(#31361),#15698,.T.); #326206=ADVANCED_FACE('',(#31362),#15699,.T.); #326207=ADVANCED_FACE('',(#31363),#15700,.T.); #326208=ADVANCED_FACE('',(#31364),#15701,.T.); #326209=ADVANCED_FACE('',(#31365),#15702,.T.); #326210=ADVANCED_FACE('',(#31366),#15703,.T.); #326211=ADVANCED_FACE('',(#31367),#15704,.T.); #326212=ADVANCED_FACE('',(#31368),#15705,.T.); #326213=ADVANCED_FACE('',(#31369),#15706,.T.); #326214=ADVANCED_FACE('',(#31370),#15707,.T.); #326215=ADVANCED_FACE('',(#31371),#15708,.T.); #326216=ADVANCED_FACE('',(#31372),#15709,.T.); #326217=ADVANCED_FACE('',(#31373),#15710,.T.); #326218=ADVANCED_FACE('',(#31374),#15711,.T.); #326219=ADVANCED_FACE('',(#31375),#15712,.T.); #326220=ADVANCED_FACE('',(#31376),#15713,.T.); #326221=ADVANCED_FACE('',(#31377),#15714,.T.); #326222=ADVANCED_FACE('',(#31378),#15715,.T.); #326223=ADVANCED_FACE('',(#31379),#15716,.T.); #326224=ADVANCED_FACE('',(#31380),#15717,.T.); #326225=ADVANCED_FACE('',(#31381),#15718,.T.); #326226=ADVANCED_FACE('',(#31382),#15719,.T.); #326227=ADVANCED_FACE('',(#31383),#15720,.T.); #326228=ADVANCED_FACE('',(#31384),#15721,.T.); #326229=ADVANCED_FACE('',(#31385),#15722,.T.); #326230=ADVANCED_FACE('',(#31386),#15723,.T.); #326231=ADVANCED_FACE('',(#31387),#15724,.T.); #326232=ADVANCED_FACE('',(#31388),#15725,.T.); #326233=ADVANCED_FACE('',(#31389),#15726,.T.); #326234=ADVANCED_FACE('',(#31390),#15727,.T.); #326235=ADVANCED_FACE('',(#31391),#15728,.T.); #326236=ADVANCED_FACE('',(#31392),#15729,.T.); #326237=ADVANCED_FACE('',(#31393),#15730,.T.); #326238=ADVANCED_FACE('',(#31394),#15731,.T.); #326239=ADVANCED_FACE('',(#31395),#15732,.T.); #326240=ADVANCED_FACE('',(#31396),#15733,.T.); #326241=ADVANCED_FACE('',(#31397),#15734,.T.); #326242=ADVANCED_FACE('',(#31398),#15735,.T.); #326243=ADVANCED_FACE('',(#31399),#15736,.T.); #326244=ADVANCED_FACE('',(#31400),#15737,.T.); #326245=ADVANCED_FACE('',(#31401),#15738,.T.); #326246=ADVANCED_FACE('',(#31402),#15739,.T.); #326247=ADVANCED_FACE('',(#31403),#15740,.T.); #326248=ADVANCED_FACE('',(#31404),#15741,.T.); #326249=ADVANCED_FACE('',(#31405),#15742,.T.); #326250=ADVANCED_FACE('',(#31406),#15743,.T.); #326251=ADVANCED_FACE('',(#31407),#15744,.T.); #326252=ADVANCED_FACE('',(#31408),#15745,.T.); #326253=ADVANCED_FACE('',(#31409),#15746,.T.); #326254=ADVANCED_FACE('',(#31410),#15747,.T.); #326255=ADVANCED_FACE('',(#31411),#15748,.T.); #326256=ADVANCED_FACE('',(#31412),#15749,.T.); #326257=ADVANCED_FACE('',(#31413),#15750,.T.); #326258=ADVANCED_FACE('',(#31414),#15751,.T.); #326259=ADVANCED_FACE('',(#31415),#15752,.T.); #326260=ADVANCED_FACE('',(#31416),#15753,.T.); #326261=ADVANCED_FACE('',(#31417),#15754,.T.); #326262=ADVANCED_FACE('',(#31418),#15755,.T.); #326263=ADVANCED_FACE('',(#31419),#15756,.T.); #326264=ADVANCED_FACE('',(#31420),#15757,.T.); #326265=ADVANCED_FACE('',(#31421),#15758,.T.); #326266=ADVANCED_FACE('',(#31422),#15759,.T.); #326267=ADVANCED_FACE('',(#31423),#15760,.T.); #326268=ADVANCED_FACE('',(#31424),#15761,.T.); #326269=ADVANCED_FACE('',(#31425),#15762,.T.); #326270=ADVANCED_FACE('',(#31426),#15763,.T.); #326271=ADVANCED_FACE('',(#31427),#15764,.T.); #326272=ADVANCED_FACE('',(#31428),#15765,.T.); #326273=ADVANCED_FACE('',(#31429),#15766,.T.); #326274=ADVANCED_FACE('',(#31430),#15767,.T.); #326275=ADVANCED_FACE('',(#31431),#15768,.T.); #326276=ADVANCED_FACE('',(#31432),#15769,.T.); #326277=ADVANCED_FACE('',(#31433),#15770,.T.); #326278=ADVANCED_FACE('',(#31434),#15771,.T.); #326279=ADVANCED_FACE('',(#31435),#15772,.T.); #326280=ADVANCED_FACE('',(#31436),#15773,.T.); #326281=ADVANCED_FACE('',(#31437),#15774,.T.); #326282=ADVANCED_FACE('',(#31438),#15775,.T.); #326283=ADVANCED_FACE('',(#31439),#15776,.T.); #326284=ADVANCED_FACE('',(#31440),#15777,.T.); #326285=ADVANCED_FACE('',(#31441),#15778,.T.); #326286=ADVANCED_FACE('',(#31442),#15779,.T.); #326287=ADVANCED_FACE('',(#31443),#15780,.T.); #326288=ADVANCED_FACE('',(#31444),#15781,.T.); #326289=ADVANCED_FACE('',(#31445),#15782,.T.); #326290=ADVANCED_FACE('',(#31446),#15783,.T.); #326291=ADVANCED_FACE('',(#31447),#15784,.T.); #326292=ADVANCED_FACE('',(#31448),#15785,.T.); #326293=ADVANCED_FACE('',(#31449),#15786,.T.); #326294=ADVANCED_FACE('',(#31450),#15787,.T.); #326295=ADVANCED_FACE('',(#31451),#15788,.T.); #326296=ADVANCED_FACE('',(#31452),#15789,.T.); #326297=ADVANCED_FACE('',(#31453),#15790,.T.); #326298=ADVANCED_FACE('',(#31454),#15791,.T.); #326299=ADVANCED_FACE('',(#31455),#15792,.T.); #326300=ADVANCED_FACE('',(#31456),#15793,.T.); #326301=ADVANCED_FACE('',(#31457),#15794,.T.); #326302=ADVANCED_FACE('',(#31458),#15795,.T.); #326303=ADVANCED_FACE('',(#31459),#15796,.T.); #326304=ADVANCED_FACE('',(#31460),#15797,.T.); #326305=ADVANCED_FACE('',(#31461),#15798,.T.); #326306=ADVANCED_FACE('',(#31462),#15799,.T.); #326307=ADVANCED_FACE('',(#31463),#15800,.T.); #326308=ADVANCED_FACE('',(#31464),#15801,.T.); #326309=ADVANCED_FACE('',(#31465),#15802,.T.); #326310=ADVANCED_FACE('',(#31466),#15803,.T.); #326311=ADVANCED_FACE('',(#31467),#15804,.T.); #326312=ADVANCED_FACE('',(#31468),#15805,.T.); #326313=ADVANCED_FACE('',(#31469),#15806,.T.); #326314=ADVANCED_FACE('',(#31470),#15807,.T.); #326315=ADVANCED_FACE('',(#31471),#15808,.T.); #326316=ADVANCED_FACE('',(#31472),#15809,.T.); #326317=ADVANCED_FACE('',(#31473),#15810,.T.); #326318=ADVANCED_FACE('',(#31474),#15811,.T.); #326319=ADVANCED_FACE('',(#31475),#15812,.T.); #326320=ADVANCED_FACE('',(#31476),#15813,.T.); #326321=ADVANCED_FACE('',(#31477),#15814,.T.); #326322=ADVANCED_FACE('',(#31478),#15815,.T.); #326323=ADVANCED_FACE('',(#31479),#15816,.T.); #326324=ADVANCED_FACE('',(#31480),#15817,.T.); #326325=ADVANCED_FACE('',(#31481),#15818,.T.); #326326=ADVANCED_FACE('',(#31482),#15819,.T.); #326327=ADVANCED_FACE('',(#31483),#15820,.T.); #326328=ADVANCED_FACE('',(#31484),#15821,.T.); #326329=ADVANCED_FACE('',(#31485),#15822,.T.); #326330=ADVANCED_FACE('',(#31486),#15823,.T.); #326331=ADVANCED_FACE('',(#31487),#15824,.T.); #326332=ADVANCED_FACE('',(#31488),#15825,.T.); #326333=ADVANCED_FACE('',(#31489),#15826,.T.); #326334=ADVANCED_FACE('',(#31490),#15827,.T.); #326335=ADVANCED_FACE('',(#31491),#15828,.T.); #326336=ADVANCED_FACE('',(#31492),#15829,.T.); #326337=ADVANCED_FACE('',(#31493),#15830,.T.); #326338=ADVANCED_FACE('',(#31494),#15831,.T.); #326339=ADVANCED_FACE('',(#31495),#15832,.T.); #326340=ADVANCED_FACE('',(#31496),#15833,.T.); #326341=ADVANCED_FACE('',(#31497),#15834,.T.); #326342=ADVANCED_FACE('',(#31498),#15835,.T.); #326343=ADVANCED_FACE('',(#31499),#15836,.T.); #326344=ADVANCED_FACE('',(#31500),#15837,.T.); #326345=ADVANCED_FACE('',(#31501),#15838,.T.); #326346=ADVANCED_FACE('',(#31502),#15839,.T.); #326347=ADVANCED_FACE('',(#31503),#15840,.T.); #326348=ADVANCED_FACE('',(#31504),#15841,.T.); #326349=ADVANCED_FACE('',(#31505),#15842,.T.); #326350=ADVANCED_FACE('',(#31506),#15843,.T.); #326351=ADVANCED_FACE('',(#31507),#15844,.T.); #326352=ADVANCED_FACE('',(#31508),#15845,.T.); #326353=ADVANCED_FACE('',(#31509),#15846,.T.); #326354=ADVANCED_FACE('',(#31510),#15847,.T.); #326355=ADVANCED_FACE('',(#31511),#15848,.T.); #326356=ADVANCED_FACE('',(#31512),#15849,.T.); #326357=ADVANCED_FACE('',(#31513),#15850,.T.); #326358=ADVANCED_FACE('',(#31514),#15851,.T.); #326359=ADVANCED_FACE('',(#31515),#15852,.T.); #326360=ADVANCED_FACE('',(#31516),#15853,.T.); #326361=ADVANCED_FACE('',(#31517),#15854,.T.); #326362=ADVANCED_FACE('',(#31518),#15855,.T.); #326363=ADVANCED_FACE('',(#31519),#15856,.T.); #326364=ADVANCED_FACE('',(#31520),#15857,.T.); #326365=ADVANCED_FACE('',(#31521),#15858,.T.); #326366=ADVANCED_FACE('',(#31522),#15859,.T.); #326367=ADVANCED_FACE('',(#31523),#15860,.T.); #326368=ADVANCED_FACE('',(#31524),#15861,.T.); #326369=ADVANCED_FACE('',(#31525),#15862,.T.); #326370=ADVANCED_FACE('',(#31526),#15863,.T.); #326371=ADVANCED_FACE('',(#31527),#15864,.T.); #326372=ADVANCED_FACE('',(#31528),#15865,.T.); #326373=ADVANCED_FACE('',(#31529),#15866,.T.); #326374=ADVANCED_FACE('',(#31530),#15867,.T.); #326375=ADVANCED_FACE('',(#31531),#15868,.T.); #326376=ADVANCED_FACE('',(#31532),#15869,.T.); #326377=ADVANCED_FACE('',(#31533),#15870,.T.); #326378=ADVANCED_FACE('',(#31534),#15871,.T.); #326379=ADVANCED_FACE('',(#31535),#15872,.T.); #326380=ADVANCED_FACE('',(#31536),#15873,.T.); #326381=ADVANCED_FACE('',(#31537),#15874,.T.); #326382=ADVANCED_FACE('',(#31538),#15875,.T.); #326383=ADVANCED_FACE('',(#31539),#15876,.T.); #326384=ADVANCED_FACE('',(#31540),#15877,.T.); #326385=ADVANCED_FACE('',(#31541),#15878,.T.); #326386=ADVANCED_FACE('',(#31542),#15879,.T.); #326387=ADVANCED_FACE('',(#31543),#15880,.T.); #326388=ADVANCED_FACE('',(#31544),#15881,.T.); #326389=ADVANCED_FACE('',(#31545),#15882,.T.); #326390=ADVANCED_FACE('',(#31546),#15883,.T.); #326391=ADVANCED_FACE('',(#31547),#15884,.T.); #326392=ADVANCED_FACE('',(#31548),#15885,.T.); #326393=ADVANCED_FACE('',(#31549),#15886,.T.); #326394=ADVANCED_FACE('',(#31550),#15887,.T.); #326395=ADVANCED_FACE('',(#31551),#15888,.T.); #326396=ADVANCED_FACE('',(#31552),#15889,.T.); #326397=ADVANCED_FACE('',(#31553),#15890,.T.); #326398=ADVANCED_FACE('',(#31554),#15891,.T.); #326399=ADVANCED_FACE('',(#31555),#15892,.T.); #326400=ADVANCED_FACE('',(#31556),#15893,.T.); #326401=ADVANCED_FACE('',(#31557),#15894,.T.); #326402=ADVANCED_FACE('',(#31558),#15895,.T.); #326403=ADVANCED_FACE('',(#31559),#15896,.T.); #326404=ADVANCED_FACE('',(#31560),#15897,.T.); #326405=ADVANCED_FACE('',(#31561),#15898,.T.); #326406=ADVANCED_FACE('',(#31562),#15899,.T.); #326407=ADVANCED_FACE('',(#31563),#15900,.T.); #326408=ADVANCED_FACE('',(#31564),#15901,.T.); #326409=ADVANCED_FACE('',(#31565),#15902,.T.); #326410=ADVANCED_FACE('',(#31566),#15903,.T.); #326411=ADVANCED_FACE('',(#31567),#15904,.T.); #326412=ADVANCED_FACE('',(#31568),#15905,.T.); #326413=ADVANCED_FACE('',(#31569),#15906,.T.); #326414=ADVANCED_FACE('',(#31570),#15907,.T.); #326415=ADVANCED_FACE('',(#31571),#15908,.T.); #326416=ADVANCED_FACE('',(#31572),#15909,.T.); #326417=ADVANCED_FACE('',(#31573),#15910,.T.); #326418=ADVANCED_FACE('',(#31574),#15911,.T.); #326419=ADVANCED_FACE('',(#31575),#15912,.T.); #326420=ADVANCED_FACE('',(#31576),#15913,.T.); #326421=ADVANCED_FACE('',(#31577),#15914,.T.); #326422=ADVANCED_FACE('',(#31578),#15915,.T.); #326423=ADVANCED_FACE('',(#31579),#15916,.T.); #326424=ADVANCED_FACE('',(#31580),#15917,.T.); #326425=ADVANCED_FACE('',(#31581),#15918,.T.); #326426=ADVANCED_FACE('',(#31582),#15919,.T.); #326427=ADVANCED_FACE('',(#31583),#15920,.T.); #326428=ADVANCED_FACE('',(#31584),#15921,.T.); #326429=ADVANCED_FACE('',(#31585),#15922,.T.); #326430=ADVANCED_FACE('',(#31586),#15923,.T.); #326431=ADVANCED_FACE('',(#31587),#15924,.T.); #326432=ADVANCED_FACE('',(#31588),#15925,.T.); #326433=ADVANCED_FACE('',(#31589),#15926,.T.); #326434=ADVANCED_FACE('',(#31590),#15927,.T.); #326435=ADVANCED_FACE('',(#31591),#15928,.T.); #326436=ADVANCED_FACE('',(#31592),#15929,.T.); #326437=ADVANCED_FACE('',(#31593),#15930,.T.); #326438=ADVANCED_FACE('',(#31594),#15931,.T.); #326439=ADVANCED_FACE('',(#31595),#15932,.T.); #326440=ADVANCED_FACE('',(#31596),#15933,.T.); #326441=ADVANCED_FACE('',(#31597),#15934,.T.); #326442=ADVANCED_FACE('',(#31598),#15935,.T.); #326443=ADVANCED_FACE('',(#31599),#15936,.T.); #326444=ADVANCED_FACE('',(#31600),#15937,.T.); #326445=ADVANCED_FACE('',(#31601),#15938,.T.); #326446=ADVANCED_FACE('',(#31602),#15939,.T.); #326447=ADVANCED_FACE('',(#31603),#15940,.T.); #326448=ADVANCED_FACE('',(#31604),#15941,.T.); #326449=ADVANCED_FACE('',(#31605),#15942,.T.); #326450=ADVANCED_FACE('',(#31606),#15943,.T.); #326451=ADVANCED_FACE('',(#31607),#15944,.T.); #326452=ADVANCED_FACE('',(#31608),#15945,.T.); #326453=ADVANCED_FACE('',(#31609),#15946,.T.); #326454=ADVANCED_FACE('',(#31610),#15947,.T.); #326455=ADVANCED_FACE('',(#31611),#15948,.T.); #326456=ADVANCED_FACE('',(#31612),#15949,.T.); #326457=ADVANCED_FACE('',(#31613),#15950,.T.); #326458=ADVANCED_FACE('',(#31614),#15951,.T.); #326459=ADVANCED_FACE('',(#31615),#15952,.T.); #326460=ADVANCED_FACE('',(#31616),#15953,.T.); #326461=ADVANCED_FACE('',(#31617),#15954,.T.); #326462=ADVANCED_FACE('',(#31618),#15955,.T.); #326463=ADVANCED_FACE('',(#31619),#15956,.T.); #326464=ADVANCED_FACE('',(#31620),#15957,.T.); #326465=ADVANCED_FACE('',(#31621),#15958,.T.); #326466=ADVANCED_FACE('',(#31622),#15959,.T.); #326467=ADVANCED_FACE('',(#31623),#15960,.T.); #326468=ADVANCED_FACE('',(#31624),#15961,.T.); #326469=ADVANCED_FACE('',(#31625),#15962,.T.); #326470=ADVANCED_FACE('',(#31626),#15963,.T.); #326471=ADVANCED_FACE('',(#31627),#15964,.T.); #326472=ADVANCED_FACE('',(#31628),#15965,.T.); #326473=ADVANCED_FACE('',(#31629),#15966,.T.); #326474=ADVANCED_FACE('',(#31630),#15967,.T.); #326475=ADVANCED_FACE('',(#31631),#15968,.T.); #326476=ADVANCED_FACE('',(#31632),#15969,.T.); #326477=ADVANCED_FACE('',(#31633),#15970,.T.); #326478=ADVANCED_FACE('',(#31634),#15971,.T.); #326479=ADVANCED_FACE('',(#31635),#15972,.T.); #326480=ADVANCED_FACE('',(#31636),#15973,.T.); #326481=ADVANCED_FACE('',(#31637),#15974,.T.); #326482=ADVANCED_FACE('',(#31638),#15975,.T.); #326483=ADVANCED_FACE('',(#31639),#15976,.T.); #326484=ADVANCED_FACE('',(#31640),#15977,.T.); #326485=ADVANCED_FACE('',(#31641),#15978,.T.); #326486=ADVANCED_FACE('',(#31642),#15979,.T.); #326487=ADVANCED_FACE('',(#31643),#15980,.T.); #326488=ADVANCED_FACE('',(#31644),#15981,.T.); #326489=ADVANCED_FACE('',(#31645),#15982,.T.); #326490=ADVANCED_FACE('',(#31646),#15983,.T.); #326491=ADVANCED_FACE('',(#31647),#15984,.T.); #326492=ADVANCED_FACE('',(#31648),#15985,.T.); #326493=ADVANCED_FACE('',(#31649),#15986,.T.); #326494=ADVANCED_FACE('',(#31650),#15987,.T.); #326495=ADVANCED_FACE('',(#31651),#15988,.T.); #326496=ADVANCED_FACE('',(#31652),#15989,.T.); #326497=ADVANCED_FACE('',(#31653),#15990,.T.); #326498=ADVANCED_FACE('',(#31654),#15991,.T.); #326499=ADVANCED_FACE('',(#31655),#15992,.T.); #326500=ADVANCED_FACE('',(#31656),#15993,.T.); #326501=ADVANCED_FACE('',(#31657),#15994,.T.); #326502=ADVANCED_FACE('',(#31658),#15995,.T.); #326503=ADVANCED_FACE('',(#31659),#15996,.T.); #326504=ADVANCED_FACE('',(#31660),#15997,.T.); #326505=ADVANCED_FACE('',(#31661),#15998,.T.); #326506=ADVANCED_FACE('',(#31662),#15999,.T.); #326507=ADVANCED_FACE('',(#31663),#16000,.T.); #326508=ADVANCED_FACE('',(#31664),#16001,.T.); #326509=ADVANCED_FACE('',(#31665),#16002,.T.); #326510=ADVANCED_FACE('',(#31666),#16003,.T.); #326511=ADVANCED_FACE('',(#31667),#16004,.T.); #326512=ADVANCED_FACE('',(#31668),#16005,.T.); #326513=ADVANCED_FACE('',(#31669),#16006,.T.); #326514=ADVANCED_FACE('',(#31670),#16007,.T.); #326515=ADVANCED_FACE('',(#31671),#16008,.T.); #326516=ADVANCED_FACE('',(#31672),#16009,.T.); #326517=ADVANCED_FACE('',(#31673),#16010,.T.); #326518=ADVANCED_FACE('',(#31674),#16011,.T.); #326519=ADVANCED_FACE('',(#31675),#16012,.T.); #326520=ADVANCED_FACE('',(#31676),#16013,.T.); #326521=ADVANCED_FACE('',(#31677),#16014,.T.); #326522=ADVANCED_FACE('',(#31678),#16015,.T.); #326523=ADVANCED_FACE('',(#31679),#16016,.T.); #326524=ADVANCED_FACE('',(#31680),#16017,.T.); #326525=ADVANCED_FACE('',(#31681),#16018,.T.); #326526=ADVANCED_FACE('',(#31682),#16019,.T.); #326527=ADVANCED_FACE('',(#31683),#16020,.T.); #326528=ADVANCED_FACE('',(#31684),#16021,.T.); #326529=ADVANCED_FACE('',(#31685),#16022,.T.); #326530=ADVANCED_FACE('',(#31686),#16023,.T.); #326531=ADVANCED_FACE('',(#31687),#16024,.T.); #326532=ADVANCED_FACE('',(#31688),#16025,.T.); #326533=ADVANCED_FACE('',(#31689),#16026,.T.); #326534=ADVANCED_FACE('',(#31690),#16027,.T.); #326535=ADVANCED_FACE('',(#31691),#16028,.T.); #326536=ADVANCED_FACE('',(#31692),#16029,.T.); #326537=ADVANCED_FACE('',(#31693),#16030,.T.); #326538=ADVANCED_FACE('',(#31694),#16031,.T.); #326539=ADVANCED_FACE('',(#31695),#16032,.T.); #326540=ADVANCED_FACE('',(#31696),#16033,.T.); #326541=ADVANCED_FACE('',(#31697),#16034,.T.); #326542=ADVANCED_FACE('',(#31698),#16035,.T.); #326543=ADVANCED_FACE('',(#31699),#16036,.T.); #326544=ADVANCED_FACE('',(#31700),#16037,.T.); #326545=ADVANCED_FACE('',(#31701),#16038,.T.); #326546=ADVANCED_FACE('',(#31702),#16039,.T.); #326547=ADVANCED_FACE('',(#31703),#16040,.T.); #326548=ADVANCED_FACE('',(#31704),#16041,.T.); #326549=ADVANCED_FACE('',(#31705),#16042,.T.); #326550=ADVANCED_FACE('',(#31706),#16043,.T.); #326551=ADVANCED_FACE('',(#31707),#16044,.T.); #326552=ADVANCED_FACE('',(#31708),#16045,.T.); #326553=ADVANCED_FACE('',(#31709),#16046,.T.); #326554=ADVANCED_FACE('',(#31710),#16047,.T.); #326555=ADVANCED_FACE('',(#31711),#16048,.T.); #326556=ADVANCED_FACE('',(#31712),#16049,.T.); #326557=ADVANCED_FACE('',(#31713),#16050,.T.); #326558=ADVANCED_FACE('',(#31714),#16051,.T.); #326559=ADVANCED_FACE('',(#31715),#16052,.T.); #326560=ADVANCED_FACE('',(#31716),#16053,.T.); #326561=ADVANCED_FACE('',(#31717),#16054,.T.); #326562=ADVANCED_FACE('',(#31718),#16055,.T.); #326563=ADVANCED_FACE('',(#31719),#16056,.T.); #326564=ADVANCED_FACE('',(#31720),#16057,.T.); #326565=ADVANCED_FACE('',(#31721),#16058,.T.); #326566=ADVANCED_FACE('',(#31722),#16059,.T.); #326567=ADVANCED_FACE('',(#31723),#16060,.T.); #326568=ADVANCED_FACE('',(#31724),#16061,.T.); #326569=ADVANCED_FACE('',(#31725),#16062,.T.); #326570=ADVANCED_FACE('',(#31726),#16063,.T.); #326571=ADVANCED_FACE('',(#31727),#16064,.T.); #326572=ADVANCED_FACE('',(#31728),#16065,.T.); #326573=ADVANCED_FACE('',(#31729),#16066,.T.); #326574=ADVANCED_FACE('',(#31730),#16067,.T.); #326575=ADVANCED_FACE('',(#31731),#16068,.T.); #326576=ADVANCED_FACE('',(#31732),#16069,.T.); #326577=ADVANCED_FACE('',(#31733),#16070,.T.); #326578=ADVANCED_FACE('',(#31734),#16071,.T.); #326579=ADVANCED_FACE('',(#31735),#16072,.T.); #326580=ADVANCED_FACE('',(#31736),#16073,.T.); #326581=ADVANCED_FACE('',(#31737),#16074,.T.); #326582=ADVANCED_FACE('',(#31738),#16075,.T.); #326583=ADVANCED_FACE('',(#31739),#16076,.T.); #326584=ADVANCED_FACE('',(#31740),#16077,.T.); #326585=ADVANCED_FACE('',(#31741),#16078,.T.); #326586=ADVANCED_FACE('',(#31742),#16079,.T.); #326587=ADVANCED_FACE('',(#31743),#16080,.T.); #326588=ADVANCED_FACE('',(#31744),#16081,.T.); #326589=ADVANCED_FACE('',(#31745),#16082,.T.); #326590=ADVANCED_FACE('',(#31746),#16083,.T.); #326591=ADVANCED_FACE('',(#31747),#16084,.T.); #326592=ADVANCED_FACE('',(#31748),#16085,.T.); #326593=ADVANCED_FACE('',(#31749),#16086,.T.); #326594=ADVANCED_FACE('',(#31750),#16087,.T.); #326595=ADVANCED_FACE('',(#31751),#16088,.T.); #326596=ADVANCED_FACE('',(#31752),#16089,.T.); #326597=ADVANCED_FACE('',(#31753),#16090,.T.); #326598=ADVANCED_FACE('',(#31754),#16091,.T.); #326599=ADVANCED_FACE('',(#31755),#16092,.T.); #326600=ADVANCED_FACE('',(#31756),#16093,.T.); #326601=ADVANCED_FACE('',(#31757),#16094,.T.); #326602=ADVANCED_FACE('',(#31758),#16095,.T.); #326603=ADVANCED_FACE('',(#31759),#16096,.T.); #326604=ADVANCED_FACE('',(#31760),#16097,.T.); #326605=ADVANCED_FACE('',(#31761),#16098,.T.); #326606=ADVANCED_FACE('',(#31762),#16099,.T.); #326607=ADVANCED_FACE('',(#31763),#16100,.T.); #326608=ADVANCED_FACE('',(#31764),#16101,.T.); #326609=ADVANCED_FACE('',(#31765),#16102,.T.); #326610=ADVANCED_FACE('',(#31766),#16103,.T.); #326611=ADVANCED_FACE('',(#31767),#16104,.T.); #326612=ADVANCED_FACE('',(#31768),#16105,.T.); #326613=ADVANCED_FACE('',(#31769),#16106,.T.); #326614=ADVANCED_FACE('',(#31770),#16107,.T.); #326615=ADVANCED_FACE('',(#31771),#16108,.T.); #326616=ADVANCED_FACE('',(#31772),#16109,.T.); #326617=ADVANCED_FACE('',(#31773),#16110,.T.); #326618=ADVANCED_FACE('',(#31774),#16111,.T.); #326619=ADVANCED_FACE('',(#31775),#16112,.T.); #326620=ADVANCED_FACE('',(#31776),#16113,.T.); #326621=ADVANCED_FACE('',(#31777),#16114,.T.); #326622=ADVANCED_FACE('',(#31778),#16115,.T.); #326623=ADVANCED_FACE('',(#31779),#16116,.T.); #326624=ADVANCED_FACE('',(#31780),#16117,.T.); #326625=ADVANCED_FACE('',(#31781),#16118,.T.); #326626=ADVANCED_FACE('',(#31782),#16119,.T.); #326627=ADVANCED_FACE('',(#31783),#16120,.T.); #326628=ADVANCED_FACE('',(#31784),#16121,.T.); #326629=ADVANCED_FACE('',(#31785),#16122,.T.); #326630=ADVANCED_FACE('',(#31786),#16123,.T.); #326631=ADVANCED_FACE('',(#31787),#16124,.T.); #326632=ADVANCED_FACE('',(#31788),#16125,.T.); #326633=ADVANCED_FACE('',(#31789),#16126,.T.); #326634=ADVANCED_FACE('',(#31790),#16127,.T.); #326635=ADVANCED_FACE('',(#31791),#16128,.T.); #326636=ADVANCED_FACE('',(#31792),#16129,.T.); #326637=ADVANCED_FACE('',(#31793),#16130,.T.); #326638=ADVANCED_FACE('',(#31794),#16131,.T.); #326639=ADVANCED_FACE('',(#31795),#16132,.T.); #326640=ADVANCED_FACE('',(#31796),#16133,.T.); #326641=ADVANCED_FACE('',(#31797),#16134,.T.); #326642=ADVANCED_FACE('',(#31798),#16135,.T.); #326643=ADVANCED_FACE('',(#31799),#16136,.T.); #326644=ADVANCED_FACE('',(#31800),#16137,.T.); #326645=ADVANCED_FACE('',(#31801),#16138,.T.); #326646=ADVANCED_FACE('',(#31802),#16139,.T.); #326647=ADVANCED_FACE('',(#31803),#16140,.T.); #326648=ADVANCED_FACE('',(#31804),#16141,.T.); #326649=ADVANCED_FACE('',(#31805),#16142,.T.); #326650=ADVANCED_FACE('',(#31806),#16143,.T.); #326651=ADVANCED_FACE('',(#31807),#16144,.T.); #326652=ADVANCED_FACE('',(#31808),#16145,.T.); #326653=ADVANCED_FACE('',(#31809),#16146,.T.); #326654=ADVANCED_FACE('',(#31810),#16147,.T.); #326655=ADVANCED_FACE('',(#31811),#16148,.T.); #326656=ADVANCED_FACE('',(#31812),#16149,.T.); #326657=ADVANCED_FACE('',(#31813),#16150,.T.); #326658=ADVANCED_FACE('',(#31814),#16151,.T.); #326659=ADVANCED_FACE('',(#31815),#16152,.T.); #326660=ADVANCED_FACE('',(#31816),#16153,.T.); #326661=ADVANCED_FACE('',(#31817),#16154,.T.); #326662=ADVANCED_FACE('',(#31818),#16155,.T.); #326663=ADVANCED_FACE('',(#31819),#16156,.T.); #326664=ADVANCED_FACE('',(#31820),#16157,.T.); #326665=ADVANCED_FACE('',(#31821),#16158,.T.); #326666=ADVANCED_FACE('',(#31822),#16159,.T.); #326667=ADVANCED_FACE('',(#31823),#16160,.T.); #326668=ADVANCED_FACE('',(#31824),#16161,.T.); #326669=ADVANCED_FACE('',(#31825),#16162,.T.); #326670=ADVANCED_FACE('',(#31826),#16163,.T.); #326671=ADVANCED_FACE('',(#31827),#16164,.T.); #326672=ADVANCED_FACE('',(#31828),#16165,.T.); #326673=ADVANCED_FACE('',(#31829),#16166,.T.); #326674=ADVANCED_FACE('',(#31830),#16167,.T.); #326675=ADVANCED_FACE('',(#31831),#16168,.T.); #326676=ADVANCED_FACE('',(#31832),#16169,.T.); #326677=ADVANCED_FACE('',(#31833),#16170,.T.); #326678=ADVANCED_FACE('',(#31834),#16171,.T.); #326679=ADVANCED_FACE('',(#31835),#16172,.T.); #326680=ADVANCED_FACE('',(#31836),#16173,.T.); #326681=ADVANCED_FACE('',(#31837),#16174,.T.); #326682=ADVANCED_FACE('',(#31838),#16175,.T.); #326683=ADVANCED_FACE('',(#31839),#16176,.T.); #326684=ADVANCED_FACE('',(#31840),#16177,.T.); #326685=ADVANCED_FACE('',(#31841),#16178,.T.); #326686=ADVANCED_FACE('',(#31842),#16179,.T.); #326687=ADVANCED_FACE('',(#31843),#16180,.T.); #326688=ADVANCED_FACE('',(#31844),#16181,.T.); #326689=ADVANCED_FACE('',(#31845),#16182,.T.); #326690=ADVANCED_FACE('',(#31846),#16183,.T.); #326691=ADVANCED_FACE('',(#31847),#16184,.T.); #326692=ADVANCED_FACE('',(#31848),#16185,.T.); #326693=ADVANCED_FACE('',(#31849),#16186,.T.); #326694=ADVANCED_FACE('',(#31850),#16187,.T.); #326695=ADVANCED_FACE('',(#31851),#16188,.T.); #326696=ADVANCED_FACE('',(#31852),#16189,.T.); #326697=ADVANCED_FACE('',(#31853),#16190,.T.); #326698=ADVANCED_FACE('',(#31854),#16191,.T.); #326699=ADVANCED_FACE('',(#31855),#16192,.T.); #326700=ADVANCED_FACE('',(#31856),#16193,.T.); #326701=ADVANCED_FACE('',(#31857),#16194,.T.); #326702=ADVANCED_FACE('',(#31858),#16195,.T.); #326703=ADVANCED_FACE('',(#31859),#16196,.T.); #326704=ADVANCED_FACE('',(#31860),#16197,.T.); #326705=ADVANCED_FACE('',(#31861),#16198,.T.); #326706=ADVANCED_FACE('',(#31862),#16199,.T.); #326707=ADVANCED_FACE('',(#31863),#16200,.T.); #326708=ADVANCED_FACE('',(#31864),#16201,.T.); #326709=ADVANCED_FACE('',(#31865),#16202,.T.); #326710=ADVANCED_FACE('',(#31866),#16203,.T.); #326711=ADVANCED_FACE('',(#31867),#16204,.T.); #326712=ADVANCED_FACE('',(#31868),#16205,.T.); #326713=ADVANCED_FACE('',(#31869),#16206,.T.); #326714=ADVANCED_FACE('',(#31870),#16207,.T.); #326715=ADVANCED_FACE('',(#31871),#16208,.T.); #326716=ADVANCED_FACE('',(#31872),#16209,.T.); #326717=ADVANCED_FACE('',(#31873),#16210,.T.); #326718=ADVANCED_FACE('',(#31874),#16211,.T.); #326719=ADVANCED_FACE('',(#31875),#16212,.T.); #326720=ADVANCED_FACE('',(#31876),#16213,.T.); #326721=ADVANCED_FACE('',(#31877),#16214,.T.); #326722=ADVANCED_FACE('',(#31878),#16215,.T.); #326723=ADVANCED_FACE('',(#31879),#16216,.T.); #326724=ADVANCED_FACE('',(#31880),#16217,.T.); #326725=ADVANCED_FACE('',(#31881),#16218,.T.); #326726=ADVANCED_FACE('',(#31882),#16219,.T.); #326727=ADVANCED_FACE('',(#31883),#16220,.T.); #326728=ADVANCED_FACE('',(#31884),#16221,.T.); #326729=ADVANCED_FACE('',(#31885),#16222,.T.); #326730=ADVANCED_FACE('',(#31886),#16223,.T.); #326731=ADVANCED_FACE('',(#31887),#16224,.T.); #326732=ADVANCED_FACE('',(#31888),#16225,.T.); #326733=ADVANCED_FACE('',(#31889),#16226,.T.); #326734=ADVANCED_FACE('',(#31890),#16227,.T.); #326735=ADVANCED_FACE('',(#31891),#16228,.T.); #326736=ADVANCED_FACE('',(#31892),#16229,.T.); #326737=ADVANCED_FACE('',(#31893),#16230,.T.); #326738=ADVANCED_FACE('',(#31894),#16231,.T.); #326739=ADVANCED_FACE('',(#31895),#16232,.T.); #326740=ADVANCED_FACE('',(#31896),#16233,.T.); #326741=ADVANCED_FACE('',(#31897),#16234,.T.); #326742=ADVANCED_FACE('',(#31898),#16235,.T.); #326743=ADVANCED_FACE('',(#31899),#16236,.T.); #326744=ADVANCED_FACE('',(#31900),#16237,.T.); #326745=ADVANCED_FACE('',(#31901),#16238,.T.); #326746=ADVANCED_FACE('',(#31902),#16239,.T.); #326747=ADVANCED_FACE('',(#31903),#16240,.T.); #326748=ADVANCED_FACE('',(#31904),#16241,.T.); #326749=ADVANCED_FACE('',(#31905),#16242,.T.); #326750=ADVANCED_FACE('',(#31906),#16243,.T.); #326751=ADVANCED_FACE('',(#31907),#16244,.T.); #326752=ADVANCED_FACE('',(#31908),#16245,.T.); #326753=ADVANCED_FACE('',(#31909),#16246,.T.); #326754=ADVANCED_FACE('',(#31910),#16247,.T.); #326755=ADVANCED_FACE('',(#31911),#16248,.T.); #326756=ADVANCED_FACE('',(#31912),#16249,.T.); #326757=ADVANCED_FACE('',(#31913),#16250,.T.); #326758=ADVANCED_FACE('',(#31914),#16251,.T.); #326759=ADVANCED_FACE('',(#31915),#16252,.T.); #326760=ADVANCED_FACE('',(#31916),#16253,.T.); #326761=ADVANCED_FACE('',(#31917),#16254,.T.); #326762=ADVANCED_FACE('',(#31918),#16255,.T.); #326763=ADVANCED_FACE('',(#31919),#16256,.T.); #326764=ADVANCED_FACE('',(#31920),#16257,.T.); #326765=ADVANCED_FACE('',(#31921),#16258,.T.); #326766=ADVANCED_FACE('',(#31922),#16259,.T.); #326767=ADVANCED_FACE('',(#31923),#16260,.T.); #326768=ADVANCED_FACE('',(#31924),#16261,.T.); #326769=ADVANCED_FACE('',(#31925),#16262,.T.); #326770=ADVANCED_FACE('',(#31926),#16263,.T.); #326771=ADVANCED_FACE('',(#31927),#16264,.T.); #326772=ADVANCED_FACE('',(#31928),#16265,.T.); #326773=ADVANCED_FACE('',(#31929),#16266,.T.); #326774=ADVANCED_FACE('',(#31930),#16267,.T.); #326775=ADVANCED_FACE('',(#31931),#16268,.T.); #326776=ADVANCED_FACE('',(#31932),#16269,.T.); #326777=ADVANCED_FACE('',(#31933),#16270,.T.); #326778=ADVANCED_FACE('',(#31934),#16271,.T.); #326779=ADVANCED_FACE('',(#31935),#16272,.T.); #326780=ADVANCED_FACE('',(#31936),#16273,.T.); #326781=ADVANCED_FACE('',(#31937),#16274,.T.); #326782=ADVANCED_FACE('',(#31938),#16275,.T.); #326783=ADVANCED_FACE('',(#31939),#16276,.T.); #326784=ADVANCED_FACE('',(#31940),#16277,.T.); #326785=ADVANCED_FACE('',(#31941),#16278,.T.); #326786=ADVANCED_FACE('',(#31942),#16279,.T.); #326787=ADVANCED_FACE('',(#31943),#16280,.T.); #326788=ADVANCED_FACE('',(#31944),#16281,.T.); #326789=ADVANCED_FACE('',(#31945),#16282,.T.); #326790=ADVANCED_FACE('',(#31946),#16283,.T.); #326791=ADVANCED_FACE('',(#31947),#16284,.T.); #326792=ADVANCED_FACE('',(#31948),#16285,.T.); #326793=ADVANCED_FACE('',(#31949),#16286,.T.); #326794=ADVANCED_FACE('',(#31950),#16287,.T.); #326795=ADVANCED_FACE('',(#31951),#16288,.T.); #326796=ADVANCED_FACE('',(#31952),#16289,.T.); #326797=ADVANCED_FACE('',(#31953),#16290,.T.); #326798=ADVANCED_FACE('',(#31954),#16291,.T.); #326799=ADVANCED_FACE('',(#31955),#16292,.T.); #326800=ADVANCED_FACE('',(#31956),#16293,.T.); #326801=ADVANCED_FACE('',(#31957),#16294,.T.); #326802=ADVANCED_FACE('',(#31958),#16295,.T.); #326803=ADVANCED_FACE('',(#31959),#16296,.T.); #326804=ADVANCED_FACE('',(#31960),#16297,.T.); #326805=ADVANCED_FACE('',(#31961),#16298,.T.); #326806=ADVANCED_FACE('',(#31962),#16299,.T.); #326807=ADVANCED_FACE('',(#31963),#16300,.T.); #326808=ADVANCED_FACE('',(#31964),#16301,.T.); #326809=ADVANCED_FACE('',(#31965),#16302,.T.); #326810=ADVANCED_FACE('',(#31966),#16303,.T.); #326811=ADVANCED_FACE('',(#31967),#16304,.T.); #326812=ADVANCED_FACE('',(#31968),#16305,.T.); #326813=ADVANCED_FACE('',(#31969),#16306,.T.); #326814=ADVANCED_FACE('',(#31970),#16307,.T.); #326815=ADVANCED_FACE('',(#31971),#16308,.T.); #326816=ADVANCED_FACE('',(#31972),#16309,.T.); #326817=ADVANCED_FACE('',(#31973),#16310,.T.); #326818=ADVANCED_FACE('',(#31974),#16311,.T.); #326819=ADVANCED_FACE('',(#31975),#16312,.T.); #326820=ADVANCED_FACE('',(#31976),#16313,.T.); #326821=ADVANCED_FACE('',(#31977),#16314,.T.); #326822=ADVANCED_FACE('',(#31978),#16315,.T.); #326823=ADVANCED_FACE('',(#31979),#16316,.T.); #326824=ADVANCED_FACE('',(#31980),#16317,.T.); #326825=ADVANCED_FACE('',(#31981),#16318,.T.); #326826=ADVANCED_FACE('',(#31982),#16319,.T.); #326827=ADVANCED_FACE('',(#31983),#16320,.T.); #326828=ADVANCED_FACE('',(#31984),#16321,.T.); #326829=ADVANCED_FACE('',(#31985),#16322,.T.); #326830=ADVANCED_FACE('',(#31986),#16323,.T.); #326831=ADVANCED_FACE('',(#31987),#16324,.T.); #326832=ADVANCED_FACE('',(#31988),#16325,.T.); #326833=ADVANCED_FACE('',(#31989),#16326,.T.); #326834=ADVANCED_FACE('',(#31990),#16327,.T.); #326835=ADVANCED_FACE('',(#31991),#16328,.T.); #326836=ADVANCED_FACE('',(#31992),#16329,.T.); #326837=ADVANCED_FACE('',(#31993),#16330,.T.); #326838=ADVANCED_FACE('',(#31994),#16331,.T.); #326839=ADVANCED_FACE('',(#31995),#16332,.T.); #326840=ADVANCED_FACE('',(#31996),#16333,.T.); #326841=ADVANCED_FACE('',(#31997),#16334,.T.); #326842=ADVANCED_FACE('',(#31998),#16335,.T.); #326843=ADVANCED_FACE('',(#31999),#16336,.T.); #326844=ADVANCED_FACE('',(#32000),#16337,.T.); #326845=ADVANCED_FACE('',(#32001),#16338,.T.); #326846=ADVANCED_FACE('',(#32002),#16339,.T.); #326847=ADVANCED_FACE('',(#32003),#16340,.T.); #326848=ADVANCED_FACE('',(#32004),#16341,.T.); #326849=ADVANCED_FACE('',(#32005),#16342,.T.); #326850=ADVANCED_FACE('',(#32006),#16343,.T.); #326851=ADVANCED_FACE('',(#32007),#16344,.T.); #326852=ADVANCED_FACE('',(#32008),#16345,.T.); #326853=ADVANCED_FACE('',(#32009),#16346,.T.); #326854=ADVANCED_FACE('',(#32010),#16347,.T.); #326855=ADVANCED_FACE('',(#32011),#16348,.T.); #326856=ADVANCED_FACE('',(#32012),#16349,.T.); #326857=ADVANCED_FACE('',(#32013),#16350,.T.); #326858=ADVANCED_FACE('',(#32014),#16351,.T.); #326859=ADVANCED_FACE('',(#32015),#16352,.T.); #326860=ADVANCED_FACE('',(#32016),#16353,.T.); #326861=ADVANCED_FACE('',(#32017),#16354,.T.); #326862=ADVANCED_FACE('',(#32018),#16355,.T.); #326863=ADVANCED_FACE('',(#32019),#16356,.T.); #326864=ADVANCED_FACE('',(#32020),#16357,.T.); #326865=ADVANCED_FACE('',(#32021),#16358,.T.); #326866=ADVANCED_FACE('',(#32022),#16359,.T.); #326867=ADVANCED_FACE('',(#32023),#16360,.T.); #326868=ADVANCED_FACE('',(#32024),#16361,.T.); #326869=ADVANCED_FACE('',(#32025),#16362,.T.); #326870=ADVANCED_FACE('',(#32026),#16363,.T.); #326871=ADVANCED_FACE('',(#32027),#16364,.T.); #326872=ADVANCED_FACE('',(#32028),#16365,.T.); #326873=ADVANCED_FACE('',(#32029),#16366,.T.); #326874=ADVANCED_FACE('',(#32030),#16367,.T.); #326875=ADVANCED_FACE('',(#32031),#16368,.T.); #326876=ADVANCED_FACE('',(#32032),#16369,.T.); #326877=ADVANCED_FACE('',(#32033),#16370,.T.); #326878=ADVANCED_FACE('',(#32034),#16371,.T.); #326879=ADVANCED_FACE('',(#32035),#16372,.T.); #326880=ADVANCED_FACE('',(#32036),#16373,.T.); #326881=ADVANCED_FACE('',(#32037),#16374,.T.); #326882=ADVANCED_FACE('',(#32038),#16375,.T.); #326883=ADVANCED_FACE('',(#32039),#16376,.T.); #326884=ADVANCED_FACE('',(#32040),#16377,.T.); #326885=ADVANCED_FACE('',(#32041),#16378,.T.); #326886=ADVANCED_FACE('',(#32042),#16379,.T.); #326887=ADVANCED_FACE('',(#32043),#16380,.T.); #326888=ADVANCED_FACE('',(#32044),#16381,.T.); #326889=ADVANCED_FACE('',(#32045),#16382,.T.); #326890=ADVANCED_FACE('',(#32046),#16383,.T.); #326891=ADVANCED_FACE('',(#32047),#16384,.T.); #326892=ADVANCED_FACE('',(#32048),#16385,.T.); #326893=ADVANCED_FACE('',(#32049),#16386,.T.); #326894=ADVANCED_FACE('',(#32050),#16387,.T.); #326895=ADVANCED_FACE('',(#32051),#16388,.T.); #326896=ADVANCED_FACE('',(#32052),#16389,.T.); #326897=ADVANCED_FACE('',(#32053),#16390,.T.); #326898=ADVANCED_FACE('',(#32054),#16391,.T.); #326899=ADVANCED_FACE('',(#32055),#16392,.T.); #326900=ADVANCED_FACE('',(#32056),#16393,.T.); #326901=ADVANCED_FACE('',(#32057),#16394,.T.); #326902=ADVANCED_FACE('',(#32058),#16395,.T.); #326903=ADVANCED_FACE('',(#32059),#16396,.T.); #326904=ADVANCED_FACE('',(#32060),#16397,.T.); #326905=ADVANCED_FACE('',(#32061),#16398,.T.); #326906=ADVANCED_FACE('',(#32062),#16399,.T.); #326907=ADVANCED_FACE('',(#32063),#16400,.T.); #326908=ADVANCED_FACE('',(#32064),#16401,.T.); #326909=ADVANCED_FACE('',(#32065),#16402,.T.); #326910=ADVANCED_FACE('',(#32066),#16403,.T.); #326911=ADVANCED_FACE('',(#32067),#16404,.T.); #326912=ADVANCED_FACE('',(#32068),#16405,.T.); #326913=ADVANCED_FACE('',(#32069),#16406,.T.); #326914=ADVANCED_FACE('',(#32070),#16407,.T.); #326915=ADVANCED_FACE('',(#32071),#16408,.T.); #326916=ADVANCED_FACE('',(#32072),#16409,.T.); #326917=ADVANCED_FACE('',(#32073),#16410,.T.); #326918=ADVANCED_FACE('',(#32074),#16411,.T.); #326919=ADVANCED_FACE('',(#32075),#16412,.T.); #326920=ADVANCED_FACE('',(#32076),#16413,.T.); #326921=ADVANCED_FACE('',(#32077),#16414,.T.); #326922=ADVANCED_FACE('',(#32078),#16415,.T.); #326923=ADVANCED_FACE('',(#32079),#16416,.T.); #326924=ADVANCED_FACE('',(#32080),#16417,.T.); #326925=ADVANCED_FACE('',(#32081),#16418,.T.); #326926=ADVANCED_FACE('',(#32082),#16419,.T.); #326927=ADVANCED_FACE('',(#32083),#16420,.T.); #326928=ADVANCED_FACE('',(#32084),#16421,.T.); #326929=ADVANCED_FACE('',(#32085),#16422,.T.); #326930=ADVANCED_FACE('',(#32086),#16423,.T.); #326931=ADVANCED_FACE('',(#32087),#16424,.T.); #326932=ADVANCED_FACE('',(#32088),#16425,.T.); #326933=ADVANCED_FACE('',(#32089),#16426,.T.); #326934=ADVANCED_FACE('',(#32090),#16427,.T.); #326935=ADVANCED_FACE('',(#32091),#16428,.T.); #326936=ADVANCED_FACE('',(#32092),#16429,.T.); #326937=ADVANCED_FACE('',(#32093),#16430,.T.); #326938=ADVANCED_FACE('',(#32094),#16431,.T.); #326939=ADVANCED_FACE('',(#32095),#16432,.T.); #326940=ADVANCED_FACE('',(#32096),#16433,.T.); #326941=ADVANCED_FACE('',(#32097),#16434,.T.); #326942=ADVANCED_FACE('',(#32098),#16435,.T.); #326943=ADVANCED_FACE('',(#32099),#16436,.T.); #326944=ADVANCED_FACE('',(#32100),#16437,.T.); #326945=ADVANCED_FACE('',(#32101),#16438,.T.); #326946=ADVANCED_FACE('',(#32102),#16439,.T.); #326947=ADVANCED_FACE('',(#32103),#16440,.T.); #326948=ADVANCED_FACE('',(#32104),#16441,.T.); #326949=ADVANCED_FACE('',(#32105),#16442,.T.); #326950=ADVANCED_FACE('',(#32106),#16443,.T.); #326951=ADVANCED_FACE('',(#32107),#16444,.T.); #326952=ADVANCED_FACE('',(#32108),#16445,.T.); #326953=ADVANCED_FACE('',(#32109),#16446,.T.); #326954=ADVANCED_FACE('',(#32110),#16447,.T.); #326955=ADVANCED_FACE('',(#32111),#16448,.T.); #326956=ADVANCED_FACE('',(#32112),#16449,.T.); #326957=ADVANCED_FACE('',(#32113),#16450,.T.); #326958=ADVANCED_FACE('',(#32114),#16451,.T.); #326959=ADVANCED_FACE('',(#32115),#16452,.T.); #326960=ADVANCED_FACE('',(#32116),#16453,.T.); #326961=ADVANCED_FACE('',(#32117),#16454,.T.); #326962=ADVANCED_FACE('',(#32118),#16455,.T.); #326963=ADVANCED_FACE('',(#32119),#16456,.T.); #326964=ADVANCED_FACE('',(#32120),#16457,.T.); #326965=ADVANCED_FACE('',(#32121),#16458,.T.); #326966=ADVANCED_FACE('',(#32122),#16459,.T.); #326967=ADVANCED_FACE('',(#32123),#16460,.T.); #326968=ADVANCED_FACE('',(#32124),#16461,.T.); #326969=ADVANCED_FACE('',(#32125),#16462,.T.); #326970=ADVANCED_FACE('',(#32126),#16463,.T.); #326971=ADVANCED_FACE('',(#32127),#16464,.T.); #326972=ADVANCED_FACE('',(#32128),#16465,.T.); #326973=ADVANCED_FACE('',(#32129),#16466,.T.); #326974=ADVANCED_FACE('',(#32130),#16467,.T.); #326975=ADVANCED_FACE('',(#32131),#16468,.T.); #326976=ADVANCED_FACE('',(#32132),#16469,.T.); #326977=ADVANCED_FACE('',(#32133),#16470,.T.); #326978=ADVANCED_FACE('',(#32134),#16471,.T.); #326979=ADVANCED_FACE('',(#32135),#16472,.T.); #326980=ADVANCED_FACE('',(#32136),#16473,.T.); #326981=ADVANCED_FACE('',(#32137),#16474,.T.); #326982=ADVANCED_FACE('',(#32138),#16475,.T.); #326983=ADVANCED_FACE('',(#32139),#16476,.T.); #326984=ADVANCED_FACE('',(#32140),#16477,.T.); #326985=ADVANCED_FACE('',(#32141),#16478,.T.); #326986=ADVANCED_FACE('',(#32142),#16479,.T.); #326987=ADVANCED_FACE('',(#32143),#16480,.T.); #326988=ADVANCED_FACE('',(#32144),#16481,.T.); #326989=ADVANCED_FACE('',(#32145),#16482,.T.); #326990=ADVANCED_FACE('',(#32146),#16483,.T.); #326991=ADVANCED_FACE('',(#32147),#16484,.T.); #326992=ADVANCED_FACE('',(#32148),#16485,.T.); #326993=ADVANCED_FACE('',(#32149),#16486,.T.); #326994=ADVANCED_FACE('',(#32150),#16487,.T.); #326995=ADVANCED_FACE('',(#32151),#16488,.T.); #326996=ADVANCED_FACE('',(#32152),#16489,.T.); #326997=ADVANCED_FACE('',(#32153),#16490,.T.); #326998=ADVANCED_FACE('',(#32154),#16491,.T.); #326999=ADVANCED_FACE('',(#32155),#16492,.T.); #327000=ADVANCED_FACE('',(#32156),#16493,.T.); #327001=ADVANCED_FACE('',(#32157),#16494,.T.); #327002=ADVANCED_FACE('',(#32158),#16495,.T.); #327003=ADVANCED_FACE('',(#32159),#16496,.T.); #327004=ADVANCED_FACE('',(#32160),#16497,.T.); #327005=ADVANCED_FACE('',(#32161),#16498,.T.); #327006=ADVANCED_FACE('',(#32162),#16499,.T.); #327007=ADVANCED_FACE('',(#32163),#16500,.T.); #327008=ADVANCED_FACE('',(#32164),#16501,.T.); #327009=ADVANCED_FACE('',(#32165),#16502,.T.); #327010=ADVANCED_FACE('',(#32166),#16503,.T.); #327011=ADVANCED_FACE('',(#32167),#16504,.T.); #327012=ADVANCED_FACE('',(#32168),#16505,.T.); #327013=ADVANCED_FACE('',(#32169),#16506,.T.); #327014=ADVANCED_FACE('',(#32170),#16507,.T.); #327015=ADVANCED_FACE('',(#32171),#16508,.T.); #327016=ADVANCED_FACE('',(#32172),#16509,.T.); #327017=ADVANCED_FACE('',(#32173),#16510,.T.); #327018=ADVANCED_FACE('',(#32174),#16511,.T.); #327019=ADVANCED_FACE('',(#32175),#16512,.T.); #327020=ADVANCED_FACE('',(#32176),#16513,.T.); #327021=ADVANCED_FACE('',(#32177),#16514,.T.); #327022=ADVANCED_FACE('',(#32178),#16515,.T.); #327023=ADVANCED_FACE('',(#32179),#16516,.T.); #327024=ADVANCED_FACE('',(#32180),#16517,.T.); #327025=ADVANCED_FACE('',(#32181),#16518,.T.); #327026=ADVANCED_FACE('',(#32182),#16519,.T.); #327027=ADVANCED_FACE('',(#32183),#16520,.T.); #327028=ADVANCED_FACE('',(#32184),#16521,.T.); #327029=ADVANCED_FACE('',(#32185),#16522,.T.); #327030=ADVANCED_FACE('',(#32186),#16523,.T.); #327031=ADVANCED_FACE('',(#32187),#16524,.T.); #327032=ADVANCED_FACE('',(#32188),#16525,.T.); #327033=ADVANCED_FACE('',(#32189),#16526,.T.); #327034=ADVANCED_FACE('',(#32190),#16527,.T.); #327035=ADVANCED_FACE('',(#32191),#16528,.T.); #327036=ADVANCED_FACE('',(#32192),#16529,.T.); #327037=ADVANCED_FACE('',(#32193),#16530,.T.); #327038=ADVANCED_FACE('',(#32194),#16531,.T.); #327039=ADVANCED_FACE('',(#32195),#16532,.T.); #327040=ADVANCED_FACE('',(#32196),#16533,.T.); #327041=ADVANCED_FACE('',(#32197),#16534,.T.); #327042=ADVANCED_FACE('',(#32198),#16535,.T.); #327043=ADVANCED_FACE('',(#32199),#16536,.T.); #327044=ADVANCED_FACE('',(#32200),#16537,.T.); #327045=ADVANCED_FACE('',(#32201),#16538,.T.); #327046=ADVANCED_FACE('',(#32202),#16539,.T.); #327047=ADVANCED_FACE('',(#32203),#16540,.T.); #327048=ADVANCED_FACE('',(#32204),#16541,.T.); #327049=ADVANCED_FACE('',(#32205),#16542,.T.); #327050=ADVANCED_FACE('',(#32206),#16543,.T.); #327051=ADVANCED_FACE('',(#32207),#16544,.T.); #327052=ADVANCED_FACE('',(#32208),#16545,.T.); #327053=ADVANCED_FACE('',(#32209),#16546,.T.); #327054=ADVANCED_FACE('',(#32210),#16547,.T.); #327055=ADVANCED_FACE('',(#32211),#16548,.T.); #327056=ADVANCED_FACE('',(#32212),#16549,.T.); #327057=ADVANCED_FACE('',(#32213),#16550,.T.); #327058=ADVANCED_FACE('',(#32214),#16551,.T.); #327059=ADVANCED_FACE('',(#32215),#16552,.T.); #327060=ADVANCED_FACE('',(#32216),#16553,.T.); #327061=ADVANCED_FACE('',(#32217),#16554,.T.); #327062=ADVANCED_FACE('',(#32218),#16555,.T.); #327063=ADVANCED_FACE('',(#32219),#16556,.T.); #327064=ADVANCED_FACE('',(#32220),#16557,.T.); #327065=ADVANCED_FACE('',(#32221),#16558,.T.); #327066=ADVANCED_FACE('',(#32222),#16559,.T.); #327067=ADVANCED_FACE('',(#32223),#16560,.T.); #327068=ADVANCED_FACE('',(#32224),#16561,.T.); #327069=ADVANCED_FACE('',(#32225),#16562,.T.); #327070=ADVANCED_FACE('',(#32226),#16563,.T.); #327071=ADVANCED_FACE('',(#32227),#16564,.T.); #327072=ADVANCED_FACE('',(#32228),#16565,.T.); #327073=ADVANCED_FACE('',(#32229),#16566,.T.); #327074=ADVANCED_FACE('',(#32230),#16567,.T.); #327075=ADVANCED_FACE('',(#32231),#16568,.T.); #327076=ADVANCED_FACE('',(#32232),#16569,.T.); #327077=ADVANCED_FACE('',(#32233),#16570,.T.); #327078=ADVANCED_FACE('',(#32234),#16571,.T.); #327079=ADVANCED_FACE('',(#32235),#16572,.T.); #327080=ADVANCED_FACE('',(#32236),#16573,.T.); #327081=ADVANCED_FACE('',(#32237),#16574,.T.); #327082=ADVANCED_FACE('',(#32238),#16575,.T.); #327083=ADVANCED_FACE('',(#32239),#16576,.T.); #327084=ADVANCED_FACE('',(#32240),#16577,.T.); #327085=ADVANCED_FACE('',(#32241),#16578,.T.); #327086=ADVANCED_FACE('',(#32242),#16579,.T.); #327087=ADVANCED_FACE('',(#32243),#16580,.T.); #327088=ADVANCED_FACE('',(#32244),#16581,.T.); #327089=ADVANCED_FACE('',(#32245),#16582,.T.); #327090=ADVANCED_FACE('',(#32246),#16583,.T.); #327091=ADVANCED_FACE('',(#32247),#16584,.T.); #327092=ADVANCED_FACE('',(#32248),#16585,.T.); #327093=ADVANCED_FACE('',(#32249),#16586,.T.); #327094=ADVANCED_FACE('',(#32250),#16587,.T.); #327095=ADVANCED_FACE('',(#32251),#16588,.T.); #327096=ADVANCED_FACE('',(#32252),#16589,.T.); #327097=ADVANCED_FACE('',(#32253),#16590,.T.); #327098=ADVANCED_FACE('',(#32254),#16591,.T.); #327099=ADVANCED_FACE('',(#32255),#16592,.T.); #327100=ADVANCED_FACE('',(#32256),#16593,.T.); #327101=ADVANCED_FACE('',(#32257),#16594,.T.); #327102=ADVANCED_FACE('',(#32258),#16595,.T.); #327103=ADVANCED_FACE('',(#32259),#16596,.T.); #327104=ADVANCED_FACE('',(#32260),#16597,.T.); #327105=ADVANCED_FACE('',(#32261),#16598,.T.); #327106=ADVANCED_FACE('',(#32262),#16599,.T.); #327107=ADVANCED_FACE('',(#32263),#16600,.T.); #327108=ADVANCED_FACE('',(#32264),#16601,.T.); #327109=ADVANCED_FACE('',(#32265),#16602,.T.); #327110=ADVANCED_FACE('',(#32266),#16603,.T.); #327111=ADVANCED_FACE('',(#32267),#16604,.T.); #327112=ADVANCED_FACE('',(#32268),#16605,.T.); #327113=ADVANCED_FACE('',(#32269),#16606,.T.); #327114=ADVANCED_FACE('',(#32270),#16607,.T.); #327115=ADVANCED_FACE('',(#32271),#16608,.T.); #327116=ADVANCED_FACE('',(#32272),#16609,.T.); #327117=ADVANCED_FACE('',(#32273),#16610,.T.); #327118=ADVANCED_FACE('',(#32274),#16611,.T.); #327119=ADVANCED_FACE('',(#32275),#16612,.T.); #327120=ADVANCED_FACE('',(#32276),#16613,.T.); #327121=ADVANCED_FACE('',(#32277),#16614,.T.); #327122=ADVANCED_FACE('',(#32278),#16615,.T.); #327123=ADVANCED_FACE('',(#32279),#16616,.T.); #327124=ADVANCED_FACE('',(#32280),#16617,.T.); #327125=ADVANCED_FACE('',(#32281),#16618,.T.); #327126=ADVANCED_FACE('',(#32282),#16619,.T.); #327127=ADVANCED_FACE('',(#32283),#16620,.T.); #327128=ADVANCED_FACE('',(#32284),#16621,.T.); #327129=ADVANCED_FACE('',(#32285),#16622,.T.); #327130=ADVANCED_FACE('',(#32286),#16623,.T.); #327131=ADVANCED_FACE('',(#32287),#16624,.T.); #327132=ADVANCED_FACE('',(#32288),#16625,.T.); #327133=ADVANCED_FACE('',(#32289),#16626,.T.); #327134=ADVANCED_FACE('',(#32290),#16627,.T.); #327135=ADVANCED_FACE('',(#32291),#16628,.T.); #327136=ADVANCED_FACE('',(#32292),#16629,.T.); #327137=ADVANCED_FACE('',(#32293),#16630,.T.); #327138=ADVANCED_FACE('',(#32294),#16631,.T.); #327139=ADVANCED_FACE('',(#32295),#16632,.T.); #327140=ADVANCED_FACE('',(#32296),#16633,.T.); #327141=ADVANCED_FACE('',(#32297),#16634,.T.); #327142=ADVANCED_FACE('',(#32298),#16635,.T.); #327143=ADVANCED_FACE('',(#32299),#16636,.T.); #327144=ADVANCED_FACE('',(#32300),#16637,.T.); #327145=ADVANCED_FACE('',(#32301),#16638,.T.); #327146=ADVANCED_FACE('',(#32302),#16639,.T.); #327147=ADVANCED_FACE('',(#32303),#16640,.T.); #327148=ADVANCED_FACE('',(#32304),#16641,.T.); #327149=ADVANCED_FACE('',(#32305),#16642,.T.); #327150=ADVANCED_FACE('',(#32306),#16643,.T.); #327151=ADVANCED_FACE('',(#32307),#16644,.T.); #327152=ADVANCED_FACE('',(#32308),#16645,.T.); #327153=ADVANCED_FACE('',(#32309),#16646,.T.); #327154=ADVANCED_FACE('',(#32310),#16647,.T.); #327155=ADVANCED_FACE('',(#32311),#16648,.T.); #327156=ADVANCED_FACE('',(#32312),#16649,.T.); #327157=ADVANCED_FACE('',(#32313),#16650,.T.); #327158=ADVANCED_FACE('',(#32314),#16651,.T.); #327159=ADVANCED_FACE('',(#32315),#16652,.T.); #327160=ADVANCED_FACE('',(#32316),#16653,.T.); #327161=ADVANCED_FACE('',(#32317),#16654,.T.); #327162=ADVANCED_FACE('',(#32318),#16655,.T.); #327163=ADVANCED_FACE('',(#32319),#16656,.T.); #327164=ADVANCED_FACE('',(#32320),#16657,.T.); #327165=ADVANCED_FACE('',(#32321),#16658,.T.); #327166=ADVANCED_FACE('',(#32322),#16659,.T.); #327167=ADVANCED_FACE('',(#32323),#16660,.T.); #327168=ADVANCED_FACE('',(#32324),#16661,.T.); #327169=ADVANCED_FACE('',(#32325),#16662,.T.); #327170=ADVANCED_FACE('',(#32326),#16663,.T.); #327171=ADVANCED_FACE('',(#32327),#16664,.T.); #327172=ADVANCED_FACE('',(#32328),#16665,.T.); #327173=ADVANCED_FACE('',(#32329),#16666,.T.); #327174=ADVANCED_FACE('',(#32330),#16667,.T.); #327175=ADVANCED_FACE('',(#32331),#16668,.T.); #327176=ADVANCED_FACE('',(#32332),#16669,.T.); #327177=ADVANCED_FACE('',(#32333),#16670,.T.); #327178=ADVANCED_FACE('',(#32334),#16671,.T.); #327179=ADVANCED_FACE('',(#32335),#16672,.T.); #327180=ADVANCED_FACE('',(#32336),#16673,.T.); #327181=ADVANCED_FACE('',(#32337),#16674,.T.); #327182=ADVANCED_FACE('',(#32338),#16675,.T.); #327183=ADVANCED_FACE('',(#32339),#16676,.T.); #327184=ADVANCED_FACE('',(#32340),#16677,.T.); #327185=ADVANCED_FACE('',(#32341),#16678,.T.); #327186=ADVANCED_FACE('',(#32342),#16679,.T.); #327187=ADVANCED_FACE('',(#32343),#16680,.T.); #327188=ADVANCED_FACE('',(#32344),#16681,.T.); #327189=ADVANCED_FACE('',(#32345),#16682,.T.); #327190=ADVANCED_FACE('',(#32346),#16683,.T.); #327191=ADVANCED_FACE('',(#32347),#16684,.T.); #327192=ADVANCED_FACE('',(#32348),#16685,.T.); #327193=ADVANCED_FACE('',(#32349),#16686,.T.); #327194=ADVANCED_FACE('',(#32350),#16687,.T.); #327195=ADVANCED_FACE('',(#32351),#16688,.T.); #327196=ADVANCED_FACE('',(#32352),#16689,.T.); #327197=ADVANCED_FACE('',(#32353),#16690,.T.); #327198=ADVANCED_FACE('',(#32354),#16691,.T.); #327199=ADVANCED_FACE('',(#32355),#16692,.T.); #327200=ADVANCED_FACE('',(#32356),#16693,.T.); #327201=ADVANCED_FACE('',(#32357),#16694,.T.); #327202=ADVANCED_FACE('',(#32358),#16695,.T.); #327203=ADVANCED_FACE('',(#32359),#16696,.T.); #327204=ADVANCED_FACE('',(#32360),#16697,.T.); #327205=ADVANCED_FACE('',(#32361),#16698,.T.); #327206=ADVANCED_FACE('',(#32362),#16699,.T.); #327207=ADVANCED_FACE('',(#32363),#16700,.T.); #327208=ADVANCED_FACE('',(#32364),#16701,.T.); #327209=ADVANCED_FACE('',(#32365),#16702,.T.); #327210=ADVANCED_FACE('',(#32366),#16703,.T.); #327211=ADVANCED_FACE('',(#32367),#16704,.T.); #327212=ADVANCED_FACE('',(#32368),#16705,.T.); #327213=ADVANCED_FACE('',(#32369),#16706,.T.); #327214=ADVANCED_FACE('',(#32370),#16707,.T.); #327215=ADVANCED_FACE('',(#32371),#16708,.T.); #327216=ADVANCED_FACE('',(#32372),#16709,.T.); #327217=ADVANCED_FACE('',(#32373),#16710,.T.); #327218=ADVANCED_FACE('',(#32374),#16711,.T.); #327219=ADVANCED_FACE('',(#32375),#16712,.T.); #327220=ADVANCED_FACE('',(#32376),#16713,.T.); #327221=ADVANCED_FACE('',(#32377),#16714,.T.); #327222=ADVANCED_FACE('',(#32378),#16715,.T.); #327223=ADVANCED_FACE('',(#32379),#16716,.T.); #327224=ADVANCED_FACE('',(#32380),#16717,.T.); #327225=ADVANCED_FACE('',(#32381),#16718,.T.); #327226=ADVANCED_FACE('',(#32382),#16719,.T.); #327227=ADVANCED_FACE('',(#32383),#16720,.T.); #327228=ADVANCED_FACE('',(#32384),#16721,.T.); #327229=ADVANCED_FACE('',(#32385),#16722,.T.); #327230=ADVANCED_FACE('',(#32386),#16723,.T.); #327231=ADVANCED_FACE('',(#32387),#16724,.T.); #327232=ADVANCED_FACE('',(#32388),#16725,.T.); #327233=ADVANCED_FACE('',(#32389),#16726,.T.); #327234=ADVANCED_FACE('',(#32390),#16727,.T.); #327235=ADVANCED_FACE('',(#32391),#16728,.T.); #327236=ADVANCED_FACE('',(#32392),#16729,.T.); #327237=ADVANCED_FACE('',(#32393),#16730,.T.); #327238=ADVANCED_FACE('',(#32394),#16731,.T.); #327239=ADVANCED_FACE('',(#32395),#16732,.T.); #327240=ADVANCED_FACE('',(#32396),#16733,.T.); #327241=ADVANCED_FACE('',(#32397),#16734,.T.); #327242=ADVANCED_FACE('',(#32398),#16735,.T.); #327243=ADVANCED_FACE('',(#32399),#16736,.T.); #327244=ADVANCED_FACE('',(#32400),#16737,.T.); #327245=ADVANCED_FACE('',(#32401),#16738,.T.); #327246=ADVANCED_FACE('',(#32402),#16739,.T.); #327247=ADVANCED_FACE('',(#32403),#16740,.T.); #327248=ADVANCED_FACE('',(#32404),#16741,.T.); #327249=ADVANCED_FACE('',(#32405),#16742,.T.); #327250=ADVANCED_FACE('',(#32406),#16743,.T.); #327251=ADVANCED_FACE('',(#32407),#16744,.T.); #327252=ADVANCED_FACE('',(#32408),#16745,.T.); #327253=ADVANCED_FACE('',(#32409),#16746,.T.); #327254=ADVANCED_FACE('',(#32410),#16747,.T.); #327255=ADVANCED_FACE('',(#32411),#16748,.T.); #327256=ADVANCED_FACE('',(#32412),#16749,.T.); #327257=ADVANCED_FACE('',(#32413),#16750,.T.); #327258=ADVANCED_FACE('',(#32414),#16751,.T.); #327259=ADVANCED_FACE('',(#32415),#16752,.T.); #327260=ADVANCED_FACE('',(#32416),#16753,.T.); #327261=ADVANCED_FACE('',(#32417),#16754,.T.); #327262=ADVANCED_FACE('',(#32418),#16755,.T.); #327263=ADVANCED_FACE('',(#32419),#16756,.T.); #327264=ADVANCED_FACE('',(#32420),#16757,.T.); #327265=ADVANCED_FACE('',(#32421),#16758,.T.); #327266=ADVANCED_FACE('',(#32422),#16759,.T.); #327267=ADVANCED_FACE('',(#32423),#16760,.T.); #327268=ADVANCED_FACE('',(#32424),#16761,.T.); #327269=ADVANCED_FACE('',(#32425),#16762,.T.); #327270=ADVANCED_FACE('',(#32426),#16763,.T.); #327271=ADVANCED_FACE('',(#32427),#16764,.T.); #327272=ADVANCED_FACE('',(#32428),#16765,.T.); #327273=ADVANCED_FACE('',(#32429),#16766,.T.); #327274=ADVANCED_FACE('',(#32430),#16767,.T.); #327275=ADVANCED_FACE('',(#32431),#16768,.T.); #327276=ADVANCED_FACE('',(#32432),#16769,.T.); #327277=ADVANCED_FACE('',(#32433),#16770,.T.); #327278=ADVANCED_FACE('',(#32434),#16771,.T.); #327279=ADVANCED_FACE('',(#32435),#16772,.T.); #327280=ADVANCED_FACE('',(#32436),#16773,.T.); #327281=ADVANCED_FACE('',(#32437),#16774,.T.); #327282=ADVANCED_FACE('',(#32438),#16775,.T.); #327283=ADVANCED_FACE('',(#32439),#16776,.T.); #327284=ADVANCED_FACE('',(#32440),#16777,.T.); #327285=ADVANCED_FACE('',(#32441),#16778,.T.); #327286=ADVANCED_FACE('',(#32442),#16779,.T.); #327287=ADVANCED_FACE('',(#32443),#16780,.T.); #327288=ADVANCED_FACE('',(#32444),#16781,.T.); #327289=ADVANCED_FACE('',(#32445),#16782,.T.); #327290=ADVANCED_FACE('',(#32446),#16783,.T.); #327291=ADVANCED_FACE('',(#32447),#16784,.T.); #327292=ADVANCED_FACE('',(#32448),#16785,.T.); #327293=ADVANCED_FACE('',(#32449),#16786,.T.); #327294=ADVANCED_FACE('',(#32450),#16787,.T.); #327295=ADVANCED_FACE('',(#32451),#16788,.T.); #327296=ADVANCED_FACE('',(#32452),#16789,.T.); #327297=ADVANCED_FACE('',(#32453),#16790,.T.); #327298=ADVANCED_FACE('',(#32454),#16791,.T.); #327299=ADVANCED_FACE('',(#32455),#16792,.T.); #327300=ADVANCED_FACE('',(#32456),#16793,.T.); #327301=ADVANCED_FACE('',(#32457),#16794,.T.); #327302=ADVANCED_FACE('',(#32458),#16795,.T.); #327303=ADVANCED_FACE('',(#32459),#16796,.T.); #327304=ADVANCED_FACE('',(#32460),#16797,.T.); #327305=ADVANCED_FACE('',(#32461),#16798,.T.); #327306=ADVANCED_FACE('',(#32462),#16799,.T.); #327307=ADVANCED_FACE('',(#32463),#16800,.T.); #327308=ADVANCED_FACE('',(#32464),#16801,.T.); #327309=ADVANCED_FACE('',(#32465),#16802,.T.); #327310=ADVANCED_FACE('',(#32466),#16803,.T.); #327311=ADVANCED_FACE('',(#32467),#16804,.T.); #327312=ADVANCED_FACE('',(#32468),#16805,.T.); #327313=ADVANCED_FACE('',(#32469),#16806,.T.); #327314=ADVANCED_FACE('',(#32470),#16807,.T.); #327315=ADVANCED_FACE('',(#32471),#16808,.T.); #327316=ADVANCED_FACE('',(#32472),#16809,.T.); #327317=ADVANCED_FACE('',(#32473),#16810,.T.); #327318=ADVANCED_FACE('',(#32474),#16811,.T.); #327319=ADVANCED_FACE('',(#32475),#16812,.T.); #327320=ADVANCED_FACE('',(#32476),#16813,.T.); #327321=ADVANCED_FACE('',(#32477),#16814,.T.); #327322=ADVANCED_FACE('',(#32478),#16815,.T.); #327323=ADVANCED_FACE('',(#32479),#16816,.T.); #327324=ADVANCED_FACE('',(#32480),#16817,.T.); #327325=ADVANCED_FACE('',(#32481),#16818,.T.); #327326=ADVANCED_FACE('',(#32482),#16819,.T.); #327327=ADVANCED_FACE('',(#32483),#16820,.T.); #327328=ADVANCED_FACE('',(#32484),#16821,.T.); #327329=ADVANCED_FACE('',(#32485),#16822,.T.); #327330=ADVANCED_FACE('',(#32486),#16823,.T.); #327331=ADVANCED_FACE('',(#32487),#16824,.T.); #327332=ADVANCED_FACE('',(#32488),#16825,.T.); #327333=ADVANCED_FACE('',(#32489),#16826,.T.); #327334=ADVANCED_FACE('',(#32490),#16827,.T.); #327335=ADVANCED_FACE('',(#32491),#16828,.T.); #327336=ADVANCED_FACE('',(#32492),#16829,.T.); #327337=ADVANCED_FACE('',(#32493),#16830,.T.); #327338=ADVANCED_FACE('',(#32494),#16831,.T.); #327339=ADVANCED_FACE('',(#32495),#16832,.T.); #327340=ADVANCED_FACE('',(#32496),#16833,.T.); #327341=ADVANCED_FACE('',(#32497),#16834,.T.); #327342=ADVANCED_FACE('',(#32498),#16835,.T.); #327343=ADVANCED_FACE('',(#32499),#16836,.T.); #327344=ADVANCED_FACE('',(#32500),#16837,.T.); #327345=ADVANCED_FACE('',(#32501),#16838,.T.); #327346=ADVANCED_FACE('',(#32502),#16839,.T.); #327347=ADVANCED_FACE('',(#32503),#16840,.T.); #327348=ADVANCED_FACE('',(#32504),#16841,.T.); #327349=ADVANCED_FACE('',(#32505),#16842,.T.); #327350=ADVANCED_FACE('',(#32506),#16843,.T.); #327351=ADVANCED_FACE('',(#32507),#16844,.T.); #327352=ADVANCED_FACE('',(#32508),#16845,.T.); #327353=ADVANCED_FACE('',(#32509),#16846,.T.); #327354=ADVANCED_FACE('',(#32510),#16847,.T.); #327355=ADVANCED_FACE('',(#32511),#16848,.T.); #327356=ADVANCED_FACE('',(#32512),#16849,.T.); #327357=ADVANCED_FACE('',(#32513),#16850,.T.); #327358=ADVANCED_FACE('',(#32514),#16851,.T.); #327359=ADVANCED_FACE('',(#32515),#16852,.T.); #327360=ADVANCED_FACE('',(#32516),#16853,.T.); #327361=ADVANCED_FACE('',(#32517),#16854,.T.); #327362=ADVANCED_FACE('',(#32518),#16855,.T.); #327363=ADVANCED_FACE('',(#32519),#16856,.T.); #327364=ADVANCED_FACE('',(#32520),#16857,.T.); #327365=ADVANCED_FACE('',(#32521),#16858,.T.); #327366=ADVANCED_FACE('',(#32522),#16859,.T.); #327367=ADVANCED_FACE('',(#32523),#16860,.T.); #327368=ADVANCED_FACE('',(#32524),#16861,.T.); #327369=ADVANCED_FACE('',(#32525),#16862,.T.); #327370=ADVANCED_FACE('',(#32526),#16863,.T.); #327371=ADVANCED_FACE('',(#32527),#16864,.T.); #327372=ADVANCED_FACE('',(#32528),#16865,.T.); #327373=ADVANCED_FACE('',(#32529),#16866,.T.); #327374=ADVANCED_FACE('',(#32530),#16867,.T.); #327375=ADVANCED_FACE('',(#32531),#16868,.T.); #327376=ADVANCED_FACE('',(#32532),#16869,.T.); #327377=ADVANCED_FACE('',(#32533),#16870,.T.); #327378=ADVANCED_FACE('',(#32534),#16871,.T.); #327379=ADVANCED_FACE('',(#32535),#16872,.T.); #327380=ADVANCED_FACE('',(#32536),#16873,.T.); #327381=ADVANCED_FACE('',(#32537),#16874,.T.); #327382=ADVANCED_FACE('',(#32538),#16875,.T.); #327383=ADVANCED_FACE('',(#32539),#16876,.T.); #327384=ADVANCED_FACE('',(#32540),#16877,.T.); #327385=ADVANCED_FACE('',(#32541),#16878,.T.); #327386=ADVANCED_FACE('',(#32542),#16879,.T.); #327387=ADVANCED_FACE('',(#32543),#16880,.T.); #327388=ADVANCED_FACE('',(#32544),#16881,.T.); #327389=ADVANCED_FACE('',(#32545),#16882,.T.); #327390=ADVANCED_FACE('',(#32546),#16883,.T.); #327391=ADVANCED_FACE('',(#32547),#16884,.T.); #327392=ADVANCED_FACE('',(#32548),#16885,.T.); #327393=ADVANCED_FACE('',(#32549),#16886,.T.); #327394=ADVANCED_FACE('',(#32550),#16887,.T.); #327395=ADVANCED_FACE('',(#32551),#16888,.T.); #327396=ADVANCED_FACE('',(#32552),#16889,.T.); #327397=ADVANCED_FACE('',(#32553),#16890,.T.); #327398=ADVANCED_FACE('',(#32554),#16891,.T.); #327399=ADVANCED_FACE('',(#32555),#16892,.T.); #327400=ADVANCED_FACE('',(#32556),#16893,.T.); #327401=ADVANCED_FACE('',(#32557),#16894,.T.); #327402=ADVANCED_FACE('',(#32558),#16895,.T.); #327403=ADVANCED_FACE('',(#32559),#16896,.T.); #327404=ADVANCED_FACE('',(#32560),#16897,.T.); #327405=ADVANCED_FACE('',(#32561),#16898,.T.); #327406=ADVANCED_FACE('',(#32562),#16899,.T.); #327407=ADVANCED_FACE('',(#32563),#16900,.T.); #327408=ADVANCED_FACE('',(#32564),#16901,.T.); #327409=ADVANCED_FACE('',(#32565),#16902,.T.); #327410=ADVANCED_FACE('',(#32566),#16903,.T.); #327411=ADVANCED_FACE('',(#32567),#16904,.T.); #327412=ADVANCED_FACE('',(#32568),#16905,.T.); #327413=ADVANCED_FACE('',(#32569),#16906,.T.); #327414=ADVANCED_FACE('',(#32570),#16907,.T.); #327415=ADVANCED_FACE('',(#32571),#16908,.T.); #327416=ADVANCED_FACE('',(#32572),#16909,.T.); #327417=ADVANCED_FACE('',(#32573),#16910,.T.); #327418=ADVANCED_FACE('',(#32574),#16911,.T.); #327419=ADVANCED_FACE('',(#32575),#16912,.T.); #327420=ADVANCED_FACE('',(#32576),#16913,.T.); #327421=ADVANCED_FACE('',(#32577),#16914,.T.); #327422=ADVANCED_FACE('',(#32578),#16915,.T.); #327423=ADVANCED_FACE('',(#32579),#16916,.T.); #327424=ADVANCED_FACE('',(#32580),#16917,.T.); #327425=ADVANCED_FACE('',(#32581),#16918,.T.); #327426=ADVANCED_FACE('',(#32582),#16919,.T.); #327427=ADVANCED_FACE('',(#32583),#16920,.T.); #327428=ADVANCED_FACE('',(#32584),#16921,.T.); #327429=ADVANCED_FACE('',(#32585),#16922,.T.); #327430=ADVANCED_FACE('',(#32586),#16923,.T.); #327431=ADVANCED_FACE('',(#32587),#16924,.T.); #327432=ADVANCED_FACE('',(#32588),#16925,.T.); #327433=ADVANCED_FACE('',(#32589),#16926,.T.); #327434=ADVANCED_FACE('',(#32590),#16927,.T.); #327435=ADVANCED_FACE('',(#32591),#16928,.T.); #327436=ADVANCED_FACE('',(#32592),#16929,.T.); #327437=ADVANCED_FACE('',(#32593),#16930,.T.); #327438=ADVANCED_FACE('',(#32594),#16931,.T.); #327439=ADVANCED_FACE('',(#32595),#16932,.T.); #327440=ADVANCED_FACE('',(#32596),#16933,.T.); #327441=ADVANCED_FACE('',(#32597),#16934,.T.); #327442=ADVANCED_FACE('',(#32598),#16935,.T.); #327443=ADVANCED_FACE('',(#32599),#16936,.T.); #327444=ADVANCED_FACE('',(#32600),#16937,.T.); #327445=ADVANCED_FACE('',(#32601),#16938,.T.); #327446=ADVANCED_FACE('',(#32602),#16939,.T.); #327447=ADVANCED_FACE('',(#32603),#16940,.T.); #327448=ADVANCED_FACE('',(#32604),#16941,.T.); #327449=ADVANCED_FACE('',(#32605),#16942,.T.); #327450=ADVANCED_FACE('',(#32606),#16943,.T.); #327451=ADVANCED_FACE('',(#32607),#16944,.T.); #327452=ADVANCED_FACE('',(#32608),#16945,.T.); #327453=ADVANCED_FACE('',(#32609),#16946,.T.); #327454=ADVANCED_FACE('',(#32610),#16947,.T.); #327455=ADVANCED_FACE('',(#32611),#16948,.T.); #327456=ADVANCED_FACE('',(#32612),#16949,.T.); #327457=ADVANCED_FACE('',(#32613),#16950,.T.); #327458=ADVANCED_FACE('',(#32614),#16951,.T.); #327459=ADVANCED_FACE('',(#32615),#16952,.T.); #327460=ADVANCED_FACE('',(#32616),#16953,.T.); #327461=ADVANCED_FACE('',(#32617),#16954,.T.); #327462=ADVANCED_FACE('',(#32618),#16955,.T.); #327463=ADVANCED_FACE('',(#32619),#16956,.T.); #327464=ADVANCED_FACE('',(#32620),#16957,.T.); #327465=ADVANCED_FACE('',(#32621),#16958,.T.); #327466=ADVANCED_FACE('',(#32622),#16959,.T.); #327467=ADVANCED_FACE('',(#32623),#16960,.T.); #327468=ADVANCED_FACE('',(#32624),#16961,.T.); #327469=ADVANCED_FACE('',(#32625),#16962,.T.); #327470=ADVANCED_FACE('',(#32626),#16963,.T.); #327471=ADVANCED_FACE('',(#32627),#16964,.T.); #327472=ADVANCED_FACE('',(#32628),#16965,.T.); #327473=ADVANCED_FACE('',(#32629),#16966,.T.); #327474=ADVANCED_FACE('',(#32630),#16967,.T.); #327475=ADVANCED_FACE('',(#32631),#16968,.T.); #327476=ADVANCED_FACE('',(#32632),#16969,.T.); #327477=ADVANCED_FACE('',(#32633),#16970,.T.); #327478=ADVANCED_FACE('',(#32634),#16971,.T.); #327479=ADVANCED_FACE('',(#32635),#16972,.T.); #327480=ADVANCED_FACE('',(#32636),#16973,.T.); #327481=ADVANCED_FACE('',(#32637),#16974,.T.); #327482=ADVANCED_FACE('',(#32638),#16975,.T.); #327483=ADVANCED_FACE('',(#32639),#16976,.T.); #327484=ADVANCED_FACE('',(#32640),#16977,.T.); #327485=ADVANCED_FACE('',(#32641),#16978,.T.); #327486=ADVANCED_FACE('',(#32642),#16979,.T.); #327487=ADVANCED_FACE('',(#32643),#16980,.T.); #327488=ADVANCED_FACE('',(#32644),#16981,.T.); #327489=ADVANCED_FACE('',(#32645),#16982,.T.); #327490=ADVANCED_FACE('',(#32646),#16983,.T.); #327491=ADVANCED_FACE('',(#32647),#16984,.T.); #327492=ADVANCED_FACE('',(#32648),#16985,.T.); #327493=ADVANCED_FACE('',(#32649),#16986,.T.); #327494=ADVANCED_FACE('',(#32650),#16987,.T.); #327495=ADVANCED_FACE('',(#32651),#16988,.T.); #327496=ADVANCED_FACE('',(#32652),#16989,.T.); #327497=ADVANCED_FACE('',(#32653),#16990,.T.); #327498=ADVANCED_FACE('',(#32654),#16991,.T.); #327499=ADVANCED_FACE('',(#32655),#16992,.T.); #327500=ADVANCED_FACE('',(#32656),#16993,.T.); #327501=ADVANCED_FACE('',(#32657),#16994,.T.); #327502=ADVANCED_FACE('',(#32658),#16995,.T.); #327503=ADVANCED_FACE('',(#32659),#16996,.T.); #327504=ADVANCED_FACE('',(#32660),#16997,.T.); #327505=ADVANCED_FACE('',(#32661),#16998,.T.); #327506=ADVANCED_FACE('',(#32662),#16999,.T.); #327507=ADVANCED_FACE('',(#32663),#17000,.T.); #327508=ADVANCED_FACE('',(#32664),#17001,.T.); #327509=ADVANCED_FACE('',(#32665),#17002,.T.); #327510=ADVANCED_FACE('',(#32666),#17003,.T.); #327511=ADVANCED_FACE('',(#32667),#17004,.T.); #327512=ADVANCED_FACE('',(#32668),#17005,.T.); #327513=ADVANCED_FACE('',(#32669),#17006,.T.); #327514=ADVANCED_FACE('',(#32670),#17007,.T.); #327515=ADVANCED_FACE('',(#32671),#17008,.T.); #327516=ADVANCED_FACE('',(#32672),#17009,.T.); #327517=ADVANCED_FACE('',(#32673),#17010,.T.); #327518=ADVANCED_FACE('',(#32674),#17011,.T.); #327519=ADVANCED_FACE('',(#32675),#17012,.T.); #327520=ADVANCED_FACE('',(#32676),#17013,.T.); #327521=ADVANCED_FACE('',(#32677),#17014,.T.); #327522=ADVANCED_FACE('',(#32678),#17015,.T.); #327523=ADVANCED_FACE('',(#32679),#17016,.T.); #327524=ADVANCED_FACE('',(#32680),#17017,.T.); #327525=ADVANCED_FACE('',(#32681),#17018,.T.); #327526=ADVANCED_FACE('',(#32682),#17019,.T.); #327527=ADVANCED_FACE('',(#32683),#17020,.T.); #327528=ADVANCED_FACE('',(#32684),#17021,.T.); #327529=ADVANCED_FACE('',(#32685),#17022,.T.); #327530=ADVANCED_FACE('',(#32686),#17023,.T.); #327531=ADVANCED_FACE('',(#32687),#17024,.T.); #327532=ADVANCED_FACE('',(#32688),#17025,.T.); #327533=ADVANCED_FACE('',(#32689),#17026,.T.); #327534=ADVANCED_FACE('',(#32690),#17027,.T.); #327535=ADVANCED_FACE('',(#32691),#17028,.T.); #327536=ADVANCED_FACE('',(#32692),#17029,.T.); #327537=ADVANCED_FACE('',(#32693),#17030,.T.); #327538=ADVANCED_FACE('',(#32694),#17031,.T.); #327539=ADVANCED_FACE('',(#32695),#17032,.T.); #327540=ADVANCED_FACE('',(#32696),#17033,.T.); #327541=ADVANCED_FACE('',(#32697),#17034,.T.); #327542=ADVANCED_FACE('',(#32698),#17035,.T.); #327543=ADVANCED_FACE('',(#32699),#17036,.T.); #327544=ADVANCED_FACE('',(#32700),#17037,.T.); #327545=ADVANCED_FACE('',(#32701),#17038,.T.); #327546=ADVANCED_FACE('',(#32702),#17039,.T.); #327547=ADVANCED_FACE('',(#32703),#17040,.T.); #327548=ADVANCED_FACE('',(#32704),#17041,.T.); #327549=ADVANCED_FACE('',(#32705),#17042,.T.); #327550=ADVANCED_FACE('',(#32706),#17043,.T.); #327551=ADVANCED_FACE('',(#32707),#17044,.T.); #327552=ADVANCED_FACE('',(#32708),#17045,.T.); #327553=ADVANCED_FACE('',(#32709),#17046,.T.); #327554=ADVANCED_FACE('',(#32710),#17047,.T.); #327555=ADVANCED_FACE('',(#32711),#17048,.T.); #327556=ADVANCED_FACE('',(#32712),#17049,.T.); #327557=ADVANCED_FACE('',(#32713),#17050,.T.); #327558=ADVANCED_FACE('',(#32714),#17051,.T.); #327559=ADVANCED_FACE('',(#32715),#17052,.T.); #327560=ADVANCED_FACE('',(#32716),#17053,.T.); #327561=ADVANCED_FACE('',(#32717),#17054,.T.); #327562=ADVANCED_FACE('',(#32718),#17055,.T.); #327563=ADVANCED_FACE('',(#32719),#17056,.T.); #327564=ADVANCED_FACE('',(#32720),#17057,.T.); #327565=ADVANCED_FACE('',(#32721),#17058,.T.); #327566=ADVANCED_FACE('',(#32722),#17059,.T.); #327567=ADVANCED_FACE('',(#32723),#17060,.T.); #327568=ADVANCED_FACE('',(#32724),#17061,.T.); #327569=ADVANCED_FACE('',(#32725),#17062,.T.); #327570=ADVANCED_FACE('',(#32726),#17063,.T.); #327571=ADVANCED_FACE('',(#32727),#17064,.T.); #327572=ADVANCED_FACE('',(#32728),#17065,.T.); #327573=ADVANCED_FACE('',(#32729),#17066,.T.); #327574=ADVANCED_FACE('',(#32730),#17067,.T.); #327575=ADVANCED_FACE('',(#32731),#17068,.T.); #327576=ADVANCED_FACE('',(#32732),#17069,.T.); #327577=ADVANCED_FACE('',(#32733),#17070,.T.); #327578=ADVANCED_FACE('',(#32734),#17071,.T.); #327579=ADVANCED_FACE('',(#32735),#17072,.T.); #327580=ADVANCED_FACE('',(#32736),#17073,.T.); #327581=ADVANCED_FACE('',(#32737),#17074,.T.); #327582=ADVANCED_FACE('',(#32738),#17075,.T.); #327583=ADVANCED_FACE('',(#32739),#17076,.T.); #327584=ADVANCED_FACE('',(#32740),#17077,.T.); #327585=ADVANCED_FACE('',(#32741),#17078,.T.); #327586=ADVANCED_FACE('',(#32742),#17079,.T.); #327587=ADVANCED_FACE('',(#32743),#17080,.T.); #327588=ADVANCED_FACE('',(#32744),#17081,.T.); #327589=ADVANCED_FACE('',(#32745),#17082,.T.); #327590=ADVANCED_FACE('',(#32746),#17083,.T.); #327591=ADVANCED_FACE('',(#32747),#17084,.T.); #327592=ADVANCED_FACE('',(#32748),#17085,.T.); #327593=ADVANCED_FACE('',(#32749),#17086,.T.); #327594=ADVANCED_FACE('',(#32750),#17087,.T.); #327595=ADVANCED_FACE('',(#32751),#17088,.T.); #327596=ADVANCED_FACE('',(#32752,#5737,#5738,#5739,#5740,#5741,#5742,#5743, #5744,#5745,#5746,#5747,#5748,#5749,#5750,#5751,#5752,#5753,#5754,#5755, #5756,#5757,#5758,#5759,#5760,#5761,#5762,#5763,#5764,#5765,#5766,#5767, #5768,#5769,#5770,#5771,#5772,#5773,#5774,#5775,#5776,#5777,#5778,#5779, #5780,#5781,#5782,#5783,#5784,#5785,#5786,#5787,#5788,#5789,#5790,#5791, #5792,#5793,#5794,#5795,#5796,#5797,#5798,#5799,#5800,#5801,#5802,#5803, #5804,#5805,#5806,#5807,#5808,#5809,#5810,#5811,#5812,#5813,#5814,#5815, #5816,#5817,#5818,#5819,#5820,#5821,#5822,#5823,#5824,#5825,#5826,#5827, #5828,#5829,#5830,#5831,#5832,#5833,#5834,#5835,#5836,#5837,#5838,#5839, #5840,#5841,#5842,#5843,#5844,#5845,#5846,#5847,#5848,#5849,#5850,#5851, #5852,#5853,#5854,#5855,#5856,#5857,#5858,#5859,#5860,#5861,#5862,#5863, #5864,#5865,#5866,#5867,#5868,#5869,#5870,#5871,#5872,#5873,#5874,#5875, #5876,#5877,#5878,#5879,#5880,#5881),#17089,.T.); #327597=ADVANCED_FACE('',(#32753,#5882,#5883,#5884,#5885,#5886,#5887,#5888, #5889,#5890,#5891,#5892,#5893,#5894,#5895,#5896,#5897,#5898,#5899,#5900, #5901,#5902,#5903,#5904,#5905,#5906,#5907,#5908,#5909,#5910,#5911,#5912, #5913,#5914,#5915,#5916,#5917,#5918,#5919,#5920,#5921,#5922,#5923,#5924, #5925,#5926,#5927,#5928,#5929,#5930,#5931,#5932,#5933,#5934,#5935,#5936, #5937,#5938,#5939,#5940,#5941,#5942,#5943,#5944,#5945,#5946,#5947,#5948, #5949,#5950,#5951,#5952,#5953,#5954,#5955,#5956,#5957,#5958,#5959,#5960, #5961,#5962,#5963,#5964,#5965,#5966,#5967,#5968,#5969,#5970,#5971,#5972, #5973,#5974,#5975,#5976,#5977,#5978,#5979,#5980,#5981,#5982,#5983,#5984, #5985,#5986,#5987,#5988,#5989,#5990,#5991,#5992,#5993,#5994,#5995,#5996, #5997,#5998,#5999,#6000,#6001,#6002,#6003,#6004,#6005,#6006,#6007,#6008, #6009,#6010,#6011,#6012,#6013,#6014,#6015,#6016,#6017,#6018,#6019,#6020, #6021,#6022,#6023,#6024,#6025,#6026),#17090,.F.); #327598=ADVANCED_FACE('',(#32754),#17091,.T.); #327599=ADVANCED_FACE('',(#32755),#17092,.T.); #327600=ADVANCED_FACE('',(#32756),#17093,.T.); #327601=ADVANCED_FACE('',(#32757),#17094,.T.); #327602=ADVANCED_FACE('',(#32758),#17095,.T.); #327603=ADVANCED_FACE('',(#32759),#17096,.T.); #327604=ADVANCED_FACE('',(#32760),#17097,.T.); #327605=ADVANCED_FACE('',(#32761),#17098,.T.); #327606=ADVANCED_FACE('',(#32762),#17099,.T.); #327607=ADVANCED_FACE('',(#32763),#17100,.T.); #327608=ADVANCED_FACE('',(#32764),#17101,.T.); #327609=ADVANCED_FACE('',(#32765),#17102,.T.); #327610=ADVANCED_FACE('',(#32766),#17103,.T.); #327611=ADVANCED_FACE('',(#32767),#17104,.T.); #327612=ADVANCED_FACE('',(#32768),#17105,.T.); #327613=ADVANCED_FACE('',(#32769),#17106,.T.); #327614=ADVANCED_FACE('',(#32770),#17107,.T.); #327615=ADVANCED_FACE('',(#32771),#17108,.T.); #327616=ADVANCED_FACE('',(#32772),#17109,.T.); #327617=ADVANCED_FACE('',(#32773),#17110,.T.); #327618=ADVANCED_FACE('',(#32774),#17111,.T.); #327619=ADVANCED_FACE('',(#32775),#17112,.T.); #327620=ADVANCED_FACE('',(#32776),#17113,.T.); #327621=ADVANCED_FACE('',(#32777),#17114,.T.); #327622=ADVANCED_FACE('',(#32778),#17115,.T.); #327623=ADVANCED_FACE('',(#32779),#17116,.T.); #327624=ADVANCED_FACE('',(#32780),#17117,.T.); #327625=ADVANCED_FACE('',(#32781),#17118,.T.); #327626=ADVANCED_FACE('',(#32782),#17119,.T.); #327627=ADVANCED_FACE('',(#32783),#17120,.T.); #327628=ADVANCED_FACE('',(#32784),#17121,.T.); #327629=ADVANCED_FACE('',(#32785),#17122,.T.); #327630=ADVANCED_FACE('',(#32786),#17123,.T.); #327631=ADVANCED_FACE('',(#32787),#17124,.F.); #327632=ADVANCED_FACE('',(#32788),#17125,.T.); #327633=ADVANCED_FACE('',(#32789),#17126,.T.); #327634=ADVANCED_FACE('',(#32790),#17127,.T.); #327635=ADVANCED_FACE('',(#32791),#17128,.T.); #327636=ADVANCED_FACE('',(#32792),#17129,.T.); #327637=ADVANCED_FACE('',(#32793),#17130,.T.); #327638=ADVANCED_FACE('',(#32794),#17131,.T.); #327639=ADVANCED_FACE('',(#32795),#17132,.T.); #327640=ADVANCED_FACE('',(#32796),#17133,.T.); #327641=ADVANCED_FACE('',(#32797),#17134,.T.); #327642=ADVANCED_FACE('',(#32798),#17135,.T.); #327643=ADVANCED_FACE('',(#32799),#17136,.T.); #327644=ADVANCED_FACE('',(#32800),#17137,.T.); #327645=ADVANCED_FACE('',(#32801),#17138,.T.); #327646=ADVANCED_FACE('',(#32802),#17139,.T.); #327647=ADVANCED_FACE('',(#32803),#17140,.T.); #327648=ADVANCED_FACE('',(#32804),#17141,.T.); #327649=ADVANCED_FACE('',(#32805),#17142,.T.); #327650=ADVANCED_FACE('',(#32806),#17143,.T.); #327651=ADVANCED_FACE('',(#32807),#17144,.T.); #327652=ADVANCED_FACE('',(#32808),#17145,.T.); #327653=ADVANCED_FACE('',(#32809),#17146,.T.); #327654=ADVANCED_FACE('',(#32810),#17147,.F.); #327655=ADVANCED_FACE('',(#32811),#17148,.F.); #327656=ADVANCED_FACE('',(#32812),#314534,.F.); #327657=ADVANCED_FACE('',(#32813),#17149,.F.); #327658=ADVANCED_FACE('',(#32814),#314535,.F.); #327659=ADVANCED_FACE('',(#32815),#17150,.T.); #327660=ADVANCED_FACE('',(#32816),#17151,.T.); #327661=ADVANCED_FACE('',(#32817),#17152,.T.); #327662=ADVANCED_FACE('',(#32818),#17153,.T.); #327663=ADVANCED_FACE('',(#32819),#17154,.T.); #327664=ADVANCED_FACE('',(#32820),#17155,.T.); #327665=ADVANCED_FACE('',(#32821),#17156,.T.); #327666=ADVANCED_FACE('',(#32822),#17157,.T.); #327667=ADVANCED_FACE('',(#32823),#17158,.T.); #327668=ADVANCED_FACE('',(#32824),#17159,.T.); #327669=ADVANCED_FACE('',(#32825),#17160,.T.); #327670=ADVANCED_FACE('',(#32826),#17161,.T.); #327671=ADVANCED_FACE('',(#32827),#17162,.T.); #327672=ADVANCED_FACE('',(#32828),#17163,.T.); #327673=ADVANCED_FACE('',(#32829),#17164,.T.); #327674=ADVANCED_FACE('',(#32830),#17165,.T.); #327675=ADVANCED_FACE('',(#32831),#17166,.T.); #327676=ADVANCED_FACE('',(#32832),#17167,.T.); #327677=ADVANCED_FACE('',(#32833),#17168,.T.); #327678=ADVANCED_FACE('',(#32834),#17169,.T.); #327679=ADVANCED_FACE('',(#32835),#17170,.T.); #327680=ADVANCED_FACE('',(#32836),#17171,.T.); #327681=ADVANCED_FACE('',(#32837),#17172,.T.); #327682=ADVANCED_FACE('',(#32838),#17173,.T.); #327683=ADVANCED_FACE('',(#32839),#17174,.T.); #327684=ADVANCED_FACE('',(#32840),#17175,.T.); #327685=ADVANCED_FACE('',(#32841),#17176,.T.); #327686=ADVANCED_FACE('',(#32842),#17177,.T.); #327687=ADVANCED_FACE('',(#32843),#17178,.T.); #327688=ADVANCED_FACE('',(#32844),#17179,.T.); #327689=ADVANCED_FACE('',(#32845),#17180,.T.); #327690=ADVANCED_FACE('',(#32846),#17181,.T.); #327691=ADVANCED_FACE('',(#32847),#17182,.T.); #327692=ADVANCED_FACE('',(#32848),#17183,.T.); #327693=ADVANCED_FACE('',(#32849),#17184,.T.); #327694=ADVANCED_FACE('',(#32850),#17185,.T.); #327695=ADVANCED_FACE('',(#32851),#17186,.T.); #327696=ADVANCED_FACE('',(#32852),#17187,.T.); #327697=ADVANCED_FACE('',(#32853),#17188,.T.); #327698=ADVANCED_FACE('',(#32854),#17189,.T.); #327699=ADVANCED_FACE('',(#32855),#17190,.T.); #327700=ADVANCED_FACE('',(#32856),#17191,.T.); #327701=ADVANCED_FACE('',(#32857),#17192,.T.); #327702=ADVANCED_FACE('',(#32858),#17193,.T.); #327703=ADVANCED_FACE('',(#32859),#17194,.T.); #327704=ADVANCED_FACE('',(#32860),#17195,.T.); #327705=ADVANCED_FACE('',(#32861),#17196,.T.); #327706=ADVANCED_FACE('',(#32862),#17197,.T.); #327707=ADVANCED_FACE('',(#32863),#17198,.T.); #327708=ADVANCED_FACE('',(#32864),#17199,.T.); #327709=ADVANCED_FACE('',(#32865),#17200,.T.); #327710=ADVANCED_FACE('',(#32866),#17201,.T.); #327711=ADVANCED_FACE('',(#32867),#17202,.T.); #327712=ADVANCED_FACE('',(#32868),#17203,.T.); #327713=ADVANCED_FACE('',(#32869),#17204,.T.); #327714=ADVANCED_FACE('',(#32870),#17205,.T.); #327715=ADVANCED_FACE('',(#32871),#17206,.T.); #327716=ADVANCED_FACE('',(#32872),#17207,.T.); #327717=ADVANCED_FACE('',(#32873),#17208,.T.); #327718=ADVANCED_FACE('',(#32874),#17209,.T.); #327719=ADVANCED_FACE('',(#32875),#17210,.T.); #327720=ADVANCED_FACE('',(#32876),#17211,.T.); #327721=ADVANCED_FACE('',(#32877),#17212,.T.); #327722=ADVANCED_FACE('',(#32878),#17213,.T.); #327723=ADVANCED_FACE('',(#32879),#17214,.T.); #327724=ADVANCED_FACE('',(#32880),#17215,.T.); #327725=ADVANCED_FACE('',(#32881),#17216,.T.); #327726=ADVANCED_FACE('',(#32882),#17217,.T.); #327727=ADVANCED_FACE('',(#32883),#17218,.T.); #327728=ADVANCED_FACE('',(#32884),#17219,.T.); #327729=ADVANCED_FACE('',(#32885),#17220,.T.); #327730=ADVANCED_FACE('',(#32886),#17221,.T.); #327731=ADVANCED_FACE('',(#32887,#6027),#17222,.T.); #327732=ADVANCED_FACE('',(#32888,#6028),#17223,.F.); #327733=ADVANCED_FACE('',(#32889),#17224,.T.); #327734=ADVANCED_FACE('',(#32890),#17225,.T.); #327735=ADVANCED_FACE('',(#32891),#17226,.T.); #327736=ADVANCED_FACE('',(#32892),#17227,.T.); #327737=ADVANCED_FACE('',(#32893),#17228,.T.); #327738=ADVANCED_FACE('',(#32894),#17229,.T.); #327739=ADVANCED_FACE('',(#32895),#17230,.T.); #327740=ADVANCED_FACE('',(#32896),#17231,.T.); #327741=ADVANCED_FACE('',(#32897),#17232,.T.); #327742=ADVANCED_FACE('',(#32898),#17233,.T.); #327743=ADVANCED_FACE('',(#32899),#17234,.T.); #327744=ADVANCED_FACE('',(#32900),#17235,.T.); #327745=ADVANCED_FACE('',(#32901),#17236,.T.); #327746=ADVANCED_FACE('',(#32902),#17237,.T.); #327747=ADVANCED_FACE('',(#32903),#17238,.T.); #327748=ADVANCED_FACE('',(#32904),#17239,.T.); #327749=ADVANCED_FACE('',(#32905),#17240,.T.); #327750=ADVANCED_FACE('',(#32906),#17241,.T.); #327751=ADVANCED_FACE('',(#32907),#17242,.T.); #327752=ADVANCED_FACE('',(#32908),#17243,.T.); #327753=ADVANCED_FACE('',(#32909),#17244,.T.); #327754=ADVANCED_FACE('',(#32910),#17245,.T.); #327755=ADVANCED_FACE('',(#32911),#17246,.T.); #327756=ADVANCED_FACE('',(#32912),#17247,.T.); #327757=ADVANCED_FACE('',(#32913),#17248,.T.); #327758=ADVANCED_FACE('',(#32914),#17249,.T.); #327759=ADVANCED_FACE('',(#32915),#17250,.T.); #327760=ADVANCED_FACE('',(#32916),#17251,.T.); #327761=ADVANCED_FACE('',(#32917),#17252,.F.); #327762=ADVANCED_FACE('',(#32918),#17253,.T.); #327763=ADVANCED_FACE('',(#32919),#17254,.T.); #327764=ADVANCED_FACE('',(#32920),#17255,.T.); #327765=ADVANCED_FACE('',(#32921),#17256,.T.); #327766=ADVANCED_FACE('',(#32922),#17257,.T.); #327767=ADVANCED_FACE('',(#32923),#17258,.T.); #327768=ADVANCED_FACE('',(#32924),#17259,.T.); #327769=ADVANCED_FACE('',(#32925),#17260,.T.); #327770=ADVANCED_FACE('',(#32926),#17261,.T.); #327771=ADVANCED_FACE('',(#32927),#17262,.T.); #327772=ADVANCED_FACE('',(#32928),#17263,.T.); #327773=ADVANCED_FACE('',(#32929),#17264,.T.); #327774=ADVANCED_FACE('',(#32930),#17265,.T.); #327775=ADVANCED_FACE('',(#32931),#17266,.T.); #327776=ADVANCED_FACE('',(#32932),#17267,.T.); #327777=ADVANCED_FACE('',(#32933),#17268,.T.); #327778=ADVANCED_FACE('',(#32934),#17269,.T.); #327779=ADVANCED_FACE('',(#32935),#17270,.T.); #327780=ADVANCED_FACE('',(#32936),#17271,.T.); #327781=ADVANCED_FACE('',(#32937),#17272,.T.); #327782=ADVANCED_FACE('',(#32938),#17273,.T.); #327783=ADVANCED_FACE('',(#32939),#17274,.T.); #327784=ADVANCED_FACE('',(#32940),#17275,.F.); #327785=ADVANCED_FACE('',(#32941),#17276,.T.); #327786=ADVANCED_FACE('',(#32942),#17277,.T.); #327787=ADVANCED_FACE('',(#32943),#17278,.T.); #327788=ADVANCED_FACE('',(#32944),#17279,.T.); #327789=ADVANCED_FACE('',(#32945),#17280,.T.); #327790=ADVANCED_FACE('',(#32946),#17281,.T.); #327791=ADVANCED_FACE('',(#32947),#17282,.T.); #327792=ADVANCED_FACE('',(#32948),#17283,.T.); #327793=ADVANCED_FACE('',(#32949),#17284,.T.); #327794=ADVANCED_FACE('',(#32950),#17285,.T.); #327795=ADVANCED_FACE('',(#32951),#17286,.T.); #327796=ADVANCED_FACE('',(#32952),#17287,.T.); #327797=ADVANCED_FACE('',(#32953),#17288,.T.); #327798=ADVANCED_FACE('',(#32954),#17289,.T.); #327799=ADVANCED_FACE('',(#32955),#17290,.T.); #327800=ADVANCED_FACE('',(#32956),#17291,.T.); #327801=ADVANCED_FACE('',(#32957),#17292,.T.); #327802=ADVANCED_FACE('',(#32958),#17293,.T.); #327803=ADVANCED_FACE('',(#32959),#17294,.T.); #327804=ADVANCED_FACE('',(#32960),#17295,.T.); #327805=ADVANCED_FACE('',(#32961),#17296,.T.); #327806=ADVANCED_FACE('',(#32962),#17297,.F.); #327807=ADVANCED_FACE('',(#32963),#314536,.F.); #327808=ADVANCED_FACE('',(#32964),#17298,.T.); #327809=ADVANCED_FACE('',(#32965),#17299,.T.); #327810=ADVANCED_FACE('',(#32966),#17300,.T.); #327811=ADVANCED_FACE('',(#32967),#17301,.T.); #327812=ADVANCED_FACE('',(#32968),#17302,.T.); #327813=ADVANCED_FACE('',(#32969),#17303,.T.); #327814=ADVANCED_FACE('',(#32970),#17304,.T.); #327815=ADVANCED_FACE('',(#32971),#17305,.T.); #327816=ADVANCED_FACE('',(#32972,#6029),#17306,.T.); #327817=ADVANCED_FACE('',(#32973,#6030),#17307,.F.); #327818=ADVANCED_FACE('',(#32974),#17308,.T.); #327819=ADVANCED_FACE('',(#32975),#17309,.T.); #327820=ADVANCED_FACE('',(#32976),#17310,.T.); #327821=ADVANCED_FACE('',(#32977),#17311,.T.); #327822=ADVANCED_FACE('',(#32978),#17312,.T.); #327823=ADVANCED_FACE('',(#32979),#17313,.T.); #327824=ADVANCED_FACE('',(#32980),#17314,.T.); #327825=ADVANCED_FACE('',(#32981),#17315,.T.); #327826=ADVANCED_FACE('',(#32982),#17316,.T.); #327827=ADVANCED_FACE('',(#32983),#17317,.T.); #327828=ADVANCED_FACE('',(#32984),#17318,.T.); #327829=ADVANCED_FACE('',(#32985),#17319,.T.); #327830=ADVANCED_FACE('',(#32986),#17320,.T.); #327831=ADVANCED_FACE('',(#32987),#17321,.T.); #327832=ADVANCED_FACE('',(#32988),#17322,.T.); #327833=ADVANCED_FACE('',(#32989),#17323,.T.); #327834=ADVANCED_FACE('',(#32990),#17324,.T.); #327835=ADVANCED_FACE('',(#32991),#17325,.T.); #327836=ADVANCED_FACE('',(#32992),#17326,.T.); #327837=ADVANCED_FACE('',(#32993),#17327,.T.); #327838=ADVANCED_FACE('',(#32994),#17328,.T.); #327839=ADVANCED_FACE('',(#32995),#17329,.T.); #327840=ADVANCED_FACE('',(#32996),#17330,.F.); #327841=ADVANCED_FACE('',(#32997),#17331,.T.); #327842=ADVANCED_FACE('',(#32998),#17332,.T.); #327843=ADVANCED_FACE('',(#32999),#17333,.T.); #327844=ADVANCED_FACE('',(#33000),#17334,.T.); #327845=ADVANCED_FACE('',(#33001),#17335,.T.); #327846=ADVANCED_FACE('',(#33002),#17336,.T.); #327847=ADVANCED_FACE('',(#33003),#17337,.T.); #327848=ADVANCED_FACE('',(#33004),#17338,.T.); #327849=ADVANCED_FACE('',(#33005),#17339,.T.); #327850=ADVANCED_FACE('',(#33006),#17340,.T.); #327851=ADVANCED_FACE('',(#33007),#17341,.T.); #327852=ADVANCED_FACE('',(#33008),#17342,.T.); #327853=ADVANCED_FACE('',(#33009),#17343,.T.); #327854=ADVANCED_FACE('',(#33010),#17344,.T.); #327855=ADVANCED_FACE('',(#33011),#17345,.T.); #327856=ADVANCED_FACE('',(#33012),#17346,.T.); #327857=ADVANCED_FACE('',(#33013),#17347,.T.); #327858=ADVANCED_FACE('',(#33014),#17348,.T.); #327859=ADVANCED_FACE('',(#33015),#17349,.T.); #327860=ADVANCED_FACE('',(#33016),#17350,.T.); #327861=ADVANCED_FACE('',(#33017),#17351,.T.); #327862=ADVANCED_FACE('',(#33018),#17352,.T.); #327863=ADVANCED_FACE('',(#33019),#17353,.T.); #327864=ADVANCED_FACE('',(#33020),#17354,.F.); #327865=ADVANCED_FACE('',(#33021),#17355,.T.); #327866=ADVANCED_FACE('',(#33022),#17356,.T.); #327867=ADVANCED_FACE('',(#33023),#17357,.T.); #327868=ADVANCED_FACE('',(#33024),#17358,.T.); #327869=ADVANCED_FACE('',(#33025),#17359,.T.); #327870=ADVANCED_FACE('',(#33026),#17360,.T.); #327871=ADVANCED_FACE('',(#33027),#17361,.T.); #327872=ADVANCED_FACE('',(#33028),#17362,.T.); #327873=ADVANCED_FACE('',(#33029),#17363,.T.); #327874=ADVANCED_FACE('',(#33030),#17364,.T.); #327875=ADVANCED_FACE('',(#33031),#17365,.T.); #327876=ADVANCED_FACE('',(#33032),#17366,.T.); #327877=ADVANCED_FACE('',(#33033),#17367,.T.); #327878=ADVANCED_FACE('',(#33034),#17368,.T.); #327879=ADVANCED_FACE('',(#33035),#17369,.T.); #327880=ADVANCED_FACE('',(#33036),#17370,.T.); #327881=ADVANCED_FACE('',(#33037),#17371,.T.); #327882=ADVANCED_FACE('',(#33038),#17372,.T.); #327883=ADVANCED_FACE('',(#33039),#17373,.T.); #327884=ADVANCED_FACE('',(#33040),#17374,.T.); #327885=ADVANCED_FACE('',(#33041),#17375,.T.); #327886=ADVANCED_FACE('',(#33042),#17376,.F.); #327887=ADVANCED_FACE('',(#33043),#17377,.T.); #327888=ADVANCED_FACE('',(#33044),#17378,.T.); #327889=ADVANCED_FACE('',(#33045),#17379,.T.); #327890=ADVANCED_FACE('',(#33046),#17380,.T.); #327891=ADVANCED_FACE('',(#33047),#17381,.T.); #327892=ADVANCED_FACE('',(#33048),#17382,.T.); #327893=ADVANCED_FACE('',(#33049),#17383,.T.); #327894=ADVANCED_FACE('',(#33050),#17384,.T.); #327895=ADVANCED_FACE('',(#33051),#17385,.T.); #327896=ADVANCED_FACE('',(#33052),#17386,.T.); #327897=ADVANCED_FACE('',(#33053),#17387,.T.); #327898=ADVANCED_FACE('',(#33054),#17388,.T.); #327899=ADVANCED_FACE('',(#33055),#17389,.T.); #327900=ADVANCED_FACE('',(#33056),#17390,.T.); #327901=ADVANCED_FACE('',(#33057),#17391,.T.); #327902=ADVANCED_FACE('',(#33058),#17392,.T.); #327903=ADVANCED_FACE('',(#33059),#17393,.T.); #327904=ADVANCED_FACE('',(#33060),#17394,.T.); #327905=ADVANCED_FACE('',(#33061),#17395,.T.); #327906=ADVANCED_FACE('',(#33062),#17396,.T.); #327907=ADVANCED_FACE('',(#33063),#17397,.T.); #327908=ADVANCED_FACE('',(#33064),#17398,.T.); #327909=ADVANCED_FACE('',(#33065),#17399,.T.); #327910=ADVANCED_FACE('',(#33066),#17400,.T.); #327911=ADVANCED_FACE('',(#33067),#17401,.T.); #327912=ADVANCED_FACE('',(#33068),#17402,.T.); #327913=ADVANCED_FACE('',(#33069),#17403,.T.); #327914=ADVANCED_FACE('',(#33070),#17404,.T.); #327915=ADVANCED_FACE('',(#33071),#17405,.T.); #327916=ADVANCED_FACE('',(#33072),#17406,.T.); #327917=ADVANCED_FACE('',(#33073),#17407,.T.); #327918=ADVANCED_FACE('',(#33074),#17408,.T.); #327919=ADVANCED_FACE('',(#33075),#17409,.T.); #327920=ADVANCED_FACE('',(#33076),#17410,.T.); #327921=ADVANCED_FACE('',(#33077),#17411,.T.); #327922=ADVANCED_FACE('',(#33078),#17412,.T.); #327923=ADVANCED_FACE('',(#33079),#17413,.T.); #327924=ADVANCED_FACE('',(#33080),#17414,.T.); #327925=ADVANCED_FACE('',(#33081),#17415,.T.); #327926=ADVANCED_FACE('',(#33082),#17416,.T.); #327927=ADVANCED_FACE('',(#33083),#17417,.T.); #327928=ADVANCED_FACE('',(#33084),#17418,.T.); #327929=ADVANCED_FACE('',(#33085),#17419,.T.); #327930=ADVANCED_FACE('',(#33086),#17420,.T.); #327931=ADVANCED_FACE('',(#33087),#17421,.T.); #327932=ADVANCED_FACE('',(#33088),#17422,.T.); #327933=ADVANCED_FACE('',(#33089),#17423,.T.); #327934=ADVANCED_FACE('',(#33090),#17424,.T.); #327935=ADVANCED_FACE('',(#33091),#17425,.F.); #327936=ADVANCED_FACE('',(#33092),#17426,.T.); #327937=ADVANCED_FACE('',(#33093),#17427,.T.); #327938=ADVANCED_FACE('',(#33094),#17428,.T.); #327939=ADVANCED_FACE('',(#33095),#17429,.T.); #327940=ADVANCED_FACE('',(#33096),#17430,.T.); #327941=ADVANCED_FACE('',(#33097),#17431,.T.); #327942=ADVANCED_FACE('',(#33098),#17432,.T.); #327943=ADVANCED_FACE('',(#33099),#17433,.T.); #327944=ADVANCED_FACE('',(#33100),#17434,.T.); #327945=ADVANCED_FACE('',(#33101),#17435,.T.); #327946=ADVANCED_FACE('',(#33102),#17436,.T.); #327947=ADVANCED_FACE('',(#33103),#17437,.T.); #327948=ADVANCED_FACE('',(#33104),#17438,.T.); #327949=ADVANCED_FACE('',(#33105),#17439,.T.); #327950=ADVANCED_FACE('',(#33106),#17440,.T.); #327951=ADVANCED_FACE('',(#33107),#17441,.T.); #327952=ADVANCED_FACE('',(#33108),#17442,.T.); #327953=ADVANCED_FACE('',(#33109),#17443,.T.); #327954=ADVANCED_FACE('',(#33110),#17444,.T.); #327955=ADVANCED_FACE('',(#33111),#17445,.T.); #327956=ADVANCED_FACE('',(#33112),#17446,.T.); #327957=ADVANCED_FACE('',(#33113),#17447,.T.); #327958=ADVANCED_FACE('',(#33114),#17448,.T.); #327959=ADVANCED_FACE('',(#33115),#17449,.T.); #327960=ADVANCED_FACE('',(#33116),#17450,.T.); #327961=ADVANCED_FACE('',(#33117),#17451,.T.); #327962=ADVANCED_FACE('',(#33118),#17452,.T.); #327963=ADVANCED_FACE('',(#33119),#17453,.T.); #327964=ADVANCED_FACE('',(#33120),#17454,.T.); #327965=ADVANCED_FACE('',(#33121),#17455,.F.); #327966=ADVANCED_FACE('',(#33122),#314537,.F.); #327967=ADVANCED_FACE('',(#33123),#314538,.F.); #327968=ADVANCED_FACE('',(#33124),#314539,.F.); #327969=ADVANCED_FACE('',(#33125),#314540,.F.); #327970=ADVANCED_FACE('',(#33126),#314541,.F.); #327971=ADVANCED_FACE('',(#33127),#314542,.F.); #327972=ADVANCED_FACE('',(#33128),#314543,.F.); #327973=ADVANCED_FACE('',(#33129),#314544,.F.); #327974=ADVANCED_FACE('',(#33130),#314545,.F.); #327975=ADVANCED_FACE('',(#33131),#314546,.F.); #327976=ADVANCED_FACE('',(#33132),#314547,.F.); #327977=ADVANCED_FACE('',(#33133),#314548,.F.); #327978=ADVANCED_FACE('',(#33134),#314549,.F.); #327979=ADVANCED_FACE('',(#33135),#314550,.F.); #327980=ADVANCED_FACE('',(#33136),#314551,.F.); #327981=ADVANCED_FACE('',(#33137),#314552,.F.); #327982=ADVANCED_FACE('',(#33138),#17456,.T.); #327983=ADVANCED_FACE('',(#33139),#17457,.T.); #327984=ADVANCED_FACE('',(#33140),#17458,.T.); #327985=ADVANCED_FACE('',(#33141),#17459,.T.); #327986=ADVANCED_FACE('',(#33142),#17460,.T.); #327987=ADVANCED_FACE('',(#33143),#17461,.T.); #327988=ADVANCED_FACE('',(#33144),#17462,.T.); #327989=ADVANCED_FACE('',(#33145),#17463,.T.); #327990=ADVANCED_FACE('',(#33146),#17464,.T.); #327991=ADVANCED_FACE('',(#33147),#17465,.T.); #327992=ADVANCED_FACE('',(#33148),#17466,.T.); #327993=ADVANCED_FACE('',(#33149),#17467,.T.); #327994=ADVANCED_FACE('',(#33150),#17468,.T.); #327995=ADVANCED_FACE('',(#33151),#17469,.T.); #327996=ADVANCED_FACE('',(#33152),#17470,.T.); #327997=ADVANCED_FACE('',(#33153),#17471,.T.); #327998=ADVANCED_FACE('',(#33154),#17472,.T.); #327999=ADVANCED_FACE('',(#33155),#17473,.T.); #328000=ADVANCED_FACE('',(#33156),#17474,.T.); #328001=ADVANCED_FACE('',(#33157),#17475,.T.); #328002=ADVANCED_FACE('',(#33158),#17476,.T.); #328003=ADVANCED_FACE('',(#33159),#17477,.T.); #328004=ADVANCED_FACE('',(#33160),#17478,.T.); #328005=ADVANCED_FACE('',(#33161),#17479,.T.); #328006=ADVANCED_FACE('',(#33162),#17480,.T.); #328007=ADVANCED_FACE('',(#33163),#17481,.T.); #328008=ADVANCED_FACE('',(#33164),#17482,.T.); #328009=ADVANCED_FACE('',(#33165),#17483,.T.); #328010=ADVANCED_FACE('',(#33166),#17484,.T.); #328011=ADVANCED_FACE('',(#33167),#17485,.T.); #328012=ADVANCED_FACE('',(#33168),#17486,.T.); #328013=ADVANCED_FACE('',(#33169),#17487,.T.); #328014=ADVANCED_FACE('',(#33170),#17488,.T.); #328015=ADVANCED_FACE('',(#33171),#17489,.T.); #328016=ADVANCED_FACE('',(#33172),#17490,.T.); #328017=ADVANCED_FACE('',(#33173),#17491,.T.); #328018=ADVANCED_FACE('',(#33174),#17492,.T.); #328019=ADVANCED_FACE('',(#33175),#17493,.T.); #328020=ADVANCED_FACE('',(#33176),#17494,.T.); #328021=ADVANCED_FACE('',(#33177),#17495,.T.); #328022=ADVANCED_FACE('',(#33178),#17496,.T.); #328023=ADVANCED_FACE('',(#33179),#17497,.T.); #328024=ADVANCED_FACE('',(#33180),#17498,.T.); #328025=ADVANCED_FACE('',(#33181),#17499,.T.); #328026=ADVANCED_FACE('',(#33182),#17500,.T.); #328027=ADVANCED_FACE('',(#33183),#17501,.T.); #328028=ADVANCED_FACE('',(#33184),#17502,.T.); #328029=ADVANCED_FACE('',(#33185),#17503,.T.); #328030=ADVANCED_FACE('',(#33186),#17504,.T.); #328031=ADVANCED_FACE('',(#33187),#17505,.T.); #328032=ADVANCED_FACE('',(#33188),#17506,.T.); #328033=ADVANCED_FACE('',(#33189),#17507,.T.); #328034=ADVANCED_FACE('',(#33190),#17508,.T.); #328035=ADVANCED_FACE('',(#33191),#17509,.T.); #328036=ADVANCED_FACE('',(#33192),#17510,.T.); #328037=ADVANCED_FACE('',(#33193),#17511,.T.); #328038=ADVANCED_FACE('',(#33194),#17512,.T.); #328039=ADVANCED_FACE('',(#33195),#17513,.T.); #328040=ADVANCED_FACE('',(#33196),#17514,.T.); #328041=ADVANCED_FACE('',(#33197),#17515,.T.); #328042=ADVANCED_FACE('',(#33198),#17516,.T.); #328043=ADVANCED_FACE('',(#33199),#17517,.T.); #328044=ADVANCED_FACE('',(#33200),#17518,.T.); #328045=ADVANCED_FACE('',(#33201),#17519,.T.); #328046=ADVANCED_FACE('',(#33202),#17520,.T.); #328047=ADVANCED_FACE('',(#33203),#17521,.T.); #328048=ADVANCED_FACE('',(#33204),#17522,.T.); #328049=ADVANCED_FACE('',(#33205),#17523,.T.); #328050=ADVANCED_FACE('',(#33206),#17524,.T.); #328051=ADVANCED_FACE('',(#33207),#17525,.T.); #328052=ADVANCED_FACE('',(#33208),#17526,.T.); #328053=ADVANCED_FACE('',(#33209),#17527,.T.); #328054=ADVANCED_FACE('',(#33210),#17528,.T.); #328055=ADVANCED_FACE('',(#33211),#17529,.T.); #328056=ADVANCED_FACE('',(#33212,#6031,#6032,#6033,#6034,#6035,#6036,#6037, #6038,#6039,#6040,#6041,#6042,#6043,#6044,#6045,#6046),#17530,.T.); #328057=ADVANCED_FACE('',(#33213,#6047,#6048,#6049,#6050,#6051,#6052,#6053, #6054,#6055,#6056,#6057,#6058,#6059,#6060,#6061,#6062),#17531,.F.); #328058=ADVANCED_FACE('',(#33214),#314553,.F.); #328059=ADVANCED_FACE('',(#33215),#314554,.F.); #328060=ADVANCED_FACE('',(#33216),#314555,.F.); #328061=ADVANCED_FACE('',(#33217),#314556,.F.); #328062=ADVANCED_FACE('',(#33218),#314557,.F.); #328063=ADVANCED_FACE('',(#33219),#314558,.F.); #328064=ADVANCED_FACE('',(#33220),#314559,.F.); #328065=ADVANCED_FACE('',(#33221),#314560,.F.); #328066=ADVANCED_FACE('',(#33222),#314561,.F.); #328067=ADVANCED_FACE('',(#33223),#314562,.F.); #328068=ADVANCED_FACE('',(#33224),#314563,.F.); #328069=ADVANCED_FACE('',(#33225),#314564,.F.); #328070=ADVANCED_FACE('',(#33226),#314565,.F.); #328071=ADVANCED_FACE('',(#33227),#314566,.F.); #328072=ADVANCED_FACE('',(#33228),#314567,.F.); #328073=ADVANCED_FACE('',(#33229),#314568,.F.); #328074=ADVANCED_FACE('',(#33230),#17532,.T.); #328075=ADVANCED_FACE('',(#33231),#17533,.T.); #328076=ADVANCED_FACE('',(#33232),#17534,.T.); #328077=ADVANCED_FACE('',(#33233),#17535,.T.); #328078=ADVANCED_FACE('',(#33234),#17536,.T.); #328079=ADVANCED_FACE('',(#33235),#17537,.T.); #328080=ADVANCED_FACE('',(#33236),#17538,.T.); #328081=ADVANCED_FACE('',(#33237),#17539,.T.); #328082=ADVANCED_FACE('',(#33238),#17540,.T.); #328083=ADVANCED_FACE('',(#33239),#17541,.T.); #328084=ADVANCED_FACE('',(#33240),#17542,.T.); #328085=ADVANCED_FACE('',(#33241),#17543,.T.); #328086=ADVANCED_FACE('',(#33242),#17544,.T.); #328087=ADVANCED_FACE('',(#33243),#17545,.T.); #328088=ADVANCED_FACE('',(#33244),#17546,.T.); #328089=ADVANCED_FACE('',(#33245),#17547,.T.); #328090=ADVANCED_FACE('',(#33246),#17548,.T.); #328091=ADVANCED_FACE('',(#33247),#17549,.T.); #328092=ADVANCED_FACE('',(#33248),#17550,.T.); #328093=ADVANCED_FACE('',(#33249),#17551,.T.); #328094=ADVANCED_FACE('',(#33250),#17552,.T.); #328095=ADVANCED_FACE('',(#33251),#17553,.T.); #328096=ADVANCED_FACE('',(#33252),#17554,.T.); #328097=ADVANCED_FACE('',(#33253),#17555,.T.); #328098=ADVANCED_FACE('',(#33254),#17556,.T.); #328099=ADVANCED_FACE('',(#33255),#17557,.T.); #328100=ADVANCED_FACE('',(#33256),#17558,.T.); #328101=ADVANCED_FACE('',(#33257),#17559,.T.); #328102=ADVANCED_FACE('',(#33258),#17560,.T.); #328103=ADVANCED_FACE('',(#33259),#17561,.T.); #328104=ADVANCED_FACE('',(#33260),#17562,.T.); #328105=ADVANCED_FACE('',(#33261),#17563,.T.); #328106=ADVANCED_FACE('',(#33262),#17564,.T.); #328107=ADVANCED_FACE('',(#33263),#17565,.T.); #328108=ADVANCED_FACE('',(#33264),#17566,.T.); #328109=ADVANCED_FACE('',(#33265),#17567,.T.); #328110=ADVANCED_FACE('',(#33266),#17568,.T.); #328111=ADVANCED_FACE('',(#33267),#17569,.T.); #328112=ADVANCED_FACE('',(#33268),#17570,.T.); #328113=ADVANCED_FACE('',(#33269),#17571,.T.); #328114=ADVANCED_FACE('',(#33270),#17572,.T.); #328115=ADVANCED_FACE('',(#33271),#17573,.T.); #328116=ADVANCED_FACE('',(#33272),#17574,.T.); #328117=ADVANCED_FACE('',(#33273),#17575,.T.); #328118=ADVANCED_FACE('',(#33274),#17576,.T.); #328119=ADVANCED_FACE('',(#33275),#17577,.T.); #328120=ADVANCED_FACE('',(#33276),#17578,.T.); #328121=ADVANCED_FACE('',(#33277),#17579,.T.); #328122=ADVANCED_FACE('',(#33278),#17580,.T.); #328123=ADVANCED_FACE('',(#33279),#17581,.T.); #328124=ADVANCED_FACE('',(#33280),#17582,.T.); #328125=ADVANCED_FACE('',(#33281),#17583,.T.); #328126=ADVANCED_FACE('',(#33282),#17584,.T.); #328127=ADVANCED_FACE('',(#33283),#17585,.T.); #328128=ADVANCED_FACE('',(#33284),#17586,.T.); #328129=ADVANCED_FACE('',(#33285),#17587,.T.); #328130=ADVANCED_FACE('',(#33286),#17588,.T.); #328131=ADVANCED_FACE('',(#33287),#17589,.T.); #328132=ADVANCED_FACE('',(#33288),#17590,.T.); #328133=ADVANCED_FACE('',(#33289),#17591,.T.); #328134=ADVANCED_FACE('',(#33290),#17592,.T.); #328135=ADVANCED_FACE('',(#33291),#17593,.T.); #328136=ADVANCED_FACE('',(#33292),#17594,.T.); #328137=ADVANCED_FACE('',(#33293),#17595,.T.); #328138=ADVANCED_FACE('',(#33294),#17596,.T.); #328139=ADVANCED_FACE('',(#33295),#17597,.T.); #328140=ADVANCED_FACE('',(#33296),#17598,.T.); #328141=ADVANCED_FACE('',(#33297),#17599,.T.); #328142=ADVANCED_FACE('',(#33298),#17600,.T.); #328143=ADVANCED_FACE('',(#33299),#17601,.T.); #328144=ADVANCED_FACE('',(#33300,#6063,#6064,#6065,#6066,#6067,#6068,#6069, #6070,#6071,#6072,#6073,#6074,#6075,#6076,#6077,#6078),#17602,.T.); #328145=ADVANCED_FACE('',(#33301,#6079,#6080,#6081,#6082,#6083,#6084,#6085, #6086,#6087,#6088,#6089,#6090,#6091,#6092,#6093,#6094),#17603,.F.); #328146=ADVANCED_FACE('',(#33302),#314569,.F.); #328147=ADVANCED_FACE('',(#33303),#314570,.F.); #328148=ADVANCED_FACE('',(#33304),#314571,.F.); #328149=ADVANCED_FACE('',(#33305),#314572,.F.); #328150=ADVANCED_FACE('',(#33306),#314573,.F.); #328151=ADVANCED_FACE('',(#33307),#314574,.F.); #328152=ADVANCED_FACE('',(#33308),#314575,.F.); #328153=ADVANCED_FACE('',(#33309),#314576,.F.); #328154=ADVANCED_FACE('',(#33310),#314577,.F.); #328155=ADVANCED_FACE('',(#33311),#314578,.F.); #328156=ADVANCED_FACE('',(#33312),#314579,.F.); #328157=ADVANCED_FACE('',(#33313),#314580,.F.); #328158=ADVANCED_FACE('',(#33314),#314581,.F.); #328159=ADVANCED_FACE('',(#33315),#314582,.F.); #328160=ADVANCED_FACE('',(#33316),#314583,.F.); #328161=ADVANCED_FACE('',(#33317),#314584,.F.); #328162=ADVANCED_FACE('',(#33318),#314585,.F.); #328163=ADVANCED_FACE('',(#33319),#314586,.F.); #328164=ADVANCED_FACE('',(#33320),#314587,.F.); #328165=ADVANCED_FACE('',(#33321),#314588,.F.); #328166=ADVANCED_FACE('',(#33322),#314589,.F.); #328167=ADVANCED_FACE('',(#33323),#314590,.F.); #328168=ADVANCED_FACE('',(#33324),#314591,.F.); #328169=ADVANCED_FACE('',(#33325),#314592,.F.); #328170=ADVANCED_FACE('',(#33326),#314593,.F.); #328171=ADVANCED_FACE('',(#33327),#314594,.F.); #328172=ADVANCED_FACE('',(#33328),#314595,.F.); #328173=ADVANCED_FACE('',(#33329),#314596,.F.); #328174=ADVANCED_FACE('',(#33330),#314597,.F.); #328175=ADVANCED_FACE('',(#33331),#314598,.F.); #328176=ADVANCED_FACE('',(#33332),#17604,.T.); #328177=ADVANCED_FACE('',(#33333),#17605,.T.); #328178=ADVANCED_FACE('',(#33334),#17606,.T.); #328179=ADVANCED_FACE('',(#33335),#17607,.T.); #328180=ADVANCED_FACE('',(#33336),#17608,.T.); #328181=ADVANCED_FACE('',(#33337),#17609,.T.); #328182=ADVANCED_FACE('',(#33338),#17610,.T.); #328183=ADVANCED_FACE('',(#33339),#17611,.T.); #328184=ADVANCED_FACE('',(#33340),#17612,.T.); #328185=ADVANCED_FACE('',(#33341),#17613,.T.); #328186=ADVANCED_FACE('',(#33342),#17614,.T.); #328187=ADVANCED_FACE('',(#33343),#17615,.T.); #328188=ADVANCED_FACE('',(#33344),#17616,.T.); #328189=ADVANCED_FACE('',(#33345),#17617,.T.); #328190=ADVANCED_FACE('',(#33346),#17618,.T.); #328191=ADVANCED_FACE('',(#33347),#17619,.T.); #328192=ADVANCED_FACE('',(#33348),#17620,.T.); #328193=ADVANCED_FACE('',(#33349),#17621,.T.); #328194=ADVANCED_FACE('',(#33350),#17622,.T.); #328195=ADVANCED_FACE('',(#33351),#17623,.T.); #328196=ADVANCED_FACE('',(#33352),#17624,.T.); #328197=ADVANCED_FACE('',(#33353),#17625,.T.); #328198=ADVANCED_FACE('',(#33354),#17626,.T.); #328199=ADVANCED_FACE('',(#33355),#17627,.T.); #328200=ADVANCED_FACE('',(#33356),#17628,.T.); #328201=ADVANCED_FACE('',(#33357),#17629,.T.); #328202=ADVANCED_FACE('',(#33358),#17630,.T.); #328203=ADVANCED_FACE('',(#33359),#17631,.T.); #328204=ADVANCED_FACE('',(#33360),#17632,.T.); #328205=ADVANCED_FACE('',(#33361),#17633,.T.); #328206=ADVANCED_FACE('',(#33362),#17634,.T.); #328207=ADVANCED_FACE('',(#33363),#17635,.T.); #328208=ADVANCED_FACE('',(#33364),#17636,.T.); #328209=ADVANCED_FACE('',(#33365),#17637,.T.); #328210=ADVANCED_FACE('',(#33366),#17638,.T.); #328211=ADVANCED_FACE('',(#33367),#17639,.T.); #328212=ADVANCED_FACE('',(#33368),#17640,.T.); #328213=ADVANCED_FACE('',(#33369),#17641,.T.); #328214=ADVANCED_FACE('',(#33370),#17642,.T.); #328215=ADVANCED_FACE('',(#33371),#17643,.T.); #328216=ADVANCED_FACE('',(#33372),#17644,.T.); #328217=ADVANCED_FACE('',(#33373),#17645,.T.); #328218=ADVANCED_FACE('',(#33374),#17646,.T.); #328219=ADVANCED_FACE('',(#33375),#17647,.T.); #328220=ADVANCED_FACE('',(#33376),#17648,.T.); #328221=ADVANCED_FACE('',(#33377,#6095,#6096,#6097,#6098,#6099,#6100,#6101, #6102,#6103,#6104,#6105,#6106,#6107,#6108,#6109,#6110,#6111,#6112,#6113, #6114,#6115,#6116,#6117,#6118,#6119,#6120,#6121,#6122,#6123,#6124),#17649, .T.); #328222=ADVANCED_FACE('',(#33378,#6125,#6126,#6127,#6128,#6129,#6130,#6131, #6132,#6133,#6134,#6135,#6136,#6137,#6138,#6139,#6140,#6141,#6142,#6143, #6144,#6145,#6146,#6147,#6148,#6149,#6150,#6151,#6152,#6153,#6154),#17650, .F.); #328223=ADVANCED_FACE('',(#33379),#314599,.F.); #328224=ADVANCED_FACE('',(#33380),#314600,.F.); #328225=ADVANCED_FACE('',(#33381),#17651,.T.); #328226=ADVANCED_FACE('',(#33382),#314601,.T.); #328227=ADVANCED_FACE('',(#33383),#17652,.T.); #328228=ADVANCED_FACE('',(#33384),#314602,.T.); #328229=ADVANCED_FACE('',(#33385),#314603,.T.); #328230=ADVANCED_FACE('',(#33386),#17653,.T.); #328231=ADVANCED_FACE('',(#33387),#314604,.T.); #328232=ADVANCED_FACE('',(#33388,#6155,#6156),#17654,.T.); #328233=ADVANCED_FACE('',(#33389,#6157,#6158),#17655,.F.); #328234=ADVANCED_FACE('',(#33390),#314605,.F.); #328235=ADVANCED_FACE('',(#33391),#314606,.F.); #328236=ADVANCED_FACE('',(#33392),#314607,.F.); #328237=ADVANCED_FACE('',(#33393),#314608,.F.); #328238=ADVANCED_FACE('',(#33394),#314609,.F.); #328239=ADVANCED_FACE('',(#33395),#314610,.F.); #328240=ADVANCED_FACE('',(#33396),#314611,.F.); #328241=ADVANCED_FACE('',(#33397),#314612,.F.); #328242=ADVANCED_FACE('',(#33398),#314613,.F.); #328243=ADVANCED_FACE('',(#33399),#314614,.F.); #328244=ADVANCED_FACE('',(#33400),#314615,.F.); #328245=ADVANCED_FACE('',(#33401),#314616,.F.); #328246=ADVANCED_FACE('',(#33402),#314617,.F.); #328247=ADVANCED_FACE('',(#33403),#314618,.F.); #328248=ADVANCED_FACE('',(#33404),#314619,.F.); #328249=ADVANCED_FACE('',(#33405),#314620,.F.); #328250=ADVANCED_FACE('',(#33406),#314621,.F.); #328251=ADVANCED_FACE('',(#33407),#314622,.F.); #328252=ADVANCED_FACE('',(#33408),#314623,.F.); #328253=ADVANCED_FACE('',(#33409),#314624,.F.); #328254=ADVANCED_FACE('',(#33410),#314625,.F.); #328255=ADVANCED_FACE('',(#33411),#314626,.F.); #328256=ADVANCED_FACE('',(#33412),#314627,.F.); #328257=ADVANCED_FACE('',(#33413),#314628,.F.); #328258=ADVANCED_FACE('',(#33414),#314629,.F.); #328259=ADVANCED_FACE('',(#33415),#314630,.F.); #328260=ADVANCED_FACE('',(#33416),#314631,.F.); #328261=ADVANCED_FACE('',(#33417),#314632,.F.); #328262=ADVANCED_FACE('',(#33418),#314633,.F.); #328263=ADVANCED_FACE('',(#33419),#314634,.F.); #328264=ADVANCED_FACE('',(#33420),#17656,.T.); #328265=ADVANCED_FACE('',(#33421),#17657,.T.); #328266=ADVANCED_FACE('',(#33422),#17658,.T.); #328267=ADVANCED_FACE('',(#33423),#17659,.T.); #328268=ADVANCED_FACE('',(#33424),#17660,.T.); #328269=ADVANCED_FACE('',(#33425),#17661,.T.); #328270=ADVANCED_FACE('',(#33426),#17662,.T.); #328271=ADVANCED_FACE('',(#33427),#17663,.T.); #328272=ADVANCED_FACE('',(#33428),#17664,.T.); #328273=ADVANCED_FACE('',(#33429),#17665,.T.); #328274=ADVANCED_FACE('',(#33430),#17666,.T.); #328275=ADVANCED_FACE('',(#33431),#17667,.T.); #328276=ADVANCED_FACE('',(#33432),#17668,.T.); #328277=ADVANCED_FACE('',(#33433),#17669,.T.); #328278=ADVANCED_FACE('',(#33434),#17670,.T.); #328279=ADVANCED_FACE('',(#33435),#17671,.T.); #328280=ADVANCED_FACE('',(#33436),#17672,.T.); #328281=ADVANCED_FACE('',(#33437),#17673,.T.); #328282=ADVANCED_FACE('',(#33438),#17674,.T.); #328283=ADVANCED_FACE('',(#33439),#17675,.T.); #328284=ADVANCED_FACE('',(#33440),#17676,.T.); #328285=ADVANCED_FACE('',(#33441),#17677,.T.); #328286=ADVANCED_FACE('',(#33442),#17678,.T.); #328287=ADVANCED_FACE('',(#33443),#17679,.T.); #328288=ADVANCED_FACE('',(#33444),#17680,.T.); #328289=ADVANCED_FACE('',(#33445),#17681,.T.); #328290=ADVANCED_FACE('',(#33446),#17682,.T.); #328291=ADVANCED_FACE('',(#33447),#17683,.T.); #328292=ADVANCED_FACE('',(#33448),#17684,.T.); #328293=ADVANCED_FACE('',(#33449),#17685,.T.); #328294=ADVANCED_FACE('',(#33450),#17686,.T.); #328295=ADVANCED_FACE('',(#33451),#17687,.T.); #328296=ADVANCED_FACE('',(#33452),#17688,.T.); #328297=ADVANCED_FACE('',(#33453),#17689,.T.); #328298=ADVANCED_FACE('',(#33454),#17690,.T.); #328299=ADVANCED_FACE('',(#33455),#17691,.T.); #328300=ADVANCED_FACE('',(#33456),#17692,.T.); #328301=ADVANCED_FACE('',(#33457),#17693,.T.); #328302=ADVANCED_FACE('',(#33458),#17694,.T.); #328303=ADVANCED_FACE('',(#33459),#17695,.T.); #328304=ADVANCED_FACE('',(#33460),#17696,.T.); #328305=ADVANCED_FACE('',(#33461),#17697,.T.); #328306=ADVANCED_FACE('',(#33462),#17698,.T.); #328307=ADVANCED_FACE('',(#33463),#17699,.T.); #328308=ADVANCED_FACE('',(#33464),#17700,.T.); #328309=ADVANCED_FACE('',(#33465,#6159,#6160,#6161,#6162,#6163,#6164,#6165, #6166,#6167,#6168,#6169,#6170,#6171,#6172,#6173,#6174,#6175,#6176,#6177, #6178,#6179,#6180,#6181,#6182,#6183,#6184,#6185,#6186,#6187,#6188),#17701, .T.); #328310=ADVANCED_FACE('',(#33466,#6189,#6190,#6191,#6192,#6193,#6194,#6195, #6196,#6197,#6198,#6199,#6200,#6201,#6202,#6203,#6204,#6205,#6206,#6207, #6208,#6209,#6210,#6211,#6212,#6213,#6214,#6215,#6216,#6217,#6218),#17702, .F.); #328311=ADVANCED_FACE('',(#33467),#314635,.F.); #328312=ADVANCED_FACE('',(#33468),#314636,.F.); #328313=ADVANCED_FACE('',(#33469),#17703,.T.); #328314=ADVANCED_FACE('',(#33470),#314637,.T.); #328315=ADVANCED_FACE('',(#33471),#17704,.T.); #328316=ADVANCED_FACE('',(#33472),#314638,.T.); #328317=ADVANCED_FACE('',(#33473),#314639,.T.); #328318=ADVANCED_FACE('',(#33474),#17705,.T.); #328319=ADVANCED_FACE('',(#33475),#314640,.T.); #328320=ADVANCED_FACE('',(#33476,#6219,#6220),#17706,.T.); #328321=ADVANCED_FACE('',(#33477,#6221,#6222),#17707,.F.); #328322=ADVANCED_FACE('',(#33478),#314641,.F.); #328323=ADVANCED_FACE('',(#33479),#314642,.F.); #328324=ADVANCED_FACE('',(#33480),#17708,.T.); #328325=ADVANCED_FACE('',(#33481),#17709,.T.); #328326=ADVANCED_FACE('',(#33482),#17710,.T.); #328327=ADVANCED_FACE('',(#33483),#17711,.T.); #328328=ADVANCED_FACE('',(#33484),#17712,.T.); #328329=ADVANCED_FACE('',(#33485),#17713,.T.); #328330=ADVANCED_FACE('',(#33486),#17714,.T.); #328331=ADVANCED_FACE('',(#33487),#17715,.T.); #328332=ADVANCED_FACE('',(#33488),#17716,.T.); #328333=ADVANCED_FACE('',(#33489),#17717,.T.); #328334=ADVANCED_FACE('',(#33490),#17718,.T.); #328335=ADVANCED_FACE('',(#33491),#17719,.T.); #328336=ADVANCED_FACE('',(#33492),#17720,.T.); #328337=ADVANCED_FACE('',(#33493),#17721,.T.); #328338=ADVANCED_FACE('',(#33494),#17722,.T.); #328339=ADVANCED_FACE('',(#33495),#17723,.T.); #328340=ADVANCED_FACE('',(#33496),#17724,.T.); #328341=ADVANCED_FACE('',(#33497),#17725,.T.); #328342=ADVANCED_FACE('',(#33498),#17726,.T.); #328343=ADVANCED_FACE('',(#33499),#17727,.T.); #328344=ADVANCED_FACE('',(#33500),#17728,.T.); #328345=ADVANCED_FACE('',(#33501),#17729,.T.); #328346=ADVANCED_FACE('',(#33502),#17730,.T.); #328347=ADVANCED_FACE('',(#33503),#17731,.T.); #328348=ADVANCED_FACE('',(#33504),#17732,.T.); #328349=ADVANCED_FACE('',(#33505),#17733,.T.); #328350=ADVANCED_FACE('',(#33506),#17734,.T.); #328351=ADVANCED_FACE('',(#33507),#17735,.T.); #328352=ADVANCED_FACE('',(#33508),#17736,.T.); #328353=ADVANCED_FACE('',(#33509),#17737,.T.); #328354=ADVANCED_FACE('',(#33510),#17738,.T.); #328355=ADVANCED_FACE('',(#33511),#17739,.T.); #328356=ADVANCED_FACE('',(#33512),#17740,.T.); #328357=ADVANCED_FACE('',(#33513),#17741,.T.); #328358=ADVANCED_FACE('',(#33514),#17742,.T.); #328359=ADVANCED_FACE('',(#33515),#17743,.T.); #328360=ADVANCED_FACE('',(#33516),#17744,.T.); #328361=ADVANCED_FACE('',(#33517),#17745,.T.); #328362=ADVANCED_FACE('',(#33518),#17746,.T.); #328363=ADVANCED_FACE('',(#33519),#17747,.T.); #328364=ADVANCED_FACE('',(#33520),#17748,.T.); #328365=ADVANCED_FACE('',(#33521),#17749,.T.); #328366=ADVANCED_FACE('',(#33522),#17750,.T.); #328367=ADVANCED_FACE('',(#33523),#17751,.T.); #328368=ADVANCED_FACE('',(#33524),#17752,.T.); #328369=ADVANCED_FACE('',(#33525),#17753,.T.); #328370=ADVANCED_FACE('',(#33526),#17754,.T.); #328371=ADVANCED_FACE('',(#33527),#17755,.T.); #328372=ADVANCED_FACE('',(#33528),#17756,.T.); #328373=ADVANCED_FACE('',(#33529),#17757,.T.); #328374=ADVANCED_FACE('',(#33530),#17758,.T.); #328375=ADVANCED_FACE('',(#33531),#17759,.T.); #328376=ADVANCED_FACE('',(#33532),#17760,.T.); #328377=ADVANCED_FACE('',(#33533),#17761,.T.); #328378=ADVANCED_FACE('',(#33534),#17762,.T.); #328379=ADVANCED_FACE('',(#33535),#17763,.T.); #328380=ADVANCED_FACE('',(#33536),#17764,.T.); #328381=ADVANCED_FACE('',(#33537),#17765,.T.); #328382=ADVANCED_FACE('',(#33538),#17766,.T.); #328383=ADVANCED_FACE('',(#33539),#17767,.T.); #328384=ADVANCED_FACE('',(#33540),#17768,.T.); #328385=ADVANCED_FACE('',(#33541),#17769,.T.); #328386=ADVANCED_FACE('',(#33542),#17770,.T.); #328387=ADVANCED_FACE('',(#33543),#17771,.T.); #328388=ADVANCED_FACE('',(#33544),#17772,.T.); #328389=ADVANCED_FACE('',(#33545),#17773,.T.); #328390=ADVANCED_FACE('',(#33546),#17774,.T.); #328391=ADVANCED_FACE('',(#33547),#17775,.T.); #328392=ADVANCED_FACE('',(#33548),#17776,.T.); #328393=ADVANCED_FACE('',(#33549),#17777,.T.); #328394=ADVANCED_FACE('',(#33550),#17778,.T.); #328395=ADVANCED_FACE('',(#33551),#17779,.T.); #328396=ADVANCED_FACE('',(#33552),#17780,.T.); #328397=ADVANCED_FACE('',(#33553),#17781,.T.); #328398=ADVANCED_FACE('',(#33554),#17782,.T.); #328399=ADVANCED_FACE('',(#33555),#17783,.T.); #328400=ADVANCED_FACE('',(#33556),#17784,.T.); #328401=ADVANCED_FACE('',(#33557),#17785,.T.); #328402=ADVANCED_FACE('',(#33558),#17786,.T.); #328403=ADVANCED_FACE('',(#33559),#17787,.T.); #328404=ADVANCED_FACE('',(#33560),#17788,.T.); #328405=ADVANCED_FACE('',(#33561),#17789,.T.); #328406=ADVANCED_FACE('',(#33562),#17790,.T.); #328407=ADVANCED_FACE('',(#33563),#17791,.T.); #328408=ADVANCED_FACE('',(#33564),#17792,.T.); #328409=ADVANCED_FACE('',(#33565),#17793,.T.); #328410=ADVANCED_FACE('',(#33566),#17794,.T.); #328411=ADVANCED_FACE('',(#33567),#17795,.T.); #328412=ADVANCED_FACE('',(#33568),#17796,.T.); #328413=ADVANCED_FACE('',(#33569),#17797,.T.); #328414=ADVANCED_FACE('',(#33570),#17798,.T.); #328415=ADVANCED_FACE('',(#33571),#17799,.T.); #328416=ADVANCED_FACE('',(#33572),#17800,.T.); #328417=ADVANCED_FACE('',(#33573),#17801,.T.); #328418=ADVANCED_FACE('',(#33574),#17802,.T.); #328419=ADVANCED_FACE('',(#33575),#17803,.T.); #328420=ADVANCED_FACE('',(#33576),#17804,.T.); #328421=ADVANCED_FACE('',(#33577),#17805,.T.); #328422=ADVANCED_FACE('',(#33578),#17806,.T.); #328423=ADVANCED_FACE('',(#33579),#17807,.T.); #328424=ADVANCED_FACE('',(#33580),#17808,.T.); #328425=ADVANCED_FACE('',(#33581,#6223,#6224,#6225),#17809,.T.); #328426=ADVANCED_FACE('',(#33582,#6226,#6227,#6228),#17810,.F.); #328427=ADVANCED_FACE('',(#33583),#314643,.F.); #328428=ADVANCED_FACE('',(#33584),#17811,.T.); #328429=ADVANCED_FACE('',(#33585),#314644,.T.); #328430=ADVANCED_FACE('',(#33586),#314645,.T.); #328431=ADVANCED_FACE('',(#33587,#6229),#17812,.T.); #328432=ADVANCED_FACE('',(#33588,#6230),#17813,.F.); #328433=ADVANCED_FACE('',(#33589),#314646,.F.); #328434=ADVANCED_FACE('',(#33590),#314647,.F.); #328435=ADVANCED_FACE('',(#33591),#314648,.F.); #328436=ADVANCED_FACE('',(#33592),#314649,.F.); #328437=ADVANCED_FACE('',(#33593),#314650,.F.); #328438=ADVANCED_FACE('',(#33594),#314651,.F.); #328439=ADVANCED_FACE('',(#33595),#314652,.F.); #328440=ADVANCED_FACE('',(#33596),#314653,.F.); #328441=ADVANCED_FACE('',(#33597),#314654,.F.); #328442=ADVANCED_FACE('',(#33598),#314655,.F.); #328443=ADVANCED_FACE('',(#33599),#314656,.F.); #328444=ADVANCED_FACE('',(#33600),#314657,.F.); #328445=ADVANCED_FACE('',(#33601),#314658,.F.); #328446=ADVANCED_FACE('',(#33602),#314659,.F.); #328447=ADVANCED_FACE('',(#33603),#314660,.F.); #328448=ADVANCED_FACE('',(#33604),#17814,.T.); #328449=ADVANCED_FACE('',(#33605),#17815,.T.); #328450=ADVANCED_FACE('',(#33606),#17816,.T.); #328451=ADVANCED_FACE('',(#33607),#17817,.T.); #328452=ADVANCED_FACE('',(#33608),#17818,.T.); #328453=ADVANCED_FACE('',(#33609),#17819,.T.); #328454=ADVANCED_FACE('',(#33610),#17820,.T.); #328455=ADVANCED_FACE('',(#33611),#17821,.T.); #328456=ADVANCED_FACE('',(#33612),#17822,.T.); #328457=ADVANCED_FACE('',(#33613),#17823,.T.); #328458=ADVANCED_FACE('',(#33614),#17824,.T.); #328459=ADVANCED_FACE('',(#33615),#17825,.T.); #328460=ADVANCED_FACE('',(#33616),#17826,.T.); #328461=ADVANCED_FACE('',(#33617),#17827,.T.); #328462=ADVANCED_FACE('',(#33618),#17828,.T.); #328463=ADVANCED_FACE('',(#33619),#17829,.T.); #328464=ADVANCED_FACE('',(#33620),#17830,.T.); #328465=ADVANCED_FACE('',(#33621),#17831,.T.); #328466=ADVANCED_FACE('',(#33622),#17832,.T.); #328467=ADVANCED_FACE('',(#33623),#17833,.T.); #328468=ADVANCED_FACE('',(#33624),#17834,.T.); #328469=ADVANCED_FACE('',(#33625),#17835,.T.); #328470=ADVANCED_FACE('',(#33626),#17836,.T.); #328471=ADVANCED_FACE('',(#33627),#17837,.T.); #328472=ADVANCED_FACE('',(#33628),#17838,.T.); #328473=ADVANCED_FACE('',(#33629),#17839,.T.); #328474=ADVANCED_FACE('',(#33630),#17840,.T.); #328475=ADVANCED_FACE('',(#33631),#17841,.T.); #328476=ADVANCED_FACE('',(#33632),#17842,.T.); #328477=ADVANCED_FACE('',(#33633),#17843,.T.); #328478=ADVANCED_FACE('',(#33634),#17844,.T.); #328479=ADVANCED_FACE('',(#33635),#17845,.T.); #328480=ADVANCED_FACE('',(#33636),#17846,.T.); #328481=ADVANCED_FACE('',(#33637),#17847,.T.); #328482=ADVANCED_FACE('',(#33638),#17848,.T.); #328483=ADVANCED_FACE('',(#33639),#17849,.T.); #328484=ADVANCED_FACE('',(#33640),#17850,.T.); #328485=ADVANCED_FACE('',(#33641),#17851,.T.); #328486=ADVANCED_FACE('',(#33642),#17852,.T.); #328487=ADVANCED_FACE('',(#33643),#17853,.T.); #328488=ADVANCED_FACE('',(#33644),#17854,.T.); #328489=ADVANCED_FACE('',(#33645),#17855,.T.); #328490=ADVANCED_FACE('',(#33646),#17856,.T.); #328491=ADVANCED_FACE('',(#33647),#17857,.T.); #328492=ADVANCED_FACE('',(#33648),#17858,.T.); #328493=ADVANCED_FACE('',(#33649),#17859,.T.); #328494=ADVANCED_FACE('',(#33650),#17860,.T.); #328495=ADVANCED_FACE('',(#33651),#17861,.T.); #328496=ADVANCED_FACE('',(#33652),#17862,.T.); #328497=ADVANCED_FACE('',(#33653),#17863,.T.); #328498=ADVANCED_FACE('',(#33654),#17864,.T.); #328499=ADVANCED_FACE('',(#33655),#17865,.T.); #328500=ADVANCED_FACE('',(#33656),#17866,.T.); #328501=ADVANCED_FACE('',(#33657),#17867,.T.); #328502=ADVANCED_FACE('',(#33658),#17868,.T.); #328503=ADVANCED_FACE('',(#33659),#17869,.T.); #328504=ADVANCED_FACE('',(#33660),#17870,.T.); #328505=ADVANCED_FACE('',(#33661),#17871,.T.); #328506=ADVANCED_FACE('',(#33662),#17872,.T.); #328507=ADVANCED_FACE('',(#33663),#17873,.T.); #328508=ADVANCED_FACE('',(#33664),#17874,.T.); #328509=ADVANCED_FACE('',(#33665),#17875,.T.); #328510=ADVANCED_FACE('',(#33666),#17876,.T.); #328511=ADVANCED_FACE('',(#33667),#17877,.T.); #328512=ADVANCED_FACE('',(#33668),#17878,.T.); #328513=ADVANCED_FACE('',(#33669),#17879,.T.); #328514=ADVANCED_FACE('',(#33670),#17880,.T.); #328515=ADVANCED_FACE('',(#33671),#17881,.T.); #328516=ADVANCED_FACE('',(#33672),#17882,.T.); #328517=ADVANCED_FACE('',(#33673),#17883,.T.); #328518=ADVANCED_FACE('',(#33674),#17884,.T.); #328519=ADVANCED_FACE('',(#33675),#17885,.T.); #328520=ADVANCED_FACE('',(#33676),#17886,.T.); #328521=ADVANCED_FACE('',(#33677),#17887,.T.); #328522=ADVANCED_FACE('',(#33678),#17888,.T.); #328523=ADVANCED_FACE('',(#33679),#17889,.T.); #328524=ADVANCED_FACE('',(#33680),#17890,.T.); #328525=ADVANCED_FACE('',(#33681),#17891,.T.); #328526=ADVANCED_FACE('',(#33682),#17892,.T.); #328527=ADVANCED_FACE('',(#33683),#17893,.T.); #328528=ADVANCED_FACE('',(#33684),#17894,.T.); #328529=ADVANCED_FACE('',(#33685),#17895,.T.); #328530=ADVANCED_FACE('',(#33686),#17896,.T.); #328531=ADVANCED_FACE('',(#33687),#17897,.T.); #328532=ADVANCED_FACE('',(#33688),#17898,.T.); #328533=ADVANCED_FACE('',(#33689),#17899,.T.); #328534=ADVANCED_FACE('',(#33690),#17900,.T.); #328535=ADVANCED_FACE('',(#33691),#17901,.T.); #328536=ADVANCED_FACE('',(#33692),#17902,.T.); #328537=ADVANCED_FACE('',(#33693),#17903,.T.); #328538=ADVANCED_FACE('',(#33694),#17904,.T.); #328539=ADVANCED_FACE('',(#33695),#17905,.T.); #328540=ADVANCED_FACE('',(#33696),#17906,.T.); #328541=ADVANCED_FACE('',(#33697),#17907,.T.); #328542=ADVANCED_FACE('',(#33698),#17908,.T.); #328543=ADVANCED_FACE('',(#33699),#17909,.T.); #328544=ADVANCED_FACE('',(#33700),#17910,.T.); #328545=ADVANCED_FACE('',(#33701),#17911,.T.); #328546=ADVANCED_FACE('',(#33702),#17912,.T.); #328547=ADVANCED_FACE('',(#33703),#17913,.T.); #328548=ADVANCED_FACE('',(#33704),#17914,.T.); #328549=ADVANCED_FACE('',(#33705),#17915,.T.); #328550=ADVANCED_FACE('',(#33706),#17916,.T.); #328551=ADVANCED_FACE('',(#33707),#17917,.T.); #328552=ADVANCED_FACE('',(#33708),#17918,.T.); #328553=ADVANCED_FACE('',(#33709),#17919,.T.); #328554=ADVANCED_FACE('',(#33710),#17920,.T.); #328555=ADVANCED_FACE('',(#33711),#17921,.T.); #328556=ADVANCED_FACE('',(#33712),#17922,.T.); #328557=ADVANCED_FACE('',(#33713),#17923,.T.); #328558=ADVANCED_FACE('',(#33714),#17924,.T.); #328559=ADVANCED_FACE('',(#33715),#17925,.T.); #328560=ADVANCED_FACE('',(#33716),#17926,.T.); #328561=ADVANCED_FACE('',(#33717),#17927,.T.); #328562=ADVANCED_FACE('',(#33718),#17928,.T.); #328563=ADVANCED_FACE('',(#33719),#17929,.T.); #328564=ADVANCED_FACE('',(#33720),#17930,.T.); #328565=ADVANCED_FACE('',(#33721),#17931,.T.); #328566=ADVANCED_FACE('',(#33722),#17932,.T.); #328567=ADVANCED_FACE('',(#33723),#17933,.T.); #328568=ADVANCED_FACE('',(#33724),#17934,.T.); #328569=ADVANCED_FACE('',(#33725),#17935,.T.); #328570=ADVANCED_FACE('',(#33726),#17936,.T.); #328571=ADVANCED_FACE('',(#33727),#17937,.T.); #328572=ADVANCED_FACE('',(#33728),#17938,.T.); #328573=ADVANCED_FACE('',(#33729),#17939,.T.); #328574=ADVANCED_FACE('',(#33730),#17940,.T.); #328575=ADVANCED_FACE('',(#33731),#17941,.T.); #328576=ADVANCED_FACE('',(#33732),#17942,.T.); #328577=ADVANCED_FACE('',(#33733),#17943,.T.); #328578=ADVANCED_FACE('',(#33734),#17944,.T.); #328579=ADVANCED_FACE('',(#33735),#17945,.T.); #328580=ADVANCED_FACE('',(#33736),#17946,.T.); #328581=ADVANCED_FACE('',(#33737),#17947,.T.); #328582=ADVANCED_FACE('',(#33738),#17948,.T.); #328583=ADVANCED_FACE('',(#33739),#17949,.T.); #328584=ADVANCED_FACE('',(#33740),#17950,.T.); #328585=ADVANCED_FACE('',(#33741),#17951,.T.); #328586=ADVANCED_FACE('',(#33742),#17952,.T.); #328587=ADVANCED_FACE('',(#33743),#17953,.T.); #328588=ADVANCED_FACE('',(#33744),#17954,.T.); #328589=ADVANCED_FACE('',(#33745),#17955,.T.); #328590=ADVANCED_FACE('',(#33746),#17956,.T.); #328591=ADVANCED_FACE('',(#33747),#17957,.T.); #328592=ADVANCED_FACE('',(#33748),#17958,.T.); #328593=ADVANCED_FACE('',(#33749),#17959,.T.); #328594=ADVANCED_FACE('',(#33750),#17960,.T.); #328595=ADVANCED_FACE('',(#33751),#17961,.T.); #328596=ADVANCED_FACE('',(#33752),#17962,.T.); #328597=ADVANCED_FACE('',(#33753),#17963,.T.); #328598=ADVANCED_FACE('',(#33754),#17964,.T.); #328599=ADVANCED_FACE('',(#33755),#17965,.T.); #328600=ADVANCED_FACE('',(#33756),#17966,.T.); #328601=ADVANCED_FACE('',(#33757),#17967,.T.); #328602=ADVANCED_FACE('',(#33758),#17968,.T.); #328603=ADVANCED_FACE('',(#33759),#17969,.T.); #328604=ADVANCED_FACE('',(#33760),#17970,.T.); #328605=ADVANCED_FACE('',(#33761),#17971,.T.); #328606=ADVANCED_FACE('',(#33762),#17972,.T.); #328607=ADVANCED_FACE('',(#33763),#17973,.T.); #328608=ADVANCED_FACE('',(#33764),#17974,.T.); #328609=ADVANCED_FACE('',(#33765),#17975,.T.); #328610=ADVANCED_FACE('',(#33766),#17976,.T.); #328611=ADVANCED_FACE('',(#33767),#17977,.T.); #328612=ADVANCED_FACE('',(#33768),#17978,.T.); #328613=ADVANCED_FACE('',(#33769),#17979,.T.); #328614=ADVANCED_FACE('',(#33770),#17980,.T.); #328615=ADVANCED_FACE('',(#33771,#6231,#6232,#6233,#6234,#6235,#6236,#6237, #6238,#6239,#6240,#6241,#6242,#6243,#6244,#6245,#6246),#17981,.T.); #328616=ADVANCED_FACE('',(#33772,#6247,#6248,#6249,#6250,#6251,#6252,#6253, #6254,#6255,#6256,#6257,#6258,#6259,#6260,#6261,#6262),#17982,.F.); #328617=ADVANCED_FACE('',(#33773),#314661,.F.); #328618=ADVANCED_FACE('',(#33774),#314662,.F.); #328619=ADVANCED_FACE('',(#33775),#17983,.T.); #328620=ADVANCED_FACE('',(#33776),#314663,.T.); #328621=ADVANCED_FACE('',(#33777),#17984,.T.); #328622=ADVANCED_FACE('',(#33778),#17985,.T.); #328623=ADVANCED_FACE('',(#33779),#314664,.T.); #328624=ADVANCED_FACE('',(#33780),#17986,.T.); #328625=ADVANCED_FACE('',(#33781),#17987,.T.); #328626=ADVANCED_FACE('',(#33782),#314665,.T.); #328627=ADVANCED_FACE('',(#33783),#17988,.T.); #328628=ADVANCED_FACE('',(#33784),#314666,.T.); #328629=ADVANCED_FACE('',(#33785),#17989,.T.); #328630=ADVANCED_FACE('',(#33786),#17990,.T.); #328631=ADVANCED_FACE('',(#33787),#17991,.T.); #328632=ADVANCED_FACE('',(#33788),#314667,.T.); #328633=ADVANCED_FACE('',(#33789),#17992,.T.); #328634=ADVANCED_FACE('',(#33790),#314668,.T.); #328635=ADVANCED_FACE('',(#33791),#17993,.T.); #328636=ADVANCED_FACE('',(#33792),#17994,.T.); #328637=ADVANCED_FACE('',(#33793),#314669,.T.); #328638=ADVANCED_FACE('',(#33794),#17995,.T.); #328639=ADVANCED_FACE('',(#33795),#314670,.T.); #328640=ADVANCED_FACE('',(#33796),#314671,.T.); #328641=ADVANCED_FACE('',(#33797),#17996,.T.); #328642=ADVANCED_FACE('',(#33798),#17997,.T.); #328643=ADVANCED_FACE('',(#33799),#314672,.T.); #328644=ADVANCED_FACE('',(#33800),#17998,.T.); #328645=ADVANCED_FACE('',(#33801),#17999,.T.); #328646=ADVANCED_FACE('',(#33802),#18000,.T.); #328647=ADVANCED_FACE('',(#33803),#314673,.T.); #328648=ADVANCED_FACE('',(#33804),#18001,.T.); #328649=ADVANCED_FACE('',(#33805),#314674,.T.); #328650=ADVANCED_FACE('',(#33806),#18002,.T.); #328651=ADVANCED_FACE('',(#33807),#18003,.T.); #328652=ADVANCED_FACE('',(#33808),#18004,.T.); #328653=ADVANCED_FACE('',(#33809),#314675,.T.); #328654=ADVANCED_FACE('',(#33810),#18005,.T.); #328655=ADVANCED_FACE('',(#33811),#18006,.T.); #328656=ADVANCED_FACE('',(#33812),#314676,.T.); #328657=ADVANCED_FACE('',(#33813),#18007,.T.); #328658=ADVANCED_FACE('',(#33814),#18008,.T.); #328659=ADVANCED_FACE('',(#33815),#314677,.T.); #328660=ADVANCED_FACE('',(#33816,#6263,#6264),#18009,.T.); #328661=ADVANCED_FACE('',(#33817,#6265,#6266),#18010,.F.); #328662=ADVANCED_FACE('',(#33818),#314678,.F.); #328663=ADVANCED_FACE('',(#33819),#18011,.T.); #328664=ADVANCED_FACE('',(#33820),#314679,.T.); #328665=ADVANCED_FACE('',(#33821),#314680,.T.); #328666=ADVANCED_FACE('',(#33822,#6267),#18012,.T.); #328667=ADVANCED_FACE('',(#33823,#6268),#18013,.F.); #328668=ADVANCED_FACE('',(#33824),#314681,.F.); #328669=ADVANCED_FACE('',(#33825),#314682,.F.); #328670=ADVANCED_FACE('',(#33826),#314683,.F.); #328671=ADVANCED_FACE('',(#33827),#314684,.F.); #328672=ADVANCED_FACE('',(#33828),#314685,.F.); #328673=ADVANCED_FACE('',(#33829),#314686,.F.); #328674=ADVANCED_FACE('',(#33830),#314687,.F.); #328675=ADVANCED_FACE('',(#33831),#314688,.F.); #328676=ADVANCED_FACE('',(#33832),#314689,.F.); #328677=ADVANCED_FACE('',(#33833),#314690,.F.); #328678=ADVANCED_FACE('',(#33834),#18014,.T.); #328679=ADVANCED_FACE('',(#33835),#18015,.T.); #328680=ADVANCED_FACE('',(#33836),#18016,.T.); #328681=ADVANCED_FACE('',(#33837),#18017,.T.); #328682=ADVANCED_FACE('',(#33838),#18018,.T.); #328683=ADVANCED_FACE('',(#33839),#18019,.T.); #328684=ADVANCED_FACE('',(#33840),#18020,.T.); #328685=ADVANCED_FACE('',(#33841),#18021,.T.); #328686=ADVANCED_FACE('',(#33842),#18022,.T.); #328687=ADVANCED_FACE('',(#33843),#18023,.T.); #328688=ADVANCED_FACE('',(#33844),#18024,.T.); #328689=ADVANCED_FACE('',(#33845),#18025,.T.); #328690=ADVANCED_FACE('',(#33846),#18026,.T.); #328691=ADVANCED_FACE('',(#33847),#18027,.T.); #328692=ADVANCED_FACE('',(#33848),#18028,.T.); #328693=ADVANCED_FACE('',(#33849),#18029,.T.); #328694=ADVANCED_FACE('',(#33850),#18030,.T.); #328695=ADVANCED_FACE('',(#33851),#18031,.T.); #328696=ADVANCED_FACE('',(#33852),#18032,.T.); #328697=ADVANCED_FACE('',(#33853),#18033,.T.); #328698=ADVANCED_FACE('',(#33854),#18034,.T.); #328699=ADVANCED_FACE('',(#33855),#18035,.T.); #328700=ADVANCED_FACE('',(#33856),#18036,.T.); #328701=ADVANCED_FACE('',(#33857),#18037,.T.); #328702=ADVANCED_FACE('',(#33858,#6269,#6270,#6271,#6272,#6273,#6274,#6275, #6276,#6277,#6278),#18038,.T.); #328703=ADVANCED_FACE('',(#33859,#6279,#6280,#6281,#6282,#6283,#6284,#6285, #6286,#6287,#6288),#18039,.F.); #328704=ADVANCED_FACE('',(#33860),#314691,.F.); #328705=ADVANCED_FACE('',(#33861),#18040,.T.); #328706=ADVANCED_FACE('',(#33862),#314692,.T.); #328707=ADVANCED_FACE('',(#33863),#314693,.T.); #328708=ADVANCED_FACE('',(#33864,#6289),#18041,.T.); #328709=ADVANCED_FACE('',(#33865,#6290),#18042,.F.); #328710=ADVANCED_FACE('',(#33866),#314694,.F.); #328711=ADVANCED_FACE('',(#33867),#18043,.T.); #328712=ADVANCED_FACE('',(#33868),#314695,.T.); #328713=ADVANCED_FACE('',(#33869),#314696,.T.); #328714=ADVANCED_FACE('',(#33870,#6291),#18044,.T.); #328715=ADVANCED_FACE('',(#33871,#6292),#18045,.F.); #328716=ADVANCED_FACE('',(#33872),#314697,.F.); #328717=ADVANCED_FACE('',(#33873),#18046,.T.); #328718=ADVANCED_FACE('',(#33874),#314698,.T.); #328719=ADVANCED_FACE('',(#33875),#314699,.T.); #328720=ADVANCED_FACE('',(#33876,#6293),#18047,.T.); #328721=ADVANCED_FACE('',(#33877,#6294),#18048,.F.); #328722=ADVANCED_FACE('',(#33878),#314700,.F.); #328723=ADVANCED_FACE('',(#33879),#18049,.T.); #328724=ADVANCED_FACE('',(#33880),#18050,.T.); #328725=ADVANCED_FACE('',(#33881),#18051,.T.); #328726=ADVANCED_FACE('',(#33882),#18052,.T.); #328727=ADVANCED_FACE('',(#33883),#18053,.T.); #328728=ADVANCED_FACE('',(#33884),#18054,.T.); #328729=ADVANCED_FACE('',(#33885),#18055,.T.); #328730=ADVANCED_FACE('',(#33886),#18056,.T.); #328731=ADVANCED_FACE('',(#33887,#6295),#18057,.T.); #328732=ADVANCED_FACE('',(#33888,#6296),#18058,.F.); #328733=ADVANCED_FACE('',(#33889),#314701,.F.); #328734=ADVANCED_FACE('',(#33890),#18059,.T.); #328735=ADVANCED_FACE('',(#33891),#314702,.T.); #328736=ADVANCED_FACE('',(#33892),#314703,.T.); #328737=ADVANCED_FACE('',(#33893,#6297),#18060,.T.); #328738=ADVANCED_FACE('',(#33894,#6298),#18061,.F.); #328739=ADVANCED_FACE('',(#33895),#314704,.F.); #328740=ADVANCED_FACE('',(#33896),#18062,.T.); #328741=ADVANCED_FACE('',(#33897),#18063,.T.); #328742=ADVANCED_FACE('',(#33898),#18064,.T.); #328743=ADVANCED_FACE('',(#33899),#18065,.T.); #328744=ADVANCED_FACE('',(#33900,#6299),#18066,.T.); #328745=ADVANCED_FACE('',(#33901,#6300),#18067,.F.); #328746=ADVANCED_FACE('',(#33902),#314705,.F.); #328747=ADVANCED_FACE('',(#33903),#18068,.T.); #328748=ADVANCED_FACE('',(#33904),#314706,.T.); #328749=ADVANCED_FACE('',(#33905),#314707,.T.); #328750=ADVANCED_FACE('',(#33906,#6301),#18069,.T.); #328751=ADVANCED_FACE('',(#33907,#6302),#18070,.F.); #328752=ADVANCED_FACE('',(#33908),#18071,.F.); #328753=ADVANCED_FACE('',(#33909),#314708,.F.); #328754=ADVANCED_FACE('',(#33910),#18072,.F.); #328755=ADVANCED_FACE('',(#33911),#314709,.F.); #328756=ADVANCED_FACE('',(#33912),#18073,.T.); #328757=ADVANCED_FACE('',(#33913),#18074,.T.); #328758=ADVANCED_FACE('',(#33914),#18075,.T.); #328759=ADVANCED_FACE('',(#33915),#18076,.T.); #328760=ADVANCED_FACE('',(#33916),#18077,.T.); #328761=ADVANCED_FACE('',(#33917),#18078,.T.); #328762=ADVANCED_FACE('',(#33918),#18079,.T.); #328763=ADVANCED_FACE('',(#33919),#18080,.T.); #328764=ADVANCED_FACE('',(#33920),#18081,.T.); #328765=ADVANCED_FACE('',(#33921),#18082,.T.); #328766=ADVANCED_FACE('',(#33922),#18083,.T.); #328767=ADVANCED_FACE('',(#33923),#18084,.T.); #328768=ADVANCED_FACE('',(#33924),#18085,.T.); #328769=ADVANCED_FACE('',(#33925),#18086,.T.); #328770=ADVANCED_FACE('',(#33926),#18087,.T.); #328771=ADVANCED_FACE('',(#33927),#18088,.T.); #328772=ADVANCED_FACE('',(#33928),#18089,.T.); #328773=ADVANCED_FACE('',(#33929),#18090,.T.); #328774=ADVANCED_FACE('',(#33930),#18091,.T.); #328775=ADVANCED_FACE('',(#33931),#18092,.T.); #328776=ADVANCED_FACE('',(#33932),#18093,.T.); #328777=ADVANCED_FACE('',(#33933),#18094,.T.); #328778=ADVANCED_FACE('',(#33934),#18095,.T.); #328779=ADVANCED_FACE('',(#33935),#18096,.T.); #328780=ADVANCED_FACE('',(#33936),#18097,.T.); #328781=ADVANCED_FACE('',(#33937),#18098,.T.); #328782=ADVANCED_FACE('',(#33938),#18099,.T.); #328783=ADVANCED_FACE('',(#33939),#18100,.T.); #328784=ADVANCED_FACE('',(#33940),#18101,.T.); #328785=ADVANCED_FACE('',(#33941),#18102,.T.); #328786=ADVANCED_FACE('',(#33942),#18103,.T.); #328787=ADVANCED_FACE('',(#33943),#18104,.T.); #328788=ADVANCED_FACE('',(#33944),#18105,.T.); #328789=ADVANCED_FACE('',(#33945),#18106,.T.); #328790=ADVANCED_FACE('',(#33946),#18107,.T.); #328791=ADVANCED_FACE('',(#33947),#18108,.T.); #328792=ADVANCED_FACE('',(#33948),#18109,.T.); #328793=ADVANCED_FACE('',(#33949),#18110,.T.); #328794=ADVANCED_FACE('',(#33950),#18111,.T.); #328795=ADVANCED_FACE('',(#33951),#18112,.T.); #328796=ADVANCED_FACE('',(#33952),#18113,.T.); #328797=ADVANCED_FACE('',(#33953),#18114,.T.); #328798=ADVANCED_FACE('',(#33954),#18115,.T.); #328799=ADVANCED_FACE('',(#33955),#18116,.T.); #328800=ADVANCED_FACE('',(#33956),#18117,.T.); #328801=ADVANCED_FACE('',(#33957),#18118,.T.); #328802=ADVANCED_FACE('',(#33958),#18119,.T.); #328803=ADVANCED_FACE('',(#33959),#18120,.T.); #328804=ADVANCED_FACE('',(#33960),#18121,.T.); #328805=ADVANCED_FACE('',(#33961),#18122,.T.); #328806=ADVANCED_FACE('',(#33962),#18123,.T.); #328807=ADVANCED_FACE('',(#33963),#18124,.T.); #328808=ADVANCED_FACE('',(#33964),#18125,.T.); #328809=ADVANCED_FACE('',(#33965),#18126,.T.); #328810=ADVANCED_FACE('',(#33966),#18127,.T.); #328811=ADVANCED_FACE('',(#33967),#18128,.T.); #328812=ADVANCED_FACE('',(#33968,#6303),#18129,.T.); #328813=ADVANCED_FACE('',(#33969,#6304),#18130,.F.); #328814=ADVANCED_FACE('',(#33970),#314710,.F.); #328815=ADVANCED_FACE('',(#33971),#18131,.T.); #328816=ADVANCED_FACE('',(#33972),#314711,.T.); #328817=ADVANCED_FACE('',(#33973),#314712,.T.); #328818=ADVANCED_FACE('',(#33974,#6305),#18132,.T.); #328819=ADVANCED_FACE('',(#33975,#6306),#18133,.F.); #328820=ADVANCED_FACE('',(#33976),#18134,.F.); #328821=ADVANCED_FACE('',(#33977),#314713,.F.); #328822=ADVANCED_FACE('',(#33978),#18135,.F.); #328823=ADVANCED_FACE('',(#33979),#314714,.F.); #328824=ADVANCED_FACE('',(#33980),#18136,.T.); #328825=ADVANCED_FACE('',(#33981),#18137,.T.); #328826=ADVANCED_FACE('',(#33982),#18138,.T.); #328827=ADVANCED_FACE('',(#33983),#18139,.T.); #328828=ADVANCED_FACE('',(#33984),#18140,.T.); #328829=ADVANCED_FACE('',(#33985),#18141,.T.); #328830=ADVANCED_FACE('',(#33986),#18142,.T.); #328831=ADVANCED_FACE('',(#33987),#18143,.T.); #328832=ADVANCED_FACE('',(#33988),#18144,.T.); #328833=ADVANCED_FACE('',(#33989),#18145,.T.); #328834=ADVANCED_FACE('',(#33990),#18146,.T.); #328835=ADVANCED_FACE('',(#33991),#18147,.T.); #328836=ADVANCED_FACE('',(#33992),#18148,.T.); #328837=ADVANCED_FACE('',(#33993),#18149,.T.); #328838=ADVANCED_FACE('',(#33994),#18150,.T.); #328839=ADVANCED_FACE('',(#33995),#18151,.T.); #328840=ADVANCED_FACE('',(#33996),#18152,.T.); #328841=ADVANCED_FACE('',(#33997),#18153,.T.); #328842=ADVANCED_FACE('',(#33998),#18154,.T.); #328843=ADVANCED_FACE('',(#33999),#18155,.T.); #328844=ADVANCED_FACE('',(#34000),#18156,.T.); #328845=ADVANCED_FACE('',(#34001),#18157,.T.); #328846=ADVANCED_FACE('',(#34002),#18158,.T.); #328847=ADVANCED_FACE('',(#34003),#18159,.T.); #328848=ADVANCED_FACE('',(#34004),#18160,.T.); #328849=ADVANCED_FACE('',(#34005),#18161,.T.); #328850=ADVANCED_FACE('',(#34006),#18162,.T.); #328851=ADVANCED_FACE('',(#34007),#18163,.T.); #328852=ADVANCED_FACE('',(#34008),#18164,.T.); #328853=ADVANCED_FACE('',(#34009),#18165,.T.); #328854=ADVANCED_FACE('',(#34010),#18166,.T.); #328855=ADVANCED_FACE('',(#34011),#18167,.T.); #328856=ADVANCED_FACE('',(#34012),#18168,.T.); #328857=ADVANCED_FACE('',(#34013),#18169,.T.); #328858=ADVANCED_FACE('',(#34014),#18170,.T.); #328859=ADVANCED_FACE('',(#34015),#18171,.T.); #328860=ADVANCED_FACE('',(#34016),#18172,.T.); #328861=ADVANCED_FACE('',(#34017),#18173,.T.); #328862=ADVANCED_FACE('',(#34018),#18174,.T.); #328863=ADVANCED_FACE('',(#34019),#18175,.T.); #328864=ADVANCED_FACE('',(#34020),#18176,.T.); #328865=ADVANCED_FACE('',(#34021),#18177,.T.); #328866=ADVANCED_FACE('',(#34022),#18178,.T.); #328867=ADVANCED_FACE('',(#34023),#18179,.T.); #328868=ADVANCED_FACE('',(#34024),#18180,.T.); #328869=ADVANCED_FACE('',(#34025),#18181,.T.); #328870=ADVANCED_FACE('',(#34026),#18182,.T.); #328871=ADVANCED_FACE('',(#34027),#18183,.T.); #328872=ADVANCED_FACE('',(#34028),#18184,.T.); #328873=ADVANCED_FACE('',(#34029),#18185,.T.); #328874=ADVANCED_FACE('',(#34030),#18186,.T.); #328875=ADVANCED_FACE('',(#34031),#18187,.T.); #328876=ADVANCED_FACE('',(#34032),#18188,.T.); #328877=ADVANCED_FACE('',(#34033),#18189,.T.); #328878=ADVANCED_FACE('',(#34034),#18190,.T.); #328879=ADVANCED_FACE('',(#34035),#18191,.T.); #328880=ADVANCED_FACE('',(#34036,#6307),#18192,.T.); #328881=ADVANCED_FACE('',(#34037,#6308),#18193,.F.); #328882=ADVANCED_FACE('',(#34038),#18194,.F.); #328883=ADVANCED_FACE('',(#34039),#314715,.F.); #328884=ADVANCED_FACE('',(#34040),#18195,.F.); #328885=ADVANCED_FACE('',(#34041),#314716,.F.); #328886=ADVANCED_FACE('',(#34042),#18196,.T.); #328887=ADVANCED_FACE('',(#34043),#18197,.T.); #328888=ADVANCED_FACE('',(#34044),#18198,.T.); #328889=ADVANCED_FACE('',(#34045),#18199,.T.); #328890=ADVANCED_FACE('',(#34046),#18200,.T.); #328891=ADVANCED_FACE('',(#34047),#18201,.T.); #328892=ADVANCED_FACE('',(#34048),#18202,.T.); #328893=ADVANCED_FACE('',(#34049),#18203,.T.); #328894=ADVANCED_FACE('',(#34050),#18204,.T.); #328895=ADVANCED_FACE('',(#34051),#18205,.T.); #328896=ADVANCED_FACE('',(#34052),#18206,.T.); #328897=ADVANCED_FACE('',(#34053),#18207,.T.); #328898=ADVANCED_FACE('',(#34054),#18208,.T.); #328899=ADVANCED_FACE('',(#34055),#18209,.T.); #328900=ADVANCED_FACE('',(#34056),#18210,.T.); #328901=ADVANCED_FACE('',(#34057),#18211,.T.); #328902=ADVANCED_FACE('',(#34058),#18212,.T.); #328903=ADVANCED_FACE('',(#34059),#18213,.T.); #328904=ADVANCED_FACE('',(#34060),#18214,.T.); #328905=ADVANCED_FACE('',(#34061),#18215,.T.); #328906=ADVANCED_FACE('',(#34062),#18216,.T.); #328907=ADVANCED_FACE('',(#34063),#18217,.T.); #328908=ADVANCED_FACE('',(#34064),#18218,.T.); #328909=ADVANCED_FACE('',(#34065),#18219,.T.); #328910=ADVANCED_FACE('',(#34066),#18220,.T.); #328911=ADVANCED_FACE('',(#34067),#18221,.T.); #328912=ADVANCED_FACE('',(#34068),#18222,.T.); #328913=ADVANCED_FACE('',(#34069),#18223,.T.); #328914=ADVANCED_FACE('',(#34070),#18224,.T.); #328915=ADVANCED_FACE('',(#34071),#18225,.T.); #328916=ADVANCED_FACE('',(#34072),#18226,.T.); #328917=ADVANCED_FACE('',(#34073),#18227,.T.); #328918=ADVANCED_FACE('',(#34074),#18228,.T.); #328919=ADVANCED_FACE('',(#34075),#18229,.T.); #328920=ADVANCED_FACE('',(#34076),#18230,.T.); #328921=ADVANCED_FACE('',(#34077),#18231,.T.); #328922=ADVANCED_FACE('',(#34078),#18232,.T.); #328923=ADVANCED_FACE('',(#34079),#18233,.T.); #328924=ADVANCED_FACE('',(#34080),#18234,.T.); #328925=ADVANCED_FACE('',(#34081),#18235,.T.); #328926=ADVANCED_FACE('',(#34082),#18236,.T.); #328927=ADVANCED_FACE('',(#34083),#18237,.T.); #328928=ADVANCED_FACE('',(#34084),#18238,.T.); #328929=ADVANCED_FACE('',(#34085),#18239,.T.); #328930=ADVANCED_FACE('',(#34086),#18240,.T.); #328931=ADVANCED_FACE('',(#34087),#18241,.T.); #328932=ADVANCED_FACE('',(#34088),#18242,.T.); #328933=ADVANCED_FACE('',(#34089),#18243,.T.); #328934=ADVANCED_FACE('',(#34090),#18244,.T.); #328935=ADVANCED_FACE('',(#34091),#18245,.T.); #328936=ADVANCED_FACE('',(#34092),#18246,.T.); #328937=ADVANCED_FACE('',(#34093),#18247,.T.); #328938=ADVANCED_FACE('',(#34094),#18248,.T.); #328939=ADVANCED_FACE('',(#34095),#18249,.T.); #328940=ADVANCED_FACE('',(#34096),#18250,.T.); #328941=ADVANCED_FACE('',(#34097),#18251,.T.); #328942=ADVANCED_FACE('',(#34098),#18252,.T.); #328943=ADVANCED_FACE('',(#34099),#18253,.T.); #328944=ADVANCED_FACE('',(#34100),#18254,.T.); #328945=ADVANCED_FACE('',(#34101),#18255,.T.); #328946=ADVANCED_FACE('',(#34102),#18256,.T.); #328947=ADVANCED_FACE('',(#34103),#18257,.T.); #328948=ADVANCED_FACE('',(#34104),#18258,.T.); #328949=ADVANCED_FACE('',(#34105),#18259,.T.); #328950=ADVANCED_FACE('',(#34106),#18260,.T.); #328951=ADVANCED_FACE('',(#34107),#18261,.T.); #328952=ADVANCED_FACE('',(#34108),#18262,.T.); #328953=ADVANCED_FACE('',(#34109),#18263,.T.); #328954=ADVANCED_FACE('',(#34110),#18264,.T.); #328955=ADVANCED_FACE('',(#34111),#18265,.T.); #328956=ADVANCED_FACE('',(#34112),#18266,.T.); #328957=ADVANCED_FACE('',(#34113),#18267,.T.); #328958=ADVANCED_FACE('',(#34114,#6309),#18268,.T.); #328959=ADVANCED_FACE('',(#34115,#6310),#18269,.F.); #328960=ADVANCED_FACE('',(#34116),#18270,.F.); #328961=ADVANCED_FACE('',(#34117),#314717,.F.); #328962=ADVANCED_FACE('',(#34118),#18271,.F.); #328963=ADVANCED_FACE('',(#34119),#314718,.F.); #328964=ADVANCED_FACE('',(#34120),#18272,.T.); #328965=ADVANCED_FACE('',(#34121),#18273,.T.); #328966=ADVANCED_FACE('',(#34122),#18274,.T.); #328967=ADVANCED_FACE('',(#34123),#18275,.T.); #328968=ADVANCED_FACE('',(#34124),#18276,.T.); #328969=ADVANCED_FACE('',(#34125),#18277,.T.); #328970=ADVANCED_FACE('',(#34126),#18278,.T.); #328971=ADVANCED_FACE('',(#34127),#18279,.T.); #328972=ADVANCED_FACE('',(#34128),#18280,.T.); #328973=ADVANCED_FACE('',(#34129),#18281,.T.); #328974=ADVANCED_FACE('',(#34130),#18282,.T.); #328975=ADVANCED_FACE('',(#34131),#18283,.T.); #328976=ADVANCED_FACE('',(#34132),#18284,.T.); #328977=ADVANCED_FACE('',(#34133),#18285,.T.); #328978=ADVANCED_FACE('',(#34134),#18286,.T.); #328979=ADVANCED_FACE('',(#34135),#18287,.T.); #328980=ADVANCED_FACE('',(#34136),#18288,.T.); #328981=ADVANCED_FACE('',(#34137),#18289,.T.); #328982=ADVANCED_FACE('',(#34138),#18290,.T.); #328983=ADVANCED_FACE('',(#34139),#18291,.T.); #328984=ADVANCED_FACE('',(#34140),#18292,.T.); #328985=ADVANCED_FACE('',(#34141),#18293,.T.); #328986=ADVANCED_FACE('',(#34142),#18294,.T.); #328987=ADVANCED_FACE('',(#34143),#18295,.T.); #328988=ADVANCED_FACE('',(#34144),#18296,.T.); #328989=ADVANCED_FACE('',(#34145),#18297,.T.); #328990=ADVANCED_FACE('',(#34146),#18298,.T.); #328991=ADVANCED_FACE('',(#34147),#18299,.T.); #328992=ADVANCED_FACE('',(#34148),#18300,.T.); #328993=ADVANCED_FACE('',(#34149),#18301,.T.); #328994=ADVANCED_FACE('',(#34150),#18302,.T.); #328995=ADVANCED_FACE('',(#34151),#18303,.T.); #328996=ADVANCED_FACE('',(#34152),#18304,.T.); #328997=ADVANCED_FACE('',(#34153),#18305,.T.); #328998=ADVANCED_FACE('',(#34154),#18306,.T.); #328999=ADVANCED_FACE('',(#34155),#18307,.T.); #329000=ADVANCED_FACE('',(#34156),#18308,.T.); #329001=ADVANCED_FACE('',(#34157),#18309,.T.); #329002=ADVANCED_FACE('',(#34158),#18310,.T.); #329003=ADVANCED_FACE('',(#34159),#18311,.T.); #329004=ADVANCED_FACE('',(#34160),#18312,.T.); #329005=ADVANCED_FACE('',(#34161),#18313,.T.); #329006=ADVANCED_FACE('',(#34162),#18314,.T.); #329007=ADVANCED_FACE('',(#34163),#18315,.T.); #329008=ADVANCED_FACE('',(#34164),#18316,.T.); #329009=ADVANCED_FACE('',(#34165),#18317,.T.); #329010=ADVANCED_FACE('',(#34166),#18318,.T.); #329011=ADVANCED_FACE('',(#34167),#18319,.T.); #329012=ADVANCED_FACE('',(#34168),#18320,.T.); #329013=ADVANCED_FACE('',(#34169),#18321,.T.); #329014=ADVANCED_FACE('',(#34170),#18322,.T.); #329015=ADVANCED_FACE('',(#34171),#18323,.T.); #329016=ADVANCED_FACE('',(#34172),#18324,.T.); #329017=ADVANCED_FACE('',(#34173),#18325,.T.); #329018=ADVANCED_FACE('',(#34174),#18326,.T.); #329019=ADVANCED_FACE('',(#34175),#18327,.T.); #329020=ADVANCED_FACE('',(#34176,#6311),#18328,.T.); #329021=ADVANCED_FACE('',(#34177,#6312),#18329,.F.); #329022=ADVANCED_FACE('',(#34178),#314719,.F.); #329023=ADVANCED_FACE('',(#34179),#18330,.T.); #329024=ADVANCED_FACE('',(#34180),#314720,.T.); #329025=ADVANCED_FACE('',(#34181),#314721,.T.); #329026=ADVANCED_FACE('',(#34182,#6313),#18331,.T.); #329027=ADVANCED_FACE('',(#34183,#6314),#18332,.F.); #329028=ADVANCED_FACE('',(#34184),#18333,.F.); #329029=ADVANCED_FACE('',(#34185),#314722,.F.); #329030=ADVANCED_FACE('',(#34186),#18334,.F.); #329031=ADVANCED_FACE('',(#34187),#314723,.F.); #329032=ADVANCED_FACE('',(#34188),#18335,.T.); #329033=ADVANCED_FACE('',(#34189),#18336,.T.); #329034=ADVANCED_FACE('',(#34190),#18337,.T.); #329035=ADVANCED_FACE('',(#34191),#18338,.T.); #329036=ADVANCED_FACE('',(#34192),#18339,.T.); #329037=ADVANCED_FACE('',(#34193),#18340,.T.); #329038=ADVANCED_FACE('',(#34194),#18341,.T.); #329039=ADVANCED_FACE('',(#34195),#18342,.T.); #329040=ADVANCED_FACE('',(#34196),#18343,.T.); #329041=ADVANCED_FACE('',(#34197),#18344,.T.); #329042=ADVANCED_FACE('',(#34198),#18345,.T.); #329043=ADVANCED_FACE('',(#34199),#18346,.T.); #329044=ADVANCED_FACE('',(#34200),#18347,.T.); #329045=ADVANCED_FACE('',(#34201),#18348,.T.); #329046=ADVANCED_FACE('',(#34202),#18349,.T.); #329047=ADVANCED_FACE('',(#34203),#18350,.T.); #329048=ADVANCED_FACE('',(#34204),#18351,.T.); #329049=ADVANCED_FACE('',(#34205),#18352,.T.); #329050=ADVANCED_FACE('',(#34206),#18353,.T.); #329051=ADVANCED_FACE('',(#34207),#18354,.T.); #329052=ADVANCED_FACE('',(#34208),#18355,.T.); #329053=ADVANCED_FACE('',(#34209),#18356,.T.); #329054=ADVANCED_FACE('',(#34210),#18357,.T.); #329055=ADVANCED_FACE('',(#34211),#18358,.T.); #329056=ADVANCED_FACE('',(#34212),#18359,.T.); #329057=ADVANCED_FACE('',(#34213),#18360,.T.); #329058=ADVANCED_FACE('',(#34214),#18361,.T.); #329059=ADVANCED_FACE('',(#34215),#18362,.T.); #329060=ADVANCED_FACE('',(#34216),#18363,.T.); #329061=ADVANCED_FACE('',(#34217),#18364,.T.); #329062=ADVANCED_FACE('',(#34218),#18365,.T.); #329063=ADVANCED_FACE('',(#34219),#18366,.T.); #329064=ADVANCED_FACE('',(#34220),#18367,.T.); #329065=ADVANCED_FACE('',(#34221),#18368,.T.); #329066=ADVANCED_FACE('',(#34222),#18369,.T.); #329067=ADVANCED_FACE('',(#34223),#18370,.T.); #329068=ADVANCED_FACE('',(#34224),#18371,.T.); #329069=ADVANCED_FACE('',(#34225),#18372,.T.); #329070=ADVANCED_FACE('',(#34226),#18373,.T.); #329071=ADVANCED_FACE('',(#34227),#18374,.T.); #329072=ADVANCED_FACE('',(#34228),#18375,.T.); #329073=ADVANCED_FACE('',(#34229),#18376,.T.); #329074=ADVANCED_FACE('',(#34230),#18377,.T.); #329075=ADVANCED_FACE('',(#34231),#18378,.T.); #329076=ADVANCED_FACE('',(#34232),#18379,.T.); #329077=ADVANCED_FACE('',(#34233),#18380,.T.); #329078=ADVANCED_FACE('',(#34234),#18381,.T.); #329079=ADVANCED_FACE('',(#34235),#18382,.T.); #329080=ADVANCED_FACE('',(#34236),#18383,.T.); #329081=ADVANCED_FACE('',(#34237),#18384,.T.); #329082=ADVANCED_FACE('',(#34238),#18385,.T.); #329083=ADVANCED_FACE('',(#34239),#18386,.T.); #329084=ADVANCED_FACE('',(#34240),#18387,.T.); #329085=ADVANCED_FACE('',(#34241),#18388,.T.); #329086=ADVANCED_FACE('',(#34242),#18389,.T.); #329087=ADVANCED_FACE('',(#34243),#18390,.T.); #329088=ADVANCED_FACE('',(#34244,#6315),#18391,.T.); #329089=ADVANCED_FACE('',(#34245,#6316),#18392,.F.); #329090=ADVANCED_FACE('',(#34246),#314724,.F.); #329091=ADVANCED_FACE('',(#34247),#18393,.T.); #329092=ADVANCED_FACE('',(#34248),#18394,.T.); #329093=ADVANCED_FACE('',(#34249),#18395,.T.); #329094=ADVANCED_FACE('',(#34250),#18396,.T.); #329095=ADVANCED_FACE('',(#34251),#18397,.T.); #329096=ADVANCED_FACE('',(#34252),#18398,.T.); #329097=ADVANCED_FACE('',(#34253),#18399,.T.); #329098=ADVANCED_FACE('',(#34254),#18400,.T.); #329099=ADVANCED_FACE('',(#34255,#6317),#18401,.T.); #329100=ADVANCED_FACE('',(#34256,#6318),#18402,.F.); #329101=ADVANCED_FACE('',(#34257),#314725,.F.); #329102=ADVANCED_FACE('',(#34258),#18403,.T.); #329103=ADVANCED_FACE('',(#34259),#314726,.T.); #329104=ADVANCED_FACE('',(#34260),#314727,.T.); #329105=ADVANCED_FACE('',(#34261,#6319),#18404,.T.); #329106=ADVANCED_FACE('',(#34262,#6320),#18405,.F.); #329107=ADVANCED_FACE('',(#34263),#18406,.F.); #329108=ADVANCED_FACE('',(#34264),#314728,.F.); #329109=ADVANCED_FACE('',(#34265),#18407,.F.); #329110=ADVANCED_FACE('',(#34266),#314729,.F.); #329111=ADVANCED_FACE('',(#34267),#18408,.T.); #329112=ADVANCED_FACE('',(#34268),#18409,.T.); #329113=ADVANCED_FACE('',(#34269),#18410,.T.); #329114=ADVANCED_FACE('',(#34270),#18411,.T.); #329115=ADVANCED_FACE('',(#34271),#18412,.T.); #329116=ADVANCED_FACE('',(#34272),#18413,.T.); #329117=ADVANCED_FACE('',(#34273),#18414,.T.); #329118=ADVANCED_FACE('',(#34274),#18415,.T.); #329119=ADVANCED_FACE('',(#34275),#18416,.T.); #329120=ADVANCED_FACE('',(#34276),#18417,.T.); #329121=ADVANCED_FACE('',(#34277),#18418,.T.); #329122=ADVANCED_FACE('',(#34278),#18419,.T.); #329123=ADVANCED_FACE('',(#34279),#18420,.T.); #329124=ADVANCED_FACE('',(#34280),#18421,.T.); #329125=ADVANCED_FACE('',(#34281),#18422,.T.); #329126=ADVANCED_FACE('',(#34282),#18423,.T.); #329127=ADVANCED_FACE('',(#34283),#18424,.T.); #329128=ADVANCED_FACE('',(#34284),#18425,.T.); #329129=ADVANCED_FACE('',(#34285),#18426,.T.); #329130=ADVANCED_FACE('',(#34286),#18427,.T.); #329131=ADVANCED_FACE('',(#34287),#18428,.T.); #329132=ADVANCED_FACE('',(#34288),#18429,.T.); #329133=ADVANCED_FACE('',(#34289),#18430,.T.); #329134=ADVANCED_FACE('',(#34290),#18431,.T.); #329135=ADVANCED_FACE('',(#34291),#18432,.T.); #329136=ADVANCED_FACE('',(#34292),#18433,.T.); #329137=ADVANCED_FACE('',(#34293),#18434,.T.); #329138=ADVANCED_FACE('',(#34294),#18435,.T.); #329139=ADVANCED_FACE('',(#34295),#18436,.T.); #329140=ADVANCED_FACE('',(#34296),#18437,.T.); #329141=ADVANCED_FACE('',(#34297),#18438,.T.); #329142=ADVANCED_FACE('',(#34298),#18439,.T.); #329143=ADVANCED_FACE('',(#34299),#18440,.T.); #329144=ADVANCED_FACE('',(#34300),#18441,.T.); #329145=ADVANCED_FACE('',(#34301),#18442,.T.); #329146=ADVANCED_FACE('',(#34302),#18443,.T.); #329147=ADVANCED_FACE('',(#34303),#18444,.T.); #329148=ADVANCED_FACE('',(#34304),#18445,.T.); #329149=ADVANCED_FACE('',(#34305),#18446,.T.); #329150=ADVANCED_FACE('',(#34306),#18447,.T.); #329151=ADVANCED_FACE('',(#34307),#18448,.T.); #329152=ADVANCED_FACE('',(#34308),#18449,.T.); #329153=ADVANCED_FACE('',(#34309),#18450,.T.); #329154=ADVANCED_FACE('',(#34310),#18451,.T.); #329155=ADVANCED_FACE('',(#34311),#18452,.T.); #329156=ADVANCED_FACE('',(#34312),#18453,.T.); #329157=ADVANCED_FACE('',(#34313),#18454,.T.); #329158=ADVANCED_FACE('',(#34314),#18455,.T.); #329159=ADVANCED_FACE('',(#34315),#18456,.T.); #329160=ADVANCED_FACE('',(#34316),#18457,.T.); #329161=ADVANCED_FACE('',(#34317),#18458,.T.); #329162=ADVANCED_FACE('',(#34318),#18459,.T.); #329163=ADVANCED_FACE('',(#34319),#18460,.T.); #329164=ADVANCED_FACE('',(#34320),#18461,.T.); #329165=ADVANCED_FACE('',(#34321),#18462,.T.); #329166=ADVANCED_FACE('',(#34322),#18463,.T.); #329167=ADVANCED_FACE('',(#34323),#18464,.T.); #329168=ADVANCED_FACE('',(#34324),#18465,.T.); #329169=ADVANCED_FACE('',(#34325),#18466,.T.); #329170=ADVANCED_FACE('',(#34326),#18467,.T.); #329171=ADVANCED_FACE('',(#34327),#18468,.T.); #329172=ADVANCED_FACE('',(#34328),#18469,.T.); #329173=ADVANCED_FACE('',(#34329),#18470,.T.); #329174=ADVANCED_FACE('',(#34330),#18471,.T.); #329175=ADVANCED_FACE('',(#34331),#18472,.T.); #329176=ADVANCED_FACE('',(#34332),#18473,.T.); #329177=ADVANCED_FACE('',(#34333),#18474,.T.); #329178=ADVANCED_FACE('',(#34334),#18475,.T.); #329179=ADVANCED_FACE('',(#34335),#18476,.T.); #329180=ADVANCED_FACE('',(#34336),#18477,.T.); #329181=ADVANCED_FACE('',(#34337),#18478,.T.); #329182=ADVANCED_FACE('',(#34338),#18479,.T.); #329183=ADVANCED_FACE('',(#34339,#6321),#18480,.T.); #329184=ADVANCED_FACE('',(#34340,#6322),#18481,.F.); #329185=ADVANCED_FACE('',(#34341),#314730,.F.); #329186=ADVANCED_FACE('',(#34342),#18482,.T.); #329187=ADVANCED_FACE('',(#34343),#18483,.T.); #329188=ADVANCED_FACE('',(#34344),#18484,.T.); #329189=ADVANCED_FACE('',(#34345),#18485,.T.); #329190=ADVANCED_FACE('',(#34346),#18486,.T.); #329191=ADVANCED_FACE('',(#34347),#18487,.T.); #329192=ADVANCED_FACE('',(#34348),#18488,.T.); #329193=ADVANCED_FACE('',(#34349),#18489,.T.); #329194=ADVANCED_FACE('',(#34350,#6323),#18490,.T.); #329195=ADVANCED_FACE('',(#34351,#6324),#18491,.F.); #329196=ADVANCED_FACE('',(#34352),#314731,.F.); #329197=ADVANCED_FACE('',(#34353),#18492,.T.); #329198=ADVANCED_FACE('',(#34354),#314732,.T.); #329199=ADVANCED_FACE('',(#34355),#314733,.T.); #329200=ADVANCED_FACE('',(#34356,#6325),#18493,.T.); #329201=ADVANCED_FACE('',(#34357,#6326),#18494,.F.); #329202=ADVANCED_FACE('',(#34358),#314734,.F.); #329203=ADVANCED_FACE('',(#34359),#18495,.T.); #329204=ADVANCED_FACE('',(#34360),#314735,.T.); #329205=ADVANCED_FACE('',(#34361),#314736,.T.); #329206=ADVANCED_FACE('',(#34362,#6327),#18496,.T.); #329207=ADVANCED_FACE('',(#34363,#6328),#18497,.F.); #329208=ADVANCED_FACE('',(#34364),#314737,.F.); #329209=ADVANCED_FACE('',(#34365),#18498,.T.); #329210=ADVANCED_FACE('',(#34366),#314738,.T.); #329211=ADVANCED_FACE('',(#34367),#314739,.T.); #329212=ADVANCED_FACE('',(#34368,#6329),#18499,.T.); #329213=ADVANCED_FACE('',(#34369,#6330),#18500,.F.); #329214=ADVANCED_FACE('',(#34370),#314740,.F.); #329215=ADVANCED_FACE('',(#34371),#18501,.T.); #329216=ADVANCED_FACE('',(#34372),#18502,.T.); #329217=ADVANCED_FACE('',(#34373),#18503,.T.); #329218=ADVANCED_FACE('',(#34374),#18504,.T.); #329219=ADVANCED_FACE('',(#34375,#6331),#18505,.T.); #329220=ADVANCED_FACE('',(#34376,#6332),#18506,.F.); #329221=ADVANCED_FACE('',(#34377),#314741,.F.); #329222=ADVANCED_FACE('',(#34378),#18507,.T.); #329223=ADVANCED_FACE('',(#34379),#18508,.T.); #329224=ADVANCED_FACE('',(#34380),#18509,.T.); #329225=ADVANCED_FACE('',(#34381),#18510,.T.); #329226=ADVANCED_FACE('',(#34382),#18511,.T.); #329227=ADVANCED_FACE('',(#34383),#18512,.T.); #329228=ADVANCED_FACE('',(#34384),#18513,.T.); #329229=ADVANCED_FACE('',(#34385),#18514,.T.); #329230=ADVANCED_FACE('',(#34386,#6333),#18515,.T.); #329231=ADVANCED_FACE('',(#34387,#6334),#18516,.F.); #329232=ADVANCED_FACE('',(#34388),#314742,.F.); #329233=ADVANCED_FACE('',(#34389),#18517,.T.); #329234=ADVANCED_FACE('',(#34390),#314743,.T.); #329235=ADVANCED_FACE('',(#34391),#314744,.T.); #329236=ADVANCED_FACE('',(#34392,#6335),#18518,.T.); #329237=ADVANCED_FACE('',(#34393,#6336),#18519,.F.); #329238=ADVANCED_FACE('',(#34394),#314745,.F.); #329239=ADVANCED_FACE('',(#34395),#18520,.T.); #329240=ADVANCED_FACE('',(#34396),#314746,.T.); #329241=ADVANCED_FACE('',(#34397),#314747,.T.); #329242=ADVANCED_FACE('',(#34398,#6337),#18521,.T.); #329243=ADVANCED_FACE('',(#34399,#6338),#18522,.F.); #329244=ADVANCED_FACE('',(#34400),#18523,.T.); #329245=ADVANCED_FACE('',(#34401),#18524,.T.); #329246=ADVANCED_FACE('',(#34402),#18525,.T.); #329247=ADVANCED_FACE('',(#34403),#18526,.T.); #329248=ADVANCED_FACE('',(#34404),#18527,.T.); #329249=ADVANCED_FACE('',(#34405),#18528,.F.); #329250=ADVANCED_FACE('',(#34406),#18529,.T.); #329251=ADVANCED_FACE('',(#34407),#18530,.T.); #329252=ADVANCED_FACE('',(#34408),#18531,.T.); #329253=ADVANCED_FACE('',(#34409),#18532,.T.); #329254=ADVANCED_FACE('',(#34410),#18533,.T.); #329255=ADVANCED_FACE('',(#34411),#18534,.F.); #329256=ADVANCED_FACE('',(#34412),#18535,.T.); #329257=ADVANCED_FACE('',(#34413),#18536,.T.); #329258=ADVANCED_FACE('',(#34414),#18537,.T.); #329259=ADVANCED_FACE('',(#34415),#18538,.T.); #329260=ADVANCED_FACE('',(#34416),#18539,.T.); #329261=ADVANCED_FACE('',(#34417),#18540,.F.); #329262=ADVANCED_FACE('',(#34418),#18541,.T.); #329263=ADVANCED_FACE('',(#34419),#18542,.T.); #329264=ADVANCED_FACE('',(#34420),#18543,.T.); #329265=ADVANCED_FACE('',(#34421),#18544,.T.); #329266=ADVANCED_FACE('',(#34422),#18545,.T.); #329267=ADVANCED_FACE('',(#34423),#18546,.F.); #329268=ADVANCED_FACE('',(#34424),#18547,.T.); #329269=ADVANCED_FACE('',(#34425),#18548,.T.); #329270=ADVANCED_FACE('',(#34426),#18549,.T.); #329271=ADVANCED_FACE('',(#34427),#18550,.T.); #329272=ADVANCED_FACE('',(#34428),#18551,.T.); #329273=ADVANCED_FACE('',(#34429),#18552,.F.); #329274=ADVANCED_FACE('',(#34430),#18553,.T.); #329275=ADVANCED_FACE('',(#34431),#18554,.T.); #329276=ADVANCED_FACE('',(#34432),#18555,.T.); #329277=ADVANCED_FACE('',(#34433),#18556,.T.); #329278=ADVANCED_FACE('',(#34434),#18557,.T.); #329279=ADVANCED_FACE('',(#34435),#18558,.F.); #329280=ADVANCED_FACE('',(#34436),#18559,.T.); #329281=ADVANCED_FACE('',(#34437),#18560,.T.); #329282=ADVANCED_FACE('',(#34438),#18561,.T.); #329283=ADVANCED_FACE('',(#34439),#18562,.T.); #329284=ADVANCED_FACE('',(#34440),#18563,.T.); #329285=ADVANCED_FACE('',(#34441),#18564,.F.); #329286=ADVANCED_FACE('',(#34442),#18565,.T.); #329287=ADVANCED_FACE('',(#34443),#18566,.T.); #329288=ADVANCED_FACE('',(#34444),#18567,.T.); #329289=ADVANCED_FACE('',(#34445),#18568,.T.); #329290=ADVANCED_FACE('',(#34446),#18569,.T.); #329291=ADVANCED_FACE('',(#34447),#18570,.F.); #329292=ADVANCED_FACE('',(#34448),#18571,.T.); #329293=ADVANCED_FACE('',(#34449),#18572,.T.); #329294=ADVANCED_FACE('',(#34450),#18573,.T.); #329295=ADVANCED_FACE('',(#34451),#18574,.T.); #329296=ADVANCED_FACE('',(#34452),#18575,.T.); #329297=ADVANCED_FACE('',(#34453),#18576,.F.); #329298=ADVANCED_FACE('',(#34454),#18577,.T.); #329299=ADVANCED_FACE('',(#34455),#18578,.T.); #329300=ADVANCED_FACE('',(#34456),#18579,.T.); #329301=ADVANCED_FACE('',(#34457),#18580,.T.); #329302=ADVANCED_FACE('',(#34458),#18581,.T.); #329303=ADVANCED_FACE('',(#34459),#18582,.F.); #329304=ADVANCED_FACE('',(#34460),#18583,.T.); #329305=ADVANCED_FACE('',(#34461),#18584,.T.); #329306=ADVANCED_FACE('',(#34462),#18585,.T.); #329307=ADVANCED_FACE('',(#34463),#18586,.T.); #329308=ADVANCED_FACE('',(#34464),#18587,.T.); #329309=ADVANCED_FACE('',(#34465),#18588,.F.); #329310=ADVANCED_FACE('',(#34466),#18589,.T.); #329311=ADVANCED_FACE('',(#34467),#18590,.T.); #329312=ADVANCED_FACE('',(#34468),#18591,.T.); #329313=ADVANCED_FACE('',(#34469),#18592,.T.); #329314=ADVANCED_FACE('',(#34470),#18593,.T.); #329315=ADVANCED_FACE('',(#34471),#18594,.F.); #329316=ADVANCED_FACE('',(#34472),#18595,.T.); #329317=ADVANCED_FACE('',(#34473),#18596,.T.); #329318=ADVANCED_FACE('',(#34474),#18597,.T.); #329319=ADVANCED_FACE('',(#34475),#18598,.T.); #329320=ADVANCED_FACE('',(#34476),#18599,.T.); #329321=ADVANCED_FACE('',(#34477),#18600,.F.); #329322=ADVANCED_FACE('',(#34478),#18601,.T.); #329323=ADVANCED_FACE('',(#34479),#18602,.T.); #329324=ADVANCED_FACE('',(#34480),#18603,.T.); #329325=ADVANCED_FACE('',(#34481),#18604,.T.); #329326=ADVANCED_FACE('',(#34482),#18605,.T.); #329327=ADVANCED_FACE('',(#34483),#18606,.F.); #329328=ADVANCED_FACE('',(#34484),#18607,.T.); #329329=ADVANCED_FACE('',(#34485),#18608,.T.); #329330=ADVANCED_FACE('',(#34486),#18609,.T.); #329331=ADVANCED_FACE('',(#34487),#18610,.T.); #329332=ADVANCED_FACE('',(#34488),#18611,.T.); #329333=ADVANCED_FACE('',(#34489),#18612,.F.); #329334=ADVANCED_FACE('',(#34490),#18613,.T.); #329335=ADVANCED_FACE('',(#34491),#18614,.T.); #329336=ADVANCED_FACE('',(#34492),#18615,.T.); #329337=ADVANCED_FACE('',(#34493),#18616,.T.); #329338=ADVANCED_FACE('',(#34494),#18617,.T.); #329339=ADVANCED_FACE('',(#34495),#18618,.F.); #329340=ADVANCED_FACE('',(#34496),#18619,.T.); #329341=ADVANCED_FACE('',(#34497),#18620,.T.); #329342=ADVANCED_FACE('',(#34498),#18621,.T.); #329343=ADVANCED_FACE('',(#34499),#18622,.T.); #329344=ADVANCED_FACE('',(#34500),#18623,.T.); #329345=ADVANCED_FACE('',(#34501),#18624,.F.); #329346=ADVANCED_FACE('',(#34502),#18625,.T.); #329347=ADVANCED_FACE('',(#34503),#18626,.T.); #329348=ADVANCED_FACE('',(#34504),#18627,.T.); #329349=ADVANCED_FACE('',(#34505),#18628,.T.); #329350=ADVANCED_FACE('',(#34506),#18629,.T.); #329351=ADVANCED_FACE('',(#34507),#18630,.F.); #329352=ADVANCED_FACE('',(#34508),#18631,.T.); #329353=ADVANCED_FACE('',(#34509),#18632,.T.); #329354=ADVANCED_FACE('',(#34510),#18633,.T.); #329355=ADVANCED_FACE('',(#34511),#18634,.T.); #329356=ADVANCED_FACE('',(#34512),#18635,.T.); #329357=ADVANCED_FACE('',(#34513),#18636,.F.); #329358=ADVANCED_FACE('',(#34514),#18637,.T.); #329359=ADVANCED_FACE('',(#34515),#18638,.T.); #329360=ADVANCED_FACE('',(#34516),#18639,.T.); #329361=ADVANCED_FACE('',(#34517),#18640,.T.); #329362=ADVANCED_FACE('',(#34518),#18641,.T.); #329363=ADVANCED_FACE('',(#34519),#18642,.F.); #329364=ADVANCED_FACE('',(#34520),#18643,.T.); #329365=ADVANCED_FACE('',(#34521),#18644,.T.); #329366=ADVANCED_FACE('',(#34522),#18645,.T.); #329367=ADVANCED_FACE('',(#34523),#18646,.T.); #329368=ADVANCED_FACE('',(#34524),#18647,.T.); #329369=ADVANCED_FACE('',(#34525),#18648,.F.); #329370=ADVANCED_FACE('',(#34526),#18649,.T.); #329371=ADVANCED_FACE('',(#34527),#18650,.T.); #329372=ADVANCED_FACE('',(#34528),#18651,.T.); #329373=ADVANCED_FACE('',(#34529),#18652,.T.); #329374=ADVANCED_FACE('',(#34530),#18653,.T.); #329375=ADVANCED_FACE('',(#34531),#18654,.F.); #329376=ADVANCED_FACE('',(#34532),#18655,.T.); #329377=ADVANCED_FACE('',(#34533),#18656,.T.); #329378=ADVANCED_FACE('',(#34534),#18657,.T.); #329379=ADVANCED_FACE('',(#34535),#18658,.T.); #329380=ADVANCED_FACE('',(#34536),#18659,.T.); #329381=ADVANCED_FACE('',(#34537),#18660,.F.); #329382=ADVANCED_FACE('',(#34538),#314748,.F.); #329383=ADVANCED_FACE('',(#34539),#314749,.F.); #329384=ADVANCED_FACE('',(#34540),#18661,.T.); #329385=ADVANCED_FACE('',(#34541),#18662,.T.); #329386=ADVANCED_FACE('',(#34542),#18663,.T.); #329387=ADVANCED_FACE('',(#34543),#18664,.T.); #329388=ADVANCED_FACE('',(#34544,#6339,#6340),#18665,.T.); #329389=ADVANCED_FACE('',(#34545,#6341,#6342),#18666,.F.); #329390=ADVANCED_FACE('',(#34546),#18667,.T.); #329391=ADVANCED_FACE('',(#34547),#18668,.T.); #329392=ADVANCED_FACE('',(#34548),#18669,.T.); #329393=ADVANCED_FACE('',(#34549),#18670,.T.); #329394=ADVANCED_FACE('',(#34550),#18671,.T.); #329395=ADVANCED_FACE('',(#34551),#18672,.F.); #329396=ADVANCED_FACE('',(#34552),#18673,.T.); #329397=ADVANCED_FACE('',(#34553),#18674,.T.); #329398=ADVANCED_FACE('',(#34554),#18675,.T.); #329399=ADVANCED_FACE('',(#34555),#18676,.T.); #329400=ADVANCED_FACE('',(#34556),#18677,.T.); #329401=ADVANCED_FACE('',(#34557),#18678,.F.); #329402=ADVANCED_FACE('',(#34558),#18679,.T.); #329403=ADVANCED_FACE('',(#34559),#18680,.T.); #329404=ADVANCED_FACE('',(#34560),#18681,.T.); #329405=ADVANCED_FACE('',(#34561),#18682,.T.); #329406=ADVANCED_FACE('',(#34562),#18683,.T.); #329407=ADVANCED_FACE('',(#34563),#18684,.F.); #329408=ADVANCED_FACE('',(#34564),#18685,.T.); #329409=ADVANCED_FACE('',(#34565),#18686,.T.); #329410=ADVANCED_FACE('',(#34566),#18687,.T.); #329411=ADVANCED_FACE('',(#34567),#18688,.T.); #329412=ADVANCED_FACE('',(#34568),#18689,.T.); #329413=ADVANCED_FACE('',(#34569),#18690,.F.); #329414=ADVANCED_FACE('',(#34570),#18691,.T.); #329415=ADVANCED_FACE('',(#34571),#18692,.T.); #329416=ADVANCED_FACE('',(#34572),#18693,.T.); #329417=ADVANCED_FACE('',(#34573),#18694,.T.); #329418=ADVANCED_FACE('',(#34574),#18695,.T.); #329419=ADVANCED_FACE('',(#34575),#18696,.F.); #329420=ADVANCED_FACE('',(#34576),#18697,.T.); #329421=ADVANCED_FACE('',(#34577),#18698,.T.); #329422=ADVANCED_FACE('',(#34578),#18699,.T.); #329423=ADVANCED_FACE('',(#34579),#18700,.T.); #329424=ADVANCED_FACE('',(#34580),#18701,.T.); #329425=ADVANCED_FACE('',(#34581),#18702,.F.); #329426=ADVANCED_FACE('',(#34582),#18703,.T.); #329427=ADVANCED_FACE('',(#34583),#18704,.T.); #329428=ADVANCED_FACE('',(#34584),#18705,.T.); #329429=ADVANCED_FACE('',(#34585),#18706,.T.); #329430=ADVANCED_FACE('',(#34586),#18707,.T.); #329431=ADVANCED_FACE('',(#34587),#18708,.F.); #329432=ADVANCED_FACE('',(#34588),#18709,.T.); #329433=ADVANCED_FACE('',(#34589),#18710,.T.); #329434=ADVANCED_FACE('',(#34590),#18711,.T.); #329435=ADVANCED_FACE('',(#34591),#18712,.T.); #329436=ADVANCED_FACE('',(#34592),#18713,.T.); #329437=ADVANCED_FACE('',(#34593),#18714,.F.); #329438=ADVANCED_FACE('',(#34594),#314750,.F.); #329439=ADVANCED_FACE('',(#34595),#314751,.F.); #329440=ADVANCED_FACE('',(#34596),#18715,.T.); #329441=ADVANCED_FACE('',(#34597),#18716,.T.); #329442=ADVANCED_FACE('',(#34598),#18717,.T.); #329443=ADVANCED_FACE('',(#34599),#18718,.T.); #329444=ADVANCED_FACE('',(#34600,#6343,#6344),#18719,.T.); #329445=ADVANCED_FACE('',(#34601,#6345,#6346),#18720,.F.); #329446=ADVANCED_FACE('',(#34602),#18721,.T.); #329447=ADVANCED_FACE('',(#34603),#18722,.T.); #329448=ADVANCED_FACE('',(#34604),#18723,.T.); #329449=ADVANCED_FACE('',(#34605),#18724,.T.); #329450=ADVANCED_FACE('',(#34606),#18725,.T.); #329451=ADVANCED_FACE('',(#34607),#18726,.F.); #329452=ADVANCED_FACE('',(#34608),#18727,.T.); #329453=ADVANCED_FACE('',(#34609),#18728,.T.); #329454=ADVANCED_FACE('',(#34610),#18729,.T.); #329455=ADVANCED_FACE('',(#34611),#18730,.T.); #329456=ADVANCED_FACE('',(#34612),#18731,.T.); #329457=ADVANCED_FACE('',(#34613),#18732,.F.); #329458=ADVANCED_FACE('',(#34614),#18733,.T.); #329459=ADVANCED_FACE('',(#34615),#18734,.T.); #329460=ADVANCED_FACE('',(#34616),#18735,.T.); #329461=ADVANCED_FACE('',(#34617),#18736,.T.); #329462=ADVANCED_FACE('',(#34618),#18737,.T.); #329463=ADVANCED_FACE('',(#34619),#18738,.F.); #329464=ADVANCED_FACE('',(#34620),#18739,.T.); #329465=ADVANCED_FACE('',(#34621),#18740,.T.); #329466=ADVANCED_FACE('',(#34622),#18741,.T.); #329467=ADVANCED_FACE('',(#34623),#18742,.T.); #329468=ADVANCED_FACE('',(#34624),#18743,.T.); #329469=ADVANCED_FACE('',(#34625),#18744,.F.); #329470=ADVANCED_FACE('',(#34626),#18745,.T.); #329471=ADVANCED_FACE('',(#34627),#18746,.T.); #329472=ADVANCED_FACE('',(#34628),#18747,.T.); #329473=ADVANCED_FACE('',(#34629),#18748,.T.); #329474=ADVANCED_FACE('',(#34630),#18749,.T.); #329475=ADVANCED_FACE('',(#34631),#18750,.F.); #329476=ADVANCED_FACE('',(#34632),#18751,.T.); #329477=ADVANCED_FACE('',(#34633),#18752,.T.); #329478=ADVANCED_FACE('',(#34634),#18753,.T.); #329479=ADVANCED_FACE('',(#34635),#18754,.T.); #329480=ADVANCED_FACE('',(#34636),#18755,.T.); #329481=ADVANCED_FACE('',(#34637),#18756,.F.); #329482=ADVANCED_FACE('',(#34638),#18757,.T.); #329483=ADVANCED_FACE('',(#34639),#18758,.T.); #329484=ADVANCED_FACE('',(#34640),#18759,.T.); #329485=ADVANCED_FACE('',(#34641),#18760,.T.); #329486=ADVANCED_FACE('',(#34642),#18761,.T.); #329487=ADVANCED_FACE('',(#34643),#18762,.F.); #329488=ADVANCED_FACE('',(#34644),#18763,.T.); #329489=ADVANCED_FACE('',(#34645),#18764,.T.); #329490=ADVANCED_FACE('',(#34646),#18765,.T.); #329491=ADVANCED_FACE('',(#34647),#18766,.T.); #329492=ADVANCED_FACE('',(#34648),#18767,.T.); #329493=ADVANCED_FACE('',(#34649),#18768,.F.); #329494=ADVANCED_FACE('',(#34650),#314752,.F.); #329495=ADVANCED_FACE('',(#34651),#18769,.T.); #329496=ADVANCED_FACE('',(#34652),#18770,.T.); #329497=ADVANCED_FACE('',(#34653),#18771,.T.); #329498=ADVANCED_FACE('',(#34654),#18772,.T.); #329499=ADVANCED_FACE('',(#34655,#6347),#18773,.T.); #329500=ADVANCED_FACE('',(#34656,#6348),#18774,.F.); #329501=ADVANCED_FACE('',(#34657),#18775,.T.); #329502=ADVANCED_FACE('',(#34658),#18776,.T.); #329503=ADVANCED_FACE('',(#34659),#18777,.T.); #329504=ADVANCED_FACE('',(#34660),#18778,.T.); #329505=ADVANCED_FACE('',(#34661),#18779,.T.); #329506=ADVANCED_FACE('',(#34662),#18780,.F.); #329507=ADVANCED_FACE('',(#34663),#18781,.T.); #329508=ADVANCED_FACE('',(#34664),#18782,.T.); #329509=ADVANCED_FACE('',(#34665),#18783,.T.); #329510=ADVANCED_FACE('',(#34666),#18784,.T.); #329511=ADVANCED_FACE('',(#34667),#18785,.T.); #329512=ADVANCED_FACE('',(#34668),#18786,.F.); #329513=ADVANCED_FACE('',(#34669),#18787,.T.); #329514=ADVANCED_FACE('',(#34670),#18788,.T.); #329515=ADVANCED_FACE('',(#34671),#18789,.T.); #329516=ADVANCED_FACE('',(#34672),#18790,.T.); #329517=ADVANCED_FACE('',(#34673),#18791,.T.); #329518=ADVANCED_FACE('',(#34674),#18792,.F.); #329519=ADVANCED_FACE('',(#34675),#18793,.T.); #329520=ADVANCED_FACE('',(#34676),#18794,.T.); #329521=ADVANCED_FACE('',(#34677),#18795,.T.); #329522=ADVANCED_FACE('',(#34678),#18796,.T.); #329523=ADVANCED_FACE('',(#34679),#18797,.T.); #329524=ADVANCED_FACE('',(#34680),#18798,.F.); #329525=ADVANCED_FACE('',(#34681),#314753,.F.); #329526=ADVANCED_FACE('',(#34682),#314754,.F.); #329527=ADVANCED_FACE('',(#34683),#314755,.F.); #329528=ADVANCED_FACE('',(#34684),#314756,.F.); #329529=ADVANCED_FACE('',(#34685),#314757,.F.); #329530=ADVANCED_FACE('',(#34686),#314758,.F.); #329531=ADVANCED_FACE('',(#34687),#314759,.F.); #329532=ADVANCED_FACE('',(#34688),#314760,.F.); #329533=ADVANCED_FACE('',(#34689),#314761,.F.); #329534=ADVANCED_FACE('',(#34690),#314762,.F.); #329535=ADVANCED_FACE('',(#34691),#314763,.F.); #329536=ADVANCED_FACE('',(#34692),#314764,.F.); #329537=ADVANCED_FACE('',(#34693),#314765,.F.); #329538=ADVANCED_FACE('',(#34694),#314766,.F.); #329539=ADVANCED_FACE('',(#34695),#314767,.F.); #329540=ADVANCED_FACE('',(#34696),#18799,.T.); #329541=ADVANCED_FACE('',(#34697),#18800,.T.); #329542=ADVANCED_FACE('',(#34698),#18801,.T.); #329543=ADVANCED_FACE('',(#34699),#18802,.T.); #329544=ADVANCED_FACE('',(#34700,#6349,#6350,#6351,#6352,#6353,#6354,#6355, #6356,#6357,#6358,#6359,#6360,#6361,#6362,#6363),#18803,.T.); #329545=ADVANCED_FACE('',(#34701,#6364,#6365,#6366,#6367,#6368,#6369,#6370, #6371,#6372,#6373,#6374,#6375,#6376,#6377,#6378),#18804,.F.); #329546=ADVANCED_FACE('',(#34702),#18805,.T.); #329547=ADVANCED_FACE('',(#34703),#18806,.T.); #329548=ADVANCED_FACE('',(#34704),#18807,.T.); #329549=ADVANCED_FACE('',(#34705),#18808,.T.); #329550=ADVANCED_FACE('',(#34706),#18809,.T.); #329551=ADVANCED_FACE('',(#34707),#18810,.F.); #329552=ADVANCED_FACE('',(#34708),#18811,.T.); #329553=ADVANCED_FACE('',(#34709),#18812,.T.); #329554=ADVANCED_FACE('',(#34710),#18813,.T.); #329555=ADVANCED_FACE('',(#34711),#18814,.T.); #329556=ADVANCED_FACE('',(#34712),#18815,.T.); #329557=ADVANCED_FACE('',(#34713),#18816,.F.); #329558=ADVANCED_FACE('',(#34714),#18817,.T.); #329559=ADVANCED_FACE('',(#34715),#18818,.T.); #329560=ADVANCED_FACE('',(#34716),#18819,.T.); #329561=ADVANCED_FACE('',(#34717),#18820,.T.); #329562=ADVANCED_FACE('',(#34718),#18821,.T.); #329563=ADVANCED_FACE('',(#34719),#18822,.F.); #329564=ADVANCED_FACE('',(#34720),#314768,.F.); #329565=ADVANCED_FACE('',(#34721),#18823,.T.); #329566=ADVANCED_FACE('',(#34722),#18824,.T.); #329567=ADVANCED_FACE('',(#34723),#18825,.T.); #329568=ADVANCED_FACE('',(#34724),#18826,.T.); #329569=ADVANCED_FACE('',(#34725,#6379),#18827,.T.); #329570=ADVANCED_FACE('',(#34726,#6380),#18828,.F.); #329571=ADVANCED_FACE('',(#34727),#18829,.T.); #329572=ADVANCED_FACE('',(#34728),#18830,.T.); #329573=ADVANCED_FACE('',(#34729),#18831,.T.); #329574=ADVANCED_FACE('',(#34730),#18832,.T.); #329575=ADVANCED_FACE('',(#34731),#18833,.T.); #329576=ADVANCED_FACE('',(#34732),#18834,.F.); #329577=ADVANCED_FACE('',(#34733),#18835,.T.); #329578=ADVANCED_FACE('',(#34734),#18836,.T.); #329579=ADVANCED_FACE('',(#34735),#18837,.T.); #329580=ADVANCED_FACE('',(#34736),#18838,.T.); #329581=ADVANCED_FACE('',(#34737),#18839,.T.); #329582=ADVANCED_FACE('',(#34738),#18840,.F.); #329583=ADVANCED_FACE('',(#34739),#18841,.T.); #329584=ADVANCED_FACE('',(#34740),#18842,.T.); #329585=ADVANCED_FACE('',(#34741),#18843,.T.); #329586=ADVANCED_FACE('',(#34742),#18844,.T.); #329587=ADVANCED_FACE('',(#34743),#18845,.T.); #329588=ADVANCED_FACE('',(#34744),#18846,.F.); #329589=ADVANCED_FACE('',(#34745),#18847,.T.); #329590=ADVANCED_FACE('',(#34746),#18848,.T.); #329591=ADVANCED_FACE('',(#34747),#18849,.T.); #329592=ADVANCED_FACE('',(#34748),#18850,.T.); #329593=ADVANCED_FACE('',(#34749),#18851,.T.); #329594=ADVANCED_FACE('',(#34750),#18852,.F.); #329595=ADVANCED_FACE('',(#34751),#314769,.F.); #329596=ADVANCED_FACE('',(#34752),#314770,.F.); #329597=ADVANCED_FACE('',(#34753),#314771,.F.); #329598=ADVANCED_FACE('',(#34754),#314772,.F.); #329599=ADVANCED_FACE('',(#34755),#314773,.F.); #329600=ADVANCED_FACE('',(#34756),#314774,.F.); #329601=ADVANCED_FACE('',(#34757),#314775,.F.); #329602=ADVANCED_FACE('',(#34758),#314776,.F.); #329603=ADVANCED_FACE('',(#34759),#314777,.F.); #329604=ADVANCED_FACE('',(#34760),#314778,.F.); #329605=ADVANCED_FACE('',(#34761),#314779,.F.); #329606=ADVANCED_FACE('',(#34762),#314780,.F.); #329607=ADVANCED_FACE('',(#34763),#314781,.F.); #329608=ADVANCED_FACE('',(#34764),#314782,.F.); #329609=ADVANCED_FACE('',(#34765),#314783,.F.); #329610=ADVANCED_FACE('',(#34766),#18853,.T.); #329611=ADVANCED_FACE('',(#34767),#18854,.T.); #329612=ADVANCED_FACE('',(#34768),#18855,.T.); #329613=ADVANCED_FACE('',(#34769),#18856,.T.); #329614=ADVANCED_FACE('',(#34770,#6381,#6382,#6383,#6384,#6385,#6386,#6387, #6388,#6389,#6390,#6391,#6392,#6393,#6394,#6395),#18857,.T.); #329615=ADVANCED_FACE('',(#34771,#6396,#6397,#6398,#6399,#6400,#6401,#6402, #6403,#6404,#6405,#6406,#6407,#6408,#6409,#6410),#18858,.F.); #329616=ADVANCED_FACE('',(#34772),#18859,.T.); #329617=ADVANCED_FACE('',(#34773),#18860,.T.); #329618=ADVANCED_FACE('',(#34774),#18861,.T.); #329619=ADVANCED_FACE('',(#34775),#18862,.T.); #329620=ADVANCED_FACE('',(#34776),#18863,.T.); #329621=ADVANCED_FACE('',(#34777),#18864,.F.); #329622=ADVANCED_FACE('',(#34778),#18865,.T.); #329623=ADVANCED_FACE('',(#34779),#18866,.T.); #329624=ADVANCED_FACE('',(#34780),#18867,.T.); #329625=ADVANCED_FACE('',(#34781),#18868,.T.); #329626=ADVANCED_FACE('',(#34782),#18869,.T.); #329627=ADVANCED_FACE('',(#34783),#18870,.F.); #329628=ADVANCED_FACE('',(#34784),#18871,.T.); #329629=ADVANCED_FACE('',(#34785),#18872,.T.); #329630=ADVANCED_FACE('',(#34786),#18873,.T.); #329631=ADVANCED_FACE('',(#34787),#18874,.T.); #329632=ADVANCED_FACE('',(#34788),#18875,.T.); #329633=ADVANCED_FACE('',(#34789),#18876,.F.); #329634=ADVANCED_FACE('',(#34790),#314784,.F.); #329635=ADVANCED_FACE('',(#34791),#18877,.T.); #329636=ADVANCED_FACE('',(#34792),#18878,.T.); #329637=ADVANCED_FACE('',(#34793),#18879,.T.); #329638=ADVANCED_FACE('',(#34794),#18880,.T.); #329639=ADVANCED_FACE('',(#34795,#6411),#18881,.T.); #329640=ADVANCED_FACE('',(#34796,#6412),#18882,.F.); #329641=ADVANCED_FACE('',(#34797),#314785,.F.); #329642=ADVANCED_FACE('',(#34798),#18883,.T.); #329643=ADVANCED_FACE('',(#34799),#18884,.T.); #329644=ADVANCED_FACE('',(#34800),#18885,.T.); #329645=ADVANCED_FACE('',(#34801),#18886,.T.); #329646=ADVANCED_FACE('',(#34802,#6413),#18887,.T.); #329647=ADVANCED_FACE('',(#34803,#6414),#18888,.F.); #329648=ADVANCED_FACE('',(#34804),#314786,.F.); #329649=ADVANCED_FACE('',(#34805),#18889,.T.); #329650=ADVANCED_FACE('',(#34806),#18890,.T.); #329651=ADVANCED_FACE('',(#34807),#18891,.T.); #329652=ADVANCED_FACE('',(#34808),#18892,.T.); #329653=ADVANCED_FACE('',(#34809,#6415),#18893,.T.); #329654=ADVANCED_FACE('',(#34810,#6416),#18894,.F.); #329655=ADVANCED_FACE('',(#34811),#314787,.F.); #329656=ADVANCED_FACE('',(#34812),#314788,.F.); #329657=ADVANCED_FACE('',(#34813),#314789,.F.); #329658=ADVANCED_FACE('',(#34814),#314790,.F.); #329659=ADVANCED_FACE('',(#34815),#314791,.F.); #329660=ADVANCED_FACE('',(#34816),#314792,.F.); #329661=ADVANCED_FACE('',(#34817),#314793,.F.); #329662=ADVANCED_FACE('',(#34818),#314794,.F.); #329663=ADVANCED_FACE('',(#34819),#314795,.F.); #329664=ADVANCED_FACE('',(#34820),#314796,.F.); #329665=ADVANCED_FACE('',(#34821),#314797,.F.); #329666=ADVANCED_FACE('',(#34822),#314798,.F.); #329667=ADVANCED_FACE('',(#34823),#314799,.F.); #329668=ADVANCED_FACE('',(#34824),#314800,.F.); #329669=ADVANCED_FACE('',(#34825),#314801,.F.); #329670=ADVANCED_FACE('',(#34826),#18895,.T.); #329671=ADVANCED_FACE('',(#34827),#18896,.T.); #329672=ADVANCED_FACE('',(#34828),#18897,.T.); #329673=ADVANCED_FACE('',(#34829),#18898,.T.); #329674=ADVANCED_FACE('',(#34830,#6417,#6418,#6419,#6420,#6421,#6422,#6423, #6424,#6425,#6426,#6427,#6428,#6429,#6430,#6431),#18899,.T.); #329675=ADVANCED_FACE('',(#34831,#6432,#6433,#6434,#6435,#6436,#6437,#6438, #6439,#6440,#6441,#6442,#6443,#6444,#6445,#6446),#18900,.F.); #329676=ADVANCED_FACE('',(#34832),#18901,.T.); #329677=ADVANCED_FACE('',(#34833),#18902,.T.); #329678=ADVANCED_FACE('',(#34834),#18903,.T.); #329679=ADVANCED_FACE('',(#34835),#18904,.T.); #329680=ADVANCED_FACE('',(#34836),#18905,.T.); #329681=ADVANCED_FACE('',(#34837),#18906,.F.); #329682=ADVANCED_FACE('',(#34838),#18907,.T.); #329683=ADVANCED_FACE('',(#34839),#18908,.T.); #329684=ADVANCED_FACE('',(#34840),#18909,.T.); #329685=ADVANCED_FACE('',(#34841),#18910,.T.); #329686=ADVANCED_FACE('',(#34842),#18911,.T.); #329687=ADVANCED_FACE('',(#34843),#18912,.F.); #329688=ADVANCED_FACE('',(#34844),#18913,.T.); #329689=ADVANCED_FACE('',(#34845),#18914,.T.); #329690=ADVANCED_FACE('',(#34846),#18915,.T.); #329691=ADVANCED_FACE('',(#34847),#18916,.T.); #329692=ADVANCED_FACE('',(#34848),#18917,.T.); #329693=ADVANCED_FACE('',(#34849),#18918,.F.); #329694=ADVANCED_FACE('',(#34850),#18919,.T.); #329695=ADVANCED_FACE('',(#34851),#18920,.T.); #329696=ADVANCED_FACE('',(#34852),#18921,.T.); #329697=ADVANCED_FACE('',(#34853),#18922,.T.); #329698=ADVANCED_FACE('',(#34854),#18923,.T.); #329699=ADVANCED_FACE('',(#34855),#18924,.F.); #329700=ADVANCED_FACE('',(#34856),#18925,.T.); #329701=ADVANCED_FACE('',(#34857),#18926,.T.); #329702=ADVANCED_FACE('',(#34858),#18927,.T.); #329703=ADVANCED_FACE('',(#34859),#18928,.T.); #329704=ADVANCED_FACE('',(#34860),#18929,.T.); #329705=ADVANCED_FACE('',(#34861),#18930,.F.); #329706=ADVANCED_FACE('',(#34862),#18931,.T.); #329707=ADVANCED_FACE('',(#34863),#18932,.T.); #329708=ADVANCED_FACE('',(#34864),#18933,.T.); #329709=ADVANCED_FACE('',(#34865),#18934,.T.); #329710=ADVANCED_FACE('',(#34866),#18935,.T.); #329711=ADVANCED_FACE('',(#34867),#18936,.F.); #329712=ADVANCED_FACE('',(#34868),#18937,.T.); #329713=ADVANCED_FACE('',(#34869),#18938,.T.); #329714=ADVANCED_FACE('',(#34870),#18939,.T.); #329715=ADVANCED_FACE('',(#34871),#18940,.T.); #329716=ADVANCED_FACE('',(#34872),#18941,.T.); #329717=ADVANCED_FACE('',(#34873),#18942,.F.); #329718=ADVANCED_FACE('',(#34874),#18943,.T.); #329719=ADVANCED_FACE('',(#34875),#18944,.T.); #329720=ADVANCED_FACE('',(#34876),#18945,.T.); #329721=ADVANCED_FACE('',(#34877),#18946,.T.); #329722=ADVANCED_FACE('',(#34878),#18947,.T.); #329723=ADVANCED_FACE('',(#34879),#18948,.F.); #329724=ADVANCED_FACE('',(#34880),#18949,.T.); #329725=ADVANCED_FACE('',(#34881),#18950,.T.); #329726=ADVANCED_FACE('',(#34882),#18951,.T.); #329727=ADVANCED_FACE('',(#34883),#18952,.T.); #329728=ADVANCED_FACE('',(#34884),#18953,.T.); #329729=ADVANCED_FACE('',(#34885),#18954,.F.); #329730=ADVANCED_FACE('',(#34886),#18955,.T.); #329731=ADVANCED_FACE('',(#34887),#18956,.T.); #329732=ADVANCED_FACE('',(#34888),#18957,.T.); #329733=ADVANCED_FACE('',(#34889),#18958,.T.); #329734=ADVANCED_FACE('',(#34890),#18959,.T.); #329735=ADVANCED_FACE('',(#34891),#18960,.F.); #329736=ADVANCED_FACE('',(#34892),#18961,.T.); #329737=ADVANCED_FACE('',(#34893),#18962,.T.); #329738=ADVANCED_FACE('',(#34894),#18963,.T.); #329739=ADVANCED_FACE('',(#34895),#18964,.T.); #329740=ADVANCED_FACE('',(#34896),#18965,.T.); #329741=ADVANCED_FACE('',(#34897),#18966,.F.); #329742=ADVANCED_FACE('',(#34898),#18967,.T.); #329743=ADVANCED_FACE('',(#34899),#18968,.T.); #329744=ADVANCED_FACE('',(#34900),#18969,.T.); #329745=ADVANCED_FACE('',(#34901),#18970,.T.); #329746=ADVANCED_FACE('',(#34902),#18971,.T.); #329747=ADVANCED_FACE('',(#34903),#18972,.F.); #329748=ADVANCED_FACE('',(#34904),#18973,.T.); #329749=ADVANCED_FACE('',(#34905),#18974,.T.); #329750=ADVANCED_FACE('',(#34906),#18975,.T.); #329751=ADVANCED_FACE('',(#34907),#18976,.T.); #329752=ADVANCED_FACE('',(#34908),#18977,.T.); #329753=ADVANCED_FACE('',(#34909),#18978,.F.); #329754=ADVANCED_FACE('',(#34910),#18979,.T.); #329755=ADVANCED_FACE('',(#34911),#18980,.T.); #329756=ADVANCED_FACE('',(#34912),#18981,.T.); #329757=ADVANCED_FACE('',(#34913),#18982,.T.); #329758=ADVANCED_FACE('',(#34914),#18983,.T.); #329759=ADVANCED_FACE('',(#34915),#18984,.F.); #329760=ADVANCED_FACE('',(#34916),#18985,.T.); #329761=ADVANCED_FACE('',(#34917),#18986,.T.); #329762=ADVANCED_FACE('',(#34918),#18987,.T.); #329763=ADVANCED_FACE('',(#34919),#18988,.T.); #329764=ADVANCED_FACE('',(#34920),#18989,.T.); #329765=ADVANCED_FACE('',(#34921),#18990,.F.); #329766=ADVANCED_FACE('',(#34922),#18991,.T.); #329767=ADVANCED_FACE('',(#34923),#18992,.T.); #329768=ADVANCED_FACE('',(#34924),#18993,.T.); #329769=ADVANCED_FACE('',(#34925),#18994,.T.); #329770=ADVANCED_FACE('',(#34926),#18995,.T.); #329771=ADVANCED_FACE('',(#34927),#18996,.F.); #329772=ADVANCED_FACE('',(#34928),#18997,.T.); #329773=ADVANCED_FACE('',(#34929),#18998,.T.); #329774=ADVANCED_FACE('',(#34930),#18999,.T.); #329775=ADVANCED_FACE('',(#34931),#19000,.T.); #329776=ADVANCED_FACE('',(#34932),#19001,.T.); #329777=ADVANCED_FACE('',(#34933),#19002,.F.); #329778=ADVANCED_FACE('',(#34934),#19003,.T.); #329779=ADVANCED_FACE('',(#34935),#19004,.T.); #329780=ADVANCED_FACE('',(#34936),#19005,.T.); #329781=ADVANCED_FACE('',(#34937),#19006,.T.); #329782=ADVANCED_FACE('',(#34938),#19007,.T.); #329783=ADVANCED_FACE('',(#34939),#19008,.F.); #329784=ADVANCED_FACE('',(#34940),#19009,.T.); #329785=ADVANCED_FACE('',(#34941),#19010,.T.); #329786=ADVANCED_FACE('',(#34942),#19011,.T.); #329787=ADVANCED_FACE('',(#34943),#19012,.T.); #329788=ADVANCED_FACE('',(#34944),#19013,.T.); #329789=ADVANCED_FACE('',(#34945),#19014,.F.); #329790=ADVANCED_FACE('',(#34946),#19015,.T.); #329791=ADVANCED_FACE('',(#34947),#19016,.T.); #329792=ADVANCED_FACE('',(#34948),#19017,.T.); #329793=ADVANCED_FACE('',(#34949),#19018,.T.); #329794=ADVANCED_FACE('',(#34950),#19019,.T.); #329795=ADVANCED_FACE('',(#34951),#19020,.F.); #329796=ADVANCED_FACE('',(#34952),#314802,.F.); #329797=ADVANCED_FACE('',(#34953),#314803,.F.); #329798=ADVANCED_FACE('',(#34954),#314804,.F.); #329799=ADVANCED_FACE('',(#34955),#314805,.F.); #329800=ADVANCED_FACE('',(#34956),#314806,.F.); #329801=ADVANCED_FACE('',(#34957),#314807,.F.); #329802=ADVANCED_FACE('',(#34958),#314808,.F.); #329803=ADVANCED_FACE('',(#34959),#314809,.F.); #329804=ADVANCED_FACE('',(#34960),#314810,.F.); #329805=ADVANCED_FACE('',(#34961),#314811,.F.); #329806=ADVANCED_FACE('',(#34962),#314812,.F.); #329807=ADVANCED_FACE('',(#34963),#314813,.F.); #329808=ADVANCED_FACE('',(#34964),#314814,.F.); #329809=ADVANCED_FACE('',(#34965),#314815,.F.); #329810=ADVANCED_FACE('',(#34966),#314816,.F.); #329811=ADVANCED_FACE('',(#34967),#19021,.T.); #329812=ADVANCED_FACE('',(#34968),#19022,.T.); #329813=ADVANCED_FACE('',(#34969),#19023,.T.); #329814=ADVANCED_FACE('',(#34970),#19024,.T.); #329815=ADVANCED_FACE('',(#34971,#6447,#6448,#6449,#6450,#6451,#6452,#6453, #6454,#6455,#6456,#6457,#6458,#6459,#6460,#6461),#19025,.T.); #329816=ADVANCED_FACE('',(#34972,#6462,#6463,#6464,#6465,#6466,#6467,#6468, #6469,#6470,#6471,#6472,#6473,#6474,#6475,#6476),#19026,.F.); #329817=ADVANCED_FACE('',(#34973),#19027,.T.); #329818=ADVANCED_FACE('',(#34974),#19028,.T.); #329819=ADVANCED_FACE('',(#34975),#19029,.T.); #329820=ADVANCED_FACE('',(#34976),#19030,.T.); #329821=ADVANCED_FACE('',(#34977),#19031,.T.); #329822=ADVANCED_FACE('',(#34978),#19032,.F.); #329823=ADVANCED_FACE('',(#34979),#19033,.T.); #329824=ADVANCED_FACE('',(#34980),#19034,.T.); #329825=ADVANCED_FACE('',(#34981),#19035,.T.); #329826=ADVANCED_FACE('',(#34982),#19036,.T.); #329827=ADVANCED_FACE('',(#34983),#19037,.T.); #329828=ADVANCED_FACE('',(#34984),#19038,.F.); #329829=ADVANCED_FACE('',(#34985),#19039,.T.); #329830=ADVANCED_FACE('',(#34986),#19040,.T.); #329831=ADVANCED_FACE('',(#34987),#19041,.T.); #329832=ADVANCED_FACE('',(#34988),#19042,.T.); #329833=ADVANCED_FACE('',(#34989),#19043,.T.); #329834=ADVANCED_FACE('',(#34990),#19044,.F.); #329835=ADVANCED_FACE('',(#34991),#19045,.T.); #329836=ADVANCED_FACE('',(#34992),#19046,.T.); #329837=ADVANCED_FACE('',(#34993),#19047,.T.); #329838=ADVANCED_FACE('',(#34994),#19048,.T.); #329839=ADVANCED_FACE('',(#34995),#19049,.T.); #329840=ADVANCED_FACE('',(#34996),#19050,.F.); #329841=ADVANCED_FACE('',(#34997),#19051,.T.); #329842=ADVANCED_FACE('',(#34998),#19052,.T.); #329843=ADVANCED_FACE('',(#34999),#19053,.T.); #329844=ADVANCED_FACE('',(#35000),#19054,.T.); #329845=ADVANCED_FACE('',(#35001),#19055,.T.); #329846=ADVANCED_FACE('',(#35002),#19056,.F.); #329847=ADVANCED_FACE('',(#35003),#19057,.T.); #329848=ADVANCED_FACE('',(#35004),#19058,.T.); #329849=ADVANCED_FACE('',(#35005),#19059,.T.); #329850=ADVANCED_FACE('',(#35006),#19060,.T.); #329851=ADVANCED_FACE('',(#35007),#19061,.T.); #329852=ADVANCED_FACE('',(#35008),#19062,.F.); #329853=ADVANCED_FACE('',(#35009),#314817,.F.); #329854=ADVANCED_FACE('',(#35010),#19063,.T.); #329855=ADVANCED_FACE('',(#35011),#19064,.T.); #329856=ADVANCED_FACE('',(#35012),#19065,.T.); #329857=ADVANCED_FACE('',(#35013),#19066,.T.); #329858=ADVANCED_FACE('',(#35014,#6477),#19067,.T.); #329859=ADVANCED_FACE('',(#35015,#6478),#19068,.F.); #329860=ADVANCED_FACE('',(#35016),#314818,.F.); #329861=ADVANCED_FACE('',(#35017),#314819,.F.); #329862=ADVANCED_FACE('',(#35018),#19069,.T.); #329863=ADVANCED_FACE('',(#35019),#19070,.T.); #329864=ADVANCED_FACE('',(#35020),#19071,.T.); #329865=ADVANCED_FACE('',(#35021),#19072,.T.); #329866=ADVANCED_FACE('',(#35022,#6479,#6480),#19073,.T.); #329867=ADVANCED_FACE('',(#35023,#6481,#6482),#19074,.F.); #329868=ADVANCED_FACE('',(#35024),#314820,.F.); #329869=ADVANCED_FACE('',(#35025),#314821,.F.); #329870=ADVANCED_FACE('',(#35026),#19075,.T.); #329871=ADVANCED_FACE('',(#35027),#19076,.T.); #329872=ADVANCED_FACE('',(#35028),#19077,.T.); #329873=ADVANCED_FACE('',(#35029),#19078,.T.); #329874=ADVANCED_FACE('',(#35030,#6483,#6484),#19079,.T.); #329875=ADVANCED_FACE('',(#35031,#6485,#6486),#19080,.F.); #329876=ADVANCED_FACE('',(#35032),#314822,.F.); #329877=ADVANCED_FACE('',(#35033),#19081,.T.); #329878=ADVANCED_FACE('',(#35034),#19082,.T.); #329879=ADVANCED_FACE('',(#35035),#19083,.T.); #329880=ADVANCED_FACE('',(#35036),#19084,.T.); #329881=ADVANCED_FACE('',(#35037,#6487),#19085,.T.); #329882=ADVANCED_FACE('',(#35038,#6488),#19086,.F.); #329883=ADVANCED_FACE('',(#35039),#19087,.T.); #329884=ADVANCED_FACE('',(#35040),#19088,.T.); #329885=ADVANCED_FACE('',(#35041),#19089,.T.); #329886=ADVANCED_FACE('',(#35042),#19090,.T.); #329887=ADVANCED_FACE('',(#35043),#19091,.T.); #329888=ADVANCED_FACE('',(#35044),#19092,.F.); #329889=ADVANCED_FACE('',(#35045),#314823,.F.); #329890=ADVANCED_FACE('',(#35046),#314824,.F.); #329891=ADVANCED_FACE('',(#35047),#314825,.F.); #329892=ADVANCED_FACE('',(#35048),#314826,.F.); #329893=ADVANCED_FACE('',(#35049),#314827,.F.); #329894=ADVANCED_FACE('',(#35050),#314828,.F.); #329895=ADVANCED_FACE('',(#35051),#314829,.F.); #329896=ADVANCED_FACE('',(#35052),#314830,.F.); #329897=ADVANCED_FACE('',(#35053),#314831,.F.); #329898=ADVANCED_FACE('',(#35054),#314832,.F.); #329899=ADVANCED_FACE('',(#35055),#314833,.F.); #329900=ADVANCED_FACE('',(#35056),#314834,.F.); #329901=ADVANCED_FACE('',(#35057),#314835,.F.); #329902=ADVANCED_FACE('',(#35058),#314836,.F.); #329903=ADVANCED_FACE('',(#35059),#314837,.F.); #329904=ADVANCED_FACE('',(#35060),#314838,.F.); #329905=ADVANCED_FACE('',(#35061),#314839,.F.); #329906=ADVANCED_FACE('',(#35062),#314840,.F.); #329907=ADVANCED_FACE('',(#35063),#314841,.F.); #329908=ADVANCED_FACE('',(#35064),#314842,.F.); #329909=ADVANCED_FACE('',(#35065),#314843,.F.); #329910=ADVANCED_FACE('',(#35066),#314844,.F.); #329911=ADVANCED_FACE('',(#35067),#314845,.F.); #329912=ADVANCED_FACE('',(#35068),#314846,.F.); #329913=ADVANCED_FACE('',(#35069),#314847,.F.); #329914=ADVANCED_FACE('',(#35070),#314848,.F.); #329915=ADVANCED_FACE('',(#35071),#314849,.F.); #329916=ADVANCED_FACE('',(#35072),#314850,.F.); #329917=ADVANCED_FACE('',(#35073),#314851,.F.); #329918=ADVANCED_FACE('',(#35074),#314852,.F.); #329919=ADVANCED_FACE('',(#35075),#314853,.F.); #329920=ADVANCED_FACE('',(#35076),#314854,.F.); #329921=ADVANCED_FACE('',(#35077),#314855,.F.); #329922=ADVANCED_FACE('',(#35078),#314856,.F.); #329923=ADVANCED_FACE('',(#35079),#314857,.F.); #329924=ADVANCED_FACE('',(#35080),#314858,.F.); #329925=ADVANCED_FACE('',(#35081),#314859,.F.); #329926=ADVANCED_FACE('',(#35082),#314860,.F.); #329927=ADVANCED_FACE('',(#35083),#314861,.F.); #329928=ADVANCED_FACE('',(#35084),#19093,.F.); #329929=ADVANCED_FACE('',(#35085),#314862,.F.); #329930=ADVANCED_FACE('',(#35086),#19094,.F.); #329931=ADVANCED_FACE('',(#35087),#314863,.F.); #329932=ADVANCED_FACE('',(#35088),#314864,.F.); #329933=ADVANCED_FACE('',(#35089),#19095,.F.); #329934=ADVANCED_FACE('',(#35090),#314865,.F.); #329935=ADVANCED_FACE('',(#35091),#19096,.F.); #329936=ADVANCED_FACE('',(#35092),#314866,.F.); #329937=ADVANCED_FACE('',(#35093),#314867,.F.); #329938=ADVANCED_FACE('',(#35094),#314868,.F.); #329939=ADVANCED_FACE('',(#35095),#314869,.F.); #329940=ADVANCED_FACE('',(#35096),#314870,.F.); #329941=ADVANCED_FACE('',(#35097),#314871,.F.); #329942=ADVANCED_FACE('',(#35098),#314872,.F.); #329943=ADVANCED_FACE('',(#35099),#314873,.F.); #329944=ADVANCED_FACE('',(#35100),#314874,.F.); #329945=ADVANCED_FACE('',(#35101),#314875,.F.); #329946=ADVANCED_FACE('',(#35102),#314876,.F.); #329947=ADVANCED_FACE('',(#35103),#314877,.F.); #329948=ADVANCED_FACE('',(#35104),#19097,.F.); #329949=ADVANCED_FACE('',(#35105),#314878,.F.); #329950=ADVANCED_FACE('',(#35106),#19098,.F.); #329951=ADVANCED_FACE('',(#35107),#314879,.F.); #329952=ADVANCED_FACE('',(#35108),#314880,.F.); #329953=ADVANCED_FACE('',(#35109),#19099,.F.); #329954=ADVANCED_FACE('',(#35110),#314881,.F.); #329955=ADVANCED_FACE('',(#35111),#19100,.F.); #329956=ADVANCED_FACE('',(#35112),#314882,.F.); #329957=ADVANCED_FACE('',(#35113),#314883,.F.); #329958=ADVANCED_FACE('',(#35114),#19101,.F.); #329959=ADVANCED_FACE('',(#35115),#314884,.F.); #329960=ADVANCED_FACE('',(#35116),#19102,.F.); #329961=ADVANCED_FACE('',(#35117),#314885,.F.); #329962=ADVANCED_FACE('',(#35118),#314886,.F.); #329963=ADVANCED_FACE('',(#35119),#19103,.F.); #329964=ADVANCED_FACE('',(#35120),#314887,.F.); #329965=ADVANCED_FACE('',(#35121),#19104,.F.); #329966=ADVANCED_FACE('',(#35122),#314888,.F.); #329967=ADVANCED_FACE('',(#35123),#314889,.F.); #329968=ADVANCED_FACE('',(#35124),#314890,.F.); #329969=ADVANCED_FACE('',(#35125),#314891,.F.); #329970=ADVANCED_FACE('',(#35126),#314892,.F.); #329971=ADVANCED_FACE('',(#35127),#314893,.F.); #329972=ADVANCED_FACE('',(#35128),#314894,.F.); #329973=ADVANCED_FACE('',(#35129),#314895,.F.); #329974=ADVANCED_FACE('',(#35130),#314896,.F.); #329975=ADVANCED_FACE('',(#35131),#314897,.F.); #329976=ADVANCED_FACE('',(#35132),#314898,.F.); #329977=ADVANCED_FACE('',(#35133),#314899,.F.); #329978=ADVANCED_FACE('',(#35134),#314900,.F.); #329979=ADVANCED_FACE('',(#35135),#314901,.F.); #329980=ADVANCED_FACE('',(#35136),#314902,.F.); #329981=ADVANCED_FACE('',(#35137),#314903,.F.); #329982=ADVANCED_FACE('',(#35138),#314904,.F.); #329983=ADVANCED_FACE('',(#35139),#314905,.F.); #329984=ADVANCED_FACE('',(#35140),#314906,.F.); #329985=ADVANCED_FACE('',(#35141),#314907,.F.); #329986=ADVANCED_FACE('',(#35142),#314908,.F.); #329987=ADVANCED_FACE('',(#35143),#314909,.F.); #329988=ADVANCED_FACE('',(#35144),#314910,.F.); #329989=ADVANCED_FACE('',(#35145),#314911,.F.); #329990=ADVANCED_FACE('',(#35146),#314912,.F.); #329991=ADVANCED_FACE('',(#35147),#314913,.F.); #329992=ADVANCED_FACE('',(#35148),#314914,.F.); #329993=ADVANCED_FACE('',(#35149),#314915,.F.); #329994=ADVANCED_FACE('',(#35150),#314916,.F.); #329995=ADVANCED_FACE('',(#35151),#314917,.F.); #329996=ADVANCED_FACE('',(#35152),#314918,.F.); #329997=ADVANCED_FACE('',(#35153),#314919,.F.); #329998=ADVANCED_FACE('',(#35154),#314920,.F.); #329999=ADVANCED_FACE('',(#35155),#314921,.F.); #330000=ADVANCED_FACE('',(#35156),#314922,.F.); #330001=ADVANCED_FACE('',(#35157),#314923,.F.); #330002=ADVANCED_FACE('',(#35158),#314924,.F.); #330003=ADVANCED_FACE('',(#35159),#314925,.F.); #330004=ADVANCED_FACE('',(#35160),#314926,.F.); #330005=ADVANCED_FACE('',(#35161),#314927,.F.); #330006=ADVANCED_FACE('',(#35162),#314928,.F.); #330007=ADVANCED_FACE('',(#35163),#314929,.F.); #330008=ADVANCED_FACE('',(#35164),#314930,.F.); #330009=ADVANCED_FACE('',(#35165),#314931,.F.); #330010=ADVANCED_FACE('',(#35166),#314932,.F.); #330011=ADVANCED_FACE('',(#35167),#314933,.F.); #330012=ADVANCED_FACE('',(#35168),#314934,.F.); #330013=ADVANCED_FACE('',(#35169),#314935,.F.); #330014=ADVANCED_FACE('',(#35170),#314936,.F.); #330015=ADVANCED_FACE('',(#35171),#314937,.F.); #330016=ADVANCED_FACE('',(#35172),#314938,.F.); #330017=ADVANCED_FACE('',(#35173),#314939,.F.); #330018=ADVANCED_FACE('',(#35174),#314940,.F.); #330019=ADVANCED_FACE('',(#35175),#314941,.F.); #330020=ADVANCED_FACE('',(#35176),#314942,.F.); #330021=ADVANCED_FACE('',(#35177),#314943,.F.); #330022=ADVANCED_FACE('',(#35178),#314944,.F.); #330023=ADVANCED_FACE('',(#35179),#314945,.F.); #330024=ADVANCED_FACE('',(#35180),#314946,.F.); #330025=ADVANCED_FACE('',(#35181),#314947,.F.); #330026=ADVANCED_FACE('',(#35182),#314948,.F.); #330027=ADVANCED_FACE('',(#35183),#314949,.F.); #330028=ADVANCED_FACE('',(#35184),#314950,.F.); #330029=ADVANCED_FACE('',(#35185),#314951,.F.); #330030=ADVANCED_FACE('',(#35186),#314952,.F.); #330031=ADVANCED_FACE('',(#35187),#314953,.F.); #330032=ADVANCED_FACE('',(#35188),#314954,.F.); #330033=ADVANCED_FACE('',(#35189),#314955,.F.); #330034=ADVANCED_FACE('',(#35190),#314956,.F.); #330035=ADVANCED_FACE('',(#35191),#314957,.F.); #330036=ADVANCED_FACE('',(#35192),#314958,.F.); #330037=ADVANCED_FACE('',(#35193),#314959,.F.); #330038=ADVANCED_FACE('',(#35194),#314960,.F.); #330039=ADVANCED_FACE('',(#35195),#314961,.F.); #330040=ADVANCED_FACE('',(#35196),#314962,.F.); #330041=ADVANCED_FACE('',(#35197),#314963,.F.); #330042=ADVANCED_FACE('',(#35198),#314964,.F.); #330043=ADVANCED_FACE('',(#35199),#314965,.F.); #330044=ADVANCED_FACE('',(#35200),#314966,.F.); #330045=ADVANCED_FACE('',(#35201),#314967,.F.); #330046=ADVANCED_FACE('',(#35202),#314968,.F.); #330047=ADVANCED_FACE('',(#35203),#314969,.F.); #330048=ADVANCED_FACE('',(#35204),#314970,.F.); #330049=ADVANCED_FACE('',(#35205),#314971,.F.); #330050=ADVANCED_FACE('',(#35206),#314972,.F.); #330051=ADVANCED_FACE('',(#35207),#314973,.F.); #330052=ADVANCED_FACE('',(#35208),#314974,.F.); #330053=ADVANCED_FACE('',(#35209),#314975,.F.); #330054=ADVANCED_FACE('',(#35210),#314976,.F.); #330055=ADVANCED_FACE('',(#35211),#314977,.F.); #330056=ADVANCED_FACE('',(#35212),#314978,.F.); #330057=ADVANCED_FACE('',(#35213),#314979,.F.); #330058=ADVANCED_FACE('',(#35214),#314980,.F.); #330059=ADVANCED_FACE('',(#35215),#314981,.F.); #330060=ADVANCED_FACE('',(#35216),#314982,.F.); #330061=ADVANCED_FACE('',(#35217),#314983,.F.); #330062=ADVANCED_FACE('',(#35218),#314984,.F.); #330063=ADVANCED_FACE('',(#35219),#314985,.F.); #330064=ADVANCED_FACE('',(#35220),#314986,.F.); #330065=ADVANCED_FACE('',(#35221),#314987,.F.); #330066=ADVANCED_FACE('',(#35222),#314988,.F.); #330067=ADVANCED_FACE('',(#35223),#314989,.F.); #330068=ADVANCED_FACE('',(#35224),#314990,.F.); #330069=ADVANCED_FACE('',(#35225),#314991,.F.); #330070=ADVANCED_FACE('',(#35226),#314992,.F.); #330071=ADVANCED_FACE('',(#35227),#314993,.F.); #330072=ADVANCED_FACE('',(#35228),#314994,.F.); #330073=ADVANCED_FACE('',(#35229),#314995,.F.); #330074=ADVANCED_FACE('',(#35230),#314996,.F.); #330075=ADVANCED_FACE('',(#35231),#314997,.F.); #330076=ADVANCED_FACE('',(#35232),#314998,.F.); #330077=ADVANCED_FACE('',(#35233),#314999,.F.); #330078=ADVANCED_FACE('',(#35234),#315000,.F.); #330079=ADVANCED_FACE('',(#35235),#315001,.F.); #330080=ADVANCED_FACE('',(#35236),#315002,.F.); #330081=ADVANCED_FACE('',(#35237),#315003,.F.); #330082=ADVANCED_FACE('',(#35238),#315004,.F.); #330083=ADVANCED_FACE('',(#35239),#315005,.F.); #330084=ADVANCED_FACE('',(#35240),#315006,.F.); #330085=ADVANCED_FACE('',(#35241),#315007,.F.); #330086=ADVANCED_FACE('',(#35242),#315008,.F.); #330087=ADVANCED_FACE('',(#35243),#315009,.F.); #330088=ADVANCED_FACE('',(#35244),#315010,.F.); #330089=ADVANCED_FACE('',(#35245),#315011,.F.); #330090=ADVANCED_FACE('',(#35246),#315012,.F.); #330091=ADVANCED_FACE('',(#35247),#315013,.F.); #330092=ADVANCED_FACE('',(#35248),#315014,.F.); #330093=ADVANCED_FACE('',(#35249),#315015,.F.); #330094=ADVANCED_FACE('',(#35250),#315016,.F.); #330095=ADVANCED_FACE('',(#35251),#315017,.F.); #330096=ADVANCED_FACE('',(#35252),#315018,.F.); #330097=ADVANCED_FACE('',(#35253),#315019,.F.); #330098=ADVANCED_FACE('',(#35254),#315020,.F.); #330099=ADVANCED_FACE('',(#35255),#315021,.F.); #330100=ADVANCED_FACE('',(#35256),#315022,.F.); #330101=ADVANCED_FACE('',(#35257),#315023,.F.); #330102=ADVANCED_FACE('',(#35258),#315024,.F.); #330103=ADVANCED_FACE('',(#35259),#315025,.F.); #330104=ADVANCED_FACE('',(#35260),#315026,.F.); #330105=ADVANCED_FACE('',(#35261),#315027,.F.); #330106=ADVANCED_FACE('',(#35262),#315028,.F.); #330107=ADVANCED_FACE('',(#35263),#315029,.F.); #330108=ADVANCED_FACE('',(#35264),#315030,.F.); #330109=ADVANCED_FACE('',(#35265),#315031,.F.); #330110=ADVANCED_FACE('',(#35266),#315032,.F.); #330111=ADVANCED_FACE('',(#35267),#315033,.F.); #330112=ADVANCED_FACE('',(#35268),#315034,.F.); #330113=ADVANCED_FACE('',(#35269),#315035,.F.); #330114=ADVANCED_FACE('',(#35270),#315036,.F.); #330115=ADVANCED_FACE('',(#35271),#315037,.F.); #330116=ADVANCED_FACE('',(#35272),#315038,.F.); #330117=ADVANCED_FACE('',(#35273),#315039,.F.); #330118=ADVANCED_FACE('',(#35274),#315040,.F.); #330119=ADVANCED_FACE('',(#35275),#315041,.F.); #330120=ADVANCED_FACE('',(#35276),#315042,.F.); #330121=ADVANCED_FACE('',(#35277),#315043,.F.); #330122=ADVANCED_FACE('',(#35278),#315044,.F.); #330123=ADVANCED_FACE('',(#35279),#315045,.F.); #330124=ADVANCED_FACE('',(#35280),#315046,.F.); #330125=ADVANCED_FACE('',(#35281),#315047,.F.); #330126=ADVANCED_FACE('',(#35282),#315048,.F.); #330127=ADVANCED_FACE('',(#35283),#315049,.F.); #330128=ADVANCED_FACE('',(#35284),#315050,.F.); #330129=ADVANCED_FACE('',(#35285),#315051,.F.); #330130=ADVANCED_FACE('',(#35286),#315052,.F.); #330131=ADVANCED_FACE('',(#35287),#315053,.F.); #330132=ADVANCED_FACE('',(#35288),#315054,.F.); #330133=ADVANCED_FACE('',(#35289),#315055,.F.); #330134=ADVANCED_FACE('',(#35290),#315056,.F.); #330135=ADVANCED_FACE('',(#35291),#315057,.F.); #330136=ADVANCED_FACE('',(#35292),#315058,.F.); #330137=ADVANCED_FACE('',(#35293),#315059,.F.); #330138=ADVANCED_FACE('',(#35294),#315060,.F.); #330139=ADVANCED_FACE('',(#35295),#315061,.F.); #330140=ADVANCED_FACE('',(#35296),#315062,.F.); #330141=ADVANCED_FACE('',(#35297),#315063,.F.); #330142=ADVANCED_FACE('',(#35298),#315064,.F.); #330143=ADVANCED_FACE('',(#35299),#315065,.F.); #330144=ADVANCED_FACE('',(#35300),#315066,.F.); #330145=ADVANCED_FACE('',(#35301),#315067,.F.); #330146=ADVANCED_FACE('',(#35302),#315068,.F.); #330147=ADVANCED_FACE('',(#35303),#315069,.F.); #330148=ADVANCED_FACE('',(#35304),#315070,.F.); #330149=ADVANCED_FACE('',(#35305),#315071,.F.); #330150=ADVANCED_FACE('',(#35306),#315072,.F.); #330151=ADVANCED_FACE('',(#35307),#315073,.F.); #330152=ADVANCED_FACE('',(#35308),#315074,.F.); #330153=ADVANCED_FACE('',(#35309),#315075,.F.); #330154=ADVANCED_FACE('',(#35310),#315076,.F.); #330155=ADVANCED_FACE('',(#35311),#315077,.F.); #330156=ADVANCED_FACE('',(#35312),#315078,.F.); #330157=ADVANCED_FACE('',(#35313),#315079,.F.); #330158=ADVANCED_FACE('',(#35314),#315080,.F.); #330159=ADVANCED_FACE('',(#35315),#315081,.F.); #330160=ADVANCED_FACE('',(#35316),#315082,.F.); #330161=ADVANCED_FACE('',(#35317),#315083,.F.); #330162=ADVANCED_FACE('',(#35318),#315084,.F.); #330163=ADVANCED_FACE('',(#35319),#315085,.F.); #330164=ADVANCED_FACE('',(#35320),#315086,.F.); #330165=ADVANCED_FACE('',(#35321),#315087,.F.); #330166=ADVANCED_FACE('',(#35322),#315088,.F.); #330167=ADVANCED_FACE('',(#35323),#315089,.F.); #330168=ADVANCED_FACE('',(#35324),#315090,.F.); #330169=ADVANCED_FACE('',(#35325),#315091,.F.); #330170=ADVANCED_FACE('',(#35326),#315092,.F.); #330171=ADVANCED_FACE('',(#35327),#315093,.F.); #330172=ADVANCED_FACE('',(#35328),#315094,.F.); #330173=ADVANCED_FACE('',(#35329),#315095,.F.); #330174=ADVANCED_FACE('',(#35330),#315096,.F.); #330175=ADVANCED_FACE('',(#35331),#315097,.F.); #330176=ADVANCED_FACE('',(#35332),#315098,.F.); #330177=ADVANCED_FACE('',(#35333),#315099,.F.); #330178=ADVANCED_FACE('',(#35334),#315100,.F.); #330179=ADVANCED_FACE('',(#35335),#315101,.F.); #330180=ADVANCED_FACE('',(#35336),#315102,.F.); #330181=ADVANCED_FACE('',(#35337),#315103,.F.); #330182=ADVANCED_FACE('',(#35338),#315104,.F.); #330183=ADVANCED_FACE('',(#35339),#315105,.F.); #330184=ADVANCED_FACE('',(#35340),#315106,.F.); #330185=ADVANCED_FACE('',(#35341),#315107,.F.); #330186=ADVANCED_FACE('',(#35342),#315108,.F.); #330187=ADVANCED_FACE('',(#35343),#315109,.F.); #330188=ADVANCED_FACE('',(#35344),#315110,.F.); #330189=ADVANCED_FACE('',(#35345),#315111,.F.); #330190=ADVANCED_FACE('',(#35346),#315112,.F.); #330191=ADVANCED_FACE('',(#35347),#315113,.F.); #330192=ADVANCED_FACE('',(#35348),#315114,.F.); #330193=ADVANCED_FACE('',(#35349),#315115,.F.); #330194=ADVANCED_FACE('',(#35350),#315116,.F.); #330195=ADVANCED_FACE('',(#35351),#315117,.F.); #330196=ADVANCED_FACE('',(#35352),#315118,.F.); #330197=ADVANCED_FACE('',(#35353),#315119,.F.); #330198=ADVANCED_FACE('',(#35354),#315120,.F.); #330199=ADVANCED_FACE('',(#35355),#315121,.F.); #330200=ADVANCED_FACE('',(#35356),#315122,.F.); #330201=ADVANCED_FACE('',(#35357),#315123,.F.); #330202=ADVANCED_FACE('',(#35358),#315124,.F.); #330203=ADVANCED_FACE('',(#35359),#315125,.F.); #330204=ADVANCED_FACE('',(#35360),#315126,.F.); #330205=ADVANCED_FACE('',(#35361),#315127,.F.); #330206=ADVANCED_FACE('',(#35362),#315128,.F.); #330207=ADVANCED_FACE('',(#35363),#315129,.F.); #330208=ADVANCED_FACE('',(#35364),#315130,.F.); #330209=ADVANCED_FACE('',(#35365),#315131,.F.); #330210=ADVANCED_FACE('',(#35366),#315132,.F.); #330211=ADVANCED_FACE('',(#35367),#315133,.F.); #330212=ADVANCED_FACE('',(#35368),#315134,.F.); #330213=ADVANCED_FACE('',(#35369),#315135,.F.); #330214=ADVANCED_FACE('',(#35370),#315136,.F.); #330215=ADVANCED_FACE('',(#35371),#315137,.F.); #330216=ADVANCED_FACE('',(#35372),#315138,.F.); #330217=ADVANCED_FACE('',(#35373),#315139,.F.); #330218=ADVANCED_FACE('',(#35374),#315140,.F.); #330219=ADVANCED_FACE('',(#35375),#315141,.F.); #330220=ADVANCED_FACE('',(#35376),#315142,.F.); #330221=ADVANCED_FACE('',(#35377),#315143,.F.); #330222=ADVANCED_FACE('',(#35378),#315144,.F.); #330223=ADVANCED_FACE('',(#35379),#315145,.F.); #330224=ADVANCED_FACE('',(#35380),#315146,.F.); #330225=ADVANCED_FACE('',(#35381),#315147,.F.); #330226=ADVANCED_FACE('',(#35382),#315148,.F.); #330227=ADVANCED_FACE('',(#35383),#315149,.F.); #330228=ADVANCED_FACE('',(#35384),#315150,.F.); #330229=ADVANCED_FACE('',(#35385),#315151,.F.); #330230=ADVANCED_FACE('',(#35386),#315152,.F.); #330231=ADVANCED_FACE('',(#35387),#315153,.F.); #330232=ADVANCED_FACE('',(#35388),#315154,.F.); #330233=ADVANCED_FACE('',(#35389),#315155,.F.); #330234=ADVANCED_FACE('',(#35390),#315156,.F.); #330235=ADVANCED_FACE('',(#35391),#315157,.F.); #330236=ADVANCED_FACE('',(#35392),#315158,.F.); #330237=ADVANCED_FACE('',(#35393),#315159,.F.); #330238=ADVANCED_FACE('',(#35394),#315160,.F.); #330239=ADVANCED_FACE('',(#35395),#315161,.T.); #330240=ADVANCED_FACE('',(#35396,#6489,#6490,#6491,#6492,#6493,#6494,#6495, #6496,#6497,#6498,#6499,#6500,#6501,#6502,#6503,#6504,#6505,#6506,#6507, #6508,#6509,#6510,#6511,#6512,#6513,#6514,#6515,#6516,#6517,#6518,#6519, #6520,#6521,#6522,#6523,#6524,#6525,#6526,#6527,#6528,#6529,#6530,#6531, #6532,#6533,#6534,#6535,#6536,#6537,#6538,#6539,#6540,#6541,#6542,#6543, #6544,#6545,#6546,#6547,#6548,#6549,#6550,#6551,#6552,#6553,#6554,#6555, #6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566,#6567, #6568,#6569,#6570,#6571,#6572,#6573,#6574,#6575,#6576,#6577,#6578,#6579, #6580,#6581,#6582,#6583,#6584,#6585,#6586,#6587,#6588,#6589,#6590,#6591, #6592,#6593,#6594,#6595,#6596,#6597,#6598,#6599,#6600,#6601,#6602,#6603, #6604,#6605,#6606,#6607,#6608,#6609,#6610,#6611,#6612,#6613,#6614,#6615, #6616,#6617,#6618,#6619,#6620,#6621,#6622,#6623,#6624,#6625,#6626,#6627, #6628,#6629,#6630,#6631,#6632,#6633,#6634,#6635,#6636,#6637,#6638,#6639, #6640,#6641,#6642,#6643,#6644,#6645,#6646,#6647,#6648,#6649,#6650,#6651, #6652,#6653,#6654,#6655,#6656,#6657,#6658,#6659,#6660,#6661,#6662,#6663, #6664,#6665,#6666,#6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674,#6675, #6676,#6677,#6678,#6679,#6680,#6681,#6682,#6683,#6684,#6685,#6686,#6687, #6688,#6689,#6690,#6691,#6692,#6693,#6694,#6695,#6696,#6697,#6698,#6699, #6700,#6701,#6702,#6703,#6704,#6705,#6706,#6707,#6708,#6709,#6710,#6711, #6712,#6713,#6714,#6715,#6716,#6717,#6718,#6719,#6720,#6721,#6722,#6723, #6724,#6725,#6726,#6727,#6728,#6729,#6730,#6731,#6732,#6733,#6734,#6735, #6736,#6737,#6738,#6739,#6740,#6741,#6742,#6743,#6744,#6745,#6746,#6747, #6748,#6749,#6750,#6751,#6752,#6753,#6754,#6755,#6756,#6757,#6758,#6759, #6760,#6761,#6762,#6763,#6764,#6765,#6766,#6767,#6768,#6769,#6770,#6771, #6772,#6773,#6774,#6775,#6776,#6777,#6778,#6779,#6780,#6781,#6782,#6783, #6784,#6785,#6786,#6787,#6788,#6789,#6790,#6791,#6792,#6793,#6794,#6795, #6796,#6797,#6798,#6799,#6800,#6801,#6802,#6803,#6804,#6805,#6806,#6807, #6808,#6809,#6810,#6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819, #6820),#19105,.T.); #330241=ADVANCED_FACE('',(#35397,#6821,#6822,#6823,#6824,#6825,#6826,#6827, #6828,#6829,#6830,#6831,#6832,#6833,#6834,#6835,#6836,#6837,#6838,#6839, #6840,#6841,#6842,#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850,#6851, #6852,#6853,#6854,#6855,#6856,#6857,#6858,#6859,#6860,#6861,#6862,#6863, #6864,#6865,#6866,#6867,#6868,#6869,#6870,#6871,#6872,#6873,#6874,#6875, #6876,#6877,#6878,#6879,#6880,#6881,#6882,#6883,#6884,#6885,#6886,#6887, #6888,#6889,#6890,#6891,#6892,#6893,#6894,#6895,#6896,#6897,#6898,#6899, #6900,#6901,#6902,#6903,#6904,#6905,#6906,#6907,#6908,#6909,#6910,#6911, #6912,#6913,#6914,#6915,#6916,#6917,#6918,#6919,#6920,#6921,#6922,#6923, #6924,#6925,#6926,#6927,#6928,#6929,#6930,#6931,#6932,#6933,#6934,#6935, #6936,#6937,#6938,#6939,#6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947, #6948,#6949,#6950,#6951,#6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959, #6960,#6961,#6962,#6963,#6964,#6965,#6966,#6967,#6968,#6969,#6970,#6971, #6972,#6973,#6974,#6975,#6976,#6977,#6978,#6979,#6980,#6981,#6982,#6983, #6984,#6985,#6986,#6987,#6988,#6989,#6990,#6991,#6992,#6993,#6994,#6995, #6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007, #7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019, #7020,#7021,#7022,#7023,#7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031, #7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043, #7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055, #7056,#7057,#7058,#7059,#7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067, #7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079, #7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091, #7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103, #7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115, #7116,#7117,#7118,#7119,#7120,#7121,#7122,#7123,#7124,#7125,#7126,#7127, #7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139, #7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151, #7152),#19106,.F.); #330242=ADVANCED_FACE('',(#35398),#315162,.F.); #330243=ADVANCED_FACE('',(#35399),#315163,.F.); #330244=ADVANCED_FACE('',(#35400),#315164,.F.); #330245=ADVANCED_FACE('',(#35401),#315165,.F.); #330246=ADVANCED_FACE('',(#35402),#315166,.F.); #330247=ADVANCED_FACE('',(#35403),#315167,.F.); #330248=ADVANCED_FACE('',(#35404),#315168,.F.); #330249=ADVANCED_FACE('',(#35405),#315169,.F.); #330250=ADVANCED_FACE('',(#35406),#315170,.F.); #330251=ADVANCED_FACE('',(#35407),#315171,.F.); #330252=ADVANCED_FACE('',(#35408),#315172,.F.); #330253=ADVANCED_FACE('',(#35409),#315173,.F.); #330254=ADVANCED_FACE('',(#35410),#315174,.F.); #330255=ADVANCED_FACE('',(#35411),#315175,.F.); #330256=ADVANCED_FACE('',(#35412),#315176,.F.); #330257=ADVANCED_FACE('',(#35413),#315177,.F.); #330258=ADVANCED_FACE('',(#35414),#315178,.F.); #330259=ADVANCED_FACE('',(#35415),#315179,.F.); #330260=ADVANCED_FACE('',(#35416),#315180,.F.); #330261=ADVANCED_FACE('',(#35417),#315181,.F.); #330262=ADVANCED_FACE('',(#35418),#315182,.F.); #330263=ADVANCED_FACE('',(#35419),#315183,.F.); #330264=ADVANCED_FACE('',(#35420),#315184,.F.); #330265=ADVANCED_FACE('',(#35421),#315185,.F.); #330266=ADVANCED_FACE('',(#35422),#315186,.F.); #330267=ADVANCED_FACE('',(#35423),#315187,.F.); #330268=ADVANCED_FACE('',(#35424),#315188,.F.); #330269=ADVANCED_FACE('',(#35425),#315189,.F.); #330270=ADVANCED_FACE('',(#35426),#315190,.F.); #330271=ADVANCED_FACE('',(#35427),#315191,.F.); #330272=ADVANCED_FACE('',(#35428),#315192,.F.); #330273=ADVANCED_FACE('',(#35429),#315193,.F.); #330274=ADVANCED_FACE('',(#35430),#315194,.F.); #330275=ADVANCED_FACE('',(#35431),#315195,.F.); #330276=ADVANCED_FACE('',(#35432),#315196,.F.); #330277=ADVANCED_FACE('',(#35433),#315197,.F.); #330278=ADVANCED_FACE('',(#35434),#315198,.F.); #330279=ADVANCED_FACE('',(#35435),#315199,.F.); #330280=ADVANCED_FACE('',(#35436),#315200,.F.); #330281=ADVANCED_FACE('',(#35437),#19107,.F.); #330282=ADVANCED_FACE('',(#35438),#315201,.F.); #330283=ADVANCED_FACE('',(#35439),#19108,.F.); #330284=ADVANCED_FACE('',(#35440),#315202,.F.); #330285=ADVANCED_FACE('',(#35441),#315203,.F.); #330286=ADVANCED_FACE('',(#35442),#19109,.F.); #330287=ADVANCED_FACE('',(#35443),#315204,.F.); #330288=ADVANCED_FACE('',(#35444),#19110,.F.); #330289=ADVANCED_FACE('',(#35445),#315205,.F.); #330290=ADVANCED_FACE('',(#35446),#315206,.F.); #330291=ADVANCED_FACE('',(#35447),#315207,.F.); #330292=ADVANCED_FACE('',(#35448),#315208,.F.); #330293=ADVANCED_FACE('',(#35449),#315209,.F.); #330294=ADVANCED_FACE('',(#35450),#315210,.F.); #330295=ADVANCED_FACE('',(#35451),#315211,.F.); #330296=ADVANCED_FACE('',(#35452),#315212,.F.); #330297=ADVANCED_FACE('',(#35453),#315213,.F.); #330298=ADVANCED_FACE('',(#35454),#315214,.F.); #330299=ADVANCED_FACE('',(#35455),#315215,.F.); #330300=ADVANCED_FACE('',(#35456),#315216,.F.); #330301=ADVANCED_FACE('',(#35457),#19111,.F.); #330302=ADVANCED_FACE('',(#35458),#315217,.F.); #330303=ADVANCED_FACE('',(#35459),#19112,.F.); #330304=ADVANCED_FACE('',(#35460),#315218,.F.); #330305=ADVANCED_FACE('',(#35461),#315219,.F.); #330306=ADVANCED_FACE('',(#35462),#19113,.F.); #330307=ADVANCED_FACE('',(#35463),#315220,.F.); #330308=ADVANCED_FACE('',(#35464),#19114,.F.); #330309=ADVANCED_FACE('',(#35465),#315221,.F.); #330310=ADVANCED_FACE('',(#35466),#315222,.F.); #330311=ADVANCED_FACE('',(#35467),#19115,.F.); #330312=ADVANCED_FACE('',(#35468),#315223,.F.); #330313=ADVANCED_FACE('',(#35469),#19116,.F.); #330314=ADVANCED_FACE('',(#35470),#315224,.F.); #330315=ADVANCED_FACE('',(#35471),#315225,.F.); #330316=ADVANCED_FACE('',(#35472),#19117,.F.); #330317=ADVANCED_FACE('',(#35473),#315226,.F.); #330318=ADVANCED_FACE('',(#35474),#19118,.F.); #330319=ADVANCED_FACE('',(#35475),#315227,.F.); #330320=ADVANCED_FACE('',(#35476),#315228,.F.); #330321=ADVANCED_FACE('',(#35477),#315229,.F.); #330322=ADVANCED_FACE('',(#35478),#315230,.F.); #330323=ADVANCED_FACE('',(#35479),#315231,.F.); #330324=ADVANCED_FACE('',(#35480),#315232,.F.); #330325=ADVANCED_FACE('',(#35481),#315233,.F.); #330326=ADVANCED_FACE('',(#35482),#315234,.F.); #330327=ADVANCED_FACE('',(#35483),#315235,.F.); #330328=ADVANCED_FACE('',(#35484),#315236,.F.); #330329=ADVANCED_FACE('',(#35485),#315237,.F.); #330330=ADVANCED_FACE('',(#35486),#315238,.F.); #330331=ADVANCED_FACE('',(#35487),#315239,.F.); #330332=ADVANCED_FACE('',(#35488),#315240,.F.); #330333=ADVANCED_FACE('',(#35489),#315241,.F.); #330334=ADVANCED_FACE('',(#35490),#315242,.F.); #330335=ADVANCED_FACE('',(#35491),#315243,.F.); #330336=ADVANCED_FACE('',(#35492),#315244,.F.); #330337=ADVANCED_FACE('',(#35493),#315245,.F.); #330338=ADVANCED_FACE('',(#35494),#315246,.F.); #330339=ADVANCED_FACE('',(#35495),#315247,.F.); #330340=ADVANCED_FACE('',(#35496),#315248,.F.); #330341=ADVANCED_FACE('',(#35497),#315249,.F.); #330342=ADVANCED_FACE('',(#35498),#315250,.F.); #330343=ADVANCED_FACE('',(#35499),#315251,.F.); #330344=ADVANCED_FACE('',(#35500),#315252,.F.); #330345=ADVANCED_FACE('',(#35501),#315253,.F.); #330346=ADVANCED_FACE('',(#35502),#315254,.F.); #330347=ADVANCED_FACE('',(#35503),#315255,.F.); #330348=ADVANCED_FACE('',(#35504),#315256,.F.); #330349=ADVANCED_FACE('',(#35505),#315257,.F.); #330350=ADVANCED_FACE('',(#35506),#315258,.F.); #330351=ADVANCED_FACE('',(#35507),#315259,.F.); #330352=ADVANCED_FACE('',(#35508),#315260,.F.); #330353=ADVANCED_FACE('',(#35509),#315261,.F.); #330354=ADVANCED_FACE('',(#35510),#315262,.F.); #330355=ADVANCED_FACE('',(#35511),#315263,.F.); #330356=ADVANCED_FACE('',(#35512),#315264,.F.); #330357=ADVANCED_FACE('',(#35513),#315265,.F.); #330358=ADVANCED_FACE('',(#35514),#315266,.F.); #330359=ADVANCED_FACE('',(#35515),#315267,.F.); #330360=ADVANCED_FACE('',(#35516),#315268,.F.); #330361=ADVANCED_FACE('',(#35517),#315269,.F.); #330362=ADVANCED_FACE('',(#35518),#315270,.F.); #330363=ADVANCED_FACE('',(#35519),#315271,.F.); #330364=ADVANCED_FACE('',(#35520),#315272,.F.); #330365=ADVANCED_FACE('',(#35521),#315273,.F.); #330366=ADVANCED_FACE('',(#35522),#315274,.F.); #330367=ADVANCED_FACE('',(#35523),#315275,.F.); #330368=ADVANCED_FACE('',(#35524),#315276,.F.); #330369=ADVANCED_FACE('',(#35525),#315277,.F.); #330370=ADVANCED_FACE('',(#35526),#315278,.F.); #330371=ADVANCED_FACE('',(#35527),#315279,.F.); #330372=ADVANCED_FACE('',(#35528),#315280,.F.); #330373=ADVANCED_FACE('',(#35529),#315281,.F.); #330374=ADVANCED_FACE('',(#35530),#315282,.F.); #330375=ADVANCED_FACE('',(#35531),#315283,.F.); #330376=ADVANCED_FACE('',(#35532),#315284,.F.); #330377=ADVANCED_FACE('',(#35533),#315285,.F.); #330378=ADVANCED_FACE('',(#35534),#315286,.F.); #330379=ADVANCED_FACE('',(#35535),#315287,.F.); #330380=ADVANCED_FACE('',(#35536),#315288,.F.); #330381=ADVANCED_FACE('',(#35537),#315289,.F.); #330382=ADVANCED_FACE('',(#35538),#315290,.F.); #330383=ADVANCED_FACE('',(#35539),#315291,.F.); #330384=ADVANCED_FACE('',(#35540),#315292,.F.); #330385=ADVANCED_FACE('',(#35541),#315293,.F.); #330386=ADVANCED_FACE('',(#35542),#315294,.F.); #330387=ADVANCED_FACE('',(#35543),#315295,.F.); #330388=ADVANCED_FACE('',(#35544),#315296,.F.); #330389=ADVANCED_FACE('',(#35545),#315297,.F.); #330390=ADVANCED_FACE('',(#35546),#315298,.F.); #330391=ADVANCED_FACE('',(#35547),#315299,.F.); #330392=ADVANCED_FACE('',(#35548),#315300,.F.); #330393=ADVANCED_FACE('',(#35549),#315301,.F.); #330394=ADVANCED_FACE('',(#35550),#315302,.F.); #330395=ADVANCED_FACE('',(#35551),#315303,.F.); #330396=ADVANCED_FACE('',(#35552),#315304,.F.); #330397=ADVANCED_FACE('',(#35553),#315305,.F.); #330398=ADVANCED_FACE('',(#35554),#315306,.F.); #330399=ADVANCED_FACE('',(#35555),#315307,.F.); #330400=ADVANCED_FACE('',(#35556),#315308,.F.); #330401=ADVANCED_FACE('',(#35557),#315309,.F.); #330402=ADVANCED_FACE('',(#35558),#315310,.F.); #330403=ADVANCED_FACE('',(#35559),#315311,.F.); #330404=ADVANCED_FACE('',(#35560),#315312,.F.); #330405=ADVANCED_FACE('',(#35561),#315313,.F.); #330406=ADVANCED_FACE('',(#35562),#315314,.F.); #330407=ADVANCED_FACE('',(#35563),#315315,.F.); #330408=ADVANCED_FACE('',(#35564),#315316,.F.); #330409=ADVANCED_FACE('',(#35565),#315317,.F.); #330410=ADVANCED_FACE('',(#35566),#315318,.F.); #330411=ADVANCED_FACE('',(#35567),#315319,.F.); #330412=ADVANCED_FACE('',(#35568),#315320,.F.); #330413=ADVANCED_FACE('',(#35569),#315321,.F.); #330414=ADVANCED_FACE('',(#35570),#315322,.F.); #330415=ADVANCED_FACE('',(#35571),#315323,.F.); #330416=ADVANCED_FACE('',(#35572),#315324,.F.); #330417=ADVANCED_FACE('',(#35573),#315325,.F.); #330418=ADVANCED_FACE('',(#35574),#315326,.F.); #330419=ADVANCED_FACE('',(#35575),#315327,.F.); #330420=ADVANCED_FACE('',(#35576),#315328,.F.); #330421=ADVANCED_FACE('',(#35577),#315329,.F.); #330422=ADVANCED_FACE('',(#35578),#315330,.F.); #330423=ADVANCED_FACE('',(#35579),#315331,.F.); #330424=ADVANCED_FACE('',(#35580),#315332,.F.); #330425=ADVANCED_FACE('',(#35581),#315333,.F.); #330426=ADVANCED_FACE('',(#35582),#315334,.F.); #330427=ADVANCED_FACE('',(#35583),#315335,.F.); #330428=ADVANCED_FACE('',(#35584),#315336,.F.); #330429=ADVANCED_FACE('',(#35585),#315337,.F.); #330430=ADVANCED_FACE('',(#35586),#315338,.F.); #330431=ADVANCED_FACE('',(#35587),#315339,.F.); #330432=ADVANCED_FACE('',(#35588),#315340,.F.); #330433=ADVANCED_FACE('',(#35589),#315341,.F.); #330434=ADVANCED_FACE('',(#35590),#315342,.F.); #330435=ADVANCED_FACE('',(#35591),#315343,.F.); #330436=ADVANCED_FACE('',(#35592),#315344,.F.); #330437=ADVANCED_FACE('',(#35593),#315345,.F.); #330438=ADVANCED_FACE('',(#35594),#315346,.F.); #330439=ADVANCED_FACE('',(#35595),#315347,.F.); #330440=ADVANCED_FACE('',(#35596),#315348,.F.); #330441=ADVANCED_FACE('',(#35597),#315349,.F.); #330442=ADVANCED_FACE('',(#35598),#315350,.F.); #330443=ADVANCED_FACE('',(#35599),#315351,.F.); #330444=ADVANCED_FACE('',(#35600),#315352,.F.); #330445=ADVANCED_FACE('',(#35601),#315353,.F.); #330446=ADVANCED_FACE('',(#35602),#315354,.F.); #330447=ADVANCED_FACE('',(#35603),#315355,.F.); #330448=ADVANCED_FACE('',(#35604),#315356,.F.); #330449=ADVANCED_FACE('',(#35605),#315357,.F.); #330450=ADVANCED_FACE('',(#35606),#315358,.F.); #330451=ADVANCED_FACE('',(#35607),#315359,.F.); #330452=ADVANCED_FACE('',(#35608),#315360,.F.); #330453=ADVANCED_FACE('',(#35609),#315361,.F.); #330454=ADVANCED_FACE('',(#35610),#315362,.F.); #330455=ADVANCED_FACE('',(#35611),#315363,.F.); #330456=ADVANCED_FACE('',(#35612),#315364,.F.); #330457=ADVANCED_FACE('',(#35613),#315365,.F.); #330458=ADVANCED_FACE('',(#35614),#315366,.F.); #330459=ADVANCED_FACE('',(#35615),#315367,.F.); #330460=ADVANCED_FACE('',(#35616),#315368,.F.); #330461=ADVANCED_FACE('',(#35617),#315369,.F.); #330462=ADVANCED_FACE('',(#35618),#315370,.F.); #330463=ADVANCED_FACE('',(#35619),#315371,.F.); #330464=ADVANCED_FACE('',(#35620),#315372,.F.); #330465=ADVANCED_FACE('',(#35621),#315373,.F.); #330466=ADVANCED_FACE('',(#35622),#315374,.F.); #330467=ADVANCED_FACE('',(#35623),#315375,.F.); #330468=ADVANCED_FACE('',(#35624),#315376,.F.); #330469=ADVANCED_FACE('',(#35625),#315377,.F.); #330470=ADVANCED_FACE('',(#35626),#315378,.F.); #330471=ADVANCED_FACE('',(#35627),#315379,.F.); #330472=ADVANCED_FACE('',(#35628),#315380,.F.); #330473=ADVANCED_FACE('',(#35629),#315381,.F.); #330474=ADVANCED_FACE('',(#35630),#315382,.F.); #330475=ADVANCED_FACE('',(#35631),#315383,.F.); #330476=ADVANCED_FACE('',(#35632),#315384,.F.); #330477=ADVANCED_FACE('',(#35633),#315385,.F.); #330478=ADVANCED_FACE('',(#35634),#315386,.F.); #330479=ADVANCED_FACE('',(#35635),#315387,.F.); #330480=ADVANCED_FACE('',(#35636),#315388,.F.); #330481=ADVANCED_FACE('',(#35637),#315389,.F.); #330482=ADVANCED_FACE('',(#35638),#315390,.F.); #330483=ADVANCED_FACE('',(#35639),#315391,.F.); #330484=ADVANCED_FACE('',(#35640),#315392,.F.); #330485=ADVANCED_FACE('',(#35641),#315393,.F.); #330486=ADVANCED_FACE('',(#35642),#315394,.F.); #330487=ADVANCED_FACE('',(#35643),#315395,.F.); #330488=ADVANCED_FACE('',(#35644),#315396,.F.); #330489=ADVANCED_FACE('',(#35645),#315397,.F.); #330490=ADVANCED_FACE('',(#35646),#315398,.F.); #330491=ADVANCED_FACE('',(#35647),#315399,.F.); #330492=ADVANCED_FACE('',(#35648),#315400,.F.); #330493=ADVANCED_FACE('',(#35649),#315401,.F.); #330494=ADVANCED_FACE('',(#35650),#315402,.F.); #330495=ADVANCED_FACE('',(#35651),#315403,.F.); #330496=ADVANCED_FACE('',(#35652),#315404,.F.); #330497=ADVANCED_FACE('',(#35653),#315405,.F.); #330498=ADVANCED_FACE('',(#35654),#315406,.F.); #330499=ADVANCED_FACE('',(#35655),#315407,.F.); #330500=ADVANCED_FACE('',(#35656),#315408,.F.); #330501=ADVANCED_FACE('',(#35657),#315409,.F.); #330502=ADVANCED_FACE('',(#35658),#315410,.F.); #330503=ADVANCED_FACE('',(#35659),#315411,.F.); #330504=ADVANCED_FACE('',(#35660),#315412,.F.); #330505=ADVANCED_FACE('',(#35661),#315413,.F.); #330506=ADVANCED_FACE('',(#35662),#315414,.F.); #330507=ADVANCED_FACE('',(#35663),#315415,.F.); #330508=ADVANCED_FACE('',(#35664),#315416,.F.); #330509=ADVANCED_FACE('',(#35665),#315417,.F.); #330510=ADVANCED_FACE('',(#35666),#315418,.F.); #330511=ADVANCED_FACE('',(#35667),#315419,.F.); #330512=ADVANCED_FACE('',(#35668),#315420,.F.); #330513=ADVANCED_FACE('',(#35669),#315421,.F.); #330514=ADVANCED_FACE('',(#35670),#315422,.F.); #330515=ADVANCED_FACE('',(#35671),#315423,.F.); #330516=ADVANCED_FACE('',(#35672),#315424,.F.); #330517=ADVANCED_FACE('',(#35673),#315425,.F.); #330518=ADVANCED_FACE('',(#35674),#315426,.F.); #330519=ADVANCED_FACE('',(#35675),#315427,.F.); #330520=ADVANCED_FACE('',(#35676),#315428,.F.); #330521=ADVANCED_FACE('',(#35677),#315429,.F.); #330522=ADVANCED_FACE('',(#35678),#315430,.F.); #330523=ADVANCED_FACE('',(#35679),#315431,.F.); #330524=ADVANCED_FACE('',(#35680),#315432,.F.); #330525=ADVANCED_FACE('',(#35681),#315433,.F.); #330526=ADVANCED_FACE('',(#35682),#315434,.F.); #330527=ADVANCED_FACE('',(#35683),#315435,.F.); #330528=ADVANCED_FACE('',(#35684),#315436,.F.); #330529=ADVANCED_FACE('',(#35685),#315437,.F.); #330530=ADVANCED_FACE('',(#35686),#315438,.F.); #330531=ADVANCED_FACE('',(#35687),#315439,.F.); #330532=ADVANCED_FACE('',(#35688),#315440,.F.); #330533=ADVANCED_FACE('',(#35689),#315441,.F.); #330534=ADVANCED_FACE('',(#35690),#315442,.F.); #330535=ADVANCED_FACE('',(#35691),#315443,.F.); #330536=ADVANCED_FACE('',(#35692),#315444,.F.); #330537=ADVANCED_FACE('',(#35693),#315445,.F.); #330538=ADVANCED_FACE('',(#35694),#315446,.F.); #330539=ADVANCED_FACE('',(#35695),#315447,.F.); #330540=ADVANCED_FACE('',(#35696),#315448,.F.); #330541=ADVANCED_FACE('',(#35697),#315449,.F.); #330542=ADVANCED_FACE('',(#35698),#315450,.F.); #330543=ADVANCED_FACE('',(#35699),#315451,.F.); #330544=ADVANCED_FACE('',(#35700),#315452,.F.); #330545=ADVANCED_FACE('',(#35701),#315453,.F.); #330546=ADVANCED_FACE('',(#35702),#315454,.F.); #330547=ADVANCED_FACE('',(#35703),#315455,.F.); #330548=ADVANCED_FACE('',(#35704),#315456,.F.); #330549=ADVANCED_FACE('',(#35705),#315457,.F.); #330550=ADVANCED_FACE('',(#35706),#315458,.F.); #330551=ADVANCED_FACE('',(#35707),#315459,.F.); #330552=ADVANCED_FACE('',(#35708),#315460,.F.); #330553=ADVANCED_FACE('',(#35709),#315461,.F.); #330554=ADVANCED_FACE('',(#35710),#315462,.F.); #330555=ADVANCED_FACE('',(#35711),#315463,.F.); #330556=ADVANCED_FACE('',(#35712),#315464,.F.); #330557=ADVANCED_FACE('',(#35713),#315465,.F.); #330558=ADVANCED_FACE('',(#35714),#315466,.F.); #330559=ADVANCED_FACE('',(#35715),#315467,.F.); #330560=ADVANCED_FACE('',(#35716),#315468,.F.); #330561=ADVANCED_FACE('',(#35717),#315469,.F.); #330562=ADVANCED_FACE('',(#35718),#315470,.F.); #330563=ADVANCED_FACE('',(#35719),#315471,.F.); #330564=ADVANCED_FACE('',(#35720),#315472,.F.); #330565=ADVANCED_FACE('',(#35721),#315473,.F.); #330566=ADVANCED_FACE('',(#35722),#315474,.F.); #330567=ADVANCED_FACE('',(#35723),#315475,.F.); #330568=ADVANCED_FACE('',(#35724),#315476,.F.); #330569=ADVANCED_FACE('',(#35725),#315477,.F.); #330570=ADVANCED_FACE('',(#35726),#315478,.F.); #330571=ADVANCED_FACE('',(#35727),#315479,.F.); #330572=ADVANCED_FACE('',(#35728),#315480,.F.); #330573=ADVANCED_FACE('',(#35729),#315481,.F.); #330574=ADVANCED_FACE('',(#35730),#315482,.F.); #330575=ADVANCED_FACE('',(#35731),#315483,.F.); #330576=ADVANCED_FACE('',(#35732),#315484,.F.); #330577=ADVANCED_FACE('',(#35733),#315485,.F.); #330578=ADVANCED_FACE('',(#35734),#315486,.F.); #330579=ADVANCED_FACE('',(#35735),#315487,.F.); #330580=ADVANCED_FACE('',(#35736),#315488,.F.); #330581=ADVANCED_FACE('',(#35737),#315489,.F.); #330582=ADVANCED_FACE('',(#35738),#315490,.F.); #330583=ADVANCED_FACE('',(#35739),#315491,.F.); #330584=ADVANCED_FACE('',(#35740),#315492,.F.); #330585=ADVANCED_FACE('',(#35741),#315493,.F.); #330586=ADVANCED_FACE('',(#35742),#315494,.F.); #330587=ADVANCED_FACE('',(#35743),#315495,.F.); #330588=ADVANCED_FACE('',(#35744),#315496,.F.); #330589=ADVANCED_FACE('',(#35745),#315497,.F.); #330590=ADVANCED_FACE('',(#35746),#315498,.F.); #330591=ADVANCED_FACE('',(#35747),#315499,.F.); #330592=ADVANCED_FACE('',(#35748),#315500,.T.); #330593=ADVANCED_FACE('',(#35749,#7153,#7154,#7155,#7156,#7157,#7158,#7159, #7160,#7161,#7162,#7163,#7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171, #7172,#7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183, #7184,#7185,#7186,#7187,#7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195, #7196,#7197,#7198,#7199,#7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207, #7208,#7209,#7210,#7211,#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219, #7220,#7221,#7222,#7223,#7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231, #7232,#7233,#7234,#7235,#7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243, #7244,#7245,#7246,#7247,#7248,#7249,#7250,#7251,#7252,#7253,#7254,#7255, #7256,#7257,#7258,#7259,#7260,#7261,#7262,#7263,#7264,#7265,#7266,#7267, #7268,#7269,#7270,#7271,#7272,#7273,#7274,#7275,#7276,#7277,#7278,#7279, #7280,#7281,#7282,#7283,#7284,#7285,#7286,#7287,#7288,#7289,#7290,#7291, #7292,#7293,#7294,#7295,#7296,#7297,#7298,#7299,#7300,#7301,#7302,#7303, #7304,#7305,#7306,#7307,#7308,#7309,#7310,#7311,#7312,#7313,#7314,#7315, #7316,#7317,#7318,#7319,#7320,#7321,#7322,#7323,#7324,#7325,#7326,#7327, #7328,#7329,#7330,#7331,#7332,#7333,#7334,#7335,#7336,#7337,#7338,#7339, #7340,#7341,#7342,#7343,#7344,#7345,#7346,#7347,#7348,#7349,#7350,#7351, #7352,#7353,#7354,#7355,#7356,#7357,#7358,#7359,#7360,#7361,#7362,#7363, #7364,#7365,#7366,#7367,#7368,#7369,#7370,#7371,#7372,#7373,#7374,#7375, #7376,#7377,#7378,#7379,#7380,#7381,#7382,#7383,#7384,#7385,#7386,#7387, #7388,#7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396,#7397,#7398,#7399, #7400,#7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408,#7409,#7410,#7411, #7412,#7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420,#7421,#7422,#7423, #7424,#7425,#7426,#7427,#7428,#7429,#7430,#7431,#7432,#7433,#7434,#7435, #7436,#7437,#7438,#7439,#7440,#7441,#7442,#7443,#7444,#7445,#7446,#7447, #7448,#7449,#7450,#7451,#7452,#7453,#7454,#7455,#7456,#7457,#7458,#7459, #7460,#7461,#7462,#7463,#7464,#7465,#7466,#7467,#7468,#7469,#7470,#7471, #7472,#7473,#7474,#7475,#7476,#7477,#7478,#7479,#7480,#7481,#7482,#7483, #7484),#19119,.T.); #330594=ADVANCED_FACE('',(#35750,#7485,#7486,#7487,#7488,#7489,#7490,#7491, #7492,#7493,#7494,#7495,#7496,#7497,#7498,#7499,#7500,#7501,#7502,#7503, #7504,#7505,#7506,#7507,#7508,#7509,#7510,#7511,#7512,#7513,#7514,#7515, #7516,#7517,#7518,#7519,#7520,#7521,#7522,#7523,#7524,#7525,#7526,#7527, #7528,#7529,#7530,#7531,#7532,#7533,#7534,#7535,#7536,#7537,#7538,#7539, #7540,#7541,#7542,#7543,#7544,#7545,#7546,#7547,#7548,#7549,#7550,#7551, #7552,#7553,#7554,#7555,#7556,#7557,#7558,#7559,#7560,#7561,#7562,#7563, #7564,#7565,#7566,#7567,#7568,#7569,#7570,#7571,#7572,#7573,#7574,#7575, #7576,#7577,#7578,#7579,#7580,#7581,#7582,#7583,#7584,#7585,#7586,#7587, #7588,#7589,#7590,#7591,#7592,#7593,#7594,#7595,#7596,#7597,#7598,#7599, #7600,#7601,#7602,#7603,#7604,#7605,#7606,#7607,#7608,#7609,#7610,#7611, #7612,#7613,#7614,#7615,#7616,#7617,#7618,#7619,#7620,#7621,#7622,#7623, #7624,#7625,#7626,#7627,#7628,#7629,#7630,#7631,#7632,#7633,#7634,#7635, #7636,#7637,#7638,#7639,#7640,#7641,#7642,#7643,#7644,#7645,#7646,#7647, #7648,#7649,#7650,#7651,#7652,#7653,#7654,#7655,#7656,#7657,#7658,#7659, #7660,#7661,#7662,#7663,#7664,#7665,#7666,#7667,#7668,#7669,#7670,#7671, #7672,#7673,#7674,#7675,#7676,#7677,#7678,#7679,#7680,#7681,#7682,#7683, #7684,#7685,#7686,#7687,#7688,#7689,#7690,#7691,#7692,#7693,#7694,#7695, #7696,#7697,#7698,#7699,#7700,#7701,#7702,#7703,#7704,#7705,#7706,#7707, #7708,#7709,#7710,#7711,#7712,#7713,#7714,#7715,#7716,#7717,#7718,#7719, #7720,#7721,#7722,#7723,#7724,#7725,#7726,#7727,#7728,#7729,#7730,#7731, #7732,#7733,#7734,#7735,#7736,#7737,#7738,#7739,#7740,#7741,#7742,#7743, #7744,#7745,#7746,#7747,#7748,#7749,#7750,#7751,#7752,#7753,#7754,#7755, #7756,#7757,#7758,#7759,#7760,#7761,#7762,#7763,#7764,#7765,#7766,#7767, #7768,#7769,#7770,#7771,#7772,#7773,#7774,#7775,#7776,#7777,#7778,#7779, #7780,#7781,#7782,#7783,#7784,#7785,#7786,#7787,#7788,#7789,#7790,#7791, #7792,#7793,#7794,#7795,#7796,#7797,#7798,#7799,#7800,#7801,#7802,#7803, #7804,#7805,#7806,#7807,#7808,#7809,#7810,#7811,#7812,#7813,#7814,#7815, #7816),#19120,.F.); #330595=ADVANCED_FACE('',(#35751),#19121,.T.); #330596=ADVANCED_FACE('',(#35752),#19122,.F.); #330597=ADVANCED_FACE('',(#35753),#19123,.F.); #330598=ADVANCED_FACE('',(#35754),#19124,.T.); #330599=ADVANCED_FACE('',(#35755),#19125,.T.); #330600=ADVANCED_FACE('',(#35756),#19126,.F.); #330601=ADVANCED_FACE('',(#35757,#7817,#7818,#7819,#7820),#19127,.F.); #330602=ADVANCED_FACE('',(#35758,#7821),#19128,.F.); #330603=ADVANCED_FACE('',(#35759,#7822),#19129,.F.); #330604=ADVANCED_FACE('',(#35760,#7823),#19130,.F.); #330605=ADVANCED_FACE('',(#35761),#19131,.F.); #330606=ADVANCED_FACE('',(#35762),#19132,.F.); #330607=ADVANCED_FACE('',(#35763,#7824),#19133,.F.); #330608=ADVANCED_FACE('',(#35764),#19134,.F.); #330609=ADVANCED_FACE('',(#35765),#19135,.F.); #330610=ADVANCED_FACE('',(#35766),#19136,.F.); #330611=ADVANCED_FACE('',(#35767),#19137,.F.); #330612=ADVANCED_FACE('',(#35768),#19138,.T.); #330613=ADVANCED_FACE('',(#35769),#19139,.T.); #330614=ADVANCED_FACE('',(#35770),#19140,.T.); #330615=ADVANCED_FACE('',(#35771),#19141,.F.); #330616=ADVANCED_FACE('',(#35772),#19142,.F.); #330617=ADVANCED_FACE('',(#35773),#19143,.F.); #330618=ADVANCED_FACE('',(#35774),#19144,.F.); #330619=ADVANCED_FACE('',(#35775),#19145,.F.); #330620=ADVANCED_FACE('',(#35776),#19146,.T.); #330621=ADVANCED_FACE('',(#35777),#19147,.T.); #330622=ADVANCED_FACE('',(#35778),#19148,.F.); #330623=ADVANCED_FACE('',(#35779),#19149,.T.); #330624=ADVANCED_FACE('',(#35780),#19150,.F.); #330625=ADVANCED_FACE('',(#35781),#19151,.F.); #330626=ADVANCED_FACE('',(#35782),#19152,.T.); #330627=ADVANCED_FACE('',(#35783),#19153,.F.); #330628=ADVANCED_FACE('',(#35784),#19154,.T.); #330629=ADVANCED_FACE('',(#35785),#19155,.T.); #330630=ADVANCED_FACE('',(#35786),#19156,.F.); #330631=ADVANCED_FACE('',(#35787),#19157,.T.); #330632=ADVANCED_FACE('',(#35788),#19158,.F.); #330633=ADVANCED_FACE('',(#35789),#19159,.T.); #330634=ADVANCED_FACE('',(#35790),#19160,.T.); #330635=ADVANCED_FACE('',(#35791),#19161,.T.); #330636=ADVANCED_FACE('',(#35792),#19162,.F.); #330637=ADVANCED_FACE('',(#35793),#19163,.F.); #330638=ADVANCED_FACE('',(#35794),#19164,.F.); #330639=ADVANCED_FACE('',(#35795),#19165,.F.); #330640=ADVANCED_FACE('',(#35796),#19166,.F.); #330641=ADVANCED_FACE('',(#35797),#19167,.F.); #330642=ADVANCED_FACE('',(#35798),#19168,.F.); #330643=ADVANCED_FACE('',(#35799),#19169,.F.); #330644=ADVANCED_FACE('',(#35800),#19170,.T.); #330645=ADVANCED_FACE('',(#35801),#19171,.T.); #330646=ADVANCED_FACE('',(#35802),#19172,.F.); #330647=ADVANCED_FACE('',(#35803),#19173,.T.); #330648=ADVANCED_FACE('',(#35804),#19174,.F.); #330649=ADVANCED_FACE('',(#35805),#19175,.F.); #330650=ADVANCED_FACE('',(#35806),#19176,.T.); #330651=ADVANCED_FACE('',(#35807),#19177,.F.); #330652=ADVANCED_FACE('',(#35808),#19178,.T.); #330653=ADVANCED_FACE('',(#35809),#19179,.T.); #330654=ADVANCED_FACE('',(#35810),#19180,.T.); #330655=ADVANCED_FACE('',(#35811),#19181,.T.); #330656=ADVANCED_FACE('',(#35812),#19182,.F.); #330657=ADVANCED_FACE('',(#35813),#19183,.T.); #330658=ADVANCED_FACE('',(#35814),#19184,.F.); #330659=ADVANCED_FACE('',(#35815),#19185,.F.); #330660=ADVANCED_FACE('',(#35816),#19186,.T.); #330661=ADVANCED_FACE('',(#35817),#19187,.F.); #330662=ADVANCED_FACE('',(#35818),#19188,.T.); #330663=ADVANCED_FACE('',(#35819),#19189,.T.); #330664=ADVANCED_FACE('',(#35820),#19190,.T.); #330665=ADVANCED_FACE('',(#35821),#19191,.T.); #330666=ADVANCED_FACE('',(#35822),#19192,.F.); #330667=ADVANCED_FACE('',(#35823),#19193,.T.); #330668=ADVANCED_FACE('',(#35824),#19194,.F.); #330669=ADVANCED_FACE('',(#35825),#19195,.F.); #330670=ADVANCED_FACE('',(#35826),#19196,.T.); #330671=ADVANCED_FACE('',(#35827),#19197,.F.); #330672=ADVANCED_FACE('',(#35828),#19198,.T.); #330673=ADVANCED_FACE('',(#35829),#19199,.T.); #330674=ADVANCED_FACE('',(#35830),#19200,.F.); #330675=ADVANCED_FACE('',(#35831),#19201,.T.); #330676=ADVANCED_FACE('',(#35832),#19202,.F.); #330677=ADVANCED_FACE('',(#35833),#19203,.T.); #330678=ADVANCED_FACE('',(#35834),#19204,.T.); #330679=ADVANCED_FACE('',(#35835),#19205,.T.); #330680=ADVANCED_FACE('',(#35836),#19206,.F.); #330681=ADVANCED_FACE('',(#35837),#19207,.F.); #330682=ADVANCED_FACE('',(#35838),#19208,.F.); #330683=ADVANCED_FACE('',(#35839),#19209,.T.); #330684=ADVANCED_FACE('',(#35840),#19210,.F.); #330685=ADVANCED_FACE('',(#35841),#19211,.T.); #330686=ADVANCED_FACE('',(#35842),#19212,.T.); #330687=ADVANCED_FACE('',(#35843),#19213,.T.); #330688=ADVANCED_FACE('',(#35844),#19214,.F.); #330689=ADVANCED_FACE('',(#35845),#19215,.F.); #330690=ADVANCED_FACE('',(#35846),#19216,.F.); #330691=ADVANCED_FACE('',(#35847),#19217,.T.); #330692=ADVANCED_FACE('',(#35848),#19218,.F.); #330693=ADVANCED_FACE('',(#35849),#19219,.T.); #330694=ADVANCED_FACE('',(#35850),#19220,.T.); #330695=ADVANCED_FACE('',(#35851),#19221,.T.); #330696=ADVANCED_FACE('',(#35852),#19222,.F.); #330697=ADVANCED_FACE('',(#35853),#19223,.F.); #330698=ADVANCED_FACE('',(#35854),#19224,.F.); #330699=ADVANCED_FACE('',(#35855),#256,.T.); #330700=ADVANCED_FACE('',(#35856),#257,.T.); #330701=ADVANCED_FACE('',(#35857),#258,.T.); #330702=ADVANCED_FACE('',(#35858),#259,.T.); #330703=ADVANCED_FACE('',(#35859),#260,.T.); #330704=ADVANCED_FACE('',(#35860),#315501,.T.); #330705=ADVANCED_FACE('',(#35861),#261,.T.); #330706=ADVANCED_FACE('',(#35862),#262,.T.); #330707=ADVANCED_FACE('',(#35863),#315502,.T.); #330708=ADVANCED_FACE('',(#35864),#315503,.T.); #330709=ADVANCED_FACE('',(#35865),#263,.T.); #330710=ADVANCED_FACE('',(#35866),#315504,.T.); #330711=ADVANCED_FACE('',(#35867),#315505,.T.); #330712=ADVANCED_FACE('',(#35868),#315506,.T.); #330713=ADVANCED_FACE('',(#35869),#315507,.T.); #330714=ADVANCED_FACE('',(#35870),#315508,.T.); #330715=ADVANCED_FACE('',(#35871),#315509,.T.); #330716=ADVANCED_FACE('',(#35872),#315510,.T.); #330717=ADVANCED_FACE('',(#35873),#315511,.T.); #330718=ADVANCED_FACE('',(#35874),#315512,.T.); #330719=ADVANCED_FACE('',(#35875),#19225,.T.); #330720=ADVANCED_FACE('',(#35876),#19226,.F.); #330721=ADVANCED_FACE('',(#35877),#19227,.T.); #330722=ADVANCED_FACE('',(#35878),#19228,.T.); #330723=ADVANCED_FACE('',(#35879),#19229,.T.); #330724=ADVANCED_FACE('',(#35880),#19230,.T.); #330725=ADVANCED_FACE('',(#35881),#264,.T.); #330726=ADVANCED_FACE('',(#35882),#265,.T.); #330727=ADVANCED_FACE('',(#35883),#266,.T.); #330728=ADVANCED_FACE('',(#35884),#267,.T.); #330729=ADVANCED_FACE('',(#35885),#268,.T.); #330730=ADVANCED_FACE('',(#35886),#315513,.T.); #330731=ADVANCED_FACE('',(#35887),#269,.T.); #330732=ADVANCED_FACE('',(#35888),#270,.T.); #330733=ADVANCED_FACE('',(#35889),#315514,.T.); #330734=ADVANCED_FACE('',(#35890),#315515,.T.); #330735=ADVANCED_FACE('',(#35891),#271,.T.); #330736=ADVANCED_FACE('',(#35892),#315516,.T.); #330737=ADVANCED_FACE('',(#35893),#315517,.T.); #330738=ADVANCED_FACE('',(#35894),#315518,.T.); #330739=ADVANCED_FACE('',(#35895),#315519,.T.); #330740=ADVANCED_FACE('',(#35896),#315520,.T.); #330741=ADVANCED_FACE('',(#35897),#315521,.T.); #330742=ADVANCED_FACE('',(#35898),#315522,.T.); #330743=ADVANCED_FACE('',(#35899),#315523,.T.); #330744=ADVANCED_FACE('',(#35900),#315524,.T.); #330745=ADVANCED_FACE('',(#35901),#19231,.T.); #330746=ADVANCED_FACE('',(#35902),#19232,.F.); #330747=ADVANCED_FACE('',(#35903),#19233,.T.); #330748=ADVANCED_FACE('',(#35904),#19234,.T.); #330749=ADVANCED_FACE('',(#35905),#19235,.T.); #330750=ADVANCED_FACE('',(#35906),#19236,.T.); #330751=ADVANCED_FACE('',(#35907),#19237,.T.); #330752=ADVANCED_FACE('',(#35908),#19238,.T.); #330753=ADVANCED_FACE('',(#35909),#19239,.T.); #330754=ADVANCED_FACE('',(#35910),#19240,.T.); #330755=ADVANCED_FACE('',(#35911),#19241,.T.); #330756=ADVANCED_FACE('',(#35912),#19242,.F.); #330757=ADVANCED_FACE('',(#35913),#19243,.T.); #330758=ADVANCED_FACE('',(#35914),#19244,.F.); #330759=ADVANCED_FACE('',(#35915),#19245,.F.); #330760=ADVANCED_FACE('',(#35916),#19246,.F.); #330761=ADVANCED_FACE('',(#35917),#19247,.F.); #330762=ADVANCED_FACE('',(#35918),#19248,.F.); #330763=ADVANCED_FACE('',(#35919),#19249,.T.); #330764=ADVANCED_FACE('',(#35920),#19250,.T.); #330765=ADVANCED_FACE('',(#35921),#19251,.T.); #330766=ADVANCED_FACE('',(#35922),#19252,.T.); #330767=ADVANCED_FACE('',(#35923),#19253,.T.); #330768=ADVANCED_FACE('',(#35924),#19254,.F.); #330769=ADVANCED_FACE('',(#35925),#19255,.T.); #330770=ADVANCED_FACE('',(#35926),#19256,.F.); #330771=ADVANCED_FACE('',(#35927),#315525,.T.); #330772=ADVANCED_FACE('',(#35928),#315526,.T.); #330773=ADVANCED_FACE('',(#35929),#19257,.F.); #330774=ADVANCED_FACE('',(#35930),#19258,.F.); #330775=ADVANCED_FACE('',(#35931),#19259,.T.); #330776=ADVANCED_FACE('',(#35932),#19260,.T.); #330777=ADVANCED_FACE('',(#35933),#19261,.T.); #330778=ADVANCED_FACE('',(#35934),#19262,.F.); #330779=ADVANCED_FACE('',(#35935),#19263,.F.); #330780=ADVANCED_FACE('',(#35936),#224,.T.); #330781=ADVANCED_FACE('',(#35937),#19264,.T.); #330782=ADVANCED_FACE('',(#35938),#19265,.T.); #330783=ADVANCED_FACE('',(#35939),#19266,.T.); #330784=ADVANCED_FACE('',(#35940),#19267,.T.); #330785=ADVANCED_FACE('',(#35941),#19268,.T.); #330786=ADVANCED_FACE('',(#35942),#19269,.T.); #330787=ADVANCED_FACE('',(#35943),#19270,.T.); #330788=ADVANCED_FACE('',(#35944),#19271,.T.); #330789=ADVANCED_FACE('',(#35945),#19272,.T.); #330790=ADVANCED_FACE('',(#35946),#19273,.T.); #330791=ADVANCED_FACE('',(#35947),#19274,.T.); #330792=ADVANCED_FACE('',(#35948),#19275,.T.); #330793=ADVANCED_FACE('',(#35949),#19276,.T.); #330794=ADVANCED_FACE('',(#35950),#19277,.T.); #330795=ADVANCED_FACE('',(#35951),#19278,.T.); #330796=ADVANCED_FACE('',(#35952),#19279,.T.); #330797=ADVANCED_FACE('',(#35953),#19280,.T.); #330798=ADVANCED_FACE('',(#35954),#19281,.T.); #330799=ADVANCED_FACE('',(#35955),#19282,.T.); #330800=ADVANCED_FACE('',(#35956),#19283,.T.); #330801=ADVANCED_FACE('',(#35957),#19284,.T.); #330802=ADVANCED_FACE('',(#35958),#19285,.T.); #330803=ADVANCED_FACE('',(#35959),#19286,.T.); #330804=ADVANCED_FACE('',(#35960),#19287,.T.); #330805=ADVANCED_FACE('',(#35961),#19288,.T.); #330806=ADVANCED_FACE('',(#35962),#19289,.T.); #330807=ADVANCED_FACE('',(#35963),#19290,.T.); #330808=ADVANCED_FACE('',(#35964),#19291,.T.); #330809=ADVANCED_FACE('',(#35965),#19292,.T.); #330810=ADVANCED_FACE('',(#35966),#19293,.T.); #330811=ADVANCED_FACE('',(#35967),#19294,.T.); #330812=ADVANCED_FACE('',(#35968),#19295,.T.); #330813=ADVANCED_FACE('',(#35969),#19296,.T.); #330814=ADVANCED_FACE('',(#35970),#19297,.T.); #330815=ADVANCED_FACE('',(#35971),#19298,.T.); #330816=ADVANCED_FACE('',(#35972),#19299,.T.); #330817=ADVANCED_FACE('',(#35973),#19300,.T.); #330818=ADVANCED_FACE('',(#35974),#19301,.T.); #330819=ADVANCED_FACE('',(#35975),#19302,.F.); #330820=ADVANCED_FACE('',(#35976),#19303,.F.); #330821=ADVANCED_FACE('',(#35977),#19304,.F.); #330822=ADVANCED_FACE('',(#35978),#19305,.F.); #330823=ADVANCED_FACE('',(#35979),#19306,.F.); #330824=ADVANCED_FACE('',(#35980),#19307,.F.); #330825=ADVANCED_FACE('',(#35981),#19308,.F.); #330826=ADVANCED_FACE('',(#35982),#19309,.F.); #330827=ADVANCED_FACE('',(#35983),#19310,.F.); #330828=ADVANCED_FACE('',(#35984),#19311,.F.); #330829=ADVANCED_FACE('',(#35985),#19312,.F.); #330830=ADVANCED_FACE('',(#35986),#19313,.F.); #330831=ADVANCED_FACE('',(#35987),#19314,.F.); #330832=ADVANCED_FACE('',(#35988),#19315,.F.); #330833=ADVANCED_FACE('',(#35989),#19316,.F.); #330834=ADVANCED_FACE('',(#35990),#19317,.F.); #330835=ADVANCED_FACE('',(#35991),#19318,.F.); #330836=ADVANCED_FACE('',(#35992),#19319,.F.); #330837=ADVANCED_FACE('',(#35993),#19320,.F.); #330838=ADVANCED_FACE('',(#35994),#19321,.F.); #330839=ADVANCED_FACE('',(#35995),#19322,.F.); #330840=ADVANCED_FACE('',(#35996),#19323,.F.); #330841=ADVANCED_FACE('',(#35997),#19324,.T.); #330842=ADVANCED_FACE('',(#35998),#19325,.T.); #330843=ADVANCED_FACE('',(#35999),#19326,.F.); #330844=ADVANCED_FACE('',(#36000),#19327,.T.); #330845=ADVANCED_FACE('',(#36001),#19328,.T.); #330846=ADVANCED_FACE('',(#36002),#19329,.T.); #330847=ADVANCED_FACE('',(#36003),#315527,.T.); #330848=ADVANCED_FACE('',(#36004),#19330,.T.); #330849=ADVANCED_FACE('',(#36005),#19331,.T.); #330850=ADVANCED_FACE('',(#36006),#19332,.T.); #330851=ADVANCED_FACE('',(#36007),#19333,.T.); #330852=ADVANCED_FACE('',(#36008),#19334,.F.); #330853=ADVANCED_FACE('',(#36009),#19335,.T.); #330854=ADVANCED_FACE('',(#36010),#19336,.F.); #330855=ADVANCED_FACE('',(#36011),#19337,.F.); #330856=ADVANCED_FACE('',(#36012),#19338,.T.); #330857=ADVANCED_FACE('',(#36013),#19339,.T.); #330858=ADVANCED_FACE('',(#36014),#19340,.F.); #330859=ADVANCED_FACE('',(#36015),#19341,.F.); #330860=ADVANCED_FACE('',(#36016),#19342,.F.); #330861=ADVANCED_FACE('',(#36017),#315528,.T.); #330862=ADVANCED_FACE('',(#36018),#19343,.T.); #330863=ADVANCED_FACE('',(#36019),#315529,.T.); #330864=ADVANCED_FACE('',(#36020),#315530,.T.); #330865=ADVANCED_FACE('',(#36021),#19344,.T.); #330866=ADVANCED_FACE('',(#36022),#19345,.F.); #330867=ADVANCED_FACE('',(#36023),#315531,.T.); #330868=ADVANCED_FACE('',(#36024),#19346,.F.); #330869=ADVANCED_FACE('',(#36025),#315532,.T.); #330870=ADVANCED_FACE('',(#36026),#19347,.F.); #330871=ADVANCED_FACE('',(#36027,#7825),#19348,.T.); #330872=ADVANCED_FACE('',(#36028),#19349,.T.); #330873=ADVANCED_FACE('',(#36029),#19350,.T.); #330874=ADVANCED_FACE('',(#36030),#315533,.F.); #330875=ADVANCED_FACE('',(#36031),#19351,.T.); #330876=ADVANCED_FACE('',(#36032),#19352,.T.); #330877=ADVANCED_FACE('',(#36033),#19353,.T.); #330878=ADVANCED_FACE('',(#36034),#19354,.T.); #330879=ADVANCED_FACE('',(#36035),#19355,.T.); #330880=ADVANCED_FACE('',(#36036),#315534,.F.); #330881=ADVANCED_FACE('',(#36037),#19356,.T.); #330882=ADVANCED_FACE('',(#36038),#19357,.F.); #330883=ADVANCED_FACE('',(#36039),#225,.T.); #330884=ADVANCED_FACE('',(#36040),#19358,.T.); #330885=ADVANCED_FACE('',(#36041),#19359,.T.); #330886=ADVANCED_FACE('',(#36042),#226,.T.); #330887=ADVANCED_FACE('',(#36043),#227,.T.); #330888=ADVANCED_FACE('',(#36044),#19360,.T.); #330889=ADVANCED_FACE('',(#36045),#19361,.T.); #330890=ADVANCED_FACE('',(#36046),#228,.T.); #330891=ADVANCED_FACE('',(#36047),#229,.T.); #330892=ADVANCED_FACE('',(#36048),#19362,.F.); #330893=ADVANCED_FACE('',(#36049),#230,.T.); #330894=ADVANCED_FACE('',(#36050),#231,.T.); #330895=ADVANCED_FACE('',(#36051),#19363,.F.); #330896=ADVANCED_FACE('',(#36052),#232,.T.); #330897=ADVANCED_FACE('',(#36053),#19364,.T.); #330898=ADVANCED_FACE('',(#36054),#19365,.F.); #330899=ADVANCED_FACE('',(#36055),#315535,.T.); #330900=ADVANCED_FACE('',(#36056),#315536,.T.); #330901=ADVANCED_FACE('',(#36057),#19366,.F.); #330902=ADVANCED_FACE('',(#36058),#19367,.F.); #330903=ADVANCED_FACE('',(#36059),#19368,.T.); #330904=ADVANCED_FACE('',(#36060),#19369,.T.); #330905=ADVANCED_FACE('',(#36061),#19370,.T.); #330906=ADVANCED_FACE('',(#36062),#19371,.F.); #330907=ADVANCED_FACE('',(#36063),#19372,.T.); #330908=ADVANCED_FACE('',(#36064),#19373,.T.); #330909=ADVANCED_FACE('',(#36065),#19374,.T.); #330910=ADVANCED_FACE('',(#36066),#19375,.T.); #330911=ADVANCED_FACE('',(#36067),#19376,.F.); #330912=ADVANCED_FACE('',(#36068),#19377,.F.); #330913=ADVANCED_FACE('',(#36069),#19378,.T.); #330914=ADVANCED_FACE('',(#36070),#19379,.F.); #330915=ADVANCED_FACE('',(#36071),#19380,.F.); #330916=ADVANCED_FACE('',(#36072),#19381,.F.); #330917=ADVANCED_FACE('',(#36073),#19382,.F.); #330918=ADVANCED_FACE('',(#36074),#19383,.T.); #330919=ADVANCED_FACE('',(#36075),#19384,.T.); #330920=ADVANCED_FACE('',(#36076),#19385,.T.); #330921=ADVANCED_FACE('',(#36077),#19386,.F.); #330922=ADVANCED_FACE('',(#36078),#19387,.F.); #330923=ADVANCED_FACE('',(#36079),#19388,.F.); #330924=ADVANCED_FACE('',(#36080),#19389,.F.); #330925=ADVANCED_FACE('',(#36081),#19390,.F.); #330926=ADVANCED_FACE('',(#36082,#7826,#7827),#19391,.T.); #330927=ADVANCED_FACE('',(#36083),#315537,.T.); #330928=ADVANCED_FACE('',(#36084),#19392,.F.); #330929=ADVANCED_FACE('',(#36085),#315538,.T.); #330930=ADVANCED_FACE('',(#36086),#19393,.T.); #330931=ADVANCED_FACE('',(#36087),#233,.T.); #330932=ADVANCED_FACE('',(#36088),#234,.T.); #330933=ADVANCED_FACE('',(#36089),#19394,.T.); #330934=ADVANCED_FACE('',(#36090),#19395,.T.); #330935=ADVANCED_FACE('',(#36091),#235,.T.); #330936=ADVANCED_FACE('',(#36092),#19396,.T.); #330937=ADVANCED_FACE('',(#36093),#19397,.T.); #330938=ADVANCED_FACE('',(#36094),#19398,.T.); #330939=ADVANCED_FACE('',(#36095),#19399,.F.); #330940=ADVANCED_FACE('',(#36096),#19400,.T.); #330941=ADVANCED_FACE('',(#36097),#19401,.T.); #330942=ADVANCED_FACE('',(#36098),#19402,.T.); #330943=ADVANCED_FACE('',(#36099),#19403,.T.); #330944=ADVANCED_FACE('',(#36100),#19404,.T.); #330945=ADVANCED_FACE('',(#36101),#19405,.T.); #330946=ADVANCED_FACE('',(#36102),#19406,.T.); #330947=ADVANCED_FACE('',(#36103),#19407,.T.); #330948=ADVANCED_FACE('',(#36104),#19408,.T.); #330949=ADVANCED_FACE('',(#36105),#19409,.T.); #330950=ADVANCED_FACE('',(#36106),#19410,.T.); #330951=ADVANCED_FACE('',(#36107),#19411,.T.); #330952=ADVANCED_FACE('',(#36108),#19412,.T.); #330953=ADVANCED_FACE('',(#36109),#19413,.T.); #330954=ADVANCED_FACE('',(#36110),#19414,.T.); #330955=ADVANCED_FACE('',(#36111),#19415,.T.); #330956=ADVANCED_FACE('',(#36112),#19416,.T.); #330957=ADVANCED_FACE('',(#36113),#19417,.T.); #330958=ADVANCED_FACE('',(#36114),#19418,.T.); #330959=ADVANCED_FACE('',(#36115),#19419,.T.); #330960=ADVANCED_FACE('',(#36116),#19420,.T.); #330961=ADVANCED_FACE('',(#36117),#19421,.T.); #330962=ADVANCED_FACE('',(#36118),#19422,.T.); #330963=ADVANCED_FACE('',(#36119),#19423,.T.); #330964=ADVANCED_FACE('',(#36120),#19424,.T.); #330965=ADVANCED_FACE('',(#36121),#19425,.F.); #330966=ADVANCED_FACE('',(#36122),#19426,.F.); #330967=ADVANCED_FACE('',(#36123),#19427,.F.); #330968=ADVANCED_FACE('',(#36124),#19428,.F.); #330969=ADVANCED_FACE('',(#36125),#19429,.F.); #330970=ADVANCED_FACE('',(#36126),#19430,.F.); #330971=ADVANCED_FACE('',(#36127),#19431,.F.); #330972=ADVANCED_FACE('',(#36128),#19432,.T.); #330973=ADVANCED_FACE('',(#36129),#19433,.T.); #330974=ADVANCED_FACE('',(#36130),#19434,.T.); #330975=ADVANCED_FACE('',(#36131),#19435,.T.); #330976=ADVANCED_FACE('',(#36132),#19436,.F.); #330977=ADVANCED_FACE('',(#36133),#19437,.F.); #330978=ADVANCED_FACE('',(#36134),#19438,.F.); #330979=ADVANCED_FACE('',(#36135),#19439,.F.); #330980=ADVANCED_FACE('',(#36136),#19440,.T.); #330981=ADVANCED_FACE('',(#36137),#19441,.F.); #330982=ADVANCED_FACE('',(#36138),#19442,.F.); #330983=ADVANCED_FACE('',(#36139),#19443,.F.); #330984=ADVANCED_FACE('',(#36140),#19444,.F.); #330985=ADVANCED_FACE('',(#36141),#19445,.F.); #330986=ADVANCED_FACE('',(#36142),#19446,.F.); #330987=ADVANCED_FACE('',(#36143),#19447,.F.); #330988=ADVANCED_FACE('',(#36144),#19448,.F.); #330989=ADVANCED_FACE('',(#36145),#19449,.F.); #330990=ADVANCED_FACE('',(#36146),#19450,.F.); #330991=ADVANCED_FACE('',(#36147),#19451,.F.); #330992=ADVANCED_FACE('',(#36148),#19452,.F.); #330993=ADVANCED_FACE('',(#36149),#19453,.F.); #330994=ADVANCED_FACE('',(#36150),#19454,.F.); #330995=ADVANCED_FACE('',(#36151),#19455,.F.); #330996=ADVANCED_FACE('',(#36152),#19456,.F.); #330997=ADVANCED_FACE('',(#36153),#19457,.F.); #330998=ADVANCED_FACE('',(#36154),#19458,.F.); #330999=ADVANCED_FACE('',(#36155),#19459,.F.); #331000=ADVANCED_FACE('',(#36156),#19460,.F.); #331001=ADVANCED_FACE('',(#36157),#19461,.F.); #331002=ADVANCED_FACE('',(#36158),#19462,.F.); #331003=ADVANCED_FACE('',(#36159),#19463,.F.); #331004=ADVANCED_FACE('',(#36160),#19464,.F.); #331005=ADVANCED_FACE('',(#36161),#19465,.F.); #331006=ADVANCED_FACE('',(#36162),#19466,.F.); #331007=ADVANCED_FACE('',(#36163),#19467,.F.); #331008=ADVANCED_FACE('',(#36164),#19468,.F.); #331009=ADVANCED_FACE('',(#36165),#19469,.F.); #331010=ADVANCED_FACE('',(#36166),#19470,.F.); #331011=ADVANCED_FACE('',(#36167),#19471,.T.); #331012=ADVANCED_FACE('',(#36168),#19472,.T.); #331013=ADVANCED_FACE('',(#36169),#19473,.T.); #331014=ADVANCED_FACE('',(#36170),#19474,.T.); #331015=ADVANCED_FACE('',(#36171),#19475,.F.); #331016=ADVANCED_FACE('',(#36172),#19476,.F.); #331017=ADVANCED_FACE('',(#36173),#19477,.F.); #331018=ADVANCED_FACE('',(#36174),#19478,.T.); #331019=ADVANCED_FACE('',(#36175),#19479,.F.); #331020=ADVANCED_FACE('',(#36176),#19480,.F.); #331021=ADVANCED_FACE('',(#36177),#19481,.F.); #331022=ADVANCED_FACE('',(#36178),#19482,.F.); #331023=ADVANCED_FACE('',(#36179),#19483,.F.); #331024=ADVANCED_FACE('',(#36180),#19484,.F.); #331025=ADVANCED_FACE('',(#36181),#19485,.F.); #331026=ADVANCED_FACE('',(#36182),#19486,.F.); #331027=ADVANCED_FACE('',(#36183),#19487,.F.); #331028=ADVANCED_FACE('',(#36184),#19488,.F.); #331029=ADVANCED_FACE('',(#36185),#19489,.T.); #331030=ADVANCED_FACE('',(#36186),#19490,.F.); #331031=ADVANCED_FACE('',(#36187),#19491,.T.); #331032=ADVANCED_FACE('',(#36188),#315539,.F.); #331033=ADVANCED_FACE('',(#36189),#19492,.F.); #331034=ADVANCED_FACE('',(#36190),#315540,.T.); #331035=ADVANCED_FACE('',(#36191),#19493,.F.); #331036=ADVANCED_FACE('',(#36192),#315541,.T.); #331037=ADVANCED_FACE('',(#36193),#19494,.T.); #331038=ADVANCED_FACE('',(#36194),#315542,.F.); #331039=ADVANCED_FACE('',(#36195),#19495,.T.); #331040=ADVANCED_FACE('',(#36196),#19496,.T.); #331041=ADVANCED_FACE('',(#36197),#19497,.F.); #331042=ADVANCED_FACE('',(#36198),#19498,.F.); #331043=ADVANCED_FACE('',(#36199),#19499,.F.); #331044=ADVANCED_FACE('',(#36200),#19500,.F.); #331045=ADVANCED_FACE('',(#36201),#19501,.F.); #331046=ADVANCED_FACE('',(#36202),#19502,.F.); #331047=ADVANCED_FACE('',(#36203),#19503,.F.); #331048=ADVANCED_FACE('',(#36204),#19504,.F.); #331049=ADVANCED_FACE('',(#36205),#19505,.T.); #331050=ADVANCED_FACE('',(#36206),#19506,.F.); #331051=ADVANCED_FACE('',(#36207),#19507,.T.); #331052=ADVANCED_FACE('',(#36208),#315543,.F.); #331053=ADVANCED_FACE('',(#36209),#19508,.F.); #331054=ADVANCED_FACE('',(#36210),#315544,.T.); #331055=ADVANCED_FACE('',(#36211),#19509,.F.); #331056=ADVANCED_FACE('',(#36212),#315545,.T.); #331057=ADVANCED_FACE('',(#36213),#19510,.T.); #331058=ADVANCED_FACE('',(#36214),#315546,.F.); #331059=ADVANCED_FACE('',(#36215),#19511,.T.); #331060=ADVANCED_FACE('',(#36216),#19512,.T.); #331061=ADVANCED_FACE('',(#36217),#19513,.F.); #331062=ADVANCED_FACE('',(#36218),#19514,.F.); #331063=ADVANCED_FACE('',(#36219),#19515,.F.); #331064=ADVANCED_FACE('',(#36220),#19516,.F.); #331065=ADVANCED_FACE('',(#36221),#19517,.F.); #331066=ADVANCED_FACE('',(#36222),#19518,.F.); #331067=ADVANCED_FACE('',(#36223),#19519,.F.); #331068=ADVANCED_FACE('',(#36224),#19520,.F.); #331069=ADVANCED_FACE('',(#36225),#19521,.T.); #331070=ADVANCED_FACE('',(#36226),#19522,.F.); #331071=ADVANCED_FACE('',(#36227),#19523,.T.); #331072=ADVANCED_FACE('',(#36228),#315547,.F.); #331073=ADVANCED_FACE('',(#36229),#19524,.F.); #331074=ADVANCED_FACE('',(#36230),#315548,.T.); #331075=ADVANCED_FACE('',(#36231),#19525,.F.); #331076=ADVANCED_FACE('',(#36232),#315549,.T.); #331077=ADVANCED_FACE('',(#36233),#19526,.T.); #331078=ADVANCED_FACE('',(#36234),#315550,.F.); #331079=ADVANCED_FACE('',(#36235),#19527,.T.); #331080=ADVANCED_FACE('',(#36236),#19528,.T.); #331081=ADVANCED_FACE('',(#36237),#19529,.F.); #331082=ADVANCED_FACE('',(#36238),#19530,.F.); #331083=ADVANCED_FACE('',(#36239),#19531,.F.); #331084=ADVANCED_FACE('',(#36240),#19532,.F.); #331085=ADVANCED_FACE('',(#36241),#19533,.F.); #331086=ADVANCED_FACE('',(#36242),#19534,.F.); #331087=ADVANCED_FACE('',(#36243),#19535,.F.); #331088=ADVANCED_FACE('',(#36244),#19536,.F.); #331089=ADVANCED_FACE('',(#36245),#19537,.T.); #331090=ADVANCED_FACE('',(#36246),#19538,.F.); #331091=ADVANCED_FACE('',(#36247),#19539,.T.); #331092=ADVANCED_FACE('',(#36248),#315551,.F.); #331093=ADVANCED_FACE('',(#36249),#19540,.F.); #331094=ADVANCED_FACE('',(#36250),#315552,.T.); #331095=ADVANCED_FACE('',(#36251),#19541,.F.); #331096=ADVANCED_FACE('',(#36252),#315553,.T.); #331097=ADVANCED_FACE('',(#36253),#19542,.T.); #331098=ADVANCED_FACE('',(#36254),#315554,.F.); #331099=ADVANCED_FACE('',(#36255),#19543,.T.); #331100=ADVANCED_FACE('',(#36256),#19544,.T.); #331101=ADVANCED_FACE('',(#36257),#19545,.F.); #331102=ADVANCED_FACE('',(#36258),#19546,.F.); #331103=ADVANCED_FACE('',(#36259),#19547,.F.); #331104=ADVANCED_FACE('',(#36260),#19548,.F.); #331105=ADVANCED_FACE('',(#36261),#19549,.F.); #331106=ADVANCED_FACE('',(#36262),#19550,.F.); #331107=ADVANCED_FACE('',(#36263),#19551,.F.); #331108=ADVANCED_FACE('',(#36264),#19552,.F.); #331109=ADVANCED_FACE('',(#36265),#19553,.T.); #331110=ADVANCED_FACE('',(#36266),#19554,.F.); #331111=ADVANCED_FACE('',(#36267),#19555,.T.); #331112=ADVANCED_FACE('',(#36268),#315555,.F.); #331113=ADVANCED_FACE('',(#36269),#19556,.F.); #331114=ADVANCED_FACE('',(#36270),#315556,.T.); #331115=ADVANCED_FACE('',(#36271),#19557,.F.); #331116=ADVANCED_FACE('',(#36272),#315557,.T.); #331117=ADVANCED_FACE('',(#36273),#19558,.T.); #331118=ADVANCED_FACE('',(#36274),#315558,.F.); #331119=ADVANCED_FACE('',(#36275),#19559,.T.); #331120=ADVANCED_FACE('',(#36276),#19560,.T.); #331121=ADVANCED_FACE('',(#36277),#19561,.F.); #331122=ADVANCED_FACE('',(#36278),#19562,.F.); #331123=ADVANCED_FACE('',(#36279),#19563,.F.); #331124=ADVANCED_FACE('',(#36280),#19564,.F.); #331125=ADVANCED_FACE('',(#36281),#19565,.F.); #331126=ADVANCED_FACE('',(#36282),#19566,.F.); #331127=ADVANCED_FACE('',(#36283),#19567,.F.); #331128=ADVANCED_FACE('',(#36284),#19568,.F.); #331129=ADVANCED_FACE('',(#36285),#19569,.T.); #331130=ADVANCED_FACE('',(#36286),#19570,.F.); #331131=ADVANCED_FACE('',(#36287),#19571,.T.); #331132=ADVANCED_FACE('',(#36288),#315559,.F.); #331133=ADVANCED_FACE('',(#36289),#19572,.F.); #331134=ADVANCED_FACE('',(#36290),#315560,.T.); #331135=ADVANCED_FACE('',(#36291),#19573,.F.); #331136=ADVANCED_FACE('',(#36292),#315561,.T.); #331137=ADVANCED_FACE('',(#36293),#19574,.T.); #331138=ADVANCED_FACE('',(#36294),#315562,.F.); #331139=ADVANCED_FACE('',(#36295),#19575,.T.); #331140=ADVANCED_FACE('',(#36296),#19576,.T.); #331141=ADVANCED_FACE('',(#36297),#19577,.F.); #331142=ADVANCED_FACE('',(#36298),#19578,.F.); #331143=ADVANCED_FACE('',(#36299),#19579,.F.); #331144=ADVANCED_FACE('',(#36300),#19580,.F.); #331145=ADVANCED_FACE('',(#36301),#19581,.F.); #331146=ADVANCED_FACE('',(#36302),#19582,.F.); #331147=ADVANCED_FACE('',(#36303),#19583,.F.); #331148=ADVANCED_FACE('',(#36304),#19584,.F.); #331149=ADVANCED_FACE('',(#36305),#19585,.T.); #331150=ADVANCED_FACE('',(#36306),#19586,.F.); #331151=ADVANCED_FACE('',(#36307),#19587,.T.); #331152=ADVANCED_FACE('',(#36308),#315563,.F.); #331153=ADVANCED_FACE('',(#36309),#19588,.F.); #331154=ADVANCED_FACE('',(#36310),#315564,.T.); #331155=ADVANCED_FACE('',(#36311),#19589,.F.); #331156=ADVANCED_FACE('',(#36312),#315565,.T.); #331157=ADVANCED_FACE('',(#36313),#19590,.T.); #331158=ADVANCED_FACE('',(#36314),#315566,.F.); #331159=ADVANCED_FACE('',(#36315),#19591,.T.); #331160=ADVANCED_FACE('',(#36316),#19592,.T.); #331161=ADVANCED_FACE('',(#36317),#19593,.F.); #331162=ADVANCED_FACE('',(#36318),#19594,.F.); #331163=ADVANCED_FACE('',(#36319),#19595,.F.); #331164=ADVANCED_FACE('',(#36320),#19596,.F.); #331165=ADVANCED_FACE('',(#36321),#19597,.F.); #331166=ADVANCED_FACE('',(#36322),#19598,.F.); #331167=ADVANCED_FACE('',(#36323),#19599,.F.); #331168=ADVANCED_FACE('',(#36324),#19600,.F.); #331169=ADVANCED_FACE('',(#36325),#19601,.T.); #331170=ADVANCED_FACE('',(#36326),#19602,.F.); #331171=ADVANCED_FACE('',(#36327),#19603,.T.); #331172=ADVANCED_FACE('',(#36328),#315567,.F.); #331173=ADVANCED_FACE('',(#36329),#19604,.F.); #331174=ADVANCED_FACE('',(#36330),#315568,.T.); #331175=ADVANCED_FACE('',(#36331),#19605,.F.); #331176=ADVANCED_FACE('',(#36332),#315569,.T.); #331177=ADVANCED_FACE('',(#36333),#19606,.T.); #331178=ADVANCED_FACE('',(#36334),#315570,.F.); #331179=ADVANCED_FACE('',(#36335),#19607,.T.); #331180=ADVANCED_FACE('',(#36336),#19608,.T.); #331181=ADVANCED_FACE('',(#36337),#19609,.F.); #331182=ADVANCED_FACE('',(#36338),#19610,.F.); #331183=ADVANCED_FACE('',(#36339),#19611,.F.); #331184=ADVANCED_FACE('',(#36340),#19612,.F.); #331185=ADVANCED_FACE('',(#36341),#19613,.F.); #331186=ADVANCED_FACE('',(#36342),#19614,.F.); #331187=ADVANCED_FACE('',(#36343),#19615,.F.); #331188=ADVANCED_FACE('',(#36344),#19616,.F.); #331189=ADVANCED_FACE('',(#36345),#19617,.T.); #331190=ADVANCED_FACE('',(#36346),#19618,.F.); #331191=ADVANCED_FACE('',(#36347),#19619,.T.); #331192=ADVANCED_FACE('',(#36348),#315571,.F.); #331193=ADVANCED_FACE('',(#36349),#19620,.F.); #331194=ADVANCED_FACE('',(#36350),#315572,.T.); #331195=ADVANCED_FACE('',(#36351),#19621,.F.); #331196=ADVANCED_FACE('',(#36352),#315573,.T.); #331197=ADVANCED_FACE('',(#36353),#19622,.T.); #331198=ADVANCED_FACE('',(#36354),#315574,.F.); #331199=ADVANCED_FACE('',(#36355),#19623,.T.); #331200=ADVANCED_FACE('',(#36356),#19624,.T.); #331201=ADVANCED_FACE('',(#36357),#19625,.F.); #331202=ADVANCED_FACE('',(#36358),#19626,.F.); #331203=ADVANCED_FACE('',(#36359),#19627,.F.); #331204=ADVANCED_FACE('',(#36360),#19628,.F.); #331205=ADVANCED_FACE('',(#36361),#19629,.F.); #331206=ADVANCED_FACE('',(#36362),#19630,.F.); #331207=ADVANCED_FACE('',(#36363),#19631,.F.); #331208=ADVANCED_FACE('',(#36364),#19632,.F.); #331209=ADVANCED_FACE('',(#36365),#19633,.T.); #331210=ADVANCED_FACE('',(#36366),#19634,.F.); #331211=ADVANCED_FACE('',(#36367),#19635,.T.); #331212=ADVANCED_FACE('',(#36368),#315575,.F.); #331213=ADVANCED_FACE('',(#36369),#19636,.F.); #331214=ADVANCED_FACE('',(#36370),#315576,.T.); #331215=ADVANCED_FACE('',(#36371),#19637,.F.); #331216=ADVANCED_FACE('',(#36372),#315577,.T.); #331217=ADVANCED_FACE('',(#36373),#19638,.T.); #331218=ADVANCED_FACE('',(#36374),#315578,.F.); #331219=ADVANCED_FACE('',(#36375),#19639,.T.); #331220=ADVANCED_FACE('',(#36376),#19640,.T.); #331221=ADVANCED_FACE('',(#36377),#19641,.F.); #331222=ADVANCED_FACE('',(#36378),#19642,.F.); #331223=ADVANCED_FACE('',(#36379),#19643,.F.); #331224=ADVANCED_FACE('',(#36380),#19644,.F.); #331225=ADVANCED_FACE('',(#36381),#19645,.F.); #331226=ADVANCED_FACE('',(#36382),#19646,.F.); #331227=ADVANCED_FACE('',(#36383),#19647,.F.); #331228=ADVANCED_FACE('',(#36384),#19648,.F.); #331229=ADVANCED_FACE('',(#36385),#19649,.T.); #331230=ADVANCED_FACE('',(#36386),#19650,.F.); #331231=ADVANCED_FACE('',(#36387),#19651,.T.); #331232=ADVANCED_FACE('',(#36388),#315579,.F.); #331233=ADVANCED_FACE('',(#36389),#19652,.F.); #331234=ADVANCED_FACE('',(#36390),#315580,.T.); #331235=ADVANCED_FACE('',(#36391),#19653,.F.); #331236=ADVANCED_FACE('',(#36392),#315581,.T.); #331237=ADVANCED_FACE('',(#36393),#19654,.T.); #331238=ADVANCED_FACE('',(#36394),#315582,.F.); #331239=ADVANCED_FACE('',(#36395),#19655,.T.); #331240=ADVANCED_FACE('',(#36396),#19656,.T.); #331241=ADVANCED_FACE('',(#36397),#19657,.F.); #331242=ADVANCED_FACE('',(#36398),#19658,.F.); #331243=ADVANCED_FACE('',(#36399),#19659,.F.); #331244=ADVANCED_FACE('',(#36400),#19660,.F.); #331245=ADVANCED_FACE('',(#36401),#19661,.F.); #331246=ADVANCED_FACE('',(#36402),#19662,.F.); #331247=ADVANCED_FACE('',(#36403),#19663,.F.); #331248=ADVANCED_FACE('',(#36404),#19664,.F.); #331249=ADVANCED_FACE('',(#36405),#19665,.T.); #331250=ADVANCED_FACE('',(#36406),#19666,.F.); #331251=ADVANCED_FACE('',(#36407),#19667,.T.); #331252=ADVANCED_FACE('',(#36408),#315583,.F.); #331253=ADVANCED_FACE('',(#36409),#19668,.F.); #331254=ADVANCED_FACE('',(#36410),#315584,.T.); #331255=ADVANCED_FACE('',(#36411),#19669,.F.); #331256=ADVANCED_FACE('',(#36412),#315585,.T.); #331257=ADVANCED_FACE('',(#36413),#19670,.T.); #331258=ADVANCED_FACE('',(#36414),#315586,.F.); #331259=ADVANCED_FACE('',(#36415),#19671,.T.); #331260=ADVANCED_FACE('',(#36416),#19672,.T.); #331261=ADVANCED_FACE('',(#36417),#19673,.F.); #331262=ADVANCED_FACE('',(#36418),#19674,.F.); #331263=ADVANCED_FACE('',(#36419),#19675,.F.); #331264=ADVANCED_FACE('',(#36420),#19676,.F.); #331265=ADVANCED_FACE('',(#36421),#19677,.F.); #331266=ADVANCED_FACE('',(#36422),#19678,.F.); #331267=ADVANCED_FACE('',(#36423),#19679,.F.); #331268=ADVANCED_FACE('',(#36424),#19680,.F.); #331269=ADVANCED_FACE('',(#36425),#19681,.T.); #331270=ADVANCED_FACE('',(#36426),#19682,.F.); #331271=ADVANCED_FACE('',(#36427),#19683,.T.); #331272=ADVANCED_FACE('',(#36428),#315587,.F.); #331273=ADVANCED_FACE('',(#36429),#19684,.F.); #331274=ADVANCED_FACE('',(#36430),#315588,.T.); #331275=ADVANCED_FACE('',(#36431),#19685,.F.); #331276=ADVANCED_FACE('',(#36432),#315589,.T.); #331277=ADVANCED_FACE('',(#36433),#19686,.T.); #331278=ADVANCED_FACE('',(#36434),#315590,.F.); #331279=ADVANCED_FACE('',(#36435),#19687,.T.); #331280=ADVANCED_FACE('',(#36436),#19688,.T.); #331281=ADVANCED_FACE('',(#36437),#19689,.F.); #331282=ADVANCED_FACE('',(#36438),#19690,.F.); #331283=ADVANCED_FACE('',(#36439),#19691,.F.); #331284=ADVANCED_FACE('',(#36440),#19692,.F.); #331285=ADVANCED_FACE('',(#36441),#19693,.F.); #331286=ADVANCED_FACE('',(#36442),#19694,.F.); #331287=ADVANCED_FACE('',(#36443),#19695,.F.); #331288=ADVANCED_FACE('',(#36444),#19696,.F.); #331289=ADVANCED_FACE('',(#36445),#19697,.T.); #331290=ADVANCED_FACE('',(#36446),#19698,.F.); #331291=ADVANCED_FACE('',(#36447),#19699,.T.); #331292=ADVANCED_FACE('',(#36448),#315591,.F.); #331293=ADVANCED_FACE('',(#36449),#19700,.F.); #331294=ADVANCED_FACE('',(#36450),#315592,.T.); #331295=ADVANCED_FACE('',(#36451),#19701,.F.); #331296=ADVANCED_FACE('',(#36452),#315593,.T.); #331297=ADVANCED_FACE('',(#36453),#19702,.T.); #331298=ADVANCED_FACE('',(#36454),#315594,.F.); #331299=ADVANCED_FACE('',(#36455),#19703,.T.); #331300=ADVANCED_FACE('',(#36456),#19704,.T.); #331301=ADVANCED_FACE('',(#36457),#19705,.F.); #331302=ADVANCED_FACE('',(#36458),#19706,.F.); #331303=ADVANCED_FACE('',(#36459),#19707,.F.); #331304=ADVANCED_FACE('',(#36460),#19708,.F.); #331305=ADVANCED_FACE('',(#36461),#19709,.F.); #331306=ADVANCED_FACE('',(#36462),#315595,.T.); #331307=ADVANCED_FACE('',(#36463),#19710,.F.); #331308=ADVANCED_FACE('',(#36464),#19711,.F.); #331309=ADVANCED_FACE('',(#36465),#19712,.F.); #331310=ADVANCED_FACE('',(#36466),#315596,.F.); #331311=ADVANCED_FACE('',(#36467),#19713,.F.); #331312=ADVANCED_FACE('',(#36468),#315597,.F.); #331313=ADVANCED_FACE('',(#36469),#315598,.T.); #331314=ADVANCED_FACE('',(#36470),#19714,.F.); #331315=ADVANCED_FACE('',(#36471),#315599,.F.); #331316=ADVANCED_FACE('',(#36472),#19715,.F.); #331317=ADVANCED_FACE('',(#36473),#315600,.F.); #331318=ADVANCED_FACE('',(#36474),#19716,.F.); #331319=ADVANCED_FACE('',(#36475),#19717,.F.); #331320=ADVANCED_FACE('',(#36476),#19718,.F.); #331321=ADVANCED_FACE('',(#36477),#19719,.F.); #331322=ADVANCED_FACE('',(#36478),#19720,.F.); #331323=ADVANCED_FACE('',(#36479),#19721,.F.); #331324=ADVANCED_FACE('',(#36480),#19722,.F.); #331325=ADVANCED_FACE('',(#36481),#315601,.F.); #331326=ADVANCED_FACE('',(#36482),#19723,.F.); #331327=ADVANCED_FACE('',(#36483),#315602,.F.); #331328=ADVANCED_FACE('',(#36484),#19724,.F.); #331329=ADVANCED_FACE('',(#36485),#315603,.T.); #331330=ADVANCED_FACE('',(#36486),#315604,.F.); #331331=ADVANCED_FACE('',(#36487),#19725,.F.); #331332=ADVANCED_FACE('',(#36488),#315605,.F.); #331333=ADVANCED_FACE('',(#36489),#19726,.F.); #331334=ADVANCED_FACE('',(#36490),#19727,.F.); #331335=ADVANCED_FACE('',(#36491),#19728,.F.); #331336=ADVANCED_FACE('',(#36492),#315606,.T.); #331337=ADVANCED_FACE('',(#36493),#19729,.F.); #331338=ADVANCED_FACE('',(#36494),#19730,.T.); #331339=ADVANCED_FACE('',(#36495),#19731,.F.); #331340=ADVANCED_FACE('',(#36496),#19732,.F.); #331341=ADVANCED_FACE('',(#36497),#19733,.F.); #331342=ADVANCED_FACE('',(#36498,#7828),#19734,.T.); #331343=ADVANCED_FACE('',(#36499,#7829),#19735,.F.); #331344=ADVANCED_FACE('',(#36500),#19736,.T.); #331345=ADVANCED_FACE('',(#36501),#19737,.T.); #331346=ADVANCED_FACE('',(#36502),#315607,.F.); #331347=ADVANCED_FACE('',(#36503),#315608,.F.); #331348=ADVANCED_FACE('',(#36504,#7830,#7831),#19738,.T.); #331349=ADVANCED_FACE('',(#36505,#7832),#19739,.T.); #331350=ADVANCED_FACE('',(#36506),#19740,.F.); #331351=ADVANCED_FACE('',(#36507,#7833),#19741,.T.); #331352=ADVANCED_FACE('',(#36508),#19742,.F.); #331353=ADVANCED_FACE('',(#36509),#19743,.F.); #331354=ADVANCED_FACE('',(#36510),#19744,.F.); #331355=ADVANCED_FACE('',(#36511),#19745,.F.); #331356=ADVANCED_FACE('',(#36512),#19746,.F.); #331357=ADVANCED_FACE('',(#36513),#19747,.T.); #331358=ADVANCED_FACE('',(#36514,#7834),#19748,.T.); #331359=ADVANCED_FACE('',(#36515),#315609,.F.); #331360=ADVANCED_FACE('',(#36516),#19749,.F.); #331361=ADVANCED_FACE('',(#36517),#19750,.F.); #331362=ADVANCED_FACE('',(#36518),#19751,.F.); #331363=ADVANCED_FACE('',(#36519),#19752,.F.); #331364=ADVANCED_FACE('',(#36520,#7835),#19753,.F.); #331365=ADVANCED_FACE('',(#36521),#19754,.F.); #331366=ADVANCED_FACE('',(#36522,#7836),#19755,.F.); #331367=ADVANCED_FACE('',(#36523),#315610,.F.); #331368=ADVANCED_FACE('',(#36524),#315611,.F.); #331369=ADVANCED_FACE('',(#36525),#315612,.T.); #331370=ADVANCED_FACE('',(#36526),#315613,.T.); #331371=ADVANCED_FACE('',(#36527),#315614,.F.); #331372=ADVANCED_FACE('',(#36528),#315615,.T.); #331373=ADVANCED_FACE('',(#36529),#19756,.T.); #331374=ADVANCED_FACE('',(#36530),#19757,.F.); #331375=ADVANCED_FACE('',(#36531),#19758,.T.); #331376=ADVANCED_FACE('',(#36532),#19759,.T.); #331377=ADVANCED_FACE('',(#36533),#19760,.F.); #331378=ADVANCED_FACE('',(#36534),#315616,.F.); #331379=ADVANCED_FACE('',(#36535),#315617,.F.); #331380=ADVANCED_FACE('',(#36536),#315618,.F.); #331381=ADVANCED_FACE('',(#36537,#7837),#19761,.F.); #331382=ADVANCED_FACE('',(#36538),#315619,.T.); #331383=ADVANCED_FACE('',(#36539,#7838,#7839),#19762,.F.); #331384=ADVANCED_FACE('',(#36540),#315620,.T.); #331385=ADVANCED_FACE('',(#36541),#315621,.T.); #331386=ADVANCED_FACE('',(#36542),#315622,.F.); #331387=ADVANCED_FACE('',(#36543),#315623,.F.); #331388=ADVANCED_FACE('',(#36544),#315624,.F.); #331389=ADVANCED_FACE('',(#36545),#19763,.F.); #331390=ADVANCED_FACE('',(#36546),#19764,.F.); #331391=ADVANCED_FACE('',(#36547),#315625,.T.); #331392=ADVANCED_FACE('',(#36548),#19765,.F.); #331393=ADVANCED_FACE('',(#36549),#315626,.F.); #331394=ADVANCED_FACE('',(#36550),#19766,.F.); #331395=ADVANCED_FACE('',(#36551),#19767,.F.); #331396=ADVANCED_FACE('',(#36552),#315627,.T.); #331397=ADVANCED_FACE('',(#36553),#19768,.F.); #331398=ADVANCED_FACE('',(#36554),#315628,.F.); #331399=ADVANCED_FACE('',(#36555),#19769,.F.); #331400=ADVANCED_FACE('',(#36556),#315629,.T.); #331401=ADVANCED_FACE('',(#36557),#19770,.F.); #331402=ADVANCED_FACE('',(#36558),#315630,.F.); #331403=ADVANCED_FACE('',(#36559),#315631,.T.); #331404=ADVANCED_FACE('',(#36560),#19771,.F.); #331405=ADVANCED_FACE('',(#36561),#315632,.F.); #331406=ADVANCED_FACE('',(#36562),#19772,.F.); #331407=ADVANCED_FACE('',(#36563),#315633,.F.); #331408=ADVANCED_FACE('',(#36564),#19773,.F.); #331409=ADVANCED_FACE('',(#36565),#315634,.F.); #331410=ADVANCED_FACE('',(#36566),#19774,.F.); #331411=ADVANCED_FACE('',(#36567),#19775,.F.); #331412=ADVANCED_FACE('',(#36568),#315635,.F.); #331413=ADVANCED_FACE('',(#36569),#19776,.F.); #331414=ADVANCED_FACE('',(#36570),#315636,.F.); #331415=ADVANCED_FACE('',(#36571),#19777,.F.); #331416=ADVANCED_FACE('',(#36572),#315637,.T.); #331417=ADVANCED_FACE('',(#36573),#19778,.T.); #331418=ADVANCED_FACE('',(#36574),#315638,.F.); #331419=ADVANCED_FACE('',(#36575),#19779,.T.); #331420=ADVANCED_FACE('',(#36576),#315639,.F.); #331421=ADVANCED_FACE('',(#36577),#19780,.T.); #331422=ADVANCED_FACE('',(#36578),#19781,.T.); #331423=ADVANCED_FACE('',(#36579),#315640,.F.); #331424=ADVANCED_FACE('',(#36580),#19782,.T.); #331425=ADVANCED_FACE('',(#36581),#315641,.F.); #331426=ADVANCED_FACE('',(#36582),#19783,.T.); #331427=ADVANCED_FACE('',(#36583),#315642,.T.); #331428=ADVANCED_FACE('',(#36584),#19784,.T.); #331429=ADVANCED_FACE('',(#36585),#315643,.T.); #331430=ADVANCED_FACE('',(#36586),#19785,.T.); #331431=ADVANCED_FACE('',(#36587),#315644,.T.); #331432=ADVANCED_FACE('',(#36588),#19786,.T.); #331433=ADVANCED_FACE('',(#36589),#315645,.T.); #331434=ADVANCED_FACE('',(#36590),#19787,.T.); #331435=ADVANCED_FACE('',(#36591),#315646,.T.); #331436=ADVANCED_FACE('',(#36592),#19788,.T.); #331437=ADVANCED_FACE('',(#36593),#315647,.T.); #331438=ADVANCED_FACE('',(#36594),#315648,.F.); #331439=ADVANCED_FACE('',(#36595),#315649,.T.); #331440=ADVANCED_FACE('',(#36596),#19789,.T.); #331441=ADVANCED_FACE('',(#36597),#315650,.T.); #331442=ADVANCED_FACE('',(#36598),#19790,.T.); #331443=ADVANCED_FACE('',(#36599),#315651,.F.); #331444=ADVANCED_FACE('',(#36600),#315652,.T.); #331445=ADVANCED_FACE('',(#36601),#19791,.F.); #331446=ADVANCED_FACE('',(#36602),#19792,.F.); #331447=ADVANCED_FACE('',(#36603),#19793,.F.); #331448=ADVANCED_FACE('',(#36604),#315653,.T.); #331449=ADVANCED_FACE('',(#36605),#19794,.F.); #331450=ADVANCED_FACE('',(#36606),#315654,.T.); #331451=ADVANCED_FACE('',(#36607),#19795,.F.); #331452=ADVANCED_FACE('',(#36608),#315655,.T.); #331453=ADVANCED_FACE('',(#36609),#19796,.F.); #331454=ADVANCED_FACE('',(#36610),#315656,.T.); #331455=ADVANCED_FACE('',(#36611),#19797,.F.); #331456=ADVANCED_FACE('',(#36612),#315657,.F.); #331457=ADVANCED_FACE('',(#36613),#315658,.T.); #331458=ADVANCED_FACE('',(#36614),#19798,.F.); #331459=ADVANCED_FACE('',(#36615),#19799,.F.); #331460=ADVANCED_FACE('',(#36616),#315659,.F.); #331461=ADVANCED_FACE('',(#36617),#315660,.F.); #331462=ADVANCED_FACE('',(#36618),#315661,.F.); #331463=ADVANCED_FACE('',(#36619),#19800,.T.); #331464=ADVANCED_FACE('',(#36620),#236,.F.); #331465=ADVANCED_FACE('',(#36621),#19801,.T.); #331466=ADVANCED_FACE('',(#36622),#237,.F.); #331467=ADVANCED_FACE('',(#36623),#19802,.T.); #331468=ADVANCED_FACE('',(#36624),#238,.F.); #331469=ADVANCED_FACE('',(#36625),#19803,.T.); #331470=ADVANCED_FACE('',(#36626),#239,.F.); #331471=ADVANCED_FACE('',(#36627),#19804,.T.); #331472=ADVANCED_FACE('',(#36628),#19805,.F.); #331473=ADVANCED_FACE('',(#36629),#19806,.F.); #331474=ADVANCED_FACE('',(#36630),#19807,.F.); #331475=ADVANCED_FACE('',(#36631),#19808,.F.); #331476=ADVANCED_FACE('',(#36632),#19809,.F.); #331477=ADVANCED_FACE('',(#36633),#19810,.F.); #331478=ADVANCED_FACE('',(#36634),#315662,.T.); #331479=ADVANCED_FACE('',(#36635),#19811,.F.); #331480=ADVANCED_FACE('',(#36636),#315663,.F.); #331481=ADVANCED_FACE('',(#36637),#19812,.F.); #331482=ADVANCED_FACE('',(#36638),#315664,.F.); #331483=ADVANCED_FACE('',(#36639),#19813,.F.); #331484=ADVANCED_FACE('',(#36640),#315665,.T.); #331485=ADVANCED_FACE('',(#36641),#315666,.F.); #331486=ADVANCED_FACE('',(#36642),#19814,.T.); #331487=ADVANCED_FACE('',(#36643),#315667,.T.); #331488=ADVANCED_FACE('',(#36644),#19815,.T.); #331489=ADVANCED_FACE('',(#36645),#315668,.T.); #331490=ADVANCED_FACE('',(#36646),#19816,.T.); #331491=ADVANCED_FACE('',(#36647),#315669,.F.); #331492=ADVANCED_FACE('',(#36648),#19817,.F.); #331493=ADVANCED_FACE('',(#36649),#19818,.F.); #331494=ADVANCED_FACE('',(#36650),#19819,.F.); #331495=ADVANCED_FACE('',(#36651),#19820,.F.); #331496=ADVANCED_FACE('',(#36652),#19821,.F.); #331497=ADVANCED_FACE('',(#36653),#19822,.F.); #331498=ADVANCED_FACE('',(#36654),#315670,.T.); #331499=ADVANCED_FACE('',(#36655),#19823,.F.); #331500=ADVANCED_FACE('',(#36656),#315671,.F.); #331501=ADVANCED_FACE('',(#36657),#19824,.F.); #331502=ADVANCED_FACE('',(#36658),#315672,.F.); #331503=ADVANCED_FACE('',(#36659),#19825,.F.); #331504=ADVANCED_FACE('',(#36660),#315673,.T.); #331505=ADVANCED_FACE('',(#36661),#315674,.F.); #331506=ADVANCED_FACE('',(#36662),#19826,.T.); #331507=ADVANCED_FACE('',(#36663),#315675,.T.); #331508=ADVANCED_FACE('',(#36664),#19827,.T.); #331509=ADVANCED_FACE('',(#36665),#315676,.T.); #331510=ADVANCED_FACE('',(#36666),#19828,.T.); #331511=ADVANCED_FACE('',(#36667),#315677,.F.); #331512=ADVANCED_FACE('',(#36668),#19829,.F.); #331513=ADVANCED_FACE('',(#36669),#315678,.T.); #331514=ADVANCED_FACE('',(#36670),#19830,.F.); #331515=ADVANCED_FACE('',(#36671),#315679,.T.); #331516=ADVANCED_FACE('',(#36672),#19831,.F.); #331517=ADVANCED_FACE('',(#36673),#315680,.F.); #331518=ADVANCED_FACE('',(#36674),#19832,.T.); #331519=ADVANCED_FACE('',(#36675),#68,.F.); #331520=ADVANCED_FACE('',(#36676),#315681,.T.); #331521=ADVANCED_FACE('',(#36677),#315682,.F.); #331522=ADVANCED_FACE('',(#36678),#315683,.T.); #331523=ADVANCED_FACE('',(#36679),#19833,.T.); #331524=ADVANCED_FACE('',(#36680),#315684,.T.); #331525=ADVANCED_FACE('',(#36681),#19834,.F.); #331526=ADVANCED_FACE('',(#36682),#272,.T.); #331527=ADVANCED_FACE('',(#36683),#315685,.T.); #331528=ADVANCED_FACE('',(#36684),#273,.T.); #331529=ADVANCED_FACE('',(#36685),#19835,.T.); #331530=ADVANCED_FACE('',(#36686),#19836,.T.); #331531=ADVANCED_FACE('',(#36687),#19837,.T.); #331532=ADVANCED_FACE('',(#36688),#19838,.F.); #331533=ADVANCED_FACE('',(#36689),#19839,.T.); #331534=ADVANCED_FACE('',(#36690),#19840,.T.); #331535=ADVANCED_FACE('',(#36691),#19841,.T.); #331536=ADVANCED_FACE('',(#36692),#315686,.T.); #331537=ADVANCED_FACE('',(#36693),#19842,.T.); #331538=ADVANCED_FACE('',(#36694),#315687,.T.); #331539=ADVANCED_FACE('',(#36695),#315688,.F.); #331540=ADVANCED_FACE('',(#36696),#315689,.T.); #331541=ADVANCED_FACE('',(#36697),#19843,.T.); #331542=ADVANCED_FACE('',(#36698),#315690,.T.); #331543=ADVANCED_FACE('',(#36699),#19844,.T.); #331544=ADVANCED_FACE('',(#36700),#315691,.T.); #331545=ADVANCED_FACE('',(#36701),#19845,.T.); #331546=ADVANCED_FACE('',(#36702),#315692,.T.); #331547=ADVANCED_FACE('',(#36703),#19846,.T.); #331548=ADVANCED_FACE('',(#36704),#315693,.T.); #331549=ADVANCED_FACE('',(#36705),#19847,.F.); #331550=ADVANCED_FACE('',(#36706),#315694,.T.); #331551=ADVANCED_FACE('',(#36707),#315695,.F.); #331552=ADVANCED_FACE('',(#36708),#19848,.F.); #331553=ADVANCED_FACE('',(#36709),#315696,.T.); #331554=ADVANCED_FACE('',(#36710),#19849,.F.); #331555=ADVANCED_FACE('',(#36711),#315697,.T.); #331556=ADVANCED_FACE('',(#36712),#19850,.F.); #331557=ADVANCED_FACE('',(#36713),#315698,.T.); #331558=ADVANCED_FACE('',(#36714),#19851,.F.); #331559=ADVANCED_FACE('',(#36715),#315699,.T.); #331560=ADVANCED_FACE('',(#36716),#19852,.F.); #331561=ADVANCED_FACE('',(#36717),#19853,.F.); #331562=ADVANCED_FACE('',(#36718),#19854,.F.); #331563=ADVANCED_FACE('',(#36719),#315700,.T.); #331564=ADVANCED_FACE('',(#36720),#315701,.F.); #331565=ADVANCED_FACE('',(#36721),#19855,.F.); #331566=ADVANCED_FACE('',(#36722),#315702,.F.); #331567=ADVANCED_FACE('',(#36723),#315703,.F.); #331568=ADVANCED_FACE('',(#36724),#315704,.F.); #331569=ADVANCED_FACE('',(#36725),#19856,.F.); #331570=ADVANCED_FACE('',(#36726),#19857,.F.); #331571=ADVANCED_FACE('',(#36727),#19858,.F.); #331572=ADVANCED_FACE('',(#36728),#19859,.F.); #331573=ADVANCED_FACE('',(#36729),#19860,.F.); #331574=ADVANCED_FACE('',(#36730),#19861,.F.); #331575=ADVANCED_FACE('',(#36731),#315705,.T.); #331576=ADVANCED_FACE('',(#36732),#19862,.F.); #331577=ADVANCED_FACE('',(#36733),#315706,.F.); #331578=ADVANCED_FACE('',(#36734),#19863,.F.); #331579=ADVANCED_FACE('',(#36735),#315707,.F.); #331580=ADVANCED_FACE('',(#36736),#19864,.F.); #331581=ADVANCED_FACE('',(#36737),#315708,.T.); #331582=ADVANCED_FACE('',(#36738),#315709,.F.); #331583=ADVANCED_FACE('',(#36739),#19865,.T.); #331584=ADVANCED_FACE('',(#36740),#315710,.T.); #331585=ADVANCED_FACE('',(#36741),#19866,.T.); #331586=ADVANCED_FACE('',(#36742),#315711,.T.); #331587=ADVANCED_FACE('',(#36743),#19867,.T.); #331588=ADVANCED_FACE('',(#36744),#315712,.F.); #331589=ADVANCED_FACE('',(#36745),#19868,.F.); #331590=ADVANCED_FACE('',(#36746),#19869,.F.); #331591=ADVANCED_FACE('',(#36747),#19870,.F.); #331592=ADVANCED_FACE('',(#36748),#19871,.F.); #331593=ADVANCED_FACE('',(#36749),#19872,.F.); #331594=ADVANCED_FACE('',(#36750),#19873,.F.); #331595=ADVANCED_FACE('',(#36751),#315713,.T.); #331596=ADVANCED_FACE('',(#36752),#19874,.F.); #331597=ADVANCED_FACE('',(#36753),#315714,.F.); #331598=ADVANCED_FACE('',(#36754),#19875,.F.); #331599=ADVANCED_FACE('',(#36755),#315715,.F.); #331600=ADVANCED_FACE('',(#36756),#19876,.F.); #331601=ADVANCED_FACE('',(#36757),#315716,.T.); #331602=ADVANCED_FACE('',(#36758),#315717,.F.); #331603=ADVANCED_FACE('',(#36759),#19877,.T.); #331604=ADVANCED_FACE('',(#36760),#315718,.T.); #331605=ADVANCED_FACE('',(#36761),#19878,.T.); #331606=ADVANCED_FACE('',(#36762),#315719,.T.); #331607=ADVANCED_FACE('',(#36763),#19879,.T.); #331608=ADVANCED_FACE('',(#36764),#315720,.F.); #331609=ADVANCED_FACE('',(#36765),#315721,.T.); #331610=ADVANCED_FACE('',(#36766),#19880,.F.); #331611=ADVANCED_FACE('',(#36767),#315722,.T.); #331612=ADVANCED_FACE('',(#36768),#19881,.F.); #331613=ADVANCED_FACE('',(#36769),#315723,.F.); #331614=ADVANCED_FACE('',(#36770),#19882,.F.); #331615=ADVANCED_FACE('',(#36771),#19883,.T.); #331616=ADVANCED_FACE('',(#36772),#69,.F.); #331617=ADVANCED_FACE('',(#36773),#315724,.T.); #331618=ADVANCED_FACE('',(#36774),#315725,.F.); #331619=ADVANCED_FACE('',(#36775),#315726,.T.); #331620=ADVANCED_FACE('',(#36776),#315727,.T.); #331621=ADVANCED_FACE('',(#36777),#19884,.T.); #331622=ADVANCED_FACE('',(#36778),#19885,.F.); #331623=ADVANCED_FACE('',(#36779),#274,.T.); #331624=ADVANCED_FACE('',(#36780),#315728,.T.); #331625=ADVANCED_FACE('',(#36781),#275,.T.); #331626=ADVANCED_FACE('',(#36782),#19886,.T.); #331627=ADVANCED_FACE('',(#36783),#19887,.T.); #331628=ADVANCED_FACE('',(#36784),#19888,.T.); #331629=ADVANCED_FACE('',(#36785),#19889,.F.); #331630=ADVANCED_FACE('',(#36786),#19890,.T.); #331631=ADVANCED_FACE('',(#36787),#19891,.T.); #331632=ADVANCED_FACE('',(#36788),#315729,.T.); #331633=ADVANCED_FACE('',(#36789),#19892,.T.); #331634=ADVANCED_FACE('',(#36790),#315730,.T.); #331635=ADVANCED_FACE('',(#36791),#315731,.F.); #331636=ADVANCED_FACE('',(#36792),#315732,.T.); #331637=ADVANCED_FACE('',(#36793),#19893,.T.); #331638=ADVANCED_FACE('',(#36794),#315733,.T.); #331639=ADVANCED_FACE('',(#36795),#315734,.F.); #331640=ADVANCED_FACE('',(#36796),#315735,.T.); #331641=ADVANCED_FACE('',(#36797),#19894,.T.); #331642=ADVANCED_FACE('',(#36798),#315736,.F.); #331643=ADVANCED_FACE('',(#36799),#19895,.T.); #331644=ADVANCED_FACE('',(#36800),#315737,.F.); #331645=ADVANCED_FACE('',(#36801),#19896,.T.); #331646=ADVANCED_FACE('',(#36802),#315738,.T.); #331647=ADVANCED_FACE('',(#36803),#315739,.F.); #331648=ADVANCED_FACE('',(#36804),#315740,.T.); #331649=ADVANCED_FACE('',(#36805),#19897,.T.); #331650=ADVANCED_FACE('',(#36806),#315741,.T.); #331651=ADVANCED_FACE('',(#36807),#315742,.F.); #331652=ADVANCED_FACE('',(#36808),#315743,.T.); #331653=ADVANCED_FACE('',(#36809),#19898,.T.); #331654=ADVANCED_FACE('',(#36810),#315744,.F.); #331655=ADVANCED_FACE('',(#36811),#19899,.T.); #331656=ADVANCED_FACE('',(#36812),#315745,.F.); #331657=ADVANCED_FACE('',(#36813),#19900,.T.); #331658=ADVANCED_FACE('',(#36814),#315746,.T.); #331659=ADVANCED_FACE('',(#36815),#70,.T.); #331660=ADVANCED_FACE('',(#36816),#71,.T.); #331661=ADVANCED_FACE('',(#36817),#19901,.T.); #331662=ADVANCED_FACE('',(#36818,#7840),#19902,.F.); #331663=ADVANCED_FACE('',(#36819),#315747,.T.); #331664=ADVANCED_FACE('',(#36820),#19903,.F.); #331665=ADVANCED_FACE('',(#36821,#7841),#19904,.T.); #331666=ADVANCED_FACE('',(#36822,#7842),#19905,.T.); #331667=ADVANCED_FACE('',(#36823),#19906,.F.); #331668=ADVANCED_FACE('',(#36824),#315748,.T.); #331669=ADVANCED_FACE('',(#36825),#72,.T.); #331670=ADVANCED_FACE('',(#36826,#7843),#19907,.F.); #331671=ADVANCED_FACE('',(#36827),#19908,.T.); #331672=ADVANCED_FACE('',(#36828),#73,.T.); #331673=ADVANCED_FACE('',(#36829),#315749,.F.); #331674=ADVANCED_FACE('',(#36830),#19909,.T.); #331675=ADVANCED_FACE('',(#36831),#19910,.T.); #331676=ADVANCED_FACE('',(#36832),#315750,.F.); #331677=ADVANCED_FACE('',(#36833),#19911,.T.); #331678=ADVANCED_FACE('',(#36834),#315751,.F.); #331679=ADVANCED_FACE('',(#36835),#315752,.T.); #331680=ADVANCED_FACE('',(#36836),#315753,.T.); #331681=ADVANCED_FACE('',(#36837),#315754,.T.); #331682=ADVANCED_FACE('',(#36838),#19912,.T.); #331683=ADVANCED_FACE('',(#36839),#315755,.T.); #331684=ADVANCED_FACE('',(#36840),#19913,.T.); #331685=ADVANCED_FACE('',(#36841),#315756,.F.); #331686=ADVANCED_FACE('',(#36842),#19914,.T.); #331687=ADVANCED_FACE('',(#36843),#315757,.T.); #331688=ADVANCED_FACE('',(#36844),#315758,.F.); #331689=ADVANCED_FACE('',(#36845),#19915,.T.); #331690=ADVANCED_FACE('',(#36846),#315759,.F.); #331691=ADVANCED_FACE('',(#36847),#315760,.T.); #331692=ADVANCED_FACE('',(#36848),#19916,.T.); #331693=ADVANCED_FACE('',(#36849),#315761,.T.); #331694=ADVANCED_FACE('',(#36850),#315762,.F.); #331695=ADVANCED_FACE('',(#36851),#19917,.T.); #331696=ADVANCED_FACE('',(#36852),#315763,.F.); #331697=ADVANCED_FACE('',(#36853),#315764,.T.); #331698=ADVANCED_FACE('',(#36854),#19918,.T.); #331699=ADVANCED_FACE('',(#36855),#315765,.F.); #331700=ADVANCED_FACE('',(#36856),#19919,.T.); #331701=ADVANCED_FACE('',(#36857),#315766,.T.); #331702=ADVANCED_FACE('',(#36858),#19920,.T.); #331703=ADVANCED_FACE('',(#36859),#315767,.T.); #331704=ADVANCED_FACE('',(#36860),#315768,.T.); #331705=ADVANCED_FACE('',(#36861),#315769,.T.); #331706=ADVANCED_FACE('',(#36862),#315770,.F.); #331707=ADVANCED_FACE('',(#36863),#19921,.T.); #331708=ADVANCED_FACE('',(#36864),#19922,.T.); #331709=ADVANCED_FACE('',(#36865),#315771,.F.); #331710=ADVANCED_FACE('',(#36866),#315772,.F.); #331711=ADVANCED_FACE('',(#36867),#19923,.T.); #331712=ADVANCED_FACE('',(#36868),#19924,.F.); #331713=ADVANCED_FACE('',(#36869),#315773,.F.); #331714=ADVANCED_FACE('',(#36870),#19925,.T.); #331715=ADVANCED_FACE('',(#36871),#315774,.F.); #331716=ADVANCED_FACE('',(#36872),#19926,.T.); #331717=ADVANCED_FACE('',(#36873),#74,.T.); #331718=ADVANCED_FACE('',(#36874),#19927,.T.); #331719=ADVANCED_FACE('',(#36875),#75,.T.); #331720=ADVANCED_FACE('',(#36876),#76,.T.); #331721=ADVANCED_FACE('',(#36877),#315775,.T.); #331722=ADVANCED_FACE('',(#36878),#315776,.F.); #331723=ADVANCED_FACE('',(#36879),#77,.T.); #331724=ADVANCED_FACE('',(#36880),#78,.T.); #331725=ADVANCED_FACE('',(#36881),#19928,.T.); #331726=ADVANCED_FACE('',(#36882),#79,.T.); #331727=ADVANCED_FACE('',(#36883),#315777,.T.); #331728=ADVANCED_FACE('',(#36884),#315778,.F.); #331729=ADVANCED_FACE('',(#36885),#315779,.T.); #331730=ADVANCED_FACE('',(#36886),#19929,.T.); #331731=ADVANCED_FACE('',(#36887),#315780,.F.); #331732=ADVANCED_FACE('',(#36888),#19930,.T.); #331733=ADVANCED_FACE('',(#36889),#315781,.F.); #331734=ADVANCED_FACE('',(#36890),#19931,.T.); #331735=ADVANCED_FACE('',(#36891),#315782,.T.); #331736=ADVANCED_FACE('',(#36892),#315783,.T.); #331737=ADVANCED_FACE('',(#36893),#19932,.F.); #331738=ADVANCED_FACE('',(#36894),#315784,.F.); #331739=ADVANCED_FACE('',(#36895),#19933,.F.); #331740=ADVANCED_FACE('',(#36896),#315785,.F.); #331741=ADVANCED_FACE('',(#36897),#19934,.F.); #331742=ADVANCED_FACE('',(#36898),#315786,.T.); #331743=ADVANCED_FACE('',(#36899),#315787,.F.); #331744=ADVANCED_FACE('',(#36900),#19935,.T.); #331745=ADVANCED_FACE('',(#36901),#315788,.T.); #331746=ADVANCED_FACE('',(#36902),#19936,.T.); #331747=ADVANCED_FACE('',(#36903),#315789,.T.); #331748=ADVANCED_FACE('',(#36904),#19937,.T.); #331749=ADVANCED_FACE('',(#36905),#315790,.F.); #331750=ADVANCED_FACE('',(#36906),#315791,.F.); #331751=ADVANCED_FACE('',(#36907),#19938,.F.); #331752=ADVANCED_FACE('',(#36908),#315792,.T.); #331753=ADVANCED_FACE('',(#36909),#19939,.F.); #331754=ADVANCED_FACE('',(#36910),#315793,.T.); #331755=ADVANCED_FACE('',(#36911),#19940,.F.); #331756=ADVANCED_FACE('',(#36912),#315794,.F.); #331757=ADVANCED_FACE('',(#36913),#19941,.F.); #331758=ADVANCED_FACE('',(#36914),#19942,.F.); #331759=ADVANCED_FACE('',(#36915),#19943,.F.); #331760=ADVANCED_FACE('',(#36916),#19944,.F.); #331761=ADVANCED_FACE('',(#36917),#19945,.F.); #331762=ADVANCED_FACE('',(#36918),#19946,.F.); #331763=ADVANCED_FACE('',(#36919),#19947,.F.); #331764=ADVANCED_FACE('',(#36920),#19948,.T.); #331765=ADVANCED_FACE('',(#36921),#19949,.F.); #331766=ADVANCED_FACE('',(#36922),#19950,.T.); #331767=ADVANCED_FACE('',(#36923),#315795,.F.); #331768=ADVANCED_FACE('',(#36924),#19951,.F.); #331769=ADVANCED_FACE('',(#36925),#315796,.T.); #331770=ADVANCED_FACE('',(#36926),#19952,.F.); #331771=ADVANCED_FACE('',(#36927),#315797,.T.); #331772=ADVANCED_FACE('',(#36928),#19953,.T.); #331773=ADVANCED_FACE('',(#36929),#315798,.F.); #331774=ADVANCED_FACE('',(#36930),#19954,.T.); #331775=ADVANCED_FACE('',(#36931),#19955,.T.); #331776=ADVANCED_FACE('',(#36932),#19956,.F.); #331777=ADVANCED_FACE('',(#36933),#19957,.F.); #331778=ADVANCED_FACE('',(#36934),#19958,.T.); #331779=ADVANCED_FACE('',(#36935),#19959,.T.); #331780=ADVANCED_FACE('',(#36936,#7844),#19960,.T.); #331781=ADVANCED_FACE('',(#36937,#7845),#19961,.T.); #331782=ADVANCED_FACE('',(#36938,#7846,#7847),#19962,.T.); #331783=ADVANCED_FACE('',(#36939),#19963,.F.); #331784=ADVANCED_FACE('',(#36940),#19964,.F.); #331785=ADVANCED_FACE('',(#36941),#19965,.F.); #331786=ADVANCED_FACE('',(#36942),#19966,.F.); #331787=ADVANCED_FACE('',(#36943),#19967,.F.); #331788=ADVANCED_FACE('',(#36944),#19968,.F.); #331789=ADVANCED_FACE('',(#36945),#19969,.T.); #331790=ADVANCED_FACE('',(#36946),#19970,.F.); #331791=ADVANCED_FACE('',(#36947),#19971,.F.); #331792=ADVANCED_FACE('',(#36948),#19972,.F.); #331793=ADVANCED_FACE('',(#36949),#19973,.F.); #331794=ADVANCED_FACE('',(#36950),#19974,.F.); #331795=ADVANCED_FACE('',(#36951),#19975,.F.); #331796=ADVANCED_FACE('',(#36952),#19976,.F.); #331797=ADVANCED_FACE('',(#36953),#19977,.F.); #331798=ADVANCED_FACE('',(#36954),#19978,.F.); #331799=ADVANCED_FACE('',(#36955),#19979,.F.); #331800=ADVANCED_FACE('',(#36956),#19980,.F.); #331801=ADVANCED_FACE('',(#36957),#19981,.F.); #331802=ADVANCED_FACE('',(#36958),#315799,.F.); #331803=ADVANCED_FACE('',(#36959),#19982,.F.); #331804=ADVANCED_FACE('',(#36960),#19983,.F.); #331805=ADVANCED_FACE('',(#36961),#315800,.F.); #331806=ADVANCED_FACE('',(#36962),#19984,.F.); #331807=ADVANCED_FACE('',(#36963),#19985,.F.); #331808=ADVANCED_FACE('',(#36964),#19986,.F.); #331809=ADVANCED_FACE('',(#36965),#19987,.F.); #331810=ADVANCED_FACE('',(#36966),#19988,.F.); #331811=ADVANCED_FACE('',(#36967),#19989,.F.); #331812=ADVANCED_FACE('',(#36968),#19990,.F.); #331813=ADVANCED_FACE('',(#36969),#19991,.F.); #331814=ADVANCED_FACE('',(#36970),#19992,.F.); #331815=ADVANCED_FACE('',(#36971),#19993,.F.); #331816=ADVANCED_FACE('',(#36972),#19994,.F.); #331817=ADVANCED_FACE('',(#36973),#19995,.T.); #331818=ADVANCED_FACE('',(#36974),#19996,.T.); #331819=ADVANCED_FACE('',(#36975),#19997,.T.); #331820=ADVANCED_FACE('',(#36976),#19998,.T.); #331821=ADVANCED_FACE('',(#36977),#19999,.T.); #331822=ADVANCED_FACE('',(#36978),#20000,.F.); #331823=ADVANCED_FACE('',(#36979),#20001,.F.); #331824=ADVANCED_FACE('',(#36980),#20002,.F.); #331825=ADVANCED_FACE('',(#36981),#20003,.F.); #331826=ADVANCED_FACE('',(#36982),#20004,.T.); #331827=ADVANCED_FACE('',(#36983),#20005,.T.); #331828=ADVANCED_FACE('',(#36984),#20006,.T.); #331829=ADVANCED_FACE('',(#36985),#20007,.T.); #331830=ADVANCED_FACE('',(#36986),#20008,.T.); #331831=ADVANCED_FACE('',(#36987),#20009,.F.); #331832=ADVANCED_FACE('',(#36988),#20010,.T.); #331833=ADVANCED_FACE('',(#36989),#20011,.T.); #331834=ADVANCED_FACE('',(#36990),#20012,.F.); #331835=ADVANCED_FACE('',(#36991),#20013,.T.); #331836=ADVANCED_FACE('',(#36992),#20014,.F.); #331837=ADVANCED_FACE('',(#36993),#20015,.T.); #331838=ADVANCED_FACE('',(#36994),#20016,.F.); #331839=ADVANCED_FACE('',(#36995),#20017,.T.); #331840=ADVANCED_FACE('',(#36996),#20018,.F.); #331841=ADVANCED_FACE('',(#36997),#20019,.T.); #331842=ADVANCED_FACE('',(#36998),#20020,.T.); #331843=ADVANCED_FACE('',(#36999),#20021,.F.); #331844=ADVANCED_FACE('',(#37000),#20022,.T.); #331845=ADVANCED_FACE('',(#37001),#20023,.F.); #331846=ADVANCED_FACE('',(#37002),#20024,.T.); #331847=ADVANCED_FACE('',(#37003),#20025,.F.); #331848=ADVANCED_FACE('',(#37004),#20026,.T.); #331849=ADVANCED_FACE('',(#37005),#20027,.F.); #331850=ADVANCED_FACE('',(#37006),#20028,.T.); #331851=ADVANCED_FACE('',(#37007),#20029,.F.); #331852=ADVANCED_FACE('',(#37008),#20030,.T.); #331853=ADVANCED_FACE('',(#37009),#315801,.F.); #331854=ADVANCED_FACE('',(#37010),#315802,.F.); #331855=ADVANCED_FACE('',(#37011),#20031,.T.); #331856=ADVANCED_FACE('',(#37012),#20032,.T.); #331857=ADVANCED_FACE('',(#37013),#20033,.T.); #331858=ADVANCED_FACE('',(#37014),#276,.T.); #331859=ADVANCED_FACE('',(#37015),#277,.T.); #331860=ADVANCED_FACE('',(#37016),#278,.T.); #331861=ADVANCED_FACE('',(#37017),#279,.T.); #331862=ADVANCED_FACE('',(#37018),#280,.T.); #331863=ADVANCED_FACE('',(#37019),#315803,.T.); #331864=ADVANCED_FACE('',(#37020),#281,.T.); #331865=ADVANCED_FACE('',(#37021),#282,.T.); #331866=ADVANCED_FACE('',(#37022),#315804,.T.); #331867=ADVANCED_FACE('',(#37023),#315805,.T.); #331868=ADVANCED_FACE('',(#37024),#283,.T.); #331869=ADVANCED_FACE('',(#37025),#315806,.T.); #331870=ADVANCED_FACE('',(#37026),#315807,.T.); #331871=ADVANCED_FACE('',(#37027),#315808,.T.); #331872=ADVANCED_FACE('',(#37028),#315809,.T.); #331873=ADVANCED_FACE('',(#37029),#315810,.T.); #331874=ADVANCED_FACE('',(#37030),#315811,.T.); #331875=ADVANCED_FACE('',(#37031),#315812,.T.); #331876=ADVANCED_FACE('',(#37032),#315813,.T.); #331877=ADVANCED_FACE('',(#37033),#315814,.T.); #331878=ADVANCED_FACE('',(#37034),#20034,.T.); #331879=ADVANCED_FACE('',(#37035),#20035,.F.); #331880=ADVANCED_FACE('',(#37036),#20036,.T.); #331881=ADVANCED_FACE('',(#37037),#20037,.T.); #331882=ADVANCED_FACE('',(#37038),#20038,.T.); #331883=ADVANCED_FACE('',(#37039),#20039,.T.); #331884=ADVANCED_FACE('',(#37040),#284,.T.); #331885=ADVANCED_FACE('',(#37041),#285,.T.); #331886=ADVANCED_FACE('',(#37042),#286,.T.); #331887=ADVANCED_FACE('',(#37043),#287,.T.); #331888=ADVANCED_FACE('',(#37044),#288,.T.); #331889=ADVANCED_FACE('',(#37045),#315815,.T.); #331890=ADVANCED_FACE('',(#37046),#289,.T.); #331891=ADVANCED_FACE('',(#37047),#290,.T.); #331892=ADVANCED_FACE('',(#37048),#315816,.T.); #331893=ADVANCED_FACE('',(#37049),#315817,.T.); #331894=ADVANCED_FACE('',(#37050),#291,.T.); #331895=ADVANCED_FACE('',(#37051),#315818,.T.); #331896=ADVANCED_FACE('',(#37052),#315819,.T.); #331897=ADVANCED_FACE('',(#37053),#315820,.T.); #331898=ADVANCED_FACE('',(#37054),#315821,.T.); #331899=ADVANCED_FACE('',(#37055),#315822,.T.); #331900=ADVANCED_FACE('',(#37056),#315823,.T.); #331901=ADVANCED_FACE('',(#37057),#315824,.T.); #331902=ADVANCED_FACE('',(#37058),#315825,.T.); #331903=ADVANCED_FACE('',(#37059),#315826,.T.); #331904=ADVANCED_FACE('',(#37060),#20040,.T.); #331905=ADVANCED_FACE('',(#37061),#20041,.F.); #331906=ADVANCED_FACE('',(#37062),#20042,.T.); #331907=ADVANCED_FACE('',(#37063),#20043,.T.); #331908=ADVANCED_FACE('',(#37064),#20044,.T.); #331909=ADVANCED_FACE('',(#37065),#20045,.T.); #331910=ADVANCED_FACE('',(#37066),#20046,.T.); #331911=ADVANCED_FACE('',(#37067),#20047,.T.); #331912=ADVANCED_FACE('',(#37068),#20048,.T.); #331913=ADVANCED_FACE('',(#37069),#20049,.T.); #331914=ADVANCED_FACE('',(#37070),#20050,.T.); #331915=ADVANCED_FACE('',(#37071),#20051,.F.); #331916=ADVANCED_FACE('',(#37072),#292,.T.); #331917=ADVANCED_FACE('',(#37073),#293,.T.); #331918=ADVANCED_FACE('',(#37074),#294,.T.); #331919=ADVANCED_FACE('',(#37075),#295,.T.); #331920=ADVANCED_FACE('',(#37076),#296,.T.); #331921=ADVANCED_FACE('',(#37077),#315827,.T.); #331922=ADVANCED_FACE('',(#37078),#297,.T.); #331923=ADVANCED_FACE('',(#37079),#298,.T.); #331924=ADVANCED_FACE('',(#37080),#315828,.T.); #331925=ADVANCED_FACE('',(#37081),#315829,.T.); #331926=ADVANCED_FACE('',(#37082),#299,.T.); #331927=ADVANCED_FACE('',(#37083),#315830,.T.); #331928=ADVANCED_FACE('',(#37084),#315831,.T.); #331929=ADVANCED_FACE('',(#37085),#315832,.T.); #331930=ADVANCED_FACE('',(#37086),#315833,.T.); #331931=ADVANCED_FACE('',(#37087),#315834,.T.); #331932=ADVANCED_FACE('',(#37088),#315835,.T.); #331933=ADVANCED_FACE('',(#37089),#315836,.T.); #331934=ADVANCED_FACE('',(#37090),#315837,.T.); #331935=ADVANCED_FACE('',(#37091),#315838,.T.); #331936=ADVANCED_FACE('',(#37092),#20052,.T.); #331937=ADVANCED_FACE('',(#37093),#20053,.F.); #331938=ADVANCED_FACE('',(#37094),#20054,.T.); #331939=ADVANCED_FACE('',(#37095),#20055,.T.); #331940=ADVANCED_FACE('',(#37096),#20056,.T.); #331941=ADVANCED_FACE('',(#37097),#20057,.T.); #331942=ADVANCED_FACE('',(#37098),#300,.T.); #331943=ADVANCED_FACE('',(#37099),#301,.T.); #331944=ADVANCED_FACE('',(#37100),#302,.T.); #331945=ADVANCED_FACE('',(#37101),#303,.T.); #331946=ADVANCED_FACE('',(#37102),#304,.T.); #331947=ADVANCED_FACE('',(#37103),#315839,.T.); #331948=ADVANCED_FACE('',(#37104),#305,.T.); #331949=ADVANCED_FACE('',(#37105),#306,.T.); #331950=ADVANCED_FACE('',(#37106),#315840,.T.); #331951=ADVANCED_FACE('',(#37107),#315841,.T.); #331952=ADVANCED_FACE('',(#37108),#307,.T.); #331953=ADVANCED_FACE('',(#37109),#315842,.T.); #331954=ADVANCED_FACE('',(#37110),#315843,.T.); #331955=ADVANCED_FACE('',(#37111),#315844,.T.); #331956=ADVANCED_FACE('',(#37112),#315845,.T.); #331957=ADVANCED_FACE('',(#37113),#315846,.T.); #331958=ADVANCED_FACE('',(#37114),#315847,.T.); #331959=ADVANCED_FACE('',(#37115),#315848,.T.); #331960=ADVANCED_FACE('',(#37116),#315849,.T.); #331961=ADVANCED_FACE('',(#37117),#315850,.T.); #331962=ADVANCED_FACE('',(#37118),#20058,.T.); #331963=ADVANCED_FACE('',(#37119),#20059,.F.); #331964=ADVANCED_FACE('',(#37120),#20060,.T.); #331965=ADVANCED_FACE('',(#37121),#20061,.T.); #331966=ADVANCED_FACE('',(#37122),#20062,.T.); #331967=ADVANCED_FACE('',(#37123),#20063,.T.); #331968=ADVANCED_FACE('',(#37124),#20064,.T.); #331969=ADVANCED_FACE('',(#37125),#20065,.T.); #331970=ADVANCED_FACE('',(#37126),#20066,.T.); #331971=ADVANCED_FACE('',(#37127),#20067,.T.); #331972=ADVANCED_FACE('',(#37128),#20068,.T.); #331973=ADVANCED_FACE('',(#37129),#20069,.F.); #331974=ADVANCED_FACE('',(#37130),#308,.T.); #331975=ADVANCED_FACE('',(#37131),#309,.T.); #331976=ADVANCED_FACE('',(#37132),#310,.T.); #331977=ADVANCED_FACE('',(#37133),#311,.T.); #331978=ADVANCED_FACE('',(#37134),#312,.T.); #331979=ADVANCED_FACE('',(#37135),#315851,.T.); #331980=ADVANCED_FACE('',(#37136),#313,.T.); #331981=ADVANCED_FACE('',(#37137),#314,.T.); #331982=ADVANCED_FACE('',(#37138),#315852,.T.); #331983=ADVANCED_FACE('',(#37139),#315853,.T.); #331984=ADVANCED_FACE('',(#37140),#315,.T.); #331985=ADVANCED_FACE('',(#37141),#315854,.T.); #331986=ADVANCED_FACE('',(#37142),#315855,.T.); #331987=ADVANCED_FACE('',(#37143),#315856,.T.); #331988=ADVANCED_FACE('',(#37144),#315857,.T.); #331989=ADVANCED_FACE('',(#37145),#315858,.T.); #331990=ADVANCED_FACE('',(#37146),#315859,.T.); #331991=ADVANCED_FACE('',(#37147),#315860,.T.); #331992=ADVANCED_FACE('',(#37148),#315861,.T.); #331993=ADVANCED_FACE('',(#37149),#315862,.T.); #331994=ADVANCED_FACE('',(#37150),#20070,.T.); #331995=ADVANCED_FACE('',(#37151),#20071,.F.); #331996=ADVANCED_FACE('',(#37152),#20072,.T.); #331997=ADVANCED_FACE('',(#37153),#20073,.T.); #331998=ADVANCED_FACE('',(#37154),#20074,.T.); #331999=ADVANCED_FACE('',(#37155),#20075,.T.); #332000=ADVANCED_FACE('',(#37156),#316,.T.); #332001=ADVANCED_FACE('',(#37157),#317,.T.); #332002=ADVANCED_FACE('',(#37158),#318,.T.); #332003=ADVANCED_FACE('',(#37159),#319,.T.); #332004=ADVANCED_FACE('',(#37160),#320,.T.); #332005=ADVANCED_FACE('',(#37161),#315863,.T.); #332006=ADVANCED_FACE('',(#37162),#321,.T.); #332007=ADVANCED_FACE('',(#37163),#322,.T.); #332008=ADVANCED_FACE('',(#37164),#315864,.T.); #332009=ADVANCED_FACE('',(#37165),#315865,.T.); #332010=ADVANCED_FACE('',(#37166),#323,.T.); #332011=ADVANCED_FACE('',(#37167),#315866,.T.); #332012=ADVANCED_FACE('',(#37168),#315867,.T.); #332013=ADVANCED_FACE('',(#37169),#315868,.T.); #332014=ADVANCED_FACE('',(#37170),#315869,.T.); #332015=ADVANCED_FACE('',(#37171),#315870,.T.); #332016=ADVANCED_FACE('',(#37172),#315871,.T.); #332017=ADVANCED_FACE('',(#37173),#315872,.T.); #332018=ADVANCED_FACE('',(#37174),#315873,.T.); #332019=ADVANCED_FACE('',(#37175),#315874,.T.); #332020=ADVANCED_FACE('',(#37176),#20076,.T.); #332021=ADVANCED_FACE('',(#37177),#20077,.F.); #332022=ADVANCED_FACE('',(#37178),#20078,.T.); #332023=ADVANCED_FACE('',(#37179),#20079,.T.); #332024=ADVANCED_FACE('',(#37180),#20080,.T.); #332025=ADVANCED_FACE('',(#37181),#20081,.T.); #332026=ADVANCED_FACE('',(#37182),#20082,.T.); #332027=ADVANCED_FACE('',(#37183),#20083,.T.); #332028=ADVANCED_FACE('',(#37184),#20084,.T.); #332029=ADVANCED_FACE('',(#37185),#20085,.T.); #332030=ADVANCED_FACE('',(#37186),#20086,.T.); #332031=ADVANCED_FACE('',(#37187),#20087,.F.); #332032=ADVANCED_FACE('',(#37188),#315875,.F.); #332033=ADVANCED_FACE('',(#37189),#20088,.T.); #332034=ADVANCED_FACE('',(#37190),#20089,.T.); #332035=ADVANCED_FACE('',(#37191),#53,.T.); #332036=ADVANCED_FACE('',(#37192),#315876,.T.); #332037=ADVANCED_FACE('',(#37193),#54,.T.); #332038=ADVANCED_FACE('',(#37194),#55,.F.); #332039=ADVANCED_FACE('',(#37195),#56,.T.); #332040=ADVANCED_FACE('',(#37196),#315877,.T.); #332041=ADVANCED_FACE('',(#37197),#57,.T.); #332042=ADVANCED_FACE('',(#37198,#7848),#20090,.T.); #332043=ADVANCED_FACE('',(#37199),#20091,.F.); #332044=ADVANCED_FACE('',(#37200),#58,.F.); #332045=ADVANCED_FACE('',(#37201),#59,.T.); #332046=ADVANCED_FACE('',(#37202),#315878,.T.); #332047=ADVANCED_FACE('',(#37203),#60,.T.); #332048=ADVANCED_FACE('',(#37204),#20092,.T.); #332049=ADVANCED_FACE('',(#37205),#61,.F.); #332050=ADVANCED_FACE('',(#37206),#315879,.F.); #332051=ADVANCED_FACE('',(#37207),#62,.F.); #332052=ADVANCED_FACE('',(#37208),#63,.T.); #332053=ADVANCED_FACE('',(#37209),#64,.F.); #332054=ADVANCED_FACE('',(#37210),#315880,.F.); #332055=ADVANCED_FACE('',(#37211),#65,.F.); #332056=ADVANCED_FACE('',(#37212),#20093,.T.); #332057=ADVANCED_FACE('',(#37213),#66,.T.); #332058=ADVANCED_FACE('',(#37214),#315881,.T.); #332059=ADVANCED_FACE('',(#37215),#67,.T.); #332060=ADVANCED_FACE('',(#37216),#20094,.T.); #332061=ADVANCED_FACE('',(#37217),#315882,.T.); #332062=ADVANCED_FACE('',(#37218),#20095,.T.); #332063=ADVANCED_FACE('',(#37219),#20096,.F.); #332064=ADVANCED_FACE('',(#37220),#315883,.T.); #332065=ADVANCED_FACE('',(#37221),#20097,.F.); #332066=ADVANCED_FACE('',(#37222),#20098,.T.); #332067=ADVANCED_FACE('',(#37223),#20099,.F.); #332068=ADVANCED_FACE('',(#37224),#20100,.T.); #332069=ADVANCED_FACE('',(#37225),#315884,.T.); #332070=ADVANCED_FACE('',(#37226),#20101,.T.); #332071=ADVANCED_FACE('',(#37227),#315885,.F.); #332072=ADVANCED_FACE('',(#37228),#20102,.T.); #332073=ADVANCED_FACE('',(#37229),#20103,.F.); #332074=ADVANCED_FACE('',(#37230),#20104,.T.); #332075=ADVANCED_FACE('',(#37231),#315886,.T.); #332076=ADVANCED_FACE('',(#37232),#20105,.T.); #332077=ADVANCED_FACE('',(#37233),#315887,.F.); #332078=ADVANCED_FACE('',(#37234),#20106,.T.); #332079=ADVANCED_FACE('',(#37235),#20107,.F.); #332080=ADVANCED_FACE('',(#37236),#20108,.T.); #332081=ADVANCED_FACE('',(#37237),#315888,.T.); #332082=ADVANCED_FACE('',(#37238),#20109,.T.); #332083=ADVANCED_FACE('',(#37239),#315889,.F.); #332084=ADVANCED_FACE('',(#37240),#20110,.T.); #332085=ADVANCED_FACE('',(#37241),#20111,.F.); #332086=ADVANCED_FACE('',(#37242),#20112,.T.); #332087=ADVANCED_FACE('',(#37243),#315890,.T.); #332088=ADVANCED_FACE('',(#37244),#20113,.T.); #332089=ADVANCED_FACE('',(#37245),#315891,.F.); #332090=ADVANCED_FACE('',(#37246),#20114,.T.); #332091=ADVANCED_FACE('',(#37247),#20115,.F.); #332092=ADVANCED_FACE('',(#37248),#20116,.T.); #332093=ADVANCED_FACE('',(#37249),#315892,.T.); #332094=ADVANCED_FACE('',(#37250),#20117,.T.); #332095=ADVANCED_FACE('',(#37251),#315893,.F.); #332096=ADVANCED_FACE('',(#37252),#20118,.T.); #332097=ADVANCED_FACE('',(#37253),#20119,.F.); #332098=ADVANCED_FACE('',(#37254),#20120,.T.); #332099=ADVANCED_FACE('',(#37255),#315894,.T.); #332100=ADVANCED_FACE('',(#37256),#20121,.T.); #332101=ADVANCED_FACE('',(#37257),#315895,.F.); #332102=ADVANCED_FACE('',(#37258),#20122,.T.); #332103=ADVANCED_FACE('',(#37259),#20123,.F.); #332104=ADVANCED_FACE('',(#37260),#20124,.T.); #332105=ADVANCED_FACE('',(#37261),#315896,.T.); #332106=ADVANCED_FACE('',(#37262),#20125,.T.); #332107=ADVANCED_FACE('',(#37263),#315897,.F.); #332108=ADVANCED_FACE('',(#37264),#20126,.T.); #332109=ADVANCED_FACE('',(#37265),#20127,.F.); #332110=ADVANCED_FACE('',(#37266),#20128,.T.); #332111=ADVANCED_FACE('',(#37267),#315898,.T.); #332112=ADVANCED_FACE('',(#37268),#20129,.T.); #332113=ADVANCED_FACE('',(#37269),#315899,.F.); #332114=ADVANCED_FACE('',(#37270),#20130,.T.); #332115=ADVANCED_FACE('',(#37271),#20131,.F.); #332116=ADVANCED_FACE('',(#37272),#20132,.T.); #332117=ADVANCED_FACE('',(#37273),#315900,.T.); #332118=ADVANCED_FACE('',(#37274),#20133,.T.); #332119=ADVANCED_FACE('',(#37275),#315901,.F.); #332120=ADVANCED_FACE('',(#37276),#20134,.T.); #332121=ADVANCED_FACE('',(#37277),#315902,.F.); #332122=ADVANCED_FACE('',(#37278),#20135,.T.); #332123=ADVANCED_FACE('',(#37279),#20136,.T.); #332124=ADVANCED_FACE('',(#37280),#20137,.T.); #332125=ADVANCED_FACE('',(#37281),#20138,.T.); #332126=ADVANCED_FACE('',(#37282),#20139,.T.); #332127=ADVANCED_FACE('',(#37283),#20140,.T.); #332128=ADVANCED_FACE('',(#37284),#20141,.T.); #332129=ADVANCED_FACE('',(#37285,#7849),#20142,.T.); #332130=ADVANCED_FACE('',(#37286),#20143,.T.); #332131=ADVANCED_FACE('',(#37287),#20144,.T.); #332132=ADVANCED_FACE('',(#37288),#20145,.T.); #332133=ADVANCED_FACE('',(#37289),#20146,.T.); #332134=ADVANCED_FACE('',(#37290),#20147,.T.); #332135=ADVANCED_FACE('',(#37291),#20148,.T.); #332136=ADVANCED_FACE('',(#37292),#20149,.T.); #332137=ADVANCED_FACE('',(#37293),#20150,.T.); #332138=ADVANCED_FACE('',(#37294),#20151,.F.); #332139=ADVANCED_FACE('',(#37295),#20152,.T.); #332140=ADVANCED_FACE('',(#37296),#20153,.T.); #332141=ADVANCED_FACE('',(#37297),#315903,.T.); #332142=ADVANCED_FACE('',(#37298),#20154,.T.); #332143=ADVANCED_FACE('',(#37299),#315904,.T.); #332144=ADVANCED_FACE('',(#37300),#20155,.T.); #332145=ADVANCED_FACE('',(#37301),#20156,.T.); #332146=ADVANCED_FACE('',(#37302),#20157,.F.); #332147=ADVANCED_FACE('',(#37303),#20158,.F.); #332148=ADVANCED_FACE('',(#37304),#20159,.F.); #332149=ADVANCED_FACE('',(#37305),#315905,.T.); #332150=ADVANCED_FACE('',(#37306),#20160,.F.); #332151=ADVANCED_FACE('',(#37307),#315906,.T.); #332152=ADVANCED_FACE('',(#37308),#20161,.F.); #332153=ADVANCED_FACE('',(#37309),#20162,.F.); #332154=ADVANCED_FACE('',(#37310),#20163,.T.); #332155=ADVANCED_FACE('',(#37311),#20164,.T.); #332156=ADVANCED_FACE('',(#37312),#20165,.T.); #332157=ADVANCED_FACE('',(#37313),#315907,.T.); #332158=ADVANCED_FACE('',(#37314),#20166,.T.); #332159=ADVANCED_FACE('',(#37315),#315908,.T.); #332160=ADVANCED_FACE('',(#37316),#20167,.T.); #332161=ADVANCED_FACE('',(#37317),#20168,.T.); #332162=ADVANCED_FACE('',(#37318),#20169,.F.); #332163=ADVANCED_FACE('',(#37319),#20170,.T.); #332164=ADVANCED_FACE('',(#37320),#20171,.T.); #332165=ADVANCED_FACE('',(#37321),#315909,.T.); #332166=ADVANCED_FACE('',(#37322),#20172,.T.); #332167=ADVANCED_FACE('',(#37323),#315910,.T.); #332168=ADVANCED_FACE('',(#37324),#20173,.T.); #332169=ADVANCED_FACE('',(#37325),#20174,.T.); #332170=ADVANCED_FACE('',(#37326),#20175,.F.); #332171=ADVANCED_FACE('',(#37327),#20176,.T.); #332172=ADVANCED_FACE('',(#37328),#20177,.T.); #332173=ADVANCED_FACE('',(#37329),#315911,.T.); #332174=ADVANCED_FACE('',(#37330),#20178,.T.); #332175=ADVANCED_FACE('',(#37331),#315912,.T.); #332176=ADVANCED_FACE('',(#37332),#20179,.T.); #332177=ADVANCED_FACE('',(#37333),#20180,.T.); #332178=ADVANCED_FACE('',(#37334),#20181,.F.); #332179=ADVANCED_FACE('',(#37335),#20182,.F.); #332180=ADVANCED_FACE('',(#37336),#20183,.F.); #332181=ADVANCED_FACE('',(#37337),#315913,.T.); #332182=ADVANCED_FACE('',(#37338),#20184,.F.); #332183=ADVANCED_FACE('',(#37339),#315914,.T.); #332184=ADVANCED_FACE('',(#37340),#20185,.F.); #332185=ADVANCED_FACE('',(#37341),#20186,.F.); #332186=ADVANCED_FACE('',(#37342),#20187,.T.); #332187=ADVANCED_FACE('',(#37343),#20188,.F.); #332188=ADVANCED_FACE('',(#37344),#20189,.F.); #332189=ADVANCED_FACE('',(#37345),#315915,.T.); #332190=ADVANCED_FACE('',(#37346),#20190,.F.); #332191=ADVANCED_FACE('',(#37347),#315916,.T.); #332192=ADVANCED_FACE('',(#37348),#20191,.F.); #332193=ADVANCED_FACE('',(#37349),#20192,.F.); #332194=ADVANCED_FACE('',(#37350),#20193,.T.); #332195=ADVANCED_FACE('',(#37351),#20194,.F.); #332196=ADVANCED_FACE('',(#37352),#20195,.F.); #332197=ADVANCED_FACE('',(#37353),#315917,.T.); #332198=ADVANCED_FACE('',(#37354),#20196,.F.); #332199=ADVANCED_FACE('',(#37355),#315918,.T.); #332200=ADVANCED_FACE('',(#37356),#20197,.F.); #332201=ADVANCED_FACE('',(#37357),#20198,.F.); #332202=ADVANCED_FACE('',(#37358),#20199,.T.); #332203=ADVANCED_FACE('',(#37359),#20200,.F.); #332204=ADVANCED_FACE('',(#37360),#20201,.F.); #332205=ADVANCED_FACE('',(#37361),#20202,.F.); #332206=ADVANCED_FACE('',(#37362),#20203,.F.); #332207=ADVANCED_FACE('',(#37363),#20204,.F.); #332208=ADVANCED_FACE('',(#37364),#20205,.T.); #332209=ADVANCED_FACE('',(#37365),#20206,.T.); #332210=ADVANCED_FACE('',(#37366),#20207,.T.); #332211=ADVANCED_FACE('',(#37367),#20208,.T.); #332212=ADVANCED_FACE('',(#37368),#20209,.T.); #332213=ADVANCED_FACE('',(#37369),#20210,.T.); #332214=ADVANCED_FACE('',(#37370),#20211,.F.); #332215=ADVANCED_FACE('',(#37371),#20212,.T.); #332216=ADVANCED_FACE('',(#37372),#20213,.T.); #332217=ADVANCED_FACE('',(#37373),#20214,.T.); #332218=ADVANCED_FACE('',(#37374),#20215,.T.); #332219=ADVANCED_FACE('',(#37375),#20216,.T.); #332220=ADVANCED_FACE('',(#37376),#20217,.F.); #332221=ADVANCED_FACE('',(#37377),#20218,.T.); #332222=ADVANCED_FACE('',(#37378),#20219,.T.); #332223=ADVANCED_FACE('',(#37379),#20220,.T.); #332224=ADVANCED_FACE('',(#37380),#20221,.T.); #332225=ADVANCED_FACE('',(#37381),#20222,.T.); #332226=ADVANCED_FACE('',(#37382),#20223,.F.); #332227=ADVANCED_FACE('',(#37383),#20224,.F.); #332228=ADVANCED_FACE('',(#37384),#20225,.F.); #332229=ADVANCED_FACE('',(#37385),#20226,.F.); #332230=ADVANCED_FACE('',(#37386),#20227,.F.); #332231=ADVANCED_FACE('',(#37387),#20228,.F.); #332232=ADVANCED_FACE('',(#37388),#20229,.T.); #332233=ADVANCED_FACE('',(#37389),#20230,.F.); #332234=ADVANCED_FACE('',(#37390),#20231,.F.); #332235=ADVANCED_FACE('',(#37391),#20232,.F.); #332236=ADVANCED_FACE('',(#37392),#20233,.F.); #332237=ADVANCED_FACE('',(#37393),#20234,.F.); #332238=ADVANCED_FACE('',(#37394),#20235,.T.); #332239=ADVANCED_FACE('',(#37395),#20236,.F.); #332240=ADVANCED_FACE('',(#37396),#20237,.F.); #332241=ADVANCED_FACE('',(#37397),#20238,.F.); #332242=ADVANCED_FACE('',(#37398),#20239,.F.); #332243=ADVANCED_FACE('',(#37399),#20240,.F.); #332244=ADVANCED_FACE('',(#37400),#20241,.T.); #332245=ADVANCED_FACE('',(#37401),#20242,.T.); #332246=ADVANCED_FACE('',(#37402),#20243,.T.); #332247=ADVANCED_FACE('',(#37403),#20244,.T.); #332248=ADVANCED_FACE('',(#37404),#20245,.T.); #332249=ADVANCED_FACE('',(#37405),#20246,.T.); #332250=ADVANCED_FACE('',(#37406),#20247,.F.); #332251=ADVANCED_FACE('',(#37407),#315919,.F.); #332252=ADVANCED_FACE('',(#37408),#20248,.T.); #332253=ADVANCED_FACE('',(#37409),#20249,.T.); #332254=ADVANCED_FACE('',(#37410),#20250,.T.); #332255=ADVANCED_FACE('',(#37411),#20251,.T.); #332256=ADVANCED_FACE('',(#37412),#20252,.T.); #332257=ADVANCED_FACE('',(#37413),#20253,.T.); #332258=ADVANCED_FACE('',(#37414),#20254,.T.); #332259=ADVANCED_FACE('',(#37415,#7850),#20255,.T.); #332260=ADVANCED_FACE('',(#37416),#20256,.T.); #332261=ADVANCED_FACE('',(#37417),#20257,.T.); #332262=ADVANCED_FACE('',(#37418),#20258,.T.); #332263=ADVANCED_FACE('',(#37419),#20259,.T.); #332264=ADVANCED_FACE('',(#37420),#20260,.T.); #332265=ADVANCED_FACE('',(#37421),#20261,.T.); #332266=ADVANCED_FACE('',(#37422),#20262,.T.); #332267=ADVANCED_FACE('',(#37423),#20263,.T.); #332268=ADVANCED_FACE('',(#37424),#20264,.F.); #332269=ADVANCED_FACE('',(#37425),#20265,.T.); #332270=ADVANCED_FACE('',(#37426),#20266,.T.); #332271=ADVANCED_FACE('',(#37427),#315920,.T.); #332272=ADVANCED_FACE('',(#37428),#20267,.T.); #332273=ADVANCED_FACE('',(#37429),#315921,.T.); #332274=ADVANCED_FACE('',(#37430),#20268,.T.); #332275=ADVANCED_FACE('',(#37431),#20269,.T.); #332276=ADVANCED_FACE('',(#37432),#20270,.F.); #332277=ADVANCED_FACE('',(#37433),#20271,.F.); #332278=ADVANCED_FACE('',(#37434),#20272,.F.); #332279=ADVANCED_FACE('',(#37435),#315922,.T.); #332280=ADVANCED_FACE('',(#37436),#20273,.F.); #332281=ADVANCED_FACE('',(#37437),#315923,.T.); #332282=ADVANCED_FACE('',(#37438),#20274,.F.); #332283=ADVANCED_FACE('',(#37439),#20275,.F.); #332284=ADVANCED_FACE('',(#37440),#20276,.T.); #332285=ADVANCED_FACE('',(#37441),#20277,.T.); #332286=ADVANCED_FACE('',(#37442),#20278,.T.); #332287=ADVANCED_FACE('',(#37443),#315924,.T.); #332288=ADVANCED_FACE('',(#37444),#20279,.T.); #332289=ADVANCED_FACE('',(#37445),#315925,.T.); #332290=ADVANCED_FACE('',(#37446),#20280,.T.); #332291=ADVANCED_FACE('',(#37447),#20281,.T.); #332292=ADVANCED_FACE('',(#37448),#20282,.F.); #332293=ADVANCED_FACE('',(#37449),#20283,.T.); #332294=ADVANCED_FACE('',(#37450),#20284,.T.); #332295=ADVANCED_FACE('',(#37451),#315926,.T.); #332296=ADVANCED_FACE('',(#37452),#20285,.T.); #332297=ADVANCED_FACE('',(#37453),#315927,.T.); #332298=ADVANCED_FACE('',(#37454),#20286,.T.); #332299=ADVANCED_FACE('',(#37455),#20287,.T.); #332300=ADVANCED_FACE('',(#37456),#20288,.F.); #332301=ADVANCED_FACE('',(#37457),#20289,.T.); #332302=ADVANCED_FACE('',(#37458),#20290,.T.); #332303=ADVANCED_FACE('',(#37459),#315928,.T.); #332304=ADVANCED_FACE('',(#37460),#20291,.T.); #332305=ADVANCED_FACE('',(#37461),#315929,.T.); #332306=ADVANCED_FACE('',(#37462),#20292,.T.); #332307=ADVANCED_FACE('',(#37463),#20293,.T.); #332308=ADVANCED_FACE('',(#37464),#20294,.F.); #332309=ADVANCED_FACE('',(#37465),#20295,.F.); #332310=ADVANCED_FACE('',(#37466),#20296,.F.); #332311=ADVANCED_FACE('',(#37467),#315930,.T.); #332312=ADVANCED_FACE('',(#37468),#20297,.F.); #332313=ADVANCED_FACE('',(#37469),#315931,.T.); #332314=ADVANCED_FACE('',(#37470),#20298,.F.); #332315=ADVANCED_FACE('',(#37471),#20299,.F.); #332316=ADVANCED_FACE('',(#37472),#20300,.T.); #332317=ADVANCED_FACE('',(#37473),#20301,.F.); #332318=ADVANCED_FACE('',(#37474),#20302,.F.); #332319=ADVANCED_FACE('',(#37475),#315932,.T.); #332320=ADVANCED_FACE('',(#37476),#20303,.F.); #332321=ADVANCED_FACE('',(#37477),#315933,.T.); #332322=ADVANCED_FACE('',(#37478),#20304,.F.); #332323=ADVANCED_FACE('',(#37479),#20305,.F.); #332324=ADVANCED_FACE('',(#37480),#20306,.T.); #332325=ADVANCED_FACE('',(#37481),#20307,.F.); #332326=ADVANCED_FACE('',(#37482),#20308,.F.); #332327=ADVANCED_FACE('',(#37483),#315934,.T.); #332328=ADVANCED_FACE('',(#37484),#20309,.F.); #332329=ADVANCED_FACE('',(#37485),#315935,.T.); #332330=ADVANCED_FACE('',(#37486),#20310,.F.); #332331=ADVANCED_FACE('',(#37487),#20311,.F.); #332332=ADVANCED_FACE('',(#37488),#20312,.T.); #332333=ADVANCED_FACE('',(#37489),#20313,.F.); #332334=ADVANCED_FACE('',(#37490),#20314,.F.); #332335=ADVANCED_FACE('',(#37491),#20315,.F.); #332336=ADVANCED_FACE('',(#37492),#20316,.F.); #332337=ADVANCED_FACE('',(#37493),#20317,.F.); #332338=ADVANCED_FACE('',(#37494),#20318,.T.); #332339=ADVANCED_FACE('',(#37495),#20319,.T.); #332340=ADVANCED_FACE('',(#37496),#20320,.T.); #332341=ADVANCED_FACE('',(#37497),#20321,.T.); #332342=ADVANCED_FACE('',(#37498),#20322,.T.); #332343=ADVANCED_FACE('',(#37499),#20323,.T.); #332344=ADVANCED_FACE('',(#37500),#20324,.F.); #332345=ADVANCED_FACE('',(#37501),#20325,.T.); #332346=ADVANCED_FACE('',(#37502),#20326,.T.); #332347=ADVANCED_FACE('',(#37503),#20327,.T.); #332348=ADVANCED_FACE('',(#37504),#20328,.T.); #332349=ADVANCED_FACE('',(#37505),#20329,.T.); #332350=ADVANCED_FACE('',(#37506),#20330,.F.); #332351=ADVANCED_FACE('',(#37507),#20331,.T.); #332352=ADVANCED_FACE('',(#37508),#20332,.T.); #332353=ADVANCED_FACE('',(#37509),#20333,.T.); #332354=ADVANCED_FACE('',(#37510),#20334,.T.); #332355=ADVANCED_FACE('',(#37511),#20335,.T.); #332356=ADVANCED_FACE('',(#37512),#20336,.F.); #332357=ADVANCED_FACE('',(#37513),#20337,.F.); #332358=ADVANCED_FACE('',(#37514),#20338,.F.); #332359=ADVANCED_FACE('',(#37515),#20339,.F.); #332360=ADVANCED_FACE('',(#37516),#20340,.F.); #332361=ADVANCED_FACE('',(#37517),#20341,.F.); #332362=ADVANCED_FACE('',(#37518),#20342,.T.); #332363=ADVANCED_FACE('',(#37519),#20343,.F.); #332364=ADVANCED_FACE('',(#37520),#20344,.F.); #332365=ADVANCED_FACE('',(#37521),#20345,.F.); #332366=ADVANCED_FACE('',(#37522),#20346,.F.); #332367=ADVANCED_FACE('',(#37523),#20347,.F.); #332368=ADVANCED_FACE('',(#37524),#20348,.T.); #332369=ADVANCED_FACE('',(#37525),#20349,.F.); #332370=ADVANCED_FACE('',(#37526),#20350,.F.); #332371=ADVANCED_FACE('',(#37527),#20351,.F.); #332372=ADVANCED_FACE('',(#37528),#20352,.F.); #332373=ADVANCED_FACE('',(#37529),#20353,.F.); #332374=ADVANCED_FACE('',(#37530),#20354,.T.); #332375=ADVANCED_FACE('',(#37531),#20355,.T.); #332376=ADVANCED_FACE('',(#37532),#20356,.T.); #332377=ADVANCED_FACE('',(#37533),#20357,.T.); #332378=ADVANCED_FACE('',(#37534),#20358,.T.); #332379=ADVANCED_FACE('',(#37535),#20359,.T.); #332380=ADVANCED_FACE('',(#37536),#20360,.F.); #332381=ADVANCED_FACE('',(#37537),#20361,.T.); #332382=ADVANCED_FACE('',(#37538),#20362,.T.); #332383=ADVANCED_FACE('',(#37539),#20363,.T.); #332384=ADVANCED_FACE('',(#37540),#20364,.T.); #332385=ADVANCED_FACE('',(#37541),#20365,.T.); #332386=ADVANCED_FACE('',(#37542),#20366,.T.); #332387=ADVANCED_FACE('',(#37543),#20367,.T.); #332388=ADVANCED_FACE('',(#37544),#20368,.T.); #332389=ADVANCED_FACE('',(#37545),#20369,.T.); #332390=ADVANCED_FACE('',(#37546),#20370,.F.); #332391=ADVANCED_FACE('',(#37547),#20371,.T.); #332392=ADVANCED_FACE('',(#37548),#20372,.T.); #332393=ADVANCED_FACE('',(#37549),#20373,.T.); #332394=ADVANCED_FACE('',(#37550),#20374,.T.); #332395=ADVANCED_FACE('',(#37551),#20375,.T.); #332396=ADVANCED_FACE('',(#37552),#20376,.F.); #332397=ADVANCED_FACE('',(#37553),#20377,.T.); #332398=ADVANCED_FACE('',(#37554),#20378,.T.); #332399=ADVANCED_FACE('',(#37555),#20379,.T.); #332400=ADVANCED_FACE('',(#37556),#20380,.T.); #332401=ADVANCED_FACE('',(#37557),#20381,.T.); #332402=ADVANCED_FACE('',(#37558),#20382,.F.); #332403=ADVANCED_FACE('',(#37559),#20383,.T.); #332404=ADVANCED_FACE('',(#37560),#20384,.T.); #332405=ADVANCED_FACE('',(#37561),#20385,.T.); #332406=ADVANCED_FACE('',(#37562),#20386,.T.); #332407=ADVANCED_FACE('',(#37563),#20387,.T.); #332408=ADVANCED_FACE('',(#37564),#20388,.F.); #332409=ADVANCED_FACE('',(#37565),#20389,.T.); #332410=ADVANCED_FACE('',(#37566),#20390,.T.); #332411=ADVANCED_FACE('',(#37567),#20391,.T.); #332412=ADVANCED_FACE('',(#37568),#20392,.T.); #332413=ADVANCED_FACE('',(#37569),#20393,.T.); #332414=ADVANCED_FACE('',(#37570),#20394,.F.); #332415=ADVANCED_FACE('',(#37571),#315936,.F.); #332416=ADVANCED_FACE('',(#37572),#315937,.T.); #332417=ADVANCED_FACE('',(#37573,#7851),#20395,.T.); #332418=ADVANCED_FACE('',(#37574,#7852),#20396,.F.); #332419=ADVANCED_FACE('',(#37575),#315938,.F.); #332420=ADVANCED_FACE('',(#37576),#315939,.F.); #332421=ADVANCED_FACE('',(#37577),#315940,.F.); #332422=ADVANCED_FACE('',(#37578),#315941,.F.); #332423=ADVANCED_FACE('',(#37579),#315942,.F.); #332424=ADVANCED_FACE('',(#37580),#315943,.F.); #332425=ADVANCED_FACE('',(#37581),#80,.F.); #332426=ADVANCED_FACE('',(#37582),#81,.F.); #332427=ADVANCED_FACE('',(#37583),#51,.F.); #332428=ADVANCED_FACE('',(#37584),#52,.F.); #332429=ADVANCED_FACE('',(#37585),#315944,.F.); #332430=ADVANCED_FACE('',(#37586),#315945,.F.); #332431=ADVANCED_FACE('',(#37587,#7853),#20397,.F.); #332432=ADVANCED_FACE('',(#37588),#20398,.F.); #332433=ADVANCED_FACE('',(#37589),#20399,.F.); #332434=ADVANCED_FACE('',(#37590),#20400,.F.); #332435=ADVANCED_FACE('',(#37591),#20401,.F.); #332436=ADVANCED_FACE('',(#37592),#20402,.F.); #332437=ADVANCED_FACE('',(#37593),#20403,.F.); #332438=ADVANCED_FACE('',(#37594),#315946,.T.); #332439=ADVANCED_FACE('',(#37595),#315947,.T.); #332440=ADVANCED_FACE('',(#37596),#315948,.T.); #332441=ADVANCED_FACE('',(#37597),#315949,.T.); #332442=ADVANCED_FACE('',(#37598),#315950,.T.); #332443=ADVANCED_FACE('',(#37599),#315951,.T.); #332444=ADVANCED_FACE('',(#37600),#315952,.T.); #332445=ADVANCED_FACE('',(#37601),#315953,.T.); #332446=ADVANCED_FACE('',(#37602),#315954,.T.); #332447=ADVANCED_FACE('',(#37603),#315955,.T.); #332448=ADVANCED_FACE('',(#37604),#315956,.T.); #332449=ADVANCED_FACE('',(#37605),#315957,.T.); #332450=ADVANCED_FACE('',(#37606),#315958,.T.); #332451=ADVANCED_FACE('',(#37607),#315959,.T.); #332452=ADVANCED_FACE('',(#37608),#20404,.T.); #332453=ADVANCED_FACE('',(#37609),#20405,.T.); #332454=ADVANCED_FACE('',(#37610),#20406,.T.); #332455=ADVANCED_FACE('',(#37611),#20407,.T.); #332456=ADVANCED_FACE('',(#37612),#20408,.T.); #332457=ADVANCED_FACE('',(#37613),#20409,.T.); #332458=ADVANCED_FACE('',(#37614),#20410,.T.); #332459=ADVANCED_FACE('',(#37615),#82,.T.); #332460=ADVANCED_FACE('',(#37616),#83,.T.); #332461=ADVANCED_FACE('',(#37617),#20411,.T.); #332462=ADVANCED_FACE('',(#37618),#20412,.F.); #332463=ADVANCED_FACE('',(#37619),#20413,.F.); #332464=ADVANCED_FACE('',(#37620),#20414,.T.); #332465=ADVANCED_FACE('',(#37621),#84,.T.); #332466=ADVANCED_FACE('',(#37622),#85,.T.); #332467=ADVANCED_FACE('',(#37623),#20415,.T.); #332468=ADVANCED_FACE('',(#37624),#20416,.F.); #332469=ADVANCED_FACE('',(#37625),#20417,.F.); #332470=ADVANCED_FACE('',(#37626),#20418,.F.); #332471=ADVANCED_FACE('',(#37627),#20419,.F.); #332472=ADVANCED_FACE('',(#37628),#20420,.F.); #332473=ADVANCED_FACE('',(#37629),#20421,.F.); #332474=ADVANCED_FACE('',(#37630),#20422,.F.); #332475=ADVANCED_FACE('',(#37631),#20423,.F.); #332476=ADVANCED_FACE('',(#37632),#20424,.F.); #332477=ADVANCED_FACE('',(#37633),#20425,.F.); #332478=ADVANCED_FACE('',(#37634),#20426,.F.); #332479=ADVANCED_FACE('',(#37635),#20427,.F.); #332480=ADVANCED_FACE('',(#37636),#20428,.F.); #332481=ADVANCED_FACE('',(#37637),#20429,.F.); #332482=ADVANCED_FACE('',(#37638),#20430,.F.); #332483=ADVANCED_FACE('',(#37639),#20431,.F.); #332484=ADVANCED_FACE('',(#37640),#20432,.F.); #332485=ADVANCED_FACE('',(#37641),#240,.T.); #332486=ADVANCED_FACE('',(#37642),#20433,.T.); #332487=ADVANCED_FACE('',(#37643),#241,.T.); #332488=ADVANCED_FACE('',(#37644),#242,.T.); #332489=ADVANCED_FACE('',(#37645),#243,.T.); #332490=ADVANCED_FACE('',(#37646),#244,.T.); #332491=ADVANCED_FACE('',(#37647),#245,.T.); #332492=ADVANCED_FACE('',(#37648),#246,.T.); #332493=ADVANCED_FACE('',(#37649),#247,.T.); #332494=ADVANCED_FACE('',(#37650),#20434,.F.); #332495=ADVANCED_FACE('',(#37651),#20435,.F.); #332496=ADVANCED_FACE('',(#37652),#20436,.F.); #332497=ADVANCED_FACE('',(#37653),#20437,.F.); #332498=ADVANCED_FACE('',(#37654),#20438,.F.); #332499=ADVANCED_FACE('',(#37655),#20439,.F.); #332500=ADVANCED_FACE('',(#37656),#20440,.F.); #332501=ADVANCED_FACE('',(#37657),#20441,.F.); #332502=ADVANCED_FACE('',(#37658),#20442,.F.); #332503=ADVANCED_FACE('',(#37659),#20443,.F.); #332504=ADVANCED_FACE('',(#37660),#20444,.F.); #332505=ADVANCED_FACE('',(#37661),#20445,.F.); #332506=ADVANCED_FACE('',(#37662),#20446,.F.); #332507=ADVANCED_FACE('',(#37663),#20447,.F.); #332508=ADVANCED_FACE('',(#37664),#248,.T.); #332509=ADVANCED_FACE('',(#37665),#249,.T.); #332510=ADVANCED_FACE('',(#37666),#250,.T.); #332511=ADVANCED_FACE('',(#37667),#251,.T.); #332512=ADVANCED_FACE('',(#37668),#252,.T.); #332513=ADVANCED_FACE('',(#37669),#253,.T.); #332514=ADVANCED_FACE('',(#37670),#254,.T.); #332515=ADVANCED_FACE('',(#37671),#20448,.T.); #332516=ADVANCED_FACE('',(#37672),#255,.T.); #332517=ADVANCED_FACE('',(#37673),#20449,.F.); #332518=ADVANCED_FACE('',(#37674),#20450,.F.); #332519=ADVANCED_FACE('',(#37675),#20451,.F.); #332520=ADVANCED_FACE('',(#37676),#20452,.F.); #332521=ADVANCED_FACE('',(#37677),#20453,.F.); #332522=ADVANCED_FACE('',(#37678),#20454,.F.); #332523=ADVANCED_FACE('',(#37679),#20455,.F.); #332524=ADVANCED_FACE('',(#37680),#20456,.F.); #332525=ADVANCED_FACE('',(#37681),#20457,.F.); #332526=ADVANCED_FACE('',(#37682),#20458,.F.); #332527=ADVANCED_FACE('',(#37683),#20459,.F.); #332528=ADVANCED_FACE('',(#37684),#20460,.F.); #332529=ADVANCED_FACE('',(#37685),#20461,.F.); #332530=ADVANCED_FACE('',(#37686),#20462,.F.); #332531=ADVANCED_FACE('',(#37687),#20463,.F.); #332532=ADVANCED_FACE('',(#37688),#20464,.F.); #332533=ADVANCED_FACE('',(#37689),#315960,.T.); #332534=ADVANCED_FACE('',(#37690),#20465,.T.); #332535=ADVANCED_FACE('',(#37691),#20466,.T.); #332536=ADVANCED_FACE('',(#37692),#315961,.F.); #332537=ADVANCED_FACE('',(#37693),#315962,.T.); #332538=ADVANCED_FACE('',(#37694),#20467,.F.); #332539=ADVANCED_FACE('',(#37695),#20468,.T.); #332540=ADVANCED_FACE('',(#37696),#20469,.F.); #332541=ADVANCED_FACE('',(#37697),#20470,.F.); #332542=ADVANCED_FACE('',(#37698),#20471,.F.); #332543=ADVANCED_FACE('',(#37699),#315963,.T.); #332544=ADVANCED_FACE('',(#37700),#20472,.F.); #332545=ADVANCED_FACE('',(#37701),#315964,.T.); #332546=ADVANCED_FACE('',(#37702),#315965,.T.); #332547=ADVANCED_FACE('',(#37703),#20473,.F.); #332548=ADVANCED_FACE('',(#37704),#20474,.F.); #332549=ADVANCED_FACE('',(#37705),#315966,.T.); #332550=ADVANCED_FACE('',(#37706),#20475,.T.); #332551=ADVANCED_FACE('',(#37707),#20476,.F.); #332552=ADVANCED_FACE('',(#37708),#20477,.F.); #332553=ADVANCED_FACE('',(#37709),#20478,.F.); #332554=ADVANCED_FACE('',(#37710),#315967,.T.); #332555=ADVANCED_FACE('',(#37711),#20479,.F.); #332556=ADVANCED_FACE('',(#37712),#315968,.T.); #332557=ADVANCED_FACE('',(#37713),#315969,.T.); #332558=ADVANCED_FACE('',(#37714),#20480,.F.); #332559=ADVANCED_FACE('',(#37715),#315970,.T.); #332560=ADVANCED_FACE('',(#37716),#20481,.F.); #332561=ADVANCED_FACE('',(#37717),#20482,.T.); #332562=ADVANCED_FACE('',(#37718),#20483,.F.); #332563=ADVANCED_FACE('',(#37719),#20484,.T.); #332564=ADVANCED_FACE('',(#37720),#20485,.F.); #332565=ADVANCED_FACE('',(#37721),#315971,.T.); #332566=ADVANCED_FACE('',(#37722),#315972,.F.); #332567=ADVANCED_FACE('',(#37723),#20486,.F.); #332568=ADVANCED_FACE('',(#37724),#20487,.F.); #332569=ADVANCED_FACE('',(#37725),#20488,.T.); #332570=ADVANCED_FACE('',(#37726),#315973,.T.); #332571=ADVANCED_FACE('',(#37727),#20489,.F.); #332572=ADVANCED_FACE('',(#37728),#20490,.F.); #332573=ADVANCED_FACE('',(#37729),#315974,.T.); #332574=ADVANCED_FACE('',(#37730),#315975,.T.); #332575=ADVANCED_FACE('',(#37731),#20491,.F.); #332576=ADVANCED_FACE('',(#37732),#315976,.T.); #332577=ADVANCED_FACE('',(#37733),#20492,.F.); #332578=ADVANCED_FACE('',(#37734),#20493,.F.); #332579=ADVANCED_FACE('',(#37735),#20494,.T.); #332580=ADVANCED_FACE('',(#37736),#20495,.F.); #332581=ADVANCED_FACE('',(#37737),#20496,.T.); #332582=ADVANCED_FACE('',(#37738),#20497,.T.); #332583=ADVANCED_FACE('',(#37739),#315977,.F.); #332584=ADVANCED_FACE('',(#37740),#315978,.T.); #332585=ADVANCED_FACE('',(#37741),#20498,.F.); #332586=ADVANCED_FACE('',(#37742),#20499,.T.); #332587=ADVANCED_FACE('',(#37743),#20500,.F.); #332588=ADVANCED_FACE('',(#37744),#20501,.F.); #332589=ADVANCED_FACE('',(#37745),#20502,.F.); #332590=ADVANCED_FACE('',(#37746),#315979,.T.); #332591=ADVANCED_FACE('',(#37747),#20503,.F.); #332592=ADVANCED_FACE('',(#37748),#315980,.T.); #332593=ADVANCED_FACE('',(#37749),#315981,.T.); #332594=ADVANCED_FACE('',(#37750),#20504,.F.); #332595=ADVANCED_FACE('',(#37751),#20505,.F.); #332596=ADVANCED_FACE('',(#37752),#315982,.T.); #332597=ADVANCED_FACE('',(#37753),#20506,.T.); #332598=ADVANCED_FACE('',(#37754),#20507,.F.); #332599=ADVANCED_FACE('',(#37755),#20508,.F.); #332600=ADVANCED_FACE('',(#37756),#20509,.F.); #332601=ADVANCED_FACE('',(#37757),#20510,.T.); #332602=ADVANCED_FACE('',(#37758),#20511,.F.); #332603=ADVANCED_FACE('',(#37759),#20512,.F.); #332604=ADVANCED_FACE('',(#37760),#20513,.F.); #332605=ADVANCED_FACE('',(#37761),#315983,.T.); #332606=ADVANCED_FACE('',(#37762),#20514,.F.); #332607=ADVANCED_FACE('',(#37763),#315984,.T.); #332608=ADVANCED_FACE('',(#37764),#315985,.T.); #332609=ADVANCED_FACE('',(#37765),#20515,.F.); #332610=ADVANCED_FACE('',(#37766),#315986,.T.); #332611=ADVANCED_FACE('',(#37767),#20516,.T.); #332612=ADVANCED_FACE('',(#37768),#20517,.T.); #332613=ADVANCED_FACE('',(#37769),#20518,.T.); #332614=ADVANCED_FACE('',(#37770),#20519,.T.); #332615=ADVANCED_FACE('',(#37771,#7854,#7855),#20520,.T.); #332616=ADVANCED_FACE('',(#37772),#86,.F.); #332617=ADVANCED_FACE('',(#37773),#315987,.T.); #332618=ADVANCED_FACE('',(#37774),#87,.F.); #332619=ADVANCED_FACE('',(#37775),#315988,.T.); #332620=ADVANCED_FACE('',(#37776),#20521,.T.); #332621=ADVANCED_FACE('',(#37777),#20522,.T.); #332622=ADVANCED_FACE('',(#37778),#20523,.T.); #332623=ADVANCED_FACE('',(#37779),#20524,.T.); #332624=ADVANCED_FACE('',(#37780),#20525,.T.); #332625=ADVANCED_FACE('',(#37781),#20526,.T.); #332626=ADVANCED_FACE('',(#37782),#20527,.F.); #332627=ADVANCED_FACE('',(#37783,#7856),#20528,.T.); #332628=ADVANCED_FACE('',(#37784),#20529,.F.); #332629=ADVANCED_FACE('',(#37785),#20530,.F.); #332630=ADVANCED_FACE('',(#37786),#20531,.T.); #332631=ADVANCED_FACE('',(#37787),#20532,.F.); #332632=ADVANCED_FACE('',(#37788),#20533,.F.); #332633=ADVANCED_FACE('',(#37789),#20534,.F.); #332634=ADVANCED_FACE('',(#37790),#315989,.T.); #332635=ADVANCED_FACE('',(#37791),#20535,.F.); #332636=ADVANCED_FACE('',(#37792),#20536,.T.); #332637=ADVANCED_FACE('',(#37793),#20537,.F.); #332638=ADVANCED_FACE('',(#37794),#20538,.F.); #332639=ADVANCED_FACE('',(#37795),#20539,.F.); #332640=ADVANCED_FACE('',(#37796),#315990,.T.); #332641=ADVANCED_FACE('',(#37797),#20540,.F.); #332642=ADVANCED_FACE('',(#37798),#315991,.T.); #332643=ADVANCED_FACE('',(#37799),#20541,.F.); #332644=ADVANCED_FACE('',(#37800),#20542,.F.); #332645=ADVANCED_FACE('',(#37801),#20543,.F.); #332646=ADVANCED_FACE('',(#37802),#20544,.F.); #332647=ADVANCED_FACE('',(#37803),#315992,.T.); #332648=ADVANCED_FACE('',(#37804,#7857),#20545,.F.); #332649=ADVANCED_FACE('',(#37805),#315993,.T.); #332650=ADVANCED_FACE('',(#37806),#20546,.T.); #332651=ADVANCED_FACE('',(#37807),#20547,.T.); #332652=ADVANCED_FACE('',(#37808),#20548,.F.); #332653=ADVANCED_FACE('',(#37809),#20549,.T.); #332654=ADVANCED_FACE('',(#37810),#20550,.F.); #332655=ADVANCED_FACE('',(#37811),#20551,.T.); #332656=ADVANCED_FACE('',(#37812),#20552,.F.); #332657=ADVANCED_FACE('',(#37813),#20553,.T.); #332658=ADVANCED_FACE('',(#37814),#315994,.T.); #332659=ADVANCED_FACE('',(#37815),#20554,.T.); #332660=ADVANCED_FACE('',(#37816),#315995,.T.); #332661=ADVANCED_FACE('',(#37817),#20555,.T.); #332662=ADVANCED_FACE('',(#37818),#20556,.F.); #332663=ADVANCED_FACE('',(#37819),#20557,.F.); #332664=ADVANCED_FACE('',(#37820),#20558,.F.); #332665=ADVANCED_FACE('',(#37821),#20559,.F.); #332666=ADVANCED_FACE('',(#37822),#20560,.T.); #332667=ADVANCED_FACE('',(#37823),#315996,.T.); #332668=ADVANCED_FACE('',(#37824),#20561,.T.); #332669=ADVANCED_FACE('',(#37825),#315997,.T.); #332670=ADVANCED_FACE('',(#37826),#20562,.T.); #332671=ADVANCED_FACE('',(#37827),#20563,.F.); #332672=ADVANCED_FACE('',(#37828),#20564,.T.); #332673=ADVANCED_FACE('',(#37829),#315998,.T.); #332674=ADVANCED_FACE('',(#37830),#20565,.F.); #332675=ADVANCED_FACE('',(#37831),#20566,.T.); #332676=ADVANCED_FACE('',(#37832),#315999,.T.); #332677=ADVANCED_FACE('',(#37833),#20567,.T.); #332678=ADVANCED_FACE('',(#37834),#316000,.T.); #332679=ADVANCED_FACE('',(#37835),#316001,.T.); #332680=ADVANCED_FACE('',(#37836,#7858,#7859,#7860),#20568,.F.); #332681=ADVANCED_FACE('',(#37837),#316002,.T.); #332682=ADVANCED_FACE('',(#37838),#20569,.F.); #332683=ADVANCED_FACE('',(#37839),#20570,.T.); #332684=ADVANCED_FACE('',(#37840),#316003,.T.); #332685=ADVANCED_FACE('',(#37841),#20571,.F.); #332686=ADVANCED_FACE('',(#37842),#20572,.F.); #332687=ADVANCED_FACE('',(#37843),#316004,.T.); #332688=ADVANCED_FACE('',(#37844),#20573,.T.); #332689=ADVANCED_FACE('',(#37845),#316005,.T.); #332690=ADVANCED_FACE('',(#37846),#20574,.F.); #332691=ADVANCED_FACE('',(#37847),#316006,.T.); #332692=ADVANCED_FACE('',(#37848),#20575,.T.); #332693=ADVANCED_FACE('',(#37849),#316007,.T.); #332694=ADVANCED_FACE('',(#37850),#20576,.F.); #332695=ADVANCED_FACE('',(#37851),#316008,.T.); #332696=ADVANCED_FACE('',(#37852),#20577,.F.); #332697=ADVANCED_FACE('',(#37853),#316009,.T.); #332698=ADVANCED_FACE('',(#37854),#20578,.F.); #332699=ADVANCED_FACE('',(#37855),#20579,.T.); #332700=ADVANCED_FACE('',(#37856),#20580,.F.); #332701=ADVANCED_FACE('',(#37857),#20581,.T.); #332702=ADVANCED_FACE('',(#37858),#20582,.T.); #332703=ADVANCED_FACE('',(#37859),#20583,.T.); #332704=ADVANCED_FACE('',(#37860),#20584,.F.); #332705=ADVANCED_FACE('',(#37861),#20585,.F.); #332706=ADVANCED_FACE('',(#37862),#20586,.F.); #332707=ADVANCED_FACE('',(#37863),#20587,.F.); #332708=ADVANCED_FACE('',(#37864),#316010,.F.); #332709=ADVANCED_FACE('',(#37865),#316011,.T.); #332710=ADVANCED_FACE('',(#37866),#20588,.F.); #332711=ADVANCED_FACE('',(#37867),#20589,.F.); #332712=ADVANCED_FACE('',(#37868),#20590,.F.); #332713=ADVANCED_FACE('',(#37869),#20591,.T.); #332714=ADVANCED_FACE('',(#37870),#316012,.T.); #332715=ADVANCED_FACE('',(#37871),#20592,.F.); #332716=ADVANCED_FACE('',(#37872),#20593,.F.); #332717=ADVANCED_FACE('',(#37873),#316013,.T.); #332718=ADVANCED_FACE('',(#37874),#20594,.F.); #332719=ADVANCED_FACE('',(#37875),#20595,.T.); #332720=ADVANCED_FACE('',(#37876),#20596,.T.); #332721=ADVANCED_FACE('',(#37877),#20597,.T.); #332722=ADVANCED_FACE('',(#37878),#316014,.F.); #332723=ADVANCED_FACE('',(#37879),#316015,.T.); #332724=ADVANCED_FACE('',(#37880),#20598,.T.); #332725=ADVANCED_FACE('',(#37881),#20599,.T.); #332726=ADVANCED_FACE('',(#37882),#20600,.T.); #332727=ADVANCED_FACE('',(#37883),#20601,.T.); #332728=ADVANCED_FACE('',(#37884),#316016,.T.); #332729=ADVANCED_FACE('',(#37885),#20602,.F.); #332730=ADVANCED_FACE('',(#37886),#20603,.F.); #332731=ADVANCED_FACE('',(#37887),#316017,.T.); #332732=ADVANCED_FACE('',(#37888),#20604,.F.); #332733=ADVANCED_FACE('',(#37889),#20605,.F.); #332734=ADVANCED_FACE('',(#37890),#20606,.F.); #332735=ADVANCED_FACE('',(#37891),#20607,.F.); #332736=ADVANCED_FACE('',(#37892),#20608,.F.); #332737=ADVANCED_FACE('',(#37893),#316018,.F.); #332738=ADVANCED_FACE('',(#37894),#20609,.F.); #332739=ADVANCED_FACE('',(#37895),#316019,.T.); #332740=ADVANCED_FACE('',(#37896),#20610,.F.); #332741=ADVANCED_FACE('',(#37897),#20611,.F.); #332742=ADVANCED_FACE('',(#37898),#316020,.F.); #332743=ADVANCED_FACE('',(#37899),#20612,.F.); #332744=ADVANCED_FACE('',(#37900),#316021,.T.); #332745=ADVANCED_FACE('',(#37901),#20613,.T.); #332746=ADVANCED_FACE('',(#37902),#316022,.T.); #332747=ADVANCED_FACE('',(#37903),#20614,.T.); #332748=ADVANCED_FACE('',(#37904),#20615,.F.); #332749=ADVANCED_FACE('',(#37905),#316023,.F.); #332750=ADVANCED_FACE('',(#37906),#316024,.T.); #332751=ADVANCED_FACE('',(#37907),#20616,.F.); #332752=ADVANCED_FACE('',(#37908),#20617,.F.); #332753=ADVANCED_FACE('',(#37909),#316025,.F.); #332754=ADVANCED_FACE('',(#37910),#316026,.F.); #332755=ADVANCED_FACE('',(#37911),#20618,.F.); #332756=ADVANCED_FACE('',(#37912),#316027,.T.); #332757=ADVANCED_FACE('',(#37913),#20619,.F.); #332758=ADVANCED_FACE('',(#37914),#20620,.F.); #332759=ADVANCED_FACE('',(#37915),#20621,.F.); #332760=ADVANCED_FACE('',(#37916),#316028,.T.); #332761=ADVANCED_FACE('',(#37917),#20622,.F.); #332762=ADVANCED_FACE('',(#37918),#316029,.F.); #332763=ADVANCED_FACE('',(#37919),#20623,.T.); #332764=ADVANCED_FACE('',(#37920),#20624,.T.); #332765=ADVANCED_FACE('',(#37921),#20625,.F.); #332766=ADVANCED_FACE('',(#37922),#20626,.T.); #332767=ADVANCED_FACE('',(#37923),#20627,.T.); #332768=ADVANCED_FACE('',(#37924),#88,.T.); #332769=ADVANCED_FACE('',(#37925),#316030,.F.); #332770=ADVANCED_FACE('',(#37926),#89,.T.); #332771=ADVANCED_FACE('',(#37927),#316031,.F.); #332772=ADVANCED_FACE('',(#37928),#316032,.F.); #332773=ADVANCED_FACE('',(#37929),#316033,.F.); #332774=ADVANCED_FACE('',(#37930),#316034,.T.); #332775=ADVANCED_FACE('',(#37931),#316035,.T.); #332776=ADVANCED_FACE('',(#37932),#20628,.T.); #332777=ADVANCED_FACE('',(#37933),#20629,.T.); #332778=ADVANCED_FACE('',(#37934),#316036,.T.); #332779=ADVANCED_FACE('',(#37935),#316037,.F.); #332780=ADVANCED_FACE('',(#37936),#20630,.F.); #332781=ADVANCED_FACE('',(#37937),#316038,.T.); #332782=ADVANCED_FACE('',(#37938),#20631,.F.); #332783=ADVANCED_FACE('',(#37939),#316039,.F.); #332784=ADVANCED_FACE('',(#37940),#20632,.T.); #332785=ADVANCED_FACE('',(#37941),#20633,.T.); #332786=ADVANCED_FACE('',(#37942),#20634,.T.); #332787=ADVANCED_FACE('',(#37943),#20635,.T.); #332788=ADVANCED_FACE('',(#37944),#20636,.T.); #332789=ADVANCED_FACE('',(#37945),#316040,.F.); #332790=ADVANCED_FACE('',(#37946),#20637,.T.); #332791=ADVANCED_FACE('',(#37947),#316041,.T.); #332792=ADVANCED_FACE('',(#37948),#20638,.F.); #332793=ADVANCED_FACE('',(#37949),#20639,.T.); #332794=ADVANCED_FACE('',(#37950),#20640,.T.); #332795=ADVANCED_FACE('',(#37951),#20641,.T.); #332796=ADVANCED_FACE('',(#37952),#20642,.T.); #332797=ADVANCED_FACE('',(#37953),#20643,.T.); #332798=ADVANCED_FACE('',(#37954),#20644,.T.); #332799=ADVANCED_FACE('',(#37955),#20645,.T.); #332800=ADVANCED_FACE('',(#37956),#20646,.T.); #332801=ADVANCED_FACE('',(#37957),#316042,.T.); #332802=ADVANCED_FACE('',(#37958),#316043,.T.); #332803=ADVANCED_FACE('',(#37959),#20647,.T.); #332804=ADVANCED_FACE('',(#37960),#20648,.T.); #332805=ADVANCED_FACE('',(#37961),#316044,.T.); #332806=ADVANCED_FACE('',(#37962),#316045,.F.); #332807=ADVANCED_FACE('',(#37963),#20649,.T.); #332808=ADVANCED_FACE('',(#37964),#316046,.T.); #332809=ADVANCED_FACE('',(#37965),#20650,.T.); #332810=ADVANCED_FACE('',(#37966),#20651,.F.); #332811=ADVANCED_FACE('',(#37967),#20652,.T.); #332812=ADVANCED_FACE('',(#37968),#20653,.T.); #332813=ADVANCED_FACE('',(#37969),#20654,.T.); #332814=ADVANCED_FACE('',(#37970),#20655,.F.); #332815=ADVANCED_FACE('',(#37971),#316047,.F.); #332816=ADVANCED_FACE('',(#37972),#20656,.F.); #332817=ADVANCED_FACE('',(#37973),#20657,.T.); #332818=ADVANCED_FACE('',(#37974),#20658,.T.); #332819=ADVANCED_FACE('',(#37975),#20659,.T.); #332820=ADVANCED_FACE('',(#37976),#20660,.T.); #332821=ADVANCED_FACE('',(#37977),#20661,.T.); #332822=ADVANCED_FACE('',(#37978),#20662,.T.); #332823=ADVANCED_FACE('',(#37979),#20663,.T.); #332824=ADVANCED_FACE('',(#37980),#20664,.T.); #332825=ADVANCED_FACE('',(#37981),#316048,.T.); #332826=ADVANCED_FACE('',(#37982),#20665,.T.); #332827=ADVANCED_FACE('',(#37983),#316049,.F.); #332828=ADVANCED_FACE('',(#37984),#316050,.F.); #332829=ADVANCED_FACE('',(#37985),#20666,.T.); #332830=ADVANCED_FACE('',(#37986),#20667,.T.); #332831=ADVANCED_FACE('',(#37987),#20668,.T.); #332832=ADVANCED_FACE('',(#37988),#20669,.T.); #332833=ADVANCED_FACE('',(#37989),#20670,.T.); #332834=ADVANCED_FACE('',(#37990),#20671,.T.); #332835=ADVANCED_FACE('',(#37991),#20672,.T.); #332836=ADVANCED_FACE('',(#37992,#7861),#20673,.T.); #332837=ADVANCED_FACE('',(#37993),#20674,.T.); #332838=ADVANCED_FACE('',(#37994),#20675,.T.); #332839=ADVANCED_FACE('',(#37995),#20676,.T.); #332840=ADVANCED_FACE('',(#37996),#20677,.T.); #332841=ADVANCED_FACE('',(#37997),#316051,.T.); #332842=ADVANCED_FACE('',(#37998),#20678,.T.); #332843=ADVANCED_FACE('',(#37999),#20679,.T.); #332844=ADVANCED_FACE('',(#38000),#20680,.T.); #332845=ADVANCED_FACE('',(#38001),#20681,.T.); #332846=ADVANCED_FACE('',(#38002),#20682,.T.); #332847=ADVANCED_FACE('',(#38003),#20683,.F.); #332848=ADVANCED_FACE('',(#38004),#20684,.F.); #332849=ADVANCED_FACE('',(#38005),#316052,.T.); #332850=ADVANCED_FACE('',(#38006),#20685,.F.); #332851=ADVANCED_FACE('',(#38007),#20686,.F.); #332852=ADVANCED_FACE('',(#38008),#20687,.F.); #332853=ADVANCED_FACE('',(#38009),#20688,.F.); #332854=ADVANCED_FACE('',(#38010),#20689,.F.); #332855=ADVANCED_FACE('',(#38011),#20690,.T.); #332856=ADVANCED_FACE('',(#38012),#20691,.T.); #332857=ADVANCED_FACE('',(#38013),#316053,.T.); #332858=ADVANCED_FACE('',(#38014),#20692,.T.); #332859=ADVANCED_FACE('',(#38015),#20693,.T.); #332860=ADVANCED_FACE('',(#38016),#20694,.T.); #332861=ADVANCED_FACE('',(#38017),#20695,.T.); #332862=ADVANCED_FACE('',(#38018),#20696,.T.); #332863=ADVANCED_FACE('',(#38019),#20697,.F.); #332864=ADVANCED_FACE('',(#38020),#20698,.T.); #332865=ADVANCED_FACE('',(#38021),#316054,.T.); #332866=ADVANCED_FACE('',(#38022),#20699,.T.); #332867=ADVANCED_FACE('',(#38023),#20700,.T.); #332868=ADVANCED_FACE('',(#38024),#20701,.T.); #332869=ADVANCED_FACE('',(#38025),#20702,.T.); #332870=ADVANCED_FACE('',(#38026),#20703,.T.); #332871=ADVANCED_FACE('',(#38027),#20704,.F.); #332872=ADVANCED_FACE('',(#38028),#20705,.T.); #332873=ADVANCED_FACE('',(#38029),#316055,.T.); #332874=ADVANCED_FACE('',(#38030),#20706,.T.); #332875=ADVANCED_FACE('',(#38031),#20707,.T.); #332876=ADVANCED_FACE('',(#38032),#20708,.T.); #332877=ADVANCED_FACE('',(#38033),#20709,.T.); #332878=ADVANCED_FACE('',(#38034),#20710,.T.); #332879=ADVANCED_FACE('',(#38035),#20711,.F.); #332880=ADVANCED_FACE('',(#38036),#20712,.T.); #332881=ADVANCED_FACE('',(#38037),#316056,.T.); #332882=ADVANCED_FACE('',(#38038),#20713,.T.); #332883=ADVANCED_FACE('',(#38039),#20714,.T.); #332884=ADVANCED_FACE('',(#38040),#20715,.T.); #332885=ADVANCED_FACE('',(#38041),#20716,.T.); #332886=ADVANCED_FACE('',(#38042),#20717,.T.); #332887=ADVANCED_FACE('',(#38043),#20718,.F.); #332888=ADVANCED_FACE('',(#38044),#20719,.T.); #332889=ADVANCED_FACE('',(#38045),#316057,.T.); #332890=ADVANCED_FACE('',(#38046),#20720,.T.); #332891=ADVANCED_FACE('',(#38047),#20721,.T.); #332892=ADVANCED_FACE('',(#38048),#20722,.T.); #332893=ADVANCED_FACE('',(#38049),#20723,.T.); #332894=ADVANCED_FACE('',(#38050),#20724,.T.); #332895=ADVANCED_FACE('',(#38051),#20725,.F.); #332896=ADVANCED_FACE('',(#38052),#20726,.T.); #332897=ADVANCED_FACE('',(#38053),#316058,.T.); #332898=ADVANCED_FACE('',(#38054),#20727,.T.); #332899=ADVANCED_FACE('',(#38055),#20728,.T.); #332900=ADVANCED_FACE('',(#38056),#20729,.T.); #332901=ADVANCED_FACE('',(#38057),#20730,.T.); #332902=ADVANCED_FACE('',(#38058),#20731,.T.); #332903=ADVANCED_FACE('',(#38059),#20732,.F.); #332904=ADVANCED_FACE('',(#38060),#20733,.T.); #332905=ADVANCED_FACE('',(#38061),#316059,.T.); #332906=ADVANCED_FACE('',(#38062),#20734,.T.); #332907=ADVANCED_FACE('',(#38063),#20735,.T.); #332908=ADVANCED_FACE('',(#38064),#20736,.T.); #332909=ADVANCED_FACE('',(#38065),#20737,.T.); #332910=ADVANCED_FACE('',(#38066),#20738,.T.); #332911=ADVANCED_FACE('',(#38067),#20739,.F.); #332912=ADVANCED_FACE('',(#38068),#20740,.T.); #332913=ADVANCED_FACE('',(#38069),#316060,.T.); #332914=ADVANCED_FACE('',(#38070),#20741,.T.); #332915=ADVANCED_FACE('',(#38071),#20742,.T.); #332916=ADVANCED_FACE('',(#38072),#20743,.T.); #332917=ADVANCED_FACE('',(#38073),#20744,.T.); #332918=ADVANCED_FACE('',(#38074),#20745,.T.); #332919=ADVANCED_FACE('',(#38075),#20746,.F.); #332920=ADVANCED_FACE('',(#38076),#20747,.T.); #332921=ADVANCED_FACE('',(#38077),#316061,.T.); #332922=ADVANCED_FACE('',(#38078),#20748,.T.); #332923=ADVANCED_FACE('',(#38079),#20749,.T.); #332924=ADVANCED_FACE('',(#38080),#20750,.T.); #332925=ADVANCED_FACE('',(#38081),#20751,.T.); #332926=ADVANCED_FACE('',(#38082),#20752,.T.); #332927=ADVANCED_FACE('',(#38083),#20753,.F.); #332928=ADVANCED_FACE('',(#38084),#20754,.T.); #332929=ADVANCED_FACE('',(#38085),#316062,.T.); #332930=ADVANCED_FACE('',(#38086),#20755,.T.); #332931=ADVANCED_FACE('',(#38087),#20756,.T.); #332932=ADVANCED_FACE('',(#38088),#20757,.T.); #332933=ADVANCED_FACE('',(#38089),#20758,.T.); #332934=ADVANCED_FACE('',(#38090),#20759,.T.); #332935=ADVANCED_FACE('',(#38091),#20760,.F.); #332936=ADVANCED_FACE('',(#38092),#20761,.T.); #332937=ADVANCED_FACE('',(#38093),#316063,.T.); #332938=ADVANCED_FACE('',(#38094),#20762,.T.); #332939=ADVANCED_FACE('',(#38095),#20763,.T.); #332940=ADVANCED_FACE('',(#38096),#20764,.T.); #332941=ADVANCED_FACE('',(#38097),#20765,.T.); #332942=ADVANCED_FACE('',(#38098),#20766,.T.); #332943=ADVANCED_FACE('',(#38099),#20767,.F.); #332944=ADVANCED_FACE('',(#38100),#20768,.F.); #332945=ADVANCED_FACE('',(#38101),#316064,.T.); #332946=ADVANCED_FACE('',(#38102),#20769,.F.); #332947=ADVANCED_FACE('',(#38103),#20770,.F.); #332948=ADVANCED_FACE('',(#38104),#20771,.F.); #332949=ADVANCED_FACE('',(#38105),#20772,.F.); #332950=ADVANCED_FACE('',(#38106),#20773,.F.); #332951=ADVANCED_FACE('',(#38107),#20774,.T.); #332952=ADVANCED_FACE('',(#38108),#20775,.F.); #332953=ADVANCED_FACE('',(#38109),#316065,.T.); #332954=ADVANCED_FACE('',(#38110),#20776,.F.); #332955=ADVANCED_FACE('',(#38111),#20777,.F.); #332956=ADVANCED_FACE('',(#38112),#20778,.F.); #332957=ADVANCED_FACE('',(#38113),#20779,.F.); #332958=ADVANCED_FACE('',(#38114),#20780,.F.); #332959=ADVANCED_FACE('',(#38115),#20781,.T.); #332960=ADVANCED_FACE('',(#38116),#20782,.F.); #332961=ADVANCED_FACE('',(#38117),#316066,.T.); #332962=ADVANCED_FACE('',(#38118),#20783,.F.); #332963=ADVANCED_FACE('',(#38119),#20784,.F.); #332964=ADVANCED_FACE('',(#38120),#20785,.F.); #332965=ADVANCED_FACE('',(#38121),#20786,.F.); #332966=ADVANCED_FACE('',(#38122),#20787,.F.); #332967=ADVANCED_FACE('',(#38123),#20788,.T.); #332968=ADVANCED_FACE('',(#38124),#20789,.F.); #332969=ADVANCED_FACE('',(#38125),#316067,.T.); #332970=ADVANCED_FACE('',(#38126),#20790,.F.); #332971=ADVANCED_FACE('',(#38127),#20791,.F.); #332972=ADVANCED_FACE('',(#38128),#20792,.F.); #332973=ADVANCED_FACE('',(#38129),#20793,.F.); #332974=ADVANCED_FACE('',(#38130),#20794,.F.); #332975=ADVANCED_FACE('',(#38131),#20795,.T.); #332976=ADVANCED_FACE('',(#38132),#20796,.F.); #332977=ADVANCED_FACE('',(#38133),#316068,.T.); #332978=ADVANCED_FACE('',(#38134),#20797,.F.); #332979=ADVANCED_FACE('',(#38135),#20798,.F.); #332980=ADVANCED_FACE('',(#38136),#20799,.F.); #332981=ADVANCED_FACE('',(#38137),#20800,.F.); #332982=ADVANCED_FACE('',(#38138),#20801,.F.); #332983=ADVANCED_FACE('',(#38139),#20802,.T.); #332984=ADVANCED_FACE('',(#38140),#20803,.F.); #332985=ADVANCED_FACE('',(#38141),#316069,.T.); #332986=ADVANCED_FACE('',(#38142),#20804,.F.); #332987=ADVANCED_FACE('',(#38143),#20805,.F.); #332988=ADVANCED_FACE('',(#38144),#20806,.F.); #332989=ADVANCED_FACE('',(#38145),#20807,.F.); #332990=ADVANCED_FACE('',(#38146),#20808,.F.); #332991=ADVANCED_FACE('',(#38147),#20809,.T.); #332992=ADVANCED_FACE('',(#38148),#20810,.F.); #332993=ADVANCED_FACE('',(#38149),#316070,.T.); #332994=ADVANCED_FACE('',(#38150),#20811,.F.); #332995=ADVANCED_FACE('',(#38151),#20812,.F.); #332996=ADVANCED_FACE('',(#38152),#20813,.F.); #332997=ADVANCED_FACE('',(#38153),#20814,.F.); #332998=ADVANCED_FACE('',(#38154),#20815,.F.); #332999=ADVANCED_FACE('',(#38155),#20816,.T.); #333000=ADVANCED_FACE('',(#38156),#20817,.F.); #333001=ADVANCED_FACE('',(#38157),#316071,.T.); #333002=ADVANCED_FACE('',(#38158),#20818,.F.); #333003=ADVANCED_FACE('',(#38159),#20819,.F.); #333004=ADVANCED_FACE('',(#38160),#20820,.F.); #333005=ADVANCED_FACE('',(#38161),#20821,.F.); #333006=ADVANCED_FACE('',(#38162),#20822,.F.); #333007=ADVANCED_FACE('',(#38163),#20823,.T.); #333008=ADVANCED_FACE('',(#38164),#20824,.F.); #333009=ADVANCED_FACE('',(#38165),#316072,.T.); #333010=ADVANCED_FACE('',(#38166),#20825,.F.); #333011=ADVANCED_FACE('',(#38167),#20826,.F.); #333012=ADVANCED_FACE('',(#38168),#20827,.F.); #333013=ADVANCED_FACE('',(#38169),#20828,.F.); #333014=ADVANCED_FACE('',(#38170),#20829,.F.); #333015=ADVANCED_FACE('',(#38171),#20830,.T.); #333016=ADVANCED_FACE('',(#38172),#20831,.F.); #333017=ADVANCED_FACE('',(#38173),#316073,.T.); #333018=ADVANCED_FACE('',(#38174),#20832,.F.); #333019=ADVANCED_FACE('',(#38175),#20833,.F.); #333020=ADVANCED_FACE('',(#38176),#20834,.F.); #333021=ADVANCED_FACE('',(#38177),#20835,.F.); #333022=ADVANCED_FACE('',(#38178),#20836,.F.); #333023=ADVANCED_FACE('',(#38179),#20837,.T.); #333024=ADVANCED_FACE('',(#38180),#20838,.F.); #333025=ADVANCED_FACE('',(#38181),#316074,.T.); #333026=ADVANCED_FACE('',(#38182),#20839,.F.); #333027=ADVANCED_FACE('',(#38183),#20840,.F.); #333028=ADVANCED_FACE('',(#38184),#20841,.F.); #333029=ADVANCED_FACE('',(#38185),#20842,.F.); #333030=ADVANCED_FACE('',(#38186),#20843,.F.); #333031=ADVANCED_FACE('',(#38187),#20844,.T.); #333032=ADVANCED_FACE('',(#38188),#20845,.T.); #333033=ADVANCED_FACE('',(#38189),#316075,.T.); #333034=ADVANCED_FACE('',(#38190),#20846,.T.); #333035=ADVANCED_FACE('',(#38191),#20847,.T.); #333036=ADVANCED_FACE('',(#38192),#20848,.T.); #333037=ADVANCED_FACE('',(#38193),#20849,.T.); #333038=ADVANCED_FACE('',(#38194),#20850,.T.); #333039=ADVANCED_FACE('',(#38195),#20851,.F.); #333040=ADVANCED_FACE('',(#38196),#20852,.F.); #333041=ADVANCED_FACE('',(#38197),#316076,.T.); #333042=ADVANCED_FACE('',(#38198),#20853,.F.); #333043=ADVANCED_FACE('',(#38199),#20854,.F.); #333044=ADVANCED_FACE('',(#38200),#20855,.F.); #333045=ADVANCED_FACE('',(#38201),#20856,.F.); #333046=ADVANCED_FACE('',(#38202),#20857,.F.); #333047=ADVANCED_FACE('',(#38203),#20858,.T.); #333048=ADVANCED_FACE('',(#38204),#20859,.T.); #333049=ADVANCED_FACE('',(#38205),#316077,.T.); #333050=ADVANCED_FACE('',(#38206),#20860,.T.); #333051=ADVANCED_FACE('',(#38207),#20861,.T.); #333052=ADVANCED_FACE('',(#38208),#20862,.T.); #333053=ADVANCED_FACE('',(#38209),#20863,.T.); #333054=ADVANCED_FACE('',(#38210),#20864,.T.); #333055=ADVANCED_FACE('',(#38211),#20865,.F.); #333056=ADVANCED_FACE('',(#38212),#20866,.T.); #333057=ADVANCED_FACE('',(#38213),#316078,.T.); #333058=ADVANCED_FACE('',(#38214),#20867,.T.); #333059=ADVANCED_FACE('',(#38215),#20868,.T.); #333060=ADVANCED_FACE('',(#38216),#20869,.T.); #333061=ADVANCED_FACE('',(#38217),#20870,.T.); #333062=ADVANCED_FACE('',(#38218),#20871,.T.); #333063=ADVANCED_FACE('',(#38219),#20872,.F.); #333064=ADVANCED_FACE('',(#38220),#20873,.T.); #333065=ADVANCED_FACE('',(#38221),#316079,.T.); #333066=ADVANCED_FACE('',(#38222),#20874,.T.); #333067=ADVANCED_FACE('',(#38223),#20875,.T.); #333068=ADVANCED_FACE('',(#38224),#20876,.T.); #333069=ADVANCED_FACE('',(#38225),#20877,.T.); #333070=ADVANCED_FACE('',(#38226),#20878,.T.); #333071=ADVANCED_FACE('',(#38227),#20879,.F.); #333072=ADVANCED_FACE('',(#38228),#20880,.T.); #333073=ADVANCED_FACE('',(#38229),#316080,.T.); #333074=ADVANCED_FACE('',(#38230),#20881,.T.); #333075=ADVANCED_FACE('',(#38231),#20882,.T.); #333076=ADVANCED_FACE('',(#38232),#20883,.T.); #333077=ADVANCED_FACE('',(#38233),#20884,.T.); #333078=ADVANCED_FACE('',(#38234),#20885,.T.); #333079=ADVANCED_FACE('',(#38235),#20886,.F.); #333080=ADVANCED_FACE('',(#38236),#20887,.T.); #333081=ADVANCED_FACE('',(#38237),#316081,.T.); #333082=ADVANCED_FACE('',(#38238),#20888,.T.); #333083=ADVANCED_FACE('',(#38239),#20889,.T.); #333084=ADVANCED_FACE('',(#38240),#20890,.T.); #333085=ADVANCED_FACE('',(#38241),#20891,.T.); #333086=ADVANCED_FACE('',(#38242),#20892,.T.); #333087=ADVANCED_FACE('',(#38243),#20893,.F.); #333088=ADVANCED_FACE('',(#38244),#20894,.T.); #333089=ADVANCED_FACE('',(#38245),#316082,.T.); #333090=ADVANCED_FACE('',(#38246),#20895,.T.); #333091=ADVANCED_FACE('',(#38247),#20896,.T.); #333092=ADVANCED_FACE('',(#38248),#20897,.T.); #333093=ADVANCED_FACE('',(#38249),#20898,.T.); #333094=ADVANCED_FACE('',(#38250),#20899,.T.); #333095=ADVANCED_FACE('',(#38251),#20900,.F.); #333096=ADVANCED_FACE('',(#38252),#20901,.T.); #333097=ADVANCED_FACE('',(#38253),#316083,.T.); #333098=ADVANCED_FACE('',(#38254),#20902,.T.); #333099=ADVANCED_FACE('',(#38255),#20903,.T.); #333100=ADVANCED_FACE('',(#38256),#20904,.T.); #333101=ADVANCED_FACE('',(#38257),#20905,.T.); #333102=ADVANCED_FACE('',(#38258),#20906,.T.); #333103=ADVANCED_FACE('',(#38259),#20907,.F.); #333104=ADVANCED_FACE('',(#38260),#20908,.T.); #333105=ADVANCED_FACE('',(#38261),#316084,.T.); #333106=ADVANCED_FACE('',(#38262),#20909,.T.); #333107=ADVANCED_FACE('',(#38263),#20910,.T.); #333108=ADVANCED_FACE('',(#38264),#20911,.T.); #333109=ADVANCED_FACE('',(#38265),#20912,.T.); #333110=ADVANCED_FACE('',(#38266),#20913,.T.); #333111=ADVANCED_FACE('',(#38267),#20914,.F.); #333112=ADVANCED_FACE('',(#38268),#20915,.T.); #333113=ADVANCED_FACE('',(#38269),#316085,.T.); #333114=ADVANCED_FACE('',(#38270),#20916,.T.); #333115=ADVANCED_FACE('',(#38271),#20917,.T.); #333116=ADVANCED_FACE('',(#38272),#20918,.T.); #333117=ADVANCED_FACE('',(#38273),#20919,.T.); #333118=ADVANCED_FACE('',(#38274),#20920,.T.); #333119=ADVANCED_FACE('',(#38275),#20921,.F.); #333120=ADVANCED_FACE('',(#38276),#20922,.T.); #333121=ADVANCED_FACE('',(#38277),#316086,.T.); #333122=ADVANCED_FACE('',(#38278),#20923,.T.); #333123=ADVANCED_FACE('',(#38279),#20924,.T.); #333124=ADVANCED_FACE('',(#38280),#20925,.T.); #333125=ADVANCED_FACE('',(#38281),#20926,.T.); #333126=ADVANCED_FACE('',(#38282),#20927,.T.); #333127=ADVANCED_FACE('',(#38283),#20928,.F.); #333128=ADVANCED_FACE('',(#38284),#20929,.T.); #333129=ADVANCED_FACE('',(#38285),#316087,.T.); #333130=ADVANCED_FACE('',(#38286),#20930,.T.); #333131=ADVANCED_FACE('',(#38287),#20931,.T.); #333132=ADVANCED_FACE('',(#38288),#20932,.T.); #333133=ADVANCED_FACE('',(#38289),#20933,.T.); #333134=ADVANCED_FACE('',(#38290),#20934,.T.); #333135=ADVANCED_FACE('',(#38291),#20935,.F.); #333136=ADVANCED_FACE('',(#38292),#20936,.F.); #333137=ADVANCED_FACE('',(#38293),#316088,.T.); #333138=ADVANCED_FACE('',(#38294),#20937,.F.); #333139=ADVANCED_FACE('',(#38295),#20938,.F.); #333140=ADVANCED_FACE('',(#38296),#20939,.F.); #333141=ADVANCED_FACE('',(#38297),#20940,.F.); #333142=ADVANCED_FACE('',(#38298),#20941,.F.); #333143=ADVANCED_FACE('',(#38299),#20942,.T.); #333144=ADVANCED_FACE('',(#38300),#20943,.F.); #333145=ADVANCED_FACE('',(#38301),#316089,.T.); #333146=ADVANCED_FACE('',(#38302),#20944,.F.); #333147=ADVANCED_FACE('',(#38303),#20945,.F.); #333148=ADVANCED_FACE('',(#38304),#20946,.F.); #333149=ADVANCED_FACE('',(#38305),#20947,.F.); #333150=ADVANCED_FACE('',(#38306),#20948,.F.); #333151=ADVANCED_FACE('',(#38307),#20949,.T.); #333152=ADVANCED_FACE('',(#38308),#20950,.F.); #333153=ADVANCED_FACE('',(#38309),#316090,.T.); #333154=ADVANCED_FACE('',(#38310),#20951,.F.); #333155=ADVANCED_FACE('',(#38311),#20952,.F.); #333156=ADVANCED_FACE('',(#38312),#20953,.F.); #333157=ADVANCED_FACE('',(#38313),#20954,.F.); #333158=ADVANCED_FACE('',(#38314),#20955,.F.); #333159=ADVANCED_FACE('',(#38315),#20956,.T.); #333160=ADVANCED_FACE('',(#38316),#20957,.F.); #333161=ADVANCED_FACE('',(#38317),#316091,.T.); #333162=ADVANCED_FACE('',(#38318),#20958,.F.); #333163=ADVANCED_FACE('',(#38319),#20959,.F.); #333164=ADVANCED_FACE('',(#38320),#20960,.F.); #333165=ADVANCED_FACE('',(#38321),#20961,.F.); #333166=ADVANCED_FACE('',(#38322),#20962,.F.); #333167=ADVANCED_FACE('',(#38323),#20963,.T.); #333168=ADVANCED_FACE('',(#38324),#20964,.F.); #333169=ADVANCED_FACE('',(#38325),#316092,.T.); #333170=ADVANCED_FACE('',(#38326),#20965,.F.); #333171=ADVANCED_FACE('',(#38327),#20966,.F.); #333172=ADVANCED_FACE('',(#38328),#20967,.F.); #333173=ADVANCED_FACE('',(#38329),#20968,.F.); #333174=ADVANCED_FACE('',(#38330),#20969,.F.); #333175=ADVANCED_FACE('',(#38331),#20970,.T.); #333176=ADVANCED_FACE('',(#38332),#20971,.F.); #333177=ADVANCED_FACE('',(#38333),#316093,.T.); #333178=ADVANCED_FACE('',(#38334),#20972,.F.); #333179=ADVANCED_FACE('',(#38335),#20973,.F.); #333180=ADVANCED_FACE('',(#38336),#20974,.F.); #333181=ADVANCED_FACE('',(#38337),#20975,.F.); #333182=ADVANCED_FACE('',(#38338),#20976,.F.); #333183=ADVANCED_FACE('',(#38339),#20977,.T.); #333184=ADVANCED_FACE('',(#38340),#20978,.F.); #333185=ADVANCED_FACE('',(#38341),#316094,.T.); #333186=ADVANCED_FACE('',(#38342),#20979,.F.); #333187=ADVANCED_FACE('',(#38343),#20980,.F.); #333188=ADVANCED_FACE('',(#38344),#20981,.F.); #333189=ADVANCED_FACE('',(#38345),#20982,.F.); #333190=ADVANCED_FACE('',(#38346),#20983,.F.); #333191=ADVANCED_FACE('',(#38347),#20984,.T.); #333192=ADVANCED_FACE('',(#38348),#20985,.F.); #333193=ADVANCED_FACE('',(#38349),#316095,.T.); #333194=ADVANCED_FACE('',(#38350),#20986,.F.); #333195=ADVANCED_FACE('',(#38351),#20987,.F.); #333196=ADVANCED_FACE('',(#38352),#20988,.F.); #333197=ADVANCED_FACE('',(#38353),#20989,.F.); #333198=ADVANCED_FACE('',(#38354),#20990,.F.); #333199=ADVANCED_FACE('',(#38355),#20991,.T.); #333200=ADVANCED_FACE('',(#38356),#20992,.F.); #333201=ADVANCED_FACE('',(#38357),#316096,.T.); #333202=ADVANCED_FACE('',(#38358),#20993,.F.); #333203=ADVANCED_FACE('',(#38359),#20994,.F.); #333204=ADVANCED_FACE('',(#38360),#20995,.F.); #333205=ADVANCED_FACE('',(#38361),#20996,.F.); #333206=ADVANCED_FACE('',(#38362),#20997,.F.); #333207=ADVANCED_FACE('',(#38363),#20998,.T.); #333208=ADVANCED_FACE('',(#38364),#20999,.F.); #333209=ADVANCED_FACE('',(#38365),#316097,.T.); #333210=ADVANCED_FACE('',(#38366),#21000,.F.); #333211=ADVANCED_FACE('',(#38367),#21001,.F.); #333212=ADVANCED_FACE('',(#38368),#21002,.F.); #333213=ADVANCED_FACE('',(#38369),#21003,.F.); #333214=ADVANCED_FACE('',(#38370),#21004,.F.); #333215=ADVANCED_FACE('',(#38371),#21005,.T.); #333216=ADVANCED_FACE('',(#38372),#21006,.F.); #333217=ADVANCED_FACE('',(#38373),#316098,.T.); #333218=ADVANCED_FACE('',(#38374),#21007,.F.); #333219=ADVANCED_FACE('',(#38375),#21008,.F.); #333220=ADVANCED_FACE('',(#38376),#21009,.F.); #333221=ADVANCED_FACE('',(#38377),#21010,.F.); #333222=ADVANCED_FACE('',(#38378),#21011,.F.); #333223=ADVANCED_FACE('',(#38379),#21012,.T.); #333224=ADVANCED_FACE('',(#38380),#21013,.T.); #333225=ADVANCED_FACE('',(#38381),#21014,.T.); #333226=ADVANCED_FACE('',(#38382),#21015,.T.); #333227=ADVANCED_FACE('',(#38383),#21016,.T.); #333228=ADVANCED_FACE('',(#38384),#21017,.T.); #333229=ADVANCED_FACE('',(#38385),#21018,.F.); #333230=ADVANCED_FACE('',(#38386),#324,.T.); #333231=ADVANCED_FACE('',(#38387),#325,.T.); #333232=ADVANCED_FACE('',(#38388),#326,.T.); #333233=ADVANCED_FACE('',(#38389),#327,.T.); #333234=ADVANCED_FACE('',(#38390),#328,.T.); #333235=ADVANCED_FACE('',(#38391),#316099,.T.); #333236=ADVANCED_FACE('',(#38392),#329,.T.); #333237=ADVANCED_FACE('',(#38393),#330,.T.); #333238=ADVANCED_FACE('',(#38394),#316100,.T.); #333239=ADVANCED_FACE('',(#38395),#316101,.T.); #333240=ADVANCED_FACE('',(#38396),#331,.T.); #333241=ADVANCED_FACE('',(#38397),#316102,.T.); #333242=ADVANCED_FACE('',(#38398),#316103,.T.); #333243=ADVANCED_FACE('',(#38399),#316104,.T.); #333244=ADVANCED_FACE('',(#38400),#316105,.T.); #333245=ADVANCED_FACE('',(#38401),#316106,.T.); #333246=ADVANCED_FACE('',(#38402),#316107,.T.); #333247=ADVANCED_FACE('',(#38403),#316108,.T.); #333248=ADVANCED_FACE('',(#38404),#316109,.T.); #333249=ADVANCED_FACE('',(#38405),#316110,.T.); #333250=ADVANCED_FACE('',(#38406),#21019,.T.); #333251=ADVANCED_FACE('',(#38407),#21020,.F.); #333252=ADVANCED_FACE('',(#38408),#21021,.T.); #333253=ADVANCED_FACE('',(#38409),#21022,.T.); #333254=ADVANCED_FACE('',(#38410),#21023,.T.); #333255=ADVANCED_FACE('',(#38411),#21024,.T.); #333256=ADVANCED_FACE('',(#38412),#332,.T.); #333257=ADVANCED_FACE('',(#38413),#333,.T.); #333258=ADVANCED_FACE('',(#38414),#334,.T.); #333259=ADVANCED_FACE('',(#38415),#335,.T.); #333260=ADVANCED_FACE('',(#38416),#336,.T.); #333261=ADVANCED_FACE('',(#38417),#316111,.T.); #333262=ADVANCED_FACE('',(#38418),#337,.T.); #333263=ADVANCED_FACE('',(#38419),#338,.T.); #333264=ADVANCED_FACE('',(#38420),#316112,.T.); #333265=ADVANCED_FACE('',(#38421),#316113,.T.); #333266=ADVANCED_FACE('',(#38422),#339,.T.); #333267=ADVANCED_FACE('',(#38423),#316114,.T.); #333268=ADVANCED_FACE('',(#38424),#316115,.T.); #333269=ADVANCED_FACE('',(#38425),#316116,.T.); #333270=ADVANCED_FACE('',(#38426),#316117,.T.); #333271=ADVANCED_FACE('',(#38427),#316118,.T.); #333272=ADVANCED_FACE('',(#38428),#316119,.T.); #333273=ADVANCED_FACE('',(#38429),#316120,.T.); #333274=ADVANCED_FACE('',(#38430),#316121,.T.); #333275=ADVANCED_FACE('',(#38431),#316122,.T.); #333276=ADVANCED_FACE('',(#38432),#21025,.T.); #333277=ADVANCED_FACE('',(#38433),#21026,.F.); #333278=ADVANCED_FACE('',(#38434),#21027,.T.); #333279=ADVANCED_FACE('',(#38435),#21028,.T.); #333280=ADVANCED_FACE('',(#38436),#21029,.T.); #333281=ADVANCED_FACE('',(#38437),#21030,.T.); #333282=ADVANCED_FACE('',(#38438),#21031,.T.); #333283=ADVANCED_FACE('',(#38439),#21032,.T.); #333284=ADVANCED_FACE('',(#38440),#21033,.T.); #333285=ADVANCED_FACE('',(#38441),#21034,.T.); #333286=ADVANCED_FACE('',(#38442),#21035,.T.); #333287=ADVANCED_FACE('',(#38443),#21036,.F.); #333288=ADVANCED_FACE('',(#38444),#21037,.T.); #333289=ADVANCED_FACE('',(#38445),#21038,.T.); #333290=ADVANCED_FACE('',(#38446),#21039,.T.); #333291=ADVANCED_FACE('',(#38447),#21040,.T.); #333292=ADVANCED_FACE('',(#38448),#21041,.T.); #333293=ADVANCED_FACE('',(#38449),#21042,.T.); #333294=ADVANCED_FACE('',(#38450),#21043,.T.); #333295=ADVANCED_FACE('',(#38451),#21044,.T.); #333296=ADVANCED_FACE('',(#38452),#21045,.T.); #333297=ADVANCED_FACE('',(#38453),#21046,.F.); #333298=ADVANCED_FACE('',(#38454),#21047,.T.); #333299=ADVANCED_FACE('',(#38455),#21048,.T.); #333300=ADVANCED_FACE('',(#38456),#21049,.T.); #333301=ADVANCED_FACE('',(#38457),#21050,.T.); #333302=ADVANCED_FACE('',(#38458),#21051,.T.); #333303=ADVANCED_FACE('',(#38459),#21052,.F.); #333304=ADVANCED_FACE('',(#38460),#21053,.T.); #333305=ADVANCED_FACE('',(#38461),#21054,.T.); #333306=ADVANCED_FACE('',(#38462),#21055,.T.); #333307=ADVANCED_FACE('',(#38463),#21056,.T.); #333308=ADVANCED_FACE('',(#38464),#21057,.T.); #333309=ADVANCED_FACE('',(#38465),#21058,.F.); #333310=ADVANCED_FACE('',(#38466),#21059,.T.); #333311=ADVANCED_FACE('',(#38467),#21060,.T.); #333312=ADVANCED_FACE('',(#38468),#21061,.T.); #333313=ADVANCED_FACE('',(#38469),#21062,.T.); #333314=ADVANCED_FACE('',(#38470),#21063,.T.); #333315=ADVANCED_FACE('',(#38471),#21064,.F.); #333316=ADVANCED_FACE('',(#38472),#21065,.T.); #333317=ADVANCED_FACE('',(#38473),#21066,.T.); #333318=ADVANCED_FACE('',(#38474),#21067,.T.); #333319=ADVANCED_FACE('',(#38475),#21068,.T.); #333320=ADVANCED_FACE('',(#38476),#21069,.T.); #333321=ADVANCED_FACE('',(#38477),#21070,.F.); #333322=ADVANCED_FACE('',(#38478),#21071,.T.); #333323=ADVANCED_FACE('',(#38479),#21072,.T.); #333324=ADVANCED_FACE('',(#38480),#21073,.T.); #333325=ADVANCED_FACE('',(#38481),#21074,.T.); #333326=ADVANCED_FACE('',(#38482),#21075,.T.); #333327=ADVANCED_FACE('',(#38483),#21076,.F.); #333328=ADVANCED_FACE('',(#38484),#316123,.F.); #333329=ADVANCED_FACE('',(#38485),#21077,.T.); #333330=ADVANCED_FACE('',(#38486),#21078,.T.); #333331=ADVANCED_FACE('',(#38487),#21079,.T.); #333332=ADVANCED_FACE('',(#38488),#21080,.T.); #333333=ADVANCED_FACE('',(#38489),#21081,.T.); #333334=ADVANCED_FACE('',(#38490),#21082,.T.); #333335=ADVANCED_FACE('',(#38491),#21083,.T.); #333336=ADVANCED_FACE('',(#38492,#7862),#21084,.T.); #333337=ADVANCED_FACE('',(#38493),#21085,.T.); #333338=ADVANCED_FACE('',(#38494),#21086,.T.); #333339=ADVANCED_FACE('',(#38495),#21087,.T.); #333340=ADVANCED_FACE('',(#38496),#21088,.T.); #333341=ADVANCED_FACE('',(#38497),#21089,.T.); #333342=ADVANCED_FACE('',(#38498),#21090,.F.); #333343=ADVANCED_FACE('',(#38499),#21091,.T.); #333344=ADVANCED_FACE('',(#38500),#21092,.F.); #333345=ADVANCED_FACE('',(#38501),#21093,.T.); #333346=ADVANCED_FACE('',(#38502),#316124,.F.); #333347=ADVANCED_FACE('',(#38503),#21094,.T.); #333348=ADVANCED_FACE('',(#38504),#21095,.T.); #333349=ADVANCED_FACE('',(#38505),#21096,.T.); #333350=ADVANCED_FACE('',(#38506),#316125,.T.); #333351=ADVANCED_FACE('',(#38507),#21097,.T.); #333352=ADVANCED_FACE('',(#38508),#316126,.F.); #333353=ADVANCED_FACE('',(#38509),#21098,.T.); #333354=ADVANCED_FACE('',(#38510),#21099,.T.); #333355=ADVANCED_FACE('',(#38511),#21100,.T.); #333356=ADVANCED_FACE('',(#38512),#316127,.T.); #333357=ADVANCED_FACE('',(#38513),#21101,.T.); #333358=ADVANCED_FACE('',(#38514),#21102,.T.); #333359=ADVANCED_FACE('',(#38515),#21103,.F.); #333360=ADVANCED_FACE('',(#38516),#316128,.F.); #333361=ADVANCED_FACE('',(#38517),#21104,.F.); #333362=ADVANCED_FACE('',(#38518),#21105,.F.); #333363=ADVANCED_FACE('',(#38519),#21106,.F.); #333364=ADVANCED_FACE('',(#38520),#316129,.T.); #333365=ADVANCED_FACE('',(#38521),#21107,.F.); #333366=ADVANCED_FACE('',(#38522),#316130,.F.); #333367=ADVANCED_FACE('',(#38523),#21108,.F.); #333368=ADVANCED_FACE('',(#38524),#21109,.F.); #333369=ADVANCED_FACE('',(#38525),#21110,.F.); #333370=ADVANCED_FACE('',(#38526),#316131,.T.); #333371=ADVANCED_FACE('',(#38527),#21111,.F.); #333372=ADVANCED_FACE('',(#38528),#21112,.F.); #333373=ADVANCED_FACE('',(#38529),#21113,.T.); #333374=ADVANCED_FACE('',(#38530),#316132,.F.); #333375=ADVANCED_FACE('',(#38531),#21114,.T.); #333376=ADVANCED_FACE('',(#38532),#21115,.T.); #333377=ADVANCED_FACE('',(#38533),#21116,.T.); #333378=ADVANCED_FACE('',(#38534),#316133,.T.); #333379=ADVANCED_FACE('',(#38535),#21117,.T.); #333380=ADVANCED_FACE('',(#38536),#316134,.F.); #333381=ADVANCED_FACE('',(#38537),#21118,.T.); #333382=ADVANCED_FACE('',(#38538),#21119,.T.); #333383=ADVANCED_FACE('',(#38539),#21120,.T.); #333384=ADVANCED_FACE('',(#38540),#316135,.T.); #333385=ADVANCED_FACE('',(#38541),#21121,.T.); #333386=ADVANCED_FACE('',(#38542),#21122,.F.); #333387=ADVANCED_FACE('',(#38543),#21123,.T.); #333388=ADVANCED_FACE('',(#38544),#316136,.F.); #333389=ADVANCED_FACE('',(#38545),#21124,.T.); #333390=ADVANCED_FACE('',(#38546),#21125,.T.); #333391=ADVANCED_FACE('',(#38547),#21126,.T.); #333392=ADVANCED_FACE('',(#38548),#316137,.T.); #333393=ADVANCED_FACE('',(#38549),#21127,.T.); #333394=ADVANCED_FACE('',(#38550),#316138,.F.); #333395=ADVANCED_FACE('',(#38551),#21128,.T.); #333396=ADVANCED_FACE('',(#38552),#21129,.T.); #333397=ADVANCED_FACE('',(#38553),#21130,.T.); #333398=ADVANCED_FACE('',(#38554),#316139,.T.); #333399=ADVANCED_FACE('',(#38555),#21131,.T.); #333400=ADVANCED_FACE('',(#38556),#21132,.F.); #333401=ADVANCED_FACE('',(#38557),#21133,.T.); #333402=ADVANCED_FACE('',(#38558),#316140,.F.); #333403=ADVANCED_FACE('',(#38559),#21134,.T.); #333404=ADVANCED_FACE('',(#38560),#21135,.T.); #333405=ADVANCED_FACE('',(#38561),#21136,.T.); #333406=ADVANCED_FACE('',(#38562),#316141,.T.); #333407=ADVANCED_FACE('',(#38563),#21137,.T.); #333408=ADVANCED_FACE('',(#38564),#316142,.F.); #333409=ADVANCED_FACE('',(#38565),#21138,.T.); #333410=ADVANCED_FACE('',(#38566),#21139,.T.); #333411=ADVANCED_FACE('',(#38567),#21140,.T.); #333412=ADVANCED_FACE('',(#38568),#316143,.T.); #333413=ADVANCED_FACE('',(#38569),#21141,.T.); #333414=ADVANCED_FACE('',(#38570),#21142,.T.); #333415=ADVANCED_FACE('',(#38571),#21143,.F.); #333416=ADVANCED_FACE('',(#38572),#316144,.F.); #333417=ADVANCED_FACE('',(#38573),#21144,.F.); #333418=ADVANCED_FACE('',(#38574),#21145,.F.); #333419=ADVANCED_FACE('',(#38575),#21146,.F.); #333420=ADVANCED_FACE('',(#38576),#316145,.T.); #333421=ADVANCED_FACE('',(#38577),#21147,.F.); #333422=ADVANCED_FACE('',(#38578),#316146,.F.); #333423=ADVANCED_FACE('',(#38579),#21148,.F.); #333424=ADVANCED_FACE('',(#38580),#21149,.F.); #333425=ADVANCED_FACE('',(#38581),#21150,.F.); #333426=ADVANCED_FACE('',(#38582),#316147,.T.); #333427=ADVANCED_FACE('',(#38583),#21151,.F.); #333428=ADVANCED_FACE('',(#38584),#21152,.T.); #333429=ADVANCED_FACE('',(#38585),#21153,.F.); #333430=ADVANCED_FACE('',(#38586),#316148,.F.); #333431=ADVANCED_FACE('',(#38587),#21154,.F.); #333432=ADVANCED_FACE('',(#38588),#21155,.F.); #333433=ADVANCED_FACE('',(#38589),#21156,.F.); #333434=ADVANCED_FACE('',(#38590),#316149,.T.); #333435=ADVANCED_FACE('',(#38591),#21157,.F.); #333436=ADVANCED_FACE('',(#38592),#316150,.F.); #333437=ADVANCED_FACE('',(#38593),#21158,.F.); #333438=ADVANCED_FACE('',(#38594),#21159,.F.); #333439=ADVANCED_FACE('',(#38595),#21160,.F.); #333440=ADVANCED_FACE('',(#38596),#316151,.T.); #333441=ADVANCED_FACE('',(#38597),#21161,.F.); #333442=ADVANCED_FACE('',(#38598),#21162,.T.); #333443=ADVANCED_FACE('',(#38599),#21163,.F.); #333444=ADVANCED_FACE('',(#38600),#316152,.F.); #333445=ADVANCED_FACE('',(#38601),#21164,.F.); #333446=ADVANCED_FACE('',(#38602),#21165,.F.); #333447=ADVANCED_FACE('',(#38603),#21166,.F.); #333448=ADVANCED_FACE('',(#38604),#316153,.T.); #333449=ADVANCED_FACE('',(#38605),#21167,.F.); #333450=ADVANCED_FACE('',(#38606),#316154,.F.); #333451=ADVANCED_FACE('',(#38607),#21168,.F.); #333452=ADVANCED_FACE('',(#38608),#21169,.F.); #333453=ADVANCED_FACE('',(#38609),#21170,.F.); #333454=ADVANCED_FACE('',(#38610),#316155,.T.); #333455=ADVANCED_FACE('',(#38611),#21171,.F.); #333456=ADVANCED_FACE('',(#38612),#316156,.F.); #333457=ADVANCED_FACE('',(#38613),#21172,.T.); #333458=ADVANCED_FACE('',(#38614),#21173,.T.); #333459=ADVANCED_FACE('',(#38615),#21174,.T.); #333460=ADVANCED_FACE('',(#38616),#21175,.T.); #333461=ADVANCED_FACE('',(#38617),#21176,.T.); #333462=ADVANCED_FACE('',(#38618),#21177,.T.); #333463=ADVANCED_FACE('',(#38619),#21178,.T.); #333464=ADVANCED_FACE('',(#38620,#7863),#21179,.T.); #333465=ADVANCED_FACE('',(#38621),#21180,.T.); #333466=ADVANCED_FACE('',(#38622),#21181,.T.); #333467=ADVANCED_FACE('',(#38623),#21182,.T.); #333468=ADVANCED_FACE('',(#38624),#21183,.T.); #333469=ADVANCED_FACE('',(#38625),#21184,.T.); #333470=ADVANCED_FACE('',(#38626),#21185,.F.); #333471=ADVANCED_FACE('',(#38627),#21186,.T.); #333472=ADVANCED_FACE('',(#38628),#21187,.T.); #333473=ADVANCED_FACE('',(#38629),#21188,.T.); #333474=ADVANCED_FACE('',(#38630),#21189,.T.); #333475=ADVANCED_FACE('',(#38631),#21190,.T.); #333476=ADVANCED_FACE('',(#38632),#316157,.F.); #333477=ADVANCED_FACE('',(#38633),#21191,.T.); #333478=ADVANCED_FACE('',(#38634),#316158,.T.); #333479=ADVANCED_FACE('',(#38635),#21192,.T.); #333480=ADVANCED_FACE('',(#38636),#21193,.T.); #333481=ADVANCED_FACE('',(#38637),#21194,.T.); #333482=ADVANCED_FACE('',(#38638),#316159,.F.); #333483=ADVANCED_FACE('',(#38639),#21195,.T.); #333484=ADVANCED_FACE('',(#38640),#316160,.T.); #333485=ADVANCED_FACE('',(#38641),#21196,.F.); #333486=ADVANCED_FACE('',(#38642),#21197,.T.); #333487=ADVANCED_FACE('',(#38643),#21198,.T.); #333488=ADVANCED_FACE('',(#38644),#21199,.T.); #333489=ADVANCED_FACE('',(#38645),#21200,.T.); #333490=ADVANCED_FACE('',(#38646),#316161,.F.); #333491=ADVANCED_FACE('',(#38647),#21201,.T.); #333492=ADVANCED_FACE('',(#38648),#316162,.T.); #333493=ADVANCED_FACE('',(#38649),#21202,.T.); #333494=ADVANCED_FACE('',(#38650),#21203,.T.); #333495=ADVANCED_FACE('',(#38651),#21204,.T.); #333496=ADVANCED_FACE('',(#38652),#316163,.F.); #333497=ADVANCED_FACE('',(#38653),#21205,.T.); #333498=ADVANCED_FACE('',(#38654),#316164,.T.); #333499=ADVANCED_FACE('',(#38655),#21206,.F.); #333500=ADVANCED_FACE('',(#38656),#21207,.T.); #333501=ADVANCED_FACE('',(#38657),#21208,.T.); #333502=ADVANCED_FACE('',(#38658),#21209,.T.); #333503=ADVANCED_FACE('',(#38659),#21210,.T.); #333504=ADVANCED_FACE('',(#38660),#316165,.F.); #333505=ADVANCED_FACE('',(#38661),#21211,.T.); #333506=ADVANCED_FACE('',(#38662),#316166,.T.); #333507=ADVANCED_FACE('',(#38663),#21212,.T.); #333508=ADVANCED_FACE('',(#38664),#21213,.T.); #333509=ADVANCED_FACE('',(#38665),#21214,.T.); #333510=ADVANCED_FACE('',(#38666),#316167,.F.); #333511=ADVANCED_FACE('',(#38667),#21215,.T.); #333512=ADVANCED_FACE('',(#38668),#316168,.T.); #333513=ADVANCED_FACE('',(#38669),#21216,.F.); #333514=ADVANCED_FACE('',(#38670),#21217,.T.); #333515=ADVANCED_FACE('',(#38671),#21218,.T.); #333516=ADVANCED_FACE('',(#38672),#21219,.T.); #333517=ADVANCED_FACE('',(#38673),#21220,.T.); #333518=ADVANCED_FACE('',(#38674),#316169,.F.); #333519=ADVANCED_FACE('',(#38675),#21221,.T.); #333520=ADVANCED_FACE('',(#38676),#316170,.T.); #333521=ADVANCED_FACE('',(#38677),#21222,.T.); #333522=ADVANCED_FACE('',(#38678),#21223,.T.); #333523=ADVANCED_FACE('',(#38679),#21224,.T.); #333524=ADVANCED_FACE('',(#38680),#316171,.F.); #333525=ADVANCED_FACE('',(#38681),#21225,.T.); #333526=ADVANCED_FACE('',(#38682),#316172,.T.); #333527=ADVANCED_FACE('',(#38683),#21226,.F.); #333528=ADVANCED_FACE('',(#38684),#21227,.T.); #333529=ADVANCED_FACE('',(#38685),#21228,.T.); #333530=ADVANCED_FACE('',(#38686),#21229,.T.); #333531=ADVANCED_FACE('',(#38687),#21230,.T.); #333532=ADVANCED_FACE('',(#38688),#316173,.F.); #333533=ADVANCED_FACE('',(#38689),#21231,.T.); #333534=ADVANCED_FACE('',(#38690),#316174,.T.); #333535=ADVANCED_FACE('',(#38691),#21232,.T.); #333536=ADVANCED_FACE('',(#38692),#21233,.T.); #333537=ADVANCED_FACE('',(#38693),#21234,.T.); #333538=ADVANCED_FACE('',(#38694),#316175,.F.); #333539=ADVANCED_FACE('',(#38695),#21235,.T.); #333540=ADVANCED_FACE('',(#38696),#316176,.T.); #333541=ADVANCED_FACE('',(#38697),#21236,.F.); #333542=ADVANCED_FACE('',(#38698),#340,.T.); #333543=ADVANCED_FACE('',(#38699),#341,.T.); #333544=ADVANCED_FACE('',(#38700),#342,.T.); #333545=ADVANCED_FACE('',(#38701),#343,.T.); #333546=ADVANCED_FACE('',(#38702),#344,.T.); #333547=ADVANCED_FACE('',(#38703),#316177,.T.); #333548=ADVANCED_FACE('',(#38704),#345,.T.); #333549=ADVANCED_FACE('',(#38705),#346,.T.); #333550=ADVANCED_FACE('',(#38706),#316178,.T.); #333551=ADVANCED_FACE('',(#38707),#316179,.T.); #333552=ADVANCED_FACE('',(#38708),#347,.T.); #333553=ADVANCED_FACE('',(#38709),#316180,.T.); #333554=ADVANCED_FACE('',(#38710),#316181,.T.); #333555=ADVANCED_FACE('',(#38711),#316182,.T.); #333556=ADVANCED_FACE('',(#38712),#316183,.T.); #333557=ADVANCED_FACE('',(#38713),#316184,.T.); #333558=ADVANCED_FACE('',(#38714),#316185,.T.); #333559=ADVANCED_FACE('',(#38715),#316186,.T.); #333560=ADVANCED_FACE('',(#38716),#316187,.T.); #333561=ADVANCED_FACE('',(#38717),#316188,.T.); #333562=ADVANCED_FACE('',(#38718),#21237,.T.); #333563=ADVANCED_FACE('',(#38719),#21238,.F.); #333564=ADVANCED_FACE('',(#38720),#21239,.T.); #333565=ADVANCED_FACE('',(#38721),#21240,.T.); #333566=ADVANCED_FACE('',(#38722),#21241,.T.); #333567=ADVANCED_FACE('',(#38723),#21242,.T.); #333568=ADVANCED_FACE('',(#38724),#348,.T.); #333569=ADVANCED_FACE('',(#38725),#349,.T.); #333570=ADVANCED_FACE('',(#38726),#350,.T.); #333571=ADVANCED_FACE('',(#38727),#351,.T.); #333572=ADVANCED_FACE('',(#38728),#352,.T.); #333573=ADVANCED_FACE('',(#38729),#316189,.T.); #333574=ADVANCED_FACE('',(#38730),#353,.T.); #333575=ADVANCED_FACE('',(#38731),#354,.T.); #333576=ADVANCED_FACE('',(#38732),#316190,.T.); #333577=ADVANCED_FACE('',(#38733),#316191,.T.); #333578=ADVANCED_FACE('',(#38734),#355,.T.); #333579=ADVANCED_FACE('',(#38735),#316192,.T.); #333580=ADVANCED_FACE('',(#38736),#316193,.T.); #333581=ADVANCED_FACE('',(#38737),#316194,.T.); #333582=ADVANCED_FACE('',(#38738),#316195,.T.); #333583=ADVANCED_FACE('',(#38739),#316196,.T.); #333584=ADVANCED_FACE('',(#38740),#316197,.T.); #333585=ADVANCED_FACE('',(#38741),#316198,.T.); #333586=ADVANCED_FACE('',(#38742),#316199,.T.); #333587=ADVANCED_FACE('',(#38743),#316200,.T.); #333588=ADVANCED_FACE('',(#38744),#21243,.T.); #333589=ADVANCED_FACE('',(#38745),#21244,.F.); #333590=ADVANCED_FACE('',(#38746),#21245,.T.); #333591=ADVANCED_FACE('',(#38747),#21246,.T.); #333592=ADVANCED_FACE('',(#38748),#21247,.T.); #333593=ADVANCED_FACE('',(#38749),#21248,.T.); #333594=ADVANCED_FACE('',(#38750),#21249,.T.); #333595=ADVANCED_FACE('',(#38751),#21250,.T.); #333596=ADVANCED_FACE('',(#38752),#21251,.T.); #333597=ADVANCED_FACE('',(#38753),#21252,.T.); #333598=ADVANCED_FACE('',(#38754),#21253,.T.); #333599=ADVANCED_FACE('',(#38755),#21254,.F.); #333600=ADVANCED_FACE('',(#38756),#21255,.T.); #333601=ADVANCED_FACE('',(#38757),#21256,.T.); #333602=ADVANCED_FACE('',(#38758),#21257,.T.); #333603=ADVANCED_FACE('',(#38759),#21258,.T.); #333604=ADVANCED_FACE('',(#38760),#21259,.T.); #333605=ADVANCED_FACE('',(#38761),#21260,.T.); #333606=ADVANCED_FACE('',(#38762),#21261,.T.); #333607=ADVANCED_FACE('',(#38763),#21262,.T.); #333608=ADVANCED_FACE('',(#38764),#21263,.T.); #333609=ADVANCED_FACE('',(#38765),#21264,.T.); #333610=ADVANCED_FACE('',(#38766),#21265,.T.); #333611=ADVANCED_FACE('',(#38767),#21266,.T.); #333612=ADVANCED_FACE('',(#38768),#21267,.T.); #333613=ADVANCED_FACE('',(#38769),#21268,.T.); #333614=ADVANCED_FACE('',(#38770),#21269,.T.); #333615=ADVANCED_FACE('',(#38771),#21270,.T.); #333616=ADVANCED_FACE('',(#38772),#21271,.T.); #333617=ADVANCED_FACE('',(#38773),#21272,.T.); #333618=ADVANCED_FACE('',(#38774),#21273,.T.); #333619=ADVANCED_FACE('',(#38775),#21274,.T.); #333620=ADVANCED_FACE('',(#38776),#21275,.T.); #333621=ADVANCED_FACE('',(#38777),#21276,.T.); #333622=ADVANCED_FACE('',(#38778),#21277,.T.); #333623=ADVANCED_FACE('',(#38779),#21278,.T.); #333624=ADVANCED_FACE('',(#38780),#21279,.T.); #333625=ADVANCED_FACE('',(#38781),#21280,.T.); #333626=ADVANCED_FACE('',(#38782),#21281,.T.); #333627=ADVANCED_FACE('',(#38783),#21282,.T.); #333628=ADVANCED_FACE('',(#38784),#21283,.T.); #333629=ADVANCED_FACE('',(#38785),#21284,.T.); #333630=ADVANCED_FACE('',(#38786),#21285,.T.); #333631=ADVANCED_FACE('',(#38787),#21286,.T.); #333632=ADVANCED_FACE('',(#38788),#21287,.T.); #333633=ADVANCED_FACE('',(#38789),#21288,.T.); #333634=ADVANCED_FACE('',(#38790),#21289,.T.); #333635=ADVANCED_FACE('',(#38791),#21290,.T.); #333636=ADVANCED_FACE('',(#38792),#21291,.T.); #333637=ADVANCED_FACE('',(#38793),#21292,.T.); #333638=ADVANCED_FACE('',(#38794),#21293,.T.); #333639=ADVANCED_FACE('',(#38795),#21294,.T.); #333640=ADVANCED_FACE('',(#38796),#21295,.T.); #333641=ADVANCED_FACE('',(#38797),#21296,.T.); #333642=ADVANCED_FACE('',(#38798),#21297,.T.); #333643=ADVANCED_FACE('',(#38799),#21298,.T.); #333644=ADVANCED_FACE('',(#38800),#21299,.T.); #333645=ADVANCED_FACE('',(#38801),#21300,.T.); #333646=ADVANCED_FACE('',(#38802),#21301,.T.); #333647=ADVANCED_FACE('',(#38803),#21302,.T.); #333648=ADVANCED_FACE('',(#38804),#21303,.T.); #333649=ADVANCED_FACE('',(#38805),#21304,.T.); #333650=ADVANCED_FACE('',(#38806),#21305,.T.); #333651=ADVANCED_FACE('',(#38807),#21306,.T.); #333652=ADVANCED_FACE('',(#38808),#21307,.T.); #333653=ADVANCED_FACE('',(#38809),#21308,.T.); #333654=ADVANCED_FACE('',(#38810),#21309,.T.); #333655=ADVANCED_FACE('',(#38811),#21310,.T.); #333656=ADVANCED_FACE('',(#38812),#21311,.T.); #333657=ADVANCED_FACE('',(#38813),#21312,.T.); #333658=ADVANCED_FACE('',(#38814),#21313,.T.); #333659=ADVANCED_FACE('',(#38815),#21314,.T.); #333660=ADVANCED_FACE('',(#38816),#21315,.T.); #333661=ADVANCED_FACE('',(#38817),#21316,.T.); #333662=ADVANCED_FACE('',(#38818),#21317,.T.); #333663=ADVANCED_FACE('',(#38819),#21318,.T.); #333664=ADVANCED_FACE('',(#38820),#21319,.T.); #333665=ADVANCED_FACE('',(#38821),#21320,.T.); #333666=ADVANCED_FACE('',(#38822),#21321,.T.); #333667=ADVANCED_FACE('',(#38823),#21322,.T.); #333668=ADVANCED_FACE('',(#38824),#21323,.T.); #333669=ADVANCED_FACE('',(#38825),#21324,.T.); #333670=ADVANCED_FACE('',(#38826),#21325,.T.); #333671=ADVANCED_FACE('',(#38827),#21326,.T.); #333672=ADVANCED_FACE('',(#38828),#21327,.T.); #333673=ADVANCED_FACE('',(#38829),#21328,.T.); #333674=ADVANCED_FACE('',(#38830),#21329,.T.); #333675=ADVANCED_FACE('',(#38831),#21330,.T.); #333676=ADVANCED_FACE('',(#38832),#21331,.T.); #333677=ADVANCED_FACE('',(#38833),#21332,.T.); #333678=ADVANCED_FACE('',(#38834),#21333,.T.); #333679=ADVANCED_FACE('',(#38835),#21334,.T.); #333680=ADVANCED_FACE('',(#38836),#21335,.T.); #333681=ADVANCED_FACE('',(#38837),#21336,.T.); #333682=ADVANCED_FACE('',(#38838),#21337,.T.); #333683=ADVANCED_FACE('',(#38839),#21338,.T.); #333684=ADVANCED_FACE('',(#38840),#21339,.T.); #333685=ADVANCED_FACE('',(#38841),#21340,.T.); #333686=ADVANCED_FACE('',(#38842),#21341,.T.); #333687=ADVANCED_FACE('',(#38843),#21342,.T.); #333688=ADVANCED_FACE('',(#38844),#21343,.T.); #333689=ADVANCED_FACE('',(#38845),#21344,.T.); #333690=ADVANCED_FACE('',(#38846),#21345,.T.); #333691=ADVANCED_FACE('',(#38847,#7864,#7865,#7866,#7867,#7868),#21346, .T.); #333692=ADVANCED_FACE('',(#38848),#21347,.T.); #333693=ADVANCED_FACE('',(#38849),#21348,.T.); #333694=ADVANCED_FACE('',(#38850),#21349,.T.); #333695=ADVANCED_FACE('',(#38851),#21350,.T.); #333696=ADVANCED_FACE('',(#38852,#7869,#7870,#7871,#7872,#7873),#21351, .T.); #333697=ADVANCED_FACE('',(#38853),#21352,.T.); #333698=ADVANCED_FACE('',(#38854),#316201,.T.); #333699=ADVANCED_FACE('',(#38855),#21353,.T.); #333700=ADVANCED_FACE('',(#38856),#21354,.F.); #333701=ADVANCED_FACE('',(#38857),#316202,.F.); #333702=ADVANCED_FACE('',(#38858),#316203,.T.); #333703=ADVANCED_FACE('',(#38859,#7874),#21355,.T.); #333704=ADVANCED_FACE('',(#38860,#7875),#21356,.F.); #333705=ADVANCED_FACE('',(#38861),#316204,.T.); #333706=ADVANCED_FACE('',(#38862),#21357,.T.); #333707=ADVANCED_FACE('',(#38863),#21358,.F.); #333708=ADVANCED_FACE('',(#38864),#316205,.T.); #333709=ADVANCED_FACE('',(#38865),#21359,.F.); #333710=ADVANCED_FACE('',(#38866),#21360,.T.); #333711=ADVANCED_FACE('',(#38867),#316206,.T.); #333712=ADVANCED_FACE('',(#38868),#21361,.T.); #333713=ADVANCED_FACE('',(#38869),#21362,.F.); #333714=CLOSED_SHELL('',(#316207,#316208,#316209,#316210,#316211,#316212, #316213,#316214,#316215,#316216,#316217,#316218,#316219,#316220,#316221, #316222,#316223,#316224,#316225,#316226,#316227,#316228,#316229,#316230, #316231,#316232,#316233,#316234,#316235,#316236,#316237,#316238,#316239, #316240,#316241,#316242,#316243,#316244,#316245,#316246,#316247,#316248, #316249,#316250,#316251,#316252,#316253,#316254,#316255,#316256,#316257, #316258,#316259,#316260,#316261,#316262,#316263,#316264,#316265,#316266, #316267,#316268,#316269,#316270,#316271,#316272,#316273,#316274,#316275, #316276,#316277,#316278,#316279,#316280,#316281,#316282,#316283,#316284, #316285,#316286,#316287,#316288,#316289,#316290,#316291,#316292,#316293, #316294,#316295,#316296,#316297,#316298,#316299,#316300,#316301,#316302, #316303,#316304,#316305,#316306,#316307,#316308,#316309,#316310,#316311, #316312,#316313,#316314,#316315,#316316,#316317,#316318,#316319,#316320, #316321,#316322,#316323,#316324,#316325,#316326,#316327,#316328,#316329, #316330,#316331,#316332,#316333,#316334,#316335,#316336,#316337,#316338, #316339,#316340,#316341,#316342,#316343,#316344,#316345,#316346,#316347, #316348,#316349,#316350,#316351,#316352,#316353,#316354,#316355,#316356, #316357,#316358,#316359,#316360,#316361,#316362,#316363,#316364,#316365, #316366,#316367,#316368,#316369,#316370,#316371,#316372,#316373,#316374, #316375,#316376,#316377,#316378,#316379,#316380,#316381,#316382,#316383, #316384,#316385,#316386,#316387,#316388,#316389,#316390,#316391,#316392, #316393,#316394,#316395,#316396,#316397,#316398,#316399,#316400,#316401, #316402,#316403,#316404,#316405,#316406,#316407,#316408,#316409,#316410, #316411,#316412,#316413,#316414,#316415,#316416,#316417,#316418,#316419, #316420,#316421,#316422,#316423,#316424,#316425,#316426,#316427,#316428, #316429,#316430,#316431,#316432,#316433,#316434,#316435,#316436,#316437, #316438,#316439,#316440,#316441,#316442,#316443,#316444,#316445,#316446, #316447,#316448,#316449,#316450,#316451,#316452,#316453,#316454,#316455, #316456,#316457,#316458,#316459,#316460,#316461,#316462,#316463,#316464, #316465,#316466,#316467,#316468,#316469,#316470,#316471,#316472,#316473, #316474,#316475,#316476,#316477,#316478,#316479,#316480,#316481,#316482, #316483,#316484,#316485,#316486,#316487,#316488,#316489,#316490,#316491, #316492,#316493,#316494,#316495,#316496,#316497,#316498,#316499,#316500, #316501,#316502,#316503,#316504,#316505,#316506,#316507,#316508,#316509, #316510,#316511,#316512,#316513,#316514,#316515,#316516,#316517,#316518, #316519,#316520,#316521,#316522,#316523,#316524,#316525,#316526,#316527, #316528,#316529,#316530,#316531,#316532,#316533,#316534,#316535,#316536, #316537,#316538,#316539,#316540,#316541,#316542,#316543,#316544,#316545, #316546,#316547,#316548,#316549,#316550,#316551,#316552,#316553,#316554, #316555,#316556,#316557,#316558,#316559)); #333715=CLOSED_SHELL('',(#316560,#316561,#316562,#316563)); #333716=CLOSED_SHELL('',(#316564,#316565,#316566,#316567)); #333717=CLOSED_SHELL('',(#316568,#316569,#316570,#316571)); #333718=CLOSED_SHELL('',(#316572,#316573,#316574,#316575)); #333719=CLOSED_SHELL('',(#316576,#316577,#316578,#316579)); #333720=CLOSED_SHELL('',(#316580,#316581,#316582,#316583)); #333721=CLOSED_SHELL('',(#316584,#316585,#316586,#316587)); #333722=CLOSED_SHELL('',(#316588,#316589,#316590,#316591)); #333723=CLOSED_SHELL('',(#316592,#316593,#316594,#316595)); #333724=CLOSED_SHELL('',(#316596,#316597,#316598,#316599)); #333725=CLOSED_SHELL('',(#316600,#316601,#316602,#316603)); #333726=CLOSED_SHELL('',(#316604,#316605,#316606,#316607)); #333727=CLOSED_SHELL('',(#316608,#316609,#316610,#316611)); #333728=CLOSED_SHELL('',(#316612,#316613,#316614,#316615)); #333729=CLOSED_SHELL('',(#316616,#316617,#316618,#316619)); #333730=CLOSED_SHELL('',(#316620,#316621,#316622,#316623)); #333731=CLOSED_SHELL('',(#316624,#316625,#316626,#316627)); #333732=CLOSED_SHELL('',(#316628,#316629,#316630,#316631)); #333733=CLOSED_SHELL('',(#316632,#316633,#316634,#316635)); #333734=CLOSED_SHELL('',(#316636,#316637,#316638,#316639)); #333735=CLOSED_SHELL('',(#316640,#316641,#316642,#316643)); #333736=CLOSED_SHELL('',(#316644,#316645,#316646,#316647)); #333737=CLOSED_SHELL('',(#316648,#316649,#316650,#316651)); #333738=CLOSED_SHELL('',(#316652,#316653,#316654,#316655)); #333739=CLOSED_SHELL('',(#316656,#316657,#316658,#316659)); #333740=CLOSED_SHELL('',(#316660,#316661,#316662,#316663)); #333741=CLOSED_SHELL('',(#316664,#316665,#316666,#316667)); #333742=CLOSED_SHELL('',(#316668,#316669,#316670,#316671)); #333743=CLOSED_SHELL('',(#316672,#316673,#316674,#316675)); #333744=CLOSED_SHELL('',(#316676,#316677,#316678,#316679)); #333745=CLOSED_SHELL('',(#316680,#316681,#316682,#316683)); #333746=CLOSED_SHELL('',(#316684,#316685,#316686,#316687)); #333747=CLOSED_SHELL('',(#316688,#316689,#316690,#316691)); #333748=CLOSED_SHELL('',(#316692,#316693,#316694,#316695)); #333749=CLOSED_SHELL('',(#316696,#316697,#316698,#316699)); #333750=CLOSED_SHELL('',(#316700,#316701,#316702,#316703)); #333751=CLOSED_SHELL('',(#316704,#316705,#316706,#316707)); #333752=CLOSED_SHELL('',(#316708,#316709,#316710,#316711)); #333753=CLOSED_SHELL('',(#316712,#316713,#316714,#316715)); #333754=CLOSED_SHELL('',(#316716,#316717,#316718,#316719)); #333755=CLOSED_SHELL('',(#316720,#316721,#316722,#316723)); #333756=CLOSED_SHELL('',(#316724,#316725,#316726,#316727)); #333757=CLOSED_SHELL('',(#316728,#316729,#316730,#316731)); #333758=CLOSED_SHELL('',(#316732,#316733,#316734,#316735)); #333759=CLOSED_SHELL('',(#316736,#316737,#316738,#316739)); #333760=CLOSED_SHELL('',(#316740,#316741,#316742,#316743)); #333761=CLOSED_SHELL('',(#316744,#316745,#316746,#316747)); #333762=CLOSED_SHELL('',(#316748,#316749,#316750,#316751)); #333763=CLOSED_SHELL('',(#316752,#316753,#316754,#316755)); #333764=CLOSED_SHELL('',(#316756,#316757,#316758,#316759)); #333765=CLOSED_SHELL('',(#316760,#316761,#316762,#316763)); #333766=CLOSED_SHELL('',(#316764,#316765,#316766,#316767)); #333767=CLOSED_SHELL('',(#316768,#316769,#316770,#316771)); #333768=CLOSED_SHELL('',(#316772,#316773,#316774,#316775)); #333769=CLOSED_SHELL('',(#316776,#316777,#316778,#316779)); #333770=CLOSED_SHELL('',(#316780,#316781,#316782,#316783)); #333771=CLOSED_SHELL('',(#316784,#316785,#316786,#316787)); #333772=CLOSED_SHELL('',(#316788,#316789,#316790,#316791)); #333773=CLOSED_SHELL('',(#316792,#316793,#316794,#316795)); #333774=CLOSED_SHELL('',(#316796,#316797,#316798,#316799)); #333775=CLOSED_SHELL('',(#316800,#316801,#316802,#316803)); #333776=CLOSED_SHELL('',(#316804,#316805,#316806,#316807)); #333777=CLOSED_SHELL('',(#316808,#316809,#316810,#316811)); #333778=CLOSED_SHELL('',(#316812,#316813,#316814,#316815)); #333779=CLOSED_SHELL('',(#316816,#316817,#316818,#316819)); #333780=CLOSED_SHELL('',(#316820,#316821,#316822,#316823)); #333781=CLOSED_SHELL('',(#316824,#316825,#316826,#316827)); #333782=CLOSED_SHELL('',(#316828,#316829,#316830,#316831)); #333783=CLOSED_SHELL('',(#316832,#316833,#316834,#316835)); #333784=CLOSED_SHELL('',(#316836,#316837,#316838,#316839)); #333785=CLOSED_SHELL('',(#316840,#316841,#316842,#316843)); #333786=CLOSED_SHELL('',(#316844,#316845,#316846,#316847)); #333787=CLOSED_SHELL('',(#316848,#316849,#316850,#316851)); #333788=CLOSED_SHELL('',(#316852,#316853,#316854,#316855)); #333789=CLOSED_SHELL('',(#316856,#316857,#316858,#316859)); #333790=CLOSED_SHELL('',(#316860,#316861,#316862,#316863)); #333791=CLOSED_SHELL('',(#316864,#316865,#316866,#316867)); #333792=CLOSED_SHELL('',(#316868,#316869,#316870,#316871)); #333793=CLOSED_SHELL('',(#316872,#316873,#316874,#316875)); #333794=CLOSED_SHELL('',(#316876,#316877,#316878,#316879)); #333795=CLOSED_SHELL('',(#316880,#316881,#316882,#316883)); #333796=CLOSED_SHELL('',(#316884,#316885,#316886,#316887)); #333797=CLOSED_SHELL('',(#316888,#316889,#316890,#316891)); #333798=CLOSED_SHELL('',(#316892,#316893,#316894,#316895)); #333799=CLOSED_SHELL('',(#316896,#316897,#316898,#316899)); #333800=CLOSED_SHELL('',(#316900,#316901,#316902,#316903)); #333801=CLOSED_SHELL('',(#316904,#316905,#316906,#316907)); #333802=CLOSED_SHELL('',(#316908,#316909,#316910,#316911)); #333803=CLOSED_SHELL('',(#316912,#316913,#316914,#316915)); #333804=CLOSED_SHELL('',(#316916,#316917,#316918,#316919)); #333805=CLOSED_SHELL('',(#316920,#316921,#316922,#316923)); #333806=CLOSED_SHELL('',(#316924,#316925,#316926,#316927)); #333807=CLOSED_SHELL('',(#316928,#316929,#316930,#316931)); #333808=CLOSED_SHELL('',(#316932,#316933,#316934,#316935)); #333809=CLOSED_SHELL('',(#316936,#316937,#316938,#316939)); #333810=CLOSED_SHELL('',(#316940,#316941,#316942,#316943)); #333811=CLOSED_SHELL('',(#316944,#316945,#316946,#316947)); #333812=CLOSED_SHELL('',(#316948,#316949,#316950,#316951)); #333813=CLOSED_SHELL('',(#316952,#316953,#316954,#316955)); #333814=CLOSED_SHELL('',(#316956,#316957,#316958,#316959)); #333815=CLOSED_SHELL('',(#316960,#316961,#316962,#316963)); #333816=CLOSED_SHELL('',(#316964,#316965,#316966,#316967)); #333817=CLOSED_SHELL('',(#316968,#316969,#316970,#316971)); #333818=CLOSED_SHELL('',(#316972,#316973,#316974,#316975)); #333819=CLOSED_SHELL('',(#316976,#316977,#316978,#316979)); #333820=CLOSED_SHELL('',(#316980,#316981,#316982,#316983)); #333821=CLOSED_SHELL('',(#316984,#316985,#316986,#316987)); #333822=CLOSED_SHELL('',(#316988,#316989,#316990,#316991)); #333823=CLOSED_SHELL('',(#316992,#316993,#316994,#316995)); #333824=CLOSED_SHELL('',(#316996,#316997,#316998,#316999)); #333825=CLOSED_SHELL('',(#317000,#317001,#317002,#317003)); #333826=CLOSED_SHELL('',(#317004,#317005,#317006,#317007)); #333827=CLOSED_SHELL('',(#317008,#317009,#317010,#317011)); #333828=CLOSED_SHELL('',(#317012,#317013,#317014,#317015)); #333829=CLOSED_SHELL('',(#317016,#317017,#317018,#317019)); #333830=CLOSED_SHELL('',(#317020,#317021,#317022,#317023)); #333831=CLOSED_SHELL('',(#317024,#317025,#317026,#317027)); #333832=CLOSED_SHELL('',(#317028,#317029,#317030,#317031)); #333833=CLOSED_SHELL('',(#317032,#317033,#317034,#317035)); #333834=CLOSED_SHELL('',(#317036,#317037,#317038,#317039)); #333835=CLOSED_SHELL('',(#317040,#317041,#317042,#317043)); #333836=CLOSED_SHELL('',(#317044,#317045,#317046,#317047)); #333837=CLOSED_SHELL('',(#317048,#317049,#317050,#317051)); #333838=CLOSED_SHELL('',(#317052,#317053,#317054,#317055)); #333839=CLOSED_SHELL('',(#317056,#317057,#317058,#317059)); #333840=CLOSED_SHELL('',(#317060,#317061,#317062,#317063)); #333841=CLOSED_SHELL('',(#317064,#317065,#317066,#317067)); #333842=CLOSED_SHELL('',(#317068,#317069,#317070,#317071)); #333843=CLOSED_SHELL('',(#317072,#317073,#317074,#317075)); #333844=CLOSED_SHELL('',(#317076,#317077,#317078,#317079)); #333845=CLOSED_SHELL('',(#317080,#317081,#317082,#317083)); #333846=CLOSED_SHELL('',(#317084,#317085,#317086,#317087)); #333847=CLOSED_SHELL('',(#317088,#317089,#317090,#317091)); #333848=CLOSED_SHELL('',(#317092,#317093,#317094,#317095)); #333849=CLOSED_SHELL('',(#317096,#317097,#317098,#317099)); #333850=CLOSED_SHELL('',(#317100,#317101,#317102,#317103)); #333851=CLOSED_SHELL('',(#317104,#317105,#317106,#317107)); #333852=CLOSED_SHELL('',(#317108,#317109,#317110,#317111)); #333853=CLOSED_SHELL('',(#317112,#317113,#317114,#317115)); #333854=CLOSED_SHELL('',(#317116,#317117,#317118,#317119)); #333855=CLOSED_SHELL('',(#317120,#317121,#317122,#317123)); #333856=CLOSED_SHELL('',(#317124,#317125,#317126,#317127)); #333857=CLOSED_SHELL('',(#317128,#317129,#317130,#317131)); #333858=CLOSED_SHELL('',(#317132,#317133,#317134,#317135)); #333859=CLOSED_SHELL('',(#317136,#317137,#317138,#317139)); #333860=CLOSED_SHELL('',(#317140,#317141,#317142,#317143)); #333861=CLOSED_SHELL('',(#317144,#317145,#317146,#317147)); #333862=CLOSED_SHELL('',(#317148,#317149,#317150,#317151)); #333863=CLOSED_SHELL('',(#317152,#317153,#317154,#317155)); #333864=CLOSED_SHELL('',(#317156,#317157,#317158,#317159)); #333865=CLOSED_SHELL('',(#317160,#317161,#317162,#317163)); #333866=CLOSED_SHELL('',(#317164,#317165,#317166,#317167)); #333867=CLOSED_SHELL('',(#317168,#317169,#317170,#317171)); #333868=CLOSED_SHELL('',(#317172,#317173,#317174,#317175)); #333869=CLOSED_SHELL('',(#317176,#317177,#317178,#317179)); #333870=CLOSED_SHELL('',(#317180,#317181,#317182,#317183)); #333871=CLOSED_SHELL('',(#317184,#317185,#317186,#317187)); #333872=CLOSED_SHELL('',(#317188,#317189,#317190,#317191)); #333873=CLOSED_SHELL('',(#317192,#317193,#317194,#317195)); #333874=CLOSED_SHELL('',(#317196,#317197,#317198,#317199)); #333875=CLOSED_SHELL('',(#317200,#317201,#317202,#317203)); #333876=CLOSED_SHELL('',(#317204,#317205,#317206,#317207)); #333877=CLOSED_SHELL('',(#317208,#317209,#317210,#317211)); #333878=CLOSED_SHELL('',(#317212,#317213,#317214,#317215)); #333879=CLOSED_SHELL('',(#317216,#317217,#317218,#317219)); #333880=CLOSED_SHELL('',(#317220,#317221,#317222,#317223)); #333881=CLOSED_SHELL('',(#317224,#317225,#317226,#317227)); #333882=CLOSED_SHELL('',(#317228,#317229,#317230,#317231)); #333883=CLOSED_SHELL('',(#317232,#317233,#317234,#317235)); #333884=CLOSED_SHELL('',(#317236,#317237,#317238,#317239)); #333885=CLOSED_SHELL('',(#317240,#317241,#317242,#317243)); #333886=CLOSED_SHELL('',(#317244,#317245,#317246,#317247)); #333887=CLOSED_SHELL('',(#317248,#317249,#317250,#317251)); #333888=CLOSED_SHELL('',(#317252,#317253,#317254,#317255)); #333889=CLOSED_SHELL('',(#317256,#317257,#317258,#317259)); #333890=CLOSED_SHELL('',(#317260,#317261,#317262,#317263)); #333891=CLOSED_SHELL('',(#317264,#317265,#317266,#317267)); #333892=CLOSED_SHELL('',(#317268,#317269,#317270,#317271)); #333893=CLOSED_SHELL('',(#317272,#317273,#317274,#317275)); #333894=CLOSED_SHELL('',(#317276,#317277,#317278,#317279)); #333895=CLOSED_SHELL('',(#317280,#317281,#317282,#317283)); #333896=CLOSED_SHELL('',(#317284,#317285,#317286,#317287)); #333897=CLOSED_SHELL('',(#317288,#317289,#317290,#317291)); #333898=CLOSED_SHELL('',(#317292,#317293,#317294,#317295)); #333899=CLOSED_SHELL('',(#317296,#317297,#317298,#317299)); #333900=CLOSED_SHELL('',(#317300,#317301,#317302,#317303)); #333901=CLOSED_SHELL('',(#317304,#317305,#317306,#317307)); #333902=CLOSED_SHELL('',(#317308,#317309,#317310,#317311)); #333903=CLOSED_SHELL('',(#317312,#317313,#317314,#317315)); #333904=CLOSED_SHELL('',(#317316,#317317,#317318,#317319)); #333905=CLOSED_SHELL('',(#317320,#317321,#317322,#317323)); #333906=CLOSED_SHELL('',(#317324,#317325,#317326,#317327)); #333907=CLOSED_SHELL('',(#317328,#317329,#317330,#317331)); #333908=CLOSED_SHELL('',(#317332,#317333,#317334,#317335)); #333909=CLOSED_SHELL('',(#317336,#317337,#317338,#317339)); #333910=CLOSED_SHELL('',(#317340,#317341,#317342,#317343)); #333911=CLOSED_SHELL('',(#317344,#317345,#317346,#317347)); #333912=CLOSED_SHELL('',(#317348,#317349,#317350,#317351)); #333913=CLOSED_SHELL('',(#317352,#317353,#317354,#317355)); #333914=CLOSED_SHELL('',(#317356,#317357,#317358,#317359)); #333915=CLOSED_SHELL('',(#317360,#317361,#317362,#317363)); #333916=CLOSED_SHELL('',(#317364,#317365,#317366,#317367)); #333917=CLOSED_SHELL('',(#317368,#317369,#317370,#317371)); #333918=CLOSED_SHELL('',(#317372,#317373,#317374,#317375)); #333919=CLOSED_SHELL('',(#317376,#317377,#317378,#317379)); #333920=CLOSED_SHELL('',(#317380,#317381,#317382,#317383)); #333921=CLOSED_SHELL('',(#317384,#317385,#317386,#317387)); #333922=CLOSED_SHELL('',(#317388,#317389,#317390,#317391)); #333923=CLOSED_SHELL('',(#317392,#317393,#317394,#317395)); #333924=CLOSED_SHELL('',(#317396,#317397,#317398,#317399)); #333925=CLOSED_SHELL('',(#317400,#317401,#317402,#317403)); #333926=CLOSED_SHELL('',(#317404,#317405,#317406,#317407)); #333927=CLOSED_SHELL('',(#317408,#317409,#317410,#317411)); #333928=CLOSED_SHELL('',(#317412,#317413,#317414,#317415)); #333929=CLOSED_SHELL('',(#317416,#317417,#317418,#317419)); #333930=CLOSED_SHELL('',(#317420,#317421,#317422,#317423)); #333931=CLOSED_SHELL('',(#317424,#317425,#317426,#317427)); #333932=CLOSED_SHELL('',(#317428,#317429,#317430,#317431)); #333933=CLOSED_SHELL('',(#317432,#317433,#317434,#317435)); #333934=CLOSED_SHELL('',(#317436,#317437,#317438,#317439)); #333935=CLOSED_SHELL('',(#317440,#317441,#317442,#317443)); #333936=CLOSED_SHELL('',(#317444,#317445,#317446,#317447)); #333937=CLOSED_SHELL('',(#317448,#317449,#317450,#317451)); #333938=CLOSED_SHELL('',(#317452,#317453,#317454,#317455)); #333939=CLOSED_SHELL('',(#317456,#317457,#317458,#317459)); #333940=CLOSED_SHELL('',(#317460,#317461,#317462,#317463)); #333941=CLOSED_SHELL('',(#317464,#317465,#317466,#317467)); #333942=CLOSED_SHELL('',(#317468,#317469,#317470,#317471)); #333943=CLOSED_SHELL('',(#317472,#317473,#317474,#317475)); #333944=CLOSED_SHELL('',(#317476,#317477,#317478,#317479)); #333945=CLOSED_SHELL('',(#317480,#317481,#317482,#317483)); #333946=CLOSED_SHELL('',(#317484,#317485,#317486,#317487)); #333947=CLOSED_SHELL('',(#317488,#317489,#317490,#317491)); #333948=CLOSED_SHELL('',(#317492,#317493,#317494,#317495)); #333949=CLOSED_SHELL('',(#317496,#317497,#317498,#317499)); #333950=CLOSED_SHELL('',(#317500,#317501,#317502,#317503)); #333951=CLOSED_SHELL('',(#317504,#317505,#317506,#317507)); #333952=CLOSED_SHELL('',(#317508,#317509,#317510,#317511)); #333953=CLOSED_SHELL('',(#317512,#317513,#317514,#317515)); #333954=CLOSED_SHELL('',(#317516,#317517,#317518,#317519)); #333955=CLOSED_SHELL('',(#317520,#317521,#317522,#317523)); #333956=CLOSED_SHELL('',(#317524,#317525,#317526,#317527)); #333957=CLOSED_SHELL('',(#317528,#317529,#317530,#317531)); #333958=CLOSED_SHELL('',(#317532,#317533,#317534,#317535)); #333959=CLOSED_SHELL('',(#317536,#317537,#317538,#317539)); #333960=CLOSED_SHELL('',(#317540,#317541,#317542,#317543)); #333961=CLOSED_SHELL('',(#317544,#317545,#317546,#317547)); #333962=CLOSED_SHELL('',(#317548,#317549,#317550,#317551)); #333963=CLOSED_SHELL('',(#317552,#317553,#317554,#317555)); #333964=CLOSED_SHELL('',(#317556,#317557,#317558,#317559)); #333965=CLOSED_SHELL('',(#317560,#317561,#317562,#317563)); #333966=CLOSED_SHELL('',(#317564,#317565,#317566,#317567)); #333967=CLOSED_SHELL('',(#317568,#317569,#317570,#317571)); #333968=CLOSED_SHELL('',(#317572,#317573,#317574,#317575)); #333969=CLOSED_SHELL('',(#317576,#317577,#317578,#317579)); #333970=CLOSED_SHELL('',(#317580,#317581,#317582,#317583)); #333971=CLOSED_SHELL('',(#317584,#317585,#317586,#317587)); #333972=CLOSED_SHELL('',(#317588,#317589,#317590,#317591)); #333973=CLOSED_SHELL('',(#317592,#317593,#317594,#317595)); #333974=CLOSED_SHELL('',(#317596,#317597,#317598,#317599)); #333975=CLOSED_SHELL('',(#317600,#317601,#317602,#317603)); #333976=CLOSED_SHELL('',(#317604,#317605,#317606,#317607)); #333977=CLOSED_SHELL('',(#317608,#317609,#317610,#317611)); #333978=CLOSED_SHELL('',(#317612,#317613,#317614,#317615)); #333979=CLOSED_SHELL('',(#317616,#317617,#317618,#317619)); #333980=CLOSED_SHELL('',(#317620,#317621,#317622,#317623)); #333981=CLOSED_SHELL('',(#317624,#317625,#317626,#317627)); #333982=CLOSED_SHELL('',(#317628,#317629,#317630,#317631)); #333983=CLOSED_SHELL('',(#317632,#317633,#317634,#317635)); #333984=CLOSED_SHELL('',(#317636,#317637,#317638,#317639)); #333985=CLOSED_SHELL('',(#317640,#317641,#317642,#317643)); #333986=CLOSED_SHELL('',(#317644,#317645,#317646,#317647)); #333987=CLOSED_SHELL('',(#317648,#317649,#317650,#317651,#317652,#317653, #317654,#317655,#317656,#317657)); #333988=CLOSED_SHELL('',(#317658,#317659,#317660,#317661)); #333989=CLOSED_SHELL('',(#317662,#317663,#317664,#317665,#317666,#317667, #317668,#317669,#317670,#317671)); #333990=CLOSED_SHELL('',(#317672,#317673,#317674,#317675)); #333991=CLOSED_SHELL('',(#317676,#317677,#317678,#317679,#317680,#317681, #317682,#317683,#317684,#317685)); #333992=CLOSED_SHELL('',(#317686,#317687,#317688,#317689)); #333993=CLOSED_SHELL('',(#317690,#317691,#317692,#317693,#317694,#317695, #317696,#317697,#317698,#317699)); #333994=CLOSED_SHELL('',(#317700,#317701,#317702,#317703)); #333995=CLOSED_SHELL('',(#317704,#317705,#317706,#317707)); #333996=CLOSED_SHELL('',(#317708,#317709,#317710,#317711)); #333997=CLOSED_SHELL('',(#317712,#317713,#317714,#317715)); #333998=CLOSED_SHELL('',(#317716,#317717,#317718,#317719)); #333999=CLOSED_SHELL('',(#317720,#317721,#317722,#317723)); #334000=CLOSED_SHELL('',(#317724,#317725,#317726,#317727)); #334001=CLOSED_SHELL('',(#317728,#317729,#317730,#317731)); #334002=CLOSED_SHELL('',(#317732,#317733,#317734,#317735)); #334003=CLOSED_SHELL('',(#317736,#317737,#317738,#317739)); #334004=CLOSED_SHELL('',(#317740,#317741,#317742,#317743)); #334005=CLOSED_SHELL('',(#317744,#317745,#317746,#317747,#317748,#317749, #317750,#317751,#317752,#317753)); #334006=CLOSED_SHELL('',(#317754,#317755,#317756,#317757)); #334007=CLOSED_SHELL('',(#317758,#317759,#317760,#317761,#317762,#317763, #317764,#317765,#317766,#317767)); #334008=CLOSED_SHELL('',(#317768,#317769,#317770,#317771)); #334009=CLOSED_SHELL('',(#317772,#317773,#317774,#317775)); #334010=CLOSED_SHELL('',(#317776,#317777,#317778,#317779)); #334011=CLOSED_SHELL('',(#317780,#317781,#317782,#317783)); #334012=CLOSED_SHELL('',(#317784,#317785,#317786,#317787)); #334013=CLOSED_SHELL('',(#317788,#317789,#317790,#317791)); #334014=CLOSED_SHELL('',(#317792,#317793,#317794,#317795)); #334015=CLOSED_SHELL('',(#317796,#317797,#317798,#317799)); #334016=CLOSED_SHELL('',(#317800,#317801,#317802,#317803)); #334017=CLOSED_SHELL('',(#317804,#317805,#317806,#317807)); #334018=CLOSED_SHELL('',(#317808,#317809,#317810,#317811)); #334019=CLOSED_SHELL('',(#317812,#317813,#317814,#317815)); #334020=CLOSED_SHELL('',(#317816,#317817,#317818,#317819)); #334021=CLOSED_SHELL('',(#317820,#317821,#317822,#317823)); #334022=CLOSED_SHELL('',(#317824,#317825,#317826,#317827)); #334023=CLOSED_SHELL('',(#317828,#317829,#317830,#317831)); #334024=CLOSED_SHELL('',(#317832,#317833,#317834,#317835)); #334025=CLOSED_SHELL('',(#317836,#317837,#317838,#317839)); #334026=CLOSED_SHELL('',(#317840,#317841,#317842,#317843)); #334027=CLOSED_SHELL('',(#317844,#317845,#317846,#317847)); #334028=CLOSED_SHELL('',(#317848,#317849,#317850,#317851)); #334029=CLOSED_SHELL('',(#317852,#317853,#317854,#317855)); #334030=CLOSED_SHELL('',(#317856,#317857,#317858,#317859)); #334031=CLOSED_SHELL('',(#317860,#317861,#317862,#317863)); #334032=CLOSED_SHELL('',(#317864,#317865,#317866,#317867)); #334033=CLOSED_SHELL('',(#317868,#317869,#317870,#317871)); #334034=CLOSED_SHELL('',(#317872,#317873,#317874,#317875)); #334035=CLOSED_SHELL('',(#317876,#317877,#317878,#317879)); #334036=CLOSED_SHELL('',(#317880,#317881,#317882,#317883)); #334037=CLOSED_SHELL('',(#317884,#317885,#317886,#317887)); #334038=CLOSED_SHELL('',(#317888,#317889,#317890,#317891)); #334039=CLOSED_SHELL('',(#317892,#317893,#317894,#317895)); #334040=CLOSED_SHELL('',(#317896,#317897,#317898,#317899)); #334041=CLOSED_SHELL('',(#317900,#317901,#317902,#317903)); #334042=CLOSED_SHELL('',(#317904,#317905,#317906,#317907)); #334043=CLOSED_SHELL('',(#317908,#317909,#317910,#317911)); #334044=CLOSED_SHELL('',(#317912,#317913,#317914,#317915)); #334045=CLOSED_SHELL('',(#317916,#317917,#317918,#317919,#317920,#317921)); #334046=CLOSED_SHELL('',(#317922,#317923,#317924,#317925,#317926,#317927)); #334047=CLOSED_SHELL('',(#317928,#317929,#317930,#317931,#317932,#317933)); #334048=CLOSED_SHELL('',(#317934,#317935,#317936,#317937,#317938,#317939)); #334049=CLOSED_SHELL('',(#317940,#317941,#317942,#317943,#317944,#317945)); #334050=CLOSED_SHELL('',(#317946,#317947,#317948,#317949,#317950,#317951)); #334051=CLOSED_SHELL('',(#317952,#317953,#317954,#317955,#317956,#317957)); #334052=CLOSED_SHELL('',(#317958,#317959,#317960,#317961,#317962,#317963)); #334053=CLOSED_SHELL('',(#317964,#317965,#317966,#317967,#317968,#317969)); #334054=CLOSED_SHELL('',(#317970,#317971,#317972,#317973,#317974,#317975)); #334055=CLOSED_SHELL('',(#317976,#317977,#317978,#317979,#317980,#317981)); #334056=CLOSED_SHELL('',(#317982,#317983,#317984,#317985,#317986,#317987)); #334057=CLOSED_SHELL('',(#317988,#317989,#317990,#317991,#317992,#317993, #317994,#317995,#317996,#317997,#317998,#317999,#318000,#318001,#318002, #318003)); #334058=CLOSED_SHELL('',(#318004,#318005,#318006,#318007,#318008,#318009, #318010,#318011,#318012,#318013,#318014,#318015,#318016)); #334059=CLOSED_SHELL('',(#318017,#318018,#318019,#318020,#318021,#318022, #318023,#318024,#318025,#318026,#318027,#318028,#318029,#318030,#318031, #318032,#318033,#318034,#318035,#318036,#318037,#318038)); #334060=CLOSED_SHELL('',(#318039,#318040,#318041,#318042,#318043,#318044, #318045,#318046,#318047,#318048,#318049,#318050,#318051,#318052,#318053, #318054,#318055,#318056,#318057,#318058,#318059,#318060,#318061,#318062, #318063,#318064,#318065,#318066,#318067,#318068,#318069,#318070,#318071, #318072,#318073,#318074,#318075,#318076)); #334061=CLOSED_SHELL('',(#318077,#318078,#318079,#318080,#318081,#318082, #318083,#318084,#318085,#318086,#318087,#318088,#318089,#318090,#318091, #318092,#318093,#318094,#318095,#318096,#318097,#318098,#318099,#318100, #318101,#318102,#318103,#318104,#318105,#318106,#318107,#318108,#318109, #318110,#318111,#318112,#318113,#318114)); #334062=CLOSED_SHELL('',(#318115,#318116,#318117,#318118,#318119,#318120, #318121,#318122,#318123,#318124,#318125,#318126,#318127,#318128,#318129, #318130,#318131,#318132,#318133,#318134,#318135,#318136,#318137,#318138, #318139)); #334063=CLOSED_SHELL('',(#318140,#318141,#318142,#318143,#318144,#318145, #318146,#318147,#318148,#318149,#318150,#318151,#318152,#318153,#318154, #318155,#318156,#318157,#318158)); #334064=CLOSED_SHELL('',(#318159,#318160,#318161,#318162,#318163,#318164, #318165,#318166,#318167,#318168,#318169,#318170,#318171,#318172,#318173)); #334065=CLOSED_SHELL('',(#318174,#318175,#318176,#318177,#318178,#318179, #318180,#318181,#318182,#318183,#318184,#318185,#318186,#318187,#318188, #318189,#318190)); #334066=CLOSED_SHELL('',(#318191,#318192,#318193,#318194,#318195,#318196, #318197,#318198,#318199,#318200,#318201,#318202)); #334067=CLOSED_SHELL('',(#318203,#318204,#318205,#318206,#318207,#318208, #318209,#318210,#318211,#318212,#318213,#318214,#318215,#318216,#318217, #318218,#318219,#318220,#318221)); #334068=CLOSED_SHELL('',(#318222,#318223,#318224,#318225,#318226,#318227, #318228,#318229,#318230,#318231,#318232,#318233,#318234,#318235,#318236, #318237,#318238,#318239,#318240,#318241,#318242,#318243,#318244,#318245, #318246)); #334069=CLOSED_SHELL('',(#318247,#318248,#318249,#318250,#318251,#318252, #318253,#318254,#318255,#318256,#318257,#318258,#318259,#318260,#318261, #318262,#318263,#318264,#318265,#318266,#318267,#318268,#318269,#318270, #318271,#318272,#318273,#318274)); #334070=CLOSED_SHELL('',(#318275,#318276,#318277,#318278,#318279,#318280, #318281,#318282,#318283,#318284,#318285,#318286,#318287,#318288,#318289, #318290,#318291,#318292,#318293,#318294,#318295,#318296,#318297,#318298, #318299,#318300,#318301)); #334071=CLOSED_SHELL('',(#318302,#318303,#318304,#318305,#318306,#318307, #318308,#318309,#318310,#318311,#318312,#318313,#318314,#318315)); #334072=CLOSED_SHELL('',(#318316,#318317,#318318,#318319,#318320,#318321, #318322,#318323,#318324,#318325,#318326,#318327,#318328,#318329,#318330, #318331,#318332,#318333,#318334,#318335,#318336,#318337,#318338,#318339, #318340,#318341,#318342,#318343,#318344,#318345,#318346,#318347,#318348, #318349,#318350,#318351,#318352,#318353,#318354,#318355)); #334073=CLOSED_SHELL('',(#318356,#318357,#318358,#318359,#318360,#318361, #318362,#318363,#318364,#318365,#318366,#318367,#318368,#318369,#318370, #318371,#318372,#318373,#318374,#318375,#318376,#318377,#318378,#318379, #318380,#318381,#318382,#318383,#318384,#318385,#318386,#318387,#318388, #318389,#318390,#318391,#318392,#318393,#318394,#318395)); #334074=CLOSED_SHELL('',(#318396,#318397,#318398,#318399,#318400,#318401, #318402,#318403,#318404,#318405,#318406,#318407,#318408,#318409,#318410, #318411,#318412,#318413,#318414,#318415,#318416,#318417)); #334075=CLOSED_SHELL('',(#318418,#318419,#318420,#318421,#318422,#318423, #318424,#318425,#318426,#318427,#318428,#318429,#318430,#318431,#318432, #318433,#318434,#318435,#318436,#318437,#318438,#318439)); #334076=CLOSED_SHELL('',(#318440,#318441,#318442,#318443,#318444,#318445, #318446,#318447,#318448,#318449,#318450,#318451,#318452,#318453,#318454, #318455,#318456,#318457,#318458)); #334077=CLOSED_SHELL('',(#318459,#318460,#318461,#318462,#318463,#318464, #318465,#318466,#318467,#318468,#318469,#318470,#318471,#318472,#318473, #318474)); #334078=CLOSED_SHELL('',(#318475,#318476,#318477,#318478,#318479,#318480, #318481,#318482,#318483,#318484,#318485,#318486,#318487,#318488,#318489, #318490,#318491,#318492,#318493,#318494,#318495)); #334079=CLOSED_SHELL('',(#318496,#318497,#318498,#318499,#318500,#318501, #318502,#318503,#318504,#318505,#318506,#318507,#318508,#318509,#318510, #318511)); #334080=CLOSED_SHELL('',(#318512,#318513,#318514,#318515,#318516,#318517, #318518,#318519,#318520,#318521,#318522,#318523,#318524,#318525,#318526, #318527,#318528,#318529,#318530,#318531,#318532)); #334081=CLOSED_SHELL('',(#318533,#318534,#318535,#318536,#318537,#318538, #318539,#318540,#318541,#318542,#318543,#318544,#318545,#318546)); #334082=CLOSED_SHELL('',(#318547,#318548,#318549,#318550,#318551,#318552, #318553,#318554,#318555,#318556,#318557,#318558,#318559,#318560)); #334083=CLOSED_SHELL('',(#318561,#318562,#318563,#318564,#318565,#318566, #318567,#318568,#318569,#318570,#318571,#318572,#318573,#318574,#318575, #318576,#318577,#318578,#318579,#318580,#318581,#318582,#318583,#318584, #318585,#318586)); #334084=CLOSED_SHELL('',(#318587,#318588,#318589,#318590,#318591,#318592, #318593,#318594,#318595,#318596,#318597,#318598,#318599,#318600,#318601, #318602,#318603,#318604,#318605,#318606)); #334085=CLOSED_SHELL('',(#318607,#318608,#318609,#318610,#318611,#318612, #318613,#318614,#318615,#318616,#318617,#318618,#318619,#318620,#318621, #318622,#318623,#318624,#318625,#318626,#318627,#318628,#318629,#318630, #318631,#318632)); #334086=CLOSED_SHELL('',(#318633,#318634,#318635,#318636,#318637,#318638, #318639,#318640,#318641,#318642,#318643,#318644,#318645,#318646,#318647, #318648,#318649,#318650,#318651,#318652,#318653,#318654,#318655,#318656, #318657,#318658)); #334087=CLOSED_SHELL('',(#318659,#318660,#318661,#318662,#318663,#318664, #318665,#318666,#318667,#318668,#318669,#318670)); #334088=CLOSED_SHELL('',(#318671,#318672,#318673,#318674,#318675,#318676, #318677)); #334089=CLOSED_SHELL('',(#318678,#318679,#318680,#318681,#318682,#318683, #318684)); #334090=CLOSED_SHELL('',(#318685,#318686,#318687,#318688,#318689,#318690, #318691,#318692,#318693,#318694,#318695,#318696,#318697,#318698,#318699, #318700,#318701,#318702,#318703,#318704,#318705,#318706,#318707,#318708, #318709,#318710,#318711,#318712,#318713,#318714,#318715,#318716,#318717, #318718,#318719,#318720,#318721,#318722,#318723,#318724,#318725,#318726, #318727,#318728,#318729,#318730)); #334091=CLOSED_SHELL('',(#318731,#318732,#318733,#318734,#318735,#318736, #318737,#318738,#318739,#318740,#318741,#318742,#318743,#318744,#318745, #318746,#318747,#318748,#318749,#318750,#318751,#318752,#318753,#318754)); #334092=CLOSED_SHELL('',(#318755,#318756,#318757,#318758,#318759,#318760, #318761)); #334093=CLOSED_SHELL('',(#318762,#318763,#318764,#318765,#318766,#318767, #318768)); #334094=CLOSED_SHELL('',(#318769,#318770,#318771,#318772,#318773,#318774, #318775,#318776,#318777,#318778,#318779,#318780,#318781,#318782,#318783, #318784,#318785)); #334095=CLOSED_SHELL('',(#318786,#318787,#318788,#318789,#318790,#318791)); #334096=CLOSED_SHELL('',(#318792,#318793,#318794,#318795,#318796,#318797, #318798,#318799,#318800,#318801,#318802)); #334097=CLOSED_SHELL('',(#318803,#318804,#318805,#318806,#318807,#318808)); #334098=CLOSED_SHELL('',(#318809,#318810,#318811,#318812,#318813,#318814, #318815)); #334099=CLOSED_SHELL('',(#318816,#318817,#318818,#318819,#318820,#318821, #318822,#318823,#318824,#318825,#318826)); #334100=CLOSED_SHELL('',(#318827,#318828,#318829,#318830,#318831,#318832)); #334101=CLOSED_SHELL('',(#318833,#318834,#318835,#318836,#318837,#318838, #318839,#318840,#318841,#318842,#318843,#318844,#318845,#318846,#318847, #318848,#318849,#318850,#318851,#318852,#318853,#318854,#318855,#318856, #318857,#318858,#318859,#318860,#318861,#318862,#318863,#318864,#318865, #318866,#318867,#318868,#318869,#318870,#318871,#318872,#318873,#318874, #318875,#318876,#318877,#318878)); #334102=CLOSED_SHELL('',(#318879,#318880,#318881,#318882,#318883,#318884, #318885,#318886,#318887,#318888,#318889,#318890,#318891,#318892,#318893, #318894,#318895,#318896,#318897,#318898,#318899,#318900,#318901,#318902)); #334103=CLOSED_SHELL('',(#318903,#318904,#318905,#318906,#318907,#318908, #318909,#318910,#318911,#318912,#318913,#318914,#318915,#318916)); #334104=CLOSED_SHELL('',(#318917,#318918,#318919,#318920,#318921,#318922, #318923,#318924,#318925,#318926,#318927,#318928,#318929,#318930)); #334105=CLOSED_SHELL('',(#318931,#318932,#318933,#318934,#318935,#318936, #318937,#318938,#318939,#318940,#318941,#318942,#318943,#318944,#318945, #318946,#318947,#318948,#318949,#318950)); #334106=CLOSED_SHELL('',(#318951,#318952,#318953,#318954,#318955,#318956, #318957,#318958,#318959,#318960,#318961,#318962,#318963,#318964,#318965, #318966,#318967,#318968,#318969,#318970,#318971,#318972,#318973,#318974, #318975)); #334107=CLOSED_SHELL('',(#318976,#318977,#318978,#318979,#318980,#318981, #318982,#318983,#318984,#318985,#318986,#318987,#318988,#318989,#318990)); #334108=CLOSED_SHELL('',(#318991,#318992,#318993,#318994,#318995,#318996, #318997,#318998,#318999,#319000,#319001,#319002,#319003,#319004,#319005, #319006,#319007,#319008,#319009,#319010,#319011,#319012,#319013,#319014, #319015,#319016,#319017,#319018,#319019,#319020,#319021,#319022,#319023, #319024,#319025,#319026,#319027,#319028)); #334109=CLOSED_SHELL('',(#319029,#319030,#319031,#319032,#319033,#319034, #319035,#319036,#319037,#319038,#319039,#319040,#319041)); #334110=CLOSED_SHELL('',(#319042,#319043,#319044,#319045,#319046,#319047, #319048,#319049,#319050,#319051,#319052,#319053,#319054,#319055,#319056, #319057,#319058,#319059,#319060,#319061,#319062,#319063,#319064,#319065, #319066)); #334111=CLOSED_SHELL('',(#319067,#319068,#319069,#319070,#319071,#319072, #319073,#319074,#319075,#319076,#319077,#319078,#319079,#319080,#319081, #319082)); #334112=CLOSED_SHELL('',(#319083,#319084,#319085,#319086,#319087,#319088, #319089,#319090,#319091,#319092,#319093,#319094,#319095,#319096,#319097, #319098,#319099,#319100,#319101,#319102,#319103,#319104,#319105,#319106, #319107,#319108,#319109,#319110,#319111,#319112,#319113,#319114,#319115, #319116,#319117,#319118,#319119,#319120,#319121,#319122,#319123,#319124, #319125,#319126,#319127,#319128,#319129,#319130,#319131,#319132,#319133, #319134,#319135,#319136,#319137,#319138,#319139,#319140,#319141,#319142, #319143,#319144,#319145,#319146,#319147,#319148,#319149,#319150,#319151, #319152,#319153,#319154,#319155,#319156,#319157,#319158,#319159,#319160, #319161,#319162,#319163,#319164,#319165,#319166,#319167,#319168,#319169, #319170,#319171,#319172,#319173,#319174,#319175,#319176,#319177,#319178, #319179,#319180,#319181,#319182,#319183,#319184,#319185,#319186,#319187, #319188,#319189,#319190,#319191,#319192,#319193,#319194,#319195)); #334113=CLOSED_SHELL('',(#319196,#319197,#319198,#319199,#319200,#319201)); #334114=CLOSED_SHELL('',(#319202,#319203,#319204,#319205,#319206,#319207, #319208,#319209,#319210,#319211,#319212,#319213,#319214,#319215,#319216, #319217,#319218,#319219,#319220,#319221,#319222,#319223,#319224,#319225, #319226,#319227,#319228,#319229,#319230,#319231,#319232,#319233,#319234, #319235,#319236,#319237,#319238,#319239,#319240,#319241,#319242,#319243, #319244,#319245,#319246,#319247,#319248,#319249,#319250,#319251,#319252, #319253,#319254,#319255,#319256,#319257,#319258,#319259,#319260,#319261, #319262,#319263,#319264,#319265,#319266,#319267,#319268,#319269,#319270, #319271,#319272,#319273,#319274,#319275,#319276,#319277,#319278,#319279, #319280,#319281,#319282,#319283,#319284,#319285,#319286,#319287,#319288, #319289,#319290,#319291,#319292,#319293,#319294,#319295,#319296,#319297, #319298,#319299,#319300,#319301,#319302,#319303,#319304,#319305,#319306, #319307,#319308,#319309,#319310,#319311,#319312,#319313,#319314,#319315, #319316,#319317,#319318,#319319,#319320,#319321,#319322,#319323,#319324, #319325,#319326,#319327,#319328,#319329,#319330,#319331,#319332,#319333, #319334,#319335,#319336,#319337,#319338,#319339,#319340,#319341,#319342, #319343,#319344,#319345,#319346,#319347)); #334115=CLOSED_SHELL('',(#319348,#319349,#319350,#319351,#319352,#319353, #319354,#319355,#319356,#319357,#319358)); #334116=CLOSED_SHELL('',(#319359,#319360,#319361,#319362,#319363,#319364, #319365,#319366,#319367,#319368,#319369,#319370,#319371,#319372,#319373, #319374,#319375,#319376,#319377,#319378,#319379)); #334117=CLOSED_SHELL('',(#319380,#319381,#319382,#319383,#319384,#319385, #319386,#319387,#319388,#319389,#319390,#319391,#319392,#319393,#319394, #319395,#319396,#319397,#319398,#319399,#319400,#319401,#319402)); #334118=CLOSED_SHELL('',(#319403,#319404,#319405,#319406,#319407,#319408, #319409,#319410,#319411,#319412,#319413,#319414,#319415,#319416,#319417, #319418,#319419,#319420,#319421,#319422,#319423,#319424)); #334119=CLOSED_SHELL('',(#319425,#319426,#319427,#319428,#319429,#319430, #319431,#319432,#319433,#319434,#319435,#319436,#319437,#319438,#319439, #319440,#319441,#319442,#319443,#319444,#319445,#319446,#319447)); #334120=CLOSED_SHELL('',(#319448,#319449,#319450,#319451,#319452,#319453, #319454,#319455,#319456,#319457,#319458,#319459,#319460,#319461,#319462, #319463,#319464,#319465,#319466,#319467,#319468,#319469,#319470,#319471, #319472,#319473,#319474,#319475,#319476,#319477,#319478,#319479,#319480, #319481,#319482,#319483,#319484,#319485,#319486,#319487,#319488,#319489, #319490,#319491,#319492,#319493,#319494,#319495,#319496,#319497,#319498, #319499,#319500,#319501,#319502,#319503,#319504,#319505,#319506,#319507, #319508,#319509,#319510,#319511,#319512,#319513,#319514,#319515,#319516, #319517,#319518,#319519,#319520,#319521,#319522,#319523,#319524,#319525, #319526,#319527,#319528,#319529,#319530,#319531,#319532,#319533,#319534, #319535,#319536,#319537,#319538,#319539,#319540,#319541,#319542)); #334121=CLOSED_SHELL('',(#319543,#319544,#319545,#319546,#319547,#319548, #319549,#319550,#319551,#319552,#319553,#319554,#319555,#319556,#319557, #319558,#319559,#319560,#319561,#319562,#319563,#319564,#319565,#319566, #319567,#319568,#319569,#319570,#319571,#319572,#319573,#319574,#319575, #319576,#319577,#319578,#319579,#319580,#319581,#319582,#319583,#319584, #319585,#319586,#319587,#319588,#319589,#319590,#319591,#319592,#319593, #319594,#319595,#319596,#319597,#319598,#319599,#319600,#319601,#319602, #319603,#319604,#319605,#319606,#319607,#319608,#319609,#319610,#319611, #319612,#319613,#319614,#319615,#319616,#319617,#319618,#319619,#319620, #319621,#319622,#319623,#319624,#319625,#319626,#319627,#319628,#319629, #319630,#319631,#319632,#319633,#319634,#319635,#319636,#319637,#319638, #319639,#319640,#319641,#319642,#319643,#319644,#319645,#319646,#319647, #319648,#319649,#319650,#319651,#319652,#319653,#319654,#319655,#319656, #319657,#319658,#319659,#319660,#319661,#319662,#319663,#319664,#319665, #319666,#319667,#319668,#319669,#319670,#319671,#319672,#319673,#319674, #319675,#319676,#319677,#319678,#319679,#319680,#319681,#319682,#319683, #319684,#319685,#319686,#319687,#319688,#319689,#319690,#319691,#319692, #319693,#319694,#319695,#319696,#319697,#319698,#319699,#319700,#319701, #319702,#319703,#319704,#319705,#319706,#319707,#319708,#319709,#319710, #319711,#319712,#319713,#319714,#319715,#319716,#319717,#319718,#319719, #319720,#319721,#319722,#319723,#319724,#319725,#319726,#319727,#319728, #319729,#319730,#319731,#319732,#319733,#319734,#319735,#319736,#319737, #319738,#319739,#319740,#319741,#319742,#319743,#319744,#319745,#319746, #319747,#319748,#319749,#319750,#319751,#319752,#319753,#319754,#319755, #319756,#319757,#319758,#319759,#319760,#319761,#319762,#319763,#319764, #319765,#319766,#319767,#319768,#319769,#319770,#319771,#319772,#319773, #319774,#319775,#319776,#319777,#319778,#319779,#319780,#319781,#319782, #319783,#319784,#319785,#319786,#319787,#319788,#319789,#319790,#319791, #319792,#319793,#319794,#319795,#319796,#319797,#319798,#319799,#319800, #319801,#319802,#319803,#319804,#319805,#319806,#319807,#319808,#319809, #319810,#319811,#319812,#319813,#319814,#319815,#319816,#319817,#319818, #319819,#319820,#319821,#319822,#319823,#319824,#319825,#319826,#319827, #319828,#319829,#319830,#319831,#319832,#319833,#319834,#319835,#319836, #319837,#319838,#319839,#319840,#319841,#319842,#319843,#319844,#319845, #319846,#319847,#319848,#319849,#319850,#319851,#319852,#319853,#319854, #319855,#319856,#319857,#319858,#319859,#319860,#319861,#319862,#319863, #319864,#319865,#319866,#319867,#319868,#319869,#319870,#319871,#319872, #319873,#319874,#319875,#319876,#319877,#319878,#319879,#319880,#319881, #319882,#319883,#319884,#319885,#319886,#319887,#319888,#319889,#319890, #319891,#319892,#319893,#319894,#319895,#319896,#319897,#319898,#319899, #319900,#319901,#319902,#319903,#319904,#319905,#319906,#319907,#319908, #319909,#319910,#319911,#319912,#319913,#319914,#319915,#319916,#319917, #319918,#319919,#319920,#319921,#319922,#319923,#319924,#319925,#319926, #319927,#319928,#319929,#319930,#319931,#319932,#319933,#319934,#319935, #319936,#319937,#319938,#319939,#319940,#319941,#319942,#319943,#319944, #319945,#319946,#319947,#319948,#319949,#319950,#319951,#319952,#319953, #319954,#319955,#319956,#319957,#319958,#319959,#319960,#319961,#319962, #319963,#319964,#319965,#319966,#319967,#319968,#319969,#319970,#319971, #319972,#319973,#319974,#319975,#319976,#319977,#319978,#319979,#319980, #319981,#319982,#319983,#319984,#319985,#319986,#319987,#319988,#319989, #319990,#319991,#319992,#319993,#319994,#319995,#319996,#319997,#319998, #319999,#320000,#320001,#320002,#320003,#320004,#320005,#320006,#320007, #320008,#320009,#320010,#320011,#320012,#320013,#320014,#320015,#320016, #320017,#320018,#320019,#320020,#320021,#320022,#320023,#320024,#320025, #320026,#320027,#320028,#320029,#320030,#320031,#320032,#320033,#320034, #320035,#320036,#320037,#320038,#320039,#320040,#320041,#320042,#320043, #320044,#320045,#320046,#320047,#320048,#320049,#320050,#320051,#320052, #320053,#320054,#320055,#320056,#320057,#320058,#320059,#320060,#320061, #320062,#320063,#320064,#320065,#320066,#320067,#320068,#320069,#320070, #320071,#320072,#320073,#320074,#320075,#320076,#320077,#320078,#320079, #320080,#320081,#320082,#320083,#320084,#320085,#320086,#320087,#320088, #320089,#320090,#320091,#320092,#320093,#320094,#320095,#320096,#320097, #320098,#320099,#320100,#320101,#320102,#320103,#320104,#320105,#320106, #320107,#320108,#320109,#320110,#320111,#320112,#320113,#320114,#320115, #320116,#320117,#320118,#320119,#320120,#320121,#320122,#320123,#320124, #320125,#320126,#320127,#320128,#320129,#320130,#320131,#320132,#320133, #320134,#320135,#320136,#320137,#320138,#320139,#320140,#320141,#320142, #320143,#320144,#320145,#320146,#320147,#320148,#320149,#320150,#320151, #320152,#320153,#320154,#320155,#320156,#320157,#320158,#320159,#320160, #320161,#320162,#320163,#320164,#320165,#320166,#320167,#320168,#320169, #320170,#320171,#320172,#320173,#320174,#320175,#320176,#320177,#320178, #320179,#320180,#320181,#320182,#320183,#320184,#320185,#320186,#320187, #320188,#320189,#320190,#320191,#320192,#320193,#320194,#320195,#320196, #320197,#320198,#320199,#320200,#320201,#320202,#320203,#320204,#320205, #320206,#320207,#320208,#320209,#320210,#320211,#320212,#320213,#320214, #320215,#320216,#320217,#320218,#320219,#320220,#320221,#320222,#320223, #320224,#320225,#320226,#320227,#320228,#320229,#320230,#320231,#320232, #320233,#320234,#320235,#320236,#320237,#320238,#320239,#320240,#320241, #320242,#320243,#320244,#320245,#320246,#320247,#320248,#320249,#320250, #320251,#320252,#320253,#320254,#320255,#320256,#320257,#320258,#320259, #320260,#320261,#320262,#320263,#320264,#320265,#320266,#320267,#320268, #320269,#320270,#320271,#320272,#320273,#320274,#320275,#320276,#320277, #320278,#320279,#320280,#320281,#320282,#320283,#320284,#320285,#320286, #320287,#320288,#320289,#320290,#320291,#320292,#320293,#320294,#320295, #320296,#320297,#320298,#320299,#320300,#320301,#320302,#320303,#320304, #320305,#320306,#320307,#320308,#320309,#320310,#320311,#320312,#320313, #320314,#320315,#320316,#320317,#320318,#320319,#320320,#320321,#320322, #320323,#320324,#320325,#320326,#320327,#320328,#320329,#320330,#320331, #320332,#320333,#320334,#320335,#320336,#320337,#320338,#320339,#320340, #320341,#320342,#320343,#320344,#320345,#320346,#320347,#320348,#320349, #320350,#320351,#320352,#320353,#320354,#320355,#320356,#320357,#320358, #320359,#320360,#320361,#320362,#320363,#320364,#320365,#320366,#320367, #320368,#320369,#320370,#320371,#320372,#320373,#320374,#320375,#320376, #320377,#320378,#320379,#320380,#320381,#320382,#320383,#320384,#320385, #320386,#320387,#320388,#320389,#320390,#320391,#320392,#320393,#320394, #320395,#320396,#320397,#320398,#320399,#320400,#320401,#320402,#320403, #320404,#320405,#320406,#320407,#320408,#320409,#320410,#320411,#320412, #320413,#320414,#320415,#320416,#320417,#320418,#320419,#320420,#320421, #320422,#320423,#320424,#320425,#320426,#320427,#320428,#320429,#320430, #320431,#320432,#320433,#320434,#320435,#320436,#320437,#320438,#320439, #320440,#320441,#320442,#320443,#320444,#320445,#320446,#320447,#320448, #320449,#320450,#320451,#320452,#320453,#320454,#320455,#320456,#320457, #320458,#320459,#320460,#320461,#320462,#320463,#320464,#320465,#320466, #320467,#320468,#320469,#320470,#320471,#320472,#320473,#320474,#320475, #320476,#320477,#320478,#320479,#320480,#320481,#320482,#320483,#320484, #320485,#320486,#320487,#320488,#320489,#320490,#320491,#320492,#320493, #320494,#320495,#320496,#320497,#320498,#320499,#320500,#320501,#320502, #320503,#320504,#320505,#320506,#320507,#320508,#320509,#320510,#320511, #320512,#320513,#320514,#320515,#320516,#320517,#320518)); #334122=CLOSED_SHELL('',(#320519,#320520,#320521,#320522,#320523,#320524, #320525,#320526,#320527,#320528,#320529,#320530,#320531,#320532,#320533, #320534,#320535,#320536,#320537,#320538,#320539,#320540)); #334123=CLOSED_SHELL('',(#320541,#320542,#320543,#320544,#320545,#320546, #320547,#320548,#320549,#320550,#320551,#320552,#320553,#320554,#320555, #320556,#320557,#320558,#320559,#320560,#320561,#320562,#320563,#320564, #320565,#320566,#320567,#320568,#320569,#320570,#320571,#320572,#320573, #320574,#320575,#320576,#320577,#320578,#320579,#320580,#320581,#320582)); #334124=CLOSED_SHELL('',(#320583,#320584,#320585,#320586,#320587,#320588, #320589,#320590,#320591,#320592,#320593,#320594,#320595,#320596,#320597, #320598,#320599,#320600,#320601,#320602,#320603,#320604,#320605,#320606, #320607,#320608,#320609,#320610,#320611,#320612,#320613,#320614,#320615, #320616,#320617,#320618,#320619,#320620,#320621,#320622,#320623,#320624, #320625,#320626,#320627,#320628,#320629,#320630,#320631,#320632,#320633, #320634,#320635,#320636,#320637,#320638,#320639,#320640,#320641,#320642, #320643,#320644,#320645,#320646,#320647,#320648,#320649,#320650,#320651, #320652,#320653,#320654,#320655,#320656,#320657,#320658,#320659,#320660, #320661,#320662,#320663,#320664,#320665,#320666,#320667,#320668,#320669, #320670,#320671,#320672,#320673,#320674,#320675,#320676,#320677,#320678, #320679,#320680,#320681,#320682,#320683,#320684,#320685,#320686,#320687, #320688,#320689,#320690,#320691,#320692,#320693,#320694,#320695,#320696, #320697,#320698,#320699,#320700,#320701,#320702,#320703,#320704,#320705, #320706,#320707,#320708,#320709,#320710,#320711,#320712,#320713,#320714, #320715,#320716,#320717,#320718,#320719,#320720,#320721,#320722,#320723, #320724,#320725,#320726,#320727,#320728,#320729,#320730,#320731,#320732, #320733,#320734,#320735,#320736,#320737,#320738,#320739,#320740,#320741, #320742,#320743,#320744,#320745,#320746,#320747,#320748,#320749,#320750, #320751,#320752,#320753,#320754,#320755,#320756,#320757,#320758,#320759, #320760,#320761,#320762,#320763,#320764,#320765,#320766,#320767,#320768, #320769,#320770,#320771,#320772,#320773,#320774,#320775,#320776,#320777, #320778,#320779,#320780,#320781,#320782,#320783,#320784,#320785,#320786, #320787,#320788,#320789,#320790,#320791,#320792,#320793,#320794,#320795, #320796,#320797,#320798)); #334125=CLOSED_SHELL('',(#320799,#320800,#320801,#320802,#320803,#320804, #320805,#320806,#320807,#320808,#320809,#320810,#320811,#320812,#320813, #320814,#320815,#320816,#320817,#320818,#320819,#320820)); #334126=CLOSED_SHELL('',(#320821,#320822,#320823,#320824,#320825,#320826, #320827,#320828,#320829,#320830,#320831,#320832,#320833,#320834,#320835, #320836,#320837,#320838,#320839,#320840,#320841,#320842,#320843)); #334127=CLOSED_SHELL('',(#320844,#320845,#320846,#320847,#320848,#320849, #320850,#320851,#320852,#320853,#320854,#320855,#320856,#320857,#320858, #320859,#320860,#320861,#320862,#320863,#320864,#320865,#320866,#320867, #320868,#320869,#320870,#320871,#320872,#320873,#320874,#320875,#320876, #320877,#320878,#320879,#320880,#320881,#320882,#320883,#320884,#320885, #320886,#320887,#320888,#320889,#320890,#320891,#320892,#320893,#320894, #320895,#320896,#320897,#320898,#320899,#320900,#320901,#320902,#320903, #320904,#320905,#320906,#320907,#320908,#320909,#320910,#320911,#320912, #320913,#320914,#320915,#320916,#320917,#320918,#320919,#320920,#320921, #320922,#320923,#320924,#320925,#320926,#320927,#320928,#320929,#320930, #320931,#320932,#320933,#320934,#320935,#320936,#320937,#320938,#320939, #320940,#320941,#320942,#320943,#320944,#320945,#320946,#320947,#320948, #320949,#320950,#320951,#320952,#320953,#320954,#320955,#320956,#320957, #320958,#320959,#320960,#320961,#320962,#320963,#320964,#320965,#320966, #320967,#320968,#320969,#320970,#320971,#320972,#320973,#320974,#320975, #320976,#320977,#320978,#320979,#320980,#320981,#320982,#320983,#320984, #320985,#320986,#320987,#320988,#320989,#320990,#320991,#320992,#320993, #320994,#320995,#320996,#320997,#320998,#320999,#321000,#321001,#321002, #321003,#321004,#321005,#321006,#321007,#321008,#321009,#321010,#321011, #321012,#321013,#321014,#321015,#321016,#321017,#321018,#321019,#321020, #321021,#321022,#321023,#321024,#321025,#321026,#321027,#321028,#321029, #321030,#321031,#321032,#321033,#321034,#321035,#321036,#321037,#321038, #321039,#321040,#321041,#321042,#321043,#321044,#321045,#321046,#321047, #321048,#321049,#321050,#321051,#321052,#321053,#321054,#321055,#321056, #321057,#321058,#321059,#321060,#321061,#321062,#321063,#321064,#321065, #321066,#321067,#321068,#321069,#321070,#321071,#321072,#321073,#321074, #321075,#321076,#321077,#321078,#321079,#321080,#321081,#321082,#321083, #321084,#321085,#321086,#321087,#321088,#321089,#321090,#321091,#321092, #321093,#321094,#321095,#321096,#321097,#321098,#321099,#321100,#321101, #321102,#321103,#321104,#321105,#321106,#321107,#321108,#321109,#321110, #321111,#321112,#321113,#321114,#321115,#321116,#321117,#321118,#321119, #321120,#321121,#321122,#321123,#321124,#321125,#321126,#321127,#321128, #321129,#321130,#321131,#321132,#321133,#321134,#321135,#321136,#321137, #321138,#321139,#321140,#321141,#321142,#321143,#321144,#321145,#321146, #321147,#321148,#321149,#321150,#321151,#321152,#321153,#321154,#321155, #321156,#321157,#321158,#321159,#321160,#321161,#321162,#321163,#321164, #321165,#321166,#321167,#321168,#321169,#321170,#321171,#321172,#321173, #321174,#321175,#321176,#321177,#321178,#321179,#321180,#321181,#321182, #321183,#321184,#321185,#321186,#321187,#321188,#321189,#321190)); #334128=CLOSED_SHELL('',(#321191,#321192,#321193,#321194,#321195,#321196, #321197,#321198,#321199,#321200,#321201,#321202,#321203,#321204,#321205, #321206,#321207,#321208,#321209,#321210,#321211,#321212)); #334129=CLOSED_SHELL('',(#321213,#321214,#321215,#321216,#321217,#321218, #321219,#321220,#321221,#321222,#321223,#321224,#321225,#321226,#321227, #321228,#321229,#321230,#321231,#321232,#321233,#321234,#321235,#321236, #321237,#321238,#321239,#321240)); #334130=CLOSED_SHELL('',(#321241,#321242,#321243,#321244,#321245,#321246, #321247,#321248,#321249,#321250,#321251,#321252,#321253,#321254,#321255, #321256,#321257,#321258,#321259,#321260,#321261,#321262,#321263)); #334131=CLOSED_SHELL('',(#321264,#321265,#321266,#321267,#321268,#321269, #321270,#321271,#321272,#321273,#321274,#321275,#321276,#321277,#321278, #321279,#321280,#321281,#321282,#321283,#321284,#321285,#321286,#321287, #321288,#321289,#321290,#321291,#321292,#321293,#321294,#321295,#321296, #321297,#321298)); #334132=CLOSED_SHELL('',(#321299,#321300,#321301,#321302,#321303,#321304, #321305,#321306,#321307,#321308,#321309,#321310,#321311,#321312,#321313, #321314,#321315,#321316,#321317,#321318,#321319,#321320)); #334133=CLOSED_SHELL('',(#321321,#321322,#321323,#321324,#321325,#321326, #321327,#321328,#321329,#321330,#321331,#321332,#321333,#321334,#321335, #321336,#321337,#321338,#321339,#321340,#321341,#321342,#321343,#321344, #321345)); #334134=CLOSED_SHELL('',(#321346,#321347,#321348,#321349,#321350,#321351, #321352,#321353,#321354,#321355,#321356,#321357,#321358,#321359,#321360, #321361,#321362,#321363,#321364,#321365,#321366,#321367,#321368,#321369, #321370,#321371,#321372,#321373,#321374,#321375,#321376,#321377,#321378, #321379,#321380,#321381,#321382,#321383,#321384,#321385,#321386,#321387, #321388,#321389,#321390,#321391,#321392,#321393,#321394,#321395,#321396, #321397,#321398,#321399,#321400,#321401,#321402,#321403,#321404,#321405, #321406,#321407,#321408,#321409,#321410,#321411,#321412,#321413,#321414, #321415,#321416,#321417,#321418,#321419,#321420,#321421,#321422,#321423, #321424,#321425,#321426,#321427,#321428,#321429,#321430,#321431,#321432, #321433,#321434,#321435,#321436,#321437)); #334135=CLOSED_SHELL('',(#321438,#321439,#321440,#321441,#321442,#321443, #321444,#321445,#321446,#321447,#321448,#321449,#321450,#321451,#321452, #321453,#321454,#321455,#321456,#321457,#321458,#321459,#321460)); #334136=CLOSED_SHELL('',(#321461,#321462,#321463,#321464,#321465,#321466, #321467,#321468,#321469,#321470,#321471,#321472,#321473,#321474,#321475, #321476,#321477,#321478,#321479,#321480,#321481)); #334137=CLOSED_SHELL('',(#321482,#321483,#321484,#321485,#321486,#321487, #321488,#321489,#321490,#321491,#321492,#321493,#321494,#321495,#321496, #321497,#321498,#321499,#321500,#321501,#321502,#321503,#321504,#321505, #321506,#321507,#321508,#321509,#321510,#321511,#321512)); #334138=CLOSED_SHELL('',(#321513,#321514,#321515,#321516,#321517,#321518, #321519,#321520,#321521,#321522,#321523,#321524,#321525,#321526,#321527, #321528,#321529,#321530,#321531,#321532,#321533,#321534,#321535)); #334139=CLOSED_SHELL('',(#321536,#321537,#321538,#321539,#321540,#321541, #321542,#321543,#321544,#321545,#321546,#321547,#321548,#321549,#321550, #321551,#321552,#321553,#321554,#321555,#321556)); #334140=CLOSED_SHELL('',(#321557,#321558,#321559,#321560,#321561,#321562, #321563,#321564,#321565,#321566,#321567,#321568,#321569,#321570,#321571, #321572,#321573,#321574,#321575,#321576,#321577)); #334141=CLOSED_SHELL('',(#321578,#321579,#321580,#321581,#321582,#321583, #321584,#321585,#321586,#321587,#321588,#321589,#321590,#321591,#321592, #321593,#321594,#321595,#321596,#321597,#321598,#321599,#321600)); #334142=CLOSED_SHELL('',(#321601,#321602,#321603,#321604,#321605,#321606, #321607,#321608,#321609,#321610,#321611,#321612,#321613,#321614,#321615, #321616,#321617,#321618,#321619,#321620,#321621,#321622)); #334143=CLOSED_SHELL('',(#321623,#321624,#321625,#321626,#321627,#321628, #321629,#321630,#321631,#321632,#321633,#321634,#321635,#321636,#321637, #321638,#321639,#321640,#321641,#321642,#321643,#321644,#321645,#321646, #321647,#321648,#321649,#321650,#321651,#321652,#321653,#321654,#321655, #321656,#321657,#321658,#321659,#321660,#321661)); #334144=CLOSED_SHELL('',(#321662,#321663,#321664,#321665,#321666,#321667, #321668,#321669,#321670,#321671,#321672,#321673,#321674,#321675,#321676, #321677,#321678,#321679,#321680,#321681,#321682,#321683)); #334145=CLOSED_SHELL('',(#321684,#321685,#321686,#321687,#321688,#321689, #321690,#321691,#321692,#321693,#321694,#321695,#321696,#321697,#321698, #321699,#321700,#321701,#321702,#321703,#321704,#321705,#321706,#321707, #321708,#321709,#321710,#321711,#321712,#321713,#321714,#321715,#321716, #321717,#321718,#321719,#321720,#321721,#321722,#321723,#321724,#321725, #321726,#321727,#321728,#321729,#321730,#321731,#321732,#321733)); #334146=CLOSED_SHELL('',(#321734,#321735,#321736,#321737,#321738,#321739, #321740,#321741,#321742,#321743,#321744,#321745,#321746,#321747,#321748, #321749,#321750,#321751,#321752,#321753,#321754,#321755)); #334147=CLOSED_SHELL('',(#321756,#321757,#321758,#321759,#321760,#321761, #321762,#321763,#321764,#321765,#321766,#321767,#321768,#321769,#321770, #321771,#321772,#321773,#321774,#321775,#321776,#321777,#321778,#321779, #321780,#321781,#321782,#321783,#321784,#321785,#321786,#321787,#321788)); #334148=CLOSED_SHELL('',(#321789,#321790,#321791,#321792,#321793,#321794, #321795,#321796,#321797,#321798,#321799,#321800,#321801,#321802,#321803, #321804,#321805,#321806,#321807,#321808,#321809,#321810)); #334149=CLOSED_SHELL('',(#321811,#321812,#321813,#321814,#321815,#321816, #321817,#321818,#321819,#321820,#321821,#321822,#321823,#321824,#321825, #321826,#321827,#321828,#321829,#321830,#321831,#321832,#321833,#321834, #321835,#321836,#321837,#321838,#321839,#321840,#321841,#321842,#321843)); #334150=CLOSED_SHELL('',(#321844,#321845,#321846,#321847,#321848,#321849, #321850,#321851,#321852,#321853,#321854,#321855,#321856,#321857,#321858, #321859,#321860,#321861,#321862,#321863,#321864,#321865,#321866)); #334151=CLOSED_SHELL('',(#321867,#321868,#321869,#321870,#321871,#321872, #321873,#321874,#321875,#321876,#321877,#321878,#321879,#321880,#321881, #321882,#321883,#321884,#321885,#321886,#321887,#321888,#321889,#321890, #321891,#321892,#321893,#321894,#321895,#321896,#321897,#321898,#321899, #321900,#321901,#321902,#321903,#321904,#321905,#321906,#321907,#321908)); #334152=CLOSED_SHELL('',(#321909,#321910,#321911,#321912,#321913,#321914, #321915,#321916,#321917,#321918,#321919,#321920,#321921,#321922,#321923, #321924,#321925,#321926,#321927,#321928,#321929,#321930)); #334153=CLOSED_SHELL('',(#321931,#321932,#321933,#321934,#321935,#321936, #321937,#321938,#321939,#321940,#321941,#321942,#321943,#321944,#321945, #321946,#321947,#321948,#321949,#321950,#321951,#321952,#321953,#321954, #321955,#321956,#321957,#321958,#321959,#321960,#321961,#321962,#321963, #321964,#321965,#321966,#321967,#321968,#321969,#321970,#321971,#321972)); #334154=CLOSED_SHELL('',(#321973,#321974,#321975,#321976,#321977,#321978, #321979,#321980,#321981,#321982,#321983,#321984,#321985,#321986,#321987, #321988,#321989,#321990,#321991,#321992,#321993,#321994)); #334155=CLOSED_SHELL('',(#321995,#321996,#321997,#321998,#321999,#322000, #322001,#322002,#322003,#322004,#322005,#322006,#322007,#322008,#322009, #322010,#322011,#322012,#322013,#322014,#322015,#322016)); #334156=CLOSED_SHELL('',(#322017,#322018,#322019,#322020,#322021,#322022, #322023,#322024,#322025,#322026,#322027,#322028,#322029,#322030,#322031, #322032,#322033,#322034,#322035,#322036,#322037,#322038,#322039,#322040, #322041,#322042,#322043,#322044,#322045,#322046,#322047,#322048,#322049, #322050,#322051,#322052,#322053,#322054,#322055,#322056,#322057,#322058, #322059,#322060,#322061,#322062,#322063,#322064,#322065,#322066,#322067, #322068,#322069,#322070,#322071,#322072,#322073,#322074,#322075,#322076, #322077,#322078,#322079,#322080,#322081,#322082,#322083,#322084,#322085, #322086,#322087,#322088,#322089,#322090,#322091,#322092,#322093,#322094, #322095,#322096,#322097,#322098,#322099,#322100,#322101,#322102,#322103, #322104,#322105,#322106,#322107,#322108,#322109,#322110,#322111,#322112, #322113,#322114,#322115,#322116)); #334157=CLOSED_SHELL('',(#322117,#322118,#322119,#322120,#322121,#322122, #322123,#322124,#322125,#322126,#322127,#322128,#322129,#322130,#322131, #322132,#322133,#322134,#322135,#322136,#322137,#322138,#322139,#322140, #322141,#322142,#322143,#322144,#322145,#322146,#322147,#322148)); #334158=CLOSED_SHELL('',(#322149,#322150,#322151,#322152,#322153,#322154, #322155,#322156,#322157,#322158,#322159,#322160,#322161,#322162,#322163, #322164,#322165,#322166,#322167,#322168,#322169,#322170,#322171,#322172, #322173,#322174,#322175,#322176,#322177,#322178,#322179,#322180,#322181, #322182,#322183,#322184,#322185,#322186,#322187,#322188,#322189,#322190, #322191,#322192,#322193,#322194,#322195,#322196,#322197,#322198,#322199, #322200,#322201,#322202,#322203,#322204,#322205,#322206)); #334159=CLOSED_SHELL('',(#322207,#322208,#322209,#322210,#322211,#322212, #322213,#322214,#322215,#322216,#322217,#322218,#322219,#322220,#322221, #322222,#322223,#322224,#322225,#322226,#322227,#322228,#322229,#322230, #322231,#322232,#322233,#322234,#322235,#322236,#322237,#322238,#322239, #322240,#322241,#322242,#322243,#322244,#322245,#322246,#322247,#322248, #322249,#322250,#322251)); #334160=CLOSED_SHELL('',(#322252,#322253,#322254,#322255,#322256,#322257, #322258,#322259,#322260,#322261,#322262,#322263,#322264,#322265,#322266, #322267,#322268,#322269,#322270,#322271,#322272,#322273,#322274,#322275, #322276,#322277,#322278,#322279,#322280,#322281,#322282,#322283,#322284, #322285,#322286,#322287,#322288,#322289,#322290,#322291,#322292,#322293, #322294,#322295,#322296,#322297,#322298,#322299,#322300,#322301,#322302, #322303,#322304,#322305,#322306,#322307,#322308,#322309,#322310,#322311, #322312,#322313,#322314,#322315,#322316,#322317)); #334161=CLOSED_SHELL('',(#322318,#322319,#322320,#322321,#322322,#322323, #322324,#322325,#322326,#322327,#322328,#322329,#322330,#322331,#322332, #322333,#322334,#322335,#322336,#322337,#322338,#322339,#322340,#322341, #322342,#322343,#322344,#322345,#322346,#322347,#322348,#322349,#322350, #322351,#322352,#322353,#322354,#322355,#322356,#322357,#322358,#322359, #322360,#322361,#322362)); #334162=CLOSED_SHELL('',(#322363,#322364,#322365,#322366,#322367,#322368, #322369,#322370,#322371,#322372,#322373,#322374,#322375,#322376,#322377, #322378,#322379,#322380,#322381,#322382,#322383,#322384,#322385,#322386, #322387,#322388,#322389,#322390,#322391,#322392,#322393,#322394,#322395, #322396,#322397,#322398,#322399,#322400,#322401,#322402,#322403,#322404, #322405,#322406,#322407,#322408,#322409,#322410,#322411,#322412,#322413, #322414,#322415,#322416,#322417,#322418,#322419,#322420,#322421,#322422, #322423,#322424,#322425,#322426,#322427,#322428,#322429,#322430,#322431, #322432,#322433,#322434,#322435,#322436,#322437,#322438,#322439,#322440, #322441,#322442,#322443,#322444,#322445,#322446,#322447,#322448,#322449, #322450,#322451,#322452,#322453,#322454,#322455,#322456,#322457,#322458, #322459,#322460,#322461,#322462,#322463,#322464,#322465,#322466,#322467, #322468,#322469,#322470,#322471,#322472,#322473,#322474,#322475,#322476, #322477,#322478,#322479,#322480)); #334163=CLOSED_SHELL('',(#322481,#322482,#322483,#322484,#322485,#322486, #322487,#322488,#322489,#322490,#322491,#322492,#322493,#322494,#322495, #322496,#322497,#322498,#322499,#322500,#322501,#322502,#322503,#322504)); #334164=CLOSED_SHELL('',(#322505,#322506,#322507,#322508,#322509,#322510, #322511,#322512,#322513,#322514,#322515,#322516,#322517,#322518,#322519, #322520,#322521,#322522,#322523,#322524,#322525,#322526,#322527,#322528, #322529,#322530,#322531,#322532,#322533,#322534,#322535,#322536,#322537, #322538,#322539,#322540,#322541,#322542,#322543,#322544,#322545,#322546, #322547,#322548,#322549,#322550,#322551,#322552,#322553,#322554,#322555, #322556,#322557,#322558,#322559,#322560,#322561,#322562,#322563,#322564, #322565,#322566,#322567,#322568,#322569,#322570,#322571,#322572,#322573, #322574,#322575,#322576,#322577,#322578,#322579,#322580,#322581,#322582, #322583,#322584,#322585,#322586,#322587,#322588,#322589,#322590,#322591, #322592,#322593,#322594,#322595,#322596,#322597,#322598,#322599,#322600, #322601,#322602,#322603,#322604,#322605,#322606,#322607,#322608,#322609, #322610,#322611,#322612,#322613,#322614,#322615,#322616,#322617)); #334165=CLOSED_SHELL('',(#322618,#322619,#322620,#322621,#322622,#322623, #322624,#322625,#322626,#322627,#322628,#322629,#322630,#322631,#322632, #322633,#322634,#322635,#322636,#322637,#322638,#322639,#322640,#322641)); #334166=CLOSED_SHELL('',(#322642,#322643,#322644,#322645,#322646,#322647, #322648,#322649,#322650,#322651,#322652,#322653,#322654,#322655,#322656, #322657,#322658,#322659,#322660,#322661,#322662,#322663,#322664,#322665, #322666,#322667,#322668,#322669,#322670,#322671,#322672,#322673,#322674, #322675,#322676,#322677,#322678,#322679,#322680,#322681,#322682,#322683, #322684,#322685,#322686,#322687,#322688,#322689,#322690,#322691,#322692, #322693,#322694,#322695,#322696,#322697,#322698,#322699,#322700,#322701, #322702,#322703,#322704,#322705,#322706,#322707,#322708,#322709,#322710, #322711,#322712,#322713,#322714,#322715,#322716,#322717,#322718,#322719, #322720,#322721,#322722,#322723,#322724,#322725,#322726,#322727,#322728, #322729,#322730,#322731,#322732,#322733,#322734,#322735,#322736,#322737, #322738,#322739,#322740,#322741,#322742,#322743,#322744,#322745,#322746, #322747,#322748,#322749,#322750,#322751,#322752,#322753,#322754,#322755, #322756,#322757,#322758,#322759,#322760,#322761,#322762,#322763,#322764, #322765,#322766,#322767,#322768,#322769,#322770,#322771,#322772,#322773, #322774,#322775,#322776,#322777,#322778,#322779,#322780,#322781,#322782, #322783,#322784,#322785,#322786,#322787,#322788,#322789,#322790,#322791, #322792,#322793,#322794,#322795,#322796,#322797,#322798,#322799,#322800, #322801,#322802,#322803,#322804,#322805,#322806,#322807,#322808,#322809, #322810,#322811,#322812,#322813,#322814,#322815,#322816,#322817,#322818, #322819,#322820,#322821,#322822,#322823,#322824,#322825,#322826,#322827, #322828,#322829,#322830,#322831,#322832,#322833,#322834,#322835,#322836, #322837,#322838,#322839,#322840,#322841,#322842,#322843,#322844,#322845, #322846,#322847,#322848,#322849,#322850,#322851,#322852,#322853,#322854, #322855,#322856,#322857,#322858,#322859,#322860,#322861,#322862,#322863, #322864,#322865,#322866,#322867,#322868,#322869,#322870,#322871,#322872, #322873,#322874,#322875,#322876,#322877,#322878,#322879,#322880,#322881, #322882,#322883,#322884,#322885,#322886,#322887,#322888,#322889,#322890, #322891,#322892,#322893,#322894,#322895,#322896,#322897,#322898,#322899, #322900,#322901,#322902,#322903,#322904,#322905,#322906,#322907,#322908, #322909,#322910,#322911,#322912,#322913,#322914,#322915,#322916,#322917, #322918,#322919,#322920,#322921,#322922,#322923,#322924,#322925,#322926, #322927,#322928,#322929,#322930,#322931,#322932,#322933,#322934,#322935, #322936,#322937,#322938,#322939,#322940,#322941,#322942,#322943,#322944, #322945,#322946,#322947,#322948,#322949,#322950,#322951,#322952,#322953, #322954,#322955,#322956,#322957,#322958,#322959,#322960,#322961,#322962, #322963,#322964,#322965,#322966,#322967,#322968,#322969,#322970,#322971, #322972,#322973,#322974)); #334167=CLOSED_SHELL('',(#322975,#322976,#322977,#322978,#322979,#322980, #322981,#322982,#322983,#322984,#322985,#322986,#322987,#322988,#322989, #322990,#322991,#322992,#322993,#322994,#322995,#322996)); #334168=CLOSED_SHELL('',(#322997,#322998,#322999,#323000,#323001,#323002, #323003,#323004,#323005,#323006,#323007,#323008,#323009,#323010,#323011, #323012,#323013,#323014,#323015,#323016,#323017,#323018)); #334169=CLOSED_SHELL('',(#323019,#323020,#323021,#323022,#323023,#323024, #323025,#323026,#323027,#323028,#323029,#323030,#323031,#323032,#323033, #323034,#323035,#323036,#323037,#323038,#323039,#323040)); #334170=CLOSED_SHELL('',(#323041,#323042,#323043,#323044,#323045,#323046, #323047,#323048,#323049,#323050,#323051,#323052,#323053,#323054,#323055, #323056,#323057,#323058,#323059,#323060,#323061,#323062,#323063,#323064, #323065)); #334171=CLOSED_SHELL('',(#323066,#323067,#323068,#323069,#323070,#323071, #323072,#323073,#323074,#323075,#323076,#323077,#323078,#323079,#323080, #323081,#323082,#323083,#323084,#323085,#323086,#323087)); #334172=CLOSED_SHELL('',(#323088,#323089,#323090,#323091,#323092,#323093, #323094,#323095,#323096,#323097,#323098,#323099,#323100,#323101,#323102, #323103,#323104,#323105,#323106,#323107,#323108,#323109,#323110)); #334173=CLOSED_SHELL('',(#323111,#323112,#323113,#323114,#323115,#323116, #323117,#323118,#323119,#323120,#323121,#323122,#323123,#323124,#323125, #323126,#323127,#323128,#323129,#323130,#323131,#323132,#323133,#323134, #323135,#323136,#323137)); #334174=CLOSED_SHELL('',(#323138,#323139,#323140,#323141,#323142,#323143, #323144,#323145,#323146,#323147,#323148,#323149,#323150,#323151,#323152, #323153,#323154,#323155,#323156,#323157,#323158,#323159,#323160,#323161, #323162,#323163,#323164,#323165,#323166,#323167,#323168,#323169,#323170, #323171,#323172,#323173,#323174,#323175,#323176,#323177,#323178,#323179, #323180,#323181,#323182,#323183,#323184,#323185,#323186,#323187,#323188, #323189,#323190,#323191,#323192,#323193,#323194,#323195,#323196,#323197, #323198,#323199,#323200,#323201,#323202,#323203,#323204,#323205,#323206, #323207,#323208,#323209,#323210,#323211,#323212,#323213,#323214,#323215, #323216,#323217,#323218,#323219,#323220,#323221,#323222,#323223,#323224, #323225,#323226,#323227,#323228,#323229,#323230,#323231,#323232,#323233, #323234,#323235,#323236,#323237,#323238,#323239,#323240,#323241,#323242, #323243,#323244,#323245,#323246,#323247,#323248,#323249,#323250,#323251, #323252,#323253,#323254,#323255,#323256,#323257,#323258,#323259,#323260, #323261,#323262,#323263,#323264,#323265,#323266,#323267,#323268,#323269, #323270,#323271,#323272,#323273,#323274,#323275)); #334175=CLOSED_SHELL('',(#323276,#323277,#323278,#323279,#323280,#323281)); #334176=CLOSED_SHELL('',(#323282,#323283,#323284,#323285,#323286,#323287)); #334177=CLOSED_SHELL('',(#323288,#323289,#323290,#323291,#323292,#323293)); #334178=CLOSED_SHELL('',(#323294,#323295,#323296,#323297,#323298,#323299, #323300,#323301,#323302,#323303,#323304)); #334179=CLOSED_SHELL('',(#323305,#323306,#323307,#323308,#323309,#323310)); #334180=CLOSED_SHELL('',(#323311,#323312,#323313,#323314,#323315,#323316, #323317)); #334181=CLOSED_SHELL('',(#323318,#323319,#323320,#323321,#323322,#323323)); #334182=CLOSED_SHELL('',(#323324,#323325,#323326,#323327,#323328,#323329, #323330,#323331,#323332,#323333,#323334,#323335,#323336,#323337,#323338, #323339,#323340,#323341,#323342,#323343,#323344,#323345,#323346,#323347, #323348,#323349,#323350,#323351,#323352,#323353,#323354,#323355,#323356, #323357,#323358,#323359,#323360,#323361,#323362,#323363,#323364,#323365, #323366,#323367,#323368,#323369,#323370,#323371,#323372,#323373,#323374, #323375,#323376,#323377,#323378,#323379,#323380,#323381,#323382,#323383, #323384,#323385)); #334183=CLOSED_SHELL('',(#323386,#323387,#323388,#323389,#323390,#323391)); #334184=CLOSED_SHELL('',(#323392,#323393,#323394,#323395,#323396,#323397, #323398,#323399,#323400,#323401,#323402,#323403,#323404,#323405,#323406, #323407,#323408,#323409,#323410,#323411,#323412,#323413,#323414,#323415, #323416,#323417,#323418,#323419,#323420,#323421,#323422,#323423,#323424, #323425,#323426,#323427,#323428,#323429,#323430,#323431,#323432,#323433, #323434,#323435,#323436,#323437,#323438,#323439,#323440,#323441,#323442, #323443,#323444,#323445,#323446,#323447,#323448,#323449,#323450,#323451, #323452,#323453)); #334185=CLOSED_SHELL('',(#323454,#323455,#323456,#323457,#323458,#323459, #323460,#323461,#323462,#323463,#323464,#323465,#323466,#323467,#323468, #323469,#323470,#323471,#323472,#323473,#323474,#323475,#323476,#323477, #323478,#323479,#323480,#323481,#323482,#323483,#323484,#323485,#323486, #323487,#323488,#323489,#323490,#323491,#323492,#323493,#323494,#323495, #323496,#323497,#323498,#323499,#323500,#323501,#323502,#323503,#323504, #323505,#323506,#323507,#323508,#323509,#323510,#323511,#323512,#323513, #323514,#323515,#323516,#323517,#323518,#323519,#323520,#323521,#323522, #323523,#323524,#323525,#323526,#323527,#323528,#323529,#323530,#323531)); #334186=CLOSED_SHELL('',(#323532,#323533,#323534,#323535,#323536,#323537, #323538,#323539,#323540,#323541,#323542,#323543,#323544,#323545,#323546, #323547,#323548,#323549,#323550,#323551,#323552,#323553,#323554,#323555, #323556,#323557,#323558,#323559,#323560,#323561,#323562,#323563,#323564, #323565,#323566,#323567,#323568,#323569,#323570,#323571,#323572,#323573, #323574,#323575,#323576,#323577,#323578,#323579,#323580,#323581,#323582, #323583,#323584,#323585,#323586,#323587,#323588,#323589,#323590,#323591, #323592,#323593)); #334187=CLOSED_SHELL('',(#323594,#323595,#323596,#323597,#323598,#323599)); #334188=CLOSED_SHELL('',(#323600,#323601,#323602,#323603,#323604,#323605, #323606,#323607,#323608,#323609,#323610,#323611,#323612,#323613,#323614, #323615,#323616,#323617,#323618,#323619,#323620,#323621,#323622,#323623, #323624,#323625,#323626,#323627,#323628,#323629,#323630,#323631,#323632, #323633,#323634,#323635,#323636,#323637,#323638,#323639,#323640,#323641, #323642,#323643,#323644,#323645,#323646,#323647,#323648,#323649,#323650, #323651,#323652,#323653,#323654,#323655,#323656,#323657,#323658,#323659, #323660,#323661)); #334189=CLOSED_SHELL('',(#323662,#323663,#323664,#323665,#323666,#323667, #323668,#323669,#323670,#323671,#323672)); #334190=CLOSED_SHELL('',(#323673,#323674,#323675,#323676,#323677,#323678)); #334191=CLOSED_SHELL('',(#323679,#323680,#323681,#323682,#323683,#323684, #323685,#323686,#323687,#323688,#323689,#323690,#323691,#323692,#323693, #323694,#323695,#323696,#323697,#323698,#323699,#323700,#323701,#323702, #323703,#323704,#323705,#323706,#323707,#323708,#323709,#323710,#323711, #323712,#323713,#323714,#323715,#323716,#323717,#323718,#323719,#323720, #323721,#323722,#323723,#323724,#323725,#323726,#323727,#323728,#323729, #323730,#323731,#323732,#323733,#323734,#323735,#323736,#323737,#323738, #323739,#323740,#323741,#323742,#323743,#323744,#323745,#323746,#323747, #323748,#323749,#323750,#323751,#323752,#323753,#323754,#323755,#323756)); #334192=CLOSED_SHELL('',(#323757,#323758,#323759,#323760,#323761,#323762, #323763,#323764,#323765,#323766,#323767)); #334193=CLOSED_SHELL('',(#323768,#323769,#323770,#323771,#323772,#323773)); #334194=CLOSED_SHELL('',(#323774,#323775,#323776,#323777,#323778,#323779)); #334195=CLOSED_SHELL('',(#323780,#323781,#323782,#323783,#323784,#323785)); #334196=CLOSED_SHELL('',(#323786,#323787,#323788,#323789,#323790,#323791, #323792)); #334197=CLOSED_SHELL('',(#323793,#323794,#323795,#323796,#323797,#323798, #323799,#323800,#323801,#323802,#323803)); #334198=CLOSED_SHELL('',(#323804,#323805,#323806,#323807,#323808,#323809)); #334199=CLOSED_SHELL('',(#323810,#323811,#323812,#323813,#323814,#323815)); #334200=CLOSED_SHELL('',(#323816,#323817,#323818,#323819,#323820,#323821)); #334201=CLOSED_SHELL('',(#323822,#323823,#323824,#323825,#323826,#323827)); #334202=CLOSED_SHELL('',(#323828,#323829,#323830,#323831,#323832,#323833)); #334203=CLOSED_SHELL('',(#323834,#323835,#323836,#323837,#323838,#323839)); #334204=CLOSED_SHELL('',(#323840,#323841,#323842,#323843,#323844,#323845)); #334205=CLOSED_SHELL('',(#323846,#323847,#323848,#323849,#323850,#323851)); #334206=CLOSED_SHELL('',(#323852,#323853,#323854,#323855,#323856,#323857)); #334207=CLOSED_SHELL('',(#323858,#323859,#323860,#323861,#323862,#323863)); #334208=CLOSED_SHELL('',(#323864,#323865,#323866,#323867,#323868,#323869)); #334209=CLOSED_SHELL('',(#323870,#323871,#323872,#323873,#323874,#323875)); #334210=CLOSED_SHELL('',(#323876,#323877,#323878,#323879,#323880,#323881)); #334211=CLOSED_SHELL('',(#323882,#323883,#323884,#323885,#323886,#323887)); #334212=CLOSED_SHELL('',(#323888,#323889,#323890,#323891,#323892,#323893)); #334213=CLOSED_SHELL('',(#323894,#323895,#323896,#323897,#323898,#323899)); #334214=CLOSED_SHELL('',(#323900,#323901,#323902,#323903,#323904,#323905, #323906,#323907,#323908,#323909,#323910,#323911)); #334215=CLOSED_SHELL('',(#323912,#323913,#323914,#323915,#323916,#323917)); #334216=CLOSED_SHELL('',(#323918,#323919,#323920,#323921,#323922,#323923)); #334217=CLOSED_SHELL('',(#323924,#323925,#323926,#323927,#323928,#323929)); #334218=CLOSED_SHELL('',(#323930,#323931,#323932,#323933,#323934,#323935)); #334219=CLOSED_SHELL('',(#323936,#323937,#323938,#323939,#323940,#323941)); #334220=CLOSED_SHELL('',(#323942,#323943,#323944,#323945,#323946,#323947)); #334221=CLOSED_SHELL('',(#323948,#323949,#323950,#323951,#323952,#323953)); #334222=CLOSED_SHELL('',(#323954,#323955,#323956,#323957,#323958,#323959)); #334223=CLOSED_SHELL('',(#323960,#323961,#323962,#323963,#323964,#323965)); #334224=CLOSED_SHELL('',(#323966,#323967,#323968,#323969,#323970,#323971)); #334225=CLOSED_SHELL('',(#323972,#323973,#323974,#323975,#323976,#323977)); #334226=CLOSED_SHELL('',(#323978,#323979,#323980,#323981,#323982,#323983)); #334227=CLOSED_SHELL('',(#323984,#323985,#323986,#323987,#323988,#323989)); #334228=CLOSED_SHELL('',(#323990,#323991,#323992,#323993,#323994,#323995)); #334229=CLOSED_SHELL('',(#323996,#323997,#323998,#323999,#324000,#324001)); #334230=CLOSED_SHELL('',(#324002,#324003,#324004,#324005,#324006,#324007)); #334231=CLOSED_SHELL('',(#324008,#324009,#324010,#324011,#324012,#324013)); #334232=CLOSED_SHELL('',(#324014,#324015,#324016,#324017,#324018,#324019)); #334233=CLOSED_SHELL('',(#324020,#324021,#324022,#324023,#324024,#324025)); #334234=CLOSED_SHELL('',(#324026,#324027,#324028,#324029,#324030,#324031)); #334235=CLOSED_SHELL('',(#324032,#324033,#324034,#324035,#324036,#324037)); #334236=CLOSED_SHELL('',(#324038,#324039,#324040,#324041,#324042,#324043)); #334237=CLOSED_SHELL('',(#324044,#324045,#324046,#324047,#324048,#324049)); #334238=CLOSED_SHELL('',(#324050,#324051,#324052,#324053,#324054,#324055, #324056,#324057,#324058,#324059,#324060,#324061)); #334239=CLOSED_SHELL('',(#324062,#324063,#324064,#324065,#324066,#324067)); #334240=CLOSED_SHELL('',(#324068,#324069,#324070,#324071,#324072,#324073)); #334241=CLOSED_SHELL('',(#324074,#324075,#324076,#324077,#324078,#324079, #324080,#324081,#324082,#324083,#324084,#324085)); #334242=CLOSED_SHELL('',(#324086,#324087,#324088,#324089,#324090,#324091)); #334243=CLOSED_SHELL('',(#324092,#324093,#324094,#324095,#324096,#324097)); #334244=CLOSED_SHELL('',(#324098,#324099,#324100,#324101,#324102,#324103)); #334245=CLOSED_SHELL('',(#324104,#324105,#324106,#324107,#324108,#324109)); #334246=CLOSED_SHELL('',(#324110,#324111,#324112,#324113,#324114,#324115)); #334247=CLOSED_SHELL('',(#324116,#324117,#324118,#324119,#324120,#324121)); #334248=CLOSED_SHELL('',(#324122,#324123,#324124,#324125,#324126,#324127)); #334249=CLOSED_SHELL('',(#324128,#324129,#324130,#324131,#324132,#324133)); #334250=CLOSED_SHELL('',(#324134,#324135,#324136,#324137,#324138,#324139)); #334251=CLOSED_SHELL('',(#324140,#324141,#324142,#324143,#324144,#324145)); #334252=CLOSED_SHELL('',(#324146,#324147,#324148,#324149,#324150,#324151)); #334253=CLOSED_SHELL('',(#324152,#324153,#324154,#324155,#324156,#324157)); #334254=CLOSED_SHELL('',(#324158,#324159,#324160,#324161,#324162,#324163)); #334255=CLOSED_SHELL('',(#324164,#324165,#324166,#324167,#324168,#324169)); #334256=CLOSED_SHELL('',(#324170,#324171,#324172,#324173,#324174,#324175, #324176,#324177,#324178,#324179,#324180,#324181,#324182,#324183,#324184, #324185,#324186,#324187,#324188,#324189,#324190,#324191,#324192,#324193, #324194,#324195,#324196,#324197,#324198,#324199,#324200,#324201,#324202, #324203,#324204,#324205,#324206,#324207,#324208,#324209,#324210,#324211, #324212,#324213,#324214,#324215,#324216,#324217,#324218,#324219,#324220, #324221,#324222,#324223,#324224)); #334257=CLOSED_SHELL('',(#324225,#324226,#324227,#324228,#324229,#324230)); #334258=CLOSED_SHELL('',(#324231,#324232,#324233,#324234,#324235,#324236)); #334259=CLOSED_SHELL('',(#324237,#324238,#324239,#324240,#324241,#324242)); #334260=CLOSED_SHELL('',(#324243,#324244,#324245,#324246,#324247,#324248)); #334261=CLOSED_SHELL('',(#324249,#324250,#324251,#324252,#324253,#324254)); #334262=CLOSED_SHELL('',(#324255,#324256,#324257,#324258,#324259,#324260)); #334263=CLOSED_SHELL('',(#324261,#324262,#324263,#324264,#324265,#324266)); #334264=CLOSED_SHELL('',(#324267,#324268,#324269,#324270,#324271,#324272)); #334265=CLOSED_SHELL('',(#324273,#324274,#324275,#324276,#324277,#324278)); #334266=CLOSED_SHELL('',(#324279,#324280,#324281,#324282,#324283,#324284)); #334267=CLOSED_SHELL('',(#324285,#324286,#324287,#324288,#324289,#324290)); #334268=CLOSED_SHELL('',(#324291,#324292,#324293,#324294,#324295,#324296)); #334269=CLOSED_SHELL('',(#324297,#324298,#324299,#324300,#324301,#324302)); #334270=CLOSED_SHELL('',(#324303,#324304,#324305,#324306,#324307,#324308)); #334271=CLOSED_SHELL('',(#324309,#324310,#324311,#324312,#324313,#324314, #324315)); #334272=CLOSED_SHELL('',(#324316,#324317,#324318,#324319,#324320,#324321)); #334273=CLOSED_SHELL('',(#324322,#324323,#324324,#324325,#324326,#324327)); #334274=CLOSED_SHELL('',(#324328,#324329,#324330,#324331,#324332,#324333, #324334)); #334275=CLOSED_SHELL('',(#324335,#324336,#324337,#324338,#324339,#324340)); #334276=CLOSED_SHELL('',(#324341,#324342,#324343,#324344,#324345,#324346)); #334277=CLOSED_SHELL('',(#324347,#324348,#324349,#324350,#324351,#324352)); #334278=CLOSED_SHELL('',(#324353,#324354,#324355,#324356,#324357,#324358)); #334279=CLOSED_SHELL('',(#324359,#324360,#324361,#324362,#324363,#324364)); #334280=CLOSED_SHELL('',(#324365,#324366,#324367,#324368,#324369,#324370)); #334281=CLOSED_SHELL('',(#324371,#324372,#324373,#324374,#324375,#324376)); #334282=CLOSED_SHELL('',(#324377,#324378,#324379,#324380,#324381,#324382)); #334283=CLOSED_SHELL('',(#324383,#324384,#324385,#324386,#324387,#324388)); #334284=CLOSED_SHELL('',(#324389,#324390,#324391,#324392,#324393,#324394)); #334285=CLOSED_SHELL('',(#324395,#324396,#324397,#324398,#324399,#324400)); #334286=CLOSED_SHELL('',(#324401,#324402,#324403,#324404,#324405,#324406, #324407,#324408,#324409,#324410,#324411,#324412,#324413,#324414,#324415, #324416,#324417,#324418,#324419,#324420,#324421)); #334287=CLOSED_SHELL('',(#324422,#324423,#324424,#324425,#324426,#324427)); #334288=CLOSED_SHELL('',(#324428,#324429,#324430,#324431,#324432,#324433, #324434)); #334289=CLOSED_SHELL('',(#324435,#324436,#324437,#324438,#324439,#324440)); #334290=CLOSED_SHELL('',(#324441,#324442,#324443,#324444,#324445,#324446)); #334291=CLOSED_SHELL('',(#324447,#324448,#324449,#324450,#324451,#324452)); #334292=CLOSED_SHELL('',(#324453,#324454,#324455,#324456,#324457,#324458, #324459)); #334293=CLOSED_SHELL('',(#324460,#324461,#324462,#324463,#324464,#324465, #324466)); #334294=CLOSED_SHELL('',(#324467,#324468,#324469,#324470,#324471,#324472)); #334295=CLOSED_SHELL('',(#324473,#324474,#324475,#324476,#324477,#324478)); #334296=CLOSED_SHELL('',(#324479,#324480,#324481,#324482,#324483,#324484)); #334297=CLOSED_SHELL('',(#324485,#324486,#324487,#324488,#324489,#324490, #324491,#324492,#324493,#324494,#324495,#324496,#324497,#324498,#324499, #324500)); #334298=CLOSED_SHELL('',(#324501,#324502,#324503,#324504,#324505,#324506)); #334299=CLOSED_SHELL('',(#324507,#324508,#324509,#324510,#324511,#324512)); #334300=CLOSED_SHELL('',(#324513,#324514,#324515,#324516,#324517,#324518)); #334301=CLOSED_SHELL('',(#324519,#324520,#324521,#324522,#324523,#324524)); #334302=CLOSED_SHELL('',(#324525,#324526,#324527,#324528,#324529,#324530)); #334303=CLOSED_SHELL('',(#324531,#324532,#324533,#324534,#324535,#324536)); #334304=CLOSED_SHELL('',(#324537,#324538,#324539,#324540,#324541,#324542)); #334305=CLOSED_SHELL('',(#324543,#324544,#324545,#324546,#324547,#324548)); #334306=CLOSED_SHELL('',(#324549,#324550,#324551,#324552,#324553,#324554)); #334307=CLOSED_SHELL('',(#324555,#324556,#324557,#324558,#324559,#324560)); #334308=CLOSED_SHELL('',(#324561,#324562,#324563,#324564,#324565,#324566)); #334309=CLOSED_SHELL('',(#324567,#324568,#324569,#324570,#324571,#324572)); #334310=CLOSED_SHELL('',(#324573,#324574,#324575,#324576,#324577,#324578)); #334311=CLOSED_SHELL('',(#324579,#324580,#324581,#324582,#324583,#324584)); #334312=CLOSED_SHELL('',(#324585,#324586,#324587,#324588,#324589,#324590)); #334313=CLOSED_SHELL('',(#324591,#324592,#324593,#324594,#324595,#324596)); #334314=CLOSED_SHELL('',(#324597,#324598,#324599,#324600,#324601,#324602)); #334315=CLOSED_SHELL('',(#324603,#324604,#324605,#324606,#324607,#324608)); #334316=CLOSED_SHELL('',(#324609,#324610,#324611,#324612,#324613,#324614)); #334317=CLOSED_SHELL('',(#324615,#324616,#324617,#324618,#324619,#324620)); #334318=CLOSED_SHELL('',(#324621,#324622,#324623,#324624,#324625,#324626)); #334319=CLOSED_SHELL('',(#324627,#324628,#324629,#324630,#324631,#324632)); #334320=CLOSED_SHELL('',(#324633,#324634,#324635,#324636,#324637,#324638)); #334321=CLOSED_SHELL('',(#324639,#324640,#324641,#324642,#324643,#324644)); #334322=CLOSED_SHELL('',(#324645,#324646,#324647,#324648,#324649,#324650)); #334323=CLOSED_SHELL('',(#324651,#324652,#324653,#324654,#324655,#324656, #324657,#324658,#324659,#324660,#324661,#324662)); #334324=CLOSED_SHELL('',(#324663,#324664,#324665,#324666,#324667,#324668)); #334325=CLOSED_SHELL('',(#324669,#324670,#324671,#324672,#324673,#324674)); #334326=CLOSED_SHELL('',(#324675,#324676,#324677,#324678,#324679,#324680)); #334327=CLOSED_SHELL('',(#324681,#324682,#324683,#324684,#324685,#324686)); #334328=CLOSED_SHELL('',(#324687,#324688,#324689,#324690,#324691,#324692)); #334329=CLOSED_SHELL('',(#324693,#324694,#324695,#324696,#324697,#324698)); #334330=CLOSED_SHELL('',(#324699,#324700,#324701,#324702,#324703,#324704)); #334331=CLOSED_SHELL('',(#324705,#324706,#324707,#324708,#324709,#324710)); #334332=CLOSED_SHELL('',(#324711,#324712,#324713,#324714,#324715,#324716)); #334333=CLOSED_SHELL('',(#324717,#324718,#324719,#324720,#324721,#324722)); #334334=CLOSED_SHELL('',(#324723,#324724,#324725,#324726,#324727,#324728)); #334335=CLOSED_SHELL('',(#324729,#324730,#324731,#324732,#324733,#324734, #324735,#324736)); #334336=CLOSED_SHELL('',(#324737,#324738,#324739,#324740,#324741,#324742)); #334337=CLOSED_SHELL('',(#324743,#324744,#324745,#324746,#324747,#324748, #324749,#324750)); #334338=CLOSED_SHELL('',(#324751,#324752,#324753,#324754,#324755,#324756)); #334339=CLOSED_SHELL('',(#324757,#324758,#324759,#324760,#324761,#324762, #324763,#324764)); #334340=CLOSED_SHELL('',(#324765,#324766,#324767,#324768,#324769,#324770)); #334341=CLOSED_SHELL('',(#324771,#324772,#324773,#324774,#324775,#324776, #324777,#324778)); #334342=CLOSED_SHELL('',(#324779,#324780,#324781,#324782,#324783,#324784)); #334343=CLOSED_SHELL('',(#324785,#324786,#324787,#324788,#324789,#324790, #324791,#324792,#324793,#324794,#324795,#324796,#324797,#324798,#324799, #324800,#324801,#324802,#324803,#324804,#324805,#324806,#324807,#324808, #324809,#324810,#324811,#324812,#324813,#324814,#324815,#324816,#324817, #324818,#324819,#324820,#324821,#324822,#324823,#324824,#324825,#324826, #324827,#324828,#324829,#324830,#324831,#324832,#324833,#324834,#324835, #324836,#324837,#324838,#324839,#324840,#324841,#324842,#324843,#324844)); #334344=CLOSED_SHELL('',(#324845,#324846,#324847,#324848,#324849,#324850, #324851,#324852,#324853,#324854,#324855,#324856)); #334345=CLOSED_SHELL('',(#324857,#324858,#324859,#324860,#324861,#324862, #324863,#324864,#324865,#324866,#324867,#324868,#324869)); #334346=CLOSED_SHELL('',(#324870,#324871,#324872,#324873,#324874,#324875, #324876,#324877,#324878,#324879,#324880,#324881,#324882,#324883,#324884, #324885)); #334347=CLOSED_SHELL('',(#324886,#324887,#324888,#324889,#324890,#324891, #324892,#324893,#324894,#324895,#324896,#324897,#324898,#324899,#324900, #324901,#324902,#324903,#324904,#324905,#324906,#324907,#324908,#324909, #324910,#324911,#324912,#324913,#324914,#324915,#324916,#324917,#324918, #324919,#324920,#324921,#324922,#324923,#324924,#324925,#324926,#324927, #324928,#324929,#324930,#324931,#324932,#324933)); #334348=CLOSED_SHELL('',(#324934,#324935,#324936,#324937,#324938,#324939, #324940,#324941,#324942,#324943,#324944,#324945,#324946,#324947,#324948)); #334349=CLOSED_SHELL('',(#324949,#324950,#324951,#324952,#324953,#324954, #324955,#324956,#324957,#324958,#324959,#324960,#324961,#324962,#324963, #324964,#324965,#324966,#324967,#324968,#324969,#324970,#324971,#324972, #324973,#324974,#324975)); #334350=CLOSED_SHELL('',(#324976,#324977,#324978,#324979,#324980,#324981, #324982,#324983,#324984,#324985,#324986,#324987,#324988,#324989,#324990, #324991,#324992,#324993,#324994,#324995,#324996,#324997,#324998,#324999, #325000,#325001,#325002,#325003,#325004)); #334351=CLOSED_SHELL('',(#325005,#325006,#325007,#325008,#325009,#325010, #325011,#325012,#325013,#325014,#325015,#325016,#325017,#325018,#325019, #325020,#325021,#325022,#325023,#325024,#325025,#325026,#325027,#325028, #325029,#325030,#325031,#325032,#325033,#325034,#325035,#325036,#325037, #325038,#325039,#325040,#325041,#325042,#325043,#325044,#325045,#325046, #325047,#325048,#325049,#325050,#325051,#325052,#325053,#325054,#325055, #325056,#325057,#325058,#325059,#325060,#325061,#325062,#325063,#325064, #325065,#325066,#325067,#325068,#325069)); #334352=CLOSED_SHELL('',(#325070,#325071,#325072,#325073,#325074,#325075, #325076,#325077,#325078,#325079,#325080,#325081)); #334353=CLOSED_SHELL('',(#325082,#325083,#325084,#325085,#325086,#325087, #325088,#325089,#325090,#325091,#325092,#325093)); #334354=CLOSED_SHELL('',(#325094,#325095,#325096,#325097,#325098,#325099, #325100,#325101,#325102,#325103,#325104,#325105)); #334355=CLOSED_SHELL('',(#325106,#325107,#325108,#325109,#325110,#325111, #325112,#325113,#325114,#325115,#325116,#325117,#325118,#325119,#325120, #325121,#325122)); #334356=CLOSED_SHELL('',(#325123,#325124,#325125,#325126,#325127,#325128, #325129)); #334357=CLOSED_SHELL('',(#325130,#325131,#325132,#325133,#325134,#325135, #325136)); #334358=CLOSED_SHELL('',(#325137,#325138,#325139,#325140,#325141,#325142, #325143)); #334359=CLOSED_SHELL('',(#325144,#325145,#325146,#325147,#325148,#325149, #325150,#325151,#325152,#325153,#325154,#325155,#325156)); #334360=CLOSED_SHELL('',(#325157,#325158,#325159,#325160,#325161,#325162, #325163,#325164,#325165,#325166,#325167)); #334361=CLOSED_SHELL('',(#325168,#325169,#325170,#325171,#325172,#325173, #325174,#325175,#325176,#325177,#325178,#325179,#325180)); #334362=CLOSED_SHELL('',(#325181,#325182,#325183,#325184,#325185,#325186, #325187,#325188,#325189,#325190,#325191)); #334363=CLOSED_SHELL('',(#325192,#325193,#325194,#325195,#325196,#325197, #325198,#325199,#325200,#325201,#325202,#325203,#325204)); #334364=CLOSED_SHELL('',(#325205,#325206,#325207,#325208,#325209,#325210, #325211,#325212,#325213,#325214,#325215,#325216,#325217)); #334365=CLOSED_SHELL('',(#325218,#325219,#325220,#325221,#325222,#325223, #325224,#325225,#325226,#325227,#325228,#325229,#325230,#325231,#325232, #325233,#325234,#325235,#325236,#325237)); #334366=CLOSED_SHELL('',(#325238,#325239,#325240,#325241,#325242,#325243, #325244,#325245,#325246,#325247,#325248,#325249,#325250,#325251,#325252, #325253,#325254,#325255)); #334367=CLOSED_SHELL('',(#325256,#325257,#325258,#325259,#325260,#325261)); #334368=CLOSED_SHELL('',(#325262,#325263,#325264,#325265,#325266,#325267, #325268,#325269,#325270,#325271,#325272,#325273,#325274,#325275,#325276, #325277,#325278,#325279,#325280,#325281,#325282,#325283,#325284,#325285)); #334369=CLOSED_SHELL('',(#325286,#325287,#325288,#325289,#325290,#325291, #325292,#325293,#325294,#325295,#325296,#325297,#325298,#325299,#325300, #325301,#325302,#325303)); #334370=CLOSED_SHELL('',(#325304,#325305,#325306,#325307,#325308,#325309, #325310,#325311,#325312,#325313,#325314,#325315,#325316,#325317,#325318, #325319)); #334371=CLOSED_SHELL('',(#325320,#325321,#325322,#325323,#325324,#325325, #325326,#325327,#325328,#325329,#325330,#325331,#325332,#325333,#325334, #325335)); #334372=CLOSED_SHELL('',(#325336,#325337,#325338,#325339,#325340,#325341, #325342,#325343,#325344,#325345,#325346,#325347,#325348,#325349,#325350, #325351,#325352,#325353,#325354,#325355,#325356,#325357,#325358,#325359, #325360,#325361,#325362,#325363,#325364,#325365,#325366,#325367,#325368, #325369,#325370,#325371,#325372,#325373,#325374,#325375,#325376)); #334373=CLOSED_SHELL('',(#325377,#325378,#325379,#325380,#325381,#325382, #325383,#325384,#325385,#325386,#325387)); #334374=CLOSED_SHELL('',(#325388,#325389,#325390,#325391,#325392,#325393, #325394)); #334375=CLOSED_SHELL('',(#325395,#325396,#325397,#325398,#325399,#325400, #325401,#325402,#325403,#325404,#325405,#325406,#325407,#325408,#325409, #325410,#325411,#325412,#325413,#325414,#325415,#325416,#325417,#325418, #325419,#325420,#325421)); #334376=CLOSED_SHELL('',(#325422,#325423,#325424,#325425,#325426,#325427, #325428,#325429,#325430,#325431,#325432,#325433)); #334377=CLOSED_SHELL('',(#325434,#325435,#325436,#325437,#325438,#325439, #325440)); #334378=CLOSED_SHELL('',(#325441,#325442,#325443,#325444,#325445,#325446)); #334379=CLOSED_SHELL('',(#325447,#325448,#325449,#325450,#325451,#325452, #325453,#325454,#325455,#325456,#325457,#325458,#325459,#325460,#325461, #325462,#325463,#325464,#325465,#325466,#325467,#325468,#325469,#325470, #325471,#325472,#325473,#325474,#325475,#325476,#325477,#325478,#325479, #325480,#325481,#325482,#325483,#325484,#325485,#325486,#325487,#325488, #325489,#325490,#325491,#325492,#325493,#325494,#325495,#325496,#325497, #325498,#325499,#325500,#325501,#325502,#325503,#325504,#325505,#325506, #325507,#325508,#325509,#325510,#325511,#325512,#325513,#325514,#325515, #325516,#325517,#325518,#325519,#325520,#325521,#325522,#325523,#325524, #325525,#325526,#325527,#325528,#325529,#325530,#325531,#325532,#325533, #325534,#325535,#325536,#325537,#325538,#325539,#325540,#325541,#325542, #325543,#325544,#325545,#325546,#325547,#325548,#325549,#325550,#325551, #325552,#325553,#325554,#325555,#325556,#325557,#325558)); #334380=CLOSED_SHELL('',(#325559,#325560,#325561,#325562,#325563,#325564, #325565,#325566,#325567,#325568,#325569,#325570,#325571,#325572,#325573, #325574,#325575,#325576,#325577,#325578,#325579,#325580,#325581,#325582, #325583,#325584,#325585,#325586,#325587,#325588,#325589,#325590,#325591, #325592,#325593,#325594,#325595,#325596,#325597,#325598,#325599,#325600, #325601,#325602,#325603,#325604,#325605,#325606,#325607,#325608,#325609, #325610,#325611,#325612,#325613,#325614,#325615,#325616,#325617,#325618, #325619,#325620,#325621,#325622,#325623,#325624,#325625,#325626,#325627, #325628,#325629,#325630,#325631,#325632,#325633,#325634,#325635,#325636, #325637,#325638,#325639,#325640,#325641,#325642,#325643,#325644,#325645, #325646,#325647,#325648,#325649,#325650,#325651,#325652,#325653,#325654, #325655,#325656,#325657,#325658,#325659,#325660,#325661,#325662,#325663, #325664,#325665,#325666,#325667,#325668,#325669,#325670,#325671,#325672, #325673,#325674,#325675,#325676,#325677,#325678,#325679,#325680,#325681, #325682,#325683,#325684,#325685,#325686,#325687,#325688,#325689,#325690, #325691,#325692,#325693,#325694,#325695,#325696,#325697,#325698,#325699, #325700,#325701,#325702,#325703,#325704,#325705,#325706,#325707,#325708, #325709,#325710,#325711,#325712,#325713,#325714,#325715,#325716,#325717, #325718,#325719,#325720,#325721,#325722,#325723,#325724,#325725,#325726, #325727,#325728,#325729,#325730,#325731,#325732,#325733,#325734,#325735, #325736,#325737,#325738,#325739,#325740,#325741,#325742,#325743,#325744, #325745,#325746,#325747,#325748,#325749,#325750,#325751,#325752,#325753, #325754,#325755,#325756,#325757,#325758,#325759,#325760,#325761,#325762, #325763,#325764,#325765,#325766,#325767,#325768,#325769,#325770,#325771, #325772,#325773,#325774,#325775,#325776,#325777,#325778,#325779,#325780, #325781,#325782,#325783,#325784,#325785,#325786,#325787,#325788,#325789, #325790,#325791,#325792,#325793,#325794,#325795,#325796,#325797,#325798, #325799,#325800,#325801,#325802,#325803,#325804,#325805,#325806,#325807, #325808,#325809,#325810,#325811,#325812,#325813,#325814,#325815,#325816, #325817,#325818,#325819,#325820)); #334381=CLOSED_SHELL('',(#325821,#325822,#325823,#325824,#325825,#325826, #325827,#325828,#325829,#325830,#325831,#325832,#325833,#325834,#325835, #325836,#325837,#325838,#325839,#325840,#325841,#325842,#325843,#325844)); #334382=CLOSED_SHELL('',(#325845,#325846,#325847,#325848,#325849,#325850, #325851,#325852,#325853,#325854,#325855,#325856,#325857,#325858,#325859, #325860,#325861,#325862,#325863,#325864,#325865,#325866,#325867)); #334383=CLOSED_SHELL('',(#325868,#325869,#325870,#325871,#325872,#325873, #325874,#325875,#325876,#325877,#325878,#325879,#325880,#325881,#325882, #325883,#325884,#325885,#325886,#325887,#325888,#325889)); #334384=CLOSED_SHELL('',(#325890,#325891,#325892,#325893,#325894,#325895, #325896,#325897,#325898,#325899,#325900,#325901,#325902,#325903,#325904, #325905,#325906,#325907,#325908,#325909,#325910,#325911,#325912,#325913, #325914,#325915,#325916,#325917,#325918,#325919,#325920,#325921,#325922, #325923,#325924,#325925,#325926,#325927,#325928,#325929,#325930,#325931, #325932,#325933,#325934,#325935,#325936,#325937,#325938,#325939,#325940, #325941,#325942,#325943,#325944,#325945,#325946,#325947,#325948,#325949, #325950,#325951,#325952,#325953,#325954,#325955,#325956,#325957,#325958, #325959,#325960,#325961,#325962,#325963,#325964,#325965,#325966,#325967)); #334385=CLOSED_SHELL('',(#325968,#325969,#325970,#325971,#325972,#325973, #325974,#325975,#325976,#325977,#325978,#325979,#325980,#325981,#325982, #325983,#325984,#325985,#325986,#325987,#325988,#325989,#325990,#325991, #325992,#325993,#325994,#325995,#325996,#325997,#325998,#325999,#326000, #326001,#326002,#326003)); #334386=CLOSED_SHELL('',(#326004,#326005,#326006,#326007,#326008,#326009, #326010,#326011,#326012,#326013,#326014)); #334387=CLOSED_SHELL('',(#326015,#326016,#326017,#326018,#326019,#326020, #326021,#326022,#326023,#326024,#326025,#326026,#326027,#326028,#326029, #326030,#326031,#326032,#326033,#326034,#326035,#326036,#326037,#326038, #326039,#326040,#326041,#326042,#326043,#326044,#326045,#326046,#326047, #326048,#326049,#326050,#326051,#326052,#326053,#326054,#326055,#326056, #326057,#326058,#326059,#326060,#326061,#326062,#326063,#326064,#326065, #326066,#326067,#326068,#326069,#326070,#326071,#326072,#326073,#326074, #326075,#326076,#326077,#326078,#326079,#326080,#326081,#326082,#326083, #326084,#326085,#326086,#326087,#326088,#326089,#326090,#326091,#326092, #326093,#326094,#326095,#326096,#326097,#326098,#326099,#326100,#326101, #326102,#326103,#326104,#326105,#326106,#326107,#326108,#326109,#326110, #326111,#326112,#326113,#326114,#326115,#326116,#326117,#326118,#326119, #326120,#326121,#326122,#326123,#326124,#326125,#326126,#326127,#326128, #326129,#326130,#326131,#326132,#326133,#326134,#326135,#326136,#326137, #326138,#326139,#326140,#326141,#326142,#326143,#326144,#326145,#326146, #326147,#326148,#326149,#326150,#326151,#326152,#326153,#326154,#326155, #326156,#326157,#326158,#326159,#326160,#326161,#326162,#326163,#326164, #326165,#326166,#326167,#326168,#326169,#326170,#326171,#326172,#326173, #326174,#326175,#326176,#326177,#326178,#326179,#326180,#326181,#326182, #326183,#326184,#326185,#326186,#326187,#326188,#326189,#326190,#326191, #326192,#326193,#326194,#326195,#326196,#326197,#326198,#326199,#326200, #326201,#326202,#326203,#326204,#326205,#326206,#326207,#326208,#326209, #326210,#326211,#326212,#326213,#326214,#326215,#326216,#326217,#326218, #326219,#326220,#326221,#326222,#326223,#326224,#326225,#326226,#326227, #326228,#326229,#326230,#326231,#326232,#326233,#326234,#326235,#326236, #326237,#326238,#326239,#326240,#326241,#326242,#326243,#326244,#326245, #326246,#326247,#326248,#326249,#326250,#326251,#326252,#326253,#326254, #326255,#326256,#326257,#326258,#326259,#326260,#326261,#326262,#326263, #326264,#326265,#326266,#326267,#326268,#326269,#326270,#326271,#326272, #326273,#326274,#326275,#326276,#326277,#326278,#326279,#326280,#326281, #326282,#326283,#326284,#326285,#326286,#326287,#326288,#326289,#326290, #326291,#326292,#326293,#326294,#326295,#326296,#326297,#326298,#326299, #326300,#326301,#326302,#326303,#326304,#326305,#326306,#326307,#326308, #326309,#326310,#326311,#326312,#326313,#326314,#326315,#326316,#326317, #326318,#326319,#326320,#326321,#326322,#326323,#326324,#326325,#326326, #326327,#326328,#326329,#326330,#326331,#326332,#326333,#326334,#326335, #326336,#326337,#326338,#326339,#326340,#326341,#326342,#326343,#326344, #326345,#326346,#326347,#326348,#326349,#326350,#326351,#326352,#326353, #326354,#326355,#326356,#326357,#326358,#326359,#326360,#326361,#326362, #326363,#326364,#326365,#326366,#326367,#326368,#326369,#326370,#326371, #326372,#326373,#326374,#326375,#326376,#326377,#326378,#326379,#326380, #326381,#326382,#326383,#326384,#326385,#326386,#326387,#326388,#326389, #326390,#326391,#326392,#326393,#326394,#326395,#326396,#326397,#326398, #326399,#326400,#326401,#326402,#326403,#326404,#326405,#326406,#326407, #326408,#326409,#326410,#326411,#326412,#326413,#326414,#326415,#326416, #326417,#326418,#326419,#326420,#326421,#326422,#326423,#326424,#326425, #326426,#326427,#326428,#326429,#326430,#326431,#326432,#326433,#326434, #326435,#326436,#326437,#326438,#326439,#326440,#326441,#326442,#326443, #326444,#326445,#326446,#326447,#326448,#326449,#326450,#326451,#326452, #326453,#326454,#326455,#326456,#326457,#326458,#326459,#326460,#326461, #326462,#326463,#326464,#326465,#326466,#326467,#326468,#326469,#326470, #326471,#326472,#326473,#326474,#326475,#326476,#326477,#326478,#326479, #326480,#326481,#326482,#326483,#326484,#326485,#326486,#326487,#326488, #326489,#326490,#326491,#326492,#326493,#326494,#326495,#326496,#326497, #326498,#326499,#326500,#326501,#326502,#326503,#326504,#326505,#326506, #326507,#326508,#326509,#326510,#326511,#326512,#326513,#326514,#326515, #326516,#326517,#326518,#326519,#326520,#326521,#326522,#326523,#326524, #326525,#326526,#326527,#326528,#326529,#326530,#326531,#326532,#326533, #326534,#326535,#326536,#326537,#326538,#326539,#326540,#326541,#326542, #326543,#326544,#326545,#326546,#326547,#326548,#326549,#326550,#326551, #326552,#326553,#326554,#326555,#326556,#326557,#326558,#326559,#326560, #326561,#326562,#326563,#326564,#326565,#326566,#326567,#326568,#326569, #326570,#326571,#326572,#326573,#326574,#326575,#326576,#326577,#326578, #326579,#326580,#326581,#326582,#326583,#326584,#326585,#326586,#326587, #326588,#326589,#326590,#326591,#326592,#326593,#326594,#326595,#326596, #326597,#326598,#326599,#326600,#326601,#326602,#326603,#326604,#326605, #326606,#326607,#326608,#326609,#326610,#326611,#326612,#326613,#326614, #326615,#326616,#326617,#326618,#326619,#326620,#326621,#326622,#326623, #326624,#326625,#326626,#326627,#326628,#326629,#326630,#326631,#326632, #326633,#326634,#326635,#326636,#326637,#326638,#326639,#326640,#326641, #326642,#326643,#326644,#326645,#326646,#326647,#326648,#326649,#326650, #326651,#326652,#326653,#326654,#326655,#326656,#326657,#326658,#326659, #326660,#326661,#326662,#326663,#326664,#326665,#326666,#326667,#326668, #326669,#326670,#326671,#326672,#326673,#326674,#326675,#326676,#326677, #326678,#326679,#326680,#326681,#326682,#326683,#326684,#326685,#326686, #326687,#326688,#326689,#326690,#326691,#326692,#326693,#326694,#326695, #326696,#326697,#326698,#326699,#326700,#326701,#326702,#326703,#326704, #326705,#326706,#326707,#326708,#326709,#326710,#326711,#326712,#326713, #326714,#326715,#326716,#326717,#326718,#326719,#326720,#326721,#326722, #326723,#326724,#326725,#326726,#326727,#326728,#326729,#326730,#326731, #326732,#326733,#326734,#326735,#326736,#326737,#326738,#326739,#326740, #326741,#326742,#326743,#326744,#326745,#326746,#326747,#326748,#326749, #326750,#326751,#326752,#326753,#326754,#326755,#326756,#326757,#326758, #326759,#326760,#326761,#326762,#326763,#326764,#326765,#326766,#326767, #326768,#326769,#326770,#326771,#326772,#326773,#326774,#326775,#326776, #326777,#326778,#326779,#326780,#326781,#326782,#326783,#326784,#326785, #326786,#326787,#326788,#326789,#326790,#326791,#326792,#326793,#326794, #326795,#326796,#326797,#326798,#326799,#326800,#326801,#326802,#326803, #326804,#326805,#326806,#326807,#326808,#326809,#326810,#326811,#326812, #326813,#326814,#326815,#326816,#326817,#326818,#326819,#326820,#326821, #326822,#326823,#326824,#326825,#326826,#326827,#326828,#326829,#326830, #326831,#326832,#326833,#326834,#326835,#326836,#326837,#326838,#326839, #326840,#326841,#326842,#326843,#326844,#326845,#326846,#326847,#326848, #326849,#326850,#326851,#326852,#326853,#326854,#326855,#326856,#326857, #326858,#326859,#326860,#326861,#326862,#326863,#326864,#326865,#326866, #326867,#326868,#326869,#326870,#326871,#326872,#326873,#326874,#326875, #326876,#326877,#326878,#326879,#326880,#326881,#326882,#326883,#326884, #326885,#326886,#326887,#326888,#326889,#326890,#326891,#326892,#326893, #326894,#326895,#326896,#326897,#326898,#326899,#326900,#326901,#326902, #326903,#326904,#326905,#326906,#326907,#326908,#326909,#326910,#326911, #326912,#326913,#326914,#326915,#326916,#326917,#326918,#326919,#326920, #326921,#326922,#326923,#326924,#326925,#326926,#326927,#326928,#326929, #326930,#326931,#326932,#326933,#326934,#326935,#326936,#326937,#326938, #326939,#326940,#326941,#326942,#326943,#326944,#326945,#326946,#326947, #326948,#326949,#326950,#326951,#326952,#326953,#326954,#326955,#326956, #326957,#326958,#326959,#326960,#326961,#326962,#326963,#326964,#326965, #326966,#326967,#326968,#326969,#326970,#326971,#326972,#326973,#326974, #326975,#326976,#326977,#326978,#326979,#326980,#326981,#326982,#326983, #326984,#326985,#326986,#326987,#326988,#326989,#326990,#326991,#326992, #326993,#326994,#326995,#326996,#326997,#326998,#326999,#327000,#327001, #327002,#327003,#327004,#327005,#327006,#327007,#327008,#327009,#327010, #327011,#327012,#327013,#327014,#327015,#327016,#327017,#327018,#327019, #327020,#327021,#327022,#327023,#327024,#327025,#327026,#327027,#327028, #327029,#327030,#327031,#327032,#327033,#327034,#327035,#327036,#327037, #327038,#327039,#327040,#327041,#327042,#327043,#327044,#327045,#327046, #327047,#327048,#327049,#327050,#327051,#327052,#327053,#327054,#327055, #327056,#327057,#327058,#327059,#327060,#327061,#327062,#327063,#327064, #327065,#327066,#327067,#327068,#327069,#327070,#327071,#327072,#327073, #327074,#327075,#327076,#327077,#327078,#327079,#327080,#327081,#327082, #327083,#327084,#327085,#327086,#327087,#327088,#327089,#327090,#327091, #327092,#327093,#327094,#327095,#327096,#327097,#327098,#327099,#327100, #327101,#327102,#327103,#327104,#327105,#327106,#327107,#327108,#327109, #327110,#327111,#327112,#327113,#327114,#327115,#327116,#327117,#327118, #327119,#327120,#327121,#327122,#327123,#327124,#327125,#327126,#327127, #327128,#327129,#327130,#327131,#327132,#327133,#327134,#327135,#327136, #327137,#327138,#327139,#327140,#327141,#327142,#327143,#327144,#327145, #327146,#327147,#327148,#327149,#327150,#327151,#327152,#327153,#327154, #327155,#327156,#327157,#327158,#327159,#327160,#327161,#327162,#327163, #327164,#327165,#327166,#327167,#327168,#327169,#327170,#327171,#327172, #327173,#327174,#327175,#327176,#327177,#327178,#327179,#327180,#327181, #327182,#327183,#327184,#327185,#327186,#327187,#327188,#327189,#327190, #327191,#327192,#327193,#327194,#327195,#327196,#327197,#327198,#327199, #327200,#327201,#327202,#327203,#327204,#327205,#327206,#327207,#327208, #327209,#327210,#327211,#327212,#327213,#327214,#327215,#327216,#327217, #327218,#327219,#327220,#327221,#327222,#327223,#327224,#327225,#327226, #327227,#327228,#327229,#327230,#327231,#327232,#327233,#327234,#327235, #327236,#327237,#327238,#327239,#327240,#327241,#327242,#327243,#327244, #327245,#327246,#327247,#327248,#327249,#327250,#327251,#327252,#327253, #327254,#327255,#327256,#327257,#327258,#327259,#327260,#327261,#327262, #327263,#327264,#327265,#327266,#327267,#327268,#327269,#327270,#327271, #327272,#327273,#327274,#327275,#327276,#327277,#327278,#327279,#327280, #327281,#327282,#327283,#327284,#327285,#327286,#327287,#327288,#327289, #327290,#327291,#327292,#327293,#327294,#327295,#327296,#327297,#327298, #327299,#327300,#327301,#327302,#327303,#327304,#327305,#327306,#327307, #327308,#327309,#327310,#327311,#327312,#327313,#327314,#327315,#327316, #327317,#327318,#327319,#327320,#327321,#327322,#327323,#327324,#327325, #327326,#327327,#327328,#327329,#327330,#327331,#327332,#327333,#327334, #327335,#327336,#327337,#327338,#327339,#327340,#327341,#327342,#327343, #327344,#327345,#327346,#327347,#327348,#327349,#327350,#327351,#327352, #327353,#327354,#327355,#327356,#327357,#327358,#327359,#327360,#327361, #327362,#327363,#327364,#327365,#327366,#327367,#327368,#327369,#327370, #327371,#327372,#327373,#327374,#327375,#327376,#327377,#327378,#327379, #327380,#327381,#327382,#327383,#327384,#327385,#327386,#327387,#327388, #327389,#327390,#327391,#327392,#327393,#327394,#327395,#327396,#327397, #327398,#327399,#327400,#327401,#327402,#327403,#327404,#327405,#327406, #327407,#327408,#327409,#327410,#327411,#327412,#327413,#327414,#327415, #327416,#327417,#327418,#327419,#327420,#327421,#327422,#327423,#327424, #327425,#327426,#327427,#327428,#327429,#327430,#327431,#327432,#327433, #327434,#327435,#327436,#327437,#327438,#327439,#327440,#327441,#327442, #327443,#327444,#327445,#327446,#327447,#327448,#327449,#327450,#327451, #327452,#327453,#327454,#327455,#327456,#327457,#327458,#327459,#327460, #327461,#327462,#327463,#327464,#327465,#327466,#327467,#327468,#327469, #327470,#327471,#327472,#327473,#327474,#327475,#327476,#327477,#327478, #327479,#327480,#327481,#327482,#327483,#327484,#327485,#327486,#327487, #327488,#327489,#327490,#327491,#327492,#327493,#327494,#327495,#327496, #327497,#327498,#327499,#327500,#327501,#327502,#327503,#327504,#327505, #327506,#327507,#327508,#327509,#327510,#327511,#327512,#327513,#327514, #327515,#327516,#327517,#327518,#327519,#327520,#327521,#327522,#327523, #327524,#327525,#327526,#327527,#327528,#327529,#327530,#327531,#327532, #327533,#327534,#327535,#327536,#327537,#327538,#327539,#327540,#327541, #327542,#327543,#327544,#327545,#327546,#327547,#327548,#327549,#327550, #327551,#327552,#327553,#327554,#327555,#327556,#327557,#327558,#327559, #327560,#327561,#327562,#327563,#327564,#327565,#327566,#327567,#327568, #327569,#327570,#327571,#327572,#327573,#327574,#327575,#327576,#327577, #327578,#327579,#327580,#327581,#327582,#327583,#327584,#327585,#327586, #327587,#327588,#327589,#327590,#327591,#327592,#327593,#327594,#327595, #327596,#327597)); #334388=CLOSED_SHELL('',(#327598,#327599,#327600,#327601,#327602,#327603, #327604,#327605,#327606,#327607,#327608,#327609,#327610,#327611,#327612, #327613,#327614,#327615,#327616,#327617,#327618,#327619,#327620,#327621, #327622,#327623,#327624,#327625,#327626,#327627,#327628,#327629,#327630, #327631)); #334389=CLOSED_SHELL('',(#327632,#327633,#327634,#327635,#327636,#327637, #327638,#327639,#327640,#327641,#327642,#327643,#327644,#327645,#327646, #327647,#327648,#327649,#327650,#327651,#327652,#327653,#327654)); #334390=CLOSED_SHELL('',(#327655,#327656,#327657,#327658,#327659,#327660, #327661,#327662,#327663,#327664,#327665,#327666,#327667,#327668,#327669, #327670,#327671,#327672,#327673,#327674,#327675,#327676,#327677,#327678, #327679,#327680,#327681,#327682,#327683,#327684,#327685,#327686,#327687, #327688,#327689,#327690,#327691,#327692,#327693,#327694,#327695,#327696, #327697,#327698,#327699,#327700,#327701,#327702,#327703,#327704,#327705, #327706,#327707,#327708,#327709,#327710,#327711,#327712,#327713,#327714, #327715,#327716,#327717,#327718,#327719,#327720,#327721,#327722,#327723, #327724,#327725,#327726,#327727,#327728,#327729,#327730,#327731,#327732)); #334391=CLOSED_SHELL('',(#327733,#327734,#327735,#327736,#327737,#327738, #327739,#327740,#327741,#327742,#327743,#327744,#327745,#327746,#327747, #327748,#327749,#327750,#327751,#327752,#327753,#327754,#327755,#327756, #327757,#327758,#327759,#327760,#327761)); #334392=CLOSED_SHELL('',(#327762,#327763,#327764,#327765,#327766,#327767, #327768,#327769,#327770,#327771,#327772,#327773,#327774,#327775,#327776, #327777,#327778,#327779,#327780,#327781,#327782,#327783,#327784)); #334393=CLOSED_SHELL('',(#327785,#327786,#327787,#327788,#327789,#327790, #327791,#327792,#327793,#327794,#327795,#327796,#327797,#327798,#327799, #327800,#327801,#327802,#327803,#327804,#327805,#327806)); #334394=CLOSED_SHELL('',(#327807,#327808,#327809,#327810,#327811,#327812, #327813,#327814,#327815,#327816,#327817)); #334395=CLOSED_SHELL('',(#327818,#327819,#327820,#327821,#327822,#327823, #327824,#327825,#327826,#327827,#327828,#327829,#327830,#327831,#327832, #327833,#327834,#327835,#327836,#327837,#327838,#327839,#327840)); #334396=CLOSED_SHELL('',(#327841,#327842,#327843,#327844,#327845,#327846, #327847,#327848,#327849,#327850,#327851,#327852,#327853,#327854,#327855, #327856,#327857,#327858,#327859,#327860,#327861,#327862,#327863,#327864)); #334397=CLOSED_SHELL('',(#327865,#327866,#327867,#327868,#327869,#327870, #327871,#327872,#327873,#327874,#327875,#327876,#327877,#327878,#327879, #327880,#327881,#327882,#327883,#327884,#327885,#327886)); #334398=CLOSED_SHELL('',(#327887,#327888,#327889,#327890,#327891,#327892, #327893,#327894,#327895,#327896,#327897,#327898,#327899,#327900,#327901, #327902,#327903,#327904,#327905,#327906,#327907,#327908,#327909,#327910, #327911,#327912,#327913,#327914,#327915,#327916,#327917,#327918,#327919, #327920,#327921,#327922,#327923,#327924,#327925,#327926,#327927,#327928, #327929,#327930,#327931,#327932,#327933,#327934,#327935)); #334399=CLOSED_SHELL('',(#327936,#327937,#327938,#327939,#327940,#327941, #327942,#327943,#327944,#327945,#327946,#327947,#327948,#327949,#327950, #327951,#327952,#327953,#327954,#327955,#327956,#327957,#327958,#327959, #327960,#327961,#327962,#327963,#327964,#327965)); #334400=CLOSED_SHELL('',(#327966,#327967,#327968,#327969,#327970,#327971, #327972,#327973,#327974,#327975,#327976,#327977,#327978,#327979,#327980, #327981,#327982,#327983,#327984,#327985,#327986,#327987,#327988,#327989, #327990,#327991,#327992,#327993,#327994,#327995,#327996,#327997,#327998, #327999,#328000,#328001,#328002,#328003,#328004,#328005,#328006,#328007, #328008,#328009,#328010,#328011,#328012,#328013,#328014,#328015,#328016, #328017,#328018,#328019,#328020,#328021,#328022,#328023,#328024,#328025, #328026,#328027,#328028,#328029,#328030,#328031,#328032,#328033,#328034, #328035,#328036,#328037,#328038,#328039,#328040,#328041,#328042,#328043, #328044,#328045,#328046,#328047,#328048,#328049,#328050,#328051,#328052, #328053,#328054,#328055,#328056,#328057)); #334401=CLOSED_SHELL('',(#328058,#328059,#328060,#328061,#328062,#328063, #328064,#328065,#328066,#328067,#328068,#328069,#328070,#328071,#328072, #328073,#328074,#328075,#328076,#328077,#328078,#328079,#328080,#328081, #328082,#328083,#328084,#328085,#328086,#328087,#328088,#328089,#328090, #328091,#328092,#328093,#328094,#328095,#328096,#328097,#328098,#328099, #328100,#328101,#328102,#328103,#328104,#328105,#328106,#328107,#328108, #328109,#328110,#328111,#328112,#328113,#328114,#328115,#328116,#328117, #328118,#328119,#328120,#328121,#328122,#328123,#328124,#328125,#328126, #328127,#328128,#328129,#328130,#328131,#328132,#328133,#328134,#328135, #328136,#328137,#328138,#328139,#328140,#328141,#328142,#328143,#328144, #328145)); #334402=CLOSED_SHELL('',(#328146,#328147,#328148,#328149,#328150,#328151, #328152,#328153,#328154,#328155,#328156,#328157,#328158,#328159,#328160, #328161,#328162,#328163,#328164,#328165,#328166,#328167,#328168,#328169, #328170,#328171,#328172,#328173,#328174,#328175,#328176,#328177,#328178, #328179,#328180,#328181,#328182,#328183,#328184,#328185,#328186,#328187, #328188,#328189,#328190,#328191,#328192,#328193,#328194,#328195,#328196, #328197,#328198,#328199,#328200,#328201,#328202,#328203,#328204,#328205, #328206,#328207,#328208,#328209,#328210,#328211,#328212,#328213,#328214, #328215,#328216,#328217,#328218,#328219,#328220,#328221,#328222)); #334403=CLOSED_SHELL('',(#328223,#328224,#328225,#328226,#328227,#328228, #328229,#328230,#328231,#328232,#328233)); #334404=CLOSED_SHELL('',(#328234,#328235,#328236,#328237,#328238,#328239, #328240,#328241,#328242,#328243,#328244,#328245,#328246,#328247,#328248, #328249,#328250,#328251,#328252,#328253,#328254,#328255,#328256,#328257, #328258,#328259,#328260,#328261,#328262,#328263,#328264,#328265,#328266, #328267,#328268,#328269,#328270,#328271,#328272,#328273,#328274,#328275, #328276,#328277,#328278,#328279,#328280,#328281,#328282,#328283,#328284, #328285,#328286,#328287,#328288,#328289,#328290,#328291,#328292,#328293, #328294,#328295,#328296,#328297,#328298,#328299,#328300,#328301,#328302, #328303,#328304,#328305,#328306,#328307,#328308,#328309,#328310)); #334405=CLOSED_SHELL('',(#328311,#328312,#328313,#328314,#328315,#328316, #328317,#328318,#328319,#328320,#328321)); #334406=CLOSED_SHELL('',(#328322,#328323,#328324,#328325,#328326,#328327, #328328,#328329,#328330,#328331,#328332,#328333,#328334,#328335,#328336, #328337,#328338,#328339,#328340,#328341,#328342,#328343,#328344,#328345, #328346,#328347,#328348,#328349,#328350,#328351,#328352,#328353,#328354, #328355,#328356,#328357,#328358,#328359,#328360,#328361,#328362,#328363, #328364,#328365,#328366,#328367,#328368,#328369,#328370,#328371,#328372, #328373,#328374,#328375,#328376,#328377,#328378,#328379,#328380,#328381, #328382,#328383,#328384,#328385,#328386,#328387,#328388,#328389,#328390, #328391,#328392,#328393,#328394,#328395,#328396,#328397,#328398,#328399, #328400,#328401,#328402,#328403,#328404,#328405,#328406,#328407,#328408, #328409,#328410,#328411,#328412,#328413,#328414,#328415,#328416,#328417, #328418,#328419,#328420,#328421,#328422,#328423,#328424,#328425,#328426)); #334407=CLOSED_SHELL('',(#328427,#328428,#328429,#328430,#328431,#328432)); #334408=CLOSED_SHELL('',(#328433,#328434,#328435,#328436,#328437,#328438, #328439,#328440,#328441,#328442,#328443,#328444,#328445,#328446,#328447, #328448,#328449,#328450,#328451,#328452,#328453,#328454,#328455,#328456, #328457,#328458,#328459,#328460,#328461,#328462,#328463,#328464,#328465, #328466,#328467,#328468,#328469,#328470,#328471,#328472,#328473,#328474, #328475,#328476,#328477,#328478,#328479,#328480,#328481,#328482,#328483, #328484,#328485,#328486,#328487,#328488,#328489,#328490,#328491,#328492, #328493,#328494,#328495,#328496,#328497,#328498,#328499,#328500,#328501, #328502,#328503,#328504,#328505,#328506,#328507,#328508,#328509,#328510, #328511,#328512,#328513,#328514,#328515,#328516,#328517,#328518,#328519, #328520,#328521,#328522,#328523,#328524,#328525,#328526,#328527,#328528, #328529,#328530,#328531,#328532,#328533,#328534,#328535,#328536,#328537, #328538,#328539,#328540,#328541,#328542,#328543,#328544,#328545,#328546, #328547,#328548,#328549,#328550,#328551,#328552,#328553,#328554,#328555, #328556,#328557,#328558,#328559,#328560,#328561,#328562,#328563,#328564, #328565,#328566,#328567,#328568,#328569,#328570,#328571,#328572,#328573, #328574,#328575,#328576,#328577,#328578,#328579,#328580,#328581,#328582, #328583,#328584,#328585,#328586,#328587,#328588,#328589,#328590,#328591, #328592,#328593,#328594,#328595,#328596,#328597,#328598,#328599,#328600, #328601,#328602,#328603,#328604,#328605,#328606,#328607,#328608,#328609, #328610,#328611,#328612,#328613,#328614,#328615,#328616)); #334409=CLOSED_SHELL('',(#328617,#328618,#328619,#328620,#328621,#328622, #328623,#328624,#328625,#328626,#328627,#328628,#328629,#328630,#328631, #328632,#328633,#328634,#328635,#328636,#328637,#328638,#328639,#328640, #328641,#328642,#328643,#328644,#328645,#328646,#328647,#328648,#328649, #328650,#328651,#328652,#328653,#328654,#328655,#328656,#328657,#328658, #328659,#328660,#328661)); #334410=CLOSED_SHELL('',(#328662,#328663,#328664,#328665,#328666,#328667)); #334411=CLOSED_SHELL('',(#328668,#328669,#328670,#328671,#328672,#328673, #328674,#328675,#328676,#328677,#328678,#328679,#328680,#328681,#328682, #328683,#328684,#328685,#328686,#328687,#328688,#328689,#328690,#328691, #328692,#328693,#328694,#328695,#328696,#328697,#328698,#328699,#328700, #328701,#328702,#328703)); #334412=CLOSED_SHELL('',(#328704,#328705,#328706,#328707,#328708,#328709)); #334413=CLOSED_SHELL('',(#328710,#328711,#328712,#328713,#328714,#328715)); #334414=CLOSED_SHELL('',(#328716,#328717,#328718,#328719,#328720,#328721)); #334415=CLOSED_SHELL('',(#328722,#328723,#328724,#328725,#328726,#328727, #328728,#328729,#328730,#328731,#328732)); #334416=CLOSED_SHELL('',(#328733,#328734,#328735,#328736,#328737,#328738)); #334417=CLOSED_SHELL('',(#328739,#328740,#328741,#328742,#328743,#328744, #328745)); #334418=CLOSED_SHELL('',(#328746,#328747,#328748,#328749,#328750,#328751)); #334419=CLOSED_SHELL('',(#328752,#328753,#328754,#328755,#328756,#328757, #328758,#328759,#328760,#328761,#328762,#328763,#328764,#328765,#328766, #328767,#328768,#328769,#328770,#328771,#328772,#328773,#328774,#328775, #328776,#328777,#328778,#328779,#328780,#328781,#328782,#328783,#328784, #328785,#328786,#328787,#328788,#328789,#328790,#328791,#328792,#328793, #328794,#328795,#328796,#328797,#328798,#328799,#328800,#328801,#328802, #328803,#328804,#328805,#328806,#328807,#328808,#328809,#328810,#328811, #328812,#328813)); #334420=CLOSED_SHELL('',(#328814,#328815,#328816,#328817,#328818,#328819)); #334421=CLOSED_SHELL('',(#328820,#328821,#328822,#328823,#328824,#328825, #328826,#328827,#328828,#328829,#328830,#328831,#328832,#328833,#328834, #328835,#328836,#328837,#328838,#328839,#328840,#328841,#328842,#328843, #328844,#328845,#328846,#328847,#328848,#328849,#328850,#328851,#328852, #328853,#328854,#328855,#328856,#328857,#328858,#328859,#328860,#328861, #328862,#328863,#328864,#328865,#328866,#328867,#328868,#328869,#328870, #328871,#328872,#328873,#328874,#328875,#328876,#328877,#328878,#328879, #328880,#328881)); #334422=CLOSED_SHELL('',(#328882,#328883,#328884,#328885,#328886,#328887, #328888,#328889,#328890,#328891,#328892,#328893,#328894,#328895,#328896, #328897,#328898,#328899,#328900,#328901,#328902,#328903,#328904,#328905, #328906,#328907,#328908,#328909,#328910,#328911,#328912,#328913,#328914, #328915,#328916,#328917,#328918,#328919,#328920,#328921,#328922,#328923, #328924,#328925,#328926,#328927,#328928,#328929,#328930,#328931,#328932, #328933,#328934,#328935,#328936,#328937,#328938,#328939,#328940,#328941, #328942,#328943,#328944,#328945,#328946,#328947,#328948,#328949,#328950, #328951,#328952,#328953,#328954,#328955,#328956,#328957,#328958,#328959)); #334423=CLOSED_SHELL('',(#328960,#328961,#328962,#328963,#328964,#328965, #328966,#328967,#328968,#328969,#328970,#328971,#328972,#328973,#328974, #328975,#328976,#328977,#328978,#328979,#328980,#328981,#328982,#328983, #328984,#328985,#328986,#328987,#328988,#328989,#328990,#328991,#328992, #328993,#328994,#328995,#328996,#328997,#328998,#328999,#329000,#329001, #329002,#329003,#329004,#329005,#329006,#329007,#329008,#329009,#329010, #329011,#329012,#329013,#329014,#329015,#329016,#329017,#329018,#329019, #329020,#329021)); #334424=CLOSED_SHELL('',(#329022,#329023,#329024,#329025,#329026,#329027)); #334425=CLOSED_SHELL('',(#329028,#329029,#329030,#329031,#329032,#329033, #329034,#329035,#329036,#329037,#329038,#329039,#329040,#329041,#329042, #329043,#329044,#329045,#329046,#329047,#329048,#329049,#329050,#329051, #329052,#329053,#329054,#329055,#329056,#329057,#329058,#329059,#329060, #329061,#329062,#329063,#329064,#329065,#329066,#329067,#329068,#329069, #329070,#329071,#329072,#329073,#329074,#329075,#329076,#329077,#329078, #329079,#329080,#329081,#329082,#329083,#329084,#329085,#329086,#329087, #329088,#329089)); #334426=CLOSED_SHELL('',(#329090,#329091,#329092,#329093,#329094,#329095, #329096,#329097,#329098,#329099,#329100)); #334427=CLOSED_SHELL('',(#329101,#329102,#329103,#329104,#329105,#329106)); #334428=CLOSED_SHELL('',(#329107,#329108,#329109,#329110,#329111,#329112, #329113,#329114,#329115,#329116,#329117,#329118,#329119,#329120,#329121, #329122,#329123,#329124,#329125,#329126,#329127,#329128,#329129,#329130, #329131,#329132,#329133,#329134,#329135,#329136,#329137,#329138,#329139, #329140,#329141,#329142,#329143,#329144,#329145,#329146,#329147,#329148, #329149,#329150,#329151,#329152,#329153,#329154,#329155,#329156,#329157, #329158,#329159,#329160,#329161,#329162,#329163,#329164,#329165,#329166, #329167,#329168,#329169,#329170,#329171,#329172,#329173,#329174,#329175, #329176,#329177,#329178,#329179,#329180,#329181,#329182,#329183,#329184)); #334429=CLOSED_SHELL('',(#329185,#329186,#329187,#329188,#329189,#329190, #329191,#329192,#329193,#329194,#329195)); #334430=CLOSED_SHELL('',(#329196,#329197,#329198,#329199,#329200,#329201)); #334431=CLOSED_SHELL('',(#329202,#329203,#329204,#329205,#329206,#329207)); #334432=CLOSED_SHELL('',(#329208,#329209,#329210,#329211,#329212,#329213)); #334433=CLOSED_SHELL('',(#329214,#329215,#329216,#329217,#329218,#329219, #329220)); #334434=CLOSED_SHELL('',(#329221,#329222,#329223,#329224,#329225,#329226, #329227,#329228,#329229,#329230,#329231)); #334435=CLOSED_SHELL('',(#329232,#329233,#329234,#329235,#329236,#329237)); #334436=CLOSED_SHELL('',(#329238,#329239,#329240,#329241,#329242,#329243)); #334437=CLOSED_SHELL('',(#329244,#329245,#329246,#329247,#329248,#329249)); #334438=CLOSED_SHELL('',(#329250,#329251,#329252,#329253,#329254,#329255)); #334439=CLOSED_SHELL('',(#329256,#329257,#329258,#329259,#329260,#329261)); #334440=CLOSED_SHELL('',(#329262,#329263,#329264,#329265,#329266,#329267)); #334441=CLOSED_SHELL('',(#329268,#329269,#329270,#329271,#329272,#329273)); #334442=CLOSED_SHELL('',(#329274,#329275,#329276,#329277,#329278,#329279)); #334443=CLOSED_SHELL('',(#329280,#329281,#329282,#329283,#329284,#329285)); #334444=CLOSED_SHELL('',(#329286,#329287,#329288,#329289,#329290,#329291)); #334445=CLOSED_SHELL('',(#329292,#329293,#329294,#329295,#329296,#329297)); #334446=CLOSED_SHELL('',(#329298,#329299,#329300,#329301,#329302,#329303)); #334447=CLOSED_SHELL('',(#329304,#329305,#329306,#329307,#329308,#329309)); #334448=CLOSED_SHELL('',(#329310,#329311,#329312,#329313,#329314,#329315)); #334449=CLOSED_SHELL('',(#329316,#329317,#329318,#329319,#329320,#329321)); #334450=CLOSED_SHELL('',(#329322,#329323,#329324,#329325,#329326,#329327)); #334451=CLOSED_SHELL('',(#329328,#329329,#329330,#329331,#329332,#329333)); #334452=CLOSED_SHELL('',(#329334,#329335,#329336,#329337,#329338,#329339)); #334453=CLOSED_SHELL('',(#329340,#329341,#329342,#329343,#329344,#329345)); #334454=CLOSED_SHELL('',(#329346,#329347,#329348,#329349,#329350,#329351)); #334455=CLOSED_SHELL('',(#329352,#329353,#329354,#329355,#329356,#329357)); #334456=CLOSED_SHELL('',(#329358,#329359,#329360,#329361,#329362,#329363)); #334457=CLOSED_SHELL('',(#329364,#329365,#329366,#329367,#329368,#329369)); #334458=CLOSED_SHELL('',(#329370,#329371,#329372,#329373,#329374,#329375)); #334459=CLOSED_SHELL('',(#329376,#329377,#329378,#329379,#329380,#329381)); #334460=CLOSED_SHELL('',(#329382,#329383,#329384,#329385,#329386,#329387, #329388,#329389)); #334461=CLOSED_SHELL('',(#329390,#329391,#329392,#329393,#329394,#329395)); #334462=CLOSED_SHELL('',(#329396,#329397,#329398,#329399,#329400,#329401)); #334463=CLOSED_SHELL('',(#329402,#329403,#329404,#329405,#329406,#329407)); #334464=CLOSED_SHELL('',(#329408,#329409,#329410,#329411,#329412,#329413)); #334465=CLOSED_SHELL('',(#329414,#329415,#329416,#329417,#329418,#329419)); #334466=CLOSED_SHELL('',(#329420,#329421,#329422,#329423,#329424,#329425)); #334467=CLOSED_SHELL('',(#329426,#329427,#329428,#329429,#329430,#329431)); #334468=CLOSED_SHELL('',(#329432,#329433,#329434,#329435,#329436,#329437)); #334469=CLOSED_SHELL('',(#329438,#329439,#329440,#329441,#329442,#329443, #329444,#329445)); #334470=CLOSED_SHELL('',(#329446,#329447,#329448,#329449,#329450,#329451)); #334471=CLOSED_SHELL('',(#329452,#329453,#329454,#329455,#329456,#329457)); #334472=CLOSED_SHELL('',(#329458,#329459,#329460,#329461,#329462,#329463)); #334473=CLOSED_SHELL('',(#329464,#329465,#329466,#329467,#329468,#329469)); #334474=CLOSED_SHELL('',(#329470,#329471,#329472,#329473,#329474,#329475)); #334475=CLOSED_SHELL('',(#329476,#329477,#329478,#329479,#329480,#329481)); #334476=CLOSED_SHELL('',(#329482,#329483,#329484,#329485,#329486,#329487)); #334477=CLOSED_SHELL('',(#329488,#329489,#329490,#329491,#329492,#329493)); #334478=CLOSED_SHELL('',(#329494,#329495,#329496,#329497,#329498,#329499, #329500)); #334479=CLOSED_SHELL('',(#329501,#329502,#329503,#329504,#329505,#329506)); #334480=CLOSED_SHELL('',(#329507,#329508,#329509,#329510,#329511,#329512)); #334481=CLOSED_SHELL('',(#329513,#329514,#329515,#329516,#329517,#329518)); #334482=CLOSED_SHELL('',(#329519,#329520,#329521,#329522,#329523,#329524)); #334483=CLOSED_SHELL('',(#329525,#329526,#329527,#329528,#329529,#329530, #329531,#329532,#329533,#329534,#329535,#329536,#329537,#329538,#329539, #329540,#329541,#329542,#329543,#329544,#329545)); #334484=CLOSED_SHELL('',(#329546,#329547,#329548,#329549,#329550,#329551)); #334485=CLOSED_SHELL('',(#329552,#329553,#329554,#329555,#329556,#329557)); #334486=CLOSED_SHELL('',(#329558,#329559,#329560,#329561,#329562,#329563)); #334487=CLOSED_SHELL('',(#329564,#329565,#329566,#329567,#329568,#329569, #329570)); #334488=CLOSED_SHELL('',(#329571,#329572,#329573,#329574,#329575,#329576)); #334489=CLOSED_SHELL('',(#329577,#329578,#329579,#329580,#329581,#329582)); #334490=CLOSED_SHELL('',(#329583,#329584,#329585,#329586,#329587,#329588)); #334491=CLOSED_SHELL('',(#329589,#329590,#329591,#329592,#329593,#329594)); #334492=CLOSED_SHELL('',(#329595,#329596,#329597,#329598,#329599,#329600, #329601,#329602,#329603,#329604,#329605,#329606,#329607,#329608,#329609, #329610,#329611,#329612,#329613,#329614,#329615)); #334493=CLOSED_SHELL('',(#329616,#329617,#329618,#329619,#329620,#329621)); #334494=CLOSED_SHELL('',(#329622,#329623,#329624,#329625,#329626,#329627)); #334495=CLOSED_SHELL('',(#329628,#329629,#329630,#329631,#329632,#329633)); #334496=CLOSED_SHELL('',(#329634,#329635,#329636,#329637,#329638,#329639, #329640)); #334497=CLOSED_SHELL('',(#329641,#329642,#329643,#329644,#329645,#329646, #329647)); #334498=CLOSED_SHELL('',(#329648,#329649,#329650,#329651,#329652,#329653, #329654)); #334499=CLOSED_SHELL('',(#329655,#329656,#329657,#329658,#329659,#329660, #329661,#329662,#329663,#329664,#329665,#329666,#329667,#329668,#329669, #329670,#329671,#329672,#329673,#329674,#329675)); #334500=CLOSED_SHELL('',(#329676,#329677,#329678,#329679,#329680,#329681)); #334501=CLOSED_SHELL('',(#329682,#329683,#329684,#329685,#329686,#329687)); #334502=CLOSED_SHELL('',(#329688,#329689,#329690,#329691,#329692,#329693)); #334503=CLOSED_SHELL('',(#329694,#329695,#329696,#329697,#329698,#329699)); #334504=CLOSED_SHELL('',(#329700,#329701,#329702,#329703,#329704,#329705)); #334505=CLOSED_SHELL('',(#329706,#329707,#329708,#329709,#329710,#329711)); #334506=CLOSED_SHELL('',(#329712,#329713,#329714,#329715,#329716,#329717)); #334507=CLOSED_SHELL('',(#329718,#329719,#329720,#329721,#329722,#329723)); #334508=CLOSED_SHELL('',(#329724,#329725,#329726,#329727,#329728,#329729)); #334509=CLOSED_SHELL('',(#329730,#329731,#329732,#329733,#329734,#329735)); #334510=CLOSED_SHELL('',(#329736,#329737,#329738,#329739,#329740,#329741)); #334511=CLOSED_SHELL('',(#329742,#329743,#329744,#329745,#329746,#329747)); #334512=CLOSED_SHELL('',(#329748,#329749,#329750,#329751,#329752,#329753)); #334513=CLOSED_SHELL('',(#329754,#329755,#329756,#329757,#329758,#329759)); #334514=CLOSED_SHELL('',(#329760,#329761,#329762,#329763,#329764,#329765)); #334515=CLOSED_SHELL('',(#329766,#329767,#329768,#329769,#329770,#329771)); #334516=CLOSED_SHELL('',(#329772,#329773,#329774,#329775,#329776,#329777)); #334517=CLOSED_SHELL('',(#329778,#329779,#329780,#329781,#329782,#329783)); #334518=CLOSED_SHELL('',(#329784,#329785,#329786,#329787,#329788,#329789)); #334519=CLOSED_SHELL('',(#329790,#329791,#329792,#329793,#329794,#329795)); #334520=CLOSED_SHELL('',(#329796,#329797,#329798,#329799,#329800,#329801, #329802,#329803,#329804,#329805,#329806,#329807,#329808,#329809,#329810, #329811,#329812,#329813,#329814,#329815,#329816)); #334521=CLOSED_SHELL('',(#329817,#329818,#329819,#329820,#329821,#329822)); #334522=CLOSED_SHELL('',(#329823,#329824,#329825,#329826,#329827,#329828)); #334523=CLOSED_SHELL('',(#329829,#329830,#329831,#329832,#329833,#329834)); #334524=CLOSED_SHELL('',(#329835,#329836,#329837,#329838,#329839,#329840)); #334525=CLOSED_SHELL('',(#329841,#329842,#329843,#329844,#329845,#329846)); #334526=CLOSED_SHELL('',(#329847,#329848,#329849,#329850,#329851,#329852)); #334527=CLOSED_SHELL('',(#329853,#329854,#329855,#329856,#329857,#329858, #329859)); #334528=CLOSED_SHELL('',(#329860,#329861,#329862,#329863,#329864,#329865, #329866,#329867)); #334529=CLOSED_SHELL('',(#329868,#329869,#329870,#329871,#329872,#329873, #329874,#329875)); #334530=CLOSED_SHELL('',(#329876,#329877,#329878,#329879,#329880,#329881, #329882)); #334531=CLOSED_SHELL('',(#329883,#329884,#329885,#329886,#329887,#329888)); #334532=CLOSED_SHELL('',(#329889,#329890,#329891,#329892,#329893,#329894, #329895,#329896,#329897,#329898,#329899,#329900,#329901,#329902,#329903, #329904,#329905,#329906,#329907,#329908,#329909,#329910,#329911,#329912, #329913,#329914,#329915,#329916,#329917,#329918,#329919,#329920,#329921, #329922,#329923,#329924,#329925,#329926,#329927,#329928,#329929,#329930, #329931,#329932,#329933,#329934,#329935,#329936,#329937,#329938,#329939, #329940,#329941,#329942,#329943,#329944,#329945,#329946,#329947,#329948, #329949,#329950,#329951,#329952,#329953,#329954,#329955,#329956,#329957, #329958,#329959,#329960,#329961,#329962,#329963,#329964,#329965,#329966, #329967,#329968,#329969,#329970,#329971,#329972,#329973,#329974,#329975, #329976,#329977,#329978,#329979,#329980,#329981,#329982,#329983,#329984, #329985,#329986,#329987,#329988,#329989,#329990,#329991,#329992,#329993, #329994,#329995,#329996,#329997,#329998,#329999,#330000,#330001,#330002, #330003,#330004,#330005,#330006,#330007,#330008,#330009,#330010,#330011, #330012,#330013,#330014,#330015,#330016,#330017,#330018,#330019,#330020, #330021,#330022,#330023,#330024,#330025,#330026,#330027,#330028,#330029, #330030,#330031,#330032,#330033,#330034,#330035,#330036,#330037,#330038, #330039,#330040,#330041,#330042,#330043,#330044,#330045,#330046,#330047, #330048,#330049,#330050,#330051,#330052,#330053,#330054,#330055,#330056, #330057,#330058,#330059,#330060,#330061,#330062,#330063,#330064,#330065, #330066,#330067,#330068,#330069,#330070,#330071,#330072,#330073,#330074, #330075,#330076,#330077,#330078,#330079,#330080,#330081,#330082,#330083, #330084,#330085,#330086,#330087,#330088,#330089,#330090,#330091,#330092, #330093,#330094,#330095,#330096,#330097,#330098,#330099,#330100,#330101, #330102,#330103,#330104,#330105,#330106,#330107,#330108,#330109,#330110, #330111,#330112,#330113,#330114,#330115,#330116,#330117,#330118,#330119, #330120,#330121,#330122,#330123,#330124,#330125,#330126,#330127,#330128, #330129,#330130,#330131,#330132,#330133,#330134,#330135,#330136,#330137, #330138,#330139,#330140,#330141,#330142,#330143,#330144,#330145,#330146, #330147,#330148,#330149,#330150,#330151,#330152,#330153,#330154,#330155, #330156,#330157,#330158,#330159,#330160,#330161,#330162,#330163,#330164, #330165,#330166,#330167,#330168,#330169,#330170,#330171,#330172,#330173, #330174,#330175,#330176,#330177,#330178,#330179,#330180,#330181,#330182, #330183,#330184,#330185,#330186,#330187,#330188,#330189,#330190,#330191, #330192,#330193,#330194,#330195,#330196,#330197,#330198,#330199,#330200, #330201,#330202,#330203,#330204,#330205,#330206,#330207,#330208,#330209, #330210,#330211,#330212,#330213,#330214,#330215,#330216,#330217,#330218, #330219,#330220,#330221,#330222,#330223,#330224,#330225,#330226,#330227, #330228,#330229,#330230,#330231,#330232,#330233,#330234,#330235,#330236, #330237,#330238,#330239,#330240,#330241)); #334533=CLOSED_SHELL('',(#330242,#330243,#330244,#330245,#330246,#330247, #330248,#330249,#330250,#330251,#330252,#330253,#330254,#330255,#330256, #330257,#330258,#330259,#330260,#330261,#330262,#330263,#330264,#330265, #330266,#330267,#330268,#330269,#330270,#330271,#330272,#330273,#330274, #330275,#330276,#330277,#330278,#330279,#330280,#330281,#330282,#330283, #330284,#330285,#330286,#330287,#330288,#330289,#330290,#330291,#330292, #330293,#330294,#330295,#330296,#330297,#330298,#330299,#330300,#330301, #330302,#330303,#330304,#330305,#330306,#330307,#330308,#330309,#330310, #330311,#330312,#330313,#330314,#330315,#330316,#330317,#330318,#330319, #330320,#330321,#330322,#330323,#330324,#330325,#330326,#330327,#330328, #330329,#330330,#330331,#330332,#330333,#330334,#330335,#330336,#330337, #330338,#330339,#330340,#330341,#330342,#330343,#330344,#330345,#330346, #330347,#330348,#330349,#330350,#330351,#330352,#330353,#330354,#330355, #330356,#330357,#330358,#330359,#330360,#330361,#330362,#330363,#330364, #330365,#330366,#330367,#330368,#330369,#330370,#330371,#330372,#330373, #330374,#330375,#330376,#330377,#330378,#330379,#330380,#330381,#330382, #330383,#330384,#330385,#330386,#330387,#330388,#330389,#330390,#330391, #330392,#330393,#330394,#330395,#330396,#330397,#330398,#330399,#330400, #330401,#330402,#330403,#330404,#330405,#330406,#330407,#330408,#330409, #330410,#330411,#330412,#330413,#330414,#330415,#330416,#330417,#330418, #330419,#330420,#330421,#330422,#330423,#330424,#330425,#330426,#330427, #330428,#330429,#330430,#330431,#330432,#330433,#330434,#330435,#330436, #330437,#330438,#330439,#330440,#330441,#330442,#330443,#330444,#330445, #330446,#330447,#330448,#330449,#330450,#330451,#330452,#330453,#330454, #330455,#330456,#330457,#330458,#330459,#330460,#330461,#330462,#330463, #330464,#330465,#330466,#330467,#330468,#330469,#330470,#330471,#330472, #330473,#330474,#330475,#330476,#330477,#330478,#330479,#330480,#330481, #330482,#330483,#330484,#330485,#330486,#330487,#330488,#330489,#330490, #330491,#330492,#330493,#330494,#330495,#330496,#330497,#330498,#330499, #330500,#330501,#330502,#330503,#330504,#330505,#330506,#330507,#330508, #330509,#330510,#330511,#330512,#330513,#330514,#330515,#330516,#330517, #330518,#330519,#330520,#330521,#330522,#330523,#330524,#330525,#330526, #330527,#330528,#330529,#330530,#330531,#330532,#330533,#330534,#330535, #330536,#330537,#330538,#330539,#330540,#330541,#330542,#330543,#330544, #330545,#330546,#330547,#330548,#330549,#330550,#330551,#330552,#330553, #330554,#330555,#330556,#330557,#330558,#330559,#330560,#330561,#330562, #330563,#330564,#330565,#330566,#330567,#330568,#330569,#330570,#330571, #330572,#330573,#330574,#330575,#330576,#330577,#330578,#330579,#330580, #330581,#330582,#330583,#330584,#330585,#330586,#330587,#330588,#330589, #330590,#330591,#330592,#330593,#330594)); #334534=CLOSED_SHELL('',(#330595,#330596,#330597,#330598,#330599,#330600, #330601,#330602,#330603,#330604,#330605,#330606,#330607,#330608,#330609, #330610,#330611,#330612,#330613,#330614,#330615,#330616,#330617,#330618, #330619,#330620,#330621,#330622,#330623,#330624,#330625,#330626,#330627, #330628,#330629,#330630,#330631,#330632,#330633,#330634,#330635,#330636, #330637,#330638,#330639,#330640,#330641,#330642,#330643,#330644,#330645, #330646,#330647,#330648,#330649,#330650,#330651,#330652,#330653,#330654, #330655,#330656,#330657,#330658,#330659,#330660,#330661,#330662,#330663, #330664,#330665,#330666,#330667,#330668,#330669,#330670,#330671,#330672, #330673,#330674,#330675,#330676,#330677,#330678,#330679,#330680,#330681, #330682,#330683,#330684,#330685,#330686,#330687,#330688,#330689,#330690, #330691,#330692,#330693,#330694,#330695,#330696,#330697,#330698)); #334535=CLOSED_SHELL('',(#330699,#330700,#330701,#330702,#330703,#330704, #330705,#330706,#330707,#330708,#330709,#330710,#330711,#330712,#330713, #330714,#330715,#330716,#330717,#330718,#330719,#330720,#330721,#330722, #330723,#330724)); #334536=CLOSED_SHELL('',(#330725,#330726,#330727,#330728,#330729,#330730, #330731,#330732,#330733,#330734,#330735,#330736,#330737,#330738,#330739, #330740,#330741,#330742,#330743,#330744,#330745,#330746,#330747,#330748, #330749,#330750)); #334537=CLOSED_SHELL('',(#330751,#330752,#330753,#330754,#330755,#330756)); #334538=CLOSED_SHELL('',(#330757,#330758,#330759,#330760,#330761,#330762)); #334539=CLOSED_SHELL('',(#330763,#330764,#330765,#330766,#330767,#330768)); #334540=CLOSED_SHELL('',(#330769,#330770,#330771,#330772,#330773,#330774, #330775,#330776,#330777,#330778,#330779,#330780,#330781,#330782,#330783, #330784,#330785,#330786,#330787,#330788,#330789,#330790,#330791,#330792, #330793,#330794,#330795,#330796,#330797,#330798,#330799,#330800,#330801, #330802,#330803,#330804,#330805,#330806,#330807,#330808,#330809,#330810, #330811,#330812,#330813,#330814,#330815,#330816,#330817,#330818,#330819, #330820,#330821,#330822,#330823,#330824,#330825,#330826,#330827,#330828, #330829,#330830,#330831,#330832,#330833,#330834,#330835,#330836,#330837, #330838,#330839,#330840,#330841,#330842,#330843,#330844,#330845,#330846, #330847,#330848,#330849,#330850,#330851,#330852,#330853,#330854,#330855, #330856,#330857,#330858,#330859,#330860,#330861,#330862,#330863,#330864, #330865,#330866,#330867,#330868,#330869,#330870,#330871,#330872,#330873, #330874,#330875,#330876,#330877,#330878,#330879,#330880,#330881,#330882, #330883,#330884,#330885,#330886,#330887,#330888,#330889,#330890,#330891, #330892,#330893,#330894,#330895,#330896,#330897,#330898,#330899,#330900, #330901,#330902,#330903,#330904,#330905,#330906,#330907,#330908,#330909, #330910,#330911,#330912,#330913,#330914,#330915,#330916,#330917,#330918, #330919,#330920,#330921,#330922,#330923,#330924,#330925,#330926,#330927, #330928,#330929,#330930,#330931,#330932,#330933,#330934,#330935,#330936, #330937,#330938,#330939,#330940,#330941,#330942,#330943,#330944,#330945, #330946,#330947,#330948,#330949,#330950,#330951,#330952,#330953,#330954, #330955,#330956,#330957,#330958,#330959,#330960,#330961,#330962,#330963, #330964,#330965,#330966,#330967,#330968,#330969,#330970,#330971,#330972, #330973,#330974,#330975,#330976,#330977,#330978,#330979,#330980,#330981, #330982,#330983,#330984,#330985,#330986,#330987,#330988,#330989,#330990, #330991,#330992,#330993,#330994,#330995,#330996,#330997,#330998,#330999, #331000,#331001,#331002,#331003,#331004,#331005,#331006,#331007,#331008, #331009,#331010,#331011,#331012,#331013,#331014,#331015,#331016,#331017, #331018,#331019,#331020,#331021)); #334541=CLOSED_SHELL('',(#331022,#331023,#331024,#331025,#331026,#331027, #331028,#331029,#331030,#331031,#331032,#331033,#331034,#331035,#331036, #331037,#331038,#331039,#331040,#331041)); #334542=CLOSED_SHELL('',(#331042,#331043,#331044,#331045,#331046,#331047, #331048,#331049,#331050,#331051,#331052,#331053,#331054,#331055,#331056, #331057,#331058,#331059,#331060,#331061)); #334543=CLOSED_SHELL('',(#331062,#331063,#331064,#331065,#331066,#331067, #331068,#331069,#331070,#331071,#331072,#331073,#331074,#331075,#331076, #331077,#331078,#331079,#331080,#331081)); #334544=CLOSED_SHELL('',(#331082,#331083,#331084,#331085,#331086,#331087, #331088,#331089,#331090,#331091,#331092,#331093,#331094,#331095,#331096, #331097,#331098,#331099,#331100,#331101)); #334545=CLOSED_SHELL('',(#331102,#331103,#331104,#331105,#331106,#331107, #331108,#331109,#331110,#331111,#331112,#331113,#331114,#331115,#331116, #331117,#331118,#331119,#331120,#331121)); #334546=CLOSED_SHELL('',(#331122,#331123,#331124,#331125,#331126,#331127, #331128,#331129,#331130,#331131,#331132,#331133,#331134,#331135,#331136, #331137,#331138,#331139,#331140,#331141)); #334547=CLOSED_SHELL('',(#331142,#331143,#331144,#331145,#331146,#331147, #331148,#331149,#331150,#331151,#331152,#331153,#331154,#331155,#331156, #331157,#331158,#331159,#331160,#331161)); #334548=CLOSED_SHELL('',(#331162,#331163,#331164,#331165,#331166,#331167, #331168,#331169,#331170,#331171,#331172,#331173,#331174,#331175,#331176, #331177,#331178,#331179,#331180,#331181)); #334549=CLOSED_SHELL('',(#331182,#331183,#331184,#331185,#331186,#331187, #331188,#331189,#331190,#331191,#331192,#331193,#331194,#331195,#331196, #331197,#331198,#331199,#331200,#331201)); #334550=CLOSED_SHELL('',(#331202,#331203,#331204,#331205,#331206,#331207, #331208,#331209,#331210,#331211,#331212,#331213,#331214,#331215,#331216, #331217,#331218,#331219,#331220,#331221)); #334551=CLOSED_SHELL('',(#331222,#331223,#331224,#331225,#331226,#331227, #331228,#331229,#331230,#331231,#331232,#331233,#331234,#331235,#331236, #331237,#331238,#331239,#331240,#331241)); #334552=CLOSED_SHELL('',(#331242,#331243,#331244,#331245,#331246,#331247, #331248,#331249,#331250,#331251,#331252,#331253,#331254,#331255,#331256, #331257,#331258,#331259,#331260,#331261)); #334553=CLOSED_SHELL('',(#331262,#331263,#331264,#331265,#331266,#331267, #331268,#331269,#331270,#331271,#331272,#331273,#331274,#331275,#331276, #331277,#331278,#331279,#331280,#331281)); #334554=CLOSED_SHELL('',(#331282,#331283,#331284,#331285,#331286,#331287, #331288,#331289,#331290,#331291,#331292,#331293,#331294,#331295,#331296, #331297,#331298,#331299,#331300,#331301)); #334555=CLOSED_SHELL('',(#331302,#331303,#331304,#331305,#331306,#331307, #331308,#331309,#331310,#331311,#331312,#331313,#331314,#331315,#331316, #331317,#331318,#331319,#331320,#331321,#331322,#331323,#331324,#331325, #331326,#331327,#331328,#331329,#331330,#331331,#331332,#331333,#331334, #331335,#331336,#331337,#331338,#331339)); #334556=CLOSED_SHELL('',(#331340,#331341,#331342,#331343,#331344,#331345, #331346,#331347,#331348,#331349,#331350,#331351,#331352,#331353,#331354, #331355,#331356,#331357,#331358,#331359,#331360,#331361,#331362,#331363, #331364,#331365,#331366,#331367,#331368,#331369,#331370,#331371,#331372, #331373,#331374,#331375,#331376,#331377,#331378,#331379,#331380,#331381, #331382,#331383,#331384,#331385,#331386,#331387,#331388,#331389,#331390, #331391,#331392,#331393,#331394,#331395,#331396,#331397,#331398,#331399, #331400,#331401,#331402,#331403,#331404,#331405,#331406,#331407,#331408, #331409,#331410,#331411,#331412,#331413,#331414,#331415,#331416,#331417, #331418,#331419,#331420,#331421,#331422,#331423,#331424,#331425,#331426, #331427,#331428,#331429,#331430,#331431,#331432,#331433,#331434,#331435, #331436,#331437,#331438,#331439,#331440,#331441,#331442,#331443,#331444, #331445,#331446,#331447,#331448,#331449,#331450,#331451,#331452,#331453, #331454,#331455,#331456,#331457,#331458,#331459,#331460,#331461,#331462, #331463,#331464,#331465,#331466,#331467,#331468,#331469,#331470,#331471, #331472,#331473,#331474,#331475,#331476,#331477,#331478,#331479,#331480, #331481,#331482,#331483,#331484,#331485,#331486,#331487,#331488,#331489, #331490,#331491,#331492,#331493,#331494,#331495,#331496,#331497,#331498, #331499,#331500,#331501,#331502,#331503,#331504,#331505,#331506,#331507, #331508,#331509,#331510,#331511,#331512,#331513,#331514,#331515,#331516, #331517,#331518,#331519,#331520,#331521,#331522,#331523,#331524,#331525, #331526,#331527,#331528,#331529,#331530,#331531,#331532,#331533,#331534, #331535,#331536,#331537,#331538,#331539,#331540,#331541,#331542,#331543, #331544,#331545,#331546,#331547,#331548,#331549,#331550,#331551,#331552, #331553,#331554,#331555,#331556,#331557,#331558,#331559,#331560,#331561, #331562,#331563,#331564,#331565,#331566,#331567,#331568,#331569,#331570, #331571,#331572,#331573,#331574,#331575,#331576,#331577,#331578,#331579, #331580,#331581,#331582,#331583,#331584,#331585,#331586,#331587,#331588, #331589,#331590,#331591,#331592,#331593,#331594,#331595,#331596,#331597, #331598,#331599,#331600,#331601,#331602,#331603,#331604,#331605,#331606, #331607,#331608,#331609,#331610,#331611,#331612,#331613,#331614,#331615, #331616,#331617,#331618,#331619,#331620,#331621,#331622,#331623,#331624, #331625,#331626,#331627,#331628,#331629,#331630,#331631,#331632,#331633, #331634,#331635,#331636,#331637,#331638,#331639,#331640,#331641,#331642, #331643,#331644,#331645,#331646,#331647,#331648,#331649,#331650,#331651, #331652,#331653,#331654,#331655,#331656,#331657,#331658,#331659,#331660, #331661,#331662,#331663,#331664,#331665,#331666,#331667,#331668,#331669, #331670,#331671,#331672,#331673,#331674,#331675,#331676,#331677,#331678, #331679,#331680,#331681,#331682,#331683,#331684,#331685,#331686,#331687, #331688,#331689,#331690,#331691,#331692,#331693,#331694,#331695,#331696, #331697,#331698,#331699,#331700,#331701,#331702,#331703,#331704,#331705, #331706,#331707,#331708,#331709,#331710,#331711,#331712,#331713,#331714, #331715,#331716,#331717,#331718,#331719,#331720,#331721,#331722,#331723, #331724,#331725,#331726,#331727,#331728,#331729,#331730,#331731,#331732, #331733,#331734,#331735,#331736,#331737,#331738,#331739,#331740,#331741, #331742,#331743,#331744,#331745,#331746,#331747,#331748,#331749,#331750, #331751,#331752,#331753,#331754,#331755,#331756)); #334557=CLOSED_SHELL('',(#331757,#331758,#331759,#331760,#331761,#331762, #331763,#331764,#331765,#331766,#331767,#331768,#331769,#331770,#331771, #331772,#331773,#331774,#331775,#331776)); #334558=CLOSED_SHELL('',(#331777,#331778,#331779,#331780,#331781,#331782, #331783,#331784,#331785,#331786,#331787,#331788,#331789,#331790,#331791, #331792,#331793,#331794,#331795,#331796,#331797,#331798,#331799,#331800, #331801,#331802,#331803,#331804,#331805,#331806,#331807,#331808,#331809, #331810,#331811,#331812,#331813,#331814,#331815,#331816,#331817,#331818, #331819,#331820,#331821,#331822,#331823,#331824,#331825,#331826,#331827, #331828,#331829,#331830,#331831,#331832,#331833,#331834,#331835,#331836, #331837,#331838,#331839,#331840,#331841,#331842,#331843,#331844,#331845, #331846,#331847,#331848,#331849,#331850,#331851,#331852,#331853,#331854, #331855,#331856,#331857)); #334559=CLOSED_SHELL('',(#331858,#331859,#331860,#331861,#331862,#331863, #331864,#331865,#331866,#331867,#331868,#331869,#331870,#331871,#331872, #331873,#331874,#331875,#331876,#331877,#331878,#331879,#331880,#331881, #331882,#331883)); #334560=CLOSED_SHELL('',(#331884,#331885,#331886,#331887,#331888,#331889, #331890,#331891,#331892,#331893,#331894,#331895,#331896,#331897,#331898, #331899,#331900,#331901,#331902,#331903,#331904,#331905,#331906,#331907, #331908,#331909)); #334561=CLOSED_SHELL('',(#331910,#331911,#331912,#331913,#331914,#331915)); #334562=CLOSED_SHELL('',(#331916,#331917,#331918,#331919,#331920,#331921, #331922,#331923,#331924,#331925,#331926,#331927,#331928,#331929,#331930, #331931,#331932,#331933,#331934,#331935,#331936,#331937,#331938,#331939, #331940,#331941)); #334563=CLOSED_SHELL('',(#331942,#331943,#331944,#331945,#331946,#331947, #331948,#331949,#331950,#331951,#331952,#331953,#331954,#331955,#331956, #331957,#331958,#331959,#331960,#331961,#331962,#331963,#331964,#331965, #331966,#331967)); #334564=CLOSED_SHELL('',(#331968,#331969,#331970,#331971,#331972,#331973)); #334565=CLOSED_SHELL('',(#331974,#331975,#331976,#331977,#331978,#331979, #331980,#331981,#331982,#331983,#331984,#331985,#331986,#331987,#331988, #331989,#331990,#331991,#331992,#331993,#331994,#331995,#331996,#331997, #331998,#331999)); #334566=CLOSED_SHELL('',(#332000,#332001,#332002,#332003,#332004,#332005, #332006,#332007,#332008,#332009,#332010,#332011,#332012,#332013,#332014, #332015,#332016,#332017,#332018,#332019,#332020,#332021,#332022,#332023, #332024,#332025)); #334567=CLOSED_SHELL('',(#332026,#332027,#332028,#332029,#332030,#332031)); #334568=CLOSED_SHELL('',(#332032,#332033,#332034,#332035,#332036,#332037, #332038,#332039,#332040,#332041,#332042)); #334569=CLOSED_SHELL('',(#332043,#332044,#332045,#332046,#332047,#332048, #332049,#332050,#332051,#332052,#332053,#332054,#332055,#332056,#332057, #332058,#332059,#332060)); #334570=CLOSED_SHELL('',(#332061,#332062,#332063)); #334571=CLOSED_SHELL('',(#332064,#332065,#332066)); #334572=CLOSED_SHELL('',(#332067,#332068,#332069,#332070,#332071,#332072)); #334573=CLOSED_SHELL('',(#332073,#332074,#332075,#332076,#332077,#332078)); #334574=CLOSED_SHELL('',(#332079,#332080,#332081,#332082,#332083,#332084)); #334575=CLOSED_SHELL('',(#332085,#332086,#332087,#332088,#332089,#332090)); #334576=CLOSED_SHELL('',(#332091,#332092,#332093,#332094,#332095,#332096)); #334577=CLOSED_SHELL('',(#332097,#332098,#332099,#332100,#332101,#332102)); #334578=CLOSED_SHELL('',(#332103,#332104,#332105,#332106,#332107,#332108)); #334579=CLOSED_SHELL('',(#332109,#332110,#332111,#332112,#332113,#332114)); #334580=CLOSED_SHELL('',(#332115,#332116,#332117,#332118,#332119,#332120)); #334581=CLOSED_SHELL('',(#332121,#332122,#332123,#332124,#332125,#332126, #332127,#332128,#332129,#332130,#332131,#332132)); #334582=CLOSED_SHELL('',(#332133,#332134,#332135,#332136,#332137,#332138)); #334583=CLOSED_SHELL('',(#332139,#332140,#332141,#332142,#332143,#332144, #332145,#332146)); #334584=CLOSED_SHELL('',(#332147,#332148,#332149,#332150,#332151,#332152, #332153,#332154)); #334585=CLOSED_SHELL('',(#332155,#332156,#332157,#332158,#332159,#332160, #332161,#332162)); #334586=CLOSED_SHELL('',(#332163,#332164,#332165,#332166,#332167,#332168, #332169,#332170)); #334587=CLOSED_SHELL('',(#332171,#332172,#332173,#332174,#332175,#332176, #332177,#332178)); #334588=CLOSED_SHELL('',(#332179,#332180,#332181,#332182,#332183,#332184, #332185,#332186)); #334589=CLOSED_SHELL('',(#332187,#332188,#332189,#332190,#332191,#332192, #332193,#332194)); #334590=CLOSED_SHELL('',(#332195,#332196,#332197,#332198,#332199,#332200, #332201,#332202)); #334591=CLOSED_SHELL('',(#332203,#332204,#332205,#332206,#332207,#332208)); #334592=CLOSED_SHELL('',(#332209,#332210,#332211,#332212,#332213,#332214)); #334593=CLOSED_SHELL('',(#332215,#332216,#332217,#332218,#332219,#332220)); #334594=CLOSED_SHELL('',(#332221,#332222,#332223,#332224,#332225,#332226)); #334595=CLOSED_SHELL('',(#332227,#332228,#332229,#332230,#332231,#332232)); #334596=CLOSED_SHELL('',(#332233,#332234,#332235,#332236,#332237,#332238)); #334597=CLOSED_SHELL('',(#332239,#332240,#332241,#332242,#332243,#332244)); #334598=CLOSED_SHELL('',(#332245,#332246,#332247,#332248,#332249,#332250)); #334599=CLOSED_SHELL('',(#332251,#332252,#332253,#332254,#332255,#332256, #332257,#332258,#332259,#332260,#332261,#332262)); #334600=CLOSED_SHELL('',(#332263,#332264,#332265,#332266,#332267,#332268)); #334601=CLOSED_SHELL('',(#332269,#332270,#332271,#332272,#332273,#332274, #332275,#332276)); #334602=CLOSED_SHELL('',(#332277,#332278,#332279,#332280,#332281,#332282, #332283,#332284)); #334603=CLOSED_SHELL('',(#332285,#332286,#332287,#332288,#332289,#332290, #332291,#332292)); #334604=CLOSED_SHELL('',(#332293,#332294,#332295,#332296,#332297,#332298, #332299,#332300)); #334605=CLOSED_SHELL('',(#332301,#332302,#332303,#332304,#332305,#332306, #332307,#332308)); #334606=CLOSED_SHELL('',(#332309,#332310,#332311,#332312,#332313,#332314, #332315,#332316)); #334607=CLOSED_SHELL('',(#332317,#332318,#332319,#332320,#332321,#332322, #332323,#332324)); #334608=CLOSED_SHELL('',(#332325,#332326,#332327,#332328,#332329,#332330, #332331,#332332)); #334609=CLOSED_SHELL('',(#332333,#332334,#332335,#332336,#332337,#332338)); #334610=CLOSED_SHELL('',(#332339,#332340,#332341,#332342,#332343,#332344)); #334611=CLOSED_SHELL('',(#332345,#332346,#332347,#332348,#332349,#332350)); #334612=CLOSED_SHELL('',(#332351,#332352,#332353,#332354,#332355,#332356)); #334613=CLOSED_SHELL('',(#332357,#332358,#332359,#332360,#332361,#332362)); #334614=CLOSED_SHELL('',(#332363,#332364,#332365,#332366,#332367,#332368)); #334615=CLOSED_SHELL('',(#332369,#332370,#332371,#332372,#332373,#332374)); #334616=CLOSED_SHELL('',(#332375,#332376,#332377,#332378,#332379,#332380)); #334617=CLOSED_SHELL('',(#332381,#332382,#332383,#332384,#332385,#332386, #332387,#332388,#332389,#332390)); #334618=CLOSED_SHELL('',(#332391,#332392,#332393,#332394,#332395,#332396)); #334619=CLOSED_SHELL('',(#332397,#332398,#332399,#332400,#332401,#332402)); #334620=CLOSED_SHELL('',(#332403,#332404,#332405,#332406,#332407,#332408)); #334621=CLOSED_SHELL('',(#332409,#332410,#332411,#332412,#332413,#332414)); #334622=CLOSED_SHELL('',(#332415,#332416,#332417,#332418)); #334623=CLOSED_SHELL('',(#332419,#332420,#332421,#332422,#332423,#332424, #332425,#332426,#332427,#332428,#332429,#332430,#332431,#332432,#332433, #332434,#332435,#332436,#332437)); #334624=CLOSED_SHELL('',(#332438,#332439,#332440,#332441,#332442,#332443, #332444,#332445,#332446,#332447,#332448,#332449,#332450,#332451,#332452, #332453,#332454,#332455,#332456,#332457,#332458,#332459,#332460,#332461, #332462,#332463,#332464,#332465,#332466,#332467,#332468,#332469,#332470, #332471,#332472,#332473,#332474,#332475,#332476,#332477,#332478,#332479, #332480,#332481,#332482,#332483,#332484,#332485,#332486,#332487,#332488, #332489,#332490,#332491,#332492,#332493,#332494,#332495,#332496,#332497, #332498,#332499,#332500,#332501,#332502,#332503,#332504,#332505,#332506, #332507,#332508,#332509,#332510,#332511,#332512,#332513,#332514,#332515, #332516,#332517,#332518,#332519,#332520,#332521,#332522,#332523,#332524, #332525,#332526,#332527,#332528,#332529,#332530,#332531,#332532,#332533, #332534,#332535,#332536,#332537,#332538,#332539,#332540,#332541,#332542, #332543,#332544,#332545,#332546,#332547,#332548,#332549,#332550,#332551, #332552,#332553,#332554,#332555,#332556,#332557,#332558,#332559,#332560, #332561,#332562,#332563,#332564,#332565,#332566,#332567,#332568,#332569, #332570,#332571,#332572,#332573,#332574,#332575,#332576,#332577,#332578, #332579,#332580,#332581,#332582,#332583,#332584,#332585,#332586,#332587, #332588,#332589,#332590,#332591,#332592,#332593,#332594,#332595,#332596, #332597,#332598,#332599,#332600,#332601,#332602,#332603,#332604,#332605, #332606,#332607,#332608,#332609,#332610,#332611,#332612,#332613,#332614, #332615,#332616,#332617,#332618,#332619,#332620,#332621,#332622,#332623, #332624,#332625,#332626,#332627,#332628,#332629,#332630,#332631,#332632, #332633,#332634,#332635,#332636,#332637,#332638,#332639,#332640,#332641, #332642,#332643,#332644,#332645,#332646,#332647,#332648,#332649,#332650, #332651,#332652,#332653,#332654,#332655,#332656,#332657,#332658,#332659, #332660,#332661,#332662,#332663,#332664,#332665,#332666,#332667,#332668, #332669,#332670,#332671,#332672,#332673,#332674,#332675,#332676,#332677, #332678,#332679,#332680,#332681,#332682,#332683,#332684,#332685,#332686, #332687,#332688,#332689,#332690,#332691,#332692,#332693,#332694,#332695, #332696,#332697,#332698,#332699,#332700,#332701,#332702,#332703,#332704, #332705,#332706,#332707,#332708,#332709,#332710,#332711,#332712,#332713, #332714,#332715,#332716,#332717,#332718,#332719,#332720,#332721,#332722, #332723,#332724,#332725,#332726,#332727,#332728,#332729,#332730,#332731, #332732,#332733,#332734,#332735,#332736,#332737,#332738,#332739,#332740, #332741,#332742,#332743,#332744,#332745,#332746,#332747,#332748,#332749, #332750,#332751,#332752,#332753,#332754,#332755,#332756,#332757,#332758, #332759,#332760,#332761,#332762,#332763,#332764,#332765,#332766,#332767, #332768,#332769,#332770,#332771,#332772,#332773,#332774,#332775,#332776, #332777,#332778,#332779,#332780,#332781,#332782,#332783,#332784,#332785, #332786,#332787,#332788,#332789,#332790,#332791,#332792,#332793,#332794, #332795,#332796,#332797,#332798,#332799,#332800,#332801,#332802,#332803, #332804,#332805,#332806,#332807,#332808,#332809,#332810,#332811,#332812, #332813,#332814,#332815,#332816,#332817,#332818,#332819,#332820,#332821, #332822,#332823,#332824,#332825,#332826,#332827)); #334625=CLOSED_SHELL('',(#332828,#332829,#332830,#332831,#332832,#332833, #332834,#332835,#332836,#332837,#332838,#332839)); #334626=CLOSED_SHELL('',(#332840,#332841,#332842,#332843,#332844,#332845, #332846,#332847)); #334627=CLOSED_SHELL('',(#332848,#332849,#332850,#332851,#332852,#332853, #332854,#332855)); #334628=CLOSED_SHELL('',(#332856,#332857,#332858,#332859,#332860,#332861, #332862,#332863)); #334629=CLOSED_SHELL('',(#332864,#332865,#332866,#332867,#332868,#332869, #332870,#332871)); #334630=CLOSED_SHELL('',(#332872,#332873,#332874,#332875,#332876,#332877, #332878,#332879)); #334631=CLOSED_SHELL('',(#332880,#332881,#332882,#332883,#332884,#332885, #332886,#332887)); #334632=CLOSED_SHELL('',(#332888,#332889,#332890,#332891,#332892,#332893, #332894,#332895)); #334633=CLOSED_SHELL('',(#332896,#332897,#332898,#332899,#332900,#332901, #332902,#332903)); #334634=CLOSED_SHELL('',(#332904,#332905,#332906,#332907,#332908,#332909, #332910,#332911)); #334635=CLOSED_SHELL('',(#332912,#332913,#332914,#332915,#332916,#332917, #332918,#332919)); #334636=CLOSED_SHELL('',(#332920,#332921,#332922,#332923,#332924,#332925, #332926,#332927)); #334637=CLOSED_SHELL('',(#332928,#332929,#332930,#332931,#332932,#332933, #332934,#332935)); #334638=CLOSED_SHELL('',(#332936,#332937,#332938,#332939,#332940,#332941, #332942,#332943)); #334639=CLOSED_SHELL('',(#332944,#332945,#332946,#332947,#332948,#332949, #332950,#332951)); #334640=CLOSED_SHELL('',(#332952,#332953,#332954,#332955,#332956,#332957, #332958,#332959)); #334641=CLOSED_SHELL('',(#332960,#332961,#332962,#332963,#332964,#332965, #332966,#332967)); #334642=CLOSED_SHELL('',(#332968,#332969,#332970,#332971,#332972,#332973, #332974,#332975)); #334643=CLOSED_SHELL('',(#332976,#332977,#332978,#332979,#332980,#332981, #332982,#332983)); #334644=CLOSED_SHELL('',(#332984,#332985,#332986,#332987,#332988,#332989, #332990,#332991)); #334645=CLOSED_SHELL('',(#332992,#332993,#332994,#332995,#332996,#332997, #332998,#332999)); #334646=CLOSED_SHELL('',(#333000,#333001,#333002,#333003,#333004,#333005, #333006,#333007)); #334647=CLOSED_SHELL('',(#333008,#333009,#333010,#333011,#333012,#333013, #333014,#333015)); #334648=CLOSED_SHELL('',(#333016,#333017,#333018,#333019,#333020,#333021, #333022,#333023)); #334649=CLOSED_SHELL('',(#333024,#333025,#333026,#333027,#333028,#333029, #333030,#333031)); #334650=CLOSED_SHELL('',(#333032,#333033,#333034,#333035,#333036,#333037, #333038,#333039)); #334651=CLOSED_SHELL('',(#333040,#333041,#333042,#333043,#333044,#333045, #333046,#333047)); #334652=CLOSED_SHELL('',(#333048,#333049,#333050,#333051,#333052,#333053, #333054,#333055)); #334653=CLOSED_SHELL('',(#333056,#333057,#333058,#333059,#333060,#333061, #333062,#333063)); #334654=CLOSED_SHELL('',(#333064,#333065,#333066,#333067,#333068,#333069, #333070,#333071)); #334655=CLOSED_SHELL('',(#333072,#333073,#333074,#333075,#333076,#333077, #333078,#333079)); #334656=CLOSED_SHELL('',(#333080,#333081,#333082,#333083,#333084,#333085, #333086,#333087)); #334657=CLOSED_SHELL('',(#333088,#333089,#333090,#333091,#333092,#333093, #333094,#333095)); #334658=CLOSED_SHELL('',(#333096,#333097,#333098,#333099,#333100,#333101, #333102,#333103)); #334659=CLOSED_SHELL('',(#333104,#333105,#333106,#333107,#333108,#333109, #333110,#333111)); #334660=CLOSED_SHELL('',(#333112,#333113,#333114,#333115,#333116,#333117, #333118,#333119)); #334661=CLOSED_SHELL('',(#333120,#333121,#333122,#333123,#333124,#333125, #333126,#333127)); #334662=CLOSED_SHELL('',(#333128,#333129,#333130,#333131,#333132,#333133, #333134,#333135)); #334663=CLOSED_SHELL('',(#333136,#333137,#333138,#333139,#333140,#333141, #333142,#333143)); #334664=CLOSED_SHELL('',(#333144,#333145,#333146,#333147,#333148,#333149, #333150,#333151)); #334665=CLOSED_SHELL('',(#333152,#333153,#333154,#333155,#333156,#333157, #333158,#333159)); #334666=CLOSED_SHELL('',(#333160,#333161,#333162,#333163,#333164,#333165, #333166,#333167)); #334667=CLOSED_SHELL('',(#333168,#333169,#333170,#333171,#333172,#333173, #333174,#333175)); #334668=CLOSED_SHELL('',(#333176,#333177,#333178,#333179,#333180,#333181, #333182,#333183)); #334669=CLOSED_SHELL('',(#333184,#333185,#333186,#333187,#333188,#333189, #333190,#333191)); #334670=CLOSED_SHELL('',(#333192,#333193,#333194,#333195,#333196,#333197, #333198,#333199)); #334671=CLOSED_SHELL('',(#333200,#333201,#333202,#333203,#333204,#333205, #333206,#333207)); #334672=CLOSED_SHELL('',(#333208,#333209,#333210,#333211,#333212,#333213, #333214,#333215)); #334673=CLOSED_SHELL('',(#333216,#333217,#333218,#333219,#333220,#333221, #333222,#333223)); #334674=CLOSED_SHELL('',(#333224,#333225,#333226,#333227,#333228,#333229)); #334675=CLOSED_SHELL('',(#333230,#333231,#333232,#333233,#333234,#333235, #333236,#333237,#333238,#333239,#333240,#333241,#333242,#333243,#333244, #333245,#333246,#333247,#333248,#333249,#333250,#333251,#333252,#333253, #333254,#333255)); #334676=CLOSED_SHELL('',(#333256,#333257,#333258,#333259,#333260,#333261, #333262,#333263,#333264,#333265,#333266,#333267,#333268,#333269,#333270, #333271,#333272,#333273,#333274,#333275,#333276,#333277,#333278,#333279, #333280,#333281)); #334677=CLOSED_SHELL('',(#333282,#333283,#333284,#333285,#333286,#333287)); #334678=CLOSED_SHELL('',(#333288,#333289,#333290,#333291,#333292,#333293, #333294,#333295,#333296,#333297)); #334679=CLOSED_SHELL('',(#333298,#333299,#333300,#333301,#333302,#333303)); #334680=CLOSED_SHELL('',(#333304,#333305,#333306,#333307,#333308,#333309)); #334681=CLOSED_SHELL('',(#333310,#333311,#333312,#333313,#333314,#333315)); #334682=CLOSED_SHELL('',(#333316,#333317,#333318,#333319,#333320,#333321)); #334683=CLOSED_SHELL('',(#333322,#333323,#333324,#333325,#333326,#333327)); #334684=CLOSED_SHELL('',(#333328,#333329,#333330,#333331,#333332,#333333, #333334,#333335,#333336,#333337,#333338,#333339,#333340,#333341,#333342, #333343)); #334685=CLOSED_SHELL('',(#333344,#333345,#333346,#333347,#333348,#333349, #333350,#333351,#333352,#333353,#333354,#333355,#333356,#333357)); #334686=CLOSED_SHELL('',(#333358,#333359,#333360,#333361,#333362,#333363, #333364,#333365,#333366,#333367,#333368,#333369,#333370,#333371)); #334687=CLOSED_SHELL('',(#333372,#333373,#333374,#333375,#333376,#333377, #333378,#333379,#333380,#333381,#333382,#333383,#333384,#333385)); #334688=CLOSED_SHELL('',(#333386,#333387,#333388,#333389,#333390,#333391, #333392,#333393,#333394,#333395,#333396,#333397,#333398,#333399)); #334689=CLOSED_SHELL('',(#333400,#333401,#333402,#333403,#333404,#333405, #333406,#333407,#333408,#333409,#333410,#333411,#333412,#333413)); #334690=CLOSED_SHELL('',(#333414,#333415,#333416,#333417,#333418,#333419, #333420,#333421,#333422,#333423,#333424,#333425,#333426,#333427)); #334691=CLOSED_SHELL('',(#333428,#333429,#333430,#333431,#333432,#333433, #333434,#333435,#333436,#333437,#333438,#333439,#333440,#333441)); #334692=CLOSED_SHELL('',(#333442,#333443,#333444,#333445,#333446,#333447, #333448,#333449,#333450,#333451,#333452,#333453,#333454,#333455)); #334693=CLOSED_SHELL('',(#333456,#333457,#333458,#333459,#333460,#333461, #333462,#333463,#333464,#333465,#333466,#333467,#333468,#333469,#333470, #333471)); #334694=CLOSED_SHELL('',(#333472,#333473,#333474,#333475,#333476,#333477, #333478,#333479,#333480,#333481,#333482,#333483,#333484,#333485)); #334695=CLOSED_SHELL('',(#333486,#333487,#333488,#333489,#333490,#333491, #333492,#333493,#333494,#333495,#333496,#333497,#333498,#333499)); #334696=CLOSED_SHELL('',(#333500,#333501,#333502,#333503,#333504,#333505, #333506,#333507,#333508,#333509,#333510,#333511,#333512,#333513)); #334697=CLOSED_SHELL('',(#333514,#333515,#333516,#333517,#333518,#333519, #333520,#333521,#333522,#333523,#333524,#333525,#333526,#333527)); #334698=CLOSED_SHELL('',(#333528,#333529,#333530,#333531,#333532,#333533, #333534,#333535,#333536,#333537,#333538,#333539,#333540,#333541)); #334699=CLOSED_SHELL('',(#333542,#333543,#333544,#333545,#333546,#333547, #333548,#333549,#333550,#333551,#333552,#333553,#333554,#333555,#333556, #333557,#333558,#333559,#333560,#333561,#333562,#333563,#333564,#333565, #333566,#333567)); #334700=CLOSED_SHELL('',(#333568,#333569,#333570,#333571,#333572,#333573, #333574,#333575,#333576,#333577,#333578,#333579,#333580,#333581,#333582, #333583,#333584,#333585,#333586,#333587,#333588,#333589,#333590,#333591, #333592,#333593)); #334701=CLOSED_SHELL('',(#333594,#333595,#333596,#333597,#333598,#333599)); #334702=CLOSED_SHELL('',(#333600,#333601,#333602,#333603,#333604,#333605, #333606,#333607,#333608,#333609,#333610,#333611,#333612,#333613,#333614, #333615,#333616,#333617,#333618,#333619,#333620,#333621,#333622,#333623, #333624,#333625,#333626,#333627,#333628,#333629,#333630,#333631,#333632, #333633,#333634,#333635,#333636,#333637,#333638,#333639,#333640,#333641, #333642,#333643,#333644,#333645,#333646,#333647,#333648,#333649,#333650, #333651,#333652,#333653,#333654,#333655,#333656,#333657,#333658,#333659, #333660,#333661,#333662,#333663,#333664,#333665,#333666,#333667,#333668, #333669,#333670,#333671,#333672,#333673,#333674,#333675,#333676,#333677, #333678,#333679,#333680,#333681,#333682,#333683,#333684,#333685,#333686, #333687,#333688,#333689,#333690,#333691,#333692,#333693,#333694,#333695, #333696,#333697)); #334703=CLOSED_SHELL('',(#333698,#333699,#333700)); #334704=CLOSED_SHELL('',(#333701,#333702,#333703,#333704)); #334705=CLOSED_SHELL('',(#333705,#333706,#333707)); #334706=CLOSED_SHELL('',(#333708,#333709,#333710)); #334707=CLOSED_SHELL('',(#333711,#333712,#333713)); #334708=DERIVED_UNIT_ELEMENT(#334792,1.); #334709=DERIVED_UNIT_ELEMENT(#546117,-3.); #334710=DERIVED_UNIT_ELEMENT(#334792,1.); #334711=DERIVED_UNIT_ELEMENT(#546117,-3.); #334712=DERIVED_UNIT_ELEMENT(#334792,1.); #334713=DERIVED_UNIT_ELEMENT(#546117,-3.); #334714=DERIVED_UNIT_ELEMENT(#334792,1.); #334715=DERIVED_UNIT_ELEMENT(#546117,-3.); #334716=DERIVED_UNIT_ELEMENT(#334792,1.); #334717=DERIVED_UNIT_ELEMENT(#546117,-3.); #334718=DERIVED_UNIT_ELEMENT(#334792,1.); #334719=DERIVED_UNIT_ELEMENT(#546117,-3.); #334720=DERIVED_UNIT_ELEMENT(#334792,1.); #334721=DERIVED_UNIT_ELEMENT(#546117,-3.); #334722=DERIVED_UNIT_ELEMENT(#334792,1.); #334723=DERIVED_UNIT_ELEMENT(#546117,-3.); #334724=DERIVED_UNIT_ELEMENT(#334792,1.); #334725=DERIVED_UNIT_ELEMENT(#546117,-3.); #334726=DERIVED_UNIT_ELEMENT(#334792,1.); #334727=DERIVED_UNIT_ELEMENT(#546117,-3.); #334728=DERIVED_UNIT_ELEMENT(#334792,1.); #334729=DERIVED_UNIT_ELEMENT(#546117,-3.); #334730=DERIVED_UNIT_ELEMENT(#334792,1.); #334731=DERIVED_UNIT_ELEMENT(#546117,-3.); #334732=DERIVED_UNIT_ELEMENT(#334792,1.); #334733=DERIVED_UNIT_ELEMENT(#546117,-3.); #334734=DERIVED_UNIT_ELEMENT(#334792,1.); #334735=DERIVED_UNIT_ELEMENT(#546117,-3.); #334736=DERIVED_UNIT_ELEMENT(#334792,1.); #334737=DERIVED_UNIT_ELEMENT(#546117,-3.); #334738=DERIVED_UNIT_ELEMENT(#334792,1.); #334739=DERIVED_UNIT_ELEMENT(#546117,-3.); #334740=DERIVED_UNIT_ELEMENT(#334792,1.); #334741=DERIVED_UNIT_ELEMENT(#546117,-3.); #334742=DERIVED_UNIT_ELEMENT(#334792,1.); #334743=DERIVED_UNIT_ELEMENT(#546117,-3.); #334744=DERIVED_UNIT_ELEMENT(#334792,1.); #334745=DERIVED_UNIT_ELEMENT(#546117,-3.); #334746=DERIVED_UNIT_ELEMENT(#334792,1.); #334747=DERIVED_UNIT_ELEMENT(#546117,-3.); #334748=DERIVED_UNIT_ELEMENT(#334792,1.); #334749=DERIVED_UNIT_ELEMENT(#546117,-3.); #334750=DERIVED_UNIT_ELEMENT(#334792,1.); #334751=DERIVED_UNIT_ELEMENT(#546117,-3.); #334752=DERIVED_UNIT_ELEMENT(#334792,1.); #334753=DERIVED_UNIT_ELEMENT(#546117,-3.); #334754=DERIVED_UNIT_ELEMENT(#334792,1.); #334755=DERIVED_UNIT_ELEMENT(#546117,-3.); #334756=DERIVED_UNIT_ELEMENT(#334792,1.); #334757=DERIVED_UNIT_ELEMENT(#546117,-3.); #334758=DERIVED_UNIT_ELEMENT(#334792,1.); #334759=DERIVED_UNIT_ELEMENT(#546117,-3.); #334760=DERIVED_UNIT_ELEMENT(#334792,1.); #334761=DERIVED_UNIT_ELEMENT(#546117,-3.); #334762=DERIVED_UNIT_ELEMENT(#334792,1.); #334763=DERIVED_UNIT_ELEMENT(#546117,-3.); #334764=DERIVED_UNIT_ELEMENT(#334792,1.); #334765=DERIVED_UNIT_ELEMENT(#546117,-3.); #334766=DERIVED_UNIT_ELEMENT(#334792,1.); #334767=DERIVED_UNIT_ELEMENT(#546117,-3.); #334768=DERIVED_UNIT_ELEMENT(#334792,1.); #334769=DERIVED_UNIT_ELEMENT(#546117,-3.); #334770=DERIVED_UNIT_ELEMENT(#334792,1.); #334771=DERIVED_UNIT_ELEMENT(#546117,-3.); #334772=DERIVED_UNIT_ELEMENT(#334792,1.); #334773=DERIVED_UNIT_ELEMENT(#546117,-3.); #334774=DERIVED_UNIT_ELEMENT(#334792,1.); #334775=DERIVED_UNIT_ELEMENT(#546117,-3.); #334776=DERIVED_UNIT_ELEMENT(#334792,1.); #334777=DERIVED_UNIT_ELEMENT(#546117,-3.); #334778=DERIVED_UNIT_ELEMENT(#334792,1.); #334779=DERIVED_UNIT_ELEMENT(#546117,-3.); #334780=DERIVED_UNIT_ELEMENT(#334792,1.); #334781=DERIVED_UNIT_ELEMENT(#546117,-3.); #334782=DERIVED_UNIT_ELEMENT(#334792,1.); #334783=DERIVED_UNIT_ELEMENT(#546117,-3.); #334784=DERIVED_UNIT_ELEMENT(#334792,1.); #334785=DERIVED_UNIT_ELEMENT(#546117,-3.); #334786=DERIVED_UNIT_ELEMENT(#334792,1.); #334787=DERIVED_UNIT_ELEMENT(#546117,-3.); #334788=DERIVED_UNIT_ELEMENT(#334792,1.); #334789=DERIVED_UNIT_ELEMENT(#546117,-3.); #334790=DERIVED_UNIT_ELEMENT(#334792,1.); #334791=DERIVED_UNIT_ELEMENT(#546117,-3.); #334792=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #334793=DERIVED_UNIT((#334708,#334709)); #334794=DERIVED_UNIT((#334710,#334711)); #334795=DERIVED_UNIT((#334712,#334713)); #334796=DERIVED_UNIT((#334714,#334715)); #334797=DERIVED_UNIT((#334716,#334717)); #334798=DERIVED_UNIT((#334718,#334719)); #334799=DERIVED_UNIT((#334720,#334721)); #334800=DERIVED_UNIT((#334722,#334723)); #334801=DERIVED_UNIT((#334724,#334725)); #334802=DERIVED_UNIT((#334726,#334727)); #334803=DERIVED_UNIT((#334728,#334729)); #334804=DERIVED_UNIT((#334730,#334731)); #334805=DERIVED_UNIT((#334732,#334733)); #334806=DERIVED_UNIT((#334734,#334735)); #334807=DERIVED_UNIT((#334736,#334737)); #334808=DERIVED_UNIT((#334738,#334739)); #334809=DERIVED_UNIT((#334740,#334741)); #334810=DERIVED_UNIT((#334742,#334743)); #334811=DERIVED_UNIT((#334744,#334745)); #334812=DERIVED_UNIT((#334746,#334747)); #334813=DERIVED_UNIT((#334748,#334749)); #334814=DERIVED_UNIT((#334750,#334751)); #334815=DERIVED_UNIT((#334752,#334753)); #334816=DERIVED_UNIT((#334754,#334755)); #334817=DERIVED_UNIT((#334756,#334757)); #334818=DERIVED_UNIT((#334758,#334759)); #334819=DERIVED_UNIT((#334760,#334761)); #334820=DERIVED_UNIT((#334762,#334763)); #334821=DERIVED_UNIT((#334764,#334765)); #334822=DERIVED_UNIT((#334766,#334767)); #334823=DERIVED_UNIT((#334768,#334769)); #334824=DERIVED_UNIT((#334770,#334771)); #334825=DERIVED_UNIT((#334772,#334773)); #334826=DERIVED_UNIT((#334774,#334775)); #334827=DERIVED_UNIT((#334776,#334777)); #334828=DERIVED_UNIT((#334778,#334779)); #334829=DERIVED_UNIT((#334780,#334781)); #334830=DERIVED_UNIT((#334782,#334783)); #334831=DERIVED_UNIT((#334784,#334785)); #334832=DERIVED_UNIT((#334786,#334787)); #334833=DERIVED_UNIT((#334788,#334789)); #334834=DERIVED_UNIT((#334790,#334791)); #334835=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#334793); #334836=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#334794); #334837=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#334795); #334838=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#334796); #334839=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#334797); #334840=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334798); #334841=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334799); #334842=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334800); #334843=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334801); #334844=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334802); #334845=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334803); #334846=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334804); #334847=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334805); #334848=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334806); #334849=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334807); #334850=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334808); #334851=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334809); #334852=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334810); #334853=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334811); #334854=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334812); #334855=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334813); #334856=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334814); #334857=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334815); #334858=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334816); #334859=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334817); #334860=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334818); #334861=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334819); #334862=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334820); #334863=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334821); #334864=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334822); #334865=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334823); #334866=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334824); #334867=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334825); #334868=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334826); #334869=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334827); #334870=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334828); #334871=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334829); #334872=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334830); #334873=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334831); #334874=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334832); #334875=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334833); #334876=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#334834); #334877=PROPERTY_DEFINITION_REPRESENTATION(#335087,#334961); #334878=PROPERTY_DEFINITION_REPRESENTATION(#335088,#334962); #334879=PROPERTY_DEFINITION_REPRESENTATION(#335089,#334963); #334880=PROPERTY_DEFINITION_REPRESENTATION(#335090,#334964); #334881=PROPERTY_DEFINITION_REPRESENTATION(#335091,#334965); #334882=PROPERTY_DEFINITION_REPRESENTATION(#335092,#334966); #334883=PROPERTY_DEFINITION_REPRESENTATION(#335093,#334967); #334884=PROPERTY_DEFINITION_REPRESENTATION(#335094,#334968); #334885=PROPERTY_DEFINITION_REPRESENTATION(#335095,#334969); #334886=PROPERTY_DEFINITION_REPRESENTATION(#335096,#334970); #334887=PROPERTY_DEFINITION_REPRESENTATION(#335097,#334971); #334888=PROPERTY_DEFINITION_REPRESENTATION(#335098,#334972); #334889=PROPERTY_DEFINITION_REPRESENTATION(#335099,#334973); #334890=PROPERTY_DEFINITION_REPRESENTATION(#335100,#334974); #334891=PROPERTY_DEFINITION_REPRESENTATION(#335101,#334975); #334892=PROPERTY_DEFINITION_REPRESENTATION(#335102,#334976); #334893=PROPERTY_DEFINITION_REPRESENTATION(#335103,#334977); #334894=PROPERTY_DEFINITION_REPRESENTATION(#335104,#334978); #334895=PROPERTY_DEFINITION_REPRESENTATION(#335105,#334979); #334896=PROPERTY_DEFINITION_REPRESENTATION(#335106,#334980); #334897=PROPERTY_DEFINITION_REPRESENTATION(#335107,#334981); #334898=PROPERTY_DEFINITION_REPRESENTATION(#335108,#334982); #334899=PROPERTY_DEFINITION_REPRESENTATION(#335109,#334983); #334900=PROPERTY_DEFINITION_REPRESENTATION(#335110,#334984); #334901=PROPERTY_DEFINITION_REPRESENTATION(#335111,#334985); #334902=PROPERTY_DEFINITION_REPRESENTATION(#335112,#334986); #334903=PROPERTY_DEFINITION_REPRESENTATION(#335113,#334987); #334904=PROPERTY_DEFINITION_REPRESENTATION(#335114,#334988); #334905=PROPERTY_DEFINITION_REPRESENTATION(#335115,#334989); #334906=PROPERTY_DEFINITION_REPRESENTATION(#335116,#334990); #334907=PROPERTY_DEFINITION_REPRESENTATION(#335117,#334991); #334908=PROPERTY_DEFINITION_REPRESENTATION(#335118,#334992); #334909=PROPERTY_DEFINITION_REPRESENTATION(#335119,#334993); #334910=PROPERTY_DEFINITION_REPRESENTATION(#335120,#334994); #334911=PROPERTY_DEFINITION_REPRESENTATION(#335121,#334995); #334912=PROPERTY_DEFINITION_REPRESENTATION(#335122,#334996); #334913=PROPERTY_DEFINITION_REPRESENTATION(#335123,#334997); #334914=PROPERTY_DEFINITION_REPRESENTATION(#335124,#334998); #334915=PROPERTY_DEFINITION_REPRESENTATION(#335125,#334999); #334916=PROPERTY_DEFINITION_REPRESENTATION(#335126,#335000); #334917=PROPERTY_DEFINITION_REPRESENTATION(#335127,#335001); #334918=PROPERTY_DEFINITION_REPRESENTATION(#335128,#335002); #334919=PROPERTY_DEFINITION_REPRESENTATION(#335129,#335003); #334920=PROPERTY_DEFINITION_REPRESENTATION(#335130,#335004); #334921=PROPERTY_DEFINITION_REPRESENTATION(#335131,#335005); #334922=PROPERTY_DEFINITION_REPRESENTATION(#335132,#335006); #334923=PROPERTY_DEFINITION_REPRESENTATION(#335133,#335007); #334924=PROPERTY_DEFINITION_REPRESENTATION(#335134,#335008); #334925=PROPERTY_DEFINITION_REPRESENTATION(#335135,#335009); #334926=PROPERTY_DEFINITION_REPRESENTATION(#335136,#335010); #334927=PROPERTY_DEFINITION_REPRESENTATION(#335137,#335011); #334928=PROPERTY_DEFINITION_REPRESENTATION(#335138,#335012); #334929=PROPERTY_DEFINITION_REPRESENTATION(#335139,#335013); #334930=PROPERTY_DEFINITION_REPRESENTATION(#335140,#335014); #334931=PROPERTY_DEFINITION_REPRESENTATION(#335141,#335015); #334932=PROPERTY_DEFINITION_REPRESENTATION(#335142,#335016); #334933=PROPERTY_DEFINITION_REPRESENTATION(#335143,#335017); #334934=PROPERTY_DEFINITION_REPRESENTATION(#335144,#335018); #334935=PROPERTY_DEFINITION_REPRESENTATION(#335145,#335019); #334936=PROPERTY_DEFINITION_REPRESENTATION(#335146,#335020); #334937=PROPERTY_DEFINITION_REPRESENTATION(#335147,#335021); #334938=PROPERTY_DEFINITION_REPRESENTATION(#335148,#335022); #334939=PROPERTY_DEFINITION_REPRESENTATION(#335149,#335023); #334940=PROPERTY_DEFINITION_REPRESENTATION(#335150,#335024); #334941=PROPERTY_DEFINITION_REPRESENTATION(#335151,#335025); #334942=PROPERTY_DEFINITION_REPRESENTATION(#335152,#335026); #334943=PROPERTY_DEFINITION_REPRESENTATION(#335153,#335027); #334944=PROPERTY_DEFINITION_REPRESENTATION(#335154,#335028); #334945=PROPERTY_DEFINITION_REPRESENTATION(#335155,#335029); #334946=PROPERTY_DEFINITION_REPRESENTATION(#335156,#335030); #334947=PROPERTY_DEFINITION_REPRESENTATION(#335157,#335031); #334948=PROPERTY_DEFINITION_REPRESENTATION(#335158,#335032); #334949=PROPERTY_DEFINITION_REPRESENTATION(#335159,#335033); #334950=PROPERTY_DEFINITION_REPRESENTATION(#335160,#335034); #334951=PROPERTY_DEFINITION_REPRESENTATION(#335161,#335035); #334952=PROPERTY_DEFINITION_REPRESENTATION(#335162,#335036); #334953=PROPERTY_DEFINITION_REPRESENTATION(#335163,#335037); #334954=PROPERTY_DEFINITION_REPRESENTATION(#335164,#335038); #334955=PROPERTY_DEFINITION_REPRESENTATION(#335165,#335039); #334956=PROPERTY_DEFINITION_REPRESENTATION(#335166,#335040); #334957=PROPERTY_DEFINITION_REPRESENTATION(#335167,#335041); #334958=PROPERTY_DEFINITION_REPRESENTATION(#335168,#335042); #334959=PROPERTY_DEFINITION_REPRESENTATION(#335169,#335043); #334960=PROPERTY_DEFINITION_REPRESENTATION(#335170,#335044); #334961=REPRESENTATION('material name',(#335045),#546050); #334962=REPRESENTATION('density',(#334835),#546050); #334963=REPRESENTATION('material name',(#335046),#546051); #334964=REPRESENTATION('density',(#334836),#546051); #334965=REPRESENTATION('material name',(#335047),#546052); #334966=REPRESENTATION('density',(#334837),#546052); #334967=REPRESENTATION('material name',(#335048),#546053); #334968=REPRESENTATION('density',(#334838),#546053); #334969=REPRESENTATION('material name',(#335049),#546054); #334970=REPRESENTATION('density',(#334839),#546054); #334971=REPRESENTATION('material name',(#335050),#546057); #334972=REPRESENTATION('density',(#334840),#546057); #334973=REPRESENTATION('material name',(#335051),#546059); #334974=REPRESENTATION('density',(#334841),#546059); #334975=REPRESENTATION('material name',(#335052),#546063); #334976=REPRESENTATION('density',(#334842),#546063); #334977=REPRESENTATION('material name',(#335053),#546064); #334978=REPRESENTATION('density',(#334843),#546064); #334979=REPRESENTATION('material name',(#335054),#546065); #334980=REPRESENTATION('density',(#334844),#546065); #334981=REPRESENTATION('material name',(#335055),#546066); #334982=REPRESENTATION('density',(#334845),#546066); #334983=REPRESENTATION('material name',(#335056),#546067); #334984=REPRESENTATION('density',(#334846),#546067); #334985=REPRESENTATION('material name',(#335057),#546068); #334986=REPRESENTATION('density',(#334847),#546068); #334987=REPRESENTATION('material name',(#335058),#546069); #334988=REPRESENTATION('density',(#334848),#546069); #334989=REPRESENTATION('material name',(#335059),#546070); #334990=REPRESENTATION('density',(#334849),#546070); #334991=REPRESENTATION('material name',(#335060),#546071); #334992=REPRESENTATION('density',(#334850),#546071); #334993=REPRESENTATION('material name',(#335061),#546072); #334994=REPRESENTATION('density',(#334851),#546072); #334995=REPRESENTATION('material name',(#335062),#546073); #334996=REPRESENTATION('density',(#334852),#546073); #334997=REPRESENTATION('material name',(#335063),#546074); #334998=REPRESENTATION('density',(#334853),#546074); #334999=REPRESENTATION('material name',(#335064),#546075); #335000=REPRESENTATION('density',(#334854),#546075); #335001=REPRESENTATION('material name',(#335065),#546076); #335002=REPRESENTATION('density',(#334855),#546076); #335003=REPRESENTATION('material name',(#335066),#546077); #335004=REPRESENTATION('density',(#334856),#546077); #335005=REPRESENTATION('material name',(#335067),#546078); #335006=REPRESENTATION('density',(#334857),#546078); #335007=REPRESENTATION('material name',(#335068),#546079); #335008=REPRESENTATION('density',(#334858),#546079); #335009=REPRESENTATION('material name',(#335069),#546080); #335010=REPRESENTATION('density',(#334859),#546080); #335011=REPRESENTATION('material name',(#335070),#546082); #335012=REPRESENTATION('density',(#334860),#546082); #335013=REPRESENTATION('material name',(#335071),#546084); #335014=REPRESENTATION('density',(#334861),#546084); #335015=REPRESENTATION('material name',(#335072),#546086); #335016=REPRESENTATION('density',(#334862),#546086); #335017=REPRESENTATION('material name',(#335073),#546088); #335018=REPRESENTATION('density',(#334863),#546088); #335019=REPRESENTATION('material name',(#335074),#546090); #335020=REPRESENTATION('density',(#334864),#546090); #335021=REPRESENTATION('material name',(#335075),#546092); #335022=REPRESENTATION('density',(#334865),#546092); #335023=REPRESENTATION('material name',(#335076),#546094); #335024=REPRESENTATION('density',(#334866),#546094); #335025=REPRESENTATION('material name',(#335077),#546096); #335026=REPRESENTATION('density',(#334867),#546096); #335027=REPRESENTATION('material name',(#335078),#546098); #335028=REPRESENTATION('density',(#334868),#546098); #335029=REPRESENTATION('material name',(#335079),#546100); #335030=REPRESENTATION('density',(#334869),#546100); #335031=REPRESENTATION('material name',(#335080),#546102); #335032=REPRESENTATION('density',(#334870),#546102); #335033=REPRESENTATION('material name',(#335081),#546104); #335034=REPRESENTATION('density',(#334871),#546104); #335035=REPRESENTATION('material name',(#335082),#546106); #335036=REPRESENTATION('density',(#334872),#546106); #335037=REPRESENTATION('material name',(#335083),#546108); #335038=REPRESENTATION('density',(#334873),#546108); #335039=REPRESENTATION('material name',(#335084),#546110); #335040=REPRESENTATION('density',(#334874),#546110); #335041=REPRESENTATION('material name',(#335085),#546112); #335042=REPRESENTATION('density',(#334875),#546112); #335043=REPRESENTATION('material name',(#335086),#546114); #335044=REPRESENTATION('density',(#334876),#546114); #335045=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #335046=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #335047=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #335048=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #335049=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #335050=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335051=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335052=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335053=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335054=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335055=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335056=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335057=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335058=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335059=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335060=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335061=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335062=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335063=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335064=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335065=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335066=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335067=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335068=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335069=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335070=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335071=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335072=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335073=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335074=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335075=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335076=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335077=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335078=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335079=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335080=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335081=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335082=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335083=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335084=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335085=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335086=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #335087=PROPERTY_DEFINITION('material property','material name',#546435); #335088=PROPERTY_DEFINITION('material property','density of part',#546435); #335089=PROPERTY_DEFINITION('material property','material name',#546436); #335090=PROPERTY_DEFINITION('material property','density of part',#546436); #335091=PROPERTY_DEFINITION('material property','material name',#546437); #335092=PROPERTY_DEFINITION('material property','density of part',#546437); #335093=PROPERTY_DEFINITION('material property','material name',#546438); #335094=PROPERTY_DEFINITION('material property','density of part',#546438); #335095=PROPERTY_DEFINITION('material property','material name',#546439); #335096=PROPERTY_DEFINITION('material property','density of part',#546439); #335097=PROPERTY_DEFINITION('material property','material name',#546442); #335098=PROPERTY_DEFINITION('material property','density of part',#546442); #335099=PROPERTY_DEFINITION('material property','material name',#546444); #335100=PROPERTY_DEFINITION('material property','density of part',#546444); #335101=PROPERTY_DEFINITION('material property','material name',#546448); #335102=PROPERTY_DEFINITION('material property','density of part',#546448); #335103=PROPERTY_DEFINITION('material property','material name',#546449); #335104=PROPERTY_DEFINITION('material property','density of part',#546449); #335105=PROPERTY_DEFINITION('material property','material name',#546450); #335106=PROPERTY_DEFINITION('material property','density of part',#546450); #335107=PROPERTY_DEFINITION('material property','material name',#546451); #335108=PROPERTY_DEFINITION('material property','density of part',#546451); #335109=PROPERTY_DEFINITION('material property','material name',#546452); #335110=PROPERTY_DEFINITION('material property','density of part',#546452); #335111=PROPERTY_DEFINITION('material property','material name',#546453); #335112=PROPERTY_DEFINITION('material property','density of part',#546453); #335113=PROPERTY_DEFINITION('material property','material name',#546454); #335114=PROPERTY_DEFINITION('material property','density of part',#546454); #335115=PROPERTY_DEFINITION('material property','material name',#546455); #335116=PROPERTY_DEFINITION('material property','density of part',#546455); #335117=PROPERTY_DEFINITION('material property','material name',#546456); #335118=PROPERTY_DEFINITION('material property','density of part',#546456); #335119=PROPERTY_DEFINITION('material property','material name',#546457); #335120=PROPERTY_DEFINITION('material property','density of part',#546457); #335121=PROPERTY_DEFINITION('material property','material name',#546458); #335122=PROPERTY_DEFINITION('material property','density of part',#546458); #335123=PROPERTY_DEFINITION('material property','material name',#546459); #335124=PROPERTY_DEFINITION('material property','density of part',#546459); #335125=PROPERTY_DEFINITION('material property','material name',#546460); #335126=PROPERTY_DEFINITION('material property','density of part',#546460); #335127=PROPERTY_DEFINITION('material property','material name',#546461); #335128=PROPERTY_DEFINITION('material property','density of part',#546461); #335129=PROPERTY_DEFINITION('material property','material name',#546462); #335130=PROPERTY_DEFINITION('material property','density of part',#546462); #335131=PROPERTY_DEFINITION('material property','material name',#546463); #335132=PROPERTY_DEFINITION('material property','density of part',#546463); #335133=PROPERTY_DEFINITION('material property','material name',#546464); #335134=PROPERTY_DEFINITION('material property','density of part',#546464); #335135=PROPERTY_DEFINITION('material property','material name',#546465); #335136=PROPERTY_DEFINITION('material property','density of part',#546465); #335137=PROPERTY_DEFINITION('material property','material name',#546467); #335138=PROPERTY_DEFINITION('material property','density of part',#546467); #335139=PROPERTY_DEFINITION('material property','material name',#546469); #335140=PROPERTY_DEFINITION('material property','density of part',#546469); #335141=PROPERTY_DEFINITION('material property','material name',#546471); #335142=PROPERTY_DEFINITION('material property','density of part',#546471); #335143=PROPERTY_DEFINITION('material property','material name',#546473); #335144=PROPERTY_DEFINITION('material property','density of part',#546473); #335145=PROPERTY_DEFINITION('material property','material name',#546475); #335146=PROPERTY_DEFINITION('material property','density of part',#546475); #335147=PROPERTY_DEFINITION('material property','material name',#546477); #335148=PROPERTY_DEFINITION('material property','density of part',#546477); #335149=PROPERTY_DEFINITION('material property','material name',#546479); #335150=PROPERTY_DEFINITION('material property','density of part',#546479); #335151=PROPERTY_DEFINITION('material property','material name',#546481); #335152=PROPERTY_DEFINITION('material property','density of part',#546481); #335153=PROPERTY_DEFINITION('material property','material name',#546483); #335154=PROPERTY_DEFINITION('material property','density of part',#546483); #335155=PROPERTY_DEFINITION('material property','material name',#546485); #335156=PROPERTY_DEFINITION('material property','density of part',#546485); #335157=PROPERTY_DEFINITION('material property','material name',#546487); #335158=PROPERTY_DEFINITION('material property','density of part',#546487); #335159=PROPERTY_DEFINITION('material property','material name',#546489); #335160=PROPERTY_DEFINITION('material property','density of part',#546489); #335161=PROPERTY_DEFINITION('material property','material name',#546491); #335162=PROPERTY_DEFINITION('material property','density of part',#546491); #335163=PROPERTY_DEFINITION('material property','material name',#546493); #335164=PROPERTY_DEFINITION('material property','density of part',#546493); #335165=PROPERTY_DEFINITION('material property','material name',#546495); #335166=PROPERTY_DEFINITION('material property','density of part',#546495); #335167=PROPERTY_DEFINITION('material property','material name',#546497); #335168=PROPERTY_DEFINITION('material property','density of part',#546497); #335169=PROPERTY_DEFINITION('material property','material name',#546499); #335170=PROPERTY_DEFINITION('material property','density of part',#546499); #335171=AXIS2_PLACEMENT_3D('',#449407,#360610,#360611); #335172=AXIS2_PLACEMENT_3D('',#449408,#360612,#360613); #335173=AXIS2_PLACEMENT_3D('',#449409,#360614,#360615); #335174=AXIS2_PLACEMENT_3D('',#449411,#360616,#360617); #335175=AXIS2_PLACEMENT_3D('',#449414,#360619,#360620); #335176=AXIS2_PLACEMENT_3D('',#449415,#360621,#360622); #335177=AXIS2_PLACEMENT_3D('',#449417,#360623,#360624); #335178=AXIS2_PLACEMENT_3D('',#449420,#360626,#360627); #335179=AXIS2_PLACEMENT_3D('',#449421,#360628,#360629); #335180=AXIS2_PLACEMENT_3D('',#449423,#360630,#360631); #335181=AXIS2_PLACEMENT_3D('',#449426,#360633,#360634); #335182=AXIS2_PLACEMENT_3D('',#449427,#360635,#360636); #335183=AXIS2_PLACEMENT_3D('',#449429,#360637,#360638); #335184=AXIS2_PLACEMENT_3D('',#449432,#360640,#360641); #335185=AXIS2_PLACEMENT_3D('',#449433,#360642,#360643); #335186=AXIS2_PLACEMENT_3D('',#449435,#360644,#360645); #335187=AXIS2_PLACEMENT_3D('',#449438,#360647,#360648); #335188=AXIS2_PLACEMENT_3D('',#449439,#360649,#360650); #335189=AXIS2_PLACEMENT_3D('',#449441,#360651,#360652); #335190=AXIS2_PLACEMENT_3D('',#449444,#360654,#360655); #335191=AXIS2_PLACEMENT_3D('',#449445,#360656,#360657); #335192=AXIS2_PLACEMENT_3D('',#449447,#360658,#360659); #335193=AXIS2_PLACEMENT_3D('',#449450,#360661,#360662); #335194=AXIS2_PLACEMENT_3D('',#449451,#360663,#360664); #335195=AXIS2_PLACEMENT_3D('',#449453,#360665,#360666); #335196=AXIS2_PLACEMENT_3D('',#449456,#360668,#360669); #335197=AXIS2_PLACEMENT_3D('',#449457,#360670,#360671); #335198=AXIS2_PLACEMENT_3D('',#449459,#360672,#360673); #335199=AXIS2_PLACEMENT_3D('',#449462,#360675,#360676); #335200=AXIS2_PLACEMENT_3D('',#449463,#360677,#360678); #335201=AXIS2_PLACEMENT_3D('',#449465,#360679,#360680); #335202=AXIS2_PLACEMENT_3D('',#449468,#360682,#360683); #335203=AXIS2_PLACEMENT_3D('',#449469,#360684,#360685); #335204=AXIS2_PLACEMENT_3D('',#449471,#360686,#360687); #335205=AXIS2_PLACEMENT_3D('',#449474,#360689,#360690); #335206=AXIS2_PLACEMENT_3D('',#449475,#360691,#360692); #335207=AXIS2_PLACEMENT_3D('',#449477,#360693,#360694); #335208=AXIS2_PLACEMENT_3D('',#449480,#360696,#360697); #335209=AXIS2_PLACEMENT_3D('',#449481,#360698,#360699); #335210=AXIS2_PLACEMENT_3D('',#449483,#360700,#360701); #335211=AXIS2_PLACEMENT_3D('',#449486,#360703,#360704); #335212=AXIS2_PLACEMENT_3D('',#449487,#360705,#360706); #335213=AXIS2_PLACEMENT_3D('',#449489,#360707,#360708); #335214=AXIS2_PLACEMENT_3D('',#449492,#360710,#360711); #335215=AXIS2_PLACEMENT_3D('',#449493,#360712,#360713); #335216=AXIS2_PLACEMENT_3D('',#449495,#360714,#360715); #335217=AXIS2_PLACEMENT_3D('',#449498,#360717,#360718); #335218=AXIS2_PLACEMENT_3D('',#449499,#360719,#360720); #335219=AXIS2_PLACEMENT_3D('',#449501,#360721,#360722); #335220=AXIS2_PLACEMENT_3D('',#449504,#360724,#360725); #335221=AXIS2_PLACEMENT_3D('',#449505,#360726,#360727); #335222=AXIS2_PLACEMENT_3D('',#449507,#360728,#360729); #335223=AXIS2_PLACEMENT_3D('',#449510,#360731,#360732); #335224=AXIS2_PLACEMENT_3D('',#449511,#360733,#360734); #335225=AXIS2_PLACEMENT_3D('',#449513,#360735,#360736); #335226=AXIS2_PLACEMENT_3D('',#449516,#360738,#360739); #335227=AXIS2_PLACEMENT_3D('',#449517,#360740,#360741); #335228=AXIS2_PLACEMENT_3D('',#449519,#360742,#360743); #335229=AXIS2_PLACEMENT_3D('',#449522,#360745,#360746); #335230=AXIS2_PLACEMENT_3D('',#449523,#360747,#360748); #335231=AXIS2_PLACEMENT_3D('',#449525,#360749,#360750); #335232=AXIS2_PLACEMENT_3D('',#449528,#360752,#360753); #335233=AXIS2_PLACEMENT_3D('',#449529,#360754,#360755); #335234=AXIS2_PLACEMENT_3D('',#449531,#360756,#360757); #335235=AXIS2_PLACEMENT_3D('',#449534,#360759,#360760); #335236=AXIS2_PLACEMENT_3D('',#449535,#360761,#360762); #335237=AXIS2_PLACEMENT_3D('',#449537,#360763,#360764); #335238=AXIS2_PLACEMENT_3D('',#449540,#360766,#360767); #335239=AXIS2_PLACEMENT_3D('',#449541,#360768,#360769); #335240=AXIS2_PLACEMENT_3D('',#449543,#360770,#360771); #335241=AXIS2_PLACEMENT_3D('',#449546,#360773,#360774); #335242=AXIS2_PLACEMENT_3D('',#449547,#360775,#360776); #335243=AXIS2_PLACEMENT_3D('',#449549,#360777,#360778); #335244=AXIS2_PLACEMENT_3D('',#449552,#360780,#360781); #335245=AXIS2_PLACEMENT_3D('',#449553,#360782,#360783); #335246=AXIS2_PLACEMENT_3D('',#449555,#360784,#360785); #335247=AXIS2_PLACEMENT_3D('',#449558,#360787,#360788); #335248=AXIS2_PLACEMENT_3D('',#449559,#360789,#360790); #335249=AXIS2_PLACEMENT_3D('',#449561,#360791,#360792); #335250=AXIS2_PLACEMENT_3D('',#449564,#360794,#360795); #335251=AXIS2_PLACEMENT_3D('',#449565,#360796,#360797); #335252=AXIS2_PLACEMENT_3D('',#449567,#360798,#360799); #335253=AXIS2_PLACEMENT_3D('',#449570,#360801,#360802); #335254=AXIS2_PLACEMENT_3D('',#449571,#360803,#360804); #335255=AXIS2_PLACEMENT_3D('',#449573,#360805,#360806); #335256=AXIS2_PLACEMENT_3D('',#449576,#360808,#360809); #335257=AXIS2_PLACEMENT_3D('',#449577,#360810,#360811); #335258=AXIS2_PLACEMENT_3D('',#449579,#360812,#360813); #335259=AXIS2_PLACEMENT_3D('',#449582,#360815,#360816); #335260=AXIS2_PLACEMENT_3D('',#449583,#360817,#360818); #335261=AXIS2_PLACEMENT_3D('',#449585,#360819,#360820); #335262=AXIS2_PLACEMENT_3D('',#449588,#360822,#360823); #335263=AXIS2_PLACEMENT_3D('',#449589,#360824,#360825); #335264=AXIS2_PLACEMENT_3D('',#449591,#360826,#360827); #335265=AXIS2_PLACEMENT_3D('',#449594,#360829,#360830); #335266=AXIS2_PLACEMENT_3D('',#449595,#360831,#360832); #335267=AXIS2_PLACEMENT_3D('',#449597,#360833,#360834); #335268=AXIS2_PLACEMENT_3D('',#449600,#360836,#360837); #335269=AXIS2_PLACEMENT_3D('',#449601,#360838,#360839); #335270=AXIS2_PLACEMENT_3D('',#449603,#360840,#360841); #335271=AXIS2_PLACEMENT_3D('',#449606,#360843,#360844); #335272=AXIS2_PLACEMENT_3D('',#449607,#360845,#360846); #335273=AXIS2_PLACEMENT_3D('',#449609,#360847,#360848); #335274=AXIS2_PLACEMENT_3D('',#449612,#360850,#360851); #335275=AXIS2_PLACEMENT_3D('',#449613,#360852,#360853); #335276=AXIS2_PLACEMENT_3D('',#449615,#360854,#360855); #335277=AXIS2_PLACEMENT_3D('',#449618,#360857,#360858); #335278=AXIS2_PLACEMENT_3D('',#449619,#360859,#360860); #335279=AXIS2_PLACEMENT_3D('',#449621,#360861,#360862); #335280=AXIS2_PLACEMENT_3D('',#449624,#360864,#360865); #335281=AXIS2_PLACEMENT_3D('',#449625,#360866,#360867); #335282=AXIS2_PLACEMENT_3D('',#449627,#360868,#360869); #335283=AXIS2_PLACEMENT_3D('',#449630,#360871,#360872); #335284=AXIS2_PLACEMENT_3D('',#449631,#360873,#360874); #335285=AXIS2_PLACEMENT_3D('',#449633,#360875,#360876); #335286=AXIS2_PLACEMENT_3D('',#449636,#360878,#360879); #335287=AXIS2_PLACEMENT_3D('',#449637,#360880,#360881); #335288=AXIS2_PLACEMENT_3D('',#449639,#360882,#360883); #335289=AXIS2_PLACEMENT_3D('',#449642,#360885,#360886); #335290=AXIS2_PLACEMENT_3D('',#449643,#360887,#360888); #335291=AXIS2_PLACEMENT_3D('',#449652,#360893,#360894); #335292=AXIS2_PLACEMENT_3D('',#449654,#360895,#360896); #335293=AXIS2_PLACEMENT_3D('',#449656,#360897,#360898); #335294=AXIS2_PLACEMENT_3D('',#449658,#360900,#360901); #335295=AXIS2_PLACEMENT_3D('',#449664,#360905,#360906); #335296=AXIS2_PLACEMENT_3D('',#449665,#360907,#360908); #335297=AXIS2_PLACEMENT_3D('',#449666,#360909,#360910); #335298=AXIS2_PLACEMENT_3D('',#449667,#360911,#360912); #335299=AXIS2_PLACEMENT_3D('',#449669,#360913,#360914); #335300=AXIS2_PLACEMENT_3D('',#449672,#360916,#360917); #335301=AXIS2_PLACEMENT_3D('',#449673,#360918,#360919); #335302=AXIS2_PLACEMENT_3D('',#449682,#360924,#360925); #335303=AXIS2_PLACEMENT_3D('',#449684,#360926,#360927); #335304=AXIS2_PLACEMENT_3D('',#449686,#360928,#360929); #335305=AXIS2_PLACEMENT_3D('',#449688,#360931,#360932); #335306=AXIS2_PLACEMENT_3D('',#449694,#360936,#360937); #335307=AXIS2_PLACEMENT_3D('',#449695,#360938,#360939); #335308=AXIS2_PLACEMENT_3D('',#449696,#360940,#360941); #335309=AXIS2_PLACEMENT_3D('',#449697,#360942,#360943); #335310=AXIS2_PLACEMENT_3D('',#449699,#360944,#360945); #335311=AXIS2_PLACEMENT_3D('',#449702,#360947,#360948); #335312=AXIS2_PLACEMENT_3D('',#449703,#360949,#360950); #335313=AXIS2_PLACEMENT_3D('',#449705,#360951,#360952); #335314=AXIS2_PLACEMENT_3D('',#449708,#360954,#360955); #335315=AXIS2_PLACEMENT_3D('',#449709,#360956,#360957); #335316=AXIS2_PLACEMENT_3D('',#449711,#360958,#360959); #335317=AXIS2_PLACEMENT_3D('',#449714,#360961,#360962); #335318=AXIS2_PLACEMENT_3D('',#449715,#360963,#360964); #335319=AXIS2_PLACEMENT_3D('',#449717,#360965,#360966); #335320=AXIS2_PLACEMENT_3D('',#449720,#360968,#360969); #335321=AXIS2_PLACEMENT_3D('',#449721,#360970,#360971); #335322=AXIS2_PLACEMENT_3D('',#449723,#360972,#360973); #335323=AXIS2_PLACEMENT_3D('',#449726,#360975,#360976); #335324=AXIS2_PLACEMENT_3D('',#449727,#360977,#360978); #335325=AXIS2_PLACEMENT_3D('',#449729,#360979,#360980); #335326=AXIS2_PLACEMENT_3D('',#449732,#360982,#360983); #335327=AXIS2_PLACEMENT_3D('',#449733,#360984,#360985); #335328=AXIS2_PLACEMENT_3D('',#449735,#360986,#360987); #335329=AXIS2_PLACEMENT_3D('',#449738,#360989,#360990); #335330=AXIS2_PLACEMENT_3D('',#449739,#360991,#360992); #335331=AXIS2_PLACEMENT_3D('',#449741,#360993,#360994); #335332=AXIS2_PLACEMENT_3D('',#449744,#360996,#360997); #335333=AXIS2_PLACEMENT_3D('',#449745,#360998,#360999); #335334=AXIS2_PLACEMENT_3D('',#449747,#361000,#361001); #335335=AXIS2_PLACEMENT_3D('',#449750,#361003,#361004); #335336=AXIS2_PLACEMENT_3D('',#449751,#361005,#361006); #335337=AXIS2_PLACEMENT_3D('',#449753,#361007,#361008); #335338=AXIS2_PLACEMENT_3D('',#449756,#361010,#361011); #335339=AXIS2_PLACEMENT_3D('',#449757,#361012,#361013); #335340=AXIS2_PLACEMENT_3D('',#449759,#361014,#361015); #335341=AXIS2_PLACEMENT_3D('',#449762,#361017,#361018); #335342=AXIS2_PLACEMENT_3D('',#449763,#361019,#361020); #335343=AXIS2_PLACEMENT_3D('',#449772,#361025,#361026); #335344=AXIS2_PLACEMENT_3D('',#449774,#361027,#361028); #335345=AXIS2_PLACEMENT_3D('',#449776,#361029,#361030); #335346=AXIS2_PLACEMENT_3D('',#449778,#361032,#361033); #335347=AXIS2_PLACEMENT_3D('',#449784,#361037,#361038); #335348=AXIS2_PLACEMENT_3D('',#449785,#361039,#361040); #335349=AXIS2_PLACEMENT_3D('',#449786,#361041,#361042); #335350=AXIS2_PLACEMENT_3D('',#449787,#361043,#361044); #335351=AXIS2_PLACEMENT_3D('',#449789,#361045,#361046); #335352=AXIS2_PLACEMENT_3D('',#449792,#361048,#361049); #335353=AXIS2_PLACEMENT_3D('',#449793,#361050,#361051); #335354=AXIS2_PLACEMENT_3D('',#449802,#361056,#361057); #335355=AXIS2_PLACEMENT_3D('',#449804,#361058,#361059); #335356=AXIS2_PLACEMENT_3D('',#449806,#361060,#361061); #335357=AXIS2_PLACEMENT_3D('',#449808,#361063,#361064); #335358=AXIS2_PLACEMENT_3D('',#449814,#361068,#361069); #335359=AXIS2_PLACEMENT_3D('',#449815,#361070,#361071); #335360=AXIS2_PLACEMENT_3D('',#449816,#361072,#361073); #335361=AXIS2_PLACEMENT_3D('',#449817,#361074,#361075); #335362=AXIS2_PLACEMENT_3D('',#449819,#361076,#361077); #335363=AXIS2_PLACEMENT_3D('',#449822,#361079,#361080); #335364=AXIS2_PLACEMENT_3D('',#449823,#361081,#361082); #335365=AXIS2_PLACEMENT_3D('',#449832,#361087,#361088); #335366=AXIS2_PLACEMENT_3D('',#449834,#361089,#361090); #335367=AXIS2_PLACEMENT_3D('',#449836,#361091,#361092); #335368=AXIS2_PLACEMENT_3D('',#449838,#361094,#361095); #335369=AXIS2_PLACEMENT_3D('',#449844,#361099,#361100); #335370=AXIS2_PLACEMENT_3D('',#449845,#361101,#361102); #335371=AXIS2_PLACEMENT_3D('',#449846,#361103,#361104); #335372=AXIS2_PLACEMENT_3D('',#449847,#361105,#361106); #335373=AXIS2_PLACEMENT_3D('',#449849,#361107,#361108); #335374=AXIS2_PLACEMENT_3D('',#449852,#361110,#361111); #335375=AXIS2_PLACEMENT_3D('',#449853,#361112,#361113); #335376=AXIS2_PLACEMENT_3D('',#449862,#361118,#361119); #335377=AXIS2_PLACEMENT_3D('',#449864,#361120,#361121); #335378=AXIS2_PLACEMENT_3D('',#449866,#361122,#361123); #335379=AXIS2_PLACEMENT_3D('',#449868,#361125,#361126); #335380=AXIS2_PLACEMENT_3D('',#449874,#361130,#361131); #335381=AXIS2_PLACEMENT_3D('',#449875,#361132,#361133); #335382=AXIS2_PLACEMENT_3D('',#449876,#361134,#361135); #335383=AXIS2_PLACEMENT_3D('',#449877,#361136,#361137); #335384=AXIS2_PLACEMENT_3D('',#449879,#361138,#361139); #335385=AXIS2_PLACEMENT_3D('',#449882,#361141,#361142); #335386=AXIS2_PLACEMENT_3D('',#449883,#361143,#361144); #335387=AXIS2_PLACEMENT_3D('',#449885,#361145,#361146); #335388=AXIS2_PLACEMENT_3D('',#449888,#361148,#361149); #335389=AXIS2_PLACEMENT_3D('',#449889,#361150,#361151); #335390=AXIS2_PLACEMENT_3D('',#449891,#361152,#361153); #335391=AXIS2_PLACEMENT_3D('',#449894,#361155,#361156); #335392=AXIS2_PLACEMENT_3D('',#449895,#361157,#361158); #335393=AXIS2_PLACEMENT_3D('',#449897,#361159,#361160); #335394=AXIS2_PLACEMENT_3D('',#449900,#361162,#361163); #335395=AXIS2_PLACEMENT_3D('',#449901,#361164,#361165); #335396=AXIS2_PLACEMENT_3D('',#449903,#361166,#361167); #335397=AXIS2_PLACEMENT_3D('',#449906,#361169,#361170); #335398=AXIS2_PLACEMENT_3D('',#449907,#361171,#361172); #335399=AXIS2_PLACEMENT_3D('',#449909,#361173,#361174); #335400=AXIS2_PLACEMENT_3D('',#449912,#361176,#361177); #335401=AXIS2_PLACEMENT_3D('',#449913,#361178,#361179); #335402=AXIS2_PLACEMENT_3D('',#449915,#361180,#361181); #335403=AXIS2_PLACEMENT_3D('',#449918,#361183,#361184); #335404=AXIS2_PLACEMENT_3D('',#449919,#361185,#361186); #335405=AXIS2_PLACEMENT_3D('',#449921,#361187,#361188); #335406=AXIS2_PLACEMENT_3D('',#449924,#361190,#361191); #335407=AXIS2_PLACEMENT_3D('',#449925,#361192,#361193); #335408=AXIS2_PLACEMENT_3D('',#449927,#361194,#361195); #335409=AXIS2_PLACEMENT_3D('',#449930,#361197,#361198); #335410=AXIS2_PLACEMENT_3D('',#449931,#361199,#361200); #335411=AXIS2_PLACEMENT_3D('',#449933,#361201,#361202); #335412=AXIS2_PLACEMENT_3D('',#449936,#361204,#361205); #335413=AXIS2_PLACEMENT_3D('',#449937,#361206,#361207); #335414=AXIS2_PLACEMENT_3D('',#449939,#361208,#361209); #335415=AXIS2_PLACEMENT_3D('',#449942,#361211,#361212); #335416=AXIS2_PLACEMENT_3D('',#449943,#361213,#361214); #335417=AXIS2_PLACEMENT_3D('',#449945,#361215,#361216); #335418=AXIS2_PLACEMENT_3D('',#449948,#361218,#361219); #335419=AXIS2_PLACEMENT_3D('',#449949,#361220,#361221); #335420=AXIS2_PLACEMENT_3D('',#449951,#361222,#361223); #335421=AXIS2_PLACEMENT_3D('',#449954,#361225,#361226); #335422=AXIS2_PLACEMENT_3D('',#449955,#361227,#361228); #335423=AXIS2_PLACEMENT_3D('',#449957,#361229,#361230); #335424=AXIS2_PLACEMENT_3D('',#449960,#361232,#361233); #335425=AXIS2_PLACEMENT_3D('',#449961,#361234,#361235); #335426=AXIS2_PLACEMENT_3D('',#449963,#361236,#361237); #335427=AXIS2_PLACEMENT_3D('',#449966,#361239,#361240); #335428=AXIS2_PLACEMENT_3D('',#449967,#361241,#361242); #335429=AXIS2_PLACEMENT_3D('',#449969,#361243,#361244); #335430=AXIS2_PLACEMENT_3D('',#449972,#361246,#361247); #335431=AXIS2_PLACEMENT_3D('',#449973,#361248,#361249); #335432=AXIS2_PLACEMENT_3D('',#449975,#361250,#361251); #335433=AXIS2_PLACEMENT_3D('',#449978,#361253,#361254); #335434=AXIS2_PLACEMENT_3D('',#449979,#361255,#361256); #335435=AXIS2_PLACEMENT_3D('',#449981,#361257,#361258); #335436=AXIS2_PLACEMENT_3D('',#449984,#361260,#361261); #335437=AXIS2_PLACEMENT_3D('',#449985,#361262,#361263); #335438=AXIS2_PLACEMENT_3D('',#449987,#361264,#361265); #335439=AXIS2_PLACEMENT_3D('',#449990,#361267,#361268); #335440=AXIS2_PLACEMENT_3D('',#449991,#361269,#361270); #335441=AXIS2_PLACEMENT_3D('',#449993,#361271,#361272); #335442=AXIS2_PLACEMENT_3D('',#449996,#361274,#361275); #335443=AXIS2_PLACEMENT_3D('',#449997,#361276,#361277); #335444=AXIS2_PLACEMENT_3D('',#449999,#361278,#361279); #335445=AXIS2_PLACEMENT_3D('',#450002,#361281,#361282); #335446=AXIS2_PLACEMENT_3D('',#450003,#361283,#361284); #335447=AXIS2_PLACEMENT_3D('',#450005,#361285,#361286); #335448=AXIS2_PLACEMENT_3D('',#450008,#361288,#361289); #335449=AXIS2_PLACEMENT_3D('',#450009,#361290,#361291); #335450=AXIS2_PLACEMENT_3D('',#450011,#361292,#361293); #335451=AXIS2_PLACEMENT_3D('',#450014,#361295,#361296); #335452=AXIS2_PLACEMENT_3D('',#450015,#361297,#361298); #335453=AXIS2_PLACEMENT_3D('',#450017,#361299,#361300); #335454=AXIS2_PLACEMENT_3D('',#450020,#361302,#361303); #335455=AXIS2_PLACEMENT_3D('',#450021,#361304,#361305); #335456=AXIS2_PLACEMENT_3D('',#450023,#361306,#361307); #335457=AXIS2_PLACEMENT_3D('',#450026,#361309,#361310); #335458=AXIS2_PLACEMENT_3D('',#450027,#361311,#361312); #335459=AXIS2_PLACEMENT_3D('',#450029,#361313,#361314); #335460=AXIS2_PLACEMENT_3D('',#450032,#361316,#361317); #335461=AXIS2_PLACEMENT_3D('',#450033,#361318,#361319); #335462=AXIS2_PLACEMENT_3D('',#450035,#361320,#361321); #335463=AXIS2_PLACEMENT_3D('',#450038,#361323,#361324); #335464=AXIS2_PLACEMENT_3D('',#450039,#361325,#361326); #335465=AXIS2_PLACEMENT_3D('',#450041,#361327,#361328); #335466=AXIS2_PLACEMENT_3D('',#450044,#361330,#361331); #335467=AXIS2_PLACEMENT_3D('',#450045,#361332,#361333); #335468=AXIS2_PLACEMENT_3D('',#450047,#361334,#361335); #335469=AXIS2_PLACEMENT_3D('',#450050,#361337,#361338); #335470=AXIS2_PLACEMENT_3D('',#450051,#361339,#361340); #335471=AXIS2_PLACEMENT_3D('',#450053,#361341,#361342); #335472=AXIS2_PLACEMENT_3D('',#450056,#361344,#361345); #335473=AXIS2_PLACEMENT_3D('',#450057,#361346,#361347); #335474=AXIS2_PLACEMENT_3D('',#450059,#361348,#361349); #335475=AXIS2_PLACEMENT_3D('',#450062,#361351,#361352); #335476=AXIS2_PLACEMENT_3D('',#450063,#361353,#361354); #335477=AXIS2_PLACEMENT_3D('',#450065,#361355,#361356); #335478=AXIS2_PLACEMENT_3D('',#450068,#361358,#361359); #335479=AXIS2_PLACEMENT_3D('',#450069,#361360,#361361); #335480=AXIS2_PLACEMENT_3D('',#450071,#361362,#361363); #335481=AXIS2_PLACEMENT_3D('',#450074,#361365,#361366); #335482=AXIS2_PLACEMENT_3D('',#450075,#361367,#361368); #335483=AXIS2_PLACEMENT_3D('',#450077,#361369,#361370); #335484=AXIS2_PLACEMENT_3D('',#450080,#361372,#361373); #335485=AXIS2_PLACEMENT_3D('',#450081,#361374,#361375); #335486=AXIS2_PLACEMENT_3D('',#450083,#361376,#361377); #335487=AXIS2_PLACEMENT_3D('',#450086,#361379,#361380); #335488=AXIS2_PLACEMENT_3D('',#450087,#361381,#361382); #335489=AXIS2_PLACEMENT_3D('',#450089,#361383,#361384); #335490=AXIS2_PLACEMENT_3D('',#450092,#361386,#361387); #335491=AXIS2_PLACEMENT_3D('',#450093,#361388,#361389); #335492=AXIS2_PLACEMENT_3D('',#450095,#361390,#361391); #335493=AXIS2_PLACEMENT_3D('',#450098,#361393,#361394); #335494=AXIS2_PLACEMENT_3D('',#450099,#361395,#361396); #335495=AXIS2_PLACEMENT_3D('',#450101,#361397,#361398); #335496=AXIS2_PLACEMENT_3D('',#450104,#361400,#361401); #335497=AXIS2_PLACEMENT_3D('',#450105,#361402,#361403); #335498=AXIS2_PLACEMENT_3D('',#450107,#361404,#361405); #335499=AXIS2_PLACEMENT_3D('',#450110,#361407,#361408); #335500=AXIS2_PLACEMENT_3D('',#450111,#361409,#361410); #335501=AXIS2_PLACEMENT_3D('',#450113,#361411,#361412); #335502=AXIS2_PLACEMENT_3D('',#450116,#361414,#361415); #335503=AXIS2_PLACEMENT_3D('',#450117,#361416,#361417); #335504=AXIS2_PLACEMENT_3D('',#450119,#361418,#361419); #335505=AXIS2_PLACEMENT_3D('',#450122,#361421,#361422); #335506=AXIS2_PLACEMENT_3D('',#450123,#361423,#361424); #335507=AXIS2_PLACEMENT_3D('',#450125,#361425,#361426); #335508=AXIS2_PLACEMENT_3D('',#450128,#361428,#361429); #335509=AXIS2_PLACEMENT_3D('',#450129,#361430,#361431); #335510=AXIS2_PLACEMENT_3D('',#450131,#361432,#361433); #335511=AXIS2_PLACEMENT_3D('',#450134,#361435,#361436); #335512=AXIS2_PLACEMENT_3D('',#450135,#361437,#361438); #335513=AXIS2_PLACEMENT_3D('',#450137,#361439,#361440); #335514=AXIS2_PLACEMENT_3D('',#450140,#361442,#361443); #335515=AXIS2_PLACEMENT_3D('',#450141,#361444,#361445); #335516=AXIS2_PLACEMENT_3D('',#450143,#361446,#361447); #335517=AXIS2_PLACEMENT_3D('',#450146,#361449,#361450); #335518=AXIS2_PLACEMENT_3D('',#450147,#361451,#361452); #335519=AXIS2_PLACEMENT_3D('',#450149,#361453,#361454); #335520=AXIS2_PLACEMENT_3D('',#450152,#361456,#361457); #335521=AXIS2_PLACEMENT_3D('',#450153,#361458,#361459); #335522=AXIS2_PLACEMENT_3D('',#450155,#361460,#361461); #335523=AXIS2_PLACEMENT_3D('',#450158,#361463,#361464); #335524=AXIS2_PLACEMENT_3D('',#450159,#361465,#361466); #335525=AXIS2_PLACEMENT_3D('',#450161,#361467,#361468); #335526=AXIS2_PLACEMENT_3D('',#450164,#361470,#361471); #335527=AXIS2_PLACEMENT_3D('',#450165,#361472,#361473); #335528=AXIS2_PLACEMENT_3D('',#450167,#361474,#361475); #335529=AXIS2_PLACEMENT_3D('',#450170,#361477,#361478); #335530=AXIS2_PLACEMENT_3D('',#450171,#361479,#361480); #335531=AXIS2_PLACEMENT_3D('',#450173,#361481,#361482); #335532=AXIS2_PLACEMENT_3D('',#450176,#361484,#361485); #335533=AXIS2_PLACEMENT_3D('',#450177,#361486,#361487); #335534=AXIS2_PLACEMENT_3D('',#450179,#361488,#361489); #335535=AXIS2_PLACEMENT_3D('',#450182,#361491,#361492); #335536=AXIS2_PLACEMENT_3D('',#450183,#361493,#361494); #335537=AXIS2_PLACEMENT_3D('',#450185,#361495,#361496); #335538=AXIS2_PLACEMENT_3D('',#450188,#361498,#361499); #335539=AXIS2_PLACEMENT_3D('',#450189,#361500,#361501); #335540=AXIS2_PLACEMENT_3D('',#450191,#361502,#361503); #335541=AXIS2_PLACEMENT_3D('',#450194,#361505,#361506); #335542=AXIS2_PLACEMENT_3D('',#450195,#361507,#361508); #335543=AXIS2_PLACEMENT_3D('',#450197,#361509,#361510); #335544=AXIS2_PLACEMENT_3D('',#450200,#361512,#361513); #335545=AXIS2_PLACEMENT_3D('',#450201,#361514,#361515); #335546=AXIS2_PLACEMENT_3D('',#450203,#361516,#361517); #335547=AXIS2_PLACEMENT_3D('',#450206,#361519,#361520); #335548=AXIS2_PLACEMENT_3D('',#450207,#361521,#361522); #335549=AXIS2_PLACEMENT_3D('',#450209,#361523,#361524); #335550=AXIS2_PLACEMENT_3D('',#450212,#361526,#361527); #335551=AXIS2_PLACEMENT_3D('',#450213,#361528,#361529); #335552=AXIS2_PLACEMENT_3D('',#450215,#361530,#361531); #335553=AXIS2_PLACEMENT_3D('',#450218,#361533,#361534); #335554=AXIS2_PLACEMENT_3D('',#450219,#361535,#361536); #335555=AXIS2_PLACEMENT_3D('',#450221,#361537,#361538); #335556=AXIS2_PLACEMENT_3D('',#450224,#361540,#361541); #335557=AXIS2_PLACEMENT_3D('',#450225,#361542,#361543); #335558=AXIS2_PLACEMENT_3D('',#450227,#361544,#361545); #335559=AXIS2_PLACEMENT_3D('',#450230,#361547,#361548); #335560=AXIS2_PLACEMENT_3D('',#450231,#361549,#361550); #335561=AXIS2_PLACEMENT_3D('',#450233,#361551,#361552); #335562=AXIS2_PLACEMENT_3D('',#450236,#361554,#361555); #335563=AXIS2_PLACEMENT_3D('',#450237,#361556,#361557); #335564=AXIS2_PLACEMENT_3D('',#450239,#361558,#361559); #335565=AXIS2_PLACEMENT_3D('',#450242,#361561,#361562); #335566=AXIS2_PLACEMENT_3D('',#450243,#361563,#361564); #335567=AXIS2_PLACEMENT_3D('',#450245,#361565,#361566); #335568=AXIS2_PLACEMENT_3D('',#450248,#361568,#361569); #335569=AXIS2_PLACEMENT_3D('',#450249,#361570,#361571); #335570=AXIS2_PLACEMENT_3D('',#450251,#361572,#361573); #335571=AXIS2_PLACEMENT_3D('',#450254,#361575,#361576); #335572=AXIS2_PLACEMENT_3D('',#450255,#361577,#361578); #335573=AXIS2_PLACEMENT_3D('',#450257,#361579,#361580); #335574=AXIS2_PLACEMENT_3D('',#450260,#361582,#361583); #335575=AXIS2_PLACEMENT_3D('',#450261,#361584,#361585); #335576=AXIS2_PLACEMENT_3D('',#450263,#361586,#361587); #335577=AXIS2_PLACEMENT_3D('',#450266,#361589,#361590); #335578=AXIS2_PLACEMENT_3D('',#450267,#361591,#361592); #335579=AXIS2_PLACEMENT_3D('',#450269,#361593,#361594); #335580=AXIS2_PLACEMENT_3D('',#450272,#361596,#361597); #335581=AXIS2_PLACEMENT_3D('',#450273,#361598,#361599); #335582=AXIS2_PLACEMENT_3D('',#450275,#361600,#361601); #335583=AXIS2_PLACEMENT_3D('',#450278,#361603,#361604); #335584=AXIS2_PLACEMENT_3D('',#450279,#361605,#361606); #335585=AXIS2_PLACEMENT_3D('',#450281,#361607,#361608); #335586=AXIS2_PLACEMENT_3D('',#450284,#361610,#361611); #335587=AXIS2_PLACEMENT_3D('',#450285,#361612,#361613); #335588=AXIS2_PLACEMENT_3D('',#450287,#361614,#361615); #335589=AXIS2_PLACEMENT_3D('',#450290,#361617,#361618); #335590=AXIS2_PLACEMENT_3D('',#450291,#361619,#361620); #335591=AXIS2_PLACEMENT_3D('',#450293,#361621,#361622); #335592=AXIS2_PLACEMENT_3D('',#450296,#361624,#361625); #335593=AXIS2_PLACEMENT_3D('',#450297,#361626,#361627); #335594=AXIS2_PLACEMENT_3D('',#450299,#361628,#361629); #335595=AXIS2_PLACEMENT_3D('',#450302,#361631,#361632); #335596=AXIS2_PLACEMENT_3D('',#450303,#361633,#361634); #335597=AXIS2_PLACEMENT_3D('',#450305,#361635,#361636); #335598=AXIS2_PLACEMENT_3D('',#450308,#361638,#361639); #335599=AXIS2_PLACEMENT_3D('',#450309,#361640,#361641); #335600=AXIS2_PLACEMENT_3D('',#450311,#361642,#361643); #335601=AXIS2_PLACEMENT_3D('',#450314,#361645,#361646); #335602=AXIS2_PLACEMENT_3D('',#450315,#361647,#361648); #335603=AXIS2_PLACEMENT_3D('',#450317,#361649,#361650); #335604=AXIS2_PLACEMENT_3D('',#450320,#361652,#361653); #335605=AXIS2_PLACEMENT_3D('',#450321,#361654,#361655); #335606=AXIS2_PLACEMENT_3D('',#450323,#361656,#361657); #335607=AXIS2_PLACEMENT_3D('',#450326,#361659,#361660); #335608=AXIS2_PLACEMENT_3D('',#450327,#361661,#361662); #335609=AXIS2_PLACEMENT_3D('',#450329,#361663,#361664); #335610=AXIS2_PLACEMENT_3D('',#450332,#361666,#361667); #335611=AXIS2_PLACEMENT_3D('',#450333,#361668,#361669); #335612=AXIS2_PLACEMENT_3D('',#450335,#361670,#361671); #335613=AXIS2_PLACEMENT_3D('',#450338,#361673,#361674); #335614=AXIS2_PLACEMENT_3D('',#450339,#361675,#361676); #335615=AXIS2_PLACEMENT_3D('',#450341,#361677,#361678); #335616=AXIS2_PLACEMENT_3D('',#450344,#361680,#361681); #335617=AXIS2_PLACEMENT_3D('',#450345,#361682,#361683); #335618=AXIS2_PLACEMENT_3D('',#450347,#361684,#361685); #335619=AXIS2_PLACEMENT_3D('',#450350,#361687,#361688); #335620=AXIS2_PLACEMENT_3D('',#450351,#361689,#361690); #335621=AXIS2_PLACEMENT_3D('',#450353,#361691,#361692); #335622=AXIS2_PLACEMENT_3D('',#450356,#361694,#361695); #335623=AXIS2_PLACEMENT_3D('',#450357,#361696,#361697); #335624=AXIS2_PLACEMENT_3D('',#450359,#361698,#361699); #335625=AXIS2_PLACEMENT_3D('',#450362,#361701,#361702); #335626=AXIS2_PLACEMENT_3D('',#450363,#361703,#361704); #335627=AXIS2_PLACEMENT_3D('',#450365,#361705,#361706); #335628=AXIS2_PLACEMENT_3D('',#450368,#361708,#361709); #335629=AXIS2_PLACEMENT_3D('',#450369,#361710,#361711); #335630=AXIS2_PLACEMENT_3D('',#450371,#361712,#361713); #335631=AXIS2_PLACEMENT_3D('',#450374,#361715,#361716); #335632=AXIS2_PLACEMENT_3D('',#450375,#361717,#361718); #335633=AXIS2_PLACEMENT_3D('',#450377,#361719,#361720); #335634=AXIS2_PLACEMENT_3D('',#450380,#361722,#361723); #335635=AXIS2_PLACEMENT_3D('',#450381,#361724,#361725); #335636=AXIS2_PLACEMENT_3D('',#450383,#361726,#361727); #335637=AXIS2_PLACEMENT_3D('',#450386,#361729,#361730); #335638=AXIS2_PLACEMENT_3D('',#450387,#361731,#361732); #335639=AXIS2_PLACEMENT_3D('',#450389,#361733,#361734); #335640=AXIS2_PLACEMENT_3D('',#450392,#361736,#361737); #335641=AXIS2_PLACEMENT_3D('',#450393,#361738,#361739); #335642=AXIS2_PLACEMENT_3D('',#450395,#361740,#361741); #335643=AXIS2_PLACEMENT_3D('',#450398,#361743,#361744); #335644=AXIS2_PLACEMENT_3D('',#450399,#361745,#361746); #335645=AXIS2_PLACEMENT_3D('',#450401,#361747,#361748); #335646=AXIS2_PLACEMENT_3D('',#450404,#361750,#361751); #335647=AXIS2_PLACEMENT_3D('',#450405,#361752,#361753); #335648=AXIS2_PLACEMENT_3D('',#450407,#361754,#361755); #335649=AXIS2_PLACEMENT_3D('',#450410,#361757,#361758); #335650=AXIS2_PLACEMENT_3D('',#450411,#361759,#361760); #335651=AXIS2_PLACEMENT_3D('',#450413,#361761,#361762); #335652=AXIS2_PLACEMENT_3D('',#450416,#361764,#361765); #335653=AXIS2_PLACEMENT_3D('',#450417,#361766,#361767); #335654=AXIS2_PLACEMENT_3D('',#450419,#361768,#361769); #335655=AXIS2_PLACEMENT_3D('',#450422,#361771,#361772); #335656=AXIS2_PLACEMENT_3D('',#450423,#361773,#361774); #335657=AXIS2_PLACEMENT_3D('',#450425,#361775,#361776); #335658=AXIS2_PLACEMENT_3D('',#450428,#361778,#361779); #335659=AXIS2_PLACEMENT_3D('',#450429,#361780,#361781); #335660=AXIS2_PLACEMENT_3D('',#450431,#361782,#361783); #335661=AXIS2_PLACEMENT_3D('',#450434,#361785,#361786); #335662=AXIS2_PLACEMENT_3D('',#450435,#361787,#361788); #335663=AXIS2_PLACEMENT_3D('',#450437,#361789,#361790); #335664=AXIS2_PLACEMENT_3D('',#450440,#361792,#361793); #335665=AXIS2_PLACEMENT_3D('',#450441,#361794,#361795); #335666=AXIS2_PLACEMENT_3D('',#450443,#361796,#361797); #335667=AXIS2_PLACEMENT_3D('',#450446,#361799,#361800); #335668=AXIS2_PLACEMENT_3D('',#450447,#361801,#361802); #335669=AXIS2_PLACEMENT_3D('',#450449,#361803,#361804); #335670=AXIS2_PLACEMENT_3D('',#450452,#361806,#361807); #335671=AXIS2_PLACEMENT_3D('',#450453,#361808,#361809); #335672=AXIS2_PLACEMENT_3D('',#450455,#361810,#361811); #335673=AXIS2_PLACEMENT_3D('',#450458,#361813,#361814); #335674=AXIS2_PLACEMENT_3D('',#450459,#361815,#361816); #335675=AXIS2_PLACEMENT_3D('',#450461,#361817,#361818); #335676=AXIS2_PLACEMENT_3D('',#450464,#361820,#361821); #335677=AXIS2_PLACEMENT_3D('',#450465,#361822,#361823); #335678=AXIS2_PLACEMENT_3D('',#450467,#361824,#361825); #335679=AXIS2_PLACEMENT_3D('',#450470,#361827,#361828); #335680=AXIS2_PLACEMENT_3D('',#450471,#361829,#361830); #335681=AXIS2_PLACEMENT_3D('',#450473,#361831,#361832); #335682=AXIS2_PLACEMENT_3D('',#450476,#361834,#361835); #335683=AXIS2_PLACEMENT_3D('',#450477,#361836,#361837); #335684=AXIS2_PLACEMENT_3D('',#450479,#361838,#361839); #335685=AXIS2_PLACEMENT_3D('',#450482,#361841,#361842); #335686=AXIS2_PLACEMENT_3D('',#450483,#361843,#361844); #335687=AXIS2_PLACEMENT_3D('',#450485,#361845,#361846); #335688=AXIS2_PLACEMENT_3D('',#450488,#361848,#361849); #335689=AXIS2_PLACEMENT_3D('',#450489,#361850,#361851); #335690=AXIS2_PLACEMENT_3D('',#450491,#361852,#361853); #335691=AXIS2_PLACEMENT_3D('',#450494,#361855,#361856); #335692=AXIS2_PLACEMENT_3D('',#450495,#361857,#361858); #335693=AXIS2_PLACEMENT_3D('',#450497,#361859,#361860); #335694=AXIS2_PLACEMENT_3D('',#450500,#361862,#361863); #335695=AXIS2_PLACEMENT_3D('',#450501,#361864,#361865); #335696=AXIS2_PLACEMENT_3D('',#450503,#361866,#361867); #335697=AXIS2_PLACEMENT_3D('',#450506,#361869,#361870); #335698=AXIS2_PLACEMENT_3D('',#450507,#361871,#361872); #335699=AXIS2_PLACEMENT_3D('',#450509,#361873,#361874); #335700=AXIS2_PLACEMENT_3D('',#450512,#361876,#361877); #335701=AXIS2_PLACEMENT_3D('',#450513,#361878,#361879); #335702=AXIS2_PLACEMENT_3D('',#450515,#361880,#361881); #335703=AXIS2_PLACEMENT_3D('',#450518,#361883,#361884); #335704=AXIS2_PLACEMENT_3D('',#450519,#361885,#361886); #335705=AXIS2_PLACEMENT_3D('',#450521,#361887,#361888); #335706=AXIS2_PLACEMENT_3D('',#450524,#361890,#361891); #335707=AXIS2_PLACEMENT_3D('',#450525,#361892,#361893); #335708=AXIS2_PLACEMENT_3D('',#450527,#361894,#361895); #335709=AXIS2_PLACEMENT_3D('',#450530,#361897,#361898); #335710=AXIS2_PLACEMENT_3D('',#450531,#361899,#361900); #335711=AXIS2_PLACEMENT_3D('',#450533,#361901,#361902); #335712=AXIS2_PLACEMENT_3D('',#450536,#361904,#361905); #335713=AXIS2_PLACEMENT_3D('',#450537,#361906,#361907); #335714=AXIS2_PLACEMENT_3D('',#450539,#361908,#361909); #335715=AXIS2_PLACEMENT_3D('',#450542,#361911,#361912); #335716=AXIS2_PLACEMENT_3D('',#450543,#361913,#361914); #335717=AXIS2_PLACEMENT_3D('',#450545,#361915,#361916); #335718=AXIS2_PLACEMENT_3D('',#450548,#361918,#361919); #335719=AXIS2_PLACEMENT_3D('',#450549,#361920,#361921); #335720=AXIS2_PLACEMENT_3D('',#450551,#361922,#361923); #335721=AXIS2_PLACEMENT_3D('',#450554,#361925,#361926); #335722=AXIS2_PLACEMENT_3D('',#450555,#361927,#361928); #335723=AXIS2_PLACEMENT_3D('',#450557,#361929,#361930); #335724=AXIS2_PLACEMENT_3D('',#450560,#361932,#361933); #335725=AXIS2_PLACEMENT_3D('',#450561,#361934,#361935); #335726=AXIS2_PLACEMENT_3D('',#450563,#361936,#361937); #335727=AXIS2_PLACEMENT_3D('',#450566,#361939,#361940); #335728=AXIS2_PLACEMENT_3D('',#450567,#361941,#361942); #335729=AXIS2_PLACEMENT_3D('',#450569,#361943,#361944); #335730=AXIS2_PLACEMENT_3D('',#450572,#361946,#361947); #335731=AXIS2_PLACEMENT_3D('',#450573,#361948,#361949); #335732=AXIS2_PLACEMENT_3D('',#450575,#361950,#361951); #335733=AXIS2_PLACEMENT_3D('',#450578,#361953,#361954); #335734=AXIS2_PLACEMENT_3D('',#450579,#361955,#361956); #335735=AXIS2_PLACEMENT_3D('',#450581,#361957,#361958); #335736=AXIS2_PLACEMENT_3D('',#450584,#361960,#361961); #335737=AXIS2_PLACEMENT_3D('',#450585,#361962,#361963); #335738=AXIS2_PLACEMENT_3D('',#450587,#361964,#361965); #335739=AXIS2_PLACEMENT_3D('',#450590,#361967,#361968); #335740=AXIS2_PLACEMENT_3D('',#450591,#361969,#361970); #335741=AXIS2_PLACEMENT_3D('',#450593,#361971,#361972); #335742=AXIS2_PLACEMENT_3D('',#450596,#361974,#361975); #335743=AXIS2_PLACEMENT_3D('',#450597,#361976,#361977); #335744=AXIS2_PLACEMENT_3D('',#450599,#361978,#361979); #335745=AXIS2_PLACEMENT_3D('',#450602,#361981,#361982); #335746=AXIS2_PLACEMENT_3D('',#450603,#361983,#361984); #335747=AXIS2_PLACEMENT_3D('',#450605,#361985,#361986); #335748=AXIS2_PLACEMENT_3D('',#450608,#361988,#361989); #335749=AXIS2_PLACEMENT_3D('',#450609,#361990,#361991); #335750=AXIS2_PLACEMENT_3D('',#450611,#361992,#361993); #335751=AXIS2_PLACEMENT_3D('',#450614,#361995,#361996); #335752=AXIS2_PLACEMENT_3D('',#450615,#361997,#361998); #335753=AXIS2_PLACEMENT_3D('',#450617,#361999,#362000); #335754=AXIS2_PLACEMENT_3D('',#450620,#362002,#362003); #335755=AXIS2_PLACEMENT_3D('',#450621,#362004,#362005); #335756=AXIS2_PLACEMENT_3D('',#450623,#362006,#362007); #335757=AXIS2_PLACEMENT_3D('',#450626,#362009,#362010); #335758=AXIS2_PLACEMENT_3D('',#450627,#362011,#362012); #335759=AXIS2_PLACEMENT_3D('',#450629,#362013,#362014); #335760=AXIS2_PLACEMENT_3D('',#450632,#362016,#362017); #335761=AXIS2_PLACEMENT_3D('',#450633,#362018,#362019); #335762=AXIS2_PLACEMENT_3D('',#450635,#362020,#362021); #335763=AXIS2_PLACEMENT_3D('',#450638,#362023,#362024); #335764=AXIS2_PLACEMENT_3D('',#450639,#362025,#362026); #335765=AXIS2_PLACEMENT_3D('',#450641,#362027,#362028); #335766=AXIS2_PLACEMENT_3D('',#450644,#362030,#362031); #335767=AXIS2_PLACEMENT_3D('',#450645,#362032,#362033); #335768=AXIS2_PLACEMENT_3D('',#450647,#362034,#362035); #335769=AXIS2_PLACEMENT_3D('',#450650,#362037,#362038); #335770=AXIS2_PLACEMENT_3D('',#450651,#362039,#362040); #335771=AXIS2_PLACEMENT_3D('',#450653,#362041,#362042); #335772=AXIS2_PLACEMENT_3D('',#450656,#362044,#362045); #335773=AXIS2_PLACEMENT_3D('',#450657,#362046,#362047); #335774=AXIS2_PLACEMENT_3D('',#450659,#362048,#362049); #335775=AXIS2_PLACEMENT_3D('',#450662,#362051,#362052); #335776=AXIS2_PLACEMENT_3D('',#450663,#362053,#362054); #335777=AXIS2_PLACEMENT_3D('',#450665,#362055,#362056); #335778=AXIS2_PLACEMENT_3D('',#450668,#362058,#362059); #335779=AXIS2_PLACEMENT_3D('',#450669,#362060,#362061); #335780=AXIS2_PLACEMENT_3D('',#450671,#362062,#362063); #335781=AXIS2_PLACEMENT_3D('',#450674,#362065,#362066); #335782=AXIS2_PLACEMENT_3D('',#450675,#362067,#362068); #335783=AXIS2_PLACEMENT_3D('',#450677,#362069,#362070); #335784=AXIS2_PLACEMENT_3D('',#450680,#362072,#362073); #335785=AXIS2_PLACEMENT_3D('',#450681,#362074,#362075); #335786=AXIS2_PLACEMENT_3D('',#450683,#362076,#362077); #335787=AXIS2_PLACEMENT_3D('',#450686,#362079,#362080); #335788=AXIS2_PLACEMENT_3D('',#450687,#362081,#362082); #335789=AXIS2_PLACEMENT_3D('',#450689,#362083,#362084); #335790=AXIS2_PLACEMENT_3D('',#450692,#362086,#362087); #335791=AXIS2_PLACEMENT_3D('',#450693,#362088,#362089); #335792=AXIS2_PLACEMENT_3D('',#450695,#362090,#362091); #335793=AXIS2_PLACEMENT_3D('',#450698,#362093,#362094); #335794=AXIS2_PLACEMENT_3D('',#450699,#362095,#362096); #335795=AXIS2_PLACEMENT_3D('',#450701,#362097,#362098); #335796=AXIS2_PLACEMENT_3D('',#450704,#362100,#362101); #335797=AXIS2_PLACEMENT_3D('',#450705,#362102,#362103); #335798=AXIS2_PLACEMENT_3D('',#450707,#362104,#362105); #335799=AXIS2_PLACEMENT_3D('',#450710,#362107,#362108); #335800=AXIS2_PLACEMENT_3D('',#450711,#362109,#362110); #335801=AXIS2_PLACEMENT_3D('',#450713,#362111,#362112); #335802=AXIS2_PLACEMENT_3D('',#450716,#362114,#362115); #335803=AXIS2_PLACEMENT_3D('',#450717,#362116,#362117); #335804=AXIS2_PLACEMENT_3D('',#450719,#362118,#362119); #335805=AXIS2_PLACEMENT_3D('',#450722,#362121,#362122); #335806=AXIS2_PLACEMENT_3D('',#450723,#362123,#362124); #335807=AXIS2_PLACEMENT_3D('',#450725,#362125,#362126); #335808=AXIS2_PLACEMENT_3D('',#450728,#362128,#362129); #335809=AXIS2_PLACEMENT_3D('',#450729,#362130,#362131); #335810=AXIS2_PLACEMENT_3D('',#450731,#362132,#362133); #335811=AXIS2_PLACEMENT_3D('',#450734,#362135,#362136); #335812=AXIS2_PLACEMENT_3D('',#450735,#362137,#362138); #335813=AXIS2_PLACEMENT_3D('',#450737,#362139,#362140); #335814=AXIS2_PLACEMENT_3D('',#450740,#362142,#362143); #335815=AXIS2_PLACEMENT_3D('',#450741,#362144,#362145); #335816=AXIS2_PLACEMENT_3D('',#450743,#362146,#362147); #335817=AXIS2_PLACEMENT_3D('',#450746,#362149,#362150); #335818=AXIS2_PLACEMENT_3D('',#450747,#362151,#362152); #335819=AXIS2_PLACEMENT_3D('',#450749,#362153,#362154); #335820=AXIS2_PLACEMENT_3D('',#450752,#362156,#362157); #335821=AXIS2_PLACEMENT_3D('',#450753,#362158,#362159); #335822=AXIS2_PLACEMENT_3D('',#450755,#362160,#362161); #335823=AXIS2_PLACEMENT_3D('',#450758,#362163,#362164); #335824=AXIS2_PLACEMENT_3D('',#450759,#362165,#362166); #335825=AXIS2_PLACEMENT_3D('',#450761,#362167,#362168); #335826=AXIS2_PLACEMENT_3D('',#450764,#362170,#362171); #335827=AXIS2_PLACEMENT_3D('',#450765,#362172,#362173); #335828=AXIS2_PLACEMENT_3D('',#450767,#362174,#362175); #335829=AXIS2_PLACEMENT_3D('',#450770,#362177,#362178); #335830=AXIS2_PLACEMENT_3D('',#450771,#362179,#362180); #335831=AXIS2_PLACEMENT_3D('',#450773,#362181,#362182); #335832=AXIS2_PLACEMENT_3D('',#450776,#362184,#362185); #335833=AXIS2_PLACEMENT_3D('',#450777,#362186,#362187); #335834=AXIS2_PLACEMENT_3D('',#450779,#362188,#362189); #335835=AXIS2_PLACEMENT_3D('',#450782,#362191,#362192); #335836=AXIS2_PLACEMENT_3D('',#450783,#362193,#362194); #335837=AXIS2_PLACEMENT_3D('',#450785,#362195,#362196); #335838=AXIS2_PLACEMENT_3D('',#450788,#362198,#362199); #335839=AXIS2_PLACEMENT_3D('',#450789,#362200,#362201); #335840=AXIS2_PLACEMENT_3D('',#450791,#362202,#362203); #335841=AXIS2_PLACEMENT_3D('',#450794,#362205,#362206); #335842=AXIS2_PLACEMENT_3D('',#450795,#362207,#362208); #335843=AXIS2_PLACEMENT_3D('',#450797,#362209,#362210); #335844=AXIS2_PLACEMENT_3D('',#450800,#362212,#362213); #335845=AXIS2_PLACEMENT_3D('',#450801,#362214,#362215); #335846=AXIS2_PLACEMENT_3D('',#450803,#362216,#362217); #335847=AXIS2_PLACEMENT_3D('',#450806,#362219,#362220); #335848=AXIS2_PLACEMENT_3D('',#450807,#362221,#362222); #335849=AXIS2_PLACEMENT_3D('',#450809,#362223,#362224); #335850=AXIS2_PLACEMENT_3D('',#450812,#362226,#362227); #335851=AXIS2_PLACEMENT_3D('',#450813,#362228,#362229); #335852=AXIS2_PLACEMENT_3D('',#450815,#362230,#362231); #335853=AXIS2_PLACEMENT_3D('',#450818,#362233,#362234); #335854=AXIS2_PLACEMENT_3D('',#450819,#362235,#362236); #335855=AXIS2_PLACEMENT_3D('',#450821,#362237,#362238); #335856=AXIS2_PLACEMENT_3D('',#450824,#362240,#362241); #335857=AXIS2_PLACEMENT_3D('',#450825,#362242,#362243); #335858=AXIS2_PLACEMENT_3D('',#450827,#362244,#362245); #335859=AXIS2_PLACEMENT_3D('',#450830,#362247,#362248); #335860=AXIS2_PLACEMENT_3D('',#450831,#362249,#362250); #335861=AXIS2_PLACEMENT_3D('',#450833,#362251,#362252); #335862=AXIS2_PLACEMENT_3D('',#450836,#362254,#362255); #335863=AXIS2_PLACEMENT_3D('',#450837,#362256,#362257); #335864=AXIS2_PLACEMENT_3D('',#450839,#362258,#362259); #335865=AXIS2_PLACEMENT_3D('',#450842,#362261,#362262); #335866=AXIS2_PLACEMENT_3D('',#450843,#362263,#362264); #335867=AXIS2_PLACEMENT_3D('',#450845,#362265,#362266); #335868=AXIS2_PLACEMENT_3D('',#450848,#362268,#362269); #335869=AXIS2_PLACEMENT_3D('',#450849,#362270,#362271); #335870=AXIS2_PLACEMENT_3D('',#450851,#362272,#362273); #335871=AXIS2_PLACEMENT_3D('',#450854,#362275,#362276); #335872=AXIS2_PLACEMENT_3D('',#450855,#362277,#362278); #335873=AXIS2_PLACEMENT_3D('',#450857,#362279,#362280); #335874=AXIS2_PLACEMENT_3D('',#450860,#362282,#362283); #335875=AXIS2_PLACEMENT_3D('',#450861,#362284,#362285); #335876=AXIS2_PLACEMENT_3D('',#450863,#362286,#362287); #335877=AXIS2_PLACEMENT_3D('',#450866,#362289,#362290); #335878=AXIS2_PLACEMENT_3D('',#450867,#362291,#362292); #335879=AXIS2_PLACEMENT_3D('',#450869,#362293,#362294); #335880=AXIS2_PLACEMENT_3D('',#450872,#362296,#362297); #335881=AXIS2_PLACEMENT_3D('',#450873,#362298,#362299); #335882=AXIS2_PLACEMENT_3D('',#450875,#362300,#362301); #335883=AXIS2_PLACEMENT_3D('',#450878,#362303,#362304); #335884=AXIS2_PLACEMENT_3D('',#450879,#362305,#362306); #335885=AXIS2_PLACEMENT_3D('',#450881,#362307,#362308); #335886=AXIS2_PLACEMENT_3D('',#450884,#362310,#362311); #335887=AXIS2_PLACEMENT_3D('',#450885,#362312,#362313); #335888=AXIS2_PLACEMENT_3D('',#450887,#362314,#362315); #335889=AXIS2_PLACEMENT_3D('',#450890,#362317,#362318); #335890=AXIS2_PLACEMENT_3D('',#450891,#362319,#362320); #335891=AXIS2_PLACEMENT_3D('',#450893,#362321,#362322); #335892=AXIS2_PLACEMENT_3D('',#450896,#362324,#362325); #335893=AXIS2_PLACEMENT_3D('',#450897,#362326,#362327); #335894=AXIS2_PLACEMENT_3D('',#450899,#362328,#362329); #335895=AXIS2_PLACEMENT_3D('',#450902,#362331,#362332); #335896=AXIS2_PLACEMENT_3D('',#450903,#362333,#362334); #335897=AXIS2_PLACEMENT_3D('',#450905,#362335,#362336); #335898=AXIS2_PLACEMENT_3D('',#450908,#362338,#362339); #335899=AXIS2_PLACEMENT_3D('',#450909,#362340,#362341); #335900=AXIS2_PLACEMENT_3D('',#450911,#362342,#362343); #335901=AXIS2_PLACEMENT_3D('',#450914,#362345,#362346); #335902=AXIS2_PLACEMENT_3D('',#450915,#362347,#362348); #335903=AXIS2_PLACEMENT_3D('',#450917,#362349,#362350); #335904=AXIS2_PLACEMENT_3D('',#450920,#362352,#362353); #335905=AXIS2_PLACEMENT_3D('',#450921,#362354,#362355); #335906=AXIS2_PLACEMENT_3D('',#450923,#362356,#362357); #335907=AXIS2_PLACEMENT_3D('',#450926,#362359,#362360); #335908=AXIS2_PLACEMENT_3D('',#450927,#362361,#362362); #335909=AXIS2_PLACEMENT_3D('',#450929,#362363,#362364); #335910=AXIS2_PLACEMENT_3D('',#450932,#362366,#362367); #335911=AXIS2_PLACEMENT_3D('',#450933,#362368,#362369); #335912=AXIS2_PLACEMENT_3D('',#450935,#362370,#362371); #335913=AXIS2_PLACEMENT_3D('',#450938,#362373,#362374); #335914=AXIS2_PLACEMENT_3D('',#450939,#362375,#362376); #335915=AXIS2_PLACEMENT_3D('',#450941,#362377,#362378); #335916=AXIS2_PLACEMENT_3D('',#450944,#362380,#362381); #335917=AXIS2_PLACEMENT_3D('',#450945,#362382,#362383); #335918=AXIS2_PLACEMENT_3D('',#450947,#362384,#362385); #335919=AXIS2_PLACEMENT_3D('',#450950,#362387,#362388); #335920=AXIS2_PLACEMENT_3D('',#450951,#362389,#362390); #335921=AXIS2_PLACEMENT_3D('',#450953,#362391,#362392); #335922=AXIS2_PLACEMENT_3D('',#450956,#362394,#362395); #335923=AXIS2_PLACEMENT_3D('',#450957,#362396,#362397); #335924=AXIS2_PLACEMENT_3D('',#450959,#362398,#362399); #335925=AXIS2_PLACEMENT_3D('',#450962,#362401,#362402); #335926=AXIS2_PLACEMENT_3D('',#450963,#362403,#362404); #335927=AXIS2_PLACEMENT_3D('',#450965,#362405,#362406); #335928=AXIS2_PLACEMENT_3D('',#450968,#362408,#362409); #335929=AXIS2_PLACEMENT_3D('',#450969,#362410,#362411); #335930=AXIS2_PLACEMENT_3D('',#450971,#362412,#362413); #335931=AXIS2_PLACEMENT_3D('',#450974,#362415,#362416); #335932=AXIS2_PLACEMENT_3D('',#450975,#362417,#362418); #335933=AXIS2_PLACEMENT_3D('',#450977,#362419,#362420); #335934=AXIS2_PLACEMENT_3D('',#450980,#362422,#362423); #335935=AXIS2_PLACEMENT_3D('',#450981,#362424,#362425); #335936=AXIS2_PLACEMENT_3D('',#450983,#362426,#362427); #335937=AXIS2_PLACEMENT_3D('',#450986,#362429,#362430); #335938=AXIS2_PLACEMENT_3D('',#450987,#362431,#362432); #335939=AXIS2_PLACEMENT_3D('',#450989,#362433,#362434); #335940=AXIS2_PLACEMENT_3D('',#450992,#362436,#362437); #335941=AXIS2_PLACEMENT_3D('',#450993,#362438,#362439); #335942=AXIS2_PLACEMENT_3D('',#450995,#362440,#362441); #335943=AXIS2_PLACEMENT_3D('',#450998,#362443,#362444); #335944=AXIS2_PLACEMENT_3D('',#450999,#362445,#362446); #335945=AXIS2_PLACEMENT_3D('',#451001,#362447,#362448); #335946=AXIS2_PLACEMENT_3D('',#451004,#362450,#362451); #335947=AXIS2_PLACEMENT_3D('',#451005,#362452,#362453); #335948=AXIS2_PLACEMENT_3D('',#451007,#362454,#362455); #335949=AXIS2_PLACEMENT_3D('',#451010,#362457,#362458); #335950=AXIS2_PLACEMENT_3D('',#451011,#362459,#362460); #335951=AXIS2_PLACEMENT_3D('',#451013,#362461,#362462); #335952=AXIS2_PLACEMENT_3D('',#451016,#362464,#362465); #335953=AXIS2_PLACEMENT_3D('',#451017,#362466,#362467); #335954=AXIS2_PLACEMENT_3D('',#451019,#362468,#362469); #335955=AXIS2_PLACEMENT_3D('',#451022,#362471,#362472); #335956=AXIS2_PLACEMENT_3D('',#451023,#362473,#362474); #335957=AXIS2_PLACEMENT_3D('',#451025,#362475,#362476); #335958=AXIS2_PLACEMENT_3D('',#451028,#362478,#362479); #335959=AXIS2_PLACEMENT_3D('',#451029,#362480,#362481); #335960=AXIS2_PLACEMENT_3D('',#451031,#362482,#362483); #335961=AXIS2_PLACEMENT_3D('',#451034,#362485,#362486); #335962=AXIS2_PLACEMENT_3D('',#451035,#362487,#362488); #335963=AXIS2_PLACEMENT_3D('',#451037,#362489,#362490); #335964=AXIS2_PLACEMENT_3D('',#451040,#362492,#362493); #335965=AXIS2_PLACEMENT_3D('',#451041,#362494,#362495); #335966=AXIS2_PLACEMENT_3D('',#451043,#362496,#362497); #335967=AXIS2_PLACEMENT_3D('',#451046,#362499,#362500); #335968=AXIS2_PLACEMENT_3D('',#451047,#362501,#362502); #335969=AXIS2_PLACEMENT_3D('',#451049,#362503,#362504); #335970=AXIS2_PLACEMENT_3D('',#451052,#362506,#362507); #335971=AXIS2_PLACEMENT_3D('',#451053,#362508,#362509); #335972=AXIS2_PLACEMENT_3D('',#451055,#362510,#362511); #335973=AXIS2_PLACEMENT_3D('',#451058,#362513,#362514); #335974=AXIS2_PLACEMENT_3D('',#451059,#362515,#362516); #335975=AXIS2_PLACEMENT_3D('',#451061,#362517,#362518); #335976=AXIS2_PLACEMENT_3D('',#451064,#362520,#362521); #335977=AXIS2_PLACEMENT_3D('',#451065,#362522,#362523); #335978=AXIS2_PLACEMENT_3D('',#451067,#362524,#362525); #335979=AXIS2_PLACEMENT_3D('',#451070,#362527,#362528); #335980=AXIS2_PLACEMENT_3D('',#451071,#362529,#362530); #335981=AXIS2_PLACEMENT_3D('',#451073,#362531,#362532); #335982=AXIS2_PLACEMENT_3D('',#451076,#362534,#362535); #335983=AXIS2_PLACEMENT_3D('',#451077,#362536,#362537); #335984=AXIS2_PLACEMENT_3D('',#451079,#362538,#362539); #335985=AXIS2_PLACEMENT_3D('',#451082,#362541,#362542); #335986=AXIS2_PLACEMENT_3D('',#451083,#362543,#362544); #335987=AXIS2_PLACEMENT_3D('',#451085,#362545,#362546); #335988=AXIS2_PLACEMENT_3D('',#451088,#362548,#362549); #335989=AXIS2_PLACEMENT_3D('',#451089,#362550,#362551); #335990=AXIS2_PLACEMENT_3D('',#451091,#362552,#362553); #335991=AXIS2_PLACEMENT_3D('',#451094,#362555,#362556); #335992=AXIS2_PLACEMENT_3D('',#451095,#362557,#362558); #335993=AXIS2_PLACEMENT_3D('',#451097,#362559,#362560); #335994=AXIS2_PLACEMENT_3D('',#451100,#362562,#362563); #335995=AXIS2_PLACEMENT_3D('',#451101,#362564,#362565); #335996=AXIS2_PLACEMENT_3D('',#451103,#362566,#362567); #335997=AXIS2_PLACEMENT_3D('',#451106,#362569,#362570); #335998=AXIS2_PLACEMENT_3D('',#451107,#362571,#362572); #335999=AXIS2_PLACEMENT_3D('',#451109,#362573,#362574); #336000=AXIS2_PLACEMENT_3D('',#451112,#362576,#362577); #336001=AXIS2_PLACEMENT_3D('',#451113,#362578,#362579); #336002=AXIS2_PLACEMENT_3D('',#451115,#362580,#362581); #336003=AXIS2_PLACEMENT_3D('',#451118,#362583,#362584); #336004=AXIS2_PLACEMENT_3D('',#451119,#362585,#362586); #336005=AXIS2_PLACEMENT_3D('',#451121,#362587,#362588); #336006=AXIS2_PLACEMENT_3D('',#451124,#362590,#362591); #336007=AXIS2_PLACEMENT_3D('',#451125,#362592,#362593); #336008=AXIS2_PLACEMENT_3D('',#451127,#362594,#362595); #336009=AXIS2_PLACEMENT_3D('',#451130,#362597,#362598); #336010=AXIS2_PLACEMENT_3D('',#451131,#362599,#362600); #336011=AXIS2_PLACEMENT_3D('',#451133,#362601,#362602); #336012=AXIS2_PLACEMENT_3D('',#451136,#362604,#362605); #336013=AXIS2_PLACEMENT_3D('',#451137,#362606,#362607); #336014=AXIS2_PLACEMENT_3D('',#451139,#362608,#362609); #336015=AXIS2_PLACEMENT_3D('',#451142,#362611,#362612); #336016=AXIS2_PLACEMENT_3D('',#451143,#362613,#362614); #336017=AXIS2_PLACEMENT_3D('',#451145,#362615,#362616); #336018=AXIS2_PLACEMENT_3D('',#451148,#362618,#362619); #336019=AXIS2_PLACEMENT_3D('',#451149,#362620,#362621); #336020=AXIS2_PLACEMENT_3D('',#451151,#362622,#362623); #336021=AXIS2_PLACEMENT_3D('',#451154,#362625,#362626); #336022=AXIS2_PLACEMENT_3D('',#451155,#362627,#362628); #336023=AXIS2_PLACEMENT_3D('',#451157,#362629,#362630); #336024=AXIS2_PLACEMENT_3D('',#451160,#362632,#362633); #336025=AXIS2_PLACEMENT_3D('',#451161,#362634,#362635); #336026=AXIS2_PLACEMENT_3D('',#451163,#362636,#362637); #336027=AXIS2_PLACEMENT_3D('',#451166,#362639,#362640); #336028=AXIS2_PLACEMENT_3D('',#451167,#362641,#362642); #336029=AXIS2_PLACEMENT_3D('',#451169,#362643,#362644); #336030=AXIS2_PLACEMENT_3D('',#451172,#362646,#362647); #336031=AXIS2_PLACEMENT_3D('',#451173,#362648,#362649); #336032=AXIS2_PLACEMENT_3D('',#451175,#362650,#362651); #336033=AXIS2_PLACEMENT_3D('',#451178,#362653,#362654); #336034=AXIS2_PLACEMENT_3D('',#451179,#362655,#362656); #336035=AXIS2_PLACEMENT_3D('',#451181,#362657,#362658); #336036=AXIS2_PLACEMENT_3D('',#451184,#362660,#362661); #336037=AXIS2_PLACEMENT_3D('',#451185,#362662,#362663); #336038=AXIS2_PLACEMENT_3D('',#451187,#362664,#362665); #336039=AXIS2_PLACEMENT_3D('',#451190,#362667,#362668); #336040=AXIS2_PLACEMENT_3D('',#451191,#362669,#362670); #336041=AXIS2_PLACEMENT_3D('',#451193,#362671,#362672); #336042=AXIS2_PLACEMENT_3D('',#451196,#362674,#362675); #336043=AXIS2_PLACEMENT_3D('',#451197,#362676,#362677); #336044=AXIS2_PLACEMENT_3D('',#451199,#362678,#362679); #336045=AXIS2_PLACEMENT_3D('',#451202,#362681,#362682); #336046=AXIS2_PLACEMENT_3D('',#451203,#362683,#362684); #336047=AXIS2_PLACEMENT_3D('',#451205,#362685,#362686); #336048=AXIS2_PLACEMENT_3D('',#451208,#362688,#362689); #336049=AXIS2_PLACEMENT_3D('',#451209,#362690,#362691); #336050=AXIS2_PLACEMENT_3D('',#451211,#362692,#362693); #336051=AXIS2_PLACEMENT_3D('',#451214,#362695,#362696); #336052=AXIS2_PLACEMENT_3D('',#451215,#362697,#362698); #336053=AXIS2_PLACEMENT_3D('',#451217,#362699,#362700); #336054=AXIS2_PLACEMENT_3D('',#451220,#362702,#362703); #336055=AXIS2_PLACEMENT_3D('',#451221,#362704,#362705); #336056=AXIS2_PLACEMENT_3D('',#451223,#362706,#362707); #336057=AXIS2_PLACEMENT_3D('',#451226,#362709,#362710); #336058=AXIS2_PLACEMENT_3D('',#451227,#362711,#362712); #336059=AXIS2_PLACEMENT_3D('',#451229,#362713,#362714); #336060=AXIS2_PLACEMENT_3D('',#451232,#362716,#362717); #336061=AXIS2_PLACEMENT_3D('',#451233,#362718,#362719); #336062=AXIS2_PLACEMENT_3D('',#451235,#362720,#362721); #336063=AXIS2_PLACEMENT_3D('',#451238,#362723,#362724); #336064=AXIS2_PLACEMENT_3D('',#451239,#362725,#362726); #336065=AXIS2_PLACEMENT_3D('',#451241,#362727,#362728); #336066=AXIS2_PLACEMENT_3D('',#451244,#362730,#362731); #336067=AXIS2_PLACEMENT_3D('',#451245,#362732,#362733); #336068=AXIS2_PLACEMENT_3D('',#451247,#362734,#362735); #336069=AXIS2_PLACEMENT_3D('',#451250,#362737,#362738); #336070=AXIS2_PLACEMENT_3D('',#451251,#362739,#362740); #336071=AXIS2_PLACEMENT_3D('',#451253,#362741,#362742); #336072=AXIS2_PLACEMENT_3D('',#451256,#362744,#362745); #336073=AXIS2_PLACEMENT_3D('',#451257,#362746,#362747); #336074=AXIS2_PLACEMENT_3D('',#451259,#362748,#362749); #336075=AXIS2_PLACEMENT_3D('',#451262,#362751,#362752); #336076=AXIS2_PLACEMENT_3D('',#451263,#362753,#362754); #336077=AXIS2_PLACEMENT_3D('',#451265,#362755,#362756); #336078=AXIS2_PLACEMENT_3D('',#451268,#362758,#362759); #336079=AXIS2_PLACEMENT_3D('',#451269,#362760,#362761); #336080=AXIS2_PLACEMENT_3D('',#451271,#362762,#362763); #336081=AXIS2_PLACEMENT_3D('',#451274,#362765,#362766); #336082=AXIS2_PLACEMENT_3D('',#451275,#362767,#362768); #336083=AXIS2_PLACEMENT_3D('',#451277,#362769,#362770); #336084=AXIS2_PLACEMENT_3D('',#451280,#362772,#362773); #336085=AXIS2_PLACEMENT_3D('',#451281,#362774,#362775); #336086=AXIS2_PLACEMENT_3D('',#451283,#362776,#362777); #336087=AXIS2_PLACEMENT_3D('',#451286,#362779,#362780); #336088=AXIS2_PLACEMENT_3D('',#451287,#362781,#362782); #336089=AXIS2_PLACEMENT_3D('',#451289,#362783,#362784); #336090=AXIS2_PLACEMENT_3D('',#451292,#362786,#362787); #336091=AXIS2_PLACEMENT_3D('',#451293,#362788,#362789); #336092=AXIS2_PLACEMENT_3D('',#451295,#362790,#362791); #336093=AXIS2_PLACEMENT_3D('',#451298,#362793,#362794); #336094=AXIS2_PLACEMENT_3D('',#451299,#362795,#362796); #336095=AXIS2_PLACEMENT_3D('',#451301,#362797,#362798); #336096=AXIS2_PLACEMENT_3D('',#451304,#362800,#362801); #336097=AXIS2_PLACEMENT_3D('',#451305,#362802,#362803); #336098=AXIS2_PLACEMENT_3D('',#451307,#362804,#362805); #336099=AXIS2_PLACEMENT_3D('',#451310,#362807,#362808); #336100=AXIS2_PLACEMENT_3D('',#451311,#362809,#362810); #336101=AXIS2_PLACEMENT_3D('',#451313,#362811,#362812); #336102=AXIS2_PLACEMENT_3D('',#451316,#362814,#362815); #336103=AXIS2_PLACEMENT_3D('',#451317,#362816,#362817); #336104=AXIS2_PLACEMENT_3D('',#451319,#362818,#362819); #336105=AXIS2_PLACEMENT_3D('',#451322,#362821,#362822); #336106=AXIS2_PLACEMENT_3D('',#451323,#362823,#362824); #336107=AXIS2_PLACEMENT_3D('',#451325,#362825,#362826); #336108=AXIS2_PLACEMENT_3D('',#451328,#362828,#362829); #336109=AXIS2_PLACEMENT_3D('',#451329,#362830,#362831); #336110=AXIS2_PLACEMENT_3D('',#451331,#362832,#362833); #336111=AXIS2_PLACEMENT_3D('',#451334,#362835,#362836); #336112=AXIS2_PLACEMENT_3D('',#451335,#362837,#362838); #336113=AXIS2_PLACEMENT_3D('',#451337,#362839,#362840); #336114=AXIS2_PLACEMENT_3D('',#451340,#362842,#362843); #336115=AXIS2_PLACEMENT_3D('',#451341,#362844,#362845); #336116=AXIS2_PLACEMENT_3D('',#451343,#362846,#362847); #336117=AXIS2_PLACEMENT_3D('',#451346,#362849,#362850); #336118=AXIS2_PLACEMENT_3D('',#451347,#362851,#362852); #336119=AXIS2_PLACEMENT_3D('',#451349,#362853,#362854); #336120=AXIS2_PLACEMENT_3D('',#451352,#362856,#362857); #336121=AXIS2_PLACEMENT_3D('',#451353,#362858,#362859); #336122=AXIS2_PLACEMENT_3D('',#451355,#362860,#362861); #336123=AXIS2_PLACEMENT_3D('',#451358,#362863,#362864); #336124=AXIS2_PLACEMENT_3D('',#451359,#362865,#362866); #336125=AXIS2_PLACEMENT_3D('',#451361,#362867,#362868); #336126=AXIS2_PLACEMENT_3D('',#451364,#362870,#362871); #336127=AXIS2_PLACEMENT_3D('',#451365,#362872,#362873); #336128=AXIS2_PLACEMENT_3D('',#451367,#362874,#362875); #336129=AXIS2_PLACEMENT_3D('',#451370,#362877,#362878); #336130=AXIS2_PLACEMENT_3D('',#451371,#362879,#362880); #336131=AXIS2_PLACEMENT_3D('',#451373,#362881,#362882); #336132=AXIS2_PLACEMENT_3D('',#451376,#362884,#362885); #336133=AXIS2_PLACEMENT_3D('',#451377,#362886,#362887); #336134=AXIS2_PLACEMENT_3D('',#451379,#362888,#362889); #336135=AXIS2_PLACEMENT_3D('',#451382,#362891,#362892); #336136=AXIS2_PLACEMENT_3D('',#451383,#362893,#362894); #336137=AXIS2_PLACEMENT_3D('',#451385,#362895,#362896); #336138=AXIS2_PLACEMENT_3D('',#451388,#362898,#362899); #336139=AXIS2_PLACEMENT_3D('',#451389,#362900,#362901); #336140=AXIS2_PLACEMENT_3D('',#451391,#362902,#362903); #336141=AXIS2_PLACEMENT_3D('',#451394,#362905,#362906); #336142=AXIS2_PLACEMENT_3D('',#451395,#362907,#362908); #336143=AXIS2_PLACEMENT_3D('',#451397,#362909,#362910); #336144=AXIS2_PLACEMENT_3D('',#451400,#362912,#362913); #336145=AXIS2_PLACEMENT_3D('',#451401,#362914,#362915); #336146=AXIS2_PLACEMENT_3D('',#451403,#362916,#362917); #336147=AXIS2_PLACEMENT_3D('',#451406,#362919,#362920); #336148=AXIS2_PLACEMENT_3D('',#451407,#362921,#362922); #336149=AXIS2_PLACEMENT_3D('',#451409,#362923,#362924); #336150=AXIS2_PLACEMENT_3D('',#451412,#362926,#362927); #336151=AXIS2_PLACEMENT_3D('',#451413,#362928,#362929); #336152=AXIS2_PLACEMENT_3D('',#451415,#362930,#362931); #336153=AXIS2_PLACEMENT_3D('',#451418,#362933,#362934); #336154=AXIS2_PLACEMENT_3D('',#451419,#362935,#362936); #336155=AXIS2_PLACEMENT_3D('',#451421,#362937,#362938); #336156=AXIS2_PLACEMENT_3D('',#451424,#362940,#362941); #336157=AXIS2_PLACEMENT_3D('',#451425,#362942,#362943); #336158=AXIS2_PLACEMENT_3D('',#451427,#362944,#362945); #336159=AXIS2_PLACEMENT_3D('',#451430,#362947,#362948); #336160=AXIS2_PLACEMENT_3D('',#451431,#362949,#362950); #336161=AXIS2_PLACEMENT_3D('',#451433,#362951,#362952); #336162=AXIS2_PLACEMENT_3D('',#451436,#362954,#362955); #336163=AXIS2_PLACEMENT_3D('',#451437,#362956,#362957); #336164=AXIS2_PLACEMENT_3D('',#451439,#362958,#362959); #336165=AXIS2_PLACEMENT_3D('',#451442,#362961,#362962); #336166=AXIS2_PLACEMENT_3D('',#451443,#362963,#362964); #336167=AXIS2_PLACEMENT_3D('',#451445,#362965,#362966); #336168=AXIS2_PLACEMENT_3D('',#451448,#362968,#362969); #336169=AXIS2_PLACEMENT_3D('',#451449,#362970,#362971); #336170=AXIS2_PLACEMENT_3D('',#451451,#362972,#362973); #336171=AXIS2_PLACEMENT_3D('',#451454,#362975,#362976); #336172=AXIS2_PLACEMENT_3D('',#451455,#362977,#362978); #336173=AXIS2_PLACEMENT_3D('',#451457,#362979,#362980); #336174=AXIS2_PLACEMENT_3D('',#451460,#362982,#362983); #336175=AXIS2_PLACEMENT_3D('',#451461,#362984,#362985); #336176=AXIS2_PLACEMENT_3D('',#451463,#362986,#362987); #336177=AXIS2_PLACEMENT_3D('',#451466,#362989,#362990); #336178=AXIS2_PLACEMENT_3D('',#451467,#362991,#362992); #336179=AXIS2_PLACEMENT_3D('',#451469,#362993,#362994); #336180=AXIS2_PLACEMENT_3D('',#451472,#362996,#362997); #336181=AXIS2_PLACEMENT_3D('',#451473,#362998,#362999); #336182=AXIS2_PLACEMENT_3D('',#451475,#363000,#363001); #336183=AXIS2_PLACEMENT_3D('',#451478,#363003,#363004); #336184=AXIS2_PLACEMENT_3D('',#451479,#363005,#363006); #336185=AXIS2_PLACEMENT_3D('',#451481,#363007,#363008); #336186=AXIS2_PLACEMENT_3D('',#451484,#363010,#363011); #336187=AXIS2_PLACEMENT_3D('',#451485,#363012,#363013); #336188=AXIS2_PLACEMENT_3D('',#451487,#363014,#363015); #336189=AXIS2_PLACEMENT_3D('',#451490,#363017,#363018); #336190=AXIS2_PLACEMENT_3D('',#451491,#363019,#363020); #336191=AXIS2_PLACEMENT_3D('',#451493,#363021,#363022); #336192=AXIS2_PLACEMENT_3D('',#451496,#363024,#363025); #336193=AXIS2_PLACEMENT_3D('',#451497,#363026,#363027); #336194=AXIS2_PLACEMENT_3D('',#451499,#363028,#363029); #336195=AXIS2_PLACEMENT_3D('',#451502,#363031,#363032); #336196=AXIS2_PLACEMENT_3D('',#451503,#363033,#363034); #336197=AXIS2_PLACEMENT_3D('',#451505,#363035,#363036); #336198=AXIS2_PLACEMENT_3D('',#451508,#363038,#363039); #336199=AXIS2_PLACEMENT_3D('',#451509,#363040,#363041); #336200=AXIS2_PLACEMENT_3D('',#451511,#363042,#363043); #336201=AXIS2_PLACEMENT_3D('',#451514,#363045,#363046); #336202=AXIS2_PLACEMENT_3D('',#451515,#363047,#363048); #336203=AXIS2_PLACEMENT_3D('',#451516,#363049,#363050); #336204=AXIS2_PLACEMENT_3D('',#451517,#363051,#363052); #336205=AXIS2_PLACEMENT_3D('',#451519,#363053,#363054); #336206=AXIS2_PLACEMENT_3D('',#451522,#363056,#363057); #336207=AXIS2_PLACEMENT_3D('',#451523,#363058,#363059); #336208=AXIS2_PLACEMENT_3D('',#451525,#363060,#363061); #336209=AXIS2_PLACEMENT_3D('',#451528,#363063,#363064); #336210=AXIS2_PLACEMENT_3D('',#451529,#363065,#363066); #336211=AXIS2_PLACEMENT_3D('',#451530,#363067,#363068); #336212=AXIS2_PLACEMENT_3D('',#451531,#363069,#363070); #336213=AXIS2_PLACEMENT_3D('',#451533,#363071,#363072); #336214=AXIS2_PLACEMENT_3D('',#451536,#363074,#363075); #336215=AXIS2_PLACEMENT_3D('',#451537,#363076,#363077); #336216=AXIS2_PLACEMENT_3D('',#451539,#363078,#363079); #336217=AXIS2_PLACEMENT_3D('',#451542,#363081,#363082); #336218=AXIS2_PLACEMENT_3D('',#451543,#363083,#363084); #336219=AXIS2_PLACEMENT_3D('',#451544,#363085,#363086); #336220=AXIS2_PLACEMENT_3D('',#451545,#363087,#363088); #336221=AXIS2_PLACEMENT_3D('',#451547,#363089,#363090); #336222=AXIS2_PLACEMENT_3D('',#451550,#363092,#363093); #336223=AXIS2_PLACEMENT_3D('',#451551,#363094,#363095); #336224=AXIS2_PLACEMENT_3D('',#451553,#363096,#363097); #336225=AXIS2_PLACEMENT_3D('',#451556,#363099,#363100); #336226=AXIS2_PLACEMENT_3D('',#451557,#363101,#363102); #336227=AXIS2_PLACEMENT_3D('',#451558,#363103,#363104); #336228=AXIS2_PLACEMENT_3D('',#451559,#363105,#363106); #336229=AXIS2_PLACEMENT_3D('',#451561,#363107,#363108); #336230=AXIS2_PLACEMENT_3D('',#451564,#363110,#363111); #336231=AXIS2_PLACEMENT_3D('',#451565,#363112,#363113); #336232=AXIS2_PLACEMENT_3D('',#451567,#363114,#363115); #336233=AXIS2_PLACEMENT_3D('',#451570,#363117,#363118); #336234=AXIS2_PLACEMENT_3D('',#451571,#363119,#363120); #336235=AXIS2_PLACEMENT_3D('',#451572,#363121,#363122); #336236=AXIS2_PLACEMENT_3D('',#451573,#363123,#363124); #336237=AXIS2_PLACEMENT_3D('',#451575,#363125,#363126); #336238=AXIS2_PLACEMENT_3D('',#451578,#363128,#363129); #336239=AXIS2_PLACEMENT_3D('',#451579,#363130,#363131); #336240=AXIS2_PLACEMENT_3D('',#451581,#363132,#363133); #336241=AXIS2_PLACEMENT_3D('',#451584,#363135,#363136); #336242=AXIS2_PLACEMENT_3D('',#451585,#363137,#363138); #336243=AXIS2_PLACEMENT_3D('',#451586,#363139,#363140); #336244=AXIS2_PLACEMENT_3D('',#451587,#363141,#363142); #336245=AXIS2_PLACEMENT_3D('',#451589,#363143,#363144); #336246=AXIS2_PLACEMENT_3D('',#451592,#363146,#363147); #336247=AXIS2_PLACEMENT_3D('',#451593,#363148,#363149); #336248=AXIS2_PLACEMENT_3D('',#451595,#363150,#363151); #336249=AXIS2_PLACEMENT_3D('',#451598,#363153,#363154); #336250=AXIS2_PLACEMENT_3D('',#451599,#363155,#363156); #336251=AXIS2_PLACEMENT_3D('',#451600,#363157,#363158); #336252=AXIS2_PLACEMENT_3D('',#451601,#363159,#363160); #336253=AXIS2_PLACEMENT_3D('',#451603,#363161,#363162); #336254=AXIS2_PLACEMENT_3D('',#451606,#363164,#363165); #336255=AXIS2_PLACEMENT_3D('',#451607,#363166,#363167); #336256=AXIS2_PLACEMENT_3D('',#451609,#363168,#363169); #336257=AXIS2_PLACEMENT_3D('',#451612,#363171,#363172); #336258=AXIS2_PLACEMENT_3D('',#451613,#363173,#363174); #336259=AXIS2_PLACEMENT_3D('',#451614,#363175,#363176); #336260=AXIS2_PLACEMENT_3D('',#451615,#363177,#363178); #336261=AXIS2_PLACEMENT_3D('',#451617,#363179,#363180); #336262=AXIS2_PLACEMENT_3D('',#451620,#363182,#363183); #336263=AXIS2_PLACEMENT_3D('',#451621,#363184,#363185); #336264=AXIS2_PLACEMENT_3D('',#451623,#363186,#363187); #336265=AXIS2_PLACEMENT_3D('',#451626,#363189,#363190); #336266=AXIS2_PLACEMENT_3D('',#451627,#363191,#363192); #336267=AXIS2_PLACEMENT_3D('',#451628,#363193,#363194); #336268=AXIS2_PLACEMENT_3D('',#451629,#363195,#363196); #336269=AXIS2_PLACEMENT_3D('',#451631,#363197,#363198); #336270=AXIS2_PLACEMENT_3D('',#451634,#363200,#363201); #336271=AXIS2_PLACEMENT_3D('',#451635,#363202,#363203); #336272=AXIS2_PLACEMENT_3D('',#451637,#363204,#363205); #336273=AXIS2_PLACEMENT_3D('',#451640,#363207,#363208); #336274=AXIS2_PLACEMENT_3D('',#451641,#363209,#363210); #336275=AXIS2_PLACEMENT_3D('',#451642,#363211,#363212); #336276=AXIS2_PLACEMENT_3D('',#451643,#363213,#363214); #336277=AXIS2_PLACEMENT_3D('',#451645,#363215,#363216); #336278=AXIS2_PLACEMENT_3D('',#451648,#363218,#363219); #336279=AXIS2_PLACEMENT_3D('',#451649,#363220,#363221); #336280=AXIS2_PLACEMENT_3D('',#451651,#363222,#363223); #336281=AXIS2_PLACEMENT_3D('',#451654,#363225,#363226); #336282=AXIS2_PLACEMENT_3D('',#451655,#363227,#363228); #336283=AXIS2_PLACEMENT_3D('',#451656,#363229,#363230); #336284=AXIS2_PLACEMENT_3D('',#451657,#363231,#363232); #336285=AXIS2_PLACEMENT_3D('',#451659,#363233,#363234); #336286=AXIS2_PLACEMENT_3D('',#451662,#363236,#363237); #336287=AXIS2_PLACEMENT_3D('',#451663,#363238,#363239); #336288=AXIS2_PLACEMENT_3D('',#451665,#363240,#363241); #336289=AXIS2_PLACEMENT_3D('',#451668,#363243,#363244); #336290=AXIS2_PLACEMENT_3D('',#451669,#363245,#363246); #336291=AXIS2_PLACEMENT_3D('',#451670,#363247,#363248); #336292=AXIS2_PLACEMENT_3D('',#451671,#363249,#363250); #336293=AXIS2_PLACEMENT_3D('',#451673,#363251,#363252); #336294=AXIS2_PLACEMENT_3D('',#451676,#363254,#363255); #336295=AXIS2_PLACEMENT_3D('',#451677,#363256,#363257); #336296=AXIS2_PLACEMENT_3D('',#451679,#363258,#363259); #336297=AXIS2_PLACEMENT_3D('',#451682,#363261,#363262); #336298=AXIS2_PLACEMENT_3D('',#451683,#363263,#363264); #336299=AXIS2_PLACEMENT_3D('',#451684,#363265,#363266); #336300=AXIS2_PLACEMENT_3D('',#451685,#363267,#363268); #336301=AXIS2_PLACEMENT_3D('',#451687,#363269,#363270); #336302=AXIS2_PLACEMENT_3D('',#451690,#363272,#363273); #336303=AXIS2_PLACEMENT_3D('',#451691,#363274,#363275); #336304=AXIS2_PLACEMENT_3D('',#451693,#363276,#363277); #336305=AXIS2_PLACEMENT_3D('',#451696,#363279,#363280); #336306=AXIS2_PLACEMENT_3D('',#451697,#363281,#363282); #336307=AXIS2_PLACEMENT_3D('',#451698,#363283,#363284); #336308=AXIS2_PLACEMENT_3D('',#451699,#363285,#363286); #336309=AXIS2_PLACEMENT_3D('',#451701,#363287,#363288); #336310=AXIS2_PLACEMENT_3D('',#451704,#363290,#363291); #336311=AXIS2_PLACEMENT_3D('',#451705,#363292,#363293); #336312=AXIS2_PLACEMENT_3D('',#451707,#363294,#363295); #336313=AXIS2_PLACEMENT_3D('',#451710,#363297,#363298); #336314=AXIS2_PLACEMENT_3D('',#451711,#363299,#363300); #336315=AXIS2_PLACEMENT_3D('',#451712,#363301,#363302); #336316=AXIS2_PLACEMENT_3D('',#451713,#363303,#363304); #336317=AXIS2_PLACEMENT_3D('',#451715,#363305,#363306); #336318=AXIS2_PLACEMENT_3D('',#451718,#363308,#363309); #336319=AXIS2_PLACEMENT_3D('',#451719,#363310,#363311); #336320=AXIS2_PLACEMENT_3D('',#451721,#363312,#363313); #336321=AXIS2_PLACEMENT_3D('',#451724,#363315,#363316); #336322=AXIS2_PLACEMENT_3D('',#451725,#363317,#363318); #336323=AXIS2_PLACEMENT_3D('',#451726,#363319,#363320); #336324=AXIS2_PLACEMENT_3D('',#451727,#363321,#363322); #336325=AXIS2_PLACEMENT_3D('',#451729,#363323,#363324); #336326=AXIS2_PLACEMENT_3D('',#451732,#363326,#363327); #336327=AXIS2_PLACEMENT_3D('',#451733,#363328,#363329); #336328=AXIS2_PLACEMENT_3D('',#451735,#363330,#363331); #336329=AXIS2_PLACEMENT_3D('',#451738,#363333,#363334); #336330=AXIS2_PLACEMENT_3D('',#451739,#363335,#363336); #336331=AXIS2_PLACEMENT_3D('',#451740,#363337,#363338); #336332=AXIS2_PLACEMENT_3D('',#451741,#363339,#363340); #336333=AXIS2_PLACEMENT_3D('',#451743,#363341,#363342); #336334=AXIS2_PLACEMENT_3D('',#451746,#363344,#363345); #336335=AXIS2_PLACEMENT_3D('',#451747,#363346,#363347); #336336=AXIS2_PLACEMENT_3D('',#451749,#363348,#363349); #336337=AXIS2_PLACEMENT_3D('',#451752,#363351,#363352); #336338=AXIS2_PLACEMENT_3D('',#451753,#363353,#363354); #336339=AXIS2_PLACEMENT_3D('',#451754,#363355,#363356); #336340=AXIS2_PLACEMENT_3D('',#451755,#363357,#363358); #336341=AXIS2_PLACEMENT_3D('',#451757,#363359,#363360); #336342=AXIS2_PLACEMENT_3D('',#451760,#363362,#363363); #336343=AXIS2_PLACEMENT_3D('',#451761,#363364,#363365); #336344=AXIS2_PLACEMENT_3D('',#451763,#363366,#363367); #336345=AXIS2_PLACEMENT_3D('',#451766,#363369,#363370); #336346=AXIS2_PLACEMENT_3D('',#451767,#363371,#363372); #336347=AXIS2_PLACEMENT_3D('',#451768,#363373,#363374); #336348=AXIS2_PLACEMENT_3D('',#451769,#363375,#363376); #336349=AXIS2_PLACEMENT_3D('',#451771,#363377,#363378); #336350=AXIS2_PLACEMENT_3D('',#451774,#363380,#363381); #336351=AXIS2_PLACEMENT_3D('',#451775,#363382,#363383); #336352=AXIS2_PLACEMENT_3D('',#451777,#363384,#363385); #336353=AXIS2_PLACEMENT_3D('',#451780,#363387,#363388); #336354=AXIS2_PLACEMENT_3D('',#451781,#363389,#363390); #336355=AXIS2_PLACEMENT_3D('',#451782,#363391,#363392); #336356=AXIS2_PLACEMENT_3D('',#451783,#363393,#363394); #336357=AXIS2_PLACEMENT_3D('',#451785,#363395,#363396); #336358=AXIS2_PLACEMENT_3D('',#451788,#363398,#363399); #336359=AXIS2_PLACEMENT_3D('',#451789,#363400,#363401); #336360=AXIS2_PLACEMENT_3D('',#451791,#363402,#363403); #336361=AXIS2_PLACEMENT_3D('',#451794,#363405,#363406); #336362=AXIS2_PLACEMENT_3D('',#451795,#363407,#363408); #336363=AXIS2_PLACEMENT_3D('',#451796,#363409,#363410); #336364=AXIS2_PLACEMENT_3D('',#451797,#363411,#363412); #336365=AXIS2_PLACEMENT_3D('',#451799,#363413,#363414); #336366=AXIS2_PLACEMENT_3D('',#451802,#363416,#363417); #336367=AXIS2_PLACEMENT_3D('',#451803,#363418,#363419); #336368=AXIS2_PLACEMENT_3D('',#451805,#363420,#363421); #336369=AXIS2_PLACEMENT_3D('',#451808,#363423,#363424); #336370=AXIS2_PLACEMENT_3D('',#451809,#363425,#363426); #336371=AXIS2_PLACEMENT_3D('',#451810,#363427,#363428); #336372=AXIS2_PLACEMENT_3D('',#451811,#363429,#363430); #336373=AXIS2_PLACEMENT_3D('',#451813,#363431,#363432); #336374=AXIS2_PLACEMENT_3D('',#451816,#363434,#363435); #336375=AXIS2_PLACEMENT_3D('',#451817,#363436,#363437); #336376=AXIS2_PLACEMENT_3D('',#451819,#363438,#363439); #336377=AXIS2_PLACEMENT_3D('',#451822,#363441,#363442); #336378=AXIS2_PLACEMENT_3D('',#451823,#363443,#363444); #336379=AXIS2_PLACEMENT_3D('',#451824,#363445,#363446); #336380=AXIS2_PLACEMENT_3D('',#451825,#363447,#363448); #336381=AXIS2_PLACEMENT_3D('',#451827,#363449,#363450); #336382=AXIS2_PLACEMENT_3D('',#451830,#363452,#363453); #336383=AXIS2_PLACEMENT_3D('',#451831,#363454,#363455); #336384=AXIS2_PLACEMENT_3D('',#451833,#363456,#363457); #336385=AXIS2_PLACEMENT_3D('',#451836,#363459,#363460); #336386=AXIS2_PLACEMENT_3D('',#451837,#363461,#363462); #336387=AXIS2_PLACEMENT_3D('',#451838,#363463,#363464); #336388=AXIS2_PLACEMENT_3D('',#451839,#363465,#363466); #336389=AXIS2_PLACEMENT_3D('',#451841,#363467,#363468); #336390=AXIS2_PLACEMENT_3D('',#451844,#363470,#363471); #336391=AXIS2_PLACEMENT_3D('',#451845,#363472,#363473); #336392=AXIS2_PLACEMENT_3D('',#451847,#363474,#363475); #336393=AXIS2_PLACEMENT_3D('',#451850,#363477,#363478); #336394=AXIS2_PLACEMENT_3D('',#451851,#363479,#363480); #336395=AXIS2_PLACEMENT_3D('',#451852,#363481,#363482); #336396=AXIS2_PLACEMENT_3D('',#451853,#363483,#363484); #336397=AXIS2_PLACEMENT_3D('',#451855,#363485,#363486); #336398=AXIS2_PLACEMENT_3D('',#451858,#363488,#363489); #336399=AXIS2_PLACEMENT_3D('',#451859,#363490,#363491); #336400=AXIS2_PLACEMENT_3D('',#451861,#363492,#363493); #336401=AXIS2_PLACEMENT_3D('',#451864,#363495,#363496); #336402=AXIS2_PLACEMENT_3D('',#451865,#363497,#363498); #336403=AXIS2_PLACEMENT_3D('',#451866,#363499,#363500); #336404=AXIS2_PLACEMENT_3D('',#451867,#363501,#363502); #336405=AXIS2_PLACEMENT_3D('',#451869,#363503,#363504); #336406=AXIS2_PLACEMENT_3D('',#451872,#363506,#363507); #336407=AXIS2_PLACEMENT_3D('',#451873,#363508,#363509); #336408=AXIS2_PLACEMENT_3D('',#451875,#363510,#363511); #336409=AXIS2_PLACEMENT_3D('',#451878,#363513,#363514); #336410=AXIS2_PLACEMENT_3D('',#451879,#363515,#363516); #336411=AXIS2_PLACEMENT_3D('',#451880,#363517,#363518); #336412=AXIS2_PLACEMENT_3D('',#451881,#363519,#363520); #336413=AXIS2_PLACEMENT_3D('',#451883,#363521,#363522); #336414=AXIS2_PLACEMENT_3D('',#451886,#363524,#363525); #336415=AXIS2_PLACEMENT_3D('',#451887,#363526,#363527); #336416=AXIS2_PLACEMENT_3D('',#451889,#363528,#363529); #336417=AXIS2_PLACEMENT_3D('',#451892,#363531,#363532); #336418=AXIS2_PLACEMENT_3D('',#451893,#363533,#363534); #336419=AXIS2_PLACEMENT_3D('',#451894,#363535,#363536); #336420=AXIS2_PLACEMENT_3D('',#451895,#363537,#363538); #336421=AXIS2_PLACEMENT_3D('',#451897,#363539,#363540); #336422=AXIS2_PLACEMENT_3D('',#451900,#363542,#363543); #336423=AXIS2_PLACEMENT_3D('',#451901,#363544,#363545); #336424=AXIS2_PLACEMENT_3D('',#451903,#363546,#363547); #336425=AXIS2_PLACEMENT_3D('',#451906,#363549,#363550); #336426=AXIS2_PLACEMENT_3D('',#451907,#363551,#363552); #336427=AXIS2_PLACEMENT_3D('',#451908,#363553,#363554); #336428=AXIS2_PLACEMENT_3D('',#451909,#363555,#363556); #336429=AXIS2_PLACEMENT_3D('',#451911,#363557,#363558); #336430=AXIS2_PLACEMENT_3D('',#451914,#363560,#363561); #336431=AXIS2_PLACEMENT_3D('',#451915,#363562,#363563); #336432=AXIS2_PLACEMENT_3D('',#451917,#363564,#363565); #336433=AXIS2_PLACEMENT_3D('',#451920,#363567,#363568); #336434=AXIS2_PLACEMENT_3D('',#451921,#363569,#363570); #336435=AXIS2_PLACEMENT_3D('',#451922,#363571,#363572); #336436=AXIS2_PLACEMENT_3D('',#451923,#363573,#363574); #336437=AXIS2_PLACEMENT_3D('',#451925,#363575,#363576); #336438=AXIS2_PLACEMENT_3D('',#451928,#363578,#363579); #336439=AXIS2_PLACEMENT_3D('',#451929,#363580,#363581); #336440=AXIS2_PLACEMENT_3D('',#451931,#363582,#363583); #336441=AXIS2_PLACEMENT_3D('',#451934,#363585,#363586); #336442=AXIS2_PLACEMENT_3D('',#451935,#363587,#363588); #336443=AXIS2_PLACEMENT_3D('',#451936,#363589,#363590); #336444=AXIS2_PLACEMENT_3D('',#451937,#363591,#363592); #336445=AXIS2_PLACEMENT_3D('',#451939,#363593,#363594); #336446=AXIS2_PLACEMENT_3D('',#451942,#363596,#363597); #336447=AXIS2_PLACEMENT_3D('',#451943,#363598,#363599); #336448=AXIS2_PLACEMENT_3D('',#451945,#363600,#363601); #336449=AXIS2_PLACEMENT_3D('',#451948,#363603,#363604); #336450=AXIS2_PLACEMENT_3D('',#451949,#363605,#363606); #336451=AXIS2_PLACEMENT_3D('',#451950,#363607,#363608); #336452=AXIS2_PLACEMENT_3D('',#451951,#363609,#363610); #336453=AXIS2_PLACEMENT_3D('',#451953,#363611,#363612); #336454=AXIS2_PLACEMENT_3D('',#451956,#363614,#363615); #336455=AXIS2_PLACEMENT_3D('',#451957,#363616,#363617); #336456=AXIS2_PLACEMENT_3D('',#451959,#363618,#363619); #336457=AXIS2_PLACEMENT_3D('',#451962,#363621,#363622); #336458=AXIS2_PLACEMENT_3D('',#451963,#363623,#363624); #336459=AXIS2_PLACEMENT_3D('',#451964,#363625,#363626); #336460=AXIS2_PLACEMENT_3D('',#451965,#363627,#363628); #336461=AXIS2_PLACEMENT_3D('',#451967,#363629,#363630); #336462=AXIS2_PLACEMENT_3D('',#451970,#363632,#363633); #336463=AXIS2_PLACEMENT_3D('',#451971,#363634,#363635); #336464=AXIS2_PLACEMENT_3D('',#451973,#363636,#363637); #336465=AXIS2_PLACEMENT_3D('',#451976,#363639,#363640); #336466=AXIS2_PLACEMENT_3D('',#451977,#363641,#363642); #336467=AXIS2_PLACEMENT_3D('',#451978,#363643,#363644); #336468=AXIS2_PLACEMENT_3D('',#451979,#363645,#363646); #336469=AXIS2_PLACEMENT_3D('',#451981,#363647,#363648); #336470=AXIS2_PLACEMENT_3D('',#451984,#363650,#363651); #336471=AXIS2_PLACEMENT_3D('',#451985,#363652,#363653); #336472=AXIS2_PLACEMENT_3D('',#451987,#363654,#363655); #336473=AXIS2_PLACEMENT_3D('',#451990,#363657,#363658); #336474=AXIS2_PLACEMENT_3D('',#451991,#363659,#363660); #336475=AXIS2_PLACEMENT_3D('',#451992,#363661,#363662); #336476=AXIS2_PLACEMENT_3D('',#451993,#363663,#363664); #336477=AXIS2_PLACEMENT_3D('',#451995,#363665,#363666); #336478=AXIS2_PLACEMENT_3D('',#451998,#363668,#363669); #336479=AXIS2_PLACEMENT_3D('',#451999,#363670,#363671); #336480=AXIS2_PLACEMENT_3D('',#452001,#363672,#363673); #336481=AXIS2_PLACEMENT_3D('',#452004,#363675,#363676); #336482=AXIS2_PLACEMENT_3D('',#452005,#363677,#363678); #336483=AXIS2_PLACEMENT_3D('',#452006,#363679,#363680); #336484=AXIS2_PLACEMENT_3D('',#452007,#363681,#363682); #336485=AXIS2_PLACEMENT_3D('',#452009,#363683,#363684); #336486=AXIS2_PLACEMENT_3D('',#452012,#363686,#363687); #336487=AXIS2_PLACEMENT_3D('',#452013,#363688,#363689); #336488=AXIS2_PLACEMENT_3D('',#452015,#363690,#363691); #336489=AXIS2_PLACEMENT_3D('',#452018,#363693,#363694); #336490=AXIS2_PLACEMENT_3D('',#452019,#363695,#363696); #336491=AXIS2_PLACEMENT_3D('',#452020,#363697,#363698); #336492=AXIS2_PLACEMENT_3D('',#452021,#363699,#363700); #336493=AXIS2_PLACEMENT_3D('',#452023,#363701,#363702); #336494=AXIS2_PLACEMENT_3D('',#452026,#363704,#363705); #336495=AXIS2_PLACEMENT_3D('',#452027,#363706,#363707); #336496=AXIS2_PLACEMENT_3D('',#452029,#363708,#363709); #336497=AXIS2_PLACEMENT_3D('',#452032,#363711,#363712); #336498=AXIS2_PLACEMENT_3D('',#452033,#363713,#363714); #336499=AXIS2_PLACEMENT_3D('',#452034,#363715,#363716); #336500=AXIS2_PLACEMENT_3D('',#452035,#363717,#363718); #336501=AXIS2_PLACEMENT_3D('',#452037,#363719,#363720); #336502=AXIS2_PLACEMENT_3D('',#452040,#363722,#363723); #336503=AXIS2_PLACEMENT_3D('',#452041,#363724,#363725); #336504=AXIS2_PLACEMENT_3D('',#452043,#363726,#363727); #336505=AXIS2_PLACEMENT_3D('',#452046,#363729,#363730); #336506=AXIS2_PLACEMENT_3D('',#452047,#363731,#363732); #336507=AXIS2_PLACEMENT_3D('',#452048,#363733,#363734); #336508=AXIS2_PLACEMENT_3D('',#452049,#363735,#363736); #336509=AXIS2_PLACEMENT_3D('',#452051,#363737,#363738); #336510=AXIS2_PLACEMENT_3D('',#452054,#363740,#363741); #336511=AXIS2_PLACEMENT_3D('',#452055,#363742,#363743); #336512=AXIS2_PLACEMENT_3D('',#452057,#363744,#363745); #336513=AXIS2_PLACEMENT_3D('',#452060,#363747,#363748); #336514=AXIS2_PLACEMENT_3D('',#452061,#363749,#363750); #336515=AXIS2_PLACEMENT_3D('',#452062,#363751,#363752); #336516=AXIS2_PLACEMENT_3D('',#452063,#363753,#363754); #336517=AXIS2_PLACEMENT_3D('',#452065,#363755,#363756); #336518=AXIS2_PLACEMENT_3D('',#452068,#363758,#363759); #336519=AXIS2_PLACEMENT_3D('',#452069,#363760,#363761); #336520=AXIS2_PLACEMENT_3D('',#452071,#363762,#363763); #336521=AXIS2_PLACEMENT_3D('',#452074,#363765,#363766); #336522=AXIS2_PLACEMENT_3D('',#452075,#363767,#363768); #336523=AXIS2_PLACEMENT_3D('',#452076,#363769,#363770); #336524=AXIS2_PLACEMENT_3D('',#452077,#363771,#363772); #336525=AXIS2_PLACEMENT_3D('',#452079,#363773,#363774); #336526=AXIS2_PLACEMENT_3D('',#452082,#363776,#363777); #336527=AXIS2_PLACEMENT_3D('',#452083,#363778,#363779); #336528=AXIS2_PLACEMENT_3D('',#452085,#363780,#363781); #336529=AXIS2_PLACEMENT_3D('',#452088,#363783,#363784); #336530=AXIS2_PLACEMENT_3D('',#452089,#363785,#363786); #336531=AXIS2_PLACEMENT_3D('',#452090,#363787,#363788); #336532=AXIS2_PLACEMENT_3D('',#452091,#363789,#363790); #336533=AXIS2_PLACEMENT_3D('',#452093,#363791,#363792); #336534=AXIS2_PLACEMENT_3D('',#452096,#363794,#363795); #336535=AXIS2_PLACEMENT_3D('',#452097,#363796,#363797); #336536=AXIS2_PLACEMENT_3D('',#452099,#363798,#363799); #336537=AXIS2_PLACEMENT_3D('',#452102,#363801,#363802); #336538=AXIS2_PLACEMENT_3D('',#452103,#363803,#363804); #336539=AXIS2_PLACEMENT_3D('',#452104,#363805,#363806); #336540=AXIS2_PLACEMENT_3D('',#452105,#363807,#363808); #336541=AXIS2_PLACEMENT_3D('',#452107,#363809,#363810); #336542=AXIS2_PLACEMENT_3D('',#452110,#363812,#363813); #336543=AXIS2_PLACEMENT_3D('',#452111,#363814,#363815); #336544=AXIS2_PLACEMENT_3D('',#452113,#363816,#363817); #336545=AXIS2_PLACEMENT_3D('',#452116,#363819,#363820); #336546=AXIS2_PLACEMENT_3D('',#452117,#363821,#363822); #336547=AXIS2_PLACEMENT_3D('',#452118,#363823,#363824); #336548=AXIS2_PLACEMENT_3D('',#452119,#363825,#363826); #336549=AXIS2_PLACEMENT_3D('',#452121,#363827,#363828); #336550=AXIS2_PLACEMENT_3D('',#452124,#363830,#363831); #336551=AXIS2_PLACEMENT_3D('',#452125,#363832,#363833); #336552=AXIS2_PLACEMENT_3D('',#452127,#363834,#363835); #336553=AXIS2_PLACEMENT_3D('',#452130,#363837,#363838); #336554=AXIS2_PLACEMENT_3D('',#452131,#363839,#363840); #336555=AXIS2_PLACEMENT_3D('',#452132,#363841,#363842); #336556=AXIS2_PLACEMENT_3D('',#452133,#363843,#363844); #336557=AXIS2_PLACEMENT_3D('',#452135,#363845,#363846); #336558=AXIS2_PLACEMENT_3D('',#452138,#363848,#363849); #336559=AXIS2_PLACEMENT_3D('',#452139,#363850,#363851); #336560=AXIS2_PLACEMENT_3D('',#452141,#363852,#363853); #336561=AXIS2_PLACEMENT_3D('',#452144,#363855,#363856); #336562=AXIS2_PLACEMENT_3D('',#452145,#363857,#363858); #336563=AXIS2_PLACEMENT_3D('',#452146,#363859,#363860); #336564=AXIS2_PLACEMENT_3D('',#452147,#363861,#363862); #336565=AXIS2_PLACEMENT_3D('',#452149,#363863,#363864); #336566=AXIS2_PLACEMENT_3D('',#452152,#363866,#363867); #336567=AXIS2_PLACEMENT_3D('',#452153,#363868,#363869); #336568=AXIS2_PLACEMENT_3D('',#452155,#363870,#363871); #336569=AXIS2_PLACEMENT_3D('',#452158,#363873,#363874); #336570=AXIS2_PLACEMENT_3D('',#452159,#363875,#363876); #336571=AXIS2_PLACEMENT_3D('',#452160,#363877,#363878); #336572=AXIS2_PLACEMENT_3D('',#452161,#363879,#363880); #336573=AXIS2_PLACEMENT_3D('',#452163,#363881,#363882); #336574=AXIS2_PLACEMENT_3D('',#452166,#363884,#363885); #336575=AXIS2_PLACEMENT_3D('',#452167,#363886,#363887); #336576=AXIS2_PLACEMENT_3D('',#452169,#363888,#363889); #336577=AXIS2_PLACEMENT_3D('',#452172,#363891,#363892); #336578=AXIS2_PLACEMENT_3D('',#452173,#363893,#363894); #336579=AXIS2_PLACEMENT_3D('',#452174,#363895,#363896); #336580=AXIS2_PLACEMENT_3D('',#452175,#363897,#363898); #336581=AXIS2_PLACEMENT_3D('',#452177,#363899,#363900); #336582=AXIS2_PLACEMENT_3D('',#452180,#363902,#363903); #336583=AXIS2_PLACEMENT_3D('',#452181,#363904,#363905); #336584=AXIS2_PLACEMENT_3D('',#452183,#363906,#363907); #336585=AXIS2_PLACEMENT_3D('',#452186,#363909,#363910); #336586=AXIS2_PLACEMENT_3D('',#452187,#363911,#363912); #336587=AXIS2_PLACEMENT_3D('',#452188,#363913,#363914); #336588=AXIS2_PLACEMENT_3D('',#452189,#363915,#363916); #336589=AXIS2_PLACEMENT_3D('',#452191,#363917,#363918); #336590=AXIS2_PLACEMENT_3D('',#452194,#363920,#363921); #336591=AXIS2_PLACEMENT_3D('',#452195,#363922,#363923); #336592=AXIS2_PLACEMENT_3D('',#452197,#363924,#363925); #336593=AXIS2_PLACEMENT_3D('',#452200,#363927,#363928); #336594=AXIS2_PLACEMENT_3D('',#452201,#363929,#363930); #336595=AXIS2_PLACEMENT_3D('',#452202,#363931,#363932); #336596=AXIS2_PLACEMENT_3D('',#452203,#363933,#363934); #336597=AXIS2_PLACEMENT_3D('',#452205,#363935,#363936); #336598=AXIS2_PLACEMENT_3D('',#452208,#363938,#363939); #336599=AXIS2_PLACEMENT_3D('',#452209,#363940,#363941); #336600=AXIS2_PLACEMENT_3D('',#452211,#363942,#363943); #336601=AXIS2_PLACEMENT_3D('',#452214,#363945,#363946); #336602=AXIS2_PLACEMENT_3D('',#452215,#363947,#363948); #336603=AXIS2_PLACEMENT_3D('',#452216,#363949,#363950); #336604=AXIS2_PLACEMENT_3D('',#452217,#363951,#363952); #336605=AXIS2_PLACEMENT_3D('',#452219,#363953,#363954); #336606=AXIS2_PLACEMENT_3D('',#452222,#363956,#363957); #336607=AXIS2_PLACEMENT_3D('',#452223,#363958,#363959); #336608=AXIS2_PLACEMENT_3D('',#452225,#363960,#363961); #336609=AXIS2_PLACEMENT_3D('',#452228,#363963,#363964); #336610=AXIS2_PLACEMENT_3D('',#452229,#363965,#363966); #336611=AXIS2_PLACEMENT_3D('',#452230,#363967,#363968); #336612=AXIS2_PLACEMENT_3D('',#452231,#363969,#363970); #336613=AXIS2_PLACEMENT_3D('',#452233,#363971,#363972); #336614=AXIS2_PLACEMENT_3D('',#452236,#363974,#363975); #336615=AXIS2_PLACEMENT_3D('',#452237,#363976,#363977); #336616=AXIS2_PLACEMENT_3D('',#452239,#363978,#363979); #336617=AXIS2_PLACEMENT_3D('',#452242,#363981,#363982); #336618=AXIS2_PLACEMENT_3D('',#452243,#363983,#363984); #336619=AXIS2_PLACEMENT_3D('',#452244,#363985,#363986); #336620=AXIS2_PLACEMENT_3D('',#452245,#363987,#363988); #336621=AXIS2_PLACEMENT_3D('',#452247,#363989,#363990); #336622=AXIS2_PLACEMENT_3D('',#452250,#363992,#363993); #336623=AXIS2_PLACEMENT_3D('',#452251,#363994,#363995); #336624=AXIS2_PLACEMENT_3D('',#452253,#363996,#363997); #336625=AXIS2_PLACEMENT_3D('',#452256,#363999,#364000); #336626=AXIS2_PLACEMENT_3D('',#452257,#364001,#364002); #336627=AXIS2_PLACEMENT_3D('',#452258,#364003,#364004); #336628=AXIS2_PLACEMENT_3D('',#452259,#364005,#364006); #336629=AXIS2_PLACEMENT_3D('',#452261,#364007,#364008); #336630=AXIS2_PLACEMENT_3D('',#452264,#364010,#364011); #336631=AXIS2_PLACEMENT_3D('',#452265,#364012,#364013); #336632=AXIS2_PLACEMENT_3D('',#452267,#364014,#364015); #336633=AXIS2_PLACEMENT_3D('',#452270,#364017,#364018); #336634=AXIS2_PLACEMENT_3D('',#452271,#364019,#364020); #336635=AXIS2_PLACEMENT_3D('',#452272,#364021,#364022); #336636=AXIS2_PLACEMENT_3D('',#452273,#364023,#364024); #336637=AXIS2_PLACEMENT_3D('',#452275,#364025,#364026); #336638=AXIS2_PLACEMENT_3D('',#452278,#364028,#364029); #336639=AXIS2_PLACEMENT_3D('',#452279,#364030,#364031); #336640=AXIS2_PLACEMENT_3D('',#452281,#364032,#364033); #336641=AXIS2_PLACEMENT_3D('',#452284,#364035,#364036); #336642=AXIS2_PLACEMENT_3D('',#452285,#364037,#364038); #336643=AXIS2_PLACEMENT_3D('',#452286,#364039,#364040); #336644=AXIS2_PLACEMENT_3D('',#452287,#364041,#364042); #336645=AXIS2_PLACEMENT_3D('',#452289,#364043,#364044); #336646=AXIS2_PLACEMENT_3D('',#452292,#364046,#364047); #336647=AXIS2_PLACEMENT_3D('',#452293,#364048,#364049); #336648=AXIS2_PLACEMENT_3D('',#452295,#364050,#364051); #336649=AXIS2_PLACEMENT_3D('',#452298,#364053,#364054); #336650=AXIS2_PLACEMENT_3D('',#452299,#364055,#364056); #336651=AXIS2_PLACEMENT_3D('',#452300,#364057,#364058); #336652=AXIS2_PLACEMENT_3D('',#452301,#364059,#364060); #336653=AXIS2_PLACEMENT_3D('',#452303,#364061,#364062); #336654=AXIS2_PLACEMENT_3D('',#452306,#364064,#364065); #336655=AXIS2_PLACEMENT_3D('',#452307,#364066,#364067); #336656=AXIS2_PLACEMENT_3D('',#452309,#364068,#364069); #336657=AXIS2_PLACEMENT_3D('',#452312,#364071,#364072); #336658=AXIS2_PLACEMENT_3D('',#452313,#364073,#364074); #336659=AXIS2_PLACEMENT_3D('',#452314,#364075,#364076); #336660=AXIS2_PLACEMENT_3D('',#452315,#364077,#364078); #336661=AXIS2_PLACEMENT_3D('',#452317,#364079,#364080); #336662=AXIS2_PLACEMENT_3D('',#452320,#364082,#364083); #336663=AXIS2_PLACEMENT_3D('',#452321,#364084,#364085); #336664=AXIS2_PLACEMENT_3D('',#452323,#364086,#364087); #336665=AXIS2_PLACEMENT_3D('',#452326,#364089,#364090); #336666=AXIS2_PLACEMENT_3D('',#452327,#364091,#364092); #336667=AXIS2_PLACEMENT_3D('',#452328,#364093,#364094); #336668=AXIS2_PLACEMENT_3D('',#452329,#364095,#364096); #336669=AXIS2_PLACEMENT_3D('',#452331,#364097,#364098); #336670=AXIS2_PLACEMENT_3D('',#452334,#364100,#364101); #336671=AXIS2_PLACEMENT_3D('',#452335,#364102,#364103); #336672=AXIS2_PLACEMENT_3D('',#452337,#364104,#364105); #336673=AXIS2_PLACEMENT_3D('',#452340,#364107,#364108); #336674=AXIS2_PLACEMENT_3D('',#452341,#364109,#364110); #336675=AXIS2_PLACEMENT_3D('',#452342,#364111,#364112); #336676=AXIS2_PLACEMENT_3D('',#452343,#364113,#364114); #336677=AXIS2_PLACEMENT_3D('',#452345,#364115,#364116); #336678=AXIS2_PLACEMENT_3D('',#452348,#364118,#364119); #336679=AXIS2_PLACEMENT_3D('',#452349,#364120,#364121); #336680=AXIS2_PLACEMENT_3D('',#452351,#364122,#364123); #336681=AXIS2_PLACEMENT_3D('',#452354,#364125,#364126); #336682=AXIS2_PLACEMENT_3D('',#452355,#364127,#364128); #336683=AXIS2_PLACEMENT_3D('',#452356,#364129,#364130); #336684=AXIS2_PLACEMENT_3D('',#452357,#364131,#364132); #336685=AXIS2_PLACEMENT_3D('',#452359,#364133,#364134); #336686=AXIS2_PLACEMENT_3D('',#452362,#364136,#364137); #336687=AXIS2_PLACEMENT_3D('',#452363,#364138,#364139); #336688=AXIS2_PLACEMENT_3D('',#452365,#364140,#364141); #336689=AXIS2_PLACEMENT_3D('',#452368,#364143,#364144); #336690=AXIS2_PLACEMENT_3D('',#452369,#364145,#364146); #336691=AXIS2_PLACEMENT_3D('',#452370,#364147,#364148); #336692=AXIS2_PLACEMENT_3D('',#452371,#364149,#364150); #336693=AXIS2_PLACEMENT_3D('',#452373,#364151,#364152); #336694=AXIS2_PLACEMENT_3D('',#452376,#364154,#364155); #336695=AXIS2_PLACEMENT_3D('',#452377,#364156,#364157); #336696=AXIS2_PLACEMENT_3D('',#452379,#364158,#364159); #336697=AXIS2_PLACEMENT_3D('',#452382,#364161,#364162); #336698=AXIS2_PLACEMENT_3D('',#452383,#364163,#364164); #336699=AXIS2_PLACEMENT_3D('',#452384,#364165,#364166); #336700=AXIS2_PLACEMENT_3D('',#452385,#364167,#364168); #336701=AXIS2_PLACEMENT_3D('',#452387,#364169,#364170); #336702=AXIS2_PLACEMENT_3D('',#452390,#364172,#364173); #336703=AXIS2_PLACEMENT_3D('',#452391,#364174,#364175); #336704=AXIS2_PLACEMENT_3D('',#452393,#364176,#364177); #336705=AXIS2_PLACEMENT_3D('',#452396,#364179,#364180); #336706=AXIS2_PLACEMENT_3D('',#452397,#364181,#364182); #336707=AXIS2_PLACEMENT_3D('',#452398,#364183,#364184); #336708=AXIS2_PLACEMENT_3D('',#452399,#364185,#364186); #336709=AXIS2_PLACEMENT_3D('',#452401,#364187,#364188); #336710=AXIS2_PLACEMENT_3D('',#452404,#364190,#364191); #336711=AXIS2_PLACEMENT_3D('',#452405,#364192,#364193); #336712=AXIS2_PLACEMENT_3D('',#452407,#364194,#364195); #336713=AXIS2_PLACEMENT_3D('',#452410,#364197,#364198); #336714=AXIS2_PLACEMENT_3D('',#452411,#364199,#364200); #336715=AXIS2_PLACEMENT_3D('',#452412,#364201,#364202); #336716=AXIS2_PLACEMENT_3D('',#452413,#364203,#364204); #336717=AXIS2_PLACEMENT_3D('',#452415,#364205,#364206); #336718=AXIS2_PLACEMENT_3D('',#452418,#364208,#364209); #336719=AXIS2_PLACEMENT_3D('',#452419,#364210,#364211); #336720=AXIS2_PLACEMENT_3D('',#452421,#364212,#364213); #336721=AXIS2_PLACEMENT_3D('',#452424,#364215,#364216); #336722=AXIS2_PLACEMENT_3D('',#452425,#364217,#364218); #336723=AXIS2_PLACEMENT_3D('',#452426,#364219,#364220); #336724=AXIS2_PLACEMENT_3D('',#452427,#364221,#364222); #336725=AXIS2_PLACEMENT_3D('',#452429,#364223,#364224); #336726=AXIS2_PLACEMENT_3D('',#452432,#364226,#364227); #336727=AXIS2_PLACEMENT_3D('',#452433,#364228,#364229); #336728=AXIS2_PLACEMENT_3D('',#452435,#364230,#364231); #336729=AXIS2_PLACEMENT_3D('',#452438,#364233,#364234); #336730=AXIS2_PLACEMENT_3D('',#452439,#364235,#364236); #336731=AXIS2_PLACEMENT_3D('',#452440,#364237,#364238); #336732=AXIS2_PLACEMENT_3D('',#452441,#364239,#364240); #336733=AXIS2_PLACEMENT_3D('',#452443,#364241,#364242); #336734=AXIS2_PLACEMENT_3D('',#452446,#364244,#364245); #336735=AXIS2_PLACEMENT_3D('',#452447,#364246,#364247); #336736=AXIS2_PLACEMENT_3D('',#452449,#364248,#364249); #336737=AXIS2_PLACEMENT_3D('',#452452,#364251,#364252); #336738=AXIS2_PLACEMENT_3D('',#452453,#364253,#364254); #336739=AXIS2_PLACEMENT_3D('',#452454,#364255,#364256); #336740=AXIS2_PLACEMENT_3D('',#452455,#364257,#364258); #336741=AXIS2_PLACEMENT_3D('',#452457,#364259,#364260); #336742=AXIS2_PLACEMENT_3D('',#452460,#364262,#364263); #336743=AXIS2_PLACEMENT_3D('',#452461,#364264,#364265); #336744=AXIS2_PLACEMENT_3D('',#452463,#364266,#364267); #336745=AXIS2_PLACEMENT_3D('',#452466,#364269,#364270); #336746=AXIS2_PLACEMENT_3D('',#452467,#364271,#364272); #336747=AXIS2_PLACEMENT_3D('',#452468,#364273,#364274); #336748=AXIS2_PLACEMENT_3D('',#452469,#364275,#364276); #336749=AXIS2_PLACEMENT_3D('',#452471,#364277,#364278); #336750=AXIS2_PLACEMENT_3D('',#452474,#364280,#364281); #336751=AXIS2_PLACEMENT_3D('',#452475,#364282,#364283); #336752=AXIS2_PLACEMENT_3D('',#452477,#364284,#364285); #336753=AXIS2_PLACEMENT_3D('',#452480,#364287,#364288); #336754=AXIS2_PLACEMENT_3D('',#452481,#364289,#364290); #336755=AXIS2_PLACEMENT_3D('',#452482,#364291,#364292); #336756=AXIS2_PLACEMENT_3D('',#452483,#364293,#364294); #336757=AXIS2_PLACEMENT_3D('',#452485,#364295,#364296); #336758=AXIS2_PLACEMENT_3D('',#452488,#364298,#364299); #336759=AXIS2_PLACEMENT_3D('',#452489,#364300,#364301); #336760=AXIS2_PLACEMENT_3D('',#452491,#364302,#364303); #336761=AXIS2_PLACEMENT_3D('',#452494,#364305,#364306); #336762=AXIS2_PLACEMENT_3D('',#452495,#364307,#364308); #336763=AXIS2_PLACEMENT_3D('',#452496,#364309,#364310); #336764=AXIS2_PLACEMENT_3D('',#452497,#364311,#364312); #336765=AXIS2_PLACEMENT_3D('',#452499,#364313,#364314); #336766=AXIS2_PLACEMENT_3D('',#452502,#364316,#364317); #336767=AXIS2_PLACEMENT_3D('',#452503,#364318,#364319); #336768=AXIS2_PLACEMENT_3D('',#452505,#364320,#364321); #336769=AXIS2_PLACEMENT_3D('',#452508,#364323,#364324); #336770=AXIS2_PLACEMENT_3D('',#452509,#364325,#364326); #336771=AXIS2_PLACEMENT_3D('',#452510,#364327,#364328); #336772=AXIS2_PLACEMENT_3D('',#452511,#364329,#364330); #336773=AXIS2_PLACEMENT_3D('',#452513,#364331,#364332); #336774=AXIS2_PLACEMENT_3D('',#452516,#364334,#364335); #336775=AXIS2_PLACEMENT_3D('',#452517,#364336,#364337); #336776=AXIS2_PLACEMENT_3D('',#452519,#364338,#364339); #336777=AXIS2_PLACEMENT_3D('',#452522,#364341,#364342); #336778=AXIS2_PLACEMENT_3D('',#452523,#364343,#364344); #336779=AXIS2_PLACEMENT_3D('',#452524,#364345,#364346); #336780=AXIS2_PLACEMENT_3D('',#452525,#364347,#364348); #336781=AXIS2_PLACEMENT_3D('',#452527,#364349,#364350); #336782=AXIS2_PLACEMENT_3D('',#452530,#364352,#364353); #336783=AXIS2_PLACEMENT_3D('',#452531,#364354,#364355); #336784=AXIS2_PLACEMENT_3D('',#452533,#364356,#364357); #336785=AXIS2_PLACEMENT_3D('',#452536,#364359,#364360); #336786=AXIS2_PLACEMENT_3D('',#452537,#364361,#364362); #336787=AXIS2_PLACEMENT_3D('',#452538,#364363,#364364); #336788=AXIS2_PLACEMENT_3D('',#452539,#364365,#364366); #336789=AXIS2_PLACEMENT_3D('',#452541,#364367,#364368); #336790=AXIS2_PLACEMENT_3D('',#452544,#364370,#364371); #336791=AXIS2_PLACEMENT_3D('',#452545,#364372,#364373); #336792=AXIS2_PLACEMENT_3D('',#452547,#364374,#364375); #336793=AXIS2_PLACEMENT_3D('',#452550,#364377,#364378); #336794=AXIS2_PLACEMENT_3D('',#452551,#364379,#364380); #336795=AXIS2_PLACEMENT_3D('',#452552,#364381,#364382); #336796=AXIS2_PLACEMENT_3D('',#452553,#364383,#364384); #336797=AXIS2_PLACEMENT_3D('',#452555,#364385,#364386); #336798=AXIS2_PLACEMENT_3D('',#452558,#364388,#364389); #336799=AXIS2_PLACEMENT_3D('',#452559,#364390,#364391); #336800=AXIS2_PLACEMENT_3D('',#452561,#364392,#364393); #336801=AXIS2_PLACEMENT_3D('',#452564,#364395,#364396); #336802=AXIS2_PLACEMENT_3D('',#452565,#364397,#364398); #336803=AXIS2_PLACEMENT_3D('',#452566,#364399,#364400); #336804=AXIS2_PLACEMENT_3D('',#452567,#364401,#364402); #336805=AXIS2_PLACEMENT_3D('',#452569,#364403,#364404); #336806=AXIS2_PLACEMENT_3D('',#452572,#364406,#364407); #336807=AXIS2_PLACEMENT_3D('',#452573,#364408,#364409); #336808=AXIS2_PLACEMENT_3D('',#452575,#364410,#364411); #336809=AXIS2_PLACEMENT_3D('',#452578,#364413,#364414); #336810=AXIS2_PLACEMENT_3D('',#452579,#364415,#364416); #336811=AXIS2_PLACEMENT_3D('',#452580,#364417,#364418); #336812=AXIS2_PLACEMENT_3D('',#452581,#364419,#364420); #336813=AXIS2_PLACEMENT_3D('',#452583,#364421,#364422); #336814=AXIS2_PLACEMENT_3D('',#452586,#364424,#364425); #336815=AXIS2_PLACEMENT_3D('',#452587,#364426,#364427); #336816=AXIS2_PLACEMENT_3D('',#452589,#364428,#364429); #336817=AXIS2_PLACEMENT_3D('',#452592,#364431,#364432); #336818=AXIS2_PLACEMENT_3D('',#452593,#364433,#364434); #336819=AXIS2_PLACEMENT_3D('',#452594,#364435,#364436); #336820=AXIS2_PLACEMENT_3D('',#452595,#364437,#364438); #336821=AXIS2_PLACEMENT_3D('',#452597,#364439,#364440); #336822=AXIS2_PLACEMENT_3D('',#452600,#364442,#364443); #336823=AXIS2_PLACEMENT_3D('',#452601,#364444,#364445); #336824=AXIS2_PLACEMENT_3D('',#452603,#364446,#364447); #336825=AXIS2_PLACEMENT_3D('',#452606,#364449,#364450); #336826=AXIS2_PLACEMENT_3D('',#452607,#364451,#364452); #336827=AXIS2_PLACEMENT_3D('',#452608,#364453,#364454); #336828=AXIS2_PLACEMENT_3D('',#452609,#364455,#364456); #336829=AXIS2_PLACEMENT_3D('',#452611,#364457,#364458); #336830=AXIS2_PLACEMENT_3D('',#452614,#364460,#364461); #336831=AXIS2_PLACEMENT_3D('',#452615,#364462,#364463); #336832=AXIS2_PLACEMENT_3D('',#452617,#364464,#364465); #336833=AXIS2_PLACEMENT_3D('',#452620,#364467,#364468); #336834=AXIS2_PLACEMENT_3D('',#452621,#364469,#364470); #336835=AXIS2_PLACEMENT_3D('',#452622,#364471,#364472); #336836=AXIS2_PLACEMENT_3D('',#452623,#364473,#364474); #336837=AXIS2_PLACEMENT_3D('',#452625,#364475,#364476); #336838=AXIS2_PLACEMENT_3D('',#452628,#364478,#364479); #336839=AXIS2_PLACEMENT_3D('',#452629,#364480,#364481); #336840=AXIS2_PLACEMENT_3D('',#452631,#364482,#364483); #336841=AXIS2_PLACEMENT_3D('',#452634,#364485,#364486); #336842=AXIS2_PLACEMENT_3D('',#452635,#364487,#364488); #336843=AXIS2_PLACEMENT_3D('',#452636,#364489,#364490); #336844=AXIS2_PLACEMENT_3D('',#452637,#364491,#364492); #336845=AXIS2_PLACEMENT_3D('',#452639,#364493,#364494); #336846=AXIS2_PLACEMENT_3D('',#452642,#364496,#364497); #336847=AXIS2_PLACEMENT_3D('',#452643,#364498,#364499); #336848=AXIS2_PLACEMENT_3D('',#452645,#364500,#364501); #336849=AXIS2_PLACEMENT_3D('',#452648,#364503,#364504); #336850=AXIS2_PLACEMENT_3D('',#452649,#364505,#364506); #336851=AXIS2_PLACEMENT_3D('',#452650,#364507,#364508); #336852=AXIS2_PLACEMENT_3D('',#452651,#364509,#364510); #336853=AXIS2_PLACEMENT_3D('',#452653,#364511,#364512); #336854=AXIS2_PLACEMENT_3D('',#452656,#364514,#364515); #336855=AXIS2_PLACEMENT_3D('',#452657,#364516,#364517); #336856=AXIS2_PLACEMENT_3D('',#452659,#364518,#364519); #336857=AXIS2_PLACEMENT_3D('',#452662,#364521,#364522); #336858=AXIS2_PLACEMENT_3D('',#452663,#364523,#364524); #336859=AXIS2_PLACEMENT_3D('',#452664,#364525,#364526); #336860=AXIS2_PLACEMENT_3D('',#452665,#364527,#364528); #336861=AXIS2_PLACEMENT_3D('',#452667,#364529,#364530); #336862=AXIS2_PLACEMENT_3D('',#452670,#364532,#364533); #336863=AXIS2_PLACEMENT_3D('',#452671,#364534,#364535); #336864=AXIS2_PLACEMENT_3D('',#452673,#364536,#364537); #336865=AXIS2_PLACEMENT_3D('',#452676,#364539,#364540); #336866=AXIS2_PLACEMENT_3D('',#452677,#364541,#364542); #336867=AXIS2_PLACEMENT_3D('',#452678,#364543,#364544); #336868=AXIS2_PLACEMENT_3D('',#452679,#364545,#364546); #336869=AXIS2_PLACEMENT_3D('',#452681,#364547,#364548); #336870=AXIS2_PLACEMENT_3D('',#452684,#364550,#364551); #336871=AXIS2_PLACEMENT_3D('',#452685,#364552,#364553); #336872=AXIS2_PLACEMENT_3D('',#452687,#364554,#364555); #336873=AXIS2_PLACEMENT_3D('',#452690,#364557,#364558); #336874=AXIS2_PLACEMENT_3D('',#452691,#364559,#364560); #336875=AXIS2_PLACEMENT_3D('',#452692,#364561,#364562); #336876=AXIS2_PLACEMENT_3D('',#452693,#364563,#364564); #336877=AXIS2_PLACEMENT_3D('',#452695,#364565,#364566); #336878=AXIS2_PLACEMENT_3D('',#452698,#364568,#364569); #336879=AXIS2_PLACEMENT_3D('',#452699,#364570,#364571); #336880=AXIS2_PLACEMENT_3D('',#452701,#364572,#364573); #336881=AXIS2_PLACEMENT_3D('',#452704,#364575,#364576); #336882=AXIS2_PLACEMENT_3D('',#452705,#364577,#364578); #336883=AXIS2_PLACEMENT_3D('',#452706,#364579,#364580); #336884=AXIS2_PLACEMENT_3D('',#452707,#364581,#364582); #336885=AXIS2_PLACEMENT_3D('',#452709,#364583,#364584); #336886=AXIS2_PLACEMENT_3D('',#452712,#364586,#364587); #336887=AXIS2_PLACEMENT_3D('',#452713,#364588,#364589); #336888=AXIS2_PLACEMENT_3D('',#452715,#364590,#364591); #336889=AXIS2_PLACEMENT_3D('',#452718,#364593,#364594); #336890=AXIS2_PLACEMENT_3D('',#452719,#364595,#364596); #336891=AXIS2_PLACEMENT_3D('',#452720,#364597,#364598); #336892=AXIS2_PLACEMENT_3D('',#452721,#364599,#364600); #336893=AXIS2_PLACEMENT_3D('',#452723,#364601,#364602); #336894=AXIS2_PLACEMENT_3D('',#452726,#364604,#364605); #336895=AXIS2_PLACEMENT_3D('',#452727,#364606,#364607); #336896=AXIS2_PLACEMENT_3D('',#452729,#364608,#364609); #336897=AXIS2_PLACEMENT_3D('',#452732,#364611,#364612); #336898=AXIS2_PLACEMENT_3D('',#452733,#364613,#364614); #336899=AXIS2_PLACEMENT_3D('',#452734,#364615,#364616); #336900=AXIS2_PLACEMENT_3D('',#452735,#364617,#364618); #336901=AXIS2_PLACEMENT_3D('',#452737,#364619,#364620); #336902=AXIS2_PLACEMENT_3D('',#452740,#364622,#364623); #336903=AXIS2_PLACEMENT_3D('',#452741,#364624,#364625); #336904=AXIS2_PLACEMENT_3D('',#452743,#364626,#364627); #336905=AXIS2_PLACEMENT_3D('',#452746,#364629,#364630); #336906=AXIS2_PLACEMENT_3D('',#452747,#364631,#364632); #336907=AXIS2_PLACEMENT_3D('',#452748,#364633,#364634); #336908=AXIS2_PLACEMENT_3D('',#452749,#364635,#364636); #336909=AXIS2_PLACEMENT_3D('',#452751,#364637,#364638); #336910=AXIS2_PLACEMENT_3D('',#452754,#364640,#364641); #336911=AXIS2_PLACEMENT_3D('',#452755,#364642,#364643); #336912=AXIS2_PLACEMENT_3D('',#452757,#364644,#364645); #336913=AXIS2_PLACEMENT_3D('',#452760,#364647,#364648); #336914=AXIS2_PLACEMENT_3D('',#452761,#364649,#364650); #336915=AXIS2_PLACEMENT_3D('',#452762,#364651,#364652); #336916=AXIS2_PLACEMENT_3D('',#452763,#364653,#364654); #336917=AXIS2_PLACEMENT_3D('',#452765,#364655,#364656); #336918=AXIS2_PLACEMENT_3D('',#452768,#364658,#364659); #336919=AXIS2_PLACEMENT_3D('',#452769,#364660,#364661); #336920=AXIS2_PLACEMENT_3D('',#452771,#364662,#364663); #336921=AXIS2_PLACEMENT_3D('',#452774,#364665,#364666); #336922=AXIS2_PLACEMENT_3D('',#452775,#364667,#364668); #336923=AXIS2_PLACEMENT_3D('',#452776,#364669,#364670); #336924=AXIS2_PLACEMENT_3D('',#452777,#364671,#364672); #336925=AXIS2_PLACEMENT_3D('',#452779,#364673,#364674); #336926=AXIS2_PLACEMENT_3D('',#452782,#364676,#364677); #336927=AXIS2_PLACEMENT_3D('',#452783,#364678,#364679); #336928=AXIS2_PLACEMENT_3D('',#452785,#364680,#364681); #336929=AXIS2_PLACEMENT_3D('',#452788,#364683,#364684); #336930=AXIS2_PLACEMENT_3D('',#452789,#364685,#364686); #336931=AXIS2_PLACEMENT_3D('',#452790,#364687,#364688); #336932=AXIS2_PLACEMENT_3D('',#452791,#364689,#364690); #336933=AXIS2_PLACEMENT_3D('',#452793,#364691,#364692); #336934=AXIS2_PLACEMENT_3D('',#452796,#364694,#364695); #336935=AXIS2_PLACEMENT_3D('',#452797,#364696,#364697); #336936=AXIS2_PLACEMENT_3D('',#452799,#364698,#364699); #336937=AXIS2_PLACEMENT_3D('',#452802,#364701,#364702); #336938=AXIS2_PLACEMENT_3D('',#452803,#364703,#364704); #336939=AXIS2_PLACEMENT_3D('',#452804,#364705,#364706); #336940=AXIS2_PLACEMENT_3D('',#452805,#364707,#364708); #336941=AXIS2_PLACEMENT_3D('',#452807,#364709,#364710); #336942=AXIS2_PLACEMENT_3D('',#452810,#364712,#364713); #336943=AXIS2_PLACEMENT_3D('',#452811,#364714,#364715); #336944=AXIS2_PLACEMENT_3D('',#452813,#364716,#364717); #336945=AXIS2_PLACEMENT_3D('',#452816,#364719,#364720); #336946=AXIS2_PLACEMENT_3D('',#452817,#364721,#364722); #336947=AXIS2_PLACEMENT_3D('',#452818,#364723,#364724); #336948=AXIS2_PLACEMENT_3D('',#452819,#364725,#364726); #336949=AXIS2_PLACEMENT_3D('',#452821,#364727,#364728); #336950=AXIS2_PLACEMENT_3D('',#452824,#364730,#364731); #336951=AXIS2_PLACEMENT_3D('',#452825,#364732,#364733); #336952=AXIS2_PLACEMENT_3D('',#452827,#364734,#364735); #336953=AXIS2_PLACEMENT_3D('',#452830,#364737,#364738); #336954=AXIS2_PLACEMENT_3D('',#452831,#364739,#364740); #336955=AXIS2_PLACEMENT_3D('',#452832,#364741,#364742); #336956=AXIS2_PLACEMENT_3D('',#452833,#364743,#364744); #336957=AXIS2_PLACEMENT_3D('',#452835,#364745,#364746); #336958=AXIS2_PLACEMENT_3D('',#452838,#364748,#364749); #336959=AXIS2_PLACEMENT_3D('',#452839,#364750,#364751); #336960=AXIS2_PLACEMENT_3D('',#452841,#364752,#364753); #336961=AXIS2_PLACEMENT_3D('',#452844,#364755,#364756); #336962=AXIS2_PLACEMENT_3D('',#452845,#364757,#364758); #336963=AXIS2_PLACEMENT_3D('',#452846,#364759,#364760); #336964=AXIS2_PLACEMENT_3D('',#452847,#364761,#364762); #336965=AXIS2_PLACEMENT_3D('',#452849,#364763,#364764); #336966=AXIS2_PLACEMENT_3D('',#452852,#364766,#364767); #336967=AXIS2_PLACEMENT_3D('',#452853,#364768,#364769); #336968=AXIS2_PLACEMENT_3D('',#452855,#364770,#364771); #336969=AXIS2_PLACEMENT_3D('',#452858,#364773,#364774); #336970=AXIS2_PLACEMENT_3D('',#452859,#364775,#364776); #336971=AXIS2_PLACEMENT_3D('',#452860,#364777,#364778); #336972=AXIS2_PLACEMENT_3D('',#452861,#364779,#364780); #336973=AXIS2_PLACEMENT_3D('',#452863,#364781,#364782); #336974=AXIS2_PLACEMENT_3D('',#452866,#364784,#364785); #336975=AXIS2_PLACEMENT_3D('',#452867,#364786,#364787); #336976=AXIS2_PLACEMENT_3D('',#452869,#364788,#364789); #336977=AXIS2_PLACEMENT_3D('',#452872,#364791,#364792); #336978=AXIS2_PLACEMENT_3D('',#452873,#364793,#364794); #336979=AXIS2_PLACEMENT_3D('',#452874,#364795,#364796); #336980=AXIS2_PLACEMENT_3D('',#452875,#364797,#364798); #336981=AXIS2_PLACEMENT_3D('',#452877,#364799,#364800); #336982=AXIS2_PLACEMENT_3D('',#452880,#364802,#364803); #336983=AXIS2_PLACEMENT_3D('',#452881,#364804,#364805); #336984=AXIS2_PLACEMENT_3D('',#452883,#364806,#364807); #336985=AXIS2_PLACEMENT_3D('',#452886,#364809,#364810); #336986=AXIS2_PLACEMENT_3D('',#452887,#364811,#364812); #336987=AXIS2_PLACEMENT_3D('',#452888,#364813,#364814); #336988=AXIS2_PLACEMENT_3D('',#452889,#364815,#364816); #336989=AXIS2_PLACEMENT_3D('',#452891,#364817,#364818); #336990=AXIS2_PLACEMENT_3D('',#452894,#364820,#364821); #336991=AXIS2_PLACEMENT_3D('',#452895,#364822,#364823); #336992=AXIS2_PLACEMENT_3D('',#452897,#364824,#364825); #336993=AXIS2_PLACEMENT_3D('',#452900,#364827,#364828); #336994=AXIS2_PLACEMENT_3D('',#452901,#364829,#364830); #336995=AXIS2_PLACEMENT_3D('',#452902,#364831,#364832); #336996=AXIS2_PLACEMENT_3D('',#452903,#364833,#364834); #336997=AXIS2_PLACEMENT_3D('',#452905,#364835,#364836); #336998=AXIS2_PLACEMENT_3D('',#452908,#364838,#364839); #336999=AXIS2_PLACEMENT_3D('',#452909,#364840,#364841); #337000=AXIS2_PLACEMENT_3D('',#452911,#364842,#364843); #337001=AXIS2_PLACEMENT_3D('',#452914,#364845,#364846); #337002=AXIS2_PLACEMENT_3D('',#452915,#364847,#364848); #337003=AXIS2_PLACEMENT_3D('',#452916,#364849,#364850); #337004=AXIS2_PLACEMENT_3D('',#452917,#364851,#364852); #337005=AXIS2_PLACEMENT_3D('',#452919,#364853,#364854); #337006=AXIS2_PLACEMENT_3D('',#452922,#364856,#364857); #337007=AXIS2_PLACEMENT_3D('',#452923,#364858,#364859); #337008=AXIS2_PLACEMENT_3D('',#452925,#364860,#364861); #337009=AXIS2_PLACEMENT_3D('',#452928,#364863,#364864); #337010=AXIS2_PLACEMENT_3D('',#452929,#364865,#364866); #337011=AXIS2_PLACEMENT_3D('',#452930,#364867,#364868); #337012=AXIS2_PLACEMENT_3D('',#452931,#364869,#364870); #337013=AXIS2_PLACEMENT_3D('',#452933,#364871,#364872); #337014=AXIS2_PLACEMENT_3D('',#452936,#364874,#364875); #337015=AXIS2_PLACEMENT_3D('',#452937,#364876,#364877); #337016=AXIS2_PLACEMENT_3D('',#452939,#364878,#364879); #337017=AXIS2_PLACEMENT_3D('',#452942,#364881,#364882); #337018=AXIS2_PLACEMENT_3D('',#452943,#364883,#364884); #337019=AXIS2_PLACEMENT_3D('',#452944,#364885,#364886); #337020=AXIS2_PLACEMENT_3D('',#452945,#364887,#364888); #337021=AXIS2_PLACEMENT_3D('',#452947,#364889,#364890); #337022=AXIS2_PLACEMENT_3D('',#452950,#364892,#364893); #337023=AXIS2_PLACEMENT_3D('',#452951,#364894,#364895); #337024=AXIS2_PLACEMENT_3D('',#452953,#364896,#364897); #337025=AXIS2_PLACEMENT_3D('',#452956,#364899,#364900); #337026=AXIS2_PLACEMENT_3D('',#452957,#364901,#364902); #337027=AXIS2_PLACEMENT_3D('',#452958,#364903,#364904); #337028=AXIS2_PLACEMENT_3D('',#452959,#364905,#364906); #337029=AXIS2_PLACEMENT_3D('',#452961,#364907,#364908); #337030=AXIS2_PLACEMENT_3D('',#452964,#364910,#364911); #337031=AXIS2_PLACEMENT_3D('',#452965,#364912,#364913); #337032=AXIS2_PLACEMENT_3D('',#452967,#364914,#364915); #337033=AXIS2_PLACEMENT_3D('',#452970,#364917,#364918); #337034=AXIS2_PLACEMENT_3D('',#452971,#364919,#364920); #337035=AXIS2_PLACEMENT_3D('',#452972,#364921,#364922); #337036=AXIS2_PLACEMENT_3D('',#452973,#364923,#364924); #337037=AXIS2_PLACEMENT_3D('',#452975,#364925,#364926); #337038=AXIS2_PLACEMENT_3D('',#452978,#364928,#364929); #337039=AXIS2_PLACEMENT_3D('',#452979,#364930,#364931); #337040=AXIS2_PLACEMENT_3D('',#452981,#364932,#364933); #337041=AXIS2_PLACEMENT_3D('',#452984,#364935,#364936); #337042=AXIS2_PLACEMENT_3D('',#452985,#364937,#364938); #337043=AXIS2_PLACEMENT_3D('',#452986,#364939,#364940); #337044=AXIS2_PLACEMENT_3D('',#452987,#364941,#364942); #337045=AXIS2_PLACEMENT_3D('',#452989,#364943,#364944); #337046=AXIS2_PLACEMENT_3D('',#452992,#364946,#364947); #337047=AXIS2_PLACEMENT_3D('',#452993,#364948,#364949); #337048=AXIS2_PLACEMENT_3D('',#452995,#364950,#364951); #337049=AXIS2_PLACEMENT_3D('',#452998,#364953,#364954); #337050=AXIS2_PLACEMENT_3D('',#452999,#364955,#364956); #337051=AXIS2_PLACEMENT_3D('',#453000,#364957,#364958); #337052=AXIS2_PLACEMENT_3D('',#453001,#364959,#364960); #337053=AXIS2_PLACEMENT_3D('',#453003,#364961,#364962); #337054=AXIS2_PLACEMENT_3D('',#453006,#364964,#364965); #337055=AXIS2_PLACEMENT_3D('',#453007,#364966,#364967); #337056=AXIS2_PLACEMENT_3D('',#453009,#364968,#364969); #337057=AXIS2_PLACEMENT_3D('',#453012,#364971,#364972); #337058=AXIS2_PLACEMENT_3D('',#453013,#364973,#364974); #337059=AXIS2_PLACEMENT_3D('',#453014,#364975,#364976); #337060=AXIS2_PLACEMENT_3D('',#453015,#364977,#364978); #337061=AXIS2_PLACEMENT_3D('',#453017,#364979,#364980); #337062=AXIS2_PLACEMENT_3D('',#453020,#364982,#364983); #337063=AXIS2_PLACEMENT_3D('',#453021,#364984,#364985); #337064=AXIS2_PLACEMENT_3D('',#453023,#364986,#364987); #337065=AXIS2_PLACEMENT_3D('',#453026,#364989,#364990); #337066=AXIS2_PLACEMENT_3D('',#453027,#364991,#364992); #337067=AXIS2_PLACEMENT_3D('',#453028,#364993,#364994); #337068=AXIS2_PLACEMENT_3D('',#453029,#364995,#364996); #337069=AXIS2_PLACEMENT_3D('',#453031,#364997,#364998); #337070=AXIS2_PLACEMENT_3D('',#453034,#365000,#365001); #337071=AXIS2_PLACEMENT_3D('',#453035,#365002,#365003); #337072=AXIS2_PLACEMENT_3D('',#453037,#365004,#365005); #337073=AXIS2_PLACEMENT_3D('',#453040,#365007,#365008); #337074=AXIS2_PLACEMENT_3D('',#453041,#365009,#365010); #337075=AXIS2_PLACEMENT_3D('',#453042,#365011,#365012); #337076=AXIS2_PLACEMENT_3D('',#453043,#365013,#365014); #337077=AXIS2_PLACEMENT_3D('',#453045,#365015,#365016); #337078=AXIS2_PLACEMENT_3D('',#453048,#365018,#365019); #337079=AXIS2_PLACEMENT_3D('',#453049,#365020,#365021); #337080=AXIS2_PLACEMENT_3D('',#453051,#365022,#365023); #337081=AXIS2_PLACEMENT_3D('',#453054,#365025,#365026); #337082=AXIS2_PLACEMENT_3D('',#453055,#365027,#365028); #337083=AXIS2_PLACEMENT_3D('',#453056,#365029,#365030); #337084=AXIS2_PLACEMENT_3D('',#453057,#365031,#365032); #337085=AXIS2_PLACEMENT_3D('',#453059,#365033,#365034); #337086=AXIS2_PLACEMENT_3D('',#453062,#365036,#365037); #337087=AXIS2_PLACEMENT_3D('',#453063,#365038,#365039); #337088=AXIS2_PLACEMENT_3D('',#453065,#365040,#365041); #337089=AXIS2_PLACEMENT_3D('',#453068,#365043,#365044); #337090=AXIS2_PLACEMENT_3D('',#453069,#365045,#365046); #337091=AXIS2_PLACEMENT_3D('',#453070,#365047,#365048); #337092=AXIS2_PLACEMENT_3D('',#453071,#365049,#365050); #337093=AXIS2_PLACEMENT_3D('',#453073,#365051,#365052); #337094=AXIS2_PLACEMENT_3D('',#453076,#365054,#365055); #337095=AXIS2_PLACEMENT_3D('',#453077,#365056,#365057); #337096=AXIS2_PLACEMENT_3D('',#453079,#365058,#365059); #337097=AXIS2_PLACEMENT_3D('',#453082,#365061,#365062); #337098=AXIS2_PLACEMENT_3D('',#453083,#365063,#365064); #337099=AXIS2_PLACEMENT_3D('',#453084,#365065,#365066); #337100=AXIS2_PLACEMENT_3D('',#453085,#365067,#365068); #337101=AXIS2_PLACEMENT_3D('',#453087,#365069,#365070); #337102=AXIS2_PLACEMENT_3D('',#453090,#365072,#365073); #337103=AXIS2_PLACEMENT_3D('',#453091,#365074,#365075); #337104=AXIS2_PLACEMENT_3D('',#453093,#365076,#365077); #337105=AXIS2_PLACEMENT_3D('',#453096,#365079,#365080); #337106=AXIS2_PLACEMENT_3D('',#453097,#365081,#365082); #337107=AXIS2_PLACEMENT_3D('',#453098,#365083,#365084); #337108=AXIS2_PLACEMENT_3D('',#453099,#365085,#365086); #337109=AXIS2_PLACEMENT_3D('',#453101,#365087,#365088); #337110=AXIS2_PLACEMENT_3D('',#453104,#365090,#365091); #337111=AXIS2_PLACEMENT_3D('',#453105,#365092,#365093); #337112=AXIS2_PLACEMENT_3D('',#453107,#365094,#365095); #337113=AXIS2_PLACEMENT_3D('',#453110,#365097,#365098); #337114=AXIS2_PLACEMENT_3D('',#453111,#365099,#365100); #337115=AXIS2_PLACEMENT_3D('',#453112,#365101,#365102); #337116=AXIS2_PLACEMENT_3D('',#453113,#365103,#365104); #337117=AXIS2_PLACEMENT_3D('',#453115,#365105,#365106); #337118=AXIS2_PLACEMENT_3D('',#453118,#365108,#365109); #337119=AXIS2_PLACEMENT_3D('',#453119,#365110,#365111); #337120=AXIS2_PLACEMENT_3D('',#453121,#365112,#365113); #337121=AXIS2_PLACEMENT_3D('',#453124,#365115,#365116); #337122=AXIS2_PLACEMENT_3D('',#453125,#365117,#365118); #337123=AXIS2_PLACEMENT_3D('',#453126,#365119,#365120); #337124=AXIS2_PLACEMENT_3D('',#453127,#365121,#365122); #337125=AXIS2_PLACEMENT_3D('',#453129,#365123,#365124); #337126=AXIS2_PLACEMENT_3D('',#453132,#365126,#365127); #337127=AXIS2_PLACEMENT_3D('',#453133,#365128,#365129); #337128=AXIS2_PLACEMENT_3D('',#453135,#365130,#365131); #337129=AXIS2_PLACEMENT_3D('',#453138,#365133,#365134); #337130=AXIS2_PLACEMENT_3D('',#453139,#365135,#365136); #337131=AXIS2_PLACEMENT_3D('',#453140,#365137,#365138); #337132=AXIS2_PLACEMENT_3D('',#453141,#365139,#365140); #337133=AXIS2_PLACEMENT_3D('',#453143,#365141,#365142); #337134=AXIS2_PLACEMENT_3D('',#453146,#365144,#365145); #337135=AXIS2_PLACEMENT_3D('',#453147,#365146,#365147); #337136=AXIS2_PLACEMENT_3D('',#453149,#365148,#365149); #337137=AXIS2_PLACEMENT_3D('',#453152,#365151,#365152); #337138=AXIS2_PLACEMENT_3D('',#453153,#365153,#365154); #337139=AXIS2_PLACEMENT_3D('',#453154,#365155,#365156); #337140=AXIS2_PLACEMENT_3D('',#453155,#365157,#365158); #337141=AXIS2_PLACEMENT_3D('',#453157,#365159,#365160); #337142=AXIS2_PLACEMENT_3D('',#453160,#365162,#365163); #337143=AXIS2_PLACEMENT_3D('',#453161,#365164,#365165); #337144=AXIS2_PLACEMENT_3D('',#453163,#365166,#365167); #337145=AXIS2_PLACEMENT_3D('',#453166,#365169,#365170); #337146=AXIS2_PLACEMENT_3D('',#453167,#365171,#365172); #337147=AXIS2_PLACEMENT_3D('',#453168,#365173,#365174); #337148=AXIS2_PLACEMENT_3D('',#453169,#365175,#365176); #337149=AXIS2_PLACEMENT_3D('',#453171,#365177,#365178); #337150=AXIS2_PLACEMENT_3D('',#453174,#365180,#365181); #337151=AXIS2_PLACEMENT_3D('',#453175,#365182,#365183); #337152=AXIS2_PLACEMENT_3D('',#453177,#365184,#365185); #337153=AXIS2_PLACEMENT_3D('',#453180,#365187,#365188); #337154=AXIS2_PLACEMENT_3D('',#453181,#365189,#365190); #337155=AXIS2_PLACEMENT_3D('',#453182,#365191,#365192); #337156=AXIS2_PLACEMENT_3D('',#453183,#365193,#365194); #337157=AXIS2_PLACEMENT_3D('',#453185,#365195,#365196); #337158=AXIS2_PLACEMENT_3D('',#453188,#365198,#365199); #337159=AXIS2_PLACEMENT_3D('',#453189,#365200,#365201); #337160=AXIS2_PLACEMENT_3D('',#453191,#365202,#365203); #337161=AXIS2_PLACEMENT_3D('',#453194,#365205,#365206); #337162=AXIS2_PLACEMENT_3D('',#453195,#365207,#365208); #337163=AXIS2_PLACEMENT_3D('',#453196,#365209,#365210); #337164=AXIS2_PLACEMENT_3D('',#453197,#365211,#365212); #337165=AXIS2_PLACEMENT_3D('',#453199,#365213,#365214); #337166=AXIS2_PLACEMENT_3D('',#453202,#365216,#365217); #337167=AXIS2_PLACEMENT_3D('',#453203,#365218,#365219); #337168=AXIS2_PLACEMENT_3D('',#453205,#365220,#365221); #337169=AXIS2_PLACEMENT_3D('',#453208,#365223,#365224); #337170=AXIS2_PLACEMENT_3D('',#453209,#365225,#365226); #337171=AXIS2_PLACEMENT_3D('',#453210,#365227,#365228); #337172=AXIS2_PLACEMENT_3D('',#453211,#365229,#365230); #337173=AXIS2_PLACEMENT_3D('',#453213,#365231,#365232); #337174=AXIS2_PLACEMENT_3D('',#453216,#365234,#365235); #337175=AXIS2_PLACEMENT_3D('',#453217,#365236,#365237); #337176=AXIS2_PLACEMENT_3D('',#453219,#365238,#365239); #337177=AXIS2_PLACEMENT_3D('',#453222,#365241,#365242); #337178=AXIS2_PLACEMENT_3D('',#453223,#365243,#365244); #337179=AXIS2_PLACEMENT_3D('',#453224,#365245,#365246); #337180=AXIS2_PLACEMENT_3D('',#453225,#365247,#365248); #337181=AXIS2_PLACEMENT_3D('',#453227,#365249,#365250); #337182=AXIS2_PLACEMENT_3D('',#453230,#365252,#365253); #337183=AXIS2_PLACEMENT_3D('',#453231,#365254,#365255); #337184=AXIS2_PLACEMENT_3D('',#453233,#365256,#365257); #337185=AXIS2_PLACEMENT_3D('',#453236,#365259,#365260); #337186=AXIS2_PLACEMENT_3D('',#453237,#365261,#365262); #337187=AXIS2_PLACEMENT_3D('',#453238,#365263,#365264); #337188=AXIS2_PLACEMENT_3D('',#453239,#365265,#365266); #337189=AXIS2_PLACEMENT_3D('',#453241,#365267,#365268); #337190=AXIS2_PLACEMENT_3D('',#453244,#365270,#365271); #337191=AXIS2_PLACEMENT_3D('',#453245,#365272,#365273); #337192=AXIS2_PLACEMENT_3D('',#453247,#365274,#365275); #337193=AXIS2_PLACEMENT_3D('',#453250,#365277,#365278); #337194=AXIS2_PLACEMENT_3D('',#453251,#365279,#365280); #337195=AXIS2_PLACEMENT_3D('',#453252,#365281,#365282); #337196=AXIS2_PLACEMENT_3D('',#453253,#365283,#365284); #337197=AXIS2_PLACEMENT_3D('',#453255,#365285,#365286); #337198=AXIS2_PLACEMENT_3D('',#453258,#365288,#365289); #337199=AXIS2_PLACEMENT_3D('',#453259,#365290,#365291); #337200=AXIS2_PLACEMENT_3D('',#453261,#365292,#365293); #337201=AXIS2_PLACEMENT_3D('',#453264,#365295,#365296); #337202=AXIS2_PLACEMENT_3D('',#453265,#365297,#365298); #337203=AXIS2_PLACEMENT_3D('',#453266,#365299,#365300); #337204=AXIS2_PLACEMENT_3D('',#453267,#365301,#365302); #337205=AXIS2_PLACEMENT_3D('',#453269,#365303,#365304); #337206=AXIS2_PLACEMENT_3D('',#453272,#365306,#365307); #337207=AXIS2_PLACEMENT_3D('',#453273,#365308,#365309); #337208=AXIS2_PLACEMENT_3D('',#453275,#365310,#365311); #337209=AXIS2_PLACEMENT_3D('',#453278,#365313,#365314); #337210=AXIS2_PLACEMENT_3D('',#453279,#365315,#365316); #337211=AXIS2_PLACEMENT_3D('',#453280,#365317,#365318); #337212=AXIS2_PLACEMENT_3D('',#453281,#365319,#365320); #337213=AXIS2_PLACEMENT_3D('',#453283,#365321,#365322); #337214=AXIS2_PLACEMENT_3D('',#453286,#365324,#365325); #337215=AXIS2_PLACEMENT_3D('',#453287,#365326,#365327); #337216=AXIS2_PLACEMENT_3D('',#453289,#365328,#365329); #337217=AXIS2_PLACEMENT_3D('',#453292,#365331,#365332); #337218=AXIS2_PLACEMENT_3D('',#453293,#365333,#365334); #337219=AXIS2_PLACEMENT_3D('',#453294,#365335,#365336); #337220=AXIS2_PLACEMENT_3D('',#453295,#365337,#365338); #337221=AXIS2_PLACEMENT_3D('',#453297,#365339,#365340); #337222=AXIS2_PLACEMENT_3D('',#453300,#365342,#365343); #337223=AXIS2_PLACEMENT_3D('',#453301,#365344,#365345); #337224=AXIS2_PLACEMENT_3D('',#453303,#365346,#365347); #337225=AXIS2_PLACEMENT_3D('',#453306,#365349,#365350); #337226=AXIS2_PLACEMENT_3D('',#453307,#365351,#365352); #337227=AXIS2_PLACEMENT_3D('',#453308,#365353,#365354); #337228=AXIS2_PLACEMENT_3D('',#453309,#365355,#365356); #337229=AXIS2_PLACEMENT_3D('',#453311,#365357,#365358); #337230=AXIS2_PLACEMENT_3D('',#453314,#365360,#365361); #337231=AXIS2_PLACEMENT_3D('',#453315,#365362,#365363); #337232=AXIS2_PLACEMENT_3D('',#453317,#365364,#365365); #337233=AXIS2_PLACEMENT_3D('',#453320,#365367,#365368); #337234=AXIS2_PLACEMENT_3D('',#453321,#365369,#365370); #337235=AXIS2_PLACEMENT_3D('',#453322,#365371,#365372); #337236=AXIS2_PLACEMENT_3D('',#453323,#365373,#365374); #337237=AXIS2_PLACEMENT_3D('',#453325,#365375,#365376); #337238=AXIS2_PLACEMENT_3D('',#453328,#365378,#365379); #337239=AXIS2_PLACEMENT_3D('',#453329,#365380,#365381); #337240=AXIS2_PLACEMENT_3D('',#453331,#365382,#365383); #337241=AXIS2_PLACEMENT_3D('',#453334,#365385,#365386); #337242=AXIS2_PLACEMENT_3D('',#453335,#365387,#365388); #337243=AXIS2_PLACEMENT_3D('',#453336,#365389,#365390); #337244=AXIS2_PLACEMENT_3D('',#453337,#365391,#365392); #337245=AXIS2_PLACEMENT_3D('',#453339,#365393,#365394); #337246=AXIS2_PLACEMENT_3D('',#453342,#365396,#365397); #337247=AXIS2_PLACEMENT_3D('',#453343,#365398,#365399); #337248=AXIS2_PLACEMENT_3D('',#453345,#365400,#365401); #337249=AXIS2_PLACEMENT_3D('',#453348,#365403,#365404); #337250=AXIS2_PLACEMENT_3D('',#453349,#365405,#365406); #337251=AXIS2_PLACEMENT_3D('',#453350,#365407,#365408); #337252=AXIS2_PLACEMENT_3D('',#453351,#365409,#365410); #337253=AXIS2_PLACEMENT_3D('',#453353,#365411,#365412); #337254=AXIS2_PLACEMENT_3D('',#453356,#365414,#365415); #337255=AXIS2_PLACEMENT_3D('',#453357,#365416,#365417); #337256=AXIS2_PLACEMENT_3D('',#453359,#365418,#365419); #337257=AXIS2_PLACEMENT_3D('',#453362,#365421,#365422); #337258=AXIS2_PLACEMENT_3D('',#453363,#365423,#365424); #337259=AXIS2_PLACEMENT_3D('',#453364,#365425,#365426); #337260=AXIS2_PLACEMENT_3D('',#453365,#365427,#365428); #337261=AXIS2_PLACEMENT_3D('',#453367,#365429,#365430); #337262=AXIS2_PLACEMENT_3D('',#453370,#365432,#365433); #337263=AXIS2_PLACEMENT_3D('',#453371,#365434,#365435); #337264=AXIS2_PLACEMENT_3D('',#453373,#365436,#365437); #337265=AXIS2_PLACEMENT_3D('',#453376,#365439,#365440); #337266=AXIS2_PLACEMENT_3D('',#453377,#365441,#365442); #337267=AXIS2_PLACEMENT_3D('',#453378,#365443,#365444); #337268=AXIS2_PLACEMENT_3D('',#453379,#365445,#365446); #337269=AXIS2_PLACEMENT_3D('',#453381,#365447,#365448); #337270=AXIS2_PLACEMENT_3D('',#453384,#365450,#365451); #337271=AXIS2_PLACEMENT_3D('',#453385,#365452,#365453); #337272=AXIS2_PLACEMENT_3D('',#453387,#365454,#365455); #337273=AXIS2_PLACEMENT_3D('',#453390,#365457,#365458); #337274=AXIS2_PLACEMENT_3D('',#453391,#365459,#365460); #337275=AXIS2_PLACEMENT_3D('',#453392,#365461,#365462); #337276=AXIS2_PLACEMENT_3D('',#453393,#365463,#365464); #337277=AXIS2_PLACEMENT_3D('',#453395,#365465,#365466); #337278=AXIS2_PLACEMENT_3D('',#453398,#365468,#365469); #337279=AXIS2_PLACEMENT_3D('',#453399,#365470,#365471); #337280=AXIS2_PLACEMENT_3D('',#453401,#365472,#365473); #337281=AXIS2_PLACEMENT_3D('',#453404,#365475,#365476); #337282=AXIS2_PLACEMENT_3D('',#453405,#365477,#365478); #337283=AXIS2_PLACEMENT_3D('',#453406,#365479,#365480); #337284=AXIS2_PLACEMENT_3D('',#453407,#365481,#365482); #337285=AXIS2_PLACEMENT_3D('',#453409,#365483,#365484); #337286=AXIS2_PLACEMENT_3D('',#453412,#365486,#365487); #337287=AXIS2_PLACEMENT_3D('',#453413,#365488,#365489); #337288=AXIS2_PLACEMENT_3D('',#453415,#365490,#365491); #337289=AXIS2_PLACEMENT_3D('',#453418,#365493,#365494); #337290=AXIS2_PLACEMENT_3D('',#453419,#365495,#365496); #337291=AXIS2_PLACEMENT_3D('',#453420,#365497,#365498); #337292=AXIS2_PLACEMENT_3D('',#453421,#365499,#365500); #337293=AXIS2_PLACEMENT_3D('',#453423,#365501,#365502); #337294=AXIS2_PLACEMENT_3D('',#453426,#365504,#365505); #337295=AXIS2_PLACEMENT_3D('',#453427,#365506,#365507); #337296=AXIS2_PLACEMENT_3D('',#453429,#365508,#365509); #337297=AXIS2_PLACEMENT_3D('',#453432,#365511,#365512); #337298=AXIS2_PLACEMENT_3D('',#453433,#365513,#365514); #337299=AXIS2_PLACEMENT_3D('',#453434,#365515,#365516); #337300=AXIS2_PLACEMENT_3D('',#453435,#365517,#365518); #337301=AXIS2_PLACEMENT_3D('',#453437,#365519,#365520); #337302=AXIS2_PLACEMENT_3D('',#453440,#365522,#365523); #337303=AXIS2_PLACEMENT_3D('',#453441,#365524,#365525); #337304=AXIS2_PLACEMENT_3D('',#453443,#365526,#365527); #337305=AXIS2_PLACEMENT_3D('',#453446,#365529,#365530); #337306=AXIS2_PLACEMENT_3D('',#453447,#365531,#365532); #337307=AXIS2_PLACEMENT_3D('',#453448,#365533,#365534); #337308=AXIS2_PLACEMENT_3D('',#453449,#365535,#365536); #337309=AXIS2_PLACEMENT_3D('',#453451,#365537,#365538); #337310=AXIS2_PLACEMENT_3D('',#453454,#365540,#365541); #337311=AXIS2_PLACEMENT_3D('',#453455,#365542,#365543); #337312=AXIS2_PLACEMENT_3D('',#453457,#365544,#365545); #337313=AXIS2_PLACEMENT_3D('',#453460,#365547,#365548); #337314=AXIS2_PLACEMENT_3D('',#453461,#365549,#365550); #337315=AXIS2_PLACEMENT_3D('',#453462,#365551,#365552); #337316=AXIS2_PLACEMENT_3D('',#453463,#365553,#365554); #337317=AXIS2_PLACEMENT_3D('',#453465,#365555,#365556); #337318=AXIS2_PLACEMENT_3D('',#453468,#365558,#365559); #337319=AXIS2_PLACEMENT_3D('',#453469,#365560,#365561); #337320=AXIS2_PLACEMENT_3D('',#453471,#365562,#365563); #337321=AXIS2_PLACEMENT_3D('',#453474,#365565,#365566); #337322=AXIS2_PLACEMENT_3D('',#453475,#365567,#365568); #337323=AXIS2_PLACEMENT_3D('',#453476,#365569,#365570); #337324=AXIS2_PLACEMENT_3D('',#453477,#365571,#365572); #337325=AXIS2_PLACEMENT_3D('',#453479,#365573,#365574); #337326=AXIS2_PLACEMENT_3D('',#453482,#365576,#365577); #337327=AXIS2_PLACEMENT_3D('',#453483,#365578,#365579); #337328=AXIS2_PLACEMENT_3D('',#453485,#365580,#365581); #337329=AXIS2_PLACEMENT_3D('',#453488,#365583,#365584); #337330=AXIS2_PLACEMENT_3D('',#453489,#365585,#365586); #337331=AXIS2_PLACEMENT_3D('',#453490,#365587,#365588); #337332=AXIS2_PLACEMENT_3D('',#453491,#365589,#365590); #337333=AXIS2_PLACEMENT_3D('',#453493,#365591,#365592); #337334=AXIS2_PLACEMENT_3D('',#453496,#365594,#365595); #337335=AXIS2_PLACEMENT_3D('',#453497,#365596,#365597); #337336=AXIS2_PLACEMENT_3D('',#453499,#365598,#365599); #337337=AXIS2_PLACEMENT_3D('',#453502,#365601,#365602); #337338=AXIS2_PLACEMENT_3D('',#453503,#365603,#365604); #337339=AXIS2_PLACEMENT_3D('',#453504,#365605,#365606); #337340=AXIS2_PLACEMENT_3D('',#453505,#365607,#365608); #337341=AXIS2_PLACEMENT_3D('',#453507,#365609,#365610); #337342=AXIS2_PLACEMENT_3D('',#453510,#365612,#365613); #337343=AXIS2_PLACEMENT_3D('',#453511,#365614,#365615); #337344=AXIS2_PLACEMENT_3D('',#453513,#365616,#365617); #337345=AXIS2_PLACEMENT_3D('',#453516,#365619,#365620); #337346=AXIS2_PLACEMENT_3D('',#453517,#365621,#365622); #337347=AXIS2_PLACEMENT_3D('',#453518,#365623,#365624); #337348=AXIS2_PLACEMENT_3D('',#453519,#365625,#365626); #337349=AXIS2_PLACEMENT_3D('',#453521,#365627,#365628); #337350=AXIS2_PLACEMENT_3D('',#453524,#365630,#365631); #337351=AXIS2_PLACEMENT_3D('',#453525,#365632,#365633); #337352=AXIS2_PLACEMENT_3D('',#453527,#365634,#365635); #337353=AXIS2_PLACEMENT_3D('',#453530,#365637,#365638); #337354=AXIS2_PLACEMENT_3D('',#453531,#365639,#365640); #337355=AXIS2_PLACEMENT_3D('',#453532,#365641,#365642); #337356=AXIS2_PLACEMENT_3D('',#453533,#365643,#365644); #337357=AXIS2_PLACEMENT_3D('',#453535,#365645,#365646); #337358=AXIS2_PLACEMENT_3D('',#453538,#365648,#365649); #337359=AXIS2_PLACEMENT_3D('',#453539,#365650,#365651); #337360=AXIS2_PLACEMENT_3D('',#453541,#365652,#365653); #337361=AXIS2_PLACEMENT_3D('',#453544,#365655,#365656); #337362=AXIS2_PLACEMENT_3D('',#453545,#365657,#365658); #337363=AXIS2_PLACEMENT_3D('',#453546,#365659,#365660); #337364=AXIS2_PLACEMENT_3D('',#453547,#365661,#365662); #337365=AXIS2_PLACEMENT_3D('',#453549,#365663,#365664); #337366=AXIS2_PLACEMENT_3D('',#453552,#365666,#365667); #337367=AXIS2_PLACEMENT_3D('',#453553,#365668,#365669); #337368=AXIS2_PLACEMENT_3D('',#453555,#365670,#365671); #337369=AXIS2_PLACEMENT_3D('',#453558,#365673,#365674); #337370=AXIS2_PLACEMENT_3D('',#453559,#365675,#365676); #337371=AXIS2_PLACEMENT_3D('',#453560,#365677,#365678); #337372=AXIS2_PLACEMENT_3D('',#453561,#365679,#365680); #337373=AXIS2_PLACEMENT_3D('',#453563,#365681,#365682); #337374=AXIS2_PLACEMENT_3D('',#453566,#365684,#365685); #337375=AXIS2_PLACEMENT_3D('',#453567,#365686,#365687); #337376=AXIS2_PLACEMENT_3D('',#453569,#365688,#365689); #337377=AXIS2_PLACEMENT_3D('',#453572,#365691,#365692); #337378=AXIS2_PLACEMENT_3D('',#453573,#365693,#365694); #337379=AXIS2_PLACEMENT_3D('',#453574,#365695,#365696); #337380=AXIS2_PLACEMENT_3D('',#453575,#365697,#365698); #337381=AXIS2_PLACEMENT_3D('',#453577,#365699,#365700); #337382=AXIS2_PLACEMENT_3D('',#453580,#365702,#365703); #337383=AXIS2_PLACEMENT_3D('',#453581,#365704,#365705); #337384=AXIS2_PLACEMENT_3D('',#453583,#365706,#365707); #337385=AXIS2_PLACEMENT_3D('',#453586,#365709,#365710); #337386=AXIS2_PLACEMENT_3D('',#453587,#365711,#365712); #337387=AXIS2_PLACEMENT_3D('',#453588,#365713,#365714); #337388=AXIS2_PLACEMENT_3D('',#453589,#365715,#365716); #337389=AXIS2_PLACEMENT_3D('',#453591,#365717,#365718); #337390=AXIS2_PLACEMENT_3D('',#453594,#365720,#365721); #337391=AXIS2_PLACEMENT_3D('',#453595,#365722,#365723); #337392=AXIS2_PLACEMENT_3D('',#453597,#365724,#365725); #337393=AXIS2_PLACEMENT_3D('',#453600,#365727,#365728); #337394=AXIS2_PLACEMENT_3D('',#453601,#365729,#365730); #337395=AXIS2_PLACEMENT_3D('',#453602,#365731,#365732); #337396=AXIS2_PLACEMENT_3D('',#453603,#365733,#365734); #337397=AXIS2_PLACEMENT_3D('',#453605,#365735,#365736); #337398=AXIS2_PLACEMENT_3D('',#453608,#365738,#365739); #337399=AXIS2_PLACEMENT_3D('',#453609,#365740,#365741); #337400=AXIS2_PLACEMENT_3D('',#453611,#365742,#365743); #337401=AXIS2_PLACEMENT_3D('',#453614,#365745,#365746); #337402=AXIS2_PLACEMENT_3D('',#453615,#365747,#365748); #337403=AXIS2_PLACEMENT_3D('',#453616,#365749,#365750); #337404=AXIS2_PLACEMENT_3D('',#453617,#365751,#365752); #337405=AXIS2_PLACEMENT_3D('',#453619,#365753,#365754); #337406=AXIS2_PLACEMENT_3D('',#453622,#365756,#365757); #337407=AXIS2_PLACEMENT_3D('',#453623,#365758,#365759); #337408=AXIS2_PLACEMENT_3D('',#453625,#365760,#365761); #337409=AXIS2_PLACEMENT_3D('',#453628,#365763,#365764); #337410=AXIS2_PLACEMENT_3D('',#453629,#365765,#365766); #337411=AXIS2_PLACEMENT_3D('',#453630,#365767,#365768); #337412=AXIS2_PLACEMENT_3D('',#453631,#365769,#365770); #337413=AXIS2_PLACEMENT_3D('',#453633,#365771,#365772); #337414=AXIS2_PLACEMENT_3D('',#453636,#365774,#365775); #337415=AXIS2_PLACEMENT_3D('',#453637,#365776,#365777); #337416=AXIS2_PLACEMENT_3D('',#453639,#365778,#365779); #337417=AXIS2_PLACEMENT_3D('',#453642,#365781,#365782); #337418=AXIS2_PLACEMENT_3D('',#453643,#365783,#365784); #337419=AXIS2_PLACEMENT_3D('',#453644,#365785,#365786); #337420=AXIS2_PLACEMENT_3D('',#453645,#365787,#365788); #337421=AXIS2_PLACEMENT_3D('',#453647,#365789,#365790); #337422=AXIS2_PLACEMENT_3D('',#453650,#365792,#365793); #337423=AXIS2_PLACEMENT_3D('',#453651,#365794,#365795); #337424=AXIS2_PLACEMENT_3D('',#453653,#365796,#365797); #337425=AXIS2_PLACEMENT_3D('',#453656,#365799,#365800); #337426=AXIS2_PLACEMENT_3D('',#453657,#365801,#365802); #337427=AXIS2_PLACEMENT_3D('',#453658,#365803,#365804); #337428=AXIS2_PLACEMENT_3D('',#453659,#365805,#365806); #337429=AXIS2_PLACEMENT_3D('',#453661,#365807,#365808); #337430=AXIS2_PLACEMENT_3D('',#453664,#365810,#365811); #337431=AXIS2_PLACEMENT_3D('',#453665,#365812,#365813); #337432=AXIS2_PLACEMENT_3D('',#453667,#365814,#365815); #337433=AXIS2_PLACEMENT_3D('',#453670,#365817,#365818); #337434=AXIS2_PLACEMENT_3D('',#453671,#365819,#365820); #337435=AXIS2_PLACEMENT_3D('',#453672,#365821,#365822); #337436=AXIS2_PLACEMENT_3D('',#453673,#365823,#365824); #337437=AXIS2_PLACEMENT_3D('',#453675,#365825,#365826); #337438=AXIS2_PLACEMENT_3D('',#453678,#365828,#365829); #337439=AXIS2_PLACEMENT_3D('',#453679,#365830,#365831); #337440=AXIS2_PLACEMENT_3D('',#453681,#365832,#365833); #337441=AXIS2_PLACEMENT_3D('',#453684,#365835,#365836); #337442=AXIS2_PLACEMENT_3D('',#453685,#365837,#365838); #337443=AXIS2_PLACEMENT_3D('',#453686,#365839,#365840); #337444=AXIS2_PLACEMENT_3D('',#453687,#365841,#365842); #337445=AXIS2_PLACEMENT_3D('',#453689,#365843,#365844); #337446=AXIS2_PLACEMENT_3D('',#453692,#365846,#365847); #337447=AXIS2_PLACEMENT_3D('',#453693,#365848,#365849); #337448=AXIS2_PLACEMENT_3D('',#453695,#365850,#365851); #337449=AXIS2_PLACEMENT_3D('',#453698,#365853,#365854); #337450=AXIS2_PLACEMENT_3D('',#453699,#365855,#365856); #337451=AXIS2_PLACEMENT_3D('',#453700,#365857,#365858); #337452=AXIS2_PLACEMENT_3D('',#453701,#365859,#365860); #337453=AXIS2_PLACEMENT_3D('',#453703,#365861,#365862); #337454=AXIS2_PLACEMENT_3D('',#453706,#365864,#365865); #337455=AXIS2_PLACEMENT_3D('',#453707,#365866,#365867); #337456=AXIS2_PLACEMENT_3D('',#453709,#365868,#365869); #337457=AXIS2_PLACEMENT_3D('',#453712,#365871,#365872); #337458=AXIS2_PLACEMENT_3D('',#453713,#365873,#365874); #337459=AXIS2_PLACEMENT_3D('',#453714,#365875,#365876); #337460=AXIS2_PLACEMENT_3D('',#453715,#365877,#365878); #337461=AXIS2_PLACEMENT_3D('',#453717,#365879,#365880); #337462=AXIS2_PLACEMENT_3D('',#453720,#365882,#365883); #337463=AXIS2_PLACEMENT_3D('',#453721,#365884,#365885); #337464=AXIS2_PLACEMENT_3D('',#453723,#365886,#365887); #337465=AXIS2_PLACEMENT_3D('',#453726,#365889,#365890); #337466=AXIS2_PLACEMENT_3D('',#453727,#365891,#365892); #337467=AXIS2_PLACEMENT_3D('',#453728,#365893,#365894); #337468=AXIS2_PLACEMENT_3D('',#453729,#365895,#365896); #337469=AXIS2_PLACEMENT_3D('',#453731,#365897,#365898); #337470=AXIS2_PLACEMENT_3D('',#453734,#365900,#365901); #337471=AXIS2_PLACEMENT_3D('',#453735,#365902,#365903); #337472=AXIS2_PLACEMENT_3D('',#453737,#365904,#365905); #337473=AXIS2_PLACEMENT_3D('',#453740,#365907,#365908); #337474=AXIS2_PLACEMENT_3D('',#453741,#365909,#365910); #337475=AXIS2_PLACEMENT_3D('',#453742,#365911,#365912); #337476=AXIS2_PLACEMENT_3D('',#453743,#365913,#365914); #337477=AXIS2_PLACEMENT_3D('',#453745,#365915,#365916); #337478=AXIS2_PLACEMENT_3D('',#453748,#365918,#365919); #337479=AXIS2_PLACEMENT_3D('',#453749,#365920,#365921); #337480=AXIS2_PLACEMENT_3D('',#453751,#365922,#365923); #337481=AXIS2_PLACEMENT_3D('',#453754,#365925,#365926); #337482=AXIS2_PLACEMENT_3D('',#453755,#365927,#365928); #337483=AXIS2_PLACEMENT_3D('',#453756,#365929,#365930); #337484=AXIS2_PLACEMENT_3D('',#453757,#365931,#365932); #337485=AXIS2_PLACEMENT_3D('',#453759,#365933,#365934); #337486=AXIS2_PLACEMENT_3D('',#453762,#365936,#365937); #337487=AXIS2_PLACEMENT_3D('',#453763,#365938,#365939); #337488=AXIS2_PLACEMENT_3D('',#453765,#365940,#365941); #337489=AXIS2_PLACEMENT_3D('',#453768,#365943,#365944); #337490=AXIS2_PLACEMENT_3D('',#453769,#365945,#365946); #337491=AXIS2_PLACEMENT_3D('',#453770,#365947,#365948); #337492=AXIS2_PLACEMENT_3D('',#453771,#365949,#365950); #337493=AXIS2_PLACEMENT_3D('',#453773,#365951,#365952); #337494=AXIS2_PLACEMENT_3D('',#453776,#365954,#365955); #337495=AXIS2_PLACEMENT_3D('',#453777,#365956,#365957); #337496=AXIS2_PLACEMENT_3D('',#453779,#365958,#365959); #337497=AXIS2_PLACEMENT_3D('',#453782,#365961,#365962); #337498=AXIS2_PLACEMENT_3D('',#453783,#365963,#365964); #337499=AXIS2_PLACEMENT_3D('',#453784,#365965,#365966); #337500=AXIS2_PLACEMENT_3D('',#453785,#365967,#365968); #337501=AXIS2_PLACEMENT_3D('',#453787,#365969,#365970); #337502=AXIS2_PLACEMENT_3D('',#453790,#365972,#365973); #337503=AXIS2_PLACEMENT_3D('',#453791,#365974,#365975); #337504=AXIS2_PLACEMENT_3D('',#453793,#365976,#365977); #337505=AXIS2_PLACEMENT_3D('',#453796,#365979,#365980); #337506=AXIS2_PLACEMENT_3D('',#453797,#365981,#365982); #337507=AXIS2_PLACEMENT_3D('',#453798,#365983,#365984); #337508=AXIS2_PLACEMENT_3D('',#453799,#365985,#365986); #337509=AXIS2_PLACEMENT_3D('',#453801,#365987,#365988); #337510=AXIS2_PLACEMENT_3D('',#453804,#365990,#365991); #337511=AXIS2_PLACEMENT_3D('',#453805,#365992,#365993); #337512=AXIS2_PLACEMENT_3D('',#453807,#365994,#365995); #337513=AXIS2_PLACEMENT_3D('',#453810,#365997,#365998); #337514=AXIS2_PLACEMENT_3D('',#453811,#365999,#366000); #337515=AXIS2_PLACEMENT_3D('',#453812,#366001,#366002); #337516=AXIS2_PLACEMENT_3D('',#453813,#366003,#366004); #337517=AXIS2_PLACEMENT_3D('',#453815,#366005,#366006); #337518=AXIS2_PLACEMENT_3D('',#453818,#366008,#366009); #337519=AXIS2_PLACEMENT_3D('',#453819,#366010,#366011); #337520=AXIS2_PLACEMENT_3D('',#453821,#366012,#366013); #337521=AXIS2_PLACEMENT_3D('',#453824,#366015,#366016); #337522=AXIS2_PLACEMENT_3D('',#453825,#366017,#366018); #337523=AXIS2_PLACEMENT_3D('',#453826,#366019,#366020); #337524=AXIS2_PLACEMENT_3D('',#453827,#366021,#366022); #337525=AXIS2_PLACEMENT_3D('',#453829,#366023,#366024); #337526=AXIS2_PLACEMENT_3D('',#453832,#366026,#366027); #337527=AXIS2_PLACEMENT_3D('',#453833,#366028,#366029); #337528=AXIS2_PLACEMENT_3D('',#453835,#366030,#366031); #337529=AXIS2_PLACEMENT_3D('',#453838,#366033,#366034); #337530=AXIS2_PLACEMENT_3D('',#453839,#366035,#366036); #337531=AXIS2_PLACEMENT_3D('',#453840,#366037,#366038); #337532=AXIS2_PLACEMENT_3D('',#453841,#366039,#366040); #337533=AXIS2_PLACEMENT_3D('',#453843,#366041,#366042); #337534=AXIS2_PLACEMENT_3D('',#453846,#366044,#366045); #337535=AXIS2_PLACEMENT_3D('',#453847,#366046,#366047); #337536=AXIS2_PLACEMENT_3D('',#453849,#366048,#366049); #337537=AXIS2_PLACEMENT_3D('',#453852,#366051,#366052); #337538=AXIS2_PLACEMENT_3D('',#453853,#366053,#366054); #337539=AXIS2_PLACEMENT_3D('',#453854,#366055,#366056); #337540=AXIS2_PLACEMENT_3D('',#453855,#366057,#366058); #337541=AXIS2_PLACEMENT_3D('',#453857,#366059,#366060); #337542=AXIS2_PLACEMENT_3D('',#453860,#366062,#366063); #337543=AXIS2_PLACEMENT_3D('',#453861,#366064,#366065); #337544=AXIS2_PLACEMENT_3D('',#453863,#366066,#366067); #337545=AXIS2_PLACEMENT_3D('',#453866,#366069,#366070); #337546=AXIS2_PLACEMENT_3D('',#453867,#366071,#366072); #337547=AXIS2_PLACEMENT_3D('',#453868,#366073,#366074); #337548=AXIS2_PLACEMENT_3D('',#453869,#366075,#366076); #337549=AXIS2_PLACEMENT_3D('',#453871,#366077,#366078); #337550=AXIS2_PLACEMENT_3D('',#453874,#366080,#366081); #337551=AXIS2_PLACEMENT_3D('',#453875,#366082,#366083); #337552=AXIS2_PLACEMENT_3D('',#453877,#366084,#366085); #337553=AXIS2_PLACEMENT_3D('',#453880,#366087,#366088); #337554=AXIS2_PLACEMENT_3D('',#453881,#366089,#366090); #337555=AXIS2_PLACEMENT_3D('',#453882,#366091,#366092); #337556=AXIS2_PLACEMENT_3D('',#453883,#366093,#366094); #337557=AXIS2_PLACEMENT_3D('',#453885,#366095,#366096); #337558=AXIS2_PLACEMENT_3D('',#453888,#366098,#366099); #337559=AXIS2_PLACEMENT_3D('',#453889,#366100,#366101); #337560=AXIS2_PLACEMENT_3D('',#453891,#366102,#366103); #337561=AXIS2_PLACEMENT_3D('',#453894,#366105,#366106); #337562=AXIS2_PLACEMENT_3D('',#453895,#366107,#366108); #337563=AXIS2_PLACEMENT_3D('',#453896,#366109,#366110); #337564=AXIS2_PLACEMENT_3D('',#453897,#366111,#366112); #337565=AXIS2_PLACEMENT_3D('',#453899,#366113,#366114); #337566=AXIS2_PLACEMENT_3D('',#453902,#366116,#366117); #337567=AXIS2_PLACEMENT_3D('',#453903,#366118,#366119); #337568=AXIS2_PLACEMENT_3D('',#453905,#366120,#366121); #337569=AXIS2_PLACEMENT_3D('',#453908,#366123,#366124); #337570=AXIS2_PLACEMENT_3D('',#453909,#366125,#366126); #337571=AXIS2_PLACEMENT_3D('',#453910,#366127,#366128); #337572=AXIS2_PLACEMENT_3D('',#453911,#366129,#366130); #337573=AXIS2_PLACEMENT_3D('',#453913,#366131,#366132); #337574=AXIS2_PLACEMENT_3D('',#453916,#366134,#366135); #337575=AXIS2_PLACEMENT_3D('',#453917,#366136,#366137); #337576=AXIS2_PLACEMENT_3D('',#453919,#366138,#366139); #337577=AXIS2_PLACEMENT_3D('',#453922,#366141,#366142); #337578=AXIS2_PLACEMENT_3D('',#453923,#366143,#366144); #337579=AXIS2_PLACEMENT_3D('',#453924,#366145,#366146); #337580=AXIS2_PLACEMENT_3D('',#453925,#366147,#366148); #337581=AXIS2_PLACEMENT_3D('',#453927,#366149,#366150); #337582=AXIS2_PLACEMENT_3D('',#453930,#366152,#366153); #337583=AXIS2_PLACEMENT_3D('',#453931,#366154,#366155); #337584=AXIS2_PLACEMENT_3D('',#453933,#366156,#366157); #337585=AXIS2_PLACEMENT_3D('',#453936,#366159,#366160); #337586=AXIS2_PLACEMENT_3D('',#453937,#366161,#366162); #337587=AXIS2_PLACEMENT_3D('',#453938,#366163,#366164); #337588=AXIS2_PLACEMENT_3D('',#453939,#366165,#366166); #337589=AXIS2_PLACEMENT_3D('',#453941,#366167,#366168); #337590=AXIS2_PLACEMENT_3D('',#453944,#366170,#366171); #337591=AXIS2_PLACEMENT_3D('',#453945,#366172,#366173); #337592=AXIS2_PLACEMENT_3D('',#453947,#366174,#366175); #337593=AXIS2_PLACEMENT_3D('',#453950,#366177,#366178); #337594=AXIS2_PLACEMENT_3D('',#453951,#366179,#366180); #337595=AXIS2_PLACEMENT_3D('',#453952,#366181,#366182); #337596=AXIS2_PLACEMENT_3D('',#453953,#366183,#366184); #337597=AXIS2_PLACEMENT_3D('',#453955,#366185,#366186); #337598=AXIS2_PLACEMENT_3D('',#453958,#366188,#366189); #337599=AXIS2_PLACEMENT_3D('',#453959,#366190,#366191); #337600=AXIS2_PLACEMENT_3D('',#453961,#366192,#366193); #337601=AXIS2_PLACEMENT_3D('',#453964,#366195,#366196); #337602=AXIS2_PLACEMENT_3D('',#453965,#366197,#366198); #337603=AXIS2_PLACEMENT_3D('',#453966,#366199,#366200); #337604=AXIS2_PLACEMENT_3D('',#453967,#366201,#366202); #337605=AXIS2_PLACEMENT_3D('',#453969,#366203,#366204); #337606=AXIS2_PLACEMENT_3D('',#453972,#366206,#366207); #337607=AXIS2_PLACEMENT_3D('',#453973,#366208,#366209); #337608=AXIS2_PLACEMENT_3D('',#453975,#366210,#366211); #337609=AXIS2_PLACEMENT_3D('',#453978,#366213,#366214); #337610=AXIS2_PLACEMENT_3D('',#453979,#366215,#366216); #337611=AXIS2_PLACEMENT_3D('',#453980,#366217,#366218); #337612=AXIS2_PLACEMENT_3D('',#453981,#366219,#366220); #337613=AXIS2_PLACEMENT_3D('',#453983,#366221,#366222); #337614=AXIS2_PLACEMENT_3D('',#453986,#366224,#366225); #337615=AXIS2_PLACEMENT_3D('',#453987,#366226,#366227); #337616=AXIS2_PLACEMENT_3D('',#453989,#366228,#366229); #337617=AXIS2_PLACEMENT_3D('',#453992,#366231,#366232); #337618=AXIS2_PLACEMENT_3D('',#453993,#366233,#366234); #337619=AXIS2_PLACEMENT_3D('',#453994,#366235,#366236); #337620=AXIS2_PLACEMENT_3D('',#453995,#366237,#366238); #337621=AXIS2_PLACEMENT_3D('',#453997,#366239,#366240); #337622=AXIS2_PLACEMENT_3D('',#454000,#366242,#366243); #337623=AXIS2_PLACEMENT_3D('',#454001,#366244,#366245); #337624=AXIS2_PLACEMENT_3D('',#454003,#366246,#366247); #337625=AXIS2_PLACEMENT_3D('',#454006,#366249,#366250); #337626=AXIS2_PLACEMENT_3D('',#454007,#366251,#366252); #337627=AXIS2_PLACEMENT_3D('',#454008,#366253,#366254); #337628=AXIS2_PLACEMENT_3D('',#454009,#366255,#366256); #337629=AXIS2_PLACEMENT_3D('',#454011,#366257,#366258); #337630=AXIS2_PLACEMENT_3D('',#454014,#366260,#366261); #337631=AXIS2_PLACEMENT_3D('',#454015,#366262,#366263); #337632=AXIS2_PLACEMENT_3D('',#454017,#366264,#366265); #337633=AXIS2_PLACEMENT_3D('',#454020,#366267,#366268); #337634=AXIS2_PLACEMENT_3D('',#454021,#366269,#366270); #337635=AXIS2_PLACEMENT_3D('',#454022,#366271,#366272); #337636=AXIS2_PLACEMENT_3D('',#454023,#366273,#366274); #337637=AXIS2_PLACEMENT_3D('',#454025,#366275,#366276); #337638=AXIS2_PLACEMENT_3D('',#454028,#366278,#366279); #337639=AXIS2_PLACEMENT_3D('',#454029,#366280,#366281); #337640=AXIS2_PLACEMENT_3D('',#454031,#366282,#366283); #337641=AXIS2_PLACEMENT_3D('',#454034,#366285,#366286); #337642=AXIS2_PLACEMENT_3D('',#454035,#366287,#366288); #337643=AXIS2_PLACEMENT_3D('',#454036,#366289,#366290); #337644=AXIS2_PLACEMENT_3D('',#454037,#366291,#366292); #337645=AXIS2_PLACEMENT_3D('',#454039,#366293,#366294); #337646=AXIS2_PLACEMENT_3D('',#454042,#366296,#366297); #337647=AXIS2_PLACEMENT_3D('',#454043,#366298,#366299); #337648=AXIS2_PLACEMENT_3D('',#454045,#366300,#366301); #337649=AXIS2_PLACEMENT_3D('',#454048,#366303,#366304); #337650=AXIS2_PLACEMENT_3D('',#454049,#366305,#366306); #337651=AXIS2_PLACEMENT_3D('',#454050,#366307,#366308); #337652=AXIS2_PLACEMENT_3D('',#454051,#366309,#366310); #337653=AXIS2_PLACEMENT_3D('',#454053,#366311,#366312); #337654=AXIS2_PLACEMENT_3D('',#454056,#366314,#366315); #337655=AXIS2_PLACEMENT_3D('',#454057,#366316,#366317); #337656=AXIS2_PLACEMENT_3D('',#454059,#366318,#366319); #337657=AXIS2_PLACEMENT_3D('',#454062,#366321,#366322); #337658=AXIS2_PLACEMENT_3D('',#454063,#366323,#366324); #337659=AXIS2_PLACEMENT_3D('',#454064,#366325,#366326); #337660=AXIS2_PLACEMENT_3D('',#454065,#366327,#366328); #337661=AXIS2_PLACEMENT_3D('',#454067,#366329,#366330); #337662=AXIS2_PLACEMENT_3D('',#454070,#366332,#366333); #337663=AXIS2_PLACEMENT_3D('',#454071,#366334,#366335); #337664=AXIS2_PLACEMENT_3D('',#454073,#366336,#366337); #337665=AXIS2_PLACEMENT_3D('',#454076,#366339,#366340); #337666=AXIS2_PLACEMENT_3D('',#454077,#366341,#366342); #337667=AXIS2_PLACEMENT_3D('',#454078,#366343,#366344); #337668=AXIS2_PLACEMENT_3D('',#454079,#366345,#366346); #337669=AXIS2_PLACEMENT_3D('',#454081,#366347,#366348); #337670=AXIS2_PLACEMENT_3D('',#454084,#366350,#366351); #337671=AXIS2_PLACEMENT_3D('',#454085,#366352,#366353); #337672=AXIS2_PLACEMENT_3D('',#454087,#366354,#366355); #337673=AXIS2_PLACEMENT_3D('',#454090,#366357,#366358); #337674=AXIS2_PLACEMENT_3D('',#454091,#366359,#366360); #337675=AXIS2_PLACEMENT_3D('',#454092,#366361,#366362); #337676=AXIS2_PLACEMENT_3D('',#454093,#366363,#366364); #337677=AXIS2_PLACEMENT_3D('',#454095,#366365,#366366); #337678=AXIS2_PLACEMENT_3D('',#454098,#366368,#366369); #337679=AXIS2_PLACEMENT_3D('',#454099,#366370,#366371); #337680=AXIS2_PLACEMENT_3D('',#454101,#366372,#366373); #337681=AXIS2_PLACEMENT_3D('',#454104,#366375,#366376); #337682=AXIS2_PLACEMENT_3D('',#454105,#366377,#366378); #337683=AXIS2_PLACEMENT_3D('',#454106,#366379,#366380); #337684=AXIS2_PLACEMENT_3D('',#454107,#366381,#366382); #337685=AXIS2_PLACEMENT_3D('',#454109,#366383,#366384); #337686=AXIS2_PLACEMENT_3D('',#454112,#366386,#366387); #337687=AXIS2_PLACEMENT_3D('',#454113,#366388,#366389); #337688=AXIS2_PLACEMENT_3D('',#454115,#366390,#366391); #337689=AXIS2_PLACEMENT_3D('',#454118,#366393,#366394); #337690=AXIS2_PLACEMENT_3D('',#454119,#366395,#366396); #337691=AXIS2_PLACEMENT_3D('',#454120,#366397,#366398); #337692=AXIS2_PLACEMENT_3D('',#454121,#366399,#366400); #337693=AXIS2_PLACEMENT_3D('',#454123,#366401,#366402); #337694=AXIS2_PLACEMENT_3D('',#454126,#366404,#366405); #337695=AXIS2_PLACEMENT_3D('',#454127,#366406,#366407); #337696=AXIS2_PLACEMENT_3D('',#454129,#366408,#366409); #337697=AXIS2_PLACEMENT_3D('',#454132,#366411,#366412); #337698=AXIS2_PLACEMENT_3D('',#454133,#366413,#366414); #337699=AXIS2_PLACEMENT_3D('',#454134,#366415,#366416); #337700=AXIS2_PLACEMENT_3D('',#454135,#366417,#366418); #337701=AXIS2_PLACEMENT_3D('',#454137,#366419,#366420); #337702=AXIS2_PLACEMENT_3D('',#454140,#366422,#366423); #337703=AXIS2_PLACEMENT_3D('',#454141,#366424,#366425); #337704=AXIS2_PLACEMENT_3D('',#454143,#366426,#366427); #337705=AXIS2_PLACEMENT_3D('',#454146,#366429,#366430); #337706=AXIS2_PLACEMENT_3D('',#454147,#366431,#366432); #337707=AXIS2_PLACEMENT_3D('',#454148,#366433,#366434); #337708=AXIS2_PLACEMENT_3D('',#454149,#366435,#366436); #337709=AXIS2_PLACEMENT_3D('',#454151,#366437,#366438); #337710=AXIS2_PLACEMENT_3D('',#454154,#366440,#366441); #337711=AXIS2_PLACEMENT_3D('',#454155,#366442,#366443); #337712=AXIS2_PLACEMENT_3D('',#454157,#366444,#366445); #337713=AXIS2_PLACEMENT_3D('',#454160,#366447,#366448); #337714=AXIS2_PLACEMENT_3D('',#454161,#366449,#366450); #337715=AXIS2_PLACEMENT_3D('',#454162,#366451,#366452); #337716=AXIS2_PLACEMENT_3D('',#454163,#366453,#366454); #337717=AXIS2_PLACEMENT_3D('',#454165,#366455,#366456); #337718=AXIS2_PLACEMENT_3D('',#454168,#366458,#366459); #337719=AXIS2_PLACEMENT_3D('',#454169,#366460,#366461); #337720=AXIS2_PLACEMENT_3D('',#454171,#366462,#366463); #337721=AXIS2_PLACEMENT_3D('',#454174,#366465,#366466); #337722=AXIS2_PLACEMENT_3D('',#454175,#366467,#366468); #337723=AXIS2_PLACEMENT_3D('',#454176,#366469,#366470); #337724=AXIS2_PLACEMENT_3D('',#454177,#366471,#366472); #337725=AXIS2_PLACEMENT_3D('',#454179,#366473,#366474); #337726=AXIS2_PLACEMENT_3D('',#454182,#366476,#366477); #337727=AXIS2_PLACEMENT_3D('',#454183,#366478,#366479); #337728=AXIS2_PLACEMENT_3D('',#454185,#366480,#366481); #337729=AXIS2_PLACEMENT_3D('',#454188,#366483,#366484); #337730=AXIS2_PLACEMENT_3D('',#454189,#366485,#366486); #337731=AXIS2_PLACEMENT_3D('',#454190,#366487,#366488); #337732=AXIS2_PLACEMENT_3D('',#454191,#366489,#366490); #337733=AXIS2_PLACEMENT_3D('',#454193,#366491,#366492); #337734=AXIS2_PLACEMENT_3D('',#454196,#366494,#366495); #337735=AXIS2_PLACEMENT_3D('',#454197,#366496,#366497); #337736=AXIS2_PLACEMENT_3D('',#454199,#366498,#366499); #337737=AXIS2_PLACEMENT_3D('',#454202,#366501,#366502); #337738=AXIS2_PLACEMENT_3D('',#454203,#366503,#366504); #337739=AXIS2_PLACEMENT_3D('',#454204,#366505,#366506); #337740=AXIS2_PLACEMENT_3D('',#454205,#366507,#366508); #337741=AXIS2_PLACEMENT_3D('',#454207,#366509,#366510); #337742=AXIS2_PLACEMENT_3D('',#454210,#366512,#366513); #337743=AXIS2_PLACEMENT_3D('',#454211,#366514,#366515); #337744=AXIS2_PLACEMENT_3D('',#454213,#366516,#366517); #337745=AXIS2_PLACEMENT_3D('',#454216,#366519,#366520); #337746=AXIS2_PLACEMENT_3D('',#454217,#366521,#366522); #337747=AXIS2_PLACEMENT_3D('',#454218,#366523,#366524); #337748=AXIS2_PLACEMENT_3D('',#454219,#366525,#366526); #337749=AXIS2_PLACEMENT_3D('',#454221,#366527,#366528); #337750=AXIS2_PLACEMENT_3D('',#454224,#366530,#366531); #337751=AXIS2_PLACEMENT_3D('',#454225,#366532,#366533); #337752=AXIS2_PLACEMENT_3D('',#454227,#366534,#366535); #337753=AXIS2_PLACEMENT_3D('',#454230,#366537,#366538); #337754=AXIS2_PLACEMENT_3D('',#454231,#366539,#366540); #337755=AXIS2_PLACEMENT_3D('',#454232,#366541,#366542); #337756=AXIS2_PLACEMENT_3D('',#454233,#366543,#366544); #337757=AXIS2_PLACEMENT_3D('',#454235,#366545,#366546); #337758=AXIS2_PLACEMENT_3D('',#454238,#366548,#366549); #337759=AXIS2_PLACEMENT_3D('',#454239,#366550,#366551); #337760=AXIS2_PLACEMENT_3D('',#454241,#366552,#366553); #337761=AXIS2_PLACEMENT_3D('',#454244,#366555,#366556); #337762=AXIS2_PLACEMENT_3D('',#454245,#366557,#366558); #337763=AXIS2_PLACEMENT_3D('',#454246,#366559,#366560); #337764=AXIS2_PLACEMENT_3D('',#454247,#366561,#366562); #337765=AXIS2_PLACEMENT_3D('',#454249,#366563,#366564); #337766=AXIS2_PLACEMENT_3D('',#454252,#366566,#366567); #337767=AXIS2_PLACEMENT_3D('',#454253,#366568,#366569); #337768=AXIS2_PLACEMENT_3D('',#454255,#366570,#366571); #337769=AXIS2_PLACEMENT_3D('',#454258,#366573,#366574); #337770=AXIS2_PLACEMENT_3D('',#454259,#366575,#366576); #337771=AXIS2_PLACEMENT_3D('',#454260,#366577,#366578); #337772=AXIS2_PLACEMENT_3D('',#454261,#366579,#366580); #337773=AXIS2_PLACEMENT_3D('',#454263,#366581,#366582); #337774=AXIS2_PLACEMENT_3D('',#454266,#366584,#366585); #337775=AXIS2_PLACEMENT_3D('',#454267,#366586,#366587); #337776=AXIS2_PLACEMENT_3D('',#454269,#366588,#366589); #337777=AXIS2_PLACEMENT_3D('',#454272,#366591,#366592); #337778=AXIS2_PLACEMENT_3D('',#454273,#366593,#366594); #337779=AXIS2_PLACEMENT_3D('',#454274,#366595,#366596); #337780=AXIS2_PLACEMENT_3D('',#454275,#366597,#366598); #337781=AXIS2_PLACEMENT_3D('',#454277,#366599,#366600); #337782=AXIS2_PLACEMENT_3D('',#454280,#366602,#366603); #337783=AXIS2_PLACEMENT_3D('',#454281,#366604,#366605); #337784=AXIS2_PLACEMENT_3D('',#454283,#366606,#366607); #337785=AXIS2_PLACEMENT_3D('',#454286,#366609,#366610); #337786=AXIS2_PLACEMENT_3D('',#454287,#366611,#366612); #337787=AXIS2_PLACEMENT_3D('',#454288,#366613,#366614); #337788=AXIS2_PLACEMENT_3D('',#454289,#366615,#366616); #337789=AXIS2_PLACEMENT_3D('',#454291,#366617,#366618); #337790=AXIS2_PLACEMENT_3D('',#454294,#366620,#366621); #337791=AXIS2_PLACEMENT_3D('',#454295,#366622,#366623); #337792=AXIS2_PLACEMENT_3D('',#454297,#366624,#366625); #337793=AXIS2_PLACEMENT_3D('',#454300,#366627,#366628); #337794=AXIS2_PLACEMENT_3D('',#454301,#366629,#366630); #337795=AXIS2_PLACEMENT_3D('',#454302,#366631,#366632); #337796=AXIS2_PLACEMENT_3D('',#454303,#366633,#366634); #337797=AXIS2_PLACEMENT_3D('',#454305,#366635,#366636); #337798=AXIS2_PLACEMENT_3D('',#454308,#366638,#366639); #337799=AXIS2_PLACEMENT_3D('',#454309,#366640,#366641); #337800=AXIS2_PLACEMENT_3D('',#454311,#366642,#366643); #337801=AXIS2_PLACEMENT_3D('',#454314,#366645,#366646); #337802=AXIS2_PLACEMENT_3D('',#454315,#366647,#366648); #337803=AXIS2_PLACEMENT_3D('',#454316,#366649,#366650); #337804=AXIS2_PLACEMENT_3D('',#454317,#366651,#366652); #337805=AXIS2_PLACEMENT_3D('',#454319,#366653,#366654); #337806=AXIS2_PLACEMENT_3D('',#454322,#366656,#366657); #337807=AXIS2_PLACEMENT_3D('',#454323,#366658,#366659); #337808=AXIS2_PLACEMENT_3D('',#454325,#366660,#366661); #337809=AXIS2_PLACEMENT_3D('',#454328,#366663,#366664); #337810=AXIS2_PLACEMENT_3D('',#454329,#366665,#366666); #337811=AXIS2_PLACEMENT_3D('',#454330,#366667,#366668); #337812=AXIS2_PLACEMENT_3D('',#454331,#366669,#366670); #337813=AXIS2_PLACEMENT_3D('',#454333,#366671,#366672); #337814=AXIS2_PLACEMENT_3D('',#454336,#366674,#366675); #337815=AXIS2_PLACEMENT_3D('',#454337,#366676,#366677); #337816=AXIS2_PLACEMENT_3D('',#454339,#366678,#366679); #337817=AXIS2_PLACEMENT_3D('',#454342,#366681,#366682); #337818=AXIS2_PLACEMENT_3D('',#454343,#366683,#366684); #337819=AXIS2_PLACEMENT_3D('',#454344,#366685,#366686); #337820=AXIS2_PLACEMENT_3D('',#454345,#366687,#366688); #337821=AXIS2_PLACEMENT_3D('',#454347,#366689,#366690); #337822=AXIS2_PLACEMENT_3D('',#454350,#366692,#366693); #337823=AXIS2_PLACEMENT_3D('',#454351,#366694,#366695); #337824=AXIS2_PLACEMENT_3D('',#454353,#366696,#366697); #337825=AXIS2_PLACEMENT_3D('',#454356,#366699,#366700); #337826=AXIS2_PLACEMENT_3D('',#454357,#366701,#366702); #337827=AXIS2_PLACEMENT_3D('',#454358,#366703,#366704); #337828=AXIS2_PLACEMENT_3D('',#454359,#366705,#366706); #337829=AXIS2_PLACEMENT_3D('',#454361,#366707,#366708); #337830=AXIS2_PLACEMENT_3D('',#454364,#366710,#366711); #337831=AXIS2_PLACEMENT_3D('',#454365,#366712,#366713); #337832=AXIS2_PLACEMENT_3D('',#454367,#366714,#366715); #337833=AXIS2_PLACEMENT_3D('',#454370,#366717,#366718); #337834=AXIS2_PLACEMENT_3D('',#454371,#366719,#366720); #337835=AXIS2_PLACEMENT_3D('',#454372,#366721,#366722); #337836=AXIS2_PLACEMENT_3D('',#454373,#366723,#366724); #337837=AXIS2_PLACEMENT_3D('',#454375,#366725,#366726); #337838=AXIS2_PLACEMENT_3D('',#454378,#366728,#366729); #337839=AXIS2_PLACEMENT_3D('',#454379,#366730,#366731); #337840=AXIS2_PLACEMENT_3D('',#454381,#366732,#366733); #337841=AXIS2_PLACEMENT_3D('',#454384,#366735,#366736); #337842=AXIS2_PLACEMENT_3D('',#454385,#366737,#366738); #337843=AXIS2_PLACEMENT_3D('',#454386,#366739,#366740); #337844=AXIS2_PLACEMENT_3D('',#454387,#366741,#366742); #337845=AXIS2_PLACEMENT_3D('',#454389,#366743,#366744); #337846=AXIS2_PLACEMENT_3D('',#454392,#366746,#366747); #337847=AXIS2_PLACEMENT_3D('',#454393,#366748,#366749); #337848=AXIS2_PLACEMENT_3D('',#454395,#366750,#366751); #337849=AXIS2_PLACEMENT_3D('',#454398,#366753,#366754); #337850=AXIS2_PLACEMENT_3D('',#454399,#366755,#366756); #337851=AXIS2_PLACEMENT_3D('',#454400,#366757,#366758); #337852=AXIS2_PLACEMENT_3D('',#454401,#366759,#366760); #337853=AXIS2_PLACEMENT_3D('',#454403,#366761,#366762); #337854=AXIS2_PLACEMENT_3D('',#454406,#366764,#366765); #337855=AXIS2_PLACEMENT_3D('',#454407,#366766,#366767); #337856=AXIS2_PLACEMENT_3D('',#454409,#366768,#366769); #337857=AXIS2_PLACEMENT_3D('',#454412,#366771,#366772); #337858=AXIS2_PLACEMENT_3D('',#454413,#366773,#366774); #337859=AXIS2_PLACEMENT_3D('',#454414,#366775,#366776); #337860=AXIS2_PLACEMENT_3D('',#454415,#366777,#366778); #337861=AXIS2_PLACEMENT_3D('',#454417,#366779,#366780); #337862=AXIS2_PLACEMENT_3D('',#454420,#366782,#366783); #337863=AXIS2_PLACEMENT_3D('',#454421,#366784,#366785); #337864=AXIS2_PLACEMENT_3D('',#454423,#366786,#366787); #337865=AXIS2_PLACEMENT_3D('',#454426,#366789,#366790); #337866=AXIS2_PLACEMENT_3D('',#454427,#366791,#366792); #337867=AXIS2_PLACEMENT_3D('',#454428,#366793,#366794); #337868=AXIS2_PLACEMENT_3D('',#454429,#366795,#366796); #337869=AXIS2_PLACEMENT_3D('',#454431,#366797,#366798); #337870=AXIS2_PLACEMENT_3D('',#454434,#366800,#366801); #337871=AXIS2_PLACEMENT_3D('',#454435,#366802,#366803); #337872=AXIS2_PLACEMENT_3D('',#454437,#366804,#366805); #337873=AXIS2_PLACEMENT_3D('',#454440,#366807,#366808); #337874=AXIS2_PLACEMENT_3D('',#454441,#366809,#366810); #337875=AXIS2_PLACEMENT_3D('',#454442,#366811,#366812); #337876=AXIS2_PLACEMENT_3D('',#454443,#366813,#366814); #337877=AXIS2_PLACEMENT_3D('',#454445,#366815,#366816); #337878=AXIS2_PLACEMENT_3D('',#454448,#366818,#366819); #337879=AXIS2_PLACEMENT_3D('',#454449,#366820,#366821); #337880=AXIS2_PLACEMENT_3D('',#454451,#366822,#366823); #337881=AXIS2_PLACEMENT_3D('',#454454,#366825,#366826); #337882=AXIS2_PLACEMENT_3D('',#454455,#366827,#366828); #337883=AXIS2_PLACEMENT_3D('',#454456,#366829,#366830); #337884=AXIS2_PLACEMENT_3D('',#454457,#366831,#366832); #337885=AXIS2_PLACEMENT_3D('',#454459,#366833,#366834); #337886=AXIS2_PLACEMENT_3D('',#454462,#366836,#366837); #337887=AXIS2_PLACEMENT_3D('',#454463,#366838,#366839); #337888=AXIS2_PLACEMENT_3D('',#454465,#366840,#366841); #337889=AXIS2_PLACEMENT_3D('',#454468,#366843,#366844); #337890=AXIS2_PLACEMENT_3D('',#454469,#366845,#366846); #337891=AXIS2_PLACEMENT_3D('',#454470,#366847,#366848); #337892=AXIS2_PLACEMENT_3D('',#454471,#366849,#366850); #337893=AXIS2_PLACEMENT_3D('',#454473,#366851,#366852); #337894=AXIS2_PLACEMENT_3D('',#454476,#366854,#366855); #337895=AXIS2_PLACEMENT_3D('',#454477,#366856,#366857); #337896=AXIS2_PLACEMENT_3D('',#454479,#366858,#366859); #337897=AXIS2_PLACEMENT_3D('',#454482,#366861,#366862); #337898=AXIS2_PLACEMENT_3D('',#454483,#366863,#366864); #337899=AXIS2_PLACEMENT_3D('',#454484,#366865,#366866); #337900=AXIS2_PLACEMENT_3D('',#454485,#366867,#366868); #337901=AXIS2_PLACEMENT_3D('',#454487,#366869,#366870); #337902=AXIS2_PLACEMENT_3D('',#454490,#366872,#366873); #337903=AXIS2_PLACEMENT_3D('',#454491,#366874,#366875); #337904=AXIS2_PLACEMENT_3D('',#454493,#366876,#366877); #337905=AXIS2_PLACEMENT_3D('',#454496,#366879,#366880); #337906=AXIS2_PLACEMENT_3D('',#454497,#366881,#366882); #337907=AXIS2_PLACEMENT_3D('',#454498,#366883,#366884); #337908=AXIS2_PLACEMENT_3D('',#454499,#366885,#366886); #337909=AXIS2_PLACEMENT_3D('',#454501,#366887,#366888); #337910=AXIS2_PLACEMENT_3D('',#454504,#366890,#366891); #337911=AXIS2_PLACEMENT_3D('',#454505,#366892,#366893); #337912=AXIS2_PLACEMENT_3D('',#454507,#366894,#366895); #337913=AXIS2_PLACEMENT_3D('',#454510,#366897,#366898); #337914=AXIS2_PLACEMENT_3D('',#454511,#366899,#366900); #337915=AXIS2_PLACEMENT_3D('',#454512,#366901,#366902); #337916=AXIS2_PLACEMENT_3D('',#454513,#366903,#366904); #337917=AXIS2_PLACEMENT_3D('',#454515,#366905,#366906); #337918=AXIS2_PLACEMENT_3D('',#454518,#366908,#366909); #337919=AXIS2_PLACEMENT_3D('',#454519,#366910,#366911); #337920=AXIS2_PLACEMENT_3D('',#454521,#366912,#366913); #337921=AXIS2_PLACEMENT_3D('',#454524,#366915,#366916); #337922=AXIS2_PLACEMENT_3D('',#454525,#366917,#366918); #337923=AXIS2_PLACEMENT_3D('',#454526,#366919,#366920); #337924=AXIS2_PLACEMENT_3D('',#454527,#366921,#366922); #337925=AXIS2_PLACEMENT_3D('',#454529,#366923,#366924); #337926=AXIS2_PLACEMENT_3D('',#454532,#366926,#366927); #337927=AXIS2_PLACEMENT_3D('',#454533,#366928,#366929); #337928=AXIS2_PLACEMENT_3D('',#454535,#366930,#366931); #337929=AXIS2_PLACEMENT_3D('',#454538,#366933,#366934); #337930=AXIS2_PLACEMENT_3D('',#454539,#366935,#366936); #337931=AXIS2_PLACEMENT_3D('',#454540,#366937,#366938); #337932=AXIS2_PLACEMENT_3D('',#454541,#366939,#366940); #337933=AXIS2_PLACEMENT_3D('',#454543,#366941,#366942); #337934=AXIS2_PLACEMENT_3D('',#454546,#366944,#366945); #337935=AXIS2_PLACEMENT_3D('',#454547,#366946,#366947); #337936=AXIS2_PLACEMENT_3D('',#454549,#366948,#366949); #337937=AXIS2_PLACEMENT_3D('',#454552,#366951,#366952); #337938=AXIS2_PLACEMENT_3D('',#454553,#366953,#366954); #337939=AXIS2_PLACEMENT_3D('',#454554,#366955,#366956); #337940=AXIS2_PLACEMENT_3D('',#454555,#366957,#366958); #337941=AXIS2_PLACEMENT_3D('',#454557,#366959,#366960); #337942=AXIS2_PLACEMENT_3D('',#454560,#366962,#366963); #337943=AXIS2_PLACEMENT_3D('',#454561,#366964,#366965); #337944=AXIS2_PLACEMENT_3D('',#454563,#366966,#366967); #337945=AXIS2_PLACEMENT_3D('',#454566,#366969,#366970); #337946=AXIS2_PLACEMENT_3D('',#454567,#366971,#366972); #337947=AXIS2_PLACEMENT_3D('',#454568,#366973,#366974); #337948=AXIS2_PLACEMENT_3D('',#454569,#366975,#366976); #337949=AXIS2_PLACEMENT_3D('',#454571,#366977,#366978); #337950=AXIS2_PLACEMENT_3D('',#454574,#366980,#366981); #337951=AXIS2_PLACEMENT_3D('',#454575,#366982,#366983); #337952=AXIS2_PLACEMENT_3D('',#454577,#366984,#366985); #337953=AXIS2_PLACEMENT_3D('',#454580,#366987,#366988); #337954=AXIS2_PLACEMENT_3D('',#454581,#366989,#366990); #337955=AXIS2_PLACEMENT_3D('',#454582,#366991,#366992); #337956=AXIS2_PLACEMENT_3D('',#454583,#366993,#366994); #337957=AXIS2_PLACEMENT_3D('',#454585,#366995,#366996); #337958=AXIS2_PLACEMENT_3D('',#454588,#366998,#366999); #337959=AXIS2_PLACEMENT_3D('',#454589,#367000,#367001); #337960=AXIS2_PLACEMENT_3D('',#454591,#367002,#367003); #337961=AXIS2_PLACEMENT_3D('',#454594,#367005,#367006); #337962=AXIS2_PLACEMENT_3D('',#454595,#367007,#367008); #337963=AXIS2_PLACEMENT_3D('',#454596,#367009,#367010); #337964=AXIS2_PLACEMENT_3D('',#454597,#367011,#367012); #337965=AXIS2_PLACEMENT_3D('',#454599,#367013,#367014); #337966=AXIS2_PLACEMENT_3D('',#454602,#367016,#367017); #337967=AXIS2_PLACEMENT_3D('',#454603,#367018,#367019); #337968=AXIS2_PLACEMENT_3D('',#454605,#367020,#367021); #337969=AXIS2_PLACEMENT_3D('',#454608,#367023,#367024); #337970=AXIS2_PLACEMENT_3D('',#454609,#367025,#367026); #337971=AXIS2_PLACEMENT_3D('',#454610,#367027,#367028); #337972=AXIS2_PLACEMENT_3D('',#454611,#367029,#367030); #337973=AXIS2_PLACEMENT_3D('',#454613,#367031,#367032); #337974=AXIS2_PLACEMENT_3D('',#454616,#367034,#367035); #337975=AXIS2_PLACEMENT_3D('',#454617,#367036,#367037); #337976=AXIS2_PLACEMENT_3D('',#454619,#367038,#367039); #337977=AXIS2_PLACEMENT_3D('',#454622,#367041,#367042); #337978=AXIS2_PLACEMENT_3D('',#454623,#367043,#367044); #337979=AXIS2_PLACEMENT_3D('',#454624,#367045,#367046); #337980=AXIS2_PLACEMENT_3D('',#454625,#367047,#367048); #337981=AXIS2_PLACEMENT_3D('',#454627,#367049,#367050); #337982=AXIS2_PLACEMENT_3D('',#454630,#367052,#367053); #337983=AXIS2_PLACEMENT_3D('',#454631,#367054,#367055); #337984=AXIS2_PLACEMENT_3D('',#454633,#367056,#367057); #337985=AXIS2_PLACEMENT_3D('',#454636,#367059,#367060); #337986=AXIS2_PLACEMENT_3D('',#454637,#367061,#367062); #337987=AXIS2_PLACEMENT_3D('',#454638,#367063,#367064); #337988=AXIS2_PLACEMENT_3D('',#454639,#367065,#367066); #337989=AXIS2_PLACEMENT_3D('',#454641,#367067,#367068); #337990=AXIS2_PLACEMENT_3D('',#454644,#367070,#367071); #337991=AXIS2_PLACEMENT_3D('',#454645,#367072,#367073); #337992=AXIS2_PLACEMENT_3D('',#454647,#367074,#367075); #337993=AXIS2_PLACEMENT_3D('',#454650,#367077,#367078); #337994=AXIS2_PLACEMENT_3D('',#454651,#367079,#367080); #337995=AXIS2_PLACEMENT_3D('',#454652,#367081,#367082); #337996=AXIS2_PLACEMENT_3D('',#454653,#367083,#367084); #337997=AXIS2_PLACEMENT_3D('',#454655,#367085,#367086); #337998=AXIS2_PLACEMENT_3D('',#454658,#367088,#367089); #337999=AXIS2_PLACEMENT_3D('',#454659,#367090,#367091); #338000=AXIS2_PLACEMENT_3D('',#454661,#367092,#367093); #338001=AXIS2_PLACEMENT_3D('',#454664,#367095,#367096); #338002=AXIS2_PLACEMENT_3D('',#454665,#367097,#367098); #338003=AXIS2_PLACEMENT_3D('',#454666,#367099,#367100); #338004=AXIS2_PLACEMENT_3D('',#454667,#367101,#367102); #338005=AXIS2_PLACEMENT_3D('',#454669,#367103,#367104); #338006=AXIS2_PLACEMENT_3D('',#454672,#367106,#367107); #338007=AXIS2_PLACEMENT_3D('',#454673,#367108,#367109); #338008=AXIS2_PLACEMENT_3D('',#454675,#367110,#367111); #338009=AXIS2_PLACEMENT_3D('',#454678,#367113,#367114); #338010=AXIS2_PLACEMENT_3D('',#454679,#367115,#367116); #338011=AXIS2_PLACEMENT_3D('',#454680,#367117,#367118); #338012=AXIS2_PLACEMENT_3D('',#454681,#367119,#367120); #338013=AXIS2_PLACEMENT_3D('',#454683,#367121,#367122); #338014=AXIS2_PLACEMENT_3D('',#454686,#367124,#367125); #338015=AXIS2_PLACEMENT_3D('',#454687,#367126,#367127); #338016=AXIS2_PLACEMENT_3D('',#454689,#367128,#367129); #338017=AXIS2_PLACEMENT_3D('',#454692,#367131,#367132); #338018=AXIS2_PLACEMENT_3D('',#454693,#367133,#367134); #338019=AXIS2_PLACEMENT_3D('',#454694,#367135,#367136); #338020=AXIS2_PLACEMENT_3D('',#454695,#367137,#367138); #338021=AXIS2_PLACEMENT_3D('',#454697,#367139,#367140); #338022=AXIS2_PLACEMENT_3D('',#454700,#367142,#367143); #338023=AXIS2_PLACEMENT_3D('',#454701,#367144,#367145); #338024=AXIS2_PLACEMENT_3D('',#454703,#367146,#367147); #338025=AXIS2_PLACEMENT_3D('',#454706,#367149,#367150); #338026=AXIS2_PLACEMENT_3D('',#454707,#367151,#367152); #338027=AXIS2_PLACEMENT_3D('',#454708,#367153,#367154); #338028=AXIS2_PLACEMENT_3D('',#454709,#367155,#367156); #338029=AXIS2_PLACEMENT_3D('',#454711,#367157,#367158); #338030=AXIS2_PLACEMENT_3D('',#454714,#367160,#367161); #338031=AXIS2_PLACEMENT_3D('',#454715,#367162,#367163); #338032=AXIS2_PLACEMENT_3D('',#454717,#367164,#367165); #338033=AXIS2_PLACEMENT_3D('',#454720,#367167,#367168); #338034=AXIS2_PLACEMENT_3D('',#454721,#367169,#367170); #338035=AXIS2_PLACEMENT_3D('',#454722,#367171,#367172); #338036=AXIS2_PLACEMENT_3D('',#454723,#367173,#367174); #338037=AXIS2_PLACEMENT_3D('',#454725,#367175,#367176); #338038=AXIS2_PLACEMENT_3D('',#454728,#367178,#367179); #338039=AXIS2_PLACEMENT_3D('',#454729,#367180,#367181); #338040=AXIS2_PLACEMENT_3D('',#454731,#367182,#367183); #338041=AXIS2_PLACEMENT_3D('',#454734,#367185,#367186); #338042=AXIS2_PLACEMENT_3D('',#454735,#367187,#367188); #338043=AXIS2_PLACEMENT_3D('',#454736,#367189,#367190); #338044=AXIS2_PLACEMENT_3D('',#454737,#367191,#367192); #338045=AXIS2_PLACEMENT_3D('',#454739,#367193,#367194); #338046=AXIS2_PLACEMENT_3D('',#454742,#367196,#367197); #338047=AXIS2_PLACEMENT_3D('',#454743,#367198,#367199); #338048=AXIS2_PLACEMENT_3D('',#454745,#367200,#367201); #338049=AXIS2_PLACEMENT_3D('',#454748,#367203,#367204); #338050=AXIS2_PLACEMENT_3D('',#454749,#367205,#367206); #338051=AXIS2_PLACEMENT_3D('',#454750,#367207,#367208); #338052=AXIS2_PLACEMENT_3D('',#454751,#367209,#367210); #338053=AXIS2_PLACEMENT_3D('',#454753,#367211,#367212); #338054=AXIS2_PLACEMENT_3D('',#454756,#367214,#367215); #338055=AXIS2_PLACEMENT_3D('',#454757,#367216,#367217); #338056=AXIS2_PLACEMENT_3D('',#454759,#367218,#367219); #338057=AXIS2_PLACEMENT_3D('',#454762,#367221,#367222); #338058=AXIS2_PLACEMENT_3D('',#454763,#367223,#367224); #338059=AXIS2_PLACEMENT_3D('',#454764,#367225,#367226); #338060=AXIS2_PLACEMENT_3D('',#454765,#367227,#367228); #338061=AXIS2_PLACEMENT_3D('',#454767,#367229,#367230); #338062=AXIS2_PLACEMENT_3D('',#454770,#367232,#367233); #338063=AXIS2_PLACEMENT_3D('',#454771,#367234,#367235); #338064=AXIS2_PLACEMENT_3D('',#454773,#367236,#367237); #338065=AXIS2_PLACEMENT_3D('',#454776,#367239,#367240); #338066=AXIS2_PLACEMENT_3D('',#454777,#367241,#367242); #338067=AXIS2_PLACEMENT_3D('',#454778,#367243,#367244); #338068=AXIS2_PLACEMENT_3D('',#454779,#367245,#367246); #338069=AXIS2_PLACEMENT_3D('',#454781,#367247,#367248); #338070=AXIS2_PLACEMENT_3D('',#454784,#367250,#367251); #338071=AXIS2_PLACEMENT_3D('',#454785,#367252,#367253); #338072=AXIS2_PLACEMENT_3D('',#454787,#367254,#367255); #338073=AXIS2_PLACEMENT_3D('',#454790,#367257,#367258); #338074=AXIS2_PLACEMENT_3D('',#454791,#367259,#367260); #338075=AXIS2_PLACEMENT_3D('',#454792,#367261,#367262); #338076=AXIS2_PLACEMENT_3D('',#454793,#367263,#367264); #338077=AXIS2_PLACEMENT_3D('',#454795,#367265,#367266); #338078=AXIS2_PLACEMENT_3D('',#454798,#367268,#367269); #338079=AXIS2_PLACEMENT_3D('',#454799,#367270,#367271); #338080=AXIS2_PLACEMENT_3D('',#454801,#367272,#367273); #338081=AXIS2_PLACEMENT_3D('',#454804,#367275,#367276); #338082=AXIS2_PLACEMENT_3D('',#454805,#367277,#367278); #338083=AXIS2_PLACEMENT_3D('',#454806,#367279,#367280); #338084=AXIS2_PLACEMENT_3D('',#454807,#367281,#367282); #338085=AXIS2_PLACEMENT_3D('',#454809,#367283,#367284); #338086=AXIS2_PLACEMENT_3D('',#454812,#367286,#367287); #338087=AXIS2_PLACEMENT_3D('',#454813,#367288,#367289); #338088=AXIS2_PLACEMENT_3D('',#454815,#367290,#367291); #338089=AXIS2_PLACEMENT_3D('',#454818,#367293,#367294); #338090=AXIS2_PLACEMENT_3D('',#454819,#367295,#367296); #338091=AXIS2_PLACEMENT_3D('',#454820,#367297,#367298); #338092=AXIS2_PLACEMENT_3D('',#454821,#367299,#367300); #338093=AXIS2_PLACEMENT_3D('',#454823,#367301,#367302); #338094=AXIS2_PLACEMENT_3D('',#454826,#367304,#367305); #338095=AXIS2_PLACEMENT_3D('',#454827,#367306,#367307); #338096=AXIS2_PLACEMENT_3D('',#454829,#367308,#367309); #338097=AXIS2_PLACEMENT_3D('',#454832,#367311,#367312); #338098=AXIS2_PLACEMENT_3D('',#454833,#367313,#367314); #338099=AXIS2_PLACEMENT_3D('',#454834,#367315,#367316); #338100=AXIS2_PLACEMENT_3D('',#454835,#367317,#367318); #338101=AXIS2_PLACEMENT_3D('',#454837,#367319,#367320); #338102=AXIS2_PLACEMENT_3D('',#454840,#367322,#367323); #338103=AXIS2_PLACEMENT_3D('',#454841,#367324,#367325); #338104=AXIS2_PLACEMENT_3D('',#454843,#367326,#367327); #338105=AXIS2_PLACEMENT_3D('',#454846,#367329,#367330); #338106=AXIS2_PLACEMENT_3D('',#454847,#367331,#367332); #338107=AXIS2_PLACEMENT_3D('',#454848,#367333,#367334); #338108=AXIS2_PLACEMENT_3D('',#454849,#367335,#367336); #338109=AXIS2_PLACEMENT_3D('',#454851,#367337,#367338); #338110=AXIS2_PLACEMENT_3D('',#454854,#367340,#367341); #338111=AXIS2_PLACEMENT_3D('',#454855,#367342,#367343); #338112=AXIS2_PLACEMENT_3D('',#454857,#367344,#367345); #338113=AXIS2_PLACEMENT_3D('',#454860,#367347,#367348); #338114=AXIS2_PLACEMENT_3D('',#454861,#367349,#367350); #338115=AXIS2_PLACEMENT_3D('',#454862,#367351,#367352); #338116=AXIS2_PLACEMENT_3D('',#454863,#367353,#367354); #338117=AXIS2_PLACEMENT_3D('',#454865,#367355,#367356); #338118=AXIS2_PLACEMENT_3D('',#454868,#367358,#367359); #338119=AXIS2_PLACEMENT_3D('',#454869,#367360,#367361); #338120=AXIS2_PLACEMENT_3D('',#454871,#367362,#367363); #338121=AXIS2_PLACEMENT_3D('',#454874,#367365,#367366); #338122=AXIS2_PLACEMENT_3D('',#454875,#367367,#367368); #338123=AXIS2_PLACEMENT_3D('',#454876,#367369,#367370); #338124=AXIS2_PLACEMENT_3D('',#454877,#367371,#367372); #338125=AXIS2_PLACEMENT_3D('',#454879,#367373,#367374); #338126=AXIS2_PLACEMENT_3D('',#454882,#367376,#367377); #338127=AXIS2_PLACEMENT_3D('',#454883,#367378,#367379); #338128=AXIS2_PLACEMENT_3D('',#454885,#367380,#367381); #338129=AXIS2_PLACEMENT_3D('',#454888,#367383,#367384); #338130=AXIS2_PLACEMENT_3D('',#454889,#367385,#367386); #338131=AXIS2_PLACEMENT_3D('',#454890,#367387,#367388); #338132=AXIS2_PLACEMENT_3D('',#454891,#367389,#367390); #338133=AXIS2_PLACEMENT_3D('',#454893,#367391,#367392); #338134=AXIS2_PLACEMENT_3D('',#454896,#367394,#367395); #338135=AXIS2_PLACEMENT_3D('',#454897,#367396,#367397); #338136=AXIS2_PLACEMENT_3D('',#454899,#367398,#367399); #338137=AXIS2_PLACEMENT_3D('',#454902,#367401,#367402); #338138=AXIS2_PLACEMENT_3D('',#454903,#367403,#367404); #338139=AXIS2_PLACEMENT_3D('',#454904,#367405,#367406); #338140=AXIS2_PLACEMENT_3D('',#454905,#367407,#367408); #338141=AXIS2_PLACEMENT_3D('',#454907,#367409,#367410); #338142=AXIS2_PLACEMENT_3D('',#454910,#367412,#367413); #338143=AXIS2_PLACEMENT_3D('',#454911,#367414,#367415); #338144=AXIS2_PLACEMENT_3D('',#454913,#367416,#367417); #338145=AXIS2_PLACEMENT_3D('',#454916,#367419,#367420); #338146=AXIS2_PLACEMENT_3D('',#454917,#367421,#367422); #338147=AXIS2_PLACEMENT_3D('',#454918,#367423,#367424); #338148=AXIS2_PLACEMENT_3D('',#454919,#367425,#367426); #338149=AXIS2_PLACEMENT_3D('',#454921,#367427,#367428); #338150=AXIS2_PLACEMENT_3D('',#454924,#367430,#367431); #338151=AXIS2_PLACEMENT_3D('',#454925,#367432,#367433); #338152=AXIS2_PLACEMENT_3D('',#454927,#367434,#367435); #338153=AXIS2_PLACEMENT_3D('',#454930,#367437,#367438); #338154=AXIS2_PLACEMENT_3D('',#454931,#367439,#367440); #338155=AXIS2_PLACEMENT_3D('',#454932,#367441,#367442); #338156=AXIS2_PLACEMENT_3D('',#454933,#367443,#367444); #338157=AXIS2_PLACEMENT_3D('',#454935,#367445,#367446); #338158=AXIS2_PLACEMENT_3D('',#454938,#367448,#367449); #338159=AXIS2_PLACEMENT_3D('',#454939,#367450,#367451); #338160=AXIS2_PLACEMENT_3D('',#454941,#367452,#367453); #338161=AXIS2_PLACEMENT_3D('',#454944,#367455,#367456); #338162=AXIS2_PLACEMENT_3D('',#454945,#367457,#367458); #338163=AXIS2_PLACEMENT_3D('',#454946,#367459,#367460); #338164=AXIS2_PLACEMENT_3D('',#454947,#367461,#367462); #338165=AXIS2_PLACEMENT_3D('',#454949,#367463,#367464); #338166=AXIS2_PLACEMENT_3D('',#454952,#367466,#367467); #338167=AXIS2_PLACEMENT_3D('',#454953,#367468,#367469); #338168=AXIS2_PLACEMENT_3D('',#454955,#367470,#367471); #338169=AXIS2_PLACEMENT_3D('',#454958,#367473,#367474); #338170=AXIS2_PLACEMENT_3D('',#454959,#367475,#367476); #338171=AXIS2_PLACEMENT_3D('',#454960,#367477,#367478); #338172=AXIS2_PLACEMENT_3D('',#454961,#367479,#367480); #338173=AXIS2_PLACEMENT_3D('',#454963,#367481,#367482); #338174=AXIS2_PLACEMENT_3D('',#454966,#367484,#367485); #338175=AXIS2_PLACEMENT_3D('',#454967,#367486,#367487); #338176=AXIS2_PLACEMENT_3D('',#454969,#367488,#367489); #338177=AXIS2_PLACEMENT_3D('',#454972,#367491,#367492); #338178=AXIS2_PLACEMENT_3D('',#454973,#367493,#367494); #338179=AXIS2_PLACEMENT_3D('',#454974,#367495,#367496); #338180=AXIS2_PLACEMENT_3D('',#454975,#367497,#367498); #338181=AXIS2_PLACEMENT_3D('',#454977,#367499,#367500); #338182=AXIS2_PLACEMENT_3D('',#454980,#367502,#367503); #338183=AXIS2_PLACEMENT_3D('',#454981,#367504,#367505); #338184=AXIS2_PLACEMENT_3D('',#454983,#367506,#367507); #338185=AXIS2_PLACEMENT_3D('',#454986,#367509,#367510); #338186=AXIS2_PLACEMENT_3D('',#454987,#367511,#367512); #338187=AXIS2_PLACEMENT_3D('',#454988,#367513,#367514); #338188=AXIS2_PLACEMENT_3D('',#454989,#367515,#367516); #338189=AXIS2_PLACEMENT_3D('',#454991,#367517,#367518); #338190=AXIS2_PLACEMENT_3D('',#454994,#367520,#367521); #338191=AXIS2_PLACEMENT_3D('',#454995,#367522,#367523); #338192=AXIS2_PLACEMENT_3D('',#454997,#367524,#367525); #338193=AXIS2_PLACEMENT_3D('',#455000,#367527,#367528); #338194=AXIS2_PLACEMENT_3D('',#455001,#367529,#367530); #338195=AXIS2_PLACEMENT_3D('',#455002,#367531,#367532); #338196=AXIS2_PLACEMENT_3D('',#455003,#367533,#367534); #338197=AXIS2_PLACEMENT_3D('',#455005,#367535,#367536); #338198=AXIS2_PLACEMENT_3D('',#455008,#367538,#367539); #338199=AXIS2_PLACEMENT_3D('',#455009,#367540,#367541); #338200=AXIS2_PLACEMENT_3D('',#455011,#367542,#367543); #338201=AXIS2_PLACEMENT_3D('',#455014,#367545,#367546); #338202=AXIS2_PLACEMENT_3D('',#455015,#367547,#367548); #338203=AXIS2_PLACEMENT_3D('',#455016,#367549,#367550); #338204=AXIS2_PLACEMENT_3D('',#455017,#367551,#367552); #338205=AXIS2_PLACEMENT_3D('',#455019,#367553,#367554); #338206=AXIS2_PLACEMENT_3D('',#455022,#367556,#367557); #338207=AXIS2_PLACEMENT_3D('',#455023,#367558,#367559); #338208=AXIS2_PLACEMENT_3D('',#455025,#367560,#367561); #338209=AXIS2_PLACEMENT_3D('',#455028,#367563,#367564); #338210=AXIS2_PLACEMENT_3D('',#455029,#367565,#367566); #338211=AXIS2_PLACEMENT_3D('',#455030,#367567,#367568); #338212=AXIS2_PLACEMENT_3D('',#455031,#367569,#367570); #338213=AXIS2_PLACEMENT_3D('',#455033,#367571,#367572); #338214=AXIS2_PLACEMENT_3D('',#455036,#367574,#367575); #338215=AXIS2_PLACEMENT_3D('',#455037,#367576,#367577); #338216=AXIS2_PLACEMENT_3D('',#455039,#367578,#367579); #338217=AXIS2_PLACEMENT_3D('',#455042,#367581,#367582); #338218=AXIS2_PLACEMENT_3D('',#455043,#367583,#367584); #338219=AXIS2_PLACEMENT_3D('',#455044,#367585,#367586); #338220=AXIS2_PLACEMENT_3D('',#455045,#367587,#367588); #338221=AXIS2_PLACEMENT_3D('',#455047,#367589,#367590); #338222=AXIS2_PLACEMENT_3D('',#455050,#367592,#367593); #338223=AXIS2_PLACEMENT_3D('',#455051,#367594,#367595); #338224=AXIS2_PLACEMENT_3D('',#455053,#367596,#367597); #338225=AXIS2_PLACEMENT_3D('',#455056,#367599,#367600); #338226=AXIS2_PLACEMENT_3D('',#455057,#367601,#367602); #338227=AXIS2_PLACEMENT_3D('',#455058,#367603,#367604); #338228=AXIS2_PLACEMENT_3D('',#455059,#367605,#367606); #338229=AXIS2_PLACEMENT_3D('',#455061,#367607,#367608); #338230=AXIS2_PLACEMENT_3D('',#455064,#367610,#367611); #338231=AXIS2_PLACEMENT_3D('',#455065,#367612,#367613); #338232=AXIS2_PLACEMENT_3D('',#455067,#367614,#367615); #338233=AXIS2_PLACEMENT_3D('',#455070,#367617,#367618); #338234=AXIS2_PLACEMENT_3D('',#455071,#367619,#367620); #338235=AXIS2_PLACEMENT_3D('',#455072,#367621,#367622); #338236=AXIS2_PLACEMENT_3D('',#455073,#367623,#367624); #338237=AXIS2_PLACEMENT_3D('',#455075,#367625,#367626); #338238=AXIS2_PLACEMENT_3D('',#455078,#367628,#367629); #338239=AXIS2_PLACEMENT_3D('',#455079,#367630,#367631); #338240=AXIS2_PLACEMENT_3D('',#455081,#367632,#367633); #338241=AXIS2_PLACEMENT_3D('',#455084,#367635,#367636); #338242=AXIS2_PLACEMENT_3D('',#455085,#367637,#367638); #338243=AXIS2_PLACEMENT_3D('',#455086,#367639,#367640); #338244=AXIS2_PLACEMENT_3D('',#455087,#367641,#367642); #338245=AXIS2_PLACEMENT_3D('',#455089,#367643,#367644); #338246=AXIS2_PLACEMENT_3D('',#455092,#367646,#367647); #338247=AXIS2_PLACEMENT_3D('',#455093,#367648,#367649); #338248=AXIS2_PLACEMENT_3D('',#455095,#367650,#367651); #338249=AXIS2_PLACEMENT_3D('',#455098,#367653,#367654); #338250=AXIS2_PLACEMENT_3D('',#455099,#367655,#367656); #338251=AXIS2_PLACEMENT_3D('',#455100,#367657,#367658); #338252=AXIS2_PLACEMENT_3D('',#455101,#367659,#367660); #338253=AXIS2_PLACEMENT_3D('',#455103,#367661,#367662); #338254=AXIS2_PLACEMENT_3D('',#455106,#367664,#367665); #338255=AXIS2_PLACEMENT_3D('',#455107,#367666,#367667); #338256=AXIS2_PLACEMENT_3D('',#455109,#367668,#367669); #338257=AXIS2_PLACEMENT_3D('',#455112,#367671,#367672); #338258=AXIS2_PLACEMENT_3D('',#455113,#367673,#367674); #338259=AXIS2_PLACEMENT_3D('',#455114,#367675,#367676); #338260=AXIS2_PLACEMENT_3D('',#455115,#367677,#367678); #338261=AXIS2_PLACEMENT_3D('',#455117,#367679,#367680); #338262=AXIS2_PLACEMENT_3D('',#455120,#367682,#367683); #338263=AXIS2_PLACEMENT_3D('',#455121,#367684,#367685); #338264=AXIS2_PLACEMENT_3D('',#455123,#367686,#367687); #338265=AXIS2_PLACEMENT_3D('',#455126,#367689,#367690); #338266=AXIS2_PLACEMENT_3D('',#455127,#367691,#367692); #338267=AXIS2_PLACEMENT_3D('',#455128,#367693,#367694); #338268=AXIS2_PLACEMENT_3D('',#455129,#367695,#367696); #338269=AXIS2_PLACEMENT_3D('',#455131,#367697,#367698); #338270=AXIS2_PLACEMENT_3D('',#455134,#367700,#367701); #338271=AXIS2_PLACEMENT_3D('',#455135,#367702,#367703); #338272=AXIS2_PLACEMENT_3D('',#455137,#367704,#367705); #338273=AXIS2_PLACEMENT_3D('',#455140,#367707,#367708); #338274=AXIS2_PLACEMENT_3D('',#455141,#367709,#367710); #338275=AXIS2_PLACEMENT_3D('',#455142,#367711,#367712); #338276=AXIS2_PLACEMENT_3D('',#455143,#367713,#367714); #338277=AXIS2_PLACEMENT_3D('',#455145,#367715,#367716); #338278=AXIS2_PLACEMENT_3D('',#455148,#367718,#367719); #338279=AXIS2_PLACEMENT_3D('',#455149,#367720,#367721); #338280=AXIS2_PLACEMENT_3D('',#455151,#367722,#367723); #338281=AXIS2_PLACEMENT_3D('',#455154,#367725,#367726); #338282=AXIS2_PLACEMENT_3D('',#455155,#367727,#367728); #338283=AXIS2_PLACEMENT_3D('',#455156,#367729,#367730); #338284=AXIS2_PLACEMENT_3D('',#455157,#367731,#367732); #338285=AXIS2_PLACEMENT_3D('',#455159,#367733,#367734); #338286=AXIS2_PLACEMENT_3D('',#455162,#367736,#367737); #338287=AXIS2_PLACEMENT_3D('',#455163,#367738,#367739); #338288=AXIS2_PLACEMENT_3D('',#455165,#367740,#367741); #338289=AXIS2_PLACEMENT_3D('',#455168,#367743,#367744); #338290=AXIS2_PLACEMENT_3D('',#455169,#367745,#367746); #338291=AXIS2_PLACEMENT_3D('',#455170,#367747,#367748); #338292=AXIS2_PLACEMENT_3D('',#455171,#367749,#367750); #338293=AXIS2_PLACEMENT_3D('',#455173,#367751,#367752); #338294=AXIS2_PLACEMENT_3D('',#455176,#367754,#367755); #338295=AXIS2_PLACEMENT_3D('',#455177,#367756,#367757); #338296=AXIS2_PLACEMENT_3D('',#455179,#367758,#367759); #338297=AXIS2_PLACEMENT_3D('',#455182,#367761,#367762); #338298=AXIS2_PLACEMENT_3D('',#455183,#367763,#367764); #338299=AXIS2_PLACEMENT_3D('',#455184,#367765,#367766); #338300=AXIS2_PLACEMENT_3D('',#455185,#367767,#367768); #338301=AXIS2_PLACEMENT_3D('',#455187,#367769,#367770); #338302=AXIS2_PLACEMENT_3D('',#455190,#367772,#367773); #338303=AXIS2_PLACEMENT_3D('',#455191,#367774,#367775); #338304=AXIS2_PLACEMENT_3D('',#455193,#367776,#367777); #338305=AXIS2_PLACEMENT_3D('',#455196,#367779,#367780); #338306=AXIS2_PLACEMENT_3D('',#455197,#367781,#367782); #338307=AXIS2_PLACEMENT_3D('',#455198,#367783,#367784); #338308=AXIS2_PLACEMENT_3D('',#455199,#367785,#367786); #338309=AXIS2_PLACEMENT_3D('',#455201,#367787,#367788); #338310=AXIS2_PLACEMENT_3D('',#455204,#367790,#367791); #338311=AXIS2_PLACEMENT_3D('',#455205,#367792,#367793); #338312=AXIS2_PLACEMENT_3D('',#455207,#367794,#367795); #338313=AXIS2_PLACEMENT_3D('',#455210,#367797,#367798); #338314=AXIS2_PLACEMENT_3D('',#455211,#367799,#367800); #338315=AXIS2_PLACEMENT_3D('',#455212,#367801,#367802); #338316=AXIS2_PLACEMENT_3D('',#455213,#367803,#367804); #338317=AXIS2_PLACEMENT_3D('',#455215,#367805,#367806); #338318=AXIS2_PLACEMENT_3D('',#455218,#367808,#367809); #338319=AXIS2_PLACEMENT_3D('',#455219,#367810,#367811); #338320=AXIS2_PLACEMENT_3D('',#455221,#367812,#367813); #338321=AXIS2_PLACEMENT_3D('',#455224,#367815,#367816); #338322=AXIS2_PLACEMENT_3D('',#455225,#367817,#367818); #338323=AXIS2_PLACEMENT_3D('',#455226,#367819,#367820); #338324=AXIS2_PLACEMENT_3D('',#455227,#367821,#367822); #338325=AXIS2_PLACEMENT_3D('',#455229,#367823,#367824); #338326=AXIS2_PLACEMENT_3D('',#455232,#367826,#367827); #338327=AXIS2_PLACEMENT_3D('',#455233,#367828,#367829); #338328=AXIS2_PLACEMENT_3D('',#455235,#367830,#367831); #338329=AXIS2_PLACEMENT_3D('',#455238,#367833,#367834); #338330=AXIS2_PLACEMENT_3D('',#455239,#367835,#367836); #338331=AXIS2_PLACEMENT_3D('',#455240,#367837,#367838); #338332=AXIS2_PLACEMENT_3D('',#455241,#367839,#367840); #338333=AXIS2_PLACEMENT_3D('',#455243,#367841,#367842); #338334=AXIS2_PLACEMENT_3D('',#455246,#367844,#367845); #338335=AXIS2_PLACEMENT_3D('',#455247,#367846,#367847); #338336=AXIS2_PLACEMENT_3D('',#455249,#367848,#367849); #338337=AXIS2_PLACEMENT_3D('',#455252,#367851,#367852); #338338=AXIS2_PLACEMENT_3D('',#455253,#367853,#367854); #338339=AXIS2_PLACEMENT_3D('',#455254,#367855,#367856); #338340=AXIS2_PLACEMENT_3D('',#455255,#367857,#367858); #338341=AXIS2_PLACEMENT_3D('',#455257,#367859,#367860); #338342=AXIS2_PLACEMENT_3D('',#455260,#367862,#367863); #338343=AXIS2_PLACEMENT_3D('',#455261,#367864,#367865); #338344=AXIS2_PLACEMENT_3D('',#455263,#367866,#367867); #338345=AXIS2_PLACEMENT_3D('',#455266,#367869,#367870); #338346=AXIS2_PLACEMENT_3D('',#455267,#367871,#367872); #338347=AXIS2_PLACEMENT_3D('',#455268,#367873,#367874); #338348=AXIS2_PLACEMENT_3D('',#455269,#367875,#367876); #338349=AXIS2_PLACEMENT_3D('',#455271,#367877,#367878); #338350=AXIS2_PLACEMENT_3D('',#455274,#367880,#367881); #338351=AXIS2_PLACEMENT_3D('',#455275,#367882,#367883); #338352=AXIS2_PLACEMENT_3D('',#455277,#367884,#367885); #338353=AXIS2_PLACEMENT_3D('',#455280,#367887,#367888); #338354=AXIS2_PLACEMENT_3D('',#455281,#367889,#367890); #338355=AXIS2_PLACEMENT_3D('',#455282,#367891,#367892); #338356=AXIS2_PLACEMENT_3D('',#455283,#367893,#367894); #338357=AXIS2_PLACEMENT_3D('',#455285,#367895,#367896); #338358=AXIS2_PLACEMENT_3D('',#455288,#367898,#367899); #338359=AXIS2_PLACEMENT_3D('',#455289,#367900,#367901); #338360=AXIS2_PLACEMENT_3D('',#455291,#367902,#367903); #338361=AXIS2_PLACEMENT_3D('',#455294,#367905,#367906); #338362=AXIS2_PLACEMENT_3D('',#455295,#367907,#367908); #338363=AXIS2_PLACEMENT_3D('',#455296,#367909,#367910); #338364=AXIS2_PLACEMENT_3D('',#455297,#367911,#367912); #338365=AXIS2_PLACEMENT_3D('',#455299,#367913,#367914); #338366=AXIS2_PLACEMENT_3D('',#455302,#367916,#367917); #338367=AXIS2_PLACEMENT_3D('',#455303,#367918,#367919); #338368=AXIS2_PLACEMENT_3D('',#455305,#367920,#367921); #338369=AXIS2_PLACEMENT_3D('',#455308,#367923,#367924); #338370=AXIS2_PLACEMENT_3D('',#455309,#367925,#367926); #338371=AXIS2_PLACEMENT_3D('',#455310,#367927,#367928); #338372=AXIS2_PLACEMENT_3D('',#455311,#367929,#367930); #338373=AXIS2_PLACEMENT_3D('',#455313,#367931,#367932); #338374=AXIS2_PLACEMENT_3D('',#455316,#367934,#367935); #338375=AXIS2_PLACEMENT_3D('',#455317,#367936,#367937); #338376=AXIS2_PLACEMENT_3D('',#455319,#367938,#367939); #338377=AXIS2_PLACEMENT_3D('',#455322,#367941,#367942); #338378=AXIS2_PLACEMENT_3D('',#455323,#367943,#367944); #338379=AXIS2_PLACEMENT_3D('',#455324,#367945,#367946); #338380=AXIS2_PLACEMENT_3D('',#455325,#367947,#367948); #338381=AXIS2_PLACEMENT_3D('',#455334,#367953,#367954); #338382=AXIS2_PLACEMENT_3D('',#455336,#367955,#367956); #338383=AXIS2_PLACEMENT_3D('',#455338,#367957,#367958); #338384=AXIS2_PLACEMENT_3D('',#455340,#367960,#367961); #338385=AXIS2_PLACEMENT_3D('',#455346,#367965,#367966); #338386=AXIS2_PLACEMENT_3D('',#455347,#367967,#367968); #338387=AXIS2_PLACEMENT_3D('',#455348,#367969,#367970); #338388=AXIS2_PLACEMENT_3D('',#455349,#367971,#367972); #338389=AXIS2_PLACEMENT_3D('',#455358,#367977,#367978); #338390=AXIS2_PLACEMENT_3D('',#455360,#367979,#367980); #338391=AXIS2_PLACEMENT_3D('',#455362,#367981,#367982); #338392=AXIS2_PLACEMENT_3D('',#455364,#367984,#367985); #338393=AXIS2_PLACEMENT_3D('',#455370,#367989,#367990); #338394=AXIS2_PLACEMENT_3D('',#455371,#367991,#367992); #338395=AXIS2_PLACEMENT_3D('',#455372,#367993,#367994); #338396=AXIS2_PLACEMENT_3D('',#455373,#367995,#367996); #338397=AXIS2_PLACEMENT_3D('',#455374,#367997,#367998); #338398=AXIS2_PLACEMENT_3D('',#455375,#367999,#368000); #338399=AXIS2_PLACEMENT_3D('',#455377,#368001,#368002); #338400=AXIS2_PLACEMENT_3D('',#455380,#368004,#368005); #338401=AXIS2_PLACEMENT_3D('',#455381,#368006,#368007); #338402=AXIS2_PLACEMENT_3D('',#455383,#368008,#368009); #338403=AXIS2_PLACEMENT_3D('',#455386,#368011,#368012); #338404=AXIS2_PLACEMENT_3D('',#455387,#368013,#368014); #338405=AXIS2_PLACEMENT_3D('',#455388,#368015,#368016); #338406=AXIS2_PLACEMENT_3D('',#455389,#368017,#368018); #338407=AXIS2_PLACEMENT_3D('',#455398,#368023,#368024); #338408=AXIS2_PLACEMENT_3D('',#455400,#368025,#368026); #338409=AXIS2_PLACEMENT_3D('',#455402,#368027,#368028); #338410=AXIS2_PLACEMENT_3D('',#455404,#368030,#368031); #338411=AXIS2_PLACEMENT_3D('',#455410,#368035,#368036); #338412=AXIS2_PLACEMENT_3D('',#455411,#368037,#368038); #338413=AXIS2_PLACEMENT_3D('',#455412,#368039,#368040); #338414=AXIS2_PLACEMENT_3D('',#455413,#368041,#368042); #338415=AXIS2_PLACEMENT_3D('',#455422,#368047,#368048); #338416=AXIS2_PLACEMENT_3D('',#455424,#368049,#368050); #338417=AXIS2_PLACEMENT_3D('',#455426,#368051,#368052); #338418=AXIS2_PLACEMENT_3D('',#455428,#368054,#368055); #338419=AXIS2_PLACEMENT_3D('',#455434,#368059,#368060); #338420=AXIS2_PLACEMENT_3D('',#455435,#368061,#368062); #338421=AXIS2_PLACEMENT_3D('',#455436,#368063,#368064); #338422=AXIS2_PLACEMENT_3D('',#455437,#368065,#368066); #338423=AXIS2_PLACEMENT_3D('',#455438,#368067,#368068); #338424=AXIS2_PLACEMENT_3D('',#455439,#368069,#368070); #338425=AXIS2_PLACEMENT_3D('',#455441,#368071,#368072); #338426=AXIS2_PLACEMENT_3D('',#455444,#368074,#368075); #338427=AXIS2_PLACEMENT_3D('',#455445,#368076,#368077); #338428=AXIS2_PLACEMENT_3D('',#455447,#368078,#368079); #338429=AXIS2_PLACEMENT_3D('',#455450,#368081,#368082); #338430=AXIS2_PLACEMENT_3D('',#455451,#368083,#368084); #338431=AXIS2_PLACEMENT_3D('',#455452,#368085,#368086); #338432=AXIS2_PLACEMENT_3D('',#455453,#368087,#368088); #338433=AXIS2_PLACEMENT_3D('',#455462,#368093,#368094); #338434=AXIS2_PLACEMENT_3D('',#455464,#368095,#368096); #338435=AXIS2_PLACEMENT_3D('',#455466,#368097,#368098); #338436=AXIS2_PLACEMENT_3D('',#455468,#368100,#368101); #338437=AXIS2_PLACEMENT_3D('',#455474,#368105,#368106); #338438=AXIS2_PLACEMENT_3D('',#455475,#368107,#368108); #338439=AXIS2_PLACEMENT_3D('',#455476,#368109,#368110); #338440=AXIS2_PLACEMENT_3D('',#455477,#368111,#368112); #338441=AXIS2_PLACEMENT_3D('',#455486,#368117,#368118); #338442=AXIS2_PLACEMENT_3D('',#455488,#368119,#368120); #338443=AXIS2_PLACEMENT_3D('',#455490,#368121,#368122); #338444=AXIS2_PLACEMENT_3D('',#455492,#368124,#368125); #338445=AXIS2_PLACEMENT_3D('',#455498,#368129,#368130); #338446=AXIS2_PLACEMENT_3D('',#455499,#368131,#368132); #338447=AXIS2_PLACEMENT_3D('',#455500,#368133,#368134); #338448=AXIS2_PLACEMENT_3D('',#455501,#368135,#368136); #338449=AXIS2_PLACEMENT_3D('',#455502,#368137,#368138); #338450=AXIS2_PLACEMENT_3D('',#455503,#368139,#368140); #338451=AXIS2_PLACEMENT_3D('',#455505,#368141,#368142); #338452=AXIS2_PLACEMENT_3D('',#455508,#368144,#368145); #338453=AXIS2_PLACEMENT_3D('',#455509,#368146,#368147); #338454=AXIS2_PLACEMENT_3D('',#455511,#368148,#368149); #338455=AXIS2_PLACEMENT_3D('',#455514,#368151,#368152); #338456=AXIS2_PLACEMENT_3D('',#455515,#368153,#368154); #338457=AXIS2_PLACEMENT_3D('',#455516,#368155,#368156); #338458=AXIS2_PLACEMENT_3D('',#455517,#368157,#368158); #338459=AXIS2_PLACEMENT_3D('',#455526,#368163,#368164); #338460=AXIS2_PLACEMENT_3D('',#455528,#368165,#368166); #338461=AXIS2_PLACEMENT_3D('',#455530,#368167,#368168); #338462=AXIS2_PLACEMENT_3D('',#455532,#368170,#368171); #338463=AXIS2_PLACEMENT_3D('',#455538,#368175,#368176); #338464=AXIS2_PLACEMENT_3D('',#455539,#368177,#368178); #338465=AXIS2_PLACEMENT_3D('',#455540,#368179,#368180); #338466=AXIS2_PLACEMENT_3D('',#455541,#368181,#368182); #338467=AXIS2_PLACEMENT_3D('',#455550,#368187,#368188); #338468=AXIS2_PLACEMENT_3D('',#455552,#368189,#368190); #338469=AXIS2_PLACEMENT_3D('',#455554,#368191,#368192); #338470=AXIS2_PLACEMENT_3D('',#455556,#368194,#368195); #338471=AXIS2_PLACEMENT_3D('',#455562,#368199,#368200); #338472=AXIS2_PLACEMENT_3D('',#455563,#368201,#368202); #338473=AXIS2_PLACEMENT_3D('',#455564,#368203,#368204); #338474=AXIS2_PLACEMENT_3D('',#455565,#368205,#368206); #338475=AXIS2_PLACEMENT_3D('',#455566,#368207,#368208); #338476=AXIS2_PLACEMENT_3D('',#455567,#368209,#368210); #338477=AXIS2_PLACEMENT_3D('',#455569,#368211,#368212); #338478=AXIS2_PLACEMENT_3D('',#455572,#368214,#368215); #338479=AXIS2_PLACEMENT_3D('',#455573,#368216,#368217); #338480=AXIS2_PLACEMENT_3D('',#455575,#368218,#368219); #338481=AXIS2_PLACEMENT_3D('',#455578,#368221,#368222); #338482=AXIS2_PLACEMENT_3D('',#455579,#368223,#368224); #338483=AXIS2_PLACEMENT_3D('',#455580,#368225,#368226); #338484=AXIS2_PLACEMENT_3D('',#455581,#368227,#368228); #338485=AXIS2_PLACEMENT_3D('',#455583,#368229,#368230); #338486=AXIS2_PLACEMENT_3D('',#455586,#368232,#368233); #338487=AXIS2_PLACEMENT_3D('',#455587,#368234,#368235); #338488=AXIS2_PLACEMENT_3D('',#455589,#368236,#368237); #338489=AXIS2_PLACEMENT_3D('',#455592,#368239,#368240); #338490=AXIS2_PLACEMENT_3D('',#455593,#368241,#368242); #338491=AXIS2_PLACEMENT_3D('',#455594,#368243,#368244); #338492=AXIS2_PLACEMENT_3D('',#455595,#368245,#368246); #338493=AXIS2_PLACEMENT_3D('',#455597,#368247,#368248); #338494=AXIS2_PLACEMENT_3D('',#455600,#368250,#368251); #338495=AXIS2_PLACEMENT_3D('',#455601,#368252,#368253); #338496=AXIS2_PLACEMENT_3D('',#455603,#368254,#368255); #338497=AXIS2_PLACEMENT_3D('',#455606,#368257,#368258); #338498=AXIS2_PLACEMENT_3D('',#455607,#368259,#368260); #338499=AXIS2_PLACEMENT_3D('',#455608,#368261,#368262); #338500=AXIS2_PLACEMENT_3D('',#455609,#368263,#368264); #338501=AXIS2_PLACEMENT_3D('',#455611,#368265,#368266); #338502=AXIS2_PLACEMENT_3D('',#455614,#368268,#368269); #338503=AXIS2_PLACEMENT_3D('',#455615,#368270,#368271); #338504=AXIS2_PLACEMENT_3D('',#455617,#368272,#368273); #338505=AXIS2_PLACEMENT_3D('',#455620,#368275,#368276); #338506=AXIS2_PLACEMENT_3D('',#455621,#368277,#368278); #338507=AXIS2_PLACEMENT_3D('',#455622,#368279,#368280); #338508=AXIS2_PLACEMENT_3D('',#455623,#368281,#368282); #338509=AXIS2_PLACEMENT_3D('',#455625,#368283,#368284); #338510=AXIS2_PLACEMENT_3D('',#455628,#368286,#368287); #338511=AXIS2_PLACEMENT_3D('',#455629,#368288,#368289); #338512=AXIS2_PLACEMENT_3D('',#455631,#368290,#368291); #338513=AXIS2_PLACEMENT_3D('',#455634,#368293,#368294); #338514=AXIS2_PLACEMENT_3D('',#455635,#368295,#368296); #338515=AXIS2_PLACEMENT_3D('',#455636,#368297,#368298); #338516=AXIS2_PLACEMENT_3D('',#455637,#368299,#368300); #338517=AXIS2_PLACEMENT_3D('',#455639,#368301,#368302); #338518=AXIS2_PLACEMENT_3D('',#455642,#368304,#368305); #338519=AXIS2_PLACEMENT_3D('',#455643,#368306,#368307); #338520=AXIS2_PLACEMENT_3D('',#455645,#368308,#368309); #338521=AXIS2_PLACEMENT_3D('',#455648,#368311,#368312); #338522=AXIS2_PLACEMENT_3D('',#455649,#368313,#368314); #338523=AXIS2_PLACEMENT_3D('',#455650,#368315,#368316); #338524=AXIS2_PLACEMENT_3D('',#455651,#368317,#368318); #338525=AXIS2_PLACEMENT_3D('',#455653,#368319,#368320); #338526=AXIS2_PLACEMENT_3D('',#455656,#368322,#368323); #338527=AXIS2_PLACEMENT_3D('',#455657,#368324,#368325); #338528=AXIS2_PLACEMENT_3D('',#455659,#368326,#368327); #338529=AXIS2_PLACEMENT_3D('',#455662,#368329,#368330); #338530=AXIS2_PLACEMENT_3D('',#455663,#368331,#368332); #338531=AXIS2_PLACEMENT_3D('',#455664,#368333,#368334); #338532=AXIS2_PLACEMENT_3D('',#455665,#368335,#368336); #338533=AXIS2_PLACEMENT_3D('',#455667,#368337,#368338); #338534=AXIS2_PLACEMENT_3D('',#455670,#368340,#368341); #338535=AXIS2_PLACEMENT_3D('',#455671,#368342,#368343); #338536=AXIS2_PLACEMENT_3D('',#455673,#368344,#368345); #338537=AXIS2_PLACEMENT_3D('',#455676,#368347,#368348); #338538=AXIS2_PLACEMENT_3D('',#455677,#368349,#368350); #338539=AXIS2_PLACEMENT_3D('',#455678,#368351,#368352); #338540=AXIS2_PLACEMENT_3D('',#455679,#368353,#368354); #338541=AXIS2_PLACEMENT_3D('',#455681,#368355,#368356); #338542=AXIS2_PLACEMENT_3D('',#455684,#368358,#368359); #338543=AXIS2_PLACEMENT_3D('',#455685,#368360,#368361); #338544=AXIS2_PLACEMENT_3D('',#455687,#368362,#368363); #338545=AXIS2_PLACEMENT_3D('',#455690,#368365,#368366); #338546=AXIS2_PLACEMENT_3D('',#455691,#368367,#368368); #338547=AXIS2_PLACEMENT_3D('',#455692,#368369,#368370); #338548=AXIS2_PLACEMENT_3D('',#455693,#368371,#368372); #338549=AXIS2_PLACEMENT_3D('',#455695,#368373,#368374); #338550=AXIS2_PLACEMENT_3D('',#455698,#368376,#368377); #338551=AXIS2_PLACEMENT_3D('',#455699,#368378,#368379); #338552=AXIS2_PLACEMENT_3D('',#455701,#368380,#368381); #338553=AXIS2_PLACEMENT_3D('',#455704,#368383,#368384); #338554=AXIS2_PLACEMENT_3D('',#455705,#368385,#368386); #338555=AXIS2_PLACEMENT_3D('',#455706,#368387,#368388); #338556=AXIS2_PLACEMENT_3D('',#455707,#368389,#368390); #338557=AXIS2_PLACEMENT_3D('',#455709,#368391,#368392); #338558=AXIS2_PLACEMENT_3D('',#455712,#368394,#368395); #338559=AXIS2_PLACEMENT_3D('',#455713,#368396,#368397); #338560=AXIS2_PLACEMENT_3D('',#455715,#368398,#368399); #338561=AXIS2_PLACEMENT_3D('',#455718,#368401,#368402); #338562=AXIS2_PLACEMENT_3D('',#455719,#368403,#368404); #338563=AXIS2_PLACEMENT_3D('',#455720,#368405,#368406); #338564=AXIS2_PLACEMENT_3D('',#455721,#368407,#368408); #338565=AXIS2_PLACEMENT_3D('',#455730,#368413,#368414); #338566=AXIS2_PLACEMENT_3D('',#455732,#368415,#368416); #338567=AXIS2_PLACEMENT_3D('',#455734,#368417,#368418); #338568=AXIS2_PLACEMENT_3D('',#455736,#368420,#368421); #338569=AXIS2_PLACEMENT_3D('',#455742,#368425,#368426); #338570=AXIS2_PLACEMENT_3D('',#455743,#368427,#368428); #338571=AXIS2_PLACEMENT_3D('',#455744,#368429,#368430); #338572=AXIS2_PLACEMENT_3D('',#455745,#368431,#368432); #338573=AXIS2_PLACEMENT_3D('',#455754,#368437,#368438); #338574=AXIS2_PLACEMENT_3D('',#455756,#368439,#368440); #338575=AXIS2_PLACEMENT_3D('',#455758,#368441,#368442); #338576=AXIS2_PLACEMENT_3D('',#455760,#368444,#368445); #338577=AXIS2_PLACEMENT_3D('',#455766,#368449,#368450); #338578=AXIS2_PLACEMENT_3D('',#455767,#368451,#368452); #338579=AXIS2_PLACEMENT_3D('',#455768,#368453,#368454); #338580=AXIS2_PLACEMENT_3D('',#455769,#368455,#368456); #338581=AXIS2_PLACEMENT_3D('',#455770,#368457,#368458); #338582=AXIS2_PLACEMENT_3D('',#455771,#368459,#368460); #338583=AXIS2_PLACEMENT_3D('',#455773,#368461,#368462); #338584=AXIS2_PLACEMENT_3D('',#455776,#368464,#368465); #338585=AXIS2_PLACEMENT_3D('',#455777,#368466,#368467); #338586=AXIS2_PLACEMENT_3D('',#455779,#368468,#368469); #338587=AXIS2_PLACEMENT_3D('',#455782,#368471,#368472); #338588=AXIS2_PLACEMENT_3D('',#455783,#368473,#368474); #338589=AXIS2_PLACEMENT_3D('',#455784,#368475,#368476); #338590=AXIS2_PLACEMENT_3D('',#455785,#368477,#368478); #338591=AXIS2_PLACEMENT_3D('',#455794,#368483,#368484); #338592=AXIS2_PLACEMENT_3D('',#455796,#368485,#368486); #338593=AXIS2_PLACEMENT_3D('',#455798,#368487,#368488); #338594=AXIS2_PLACEMENT_3D('',#455800,#368490,#368491); #338595=AXIS2_PLACEMENT_3D('',#455806,#368495,#368496); #338596=AXIS2_PLACEMENT_3D('',#455807,#368497,#368498); #338597=AXIS2_PLACEMENT_3D('',#455808,#368499,#368500); #338598=AXIS2_PLACEMENT_3D('',#455809,#368501,#368502); #338599=AXIS2_PLACEMENT_3D('',#455818,#368507,#368508); #338600=AXIS2_PLACEMENT_3D('',#455820,#368509,#368510); #338601=AXIS2_PLACEMENT_3D('',#455822,#368511,#368512); #338602=AXIS2_PLACEMENT_3D('',#455824,#368514,#368515); #338603=AXIS2_PLACEMENT_3D('',#455830,#368519,#368520); #338604=AXIS2_PLACEMENT_3D('',#455831,#368521,#368522); #338605=AXIS2_PLACEMENT_3D('',#455832,#368523,#368524); #338606=AXIS2_PLACEMENT_3D('',#455833,#368525,#368526); #338607=AXIS2_PLACEMENT_3D('',#455834,#368527,#368528); #338608=AXIS2_PLACEMENT_3D('',#455835,#368529,#368530); #338609=AXIS2_PLACEMENT_3D('',#455837,#368531,#368532); #338610=AXIS2_PLACEMENT_3D('',#455840,#368534,#368535); #338611=AXIS2_PLACEMENT_3D('',#455841,#368536,#368537); #338612=AXIS2_PLACEMENT_3D('',#455843,#368538,#368539); #338613=AXIS2_PLACEMENT_3D('',#455846,#368541,#368542); #338614=AXIS2_PLACEMENT_3D('',#455847,#368543,#368544); #338615=AXIS2_PLACEMENT_3D('',#455848,#368545,#368546); #338616=AXIS2_PLACEMENT_3D('',#455849,#368547,#368548); #338617=AXIS2_PLACEMENT_3D('',#455851,#368549,#368550); #338618=AXIS2_PLACEMENT_3D('',#455854,#368552,#368553); #338619=AXIS2_PLACEMENT_3D('',#455855,#368554,#368555); #338620=AXIS2_PLACEMENT_3D('',#455857,#368556,#368557); #338621=AXIS2_PLACEMENT_3D('',#455860,#368559,#368560); #338622=AXIS2_PLACEMENT_3D('',#455861,#368561,#368562); #338623=AXIS2_PLACEMENT_3D('',#455862,#368563,#368564); #338624=AXIS2_PLACEMENT_3D('',#455863,#368565,#368566); #338625=AXIS2_PLACEMENT_3D('',#455865,#368567,#368568); #338626=AXIS2_PLACEMENT_3D('',#455868,#368570,#368571); #338627=AXIS2_PLACEMENT_3D('',#455869,#368572,#368573); #338628=AXIS2_PLACEMENT_3D('',#455871,#368574,#368575); #338629=AXIS2_PLACEMENT_3D('',#455874,#368577,#368578); #338630=AXIS2_PLACEMENT_3D('',#455875,#368579,#368580); #338631=AXIS2_PLACEMENT_3D('',#455876,#368581,#368582); #338632=AXIS2_PLACEMENT_3D('',#455877,#368583,#368584); #338633=AXIS2_PLACEMENT_3D('',#455879,#368585,#368586); #338634=AXIS2_PLACEMENT_3D('',#455882,#368588,#368589); #338635=AXIS2_PLACEMENT_3D('',#455883,#368590,#368591); #338636=AXIS2_PLACEMENT_3D('',#455885,#368592,#368593); #338637=AXIS2_PLACEMENT_3D('',#455888,#368595,#368596); #338638=AXIS2_PLACEMENT_3D('',#455889,#368597,#368598); #338639=AXIS2_PLACEMENT_3D('',#455890,#368599,#368600); #338640=AXIS2_PLACEMENT_3D('',#455891,#368601,#368602); #338641=AXIS2_PLACEMENT_3D('',#455893,#368603,#368604); #338642=AXIS2_PLACEMENT_3D('',#455896,#368606,#368607); #338643=AXIS2_PLACEMENT_3D('',#455897,#368608,#368609); #338644=AXIS2_PLACEMENT_3D('',#455899,#368610,#368611); #338645=AXIS2_PLACEMENT_3D('',#455902,#368613,#368614); #338646=AXIS2_PLACEMENT_3D('',#455903,#368615,#368616); #338647=AXIS2_PLACEMENT_3D('',#455904,#368617,#368618); #338648=AXIS2_PLACEMENT_3D('',#455905,#368619,#368620); #338649=AXIS2_PLACEMENT_3D('',#455907,#368621,#368622); #338650=AXIS2_PLACEMENT_3D('',#455910,#368624,#368625); #338651=AXIS2_PLACEMENT_3D('',#455911,#368626,#368627); #338652=AXIS2_PLACEMENT_3D('',#455913,#368628,#368629); #338653=AXIS2_PLACEMENT_3D('',#455916,#368631,#368632); #338654=AXIS2_PLACEMENT_3D('',#455917,#368633,#368634); #338655=AXIS2_PLACEMENT_3D('',#455918,#368635,#368636); #338656=AXIS2_PLACEMENT_3D('',#455919,#368637,#368638); #338657=AXIS2_PLACEMENT_3D('',#455921,#368639,#368640); #338658=AXIS2_PLACEMENT_3D('',#455924,#368642,#368643); #338659=AXIS2_PLACEMENT_3D('',#455925,#368644,#368645); #338660=AXIS2_PLACEMENT_3D('',#455927,#368646,#368647); #338661=AXIS2_PLACEMENT_3D('',#455930,#368649,#368650); #338662=AXIS2_PLACEMENT_3D('',#455931,#368651,#368652); #338663=AXIS2_PLACEMENT_3D('',#455932,#368653,#368654); #338664=AXIS2_PLACEMENT_3D('',#455933,#368655,#368656); #338665=AXIS2_PLACEMENT_3D('',#455935,#368657,#368658); #338666=AXIS2_PLACEMENT_3D('',#455938,#368660,#368661); #338667=AXIS2_PLACEMENT_3D('',#455939,#368662,#368663); #338668=AXIS2_PLACEMENT_3D('',#455941,#368664,#368665); #338669=AXIS2_PLACEMENT_3D('',#455944,#368667,#368668); #338670=AXIS2_PLACEMENT_3D('',#455945,#368669,#368670); #338671=AXIS2_PLACEMENT_3D('',#455946,#368671,#368672); #338672=AXIS2_PLACEMENT_3D('',#455947,#368673,#368674); #338673=AXIS2_PLACEMENT_3D('',#455949,#368675,#368676); #338674=AXIS2_PLACEMENT_3D('',#455952,#368678,#368679); #338675=AXIS2_PLACEMENT_3D('',#455953,#368680,#368681); #338676=AXIS2_PLACEMENT_3D('',#455955,#368682,#368683); #338677=AXIS2_PLACEMENT_3D('',#455958,#368685,#368686); #338678=AXIS2_PLACEMENT_3D('',#455959,#368687,#368688); #338679=AXIS2_PLACEMENT_3D('',#455960,#368689,#368690); #338680=AXIS2_PLACEMENT_3D('',#455961,#368691,#368692); #338681=AXIS2_PLACEMENT_3D('',#455963,#368693,#368694); #338682=AXIS2_PLACEMENT_3D('',#455966,#368696,#368697); #338683=AXIS2_PLACEMENT_3D('',#455967,#368698,#368699); #338684=AXIS2_PLACEMENT_3D('',#455969,#368700,#368701); #338685=AXIS2_PLACEMENT_3D('',#455972,#368703,#368704); #338686=AXIS2_PLACEMENT_3D('',#455973,#368705,#368706); #338687=AXIS2_PLACEMENT_3D('',#455974,#368707,#368708); #338688=AXIS2_PLACEMENT_3D('',#455975,#368709,#368710); #338689=AXIS2_PLACEMENT_3D('',#455977,#368711,#368712); #338690=AXIS2_PLACEMENT_3D('',#455980,#368714,#368715); #338691=AXIS2_PLACEMENT_3D('',#455981,#368716,#368717); #338692=AXIS2_PLACEMENT_3D('',#455983,#368718,#368719); #338693=AXIS2_PLACEMENT_3D('',#455986,#368721,#368722); #338694=AXIS2_PLACEMENT_3D('',#455987,#368723,#368724); #338695=AXIS2_PLACEMENT_3D('',#455988,#368725,#368726); #338696=AXIS2_PLACEMENT_3D('',#455989,#368727,#368728); #338697=AXIS2_PLACEMENT_3D('',#455991,#368729,#368730); #338698=AXIS2_PLACEMENT_3D('',#455994,#368732,#368733); #338699=AXIS2_PLACEMENT_3D('',#455995,#368734,#368735); #338700=AXIS2_PLACEMENT_3D('',#455997,#368736,#368737); #338701=AXIS2_PLACEMENT_3D('',#456000,#368739,#368740); #338702=AXIS2_PLACEMENT_3D('',#456001,#368741,#368742); #338703=AXIS2_PLACEMENT_3D('',#456002,#368743,#368744); #338704=AXIS2_PLACEMENT_3D('',#456003,#368745,#368746); #338705=AXIS2_PLACEMENT_3D('',#456005,#368747,#368748); #338706=AXIS2_PLACEMENT_3D('',#456008,#368750,#368751); #338707=AXIS2_PLACEMENT_3D('',#456009,#368752,#368753); #338708=AXIS2_PLACEMENT_3D('',#456011,#368754,#368755); #338709=AXIS2_PLACEMENT_3D('',#456014,#368757,#368758); #338710=AXIS2_PLACEMENT_3D('',#456015,#368759,#368760); #338711=AXIS2_PLACEMENT_3D('',#456016,#368761,#368762); #338712=AXIS2_PLACEMENT_3D('',#456017,#368763,#368764); #338713=AXIS2_PLACEMENT_3D('',#456019,#368765,#368766); #338714=AXIS2_PLACEMENT_3D('',#456022,#368768,#368769); #338715=AXIS2_PLACEMENT_3D('',#456023,#368770,#368771); #338716=AXIS2_PLACEMENT_3D('',#456025,#368772,#368773); #338717=AXIS2_PLACEMENT_3D('',#456028,#368775,#368776); #338718=AXIS2_PLACEMENT_3D('',#456029,#368777,#368778); #338719=AXIS2_PLACEMENT_3D('',#456030,#368779,#368780); #338720=AXIS2_PLACEMENT_3D('',#456031,#368781,#368782); #338721=AXIS2_PLACEMENT_3D('',#456033,#368783,#368784); #338722=AXIS2_PLACEMENT_3D('',#456036,#368786,#368787); #338723=AXIS2_PLACEMENT_3D('',#456037,#368788,#368789); #338724=AXIS2_PLACEMENT_3D('',#456039,#368790,#368791); #338725=AXIS2_PLACEMENT_3D('',#456042,#368793,#368794); #338726=AXIS2_PLACEMENT_3D('',#456043,#368795,#368796); #338727=AXIS2_PLACEMENT_3D('',#456044,#368797,#368798); #338728=AXIS2_PLACEMENT_3D('',#456045,#368799,#368800); #338729=AXIS2_PLACEMENT_3D('',#456047,#368801,#368802); #338730=AXIS2_PLACEMENT_3D('',#456050,#368804,#368805); #338731=AXIS2_PLACEMENT_3D('',#456051,#368806,#368807); #338732=AXIS2_PLACEMENT_3D('',#456053,#368808,#368809); #338733=AXIS2_PLACEMENT_3D('',#456056,#368811,#368812); #338734=AXIS2_PLACEMENT_3D('',#456057,#368813,#368814); #338735=AXIS2_PLACEMENT_3D('',#456058,#368815,#368816); #338736=AXIS2_PLACEMENT_3D('',#456059,#368817,#368818); #338737=AXIS2_PLACEMENT_3D('',#456061,#368819,#368820); #338738=AXIS2_PLACEMENT_3D('',#456064,#368822,#368823); #338739=AXIS2_PLACEMENT_3D('',#456065,#368824,#368825); #338740=AXIS2_PLACEMENT_3D('',#456067,#368826,#368827); #338741=AXIS2_PLACEMENT_3D('',#456070,#368829,#368830); #338742=AXIS2_PLACEMENT_3D('',#456071,#368831,#368832); #338743=AXIS2_PLACEMENT_3D('',#456072,#368833,#368834); #338744=AXIS2_PLACEMENT_3D('',#456073,#368835,#368836); #338745=AXIS2_PLACEMENT_3D('',#456075,#368837,#368838); #338746=AXIS2_PLACEMENT_3D('',#456078,#368840,#368841); #338747=AXIS2_PLACEMENT_3D('',#456079,#368842,#368843); #338748=AXIS2_PLACEMENT_3D('',#456081,#368844,#368845); #338749=AXIS2_PLACEMENT_3D('',#456084,#368847,#368848); #338750=AXIS2_PLACEMENT_3D('',#456085,#368849,#368850); #338751=AXIS2_PLACEMENT_3D('',#456086,#368851,#368852); #338752=AXIS2_PLACEMENT_3D('',#456087,#368853,#368854); #338753=AXIS2_PLACEMENT_3D('',#456089,#368855,#368856); #338754=AXIS2_PLACEMENT_3D('',#456092,#368858,#368859); #338755=AXIS2_PLACEMENT_3D('',#456093,#368860,#368861); #338756=AXIS2_PLACEMENT_3D('',#456095,#368862,#368863); #338757=AXIS2_PLACEMENT_3D('',#456098,#368865,#368866); #338758=AXIS2_PLACEMENT_3D('',#456099,#368867,#368868); #338759=AXIS2_PLACEMENT_3D('',#456100,#368869,#368870); #338760=AXIS2_PLACEMENT_3D('',#456101,#368871,#368872); #338761=AXIS2_PLACEMENT_3D('',#456103,#368873,#368874); #338762=AXIS2_PLACEMENT_3D('',#456106,#368876,#368877); #338763=AXIS2_PLACEMENT_3D('',#456107,#368878,#368879); #338764=AXIS2_PLACEMENT_3D('',#456109,#368880,#368881); #338765=AXIS2_PLACEMENT_3D('',#456112,#368883,#368884); #338766=AXIS2_PLACEMENT_3D('',#456113,#368885,#368886); #338767=AXIS2_PLACEMENT_3D('',#456114,#368887,#368888); #338768=AXIS2_PLACEMENT_3D('',#456115,#368889,#368890); #338769=AXIS2_PLACEMENT_3D('',#456117,#368891,#368892); #338770=AXIS2_PLACEMENT_3D('',#456120,#368894,#368895); #338771=AXIS2_PLACEMENT_3D('',#456121,#368896,#368897); #338772=AXIS2_PLACEMENT_3D('',#456123,#368898,#368899); #338773=AXIS2_PLACEMENT_3D('',#456126,#368901,#368902); #338774=AXIS2_PLACEMENT_3D('',#456127,#368903,#368904); #338775=AXIS2_PLACEMENT_3D('',#456128,#368905,#368906); #338776=AXIS2_PLACEMENT_3D('',#456129,#368907,#368908); #338777=AXIS2_PLACEMENT_3D('',#456131,#368909,#368910); #338778=AXIS2_PLACEMENT_3D('',#456134,#368912,#368913); #338779=AXIS2_PLACEMENT_3D('',#456135,#368914,#368915); #338780=AXIS2_PLACEMENT_3D('',#456137,#368916,#368917); #338781=AXIS2_PLACEMENT_3D('',#456140,#368919,#368920); #338782=AXIS2_PLACEMENT_3D('',#456141,#368921,#368922); #338783=AXIS2_PLACEMENT_3D('',#456142,#368923,#368924); #338784=AXIS2_PLACEMENT_3D('',#456143,#368925,#368926); #338785=AXIS2_PLACEMENT_3D('',#456145,#368927,#368928); #338786=AXIS2_PLACEMENT_3D('',#456148,#368930,#368931); #338787=AXIS2_PLACEMENT_3D('',#456149,#368932,#368933); #338788=AXIS2_PLACEMENT_3D('',#456151,#368934,#368935); #338789=AXIS2_PLACEMENT_3D('',#456154,#368937,#368938); #338790=AXIS2_PLACEMENT_3D('',#456155,#368939,#368940); #338791=AXIS2_PLACEMENT_3D('',#456156,#368941,#368942); #338792=AXIS2_PLACEMENT_3D('',#456157,#368943,#368944); #338793=AXIS2_PLACEMENT_3D('',#456159,#368945,#368946); #338794=AXIS2_PLACEMENT_3D('',#456162,#368948,#368949); #338795=AXIS2_PLACEMENT_3D('',#456163,#368950,#368951); #338796=AXIS2_PLACEMENT_3D('',#456165,#368952,#368953); #338797=AXIS2_PLACEMENT_3D('',#456168,#368955,#368956); #338798=AXIS2_PLACEMENT_3D('',#456169,#368957,#368958); #338799=AXIS2_PLACEMENT_3D('',#456170,#368959,#368960); #338800=AXIS2_PLACEMENT_3D('',#456171,#368961,#368962); #338801=AXIS2_PLACEMENT_3D('',#456173,#368963,#368964); #338802=AXIS2_PLACEMENT_3D('',#456176,#368966,#368967); #338803=AXIS2_PLACEMENT_3D('',#456177,#368968,#368969); #338804=AXIS2_PLACEMENT_3D('',#456179,#368970,#368971); #338805=AXIS2_PLACEMENT_3D('',#456182,#368973,#368974); #338806=AXIS2_PLACEMENT_3D('',#456183,#368975,#368976); #338807=AXIS2_PLACEMENT_3D('',#456184,#368977,#368978); #338808=AXIS2_PLACEMENT_3D('',#456185,#368979,#368980); #338809=AXIS2_PLACEMENT_3D('',#456187,#368981,#368982); #338810=AXIS2_PLACEMENT_3D('',#456190,#368984,#368985); #338811=AXIS2_PLACEMENT_3D('',#456191,#368986,#368987); #338812=AXIS2_PLACEMENT_3D('',#456193,#368988,#368989); #338813=AXIS2_PLACEMENT_3D('',#456196,#368991,#368992); #338814=AXIS2_PLACEMENT_3D('',#456197,#368993,#368994); #338815=AXIS2_PLACEMENT_3D('',#456198,#368995,#368996); #338816=AXIS2_PLACEMENT_3D('',#456199,#368997,#368998); #338817=AXIS2_PLACEMENT_3D('',#456201,#368999,#369000); #338818=AXIS2_PLACEMENT_3D('',#456204,#369002,#369003); #338819=AXIS2_PLACEMENT_3D('',#456205,#369004,#369005); #338820=AXIS2_PLACEMENT_3D('',#456207,#369006,#369007); #338821=AXIS2_PLACEMENT_3D('',#456210,#369009,#369010); #338822=AXIS2_PLACEMENT_3D('',#456211,#369011,#369012); #338823=AXIS2_PLACEMENT_3D('',#456212,#369013,#369014); #338824=AXIS2_PLACEMENT_3D('',#456213,#369015,#369016); #338825=AXIS2_PLACEMENT_3D('',#456215,#369017,#369018); #338826=AXIS2_PLACEMENT_3D('',#456218,#369020,#369021); #338827=AXIS2_PLACEMENT_3D('',#456219,#369022,#369023); #338828=AXIS2_PLACEMENT_3D('',#456221,#369024,#369025); #338829=AXIS2_PLACEMENT_3D('',#456224,#369027,#369028); #338830=AXIS2_PLACEMENT_3D('',#456225,#369029,#369030); #338831=AXIS2_PLACEMENT_3D('',#456226,#369031,#369032); #338832=AXIS2_PLACEMENT_3D('',#456227,#369033,#369034); #338833=AXIS2_PLACEMENT_3D('',#456229,#369035,#369036); #338834=AXIS2_PLACEMENT_3D('',#456232,#369038,#369039); #338835=AXIS2_PLACEMENT_3D('',#456233,#369040,#369041); #338836=AXIS2_PLACEMENT_3D('',#456235,#369042,#369043); #338837=AXIS2_PLACEMENT_3D('',#456238,#369045,#369046); #338838=AXIS2_PLACEMENT_3D('',#456239,#369047,#369048); #338839=AXIS2_PLACEMENT_3D('',#456240,#369049,#369050); #338840=AXIS2_PLACEMENT_3D('',#456241,#369051,#369052); #338841=AXIS2_PLACEMENT_3D('',#456243,#369053,#369054); #338842=AXIS2_PLACEMENT_3D('',#456246,#369056,#369057); #338843=AXIS2_PLACEMENT_3D('',#456247,#369058,#369059); #338844=AXIS2_PLACEMENT_3D('',#456249,#369060,#369061); #338845=AXIS2_PLACEMENT_3D('',#456252,#369063,#369064); #338846=AXIS2_PLACEMENT_3D('',#456253,#369065,#369066); #338847=AXIS2_PLACEMENT_3D('',#456254,#369067,#369068); #338848=AXIS2_PLACEMENT_3D('',#456255,#369069,#369070); #338849=AXIS2_PLACEMENT_3D('',#456257,#369071,#369072); #338850=AXIS2_PLACEMENT_3D('',#456260,#369074,#369075); #338851=AXIS2_PLACEMENT_3D('',#456261,#369076,#369077); #338852=AXIS2_PLACEMENT_3D('',#456263,#369078,#369079); #338853=AXIS2_PLACEMENT_3D('',#456266,#369081,#369082); #338854=AXIS2_PLACEMENT_3D('',#456267,#369083,#369084); #338855=AXIS2_PLACEMENT_3D('',#456268,#369085,#369086); #338856=AXIS2_PLACEMENT_3D('',#456269,#369087,#369088); #338857=AXIS2_PLACEMENT_3D('',#456271,#369089,#369090); #338858=AXIS2_PLACEMENT_3D('',#456274,#369092,#369093); #338859=AXIS2_PLACEMENT_3D('',#456275,#369094,#369095); #338860=AXIS2_PLACEMENT_3D('',#456277,#369096,#369097); #338861=AXIS2_PLACEMENT_3D('',#456280,#369099,#369100); #338862=AXIS2_PLACEMENT_3D('',#456281,#369101,#369102); #338863=AXIS2_PLACEMENT_3D('',#456282,#369103,#369104); #338864=AXIS2_PLACEMENT_3D('',#456283,#369105,#369106); #338865=AXIS2_PLACEMENT_3D('',#456285,#369107,#369108); #338866=AXIS2_PLACEMENT_3D('',#456288,#369110,#369111); #338867=AXIS2_PLACEMENT_3D('',#456289,#369112,#369113); #338868=AXIS2_PLACEMENT_3D('',#456291,#369114,#369115); #338869=AXIS2_PLACEMENT_3D('',#456294,#369117,#369118); #338870=AXIS2_PLACEMENT_3D('',#456295,#369119,#369120); #338871=AXIS2_PLACEMENT_3D('',#456296,#369121,#369122); #338872=AXIS2_PLACEMENT_3D('',#456297,#369123,#369124); #338873=AXIS2_PLACEMENT_3D('',#456299,#369125,#369126); #338874=AXIS2_PLACEMENT_3D('',#456302,#369128,#369129); #338875=AXIS2_PLACEMENT_3D('',#456303,#369130,#369131); #338876=AXIS2_PLACEMENT_3D('',#456305,#369132,#369133); #338877=AXIS2_PLACEMENT_3D('',#456308,#369135,#369136); #338878=AXIS2_PLACEMENT_3D('',#456309,#369137,#369138); #338879=AXIS2_PLACEMENT_3D('',#456310,#369139,#369140); #338880=AXIS2_PLACEMENT_3D('',#456311,#369141,#369142); #338881=AXIS2_PLACEMENT_3D('',#456313,#369143,#369144); #338882=AXIS2_PLACEMENT_3D('',#456316,#369146,#369147); #338883=AXIS2_PLACEMENT_3D('',#456317,#369148,#369149); #338884=AXIS2_PLACEMENT_3D('',#456319,#369150,#369151); #338885=AXIS2_PLACEMENT_3D('',#456322,#369153,#369154); #338886=AXIS2_PLACEMENT_3D('',#456323,#369155,#369156); #338887=AXIS2_PLACEMENT_3D('',#456324,#369157,#369158); #338888=AXIS2_PLACEMENT_3D('',#456325,#369159,#369160); #338889=AXIS2_PLACEMENT_3D('',#456327,#369161,#369162); #338890=AXIS2_PLACEMENT_3D('',#456330,#369164,#369165); #338891=AXIS2_PLACEMENT_3D('',#456331,#369166,#369167); #338892=AXIS2_PLACEMENT_3D('',#456333,#369168,#369169); #338893=AXIS2_PLACEMENT_3D('',#456336,#369171,#369172); #338894=AXIS2_PLACEMENT_3D('',#456337,#369173,#369174); #338895=AXIS2_PLACEMENT_3D('',#456338,#369175,#369176); #338896=AXIS2_PLACEMENT_3D('',#456339,#369177,#369178); #338897=AXIS2_PLACEMENT_3D('',#456341,#369179,#369180); #338898=AXIS2_PLACEMENT_3D('',#456344,#369182,#369183); #338899=AXIS2_PLACEMENT_3D('',#456345,#369184,#369185); #338900=AXIS2_PLACEMENT_3D('',#456347,#369186,#369187); #338901=AXIS2_PLACEMENT_3D('',#456350,#369189,#369190); #338902=AXIS2_PLACEMENT_3D('',#456351,#369191,#369192); #338903=AXIS2_PLACEMENT_3D('',#456352,#369193,#369194); #338904=AXIS2_PLACEMENT_3D('',#456353,#369195,#369196); #338905=AXIS2_PLACEMENT_3D('',#456354,#369197,#369198); #338906=AXIS2_PLACEMENT_3D('',#456356,#369199,#369200); #338907=AXIS2_PLACEMENT_3D('',#456359,#369202,#369203); #338908=AXIS2_PLACEMENT_3D('',#456360,#369204,#369205); #338909=AXIS2_PLACEMENT_3D('',#456369,#369210,#369211); #338910=AXIS2_PLACEMENT_3D('',#456371,#369212,#369213); #338911=AXIS2_PLACEMENT_3D('',#456373,#369214,#369215); #338912=AXIS2_PLACEMENT_3D('',#456375,#369217,#369218); #338913=AXIS2_PLACEMENT_3D('',#456376,#369219,#369220); #338914=AXIS2_PLACEMENT_3D('',#456377,#369221,#369222); #338915=AXIS2_PLACEMENT_3D('',#456378,#369223,#369224); #338916=AXIS2_PLACEMENT_3D('',#456379,#369225,#369226); #338917=AXIS2_PLACEMENT_3D('',#456380,#369227,#369228); #338918=AXIS2_PLACEMENT_3D('',#456389,#369233,#369234); #338919=AXIS2_PLACEMENT_3D('',#456395,#369238,#369239); #338920=AXIS2_PLACEMENT_3D('',#456401,#369243,#369244); #338921=AXIS2_PLACEMENT_3D('',#456404,#369247,#369248); #338922=AXIS2_PLACEMENT_3D('',#456405,#369249,#369250); #338923=AXIS2_PLACEMENT_3D('',#456406,#369251,#369252); #338924=AXIS2_PLACEMENT_3D('',#456415,#369257,#369258); #338925=AXIS2_PLACEMENT_3D('',#456421,#369262,#369263); #338926=AXIS2_PLACEMENT_3D('',#456427,#369267,#369268); #338927=AXIS2_PLACEMENT_3D('',#456430,#369271,#369272); #338928=AXIS2_PLACEMENT_3D('',#456431,#369273,#369274); #338929=AXIS2_PLACEMENT_3D('',#456432,#369275,#369276); #338930=AXIS2_PLACEMENT_3D('',#456441,#369281,#369282); #338931=AXIS2_PLACEMENT_3D('',#456447,#369286,#369287); #338932=AXIS2_PLACEMENT_3D('',#456453,#369291,#369292); #338933=AXIS2_PLACEMENT_3D('',#456456,#369295,#369296); #338934=AXIS2_PLACEMENT_3D('',#456457,#369297,#369298); #338935=AXIS2_PLACEMENT_3D('',#456458,#369299,#369300); #338936=AXIS2_PLACEMENT_3D('',#456467,#369305,#369306); #338937=AXIS2_PLACEMENT_3D('',#456473,#369310,#369311); #338938=AXIS2_PLACEMENT_3D('',#456479,#369315,#369316); #338939=AXIS2_PLACEMENT_3D('',#456482,#369319,#369320); #338940=AXIS2_PLACEMENT_3D('',#456483,#369321,#369322); #338941=AXIS2_PLACEMENT_3D('',#456484,#369323,#369324); #338942=AXIS2_PLACEMENT_3D('',#456493,#369329,#369330); #338943=AXIS2_PLACEMENT_3D('',#456499,#369334,#369335); #338944=AXIS2_PLACEMENT_3D('',#456505,#369339,#369340); #338945=AXIS2_PLACEMENT_3D('',#456508,#369343,#369344); #338946=AXIS2_PLACEMENT_3D('',#456509,#369345,#369346); #338947=AXIS2_PLACEMENT_3D('',#456510,#369347,#369348); #338948=AXIS2_PLACEMENT_3D('',#456519,#369353,#369354); #338949=AXIS2_PLACEMENT_3D('',#456525,#369358,#369359); #338950=AXIS2_PLACEMENT_3D('',#456531,#369363,#369364); #338951=AXIS2_PLACEMENT_3D('',#456534,#369367,#369368); #338952=AXIS2_PLACEMENT_3D('',#456535,#369369,#369370); #338953=AXIS2_PLACEMENT_3D('',#456536,#369371,#369372); #338954=AXIS2_PLACEMENT_3D('',#456545,#369377,#369378); #338955=AXIS2_PLACEMENT_3D('',#456551,#369382,#369383); #338956=AXIS2_PLACEMENT_3D('',#456557,#369387,#369388); #338957=AXIS2_PLACEMENT_3D('',#456560,#369391,#369392); #338958=AXIS2_PLACEMENT_3D('',#456561,#369393,#369394); #338959=AXIS2_PLACEMENT_3D('',#456562,#369395,#369396); #338960=AXIS2_PLACEMENT_3D('',#456571,#369401,#369402); #338961=AXIS2_PLACEMENT_3D('',#456577,#369406,#369407); #338962=AXIS2_PLACEMENT_3D('',#456583,#369411,#369412); #338963=AXIS2_PLACEMENT_3D('',#456586,#369415,#369416); #338964=AXIS2_PLACEMENT_3D('',#456587,#369417,#369418); #338965=AXIS2_PLACEMENT_3D('',#456588,#369419,#369420); #338966=AXIS2_PLACEMENT_3D('',#456597,#369425,#369426); #338967=AXIS2_PLACEMENT_3D('',#456603,#369430,#369431); #338968=AXIS2_PLACEMENT_3D('',#456609,#369435,#369436); #338969=AXIS2_PLACEMENT_3D('',#456612,#369439,#369440); #338970=AXIS2_PLACEMENT_3D('',#456613,#369441,#369442); #338971=AXIS2_PLACEMENT_3D('',#456614,#369443,#369444); #338972=AXIS2_PLACEMENT_3D('',#456623,#369449,#369450); #338973=AXIS2_PLACEMENT_3D('',#456629,#369454,#369455); #338974=AXIS2_PLACEMENT_3D('',#456635,#369459,#369460); #338975=AXIS2_PLACEMENT_3D('',#456638,#369463,#369464); #338976=AXIS2_PLACEMENT_3D('',#456639,#369465,#369466); #338977=AXIS2_PLACEMENT_3D('',#456640,#369467,#369468); #338978=AXIS2_PLACEMENT_3D('',#456649,#369473,#369474); #338979=AXIS2_PLACEMENT_3D('',#456655,#369478,#369479); #338980=AXIS2_PLACEMENT_3D('',#456661,#369483,#369484); #338981=AXIS2_PLACEMENT_3D('',#456664,#369487,#369488); #338982=AXIS2_PLACEMENT_3D('',#456665,#369489,#369490); #338983=AXIS2_PLACEMENT_3D('',#456666,#369491,#369492); #338984=AXIS2_PLACEMENT_3D('',#456668,#369493,#369494); #338985=AXIS2_PLACEMENT_3D('',#456671,#369496,#369497); #338986=AXIS2_PLACEMENT_3D('',#456672,#369498,#369499); #338987=AXIS2_PLACEMENT_3D('',#456681,#369504,#369505); #338988=AXIS2_PLACEMENT_3D('',#456683,#369506,#369507); #338989=AXIS2_PLACEMENT_3D('',#456685,#369508,#369509); #338990=AXIS2_PLACEMENT_3D('',#456687,#369511,#369512); #338991=AXIS2_PLACEMENT_3D('',#456693,#369516,#369517); #338992=AXIS2_PLACEMENT_3D('',#456695,#369518,#369519); #338993=AXIS2_PLACEMENT_3D('',#456697,#369520,#369521); #338994=AXIS2_PLACEMENT_3D('',#456699,#369523,#369524); #338995=AXIS2_PLACEMENT_3D('',#456705,#369528,#369529); #338996=AXIS2_PLACEMENT_3D('',#456711,#369533,#369534); #338997=AXIS2_PLACEMENT_3D('',#456717,#369538,#369539); #338998=AXIS2_PLACEMENT_3D('',#456723,#369543,#369544); #338999=AXIS2_PLACEMENT_3D('',#456729,#369548,#369549); #339000=AXIS2_PLACEMENT_3D('',#456735,#369553,#369554); #339001=AXIS2_PLACEMENT_3D('',#456741,#369558,#369559); #339002=AXIS2_PLACEMENT_3D('',#456743,#369560,#369561); #339003=AXIS2_PLACEMENT_3D('',#456745,#369562,#369563); #339004=AXIS2_PLACEMENT_3D('',#456747,#369565,#369566); #339005=AXIS2_PLACEMENT_3D('',#456748,#369567,#369568); #339006=AXIS2_PLACEMENT_3D('',#456749,#369569,#369570); #339007=AXIS2_PLACEMENT_3D('',#456750,#369571,#369572); #339008=AXIS2_PLACEMENT_3D('',#456751,#369573,#369574); #339009=AXIS2_PLACEMENT_3D('',#456752,#369575,#369576); #339010=AXIS2_PLACEMENT_3D('',#456754,#369577,#369578); #339011=AXIS2_PLACEMENT_3D('',#456757,#369580,#369581); #339012=AXIS2_PLACEMENT_3D('',#456758,#369582,#369583); #339013=AXIS2_PLACEMENT_3D('',#456767,#369588,#369589); #339014=AXIS2_PLACEMENT_3D('',#456769,#369590,#369591); #339015=AXIS2_PLACEMENT_3D('',#456771,#369592,#369593); #339016=AXIS2_PLACEMENT_3D('',#456773,#369595,#369596); #339017=AXIS2_PLACEMENT_3D('',#456779,#369600,#369601); #339018=AXIS2_PLACEMENT_3D('',#456785,#369605,#369606); #339019=AXIS2_PLACEMENT_3D('',#456791,#369610,#369611); #339020=AXIS2_PLACEMENT_3D('',#456797,#369615,#369616); #339021=AXIS2_PLACEMENT_3D('',#456803,#369620,#369621); #339022=AXIS2_PLACEMENT_3D('',#456809,#369625,#369626); #339023=AXIS2_PLACEMENT_3D('',#456815,#369630,#369631); #339024=AXIS2_PLACEMENT_3D('',#456816,#369632,#369633); #339025=AXIS2_PLACEMENT_3D('',#456817,#369634,#369635); #339026=AXIS2_PLACEMENT_3D('',#456818,#369636,#369637); #339027=AXIS2_PLACEMENT_3D('',#456819,#369638,#369639); #339028=AXIS2_PLACEMENT_3D('',#456820,#369640,#369641); #339029=AXIS2_PLACEMENT_3D('',#456829,#369646,#369647); #339030=AXIS2_PLACEMENT_3D('',#456835,#369651,#369652); #339031=AXIS2_PLACEMENT_3D('',#456841,#369656,#369657); #339032=AXIS2_PLACEMENT_3D('',#456847,#369661,#369662); #339033=AXIS2_PLACEMENT_3D('',#456853,#369666,#369667); #339034=AXIS2_PLACEMENT_3D('',#456859,#369671,#369672); #339035=AXIS2_PLACEMENT_3D('',#456865,#369676,#369677); #339036=AXIS2_PLACEMENT_3D('',#456871,#369681,#369682); #339037=AXIS2_PLACEMENT_3D('',#456873,#369683,#369684); #339038=AXIS2_PLACEMENT_3D('',#456875,#369685,#369686); #339039=AXIS2_PLACEMENT_3D('',#456877,#369688,#369689); #339040=AXIS2_PLACEMENT_3D('',#456883,#369693,#369694); #339041=AXIS2_PLACEMENT_3D('',#456885,#369695,#369696); #339042=AXIS2_PLACEMENT_3D('',#456887,#369697,#369698); #339043=AXIS2_PLACEMENT_3D('',#456889,#369700,#369701); #339044=AXIS2_PLACEMENT_3D('',#456895,#369705,#369706); #339045=AXIS2_PLACEMENT_3D('',#456901,#369710,#369711); #339046=AXIS2_PLACEMENT_3D('',#456907,#369715,#369716); #339047=AXIS2_PLACEMENT_3D('',#456913,#369720,#369721); #339048=AXIS2_PLACEMENT_3D('',#456919,#369725,#369726); #339049=AXIS2_PLACEMENT_3D('',#456925,#369730,#369731); #339050=AXIS2_PLACEMENT_3D('',#456931,#369735,#369736); #339051=AXIS2_PLACEMENT_3D('',#456933,#369737,#369738); #339052=AXIS2_PLACEMENT_3D('',#456935,#369739,#369740); #339053=AXIS2_PLACEMENT_3D('',#456937,#369742,#369743); #339054=AXIS2_PLACEMENT_3D('',#456940,#369746,#369747); #339055=AXIS2_PLACEMENT_3D('',#456941,#369748,#369749); #339056=AXIS2_PLACEMENT_3D('',#456942,#369750,#369751); #339057=AXIS2_PLACEMENT_3D('',#456951,#369756,#369757); #339058=AXIS2_PLACEMENT_3D('',#456957,#369761,#369762); #339059=AXIS2_PLACEMENT_3D('',#456963,#369766,#369767); #339060=AXIS2_PLACEMENT_3D('',#456969,#369771,#369772); #339061=AXIS2_PLACEMENT_3D('',#456975,#369776,#369777); #339062=AXIS2_PLACEMENT_3D('',#456977,#369778,#369779); #339063=AXIS2_PLACEMENT_3D('',#456979,#369780,#369781); #339064=AXIS2_PLACEMENT_3D('',#456981,#369783,#369784); #339065=AXIS2_PLACEMENT_3D('',#456987,#369788,#369789); #339066=AXIS2_PLACEMENT_3D('',#456993,#369793,#369794); #339067=AXIS2_PLACEMENT_3D('',#456999,#369798,#369799); #339068=AXIS2_PLACEMENT_3D('',#457005,#369803,#369804); #339069=AXIS2_PLACEMENT_3D('',#457011,#369808,#369809); #339070=AXIS2_PLACEMENT_3D('',#457017,#369813,#369814); #339071=AXIS2_PLACEMENT_3D('',#457023,#369818,#369819); #339072=AXIS2_PLACEMENT_3D('',#457025,#369820,#369821); #339073=AXIS2_PLACEMENT_3D('',#457027,#369822,#369823); #339074=AXIS2_PLACEMENT_3D('',#457029,#369825,#369826); #339075=AXIS2_PLACEMENT_3D('',#457035,#369830,#369831); #339076=AXIS2_PLACEMENT_3D('',#457041,#369835,#369836); #339077=AXIS2_PLACEMENT_3D('',#457043,#369837,#369838); #339078=AXIS2_PLACEMENT_3D('',#457045,#369839,#369840); #339079=AXIS2_PLACEMENT_3D('',#457047,#369842,#369843); #339080=AXIS2_PLACEMENT_3D('',#457053,#369847,#369848); #339081=AXIS2_PLACEMENT_3D('',#457059,#369852,#369853); #339082=AXIS2_PLACEMENT_3D('',#457065,#369857,#369858); #339083=AXIS2_PLACEMENT_3D('',#457071,#369862,#369863); #339084=AXIS2_PLACEMENT_3D('',#457077,#369867,#369868); #339085=AXIS2_PLACEMENT_3D('',#457083,#369872,#369873); #339086=AXIS2_PLACEMENT_3D('',#457089,#369877,#369878); #339087=AXIS2_PLACEMENT_3D('',#457091,#369879,#369880); #339088=AXIS2_PLACEMENT_3D('',#457093,#369881,#369882); #339089=AXIS2_PLACEMENT_3D('',#457095,#369884,#369885); #339090=AXIS2_PLACEMENT_3D('',#457101,#369889,#369890); #339091=AXIS2_PLACEMENT_3D('',#457107,#369894,#369895); #339092=AXIS2_PLACEMENT_3D('',#457113,#369899,#369900); #339093=AXIS2_PLACEMENT_3D('',#457119,#369904,#369905); #339094=AXIS2_PLACEMENT_3D('',#457125,#369909,#369910); #339095=AXIS2_PLACEMENT_3D('',#457127,#369911,#369912); #339096=AXIS2_PLACEMENT_3D('',#457129,#369913,#369914); #339097=AXIS2_PLACEMENT_3D('',#457131,#369916,#369917); #339098=AXIS2_PLACEMENT_3D('',#457137,#369921,#369922); #339099=AXIS2_PLACEMENT_3D('',#457139,#369923,#369924); #339100=AXIS2_PLACEMENT_3D('',#457141,#369925,#369926); #339101=AXIS2_PLACEMENT_3D('',#457143,#369928,#369929); #339102=AXIS2_PLACEMENT_3D('',#457149,#369933,#369934); #339103=AXIS2_PLACEMENT_3D('',#457155,#369938,#369939); #339104=AXIS2_PLACEMENT_3D('',#457158,#369942,#369943); #339105=AXIS2_PLACEMENT_3D('',#457159,#369944,#369945); #339106=AXIS2_PLACEMENT_3D('',#457160,#369946,#369947); #339107=AXIS2_PLACEMENT_3D('',#457169,#369952,#369953); #339108=AXIS2_PLACEMENT_3D('',#457175,#369957,#369958); #339109=AXIS2_PLACEMENT_3D('',#457181,#369962,#369963); #339110=AXIS2_PLACEMENT_3D('',#457187,#369967,#369968); #339111=AXIS2_PLACEMENT_3D('',#457193,#369972,#369973); #339112=AXIS2_PLACEMENT_3D('',#457199,#369977,#369978); #339113=AXIS2_PLACEMENT_3D('',#457201,#369979,#369980); #339114=AXIS2_PLACEMENT_3D('',#457203,#369981,#369982); #339115=AXIS2_PLACEMENT_3D('',#457205,#369984,#369985); #339116=AXIS2_PLACEMENT_3D('',#457211,#369989,#369990); #339117=AXIS2_PLACEMENT_3D('',#457213,#369991,#369992); #339118=AXIS2_PLACEMENT_3D('',#457215,#369993,#369994); #339119=AXIS2_PLACEMENT_3D('',#457217,#369996,#369997); #339120=AXIS2_PLACEMENT_3D('',#457223,#370001,#370002); #339121=AXIS2_PLACEMENT_3D('',#457229,#370006,#370007); #339122=AXIS2_PLACEMENT_3D('',#457235,#370011,#370012); #339123=AXIS2_PLACEMENT_3D('',#457241,#370016,#370017); #339124=AXIS2_PLACEMENT_3D('',#457247,#370021,#370022); #339125=AXIS2_PLACEMENT_3D('',#457249,#370023,#370024); #339126=AXIS2_PLACEMENT_3D('',#457251,#370025,#370026); #339127=AXIS2_PLACEMENT_3D('',#457253,#370028,#370029); #339128=AXIS2_PLACEMENT_3D('',#457259,#370033,#370034); #339129=AXIS2_PLACEMENT_3D('',#457265,#370038,#370039); #339130=AXIS2_PLACEMENT_3D('',#457271,#370043,#370044); #339131=AXIS2_PLACEMENT_3D('',#457277,#370048,#370049); #339132=AXIS2_PLACEMENT_3D('',#457283,#370053,#370054); #339133=AXIS2_PLACEMENT_3D('',#457289,#370058,#370059); #339134=AXIS2_PLACEMENT_3D('',#457295,#370063,#370064); #339135=AXIS2_PLACEMENT_3D('',#457297,#370065,#370066); #339136=AXIS2_PLACEMENT_3D('',#457299,#370067,#370068); #339137=AXIS2_PLACEMENT_3D('',#457301,#370070,#370071); #339138=AXIS2_PLACEMENT_3D('',#457307,#370075,#370076); #339139=AXIS2_PLACEMENT_3D('',#457313,#370080,#370081); #339140=AXIS2_PLACEMENT_3D('',#457315,#370082,#370083); #339141=AXIS2_PLACEMENT_3D('',#457317,#370084,#370085); #339142=AXIS2_PLACEMENT_3D('',#457319,#370087,#370088); #339143=AXIS2_PLACEMENT_3D('',#457325,#370092,#370093); #339144=AXIS2_PLACEMENT_3D('',#457331,#370097,#370098); #339145=AXIS2_PLACEMENT_3D('',#457337,#370102,#370103); #339146=AXIS2_PLACEMENT_3D('',#457343,#370107,#370108); #339147=AXIS2_PLACEMENT_3D('',#457349,#370112,#370113); #339148=AXIS2_PLACEMENT_3D('',#457355,#370117,#370118); #339149=AXIS2_PLACEMENT_3D('',#457361,#370122,#370123); #339150=AXIS2_PLACEMENT_3D('',#457363,#370124,#370125); #339151=AXIS2_PLACEMENT_3D('',#457365,#370126,#370127); #339152=AXIS2_PLACEMENT_3D('',#457367,#370129,#370130); #339153=AXIS2_PLACEMENT_3D('',#457373,#370134,#370135); #339154=AXIS2_PLACEMENT_3D('',#457376,#370138,#370139); #339155=AXIS2_PLACEMENT_3D('',#457377,#370140,#370141); #339156=AXIS2_PLACEMENT_3D('',#457378,#370142,#370143); #339157=AXIS2_PLACEMENT_3D('',#457380,#370144,#370145); #339158=AXIS2_PLACEMENT_3D('',#457383,#370147,#370148); #339159=AXIS2_PLACEMENT_3D('',#457384,#370149,#370150); #339160=AXIS2_PLACEMENT_3D('',#457393,#370155,#370156); #339161=AXIS2_PLACEMENT_3D('',#457395,#370157,#370158); #339162=AXIS2_PLACEMENT_3D('',#457397,#370159,#370160); #339163=AXIS2_PLACEMENT_3D('',#457399,#370162,#370163); #339164=AXIS2_PLACEMENT_3D('',#457405,#370167,#370168); #339165=AXIS2_PLACEMENT_3D('',#457411,#370172,#370173); #339166=AXIS2_PLACEMENT_3D('',#457413,#370174,#370175); #339167=AXIS2_PLACEMENT_3D('',#457415,#370176,#370177); #339168=AXIS2_PLACEMENT_3D('',#457417,#370179,#370180); #339169=AXIS2_PLACEMENT_3D('',#457423,#370184,#370185); #339170=AXIS2_PLACEMENT_3D('',#457429,#370189,#370190); #339171=AXIS2_PLACEMENT_3D('',#457431,#370191,#370192); #339172=AXIS2_PLACEMENT_3D('',#457433,#370193,#370194); #339173=AXIS2_PLACEMENT_3D('',#457435,#370196,#370197); #339174=AXIS2_PLACEMENT_3D('',#457441,#370201,#370202); #339175=AXIS2_PLACEMENT_3D('',#457447,#370206,#370207); #339176=AXIS2_PLACEMENT_3D('',#457453,#370211,#370212); #339177=AXIS2_PLACEMENT_3D('',#457459,#370216,#370217); #339178=AXIS2_PLACEMENT_3D('',#457465,#370221,#370222); #339179=AXIS2_PLACEMENT_3D('',#457471,#370226,#370227); #339180=AXIS2_PLACEMENT_3D('',#457477,#370231,#370232); #339181=AXIS2_PLACEMENT_3D('',#457483,#370236,#370237); #339182=AXIS2_PLACEMENT_3D('',#457485,#370238,#370239); #339183=AXIS2_PLACEMENT_3D('',#457487,#370240,#370241); #339184=AXIS2_PLACEMENT_3D('',#457489,#370243,#370244); #339185=AXIS2_PLACEMENT_3D('',#457495,#370248,#370249); #339186=AXIS2_PLACEMENT_3D('',#457501,#370253,#370254); #339187=AXIS2_PLACEMENT_3D('',#457503,#370255,#370256); #339188=AXIS2_PLACEMENT_3D('',#457505,#370257,#370258); #339189=AXIS2_PLACEMENT_3D('',#457507,#370260,#370261); #339190=AXIS2_PLACEMENT_3D('',#457513,#370265,#370266); #339191=AXIS2_PLACEMENT_3D('',#457514,#370267,#370268); #339192=AXIS2_PLACEMENT_3D('',#457515,#370269,#370270); #339193=AXIS2_PLACEMENT_3D('',#457516,#370271,#370272); #339194=AXIS2_PLACEMENT_3D('',#457517,#370273,#370274); #339195=AXIS2_PLACEMENT_3D('',#457518,#370275,#370276); #339196=AXIS2_PLACEMENT_3D('',#457520,#370277,#370278); #339197=AXIS2_PLACEMENT_3D('',#457523,#370280,#370281); #339198=AXIS2_PLACEMENT_3D('',#457524,#370282,#370283); #339199=AXIS2_PLACEMENT_3D('',#457533,#370288,#370289); #339200=AXIS2_PLACEMENT_3D('',#457535,#370290,#370291); #339201=AXIS2_PLACEMENT_3D('',#457537,#370292,#370293); #339202=AXIS2_PLACEMENT_3D('',#457539,#370295,#370296); #339203=AXIS2_PLACEMENT_3D('',#457545,#370300,#370301); #339204=AXIS2_PLACEMENT_3D('',#457551,#370305,#370306); #339205=AXIS2_PLACEMENT_3D('',#457553,#370307,#370308); #339206=AXIS2_PLACEMENT_3D('',#457555,#370309,#370310); #339207=AXIS2_PLACEMENT_3D('',#457557,#370312,#370313); #339208=AXIS2_PLACEMENT_3D('',#457563,#370317,#370318); #339209=AXIS2_PLACEMENT_3D('',#457569,#370322,#370323); #339210=AXIS2_PLACEMENT_3D('',#457575,#370327,#370328); #339211=AXIS2_PLACEMENT_3D('',#457581,#370332,#370333); #339212=AXIS2_PLACEMENT_3D('',#457587,#370337,#370338); #339213=AXIS2_PLACEMENT_3D('',#457593,#370342,#370343); #339214=AXIS2_PLACEMENT_3D('',#457599,#370347,#370348); #339215=AXIS2_PLACEMENT_3D('',#457605,#370352,#370353); #339216=AXIS2_PLACEMENT_3D('',#457607,#370354,#370355); #339217=AXIS2_PLACEMENT_3D('',#457609,#370356,#370357); #339218=AXIS2_PLACEMENT_3D('',#457611,#370359,#370360); #339219=AXIS2_PLACEMENT_3D('',#457617,#370364,#370365); #339220=AXIS2_PLACEMENT_3D('',#457618,#370366,#370367); #339221=AXIS2_PLACEMENT_3D('',#457619,#370368,#370369); #339222=AXIS2_PLACEMENT_3D('',#457620,#370370,#370371); #339223=AXIS2_PLACEMENT_3D('',#457621,#370372,#370373); #339224=AXIS2_PLACEMENT_3D('',#457622,#370374,#370375); #339225=AXIS2_PLACEMENT_3D('',#457624,#370376,#370377); #339226=AXIS2_PLACEMENT_3D('',#457627,#370379,#370380); #339227=AXIS2_PLACEMENT_3D('',#457628,#370381,#370382); #339228=AXIS2_PLACEMENT_3D('',#457637,#370387,#370388); #339229=AXIS2_PLACEMENT_3D('',#457639,#370389,#370390); #339230=AXIS2_PLACEMENT_3D('',#457641,#370391,#370392); #339231=AXIS2_PLACEMENT_3D('',#457643,#370394,#370395); #339232=AXIS2_PLACEMENT_3D('',#457649,#370399,#370400); #339233=AXIS2_PLACEMENT_3D('',#457655,#370404,#370405); #339234=AXIS2_PLACEMENT_3D('',#457661,#370409,#370410); #339235=AXIS2_PLACEMENT_3D('',#457667,#370414,#370415); #339236=AXIS2_PLACEMENT_3D('',#457673,#370419,#370420); #339237=AXIS2_PLACEMENT_3D('',#457679,#370424,#370425); #339238=AXIS2_PLACEMENT_3D('',#457685,#370429,#370430); #339239=AXIS2_PLACEMENT_3D('',#457687,#370431,#370432); #339240=AXIS2_PLACEMENT_3D('',#457689,#370433,#370434); #339241=AXIS2_PLACEMENT_3D('',#457691,#370436,#370437); #339242=AXIS2_PLACEMENT_3D('',#457697,#370441,#370442); #339243=AXIS2_PLACEMENT_3D('',#457698,#370443,#370444); #339244=AXIS2_PLACEMENT_3D('',#457699,#370445,#370446); #339245=AXIS2_PLACEMENT_3D('',#457700,#370447,#370448); #339246=AXIS2_PLACEMENT_3D('',#457701,#370449,#370450); #339247=AXIS2_PLACEMENT_3D('',#457702,#370451,#370452); #339248=AXIS2_PLACEMENT_3D('',#457704,#370453,#370454); #339249=AXIS2_PLACEMENT_3D('',#457707,#370456,#370457); #339250=AXIS2_PLACEMENT_3D('',#457708,#370458,#370459); #339251=AXIS2_PLACEMENT_3D('',#457717,#370464,#370465); #339252=AXIS2_PLACEMENT_3D('',#457719,#370466,#370467); #339253=AXIS2_PLACEMENT_3D('',#457721,#370468,#370469); #339254=AXIS2_PLACEMENT_3D('',#457723,#370471,#370472); #339255=AXIS2_PLACEMENT_3D('',#457729,#370476,#370477); #339256=AXIS2_PLACEMENT_3D('',#457731,#370478,#370479); #339257=AXIS2_PLACEMENT_3D('',#457733,#370480,#370481); #339258=AXIS2_PLACEMENT_3D('',#457735,#370483,#370484); #339259=AXIS2_PLACEMENT_3D('',#457741,#370488,#370489); #339260=AXIS2_PLACEMENT_3D('',#457747,#370493,#370494); #339261=AXIS2_PLACEMENT_3D('',#457753,#370498,#370499); #339262=AXIS2_PLACEMENT_3D('',#457759,#370503,#370504); #339263=AXIS2_PLACEMENT_3D('',#457765,#370508,#370509); #339264=AXIS2_PLACEMENT_3D('',#457771,#370513,#370514); #339265=AXIS2_PLACEMENT_3D('',#457777,#370518,#370519); #339266=AXIS2_PLACEMENT_3D('',#457783,#370523,#370524); #339267=AXIS2_PLACEMENT_3D('',#457789,#370528,#370529); #339268=AXIS2_PLACEMENT_3D('',#457790,#370530,#370531); #339269=AXIS2_PLACEMENT_3D('',#457791,#370532,#370533); #339270=AXIS2_PLACEMENT_3D('',#457792,#370534,#370535); #339271=AXIS2_PLACEMENT_3D('',#457793,#370536,#370537); #339272=AXIS2_PLACEMENT_3D('',#457794,#370538,#370539); #339273=AXIS2_PLACEMENT_3D('',#457796,#370540,#370541); #339274=AXIS2_PLACEMENT_3D('',#457799,#370543,#370544); #339275=AXIS2_PLACEMENT_3D('',#457800,#370545,#370546); #339276=AXIS2_PLACEMENT_3D('',#457802,#370547,#370548); #339277=AXIS2_PLACEMENT_3D('',#457805,#370550,#370551); #339278=AXIS2_PLACEMENT_3D('',#457806,#370552,#370553); #339279=AXIS2_PLACEMENT_3D('',#457815,#370558,#370559); #339280=AXIS2_PLACEMENT_3D('',#457817,#370560,#370561); #339281=AXIS2_PLACEMENT_3D('',#457819,#370562,#370563); #339282=AXIS2_PLACEMENT_3D('',#457821,#370565,#370566); #339283=AXIS2_PLACEMENT_3D('',#457823,#370567,#370568); #339284=AXIS2_PLACEMENT_3D('',#457825,#370569,#370570); #339285=AXIS2_PLACEMENT_3D('',#457827,#370572,#370573); #339286=AXIS2_PLACEMENT_3D('',#457833,#370577,#370578); #339287=AXIS2_PLACEMENT_3D('',#457835,#370579,#370580); #339288=AXIS2_PLACEMENT_3D('',#457837,#370581,#370582); #339289=AXIS2_PLACEMENT_3D('',#457839,#370584,#370585); #339290=AXIS2_PLACEMENT_3D('',#457841,#370586,#370587); #339291=AXIS2_PLACEMENT_3D('',#457843,#370588,#370589); #339292=AXIS2_PLACEMENT_3D('',#457845,#370591,#370592); #339293=AXIS2_PLACEMENT_3D('',#457851,#370596,#370597); #339294=AXIS2_PLACEMENT_3D('',#457852,#370598,#370599); #339295=AXIS2_PLACEMENT_3D('',#457853,#370600,#370601); #339296=AXIS2_PLACEMENT_3D('',#457854,#370602,#370603); #339297=AXIS2_PLACEMENT_3D('',#457855,#370604,#370605); #339298=AXIS2_PLACEMENT_3D('',#457856,#370606,#370607); #339299=AXIS2_PLACEMENT_3D('',#457858,#370608,#370609); #339300=AXIS2_PLACEMENT_3D('',#457861,#370611,#370612); #339301=AXIS2_PLACEMENT_3D('',#457862,#370613,#370614); #339302=AXIS2_PLACEMENT_3D('',#457871,#370619,#370620); #339303=AXIS2_PLACEMENT_3D('',#457873,#370621,#370622); #339304=AXIS2_PLACEMENT_3D('',#457875,#370623,#370624); #339305=AXIS2_PLACEMENT_3D('',#457877,#370626,#370627); #339306=AXIS2_PLACEMENT_3D('',#457883,#370631,#370632); #339307=AXIS2_PLACEMENT_3D('',#457889,#370636,#370637); #339308=AXIS2_PLACEMENT_3D('',#457891,#370638,#370639); #339309=AXIS2_PLACEMENT_3D('',#457893,#370640,#370641); #339310=AXIS2_PLACEMENT_3D('',#457895,#370643,#370644); #339311=AXIS2_PLACEMENT_3D('',#457901,#370648,#370649); #339312=AXIS2_PLACEMENT_3D('',#457907,#370653,#370654); #339313=AXIS2_PLACEMENT_3D('',#457913,#370658,#370659); #339314=AXIS2_PLACEMENT_3D('',#457919,#370663,#370664); #339315=AXIS2_PLACEMENT_3D('',#457925,#370668,#370669); #339316=AXIS2_PLACEMENT_3D('',#457931,#370673,#370674); #339317=AXIS2_PLACEMENT_3D('',#457937,#370678,#370679); #339318=AXIS2_PLACEMENT_3D('',#457943,#370683,#370684); #339319=AXIS2_PLACEMENT_3D('',#457945,#370685,#370686); #339320=AXIS2_PLACEMENT_3D('',#457947,#370687,#370688); #339321=AXIS2_PLACEMENT_3D('',#457949,#370690,#370691); #339322=AXIS2_PLACEMENT_3D('',#457955,#370695,#370696); #339323=AXIS2_PLACEMENT_3D('',#457956,#370697,#370698); #339324=AXIS2_PLACEMENT_3D('',#457957,#370699,#370700); #339325=AXIS2_PLACEMENT_3D('',#457958,#370701,#370702); #339326=AXIS2_PLACEMENT_3D('',#457959,#370703,#370704); #339327=AXIS2_PLACEMENT_3D('',#457960,#370705,#370706); #339328=AXIS2_PLACEMENT_3D('',#457962,#370707,#370708); #339329=AXIS2_PLACEMENT_3D('',#457965,#370710,#370711); #339330=AXIS2_PLACEMENT_3D('',#457966,#370712,#370713); #339331=AXIS2_PLACEMENT_3D('',#457975,#370718,#370719); #339332=AXIS2_PLACEMENT_3D('',#457977,#370720,#370721); #339333=AXIS2_PLACEMENT_3D('',#457979,#370722,#370723); #339334=AXIS2_PLACEMENT_3D('',#457981,#370725,#370726); #339335=AXIS2_PLACEMENT_3D('',#457987,#370730,#370731); #339336=AXIS2_PLACEMENT_3D('',#457993,#370735,#370736); #339337=AXIS2_PLACEMENT_3D('',#457995,#370737,#370738); #339338=AXIS2_PLACEMENT_3D('',#457997,#370739,#370740); #339339=AXIS2_PLACEMENT_3D('',#457999,#370742,#370743); #339340=AXIS2_PLACEMENT_3D('',#458005,#370747,#370748); #339341=AXIS2_PLACEMENT_3D('',#458011,#370752,#370753); #339342=AXIS2_PLACEMENT_3D('',#458013,#370754,#370755); #339343=AXIS2_PLACEMENT_3D('',#458015,#370756,#370757); #339344=AXIS2_PLACEMENT_3D('',#458017,#370759,#370760); #339345=AXIS2_PLACEMENT_3D('',#458023,#370764,#370765); #339346=AXIS2_PLACEMENT_3D('',#458029,#370769,#370770); #339347=AXIS2_PLACEMENT_3D('',#458035,#370774,#370775); #339348=AXIS2_PLACEMENT_3D('',#458041,#370779,#370780); #339349=AXIS2_PLACEMENT_3D('',#458047,#370784,#370785); #339350=AXIS2_PLACEMENT_3D('',#458053,#370789,#370790); #339351=AXIS2_PLACEMENT_3D('',#458059,#370794,#370795); #339352=AXIS2_PLACEMENT_3D('',#458065,#370799,#370800); #339353=AXIS2_PLACEMENT_3D('',#458067,#370801,#370802); #339354=AXIS2_PLACEMENT_3D('',#458069,#370803,#370804); #339355=AXIS2_PLACEMENT_3D('',#458071,#370806,#370807); #339356=AXIS2_PLACEMENT_3D('',#458077,#370811,#370812); #339357=AXIS2_PLACEMENT_3D('',#458083,#370816,#370817); #339358=AXIS2_PLACEMENT_3D('',#458085,#370818,#370819); #339359=AXIS2_PLACEMENT_3D('',#458087,#370820,#370821); #339360=AXIS2_PLACEMENT_3D('',#458089,#370823,#370824); #339361=AXIS2_PLACEMENT_3D('',#458095,#370828,#370829); #339362=AXIS2_PLACEMENT_3D('',#458096,#370830,#370831); #339363=AXIS2_PLACEMENT_3D('',#458097,#370832,#370833); #339364=AXIS2_PLACEMENT_3D('',#458098,#370834,#370835); #339365=AXIS2_PLACEMENT_3D('',#458099,#370836,#370837); #339366=AXIS2_PLACEMENT_3D('',#458100,#370838,#370839); #339367=AXIS2_PLACEMENT_3D('',#458109,#370844,#370845); #339368=AXIS2_PLACEMENT_3D('',#458115,#370849,#370850); #339369=AXIS2_PLACEMENT_3D('',#458121,#370854,#370855); #339370=AXIS2_PLACEMENT_3D('',#458127,#370859,#370860); #339371=AXIS2_PLACEMENT_3D('',#458129,#370861,#370862); #339372=AXIS2_PLACEMENT_3D('',#458131,#370863,#370864); #339373=AXIS2_PLACEMENT_3D('',#458133,#370866,#370867); #339374=AXIS2_PLACEMENT_3D('',#458139,#370871,#370872); #339375=AXIS2_PLACEMENT_3D('',#458141,#370873,#370874); #339376=AXIS2_PLACEMENT_3D('',#458143,#370875,#370876); #339377=AXIS2_PLACEMENT_3D('',#458145,#370878,#370879); #339378=AXIS2_PLACEMENT_3D('',#458151,#370883,#370884); #339379=AXIS2_PLACEMENT_3D('',#458157,#370888,#370889); #339380=AXIS2_PLACEMENT_3D('',#458163,#370893,#370894); #339381=AXIS2_PLACEMENT_3D('',#458165,#370895,#370896); #339382=AXIS2_PLACEMENT_3D('',#458167,#370897,#370898); #339383=AXIS2_PLACEMENT_3D('',#458169,#370900,#370901); #339384=AXIS2_PLACEMENT_3D('',#458175,#370905,#370906); #339385=AXIS2_PLACEMENT_3D('',#458181,#370910,#370911); #339386=AXIS2_PLACEMENT_3D('',#458187,#370915,#370916); #339387=AXIS2_PLACEMENT_3D('',#458193,#370920,#370921); #339388=AXIS2_PLACEMENT_3D('',#458199,#370925,#370926); #339389=AXIS2_PLACEMENT_3D('',#458205,#370930,#370931); #339390=AXIS2_PLACEMENT_3D('',#458211,#370935,#370936); #339391=AXIS2_PLACEMENT_3D('',#458213,#370937,#370938); #339392=AXIS2_PLACEMENT_3D('',#458215,#370939,#370940); #339393=AXIS2_PLACEMENT_3D('',#458217,#370942,#370943); #339394=AXIS2_PLACEMENT_3D('',#458223,#370947,#370948); #339395=AXIS2_PLACEMENT_3D('',#458225,#370949,#370950); #339396=AXIS2_PLACEMENT_3D('',#458227,#370951,#370952); #339397=AXIS2_PLACEMENT_3D('',#458229,#370954,#370955); #339398=AXIS2_PLACEMENT_3D('',#458235,#370959,#370960); #339399=AXIS2_PLACEMENT_3D('',#458241,#370964,#370965); #339400=AXIS2_PLACEMENT_3D('',#458247,#370969,#370970); #339401=AXIS2_PLACEMENT_3D('',#458253,#370974,#370975); #339402=AXIS2_PLACEMENT_3D('',#458256,#370978,#370979); #339403=AXIS2_PLACEMENT_3D('',#458257,#370980,#370981); #339404=AXIS2_PLACEMENT_3D('',#458258,#370982,#370983); #339405=AXIS2_PLACEMENT_3D('',#458260,#370984,#370985); #339406=AXIS2_PLACEMENT_3D('',#458263,#370987,#370988); #339407=AXIS2_PLACEMENT_3D('',#458264,#370989,#370990); #339408=AXIS2_PLACEMENT_3D('',#458273,#370995,#370996); #339409=AXIS2_PLACEMENT_3D('',#458275,#370997,#370998); #339410=AXIS2_PLACEMENT_3D('',#458277,#370999,#371000); #339411=AXIS2_PLACEMENT_3D('',#458279,#371002,#371003); #339412=AXIS2_PLACEMENT_3D('',#458285,#371007,#371008); #339413=AXIS2_PLACEMENT_3D('',#458291,#371012,#371013); #339414=AXIS2_PLACEMENT_3D('',#458293,#371014,#371015); #339415=AXIS2_PLACEMENT_3D('',#458295,#371016,#371017); #339416=AXIS2_PLACEMENT_3D('',#458297,#371019,#371020); #339417=AXIS2_PLACEMENT_3D('',#458303,#371024,#371025); #339418=AXIS2_PLACEMENT_3D('',#458305,#371026,#371027); #339419=AXIS2_PLACEMENT_3D('',#458307,#371028,#371029); #339420=AXIS2_PLACEMENT_3D('',#458309,#371031,#371032); #339421=AXIS2_PLACEMENT_3D('',#458315,#371036,#371037); #339422=AXIS2_PLACEMENT_3D('',#458317,#371038,#371039); #339423=AXIS2_PLACEMENT_3D('',#458319,#371040,#371041); #339424=AXIS2_PLACEMENT_3D('',#458321,#371043,#371044); #339425=AXIS2_PLACEMENT_3D('',#458327,#371048,#371049); #339426=AXIS2_PLACEMENT_3D('',#458333,#371053,#371054); #339427=AXIS2_PLACEMENT_3D('',#458339,#371058,#371059); #339428=AXIS2_PLACEMENT_3D('',#458345,#371063,#371064); #339429=AXIS2_PLACEMENT_3D('',#458351,#371068,#371069); #339430=AXIS2_PLACEMENT_3D('',#458357,#371073,#371074); #339431=AXIS2_PLACEMENT_3D('',#458363,#371078,#371079); #339432=AXIS2_PLACEMENT_3D('',#458365,#371080,#371081); #339433=AXIS2_PLACEMENT_3D('',#458367,#371082,#371083); #339434=AXIS2_PLACEMENT_3D('',#458369,#371085,#371086); #339435=AXIS2_PLACEMENT_3D('',#458375,#371090,#371091); #339436=AXIS2_PLACEMENT_3D('',#458381,#371095,#371096); #339437=AXIS2_PLACEMENT_3D('',#458387,#371100,#371101); #339438=AXIS2_PLACEMENT_3D('',#458393,#371105,#371106); #339439=AXIS2_PLACEMENT_3D('',#458395,#371107,#371108); #339440=AXIS2_PLACEMENT_3D('',#458397,#371109,#371110); #339441=AXIS2_PLACEMENT_3D('',#458399,#371112,#371113); #339442=AXIS2_PLACEMENT_3D('',#458405,#371117,#371118); #339443=AXIS2_PLACEMENT_3D('',#458406,#371119,#371120); #339444=AXIS2_PLACEMENT_3D('',#458407,#371121,#371122); #339445=AXIS2_PLACEMENT_3D('',#458408,#371123,#371124); #339446=AXIS2_PLACEMENT_3D('',#458409,#371125,#371126); #339447=AXIS2_PLACEMENT_3D('',#458410,#371127,#371128); #339448=AXIS2_PLACEMENT_3D('',#458412,#371129,#371130); #339449=AXIS2_PLACEMENT_3D('',#458415,#371132,#371133); #339450=AXIS2_PLACEMENT_3D('',#458416,#371134,#371135); #339451=AXIS2_PLACEMENT_3D('',#458425,#371140,#371141); #339452=AXIS2_PLACEMENT_3D('',#458427,#371142,#371143); #339453=AXIS2_PLACEMENT_3D('',#458429,#371144,#371145); #339454=AXIS2_PLACEMENT_3D('',#458431,#371147,#371148); #339455=AXIS2_PLACEMENT_3D('',#458437,#371152,#371153); #339456=AXIS2_PLACEMENT_3D('',#458443,#371157,#371158); #339457=AXIS2_PLACEMENT_3D('',#458449,#371162,#371163); #339458=AXIS2_PLACEMENT_3D('',#458455,#371167,#371168); #339459=AXIS2_PLACEMENT_3D('',#458461,#371172,#371173); #339460=AXIS2_PLACEMENT_3D('',#458467,#371177,#371178); #339461=AXIS2_PLACEMENT_3D('',#458473,#371182,#371183); #339462=AXIS2_PLACEMENT_3D('',#458475,#371184,#371185); #339463=AXIS2_PLACEMENT_3D('',#458477,#371186,#371187); #339464=AXIS2_PLACEMENT_3D('',#458479,#371189,#371190); #339465=AXIS2_PLACEMENT_3D('',#458480,#371191,#371192); #339466=AXIS2_PLACEMENT_3D('',#458481,#371193,#371194); #339467=AXIS2_PLACEMENT_3D('',#458482,#371195,#371196); #339468=AXIS2_PLACEMENT_3D('',#458483,#371197,#371198); #339469=AXIS2_PLACEMENT_3D('',#458484,#371199,#371200); #339470=AXIS2_PLACEMENT_3D('',#458493,#371205,#371206); #339471=AXIS2_PLACEMENT_3D('',#458499,#371210,#371211); #339472=AXIS2_PLACEMENT_3D('',#458505,#371215,#371216); #339473=AXIS2_PLACEMENT_3D('',#458511,#371220,#371221); #339474=AXIS2_PLACEMENT_3D('',#458517,#371225,#371226); #339475=AXIS2_PLACEMENT_3D('',#458519,#371227,#371228); #339476=AXIS2_PLACEMENT_3D('',#458521,#371229,#371230); #339477=AXIS2_PLACEMENT_3D('',#458523,#371232,#371233); #339478=AXIS2_PLACEMENT_3D('',#458529,#371237,#371238); #339479=AXIS2_PLACEMENT_3D('',#458535,#371242,#371243); #339480=AXIS2_PLACEMENT_3D('',#458541,#371247,#371248); #339481=AXIS2_PLACEMENT_3D('',#458543,#371249,#371250); #339482=AXIS2_PLACEMENT_3D('',#458545,#371251,#371252); #339483=AXIS2_PLACEMENT_3D('',#458547,#371254,#371255); #339484=AXIS2_PLACEMENT_3D('',#458553,#371259,#371260); #339485=AXIS2_PLACEMENT_3D('',#458555,#371261,#371262); #339486=AXIS2_PLACEMENT_3D('',#458557,#371263,#371264); #339487=AXIS2_PLACEMENT_3D('',#458559,#371266,#371267); #339488=AXIS2_PLACEMENT_3D('',#458565,#371271,#371272); #339489=AXIS2_PLACEMENT_3D('',#458567,#371273,#371274); #339490=AXIS2_PLACEMENT_3D('',#458569,#371275,#371276); #339491=AXIS2_PLACEMENT_3D('',#458571,#371278,#371279); #339492=AXIS2_PLACEMENT_3D('',#458577,#371283,#371284); #339493=AXIS2_PLACEMENT_3D('',#458583,#371288,#371289); #339494=AXIS2_PLACEMENT_3D('',#458585,#371290,#371291); #339495=AXIS2_PLACEMENT_3D('',#458587,#371292,#371293); #339496=AXIS2_PLACEMENT_3D('',#458589,#371295,#371296); #339497=AXIS2_PLACEMENT_3D('',#458595,#371300,#371301); #339498=AXIS2_PLACEMENT_3D('',#458601,#371305,#371306); #339499=AXIS2_PLACEMENT_3D('',#458607,#371310,#371311); #339500=AXIS2_PLACEMENT_3D('',#458613,#371315,#371316); #339501=AXIS2_PLACEMENT_3D('',#458619,#371320,#371321); #339502=AXIS2_PLACEMENT_3D('',#458625,#371325,#371326); #339503=AXIS2_PLACEMENT_3D('',#458631,#371330,#371331); #339504=AXIS2_PLACEMENT_3D('',#458633,#371332,#371333); #339505=AXIS2_PLACEMENT_3D('',#458635,#371334,#371335); #339506=AXIS2_PLACEMENT_3D('',#458637,#371337,#371338); #339507=AXIS2_PLACEMENT_3D('',#458643,#371342,#371343); #339508=AXIS2_PLACEMENT_3D('',#458649,#371347,#371348); #339509=AXIS2_PLACEMENT_3D('',#458651,#371349,#371350); #339510=AXIS2_PLACEMENT_3D('',#458653,#371351,#371352); #339511=AXIS2_PLACEMENT_3D('',#458655,#371354,#371355); #339512=AXIS2_PLACEMENT_3D('',#458661,#371359,#371360); #339513=AXIS2_PLACEMENT_3D('',#458667,#371364,#371365); #339514=AXIS2_PLACEMENT_3D('',#458673,#371369,#371370); #339515=AXIS2_PLACEMENT_3D('',#458679,#371374,#371375); #339516=AXIS2_PLACEMENT_3D('',#458681,#371376,#371377); #339517=AXIS2_PLACEMENT_3D('',#458683,#371378,#371379); #339518=AXIS2_PLACEMENT_3D('',#458685,#371381,#371382); #339519=AXIS2_PLACEMENT_3D('',#458691,#371386,#371387); #339520=AXIS2_PLACEMENT_3D('',#458693,#371388,#371389); #339521=AXIS2_PLACEMENT_3D('',#458695,#371390,#371391); #339522=AXIS2_PLACEMENT_3D('',#458697,#371393,#371394); #339523=AXIS2_PLACEMENT_3D('',#458703,#371398,#371399); #339524=AXIS2_PLACEMENT_3D('',#458709,#371403,#371404); #339525=AXIS2_PLACEMENT_3D('',#458712,#371407,#371408); #339526=AXIS2_PLACEMENT_3D('',#458713,#371409,#371410); #339527=AXIS2_PLACEMENT_3D('',#458714,#371411,#371412); #339528=AXIS2_PLACEMENT_3D('',#458723,#371417,#371418); #339529=AXIS2_PLACEMENT_3D('',#458729,#371422,#371423); #339530=AXIS2_PLACEMENT_3D('',#458735,#371427,#371428); #339531=AXIS2_PLACEMENT_3D('',#458741,#371432,#371433); #339532=AXIS2_PLACEMENT_3D('',#458747,#371437,#371438); #339533=AXIS2_PLACEMENT_3D('',#458753,#371442,#371443); #339534=AXIS2_PLACEMENT_3D('',#458755,#371444,#371445); #339535=AXIS2_PLACEMENT_3D('',#458757,#371446,#371447); #339536=AXIS2_PLACEMENT_3D('',#458759,#371449,#371450); #339537=AXIS2_PLACEMENT_3D('',#458765,#371454,#371455); #339538=AXIS2_PLACEMENT_3D('',#458767,#371456,#371457); #339539=AXIS2_PLACEMENT_3D('',#458769,#371458,#371459); #339540=AXIS2_PLACEMENT_3D('',#458771,#371461,#371462); #339541=AXIS2_PLACEMENT_3D('',#458777,#371466,#371467); #339542=AXIS2_PLACEMENT_3D('',#458783,#371471,#371472); #339543=AXIS2_PLACEMENT_3D('',#458789,#371476,#371477); #339544=AXIS2_PLACEMENT_3D('',#458795,#371481,#371482); #339545=AXIS2_PLACEMENT_3D('',#458797,#371483,#371484); #339546=AXIS2_PLACEMENT_3D('',#458799,#371485,#371486); #339547=AXIS2_PLACEMENT_3D('',#458801,#371488,#371489); #339548=AXIS2_PLACEMENT_3D('',#458807,#371493,#371494); #339549=AXIS2_PLACEMENT_3D('',#458813,#371498,#371499); #339550=AXIS2_PLACEMENT_3D('',#458815,#371500,#371501); #339551=AXIS2_PLACEMENT_3D('',#458817,#371502,#371503); #339552=AXIS2_PLACEMENT_3D('',#458819,#371505,#371506); #339553=AXIS2_PLACEMENT_3D('',#458825,#371510,#371511); #339554=AXIS2_PLACEMENT_3D('',#458831,#371515,#371516); #339555=AXIS2_PLACEMENT_3D('',#458837,#371520,#371521); #339556=AXIS2_PLACEMENT_3D('',#458843,#371525,#371526); #339557=AXIS2_PLACEMENT_3D('',#458849,#371530,#371531); #339558=AXIS2_PLACEMENT_3D('',#458855,#371535,#371536); #339559=AXIS2_PLACEMENT_3D('',#458861,#371540,#371541); #339560=AXIS2_PLACEMENT_3D('',#458863,#371542,#371543); #339561=AXIS2_PLACEMENT_3D('',#458865,#371544,#371545); #339562=AXIS2_PLACEMENT_3D('',#458867,#371547,#371548); #339563=AXIS2_PLACEMENT_3D('',#458873,#371552,#371553); #339564=AXIS2_PLACEMENT_3D('',#458879,#371557,#371558); #339565=AXIS2_PLACEMENT_3D('',#458881,#371559,#371560); #339566=AXIS2_PLACEMENT_3D('',#458883,#371561,#371562); #339567=AXIS2_PLACEMENT_3D('',#458885,#371564,#371565); #339568=AXIS2_PLACEMENT_3D('',#458891,#371569,#371570); #339569=AXIS2_PLACEMENT_3D('',#458893,#371571,#371572); #339570=AXIS2_PLACEMENT_3D('',#458895,#371573,#371574); #339571=AXIS2_PLACEMENT_3D('',#458897,#371576,#371577); #339572=AXIS2_PLACEMENT_3D('',#458903,#371581,#371582); #339573=AXIS2_PLACEMENT_3D('',#458905,#371583,#371584); #339574=AXIS2_PLACEMENT_3D('',#458907,#371585,#371586); #339575=AXIS2_PLACEMENT_3D('',#458909,#371588,#371589); #339576=AXIS2_PLACEMENT_3D('',#458915,#371593,#371594); #339577=AXIS2_PLACEMENT_3D('',#458921,#371598,#371599); #339578=AXIS2_PLACEMENT_3D('',#458927,#371603,#371604); #339579=AXIS2_PLACEMENT_3D('',#458929,#371605,#371606); #339580=AXIS2_PLACEMENT_3D('',#458931,#371607,#371608); #339581=AXIS2_PLACEMENT_3D('',#458933,#371610,#371611); #339582=AXIS2_PLACEMENT_3D('',#458939,#371615,#371616); #339583=AXIS2_PLACEMENT_3D('',#458942,#371619,#371620); #339584=AXIS2_PLACEMENT_3D('',#458943,#371621,#371622); #339585=AXIS2_PLACEMENT_3D('',#458944,#371623,#371624); #339586=AXIS2_PLACEMENT_3D('',#458953,#371629,#371630); #339587=AXIS2_PLACEMENT_3D('',#458959,#371634,#371635); #339588=AXIS2_PLACEMENT_3D('',#458965,#371639,#371640); #339589=AXIS2_PLACEMENT_3D('',#458971,#371644,#371645); #339590=AXIS2_PLACEMENT_3D('',#458973,#371646,#371647); #339591=AXIS2_PLACEMENT_3D('',#458975,#371648,#371649); #339592=AXIS2_PLACEMENT_3D('',#458977,#371651,#371652); #339593=AXIS2_PLACEMENT_3D('',#458983,#371656,#371657); #339594=AXIS2_PLACEMENT_3D('',#458989,#371661,#371662); #339595=AXIS2_PLACEMENT_3D('',#458991,#371663,#371664); #339596=AXIS2_PLACEMENT_3D('',#458993,#371665,#371666); #339597=AXIS2_PLACEMENT_3D('',#458995,#371668,#371669); #339598=AXIS2_PLACEMENT_3D('',#459001,#371673,#371674); #339599=AXIS2_PLACEMENT_3D('',#459007,#371678,#371679); #339600=AXIS2_PLACEMENT_3D('',#459013,#371683,#371684); #339601=AXIS2_PLACEMENT_3D('',#459019,#371688,#371689); #339602=AXIS2_PLACEMENT_3D('',#459025,#371693,#371694); #339603=AXIS2_PLACEMENT_3D('',#459031,#371698,#371699); #339604=AXIS2_PLACEMENT_3D('',#459037,#371703,#371704); #339605=AXIS2_PLACEMENT_3D('',#459039,#371705,#371706); #339606=AXIS2_PLACEMENT_3D('',#459041,#371707,#371708); #339607=AXIS2_PLACEMENT_3D('',#459043,#371710,#371711); #339608=AXIS2_PLACEMENT_3D('',#459049,#371715,#371716); #339609=AXIS2_PLACEMENT_3D('',#459055,#371720,#371721); #339610=AXIS2_PLACEMENT_3D('',#459061,#371725,#371726); #339611=AXIS2_PLACEMENT_3D('',#459064,#371729,#371730); #339612=AXIS2_PLACEMENT_3D('',#459065,#371731,#371732); #339613=AXIS2_PLACEMENT_3D('',#459066,#371733,#371734); #339614=AXIS2_PLACEMENT_3D('',#459068,#371735,#371736); #339615=AXIS2_PLACEMENT_3D('',#459071,#371738,#371739); #339616=AXIS2_PLACEMENT_3D('',#459072,#371740,#371741); #339617=AXIS2_PLACEMENT_3D('',#459081,#371746,#371747); #339618=AXIS2_PLACEMENT_3D('',#459083,#371748,#371749); #339619=AXIS2_PLACEMENT_3D('',#459085,#371750,#371751); #339620=AXIS2_PLACEMENT_3D('',#459087,#371753,#371754); #339621=AXIS2_PLACEMENT_3D('',#459093,#371758,#371759); #339622=AXIS2_PLACEMENT_3D('',#459095,#371760,#371761); #339623=AXIS2_PLACEMENT_3D('',#459097,#371762,#371763); #339624=AXIS2_PLACEMENT_3D('',#459099,#371765,#371766); #339625=AXIS2_PLACEMENT_3D('',#459105,#371770,#371771); #339626=AXIS2_PLACEMENT_3D('',#459111,#371775,#371776); #339627=AXIS2_PLACEMENT_3D('',#459113,#371777,#371778); #339628=AXIS2_PLACEMENT_3D('',#459115,#371779,#371780); #339629=AXIS2_PLACEMENT_3D('',#459117,#371782,#371783); #339630=AXIS2_PLACEMENT_3D('',#459123,#371787,#371788); #339631=AXIS2_PLACEMENT_3D('',#459129,#371792,#371793); #339632=AXIS2_PLACEMENT_3D('',#459135,#371797,#371798); #339633=AXIS2_PLACEMENT_3D('',#459141,#371802,#371803); #339634=AXIS2_PLACEMENT_3D('',#459147,#371807,#371808); #339635=AXIS2_PLACEMENT_3D('',#459153,#371812,#371813); #339636=AXIS2_PLACEMENT_3D('',#459159,#371817,#371818); #339637=AXIS2_PLACEMENT_3D('',#459161,#371819,#371820); #339638=AXIS2_PLACEMENT_3D('',#459163,#371821,#371822); #339639=AXIS2_PLACEMENT_3D('',#459165,#371824,#371825); #339640=AXIS2_PLACEMENT_3D('',#459171,#371829,#371830); #339641=AXIS2_PLACEMENT_3D('',#459177,#371834,#371835); #339642=AXIS2_PLACEMENT_3D('',#459179,#371836,#371837); #339643=AXIS2_PLACEMENT_3D('',#459181,#371838,#371839); #339644=AXIS2_PLACEMENT_3D('',#459183,#371841,#371842); #339645=AXIS2_PLACEMENT_3D('',#459184,#371843,#371844); #339646=AXIS2_PLACEMENT_3D('',#459185,#371845,#371846); #339647=AXIS2_PLACEMENT_3D('',#459186,#371847,#371848); #339648=AXIS2_PLACEMENT_3D('',#459187,#371849,#371850); #339649=AXIS2_PLACEMENT_3D('',#459188,#371851,#371852); #339650=AXIS2_PLACEMENT_3D('',#459190,#371853,#371854); #339651=AXIS2_PLACEMENT_3D('',#459193,#371856,#371857); #339652=AXIS2_PLACEMENT_3D('',#459194,#371858,#371859); #339653=AXIS2_PLACEMENT_3D('',#459203,#371864,#371865); #339654=AXIS2_PLACEMENT_3D('',#459205,#371866,#371867); #339655=AXIS2_PLACEMENT_3D('',#459207,#371868,#371869); #339656=AXIS2_PLACEMENT_3D('',#459209,#371871,#371872); #339657=AXIS2_PLACEMENT_3D('',#459215,#371876,#371877); #339658=AXIS2_PLACEMENT_3D('',#459221,#371881,#371882); #339659=AXIS2_PLACEMENT_3D('',#459223,#371883,#371884); #339660=AXIS2_PLACEMENT_3D('',#459225,#371885,#371886); #339661=AXIS2_PLACEMENT_3D('',#459227,#371888,#371889); #339662=AXIS2_PLACEMENT_3D('',#459233,#371893,#371894); #339663=AXIS2_PLACEMENT_3D('',#459239,#371898,#371899); #339664=AXIS2_PLACEMENT_3D('',#459245,#371903,#371904); #339665=AXIS2_PLACEMENT_3D('',#459251,#371908,#371909); #339666=AXIS2_PLACEMENT_3D('',#459257,#371913,#371914); #339667=AXIS2_PLACEMENT_3D('',#459263,#371918,#371919); #339668=AXIS2_PLACEMENT_3D('',#459269,#371923,#371924); #339669=AXIS2_PLACEMENT_3D('',#459275,#371928,#371929); #339670=AXIS2_PLACEMENT_3D('',#459277,#371930,#371931); #339671=AXIS2_PLACEMENT_3D('',#459279,#371932,#371933); #339672=AXIS2_PLACEMENT_3D('',#459281,#371935,#371936); #339673=AXIS2_PLACEMENT_3D('',#459287,#371940,#371941); #339674=AXIS2_PLACEMENT_3D('',#459288,#371942,#371943); #339675=AXIS2_PLACEMENT_3D('',#459289,#371944,#371945); #339676=AXIS2_PLACEMENT_3D('',#459290,#371946,#371947); #339677=AXIS2_PLACEMENT_3D('',#459291,#371948,#371949); #339678=AXIS2_PLACEMENT_3D('',#459292,#371950,#371951); #339679=AXIS2_PLACEMENT_3D('',#459294,#371952,#371953); #339680=AXIS2_PLACEMENT_3D('',#459297,#371955,#371956); #339681=AXIS2_PLACEMENT_3D('',#459298,#371957,#371958); #339682=AXIS2_PLACEMENT_3D('',#459307,#371963,#371964); #339683=AXIS2_PLACEMENT_3D('',#459309,#371965,#371966); #339684=AXIS2_PLACEMENT_3D('',#459311,#371967,#371968); #339685=AXIS2_PLACEMENT_3D('',#459313,#371970,#371971); #339686=AXIS2_PLACEMENT_3D('',#459315,#371972,#371973); #339687=AXIS2_PLACEMENT_3D('',#459317,#371974,#371975); #339688=AXIS2_PLACEMENT_3D('',#459319,#371977,#371978); #339689=AXIS2_PLACEMENT_3D('',#459325,#371982,#371983); #339690=AXIS2_PLACEMENT_3D('',#459331,#371987,#371988); #339691=AXIS2_PLACEMENT_3D('',#459337,#371992,#371993); #339692=AXIS2_PLACEMENT_3D('',#459343,#371997,#371998); #339693=AXIS2_PLACEMENT_3D('',#459349,#372002,#372003); #339694=AXIS2_PLACEMENT_3D('',#459355,#372007,#372008); #339695=AXIS2_PLACEMENT_3D('',#459361,#372012,#372013); #339696=AXIS2_PLACEMENT_3D('',#459363,#372014,#372015); #339697=AXIS2_PLACEMENT_3D('',#459365,#372016,#372017); #339698=AXIS2_PLACEMENT_3D('',#459367,#372019,#372020); #339699=AXIS2_PLACEMENT_3D('',#459373,#372024,#372025); #339700=AXIS2_PLACEMENT_3D('',#459374,#372026,#372027); #339701=AXIS2_PLACEMENT_3D('',#459375,#372028,#372029); #339702=AXIS2_PLACEMENT_3D('',#459376,#372030,#372031); #339703=AXIS2_PLACEMENT_3D('',#459377,#372032,#372033); #339704=AXIS2_PLACEMENT_3D('',#459378,#372034,#372035); #339705=AXIS2_PLACEMENT_3D('',#459380,#372036,#372037); #339706=AXIS2_PLACEMENT_3D('',#459383,#372039,#372040); #339707=AXIS2_PLACEMENT_3D('',#459384,#372041,#372042); #339708=AXIS2_PLACEMENT_3D('',#459393,#372047,#372048); #339709=AXIS2_PLACEMENT_3D('',#459399,#372052,#372053); #339710=AXIS2_PLACEMENT_3D('',#459405,#372057,#372058); #339711=AXIS2_PLACEMENT_3D('',#459411,#372062,#372063); #339712=AXIS2_PLACEMENT_3D('',#459417,#372067,#372068); #339713=AXIS2_PLACEMENT_3D('',#459419,#372069,#372070); #339714=AXIS2_PLACEMENT_3D('',#459421,#372071,#372072); #339715=AXIS2_PLACEMENT_3D('',#459423,#372074,#372075); #339716=AXIS2_PLACEMENT_3D('',#459429,#372079,#372080); #339717=AXIS2_PLACEMENT_3D('',#459435,#372084,#372085); #339718=AXIS2_PLACEMENT_3D('',#459437,#372086,#372087); #339719=AXIS2_PLACEMENT_3D('',#459439,#372088,#372089); #339720=AXIS2_PLACEMENT_3D('',#459441,#372091,#372092); #339721=AXIS2_PLACEMENT_3D('',#459447,#372096,#372097); #339722=AXIS2_PLACEMENT_3D('',#459449,#372098,#372099); #339723=AXIS2_PLACEMENT_3D('',#459451,#372100,#372101); #339724=AXIS2_PLACEMENT_3D('',#459453,#372103,#372104); #339725=AXIS2_PLACEMENT_3D('',#459455,#372105,#372106); #339726=AXIS2_PLACEMENT_3D('',#459457,#372107,#372108); #339727=AXIS2_PLACEMENT_3D('',#459459,#372110,#372111); #339728=AXIS2_PLACEMENT_3D('',#459465,#372115,#372116); #339729=AXIS2_PLACEMENT_3D('',#459471,#372120,#372121); #339730=AXIS2_PLACEMENT_3D('',#459473,#372122,#372123); #339731=AXIS2_PLACEMENT_3D('',#459475,#372124,#372125); #339732=AXIS2_PLACEMENT_3D('',#459477,#372127,#372128); #339733=AXIS2_PLACEMENT_3D('',#459483,#372132,#372133); #339734=AXIS2_PLACEMENT_3D('',#459489,#372137,#372138); #339735=AXIS2_PLACEMENT_3D('',#459492,#372141,#372142); #339736=AXIS2_PLACEMENT_3D('',#459493,#372143,#372144); #339737=AXIS2_PLACEMENT_3D('',#459494,#372145,#372146); #339738=AXIS2_PLACEMENT_3D('',#459496,#372147,#372148); #339739=AXIS2_PLACEMENT_3D('',#459499,#372150,#372151); #339740=AXIS2_PLACEMENT_3D('',#459500,#372152,#372153); #339741=AXIS2_PLACEMENT_3D('',#459509,#372158,#372159); #339742=AXIS2_PLACEMENT_3D('',#459511,#372160,#372161); #339743=AXIS2_PLACEMENT_3D('',#459513,#372162,#372163); #339744=AXIS2_PLACEMENT_3D('',#459515,#372165,#372166); #339745=AXIS2_PLACEMENT_3D('',#459521,#372170,#372171); #339746=AXIS2_PLACEMENT_3D('',#459523,#372172,#372173); #339747=AXIS2_PLACEMENT_3D('',#459525,#372174,#372175); #339748=AXIS2_PLACEMENT_3D('',#459527,#372177,#372178); #339749=AXIS2_PLACEMENT_3D('',#459533,#372182,#372183); #339750=AXIS2_PLACEMENT_3D('',#459539,#372187,#372188); #339751=AXIS2_PLACEMENT_3D('',#459545,#372192,#372193); #339752=AXIS2_PLACEMENT_3D('',#459551,#372197,#372198); #339753=AXIS2_PLACEMENT_3D('',#459557,#372202,#372203); #339754=AXIS2_PLACEMENT_3D('',#459563,#372207,#372208); #339755=AXIS2_PLACEMENT_3D('',#459569,#372212,#372213); #339756=AXIS2_PLACEMENT_3D('',#459571,#372214,#372215); #339757=AXIS2_PLACEMENT_3D('',#459573,#372216,#372217); #339758=AXIS2_PLACEMENT_3D('',#459575,#372219,#372220); #339759=AXIS2_PLACEMENT_3D('',#459576,#372221,#372222); #339760=AXIS2_PLACEMENT_3D('',#459577,#372223,#372224); #339761=AXIS2_PLACEMENT_3D('',#459578,#372225,#372226); #339762=AXIS2_PLACEMENT_3D('',#459579,#372227,#372228); #339763=AXIS2_PLACEMENT_3D('',#459580,#372229,#372230); #339764=AXIS2_PLACEMENT_3D('',#459582,#372231,#372232); #339765=AXIS2_PLACEMENT_3D('',#459585,#372234,#372235); #339766=AXIS2_PLACEMENT_3D('',#459586,#372236,#372237); #339767=AXIS2_PLACEMENT_3D('',#459595,#372242,#372243); #339768=AXIS2_PLACEMENT_3D('',#459601,#372247,#372248); #339769=AXIS2_PLACEMENT_3D('',#459607,#372252,#372253); #339770=AXIS2_PLACEMENT_3D('',#459613,#372257,#372258); #339771=AXIS2_PLACEMENT_3D('',#459619,#372262,#372263); #339772=AXIS2_PLACEMENT_3D('',#459625,#372267,#372268); #339773=AXIS2_PLACEMENT_3D('',#459627,#372269,#372270); #339774=AXIS2_PLACEMENT_3D('',#459629,#372271,#372272); #339775=AXIS2_PLACEMENT_3D('',#459631,#372274,#372275); #339776=AXIS2_PLACEMENT_3D('',#459637,#372279,#372280); #339777=AXIS2_PLACEMENT_3D('',#459643,#372284,#372285); #339778=AXIS2_PLACEMENT_3D('',#459645,#372286,#372287); #339779=AXIS2_PLACEMENT_3D('',#459647,#372288,#372289); #339780=AXIS2_PLACEMENT_3D('',#459649,#372291,#372292); #339781=AXIS2_PLACEMENT_3D('',#459651,#372293,#372294); #339782=AXIS2_PLACEMENT_3D('',#459653,#372295,#372296); #339783=AXIS2_PLACEMENT_3D('',#459655,#372298,#372299); #339784=AXIS2_PLACEMENT_3D('',#459661,#372303,#372304); #339785=AXIS2_PLACEMENT_3D('',#459663,#372305,#372306); #339786=AXIS2_PLACEMENT_3D('',#459665,#372307,#372308); #339787=AXIS2_PLACEMENT_3D('',#459667,#372310,#372311); #339788=AXIS2_PLACEMENT_3D('',#459673,#372315,#372316); #339789=AXIS2_PLACEMENT_3D('',#459679,#372320,#372321); #339790=AXIS2_PLACEMENT_3D('',#459681,#372322,#372323); #339791=AXIS2_PLACEMENT_3D('',#459683,#372324,#372325); #339792=AXIS2_PLACEMENT_3D('',#459685,#372327,#372328); #339793=AXIS2_PLACEMENT_3D('',#459691,#372332,#372333); #339794=AXIS2_PLACEMENT_3D('',#459694,#372336,#372337); #339795=AXIS2_PLACEMENT_3D('',#459695,#372338,#372339); #339796=AXIS2_PLACEMENT_3D('',#459696,#372340,#372341); #339797=AXIS2_PLACEMENT_3D('',#459698,#372342,#372343); #339798=AXIS2_PLACEMENT_3D('',#459701,#372345,#372346); #339799=AXIS2_PLACEMENT_3D('',#459702,#372347,#372348); #339800=AXIS2_PLACEMENT_3D('',#459711,#372353,#372354); #339801=AXIS2_PLACEMENT_3D('',#459713,#372355,#372356); #339802=AXIS2_PLACEMENT_3D('',#459715,#372357,#372358); #339803=AXIS2_PLACEMENT_3D('',#459717,#372360,#372361); #339804=AXIS2_PLACEMENT_3D('',#459723,#372365,#372366); #339805=AXIS2_PLACEMENT_3D('',#459729,#372370,#372371); #339806=AXIS2_PLACEMENT_3D('',#459735,#372375,#372376); #339807=AXIS2_PLACEMENT_3D('',#459741,#372380,#372381); #339808=AXIS2_PLACEMENT_3D('',#459747,#372385,#372386); #339809=AXIS2_PLACEMENT_3D('',#459753,#372390,#372391); #339810=AXIS2_PLACEMENT_3D('',#459759,#372395,#372396); #339811=AXIS2_PLACEMENT_3D('',#459761,#372397,#372398); #339812=AXIS2_PLACEMENT_3D('',#459763,#372399,#372400); #339813=AXIS2_PLACEMENT_3D('',#459765,#372402,#372403); #339814=AXIS2_PLACEMENT_3D('',#459766,#372404,#372405); #339815=AXIS2_PLACEMENT_3D('',#459767,#372406,#372407); #339816=AXIS2_PLACEMENT_3D('',#459768,#372408,#372409); #339817=AXIS2_PLACEMENT_3D('',#459769,#372410,#372411); #339818=AXIS2_PLACEMENT_3D('',#459770,#372412,#372413); #339819=AXIS2_PLACEMENT_3D('',#459772,#372414,#372415); #339820=AXIS2_PLACEMENT_3D('',#459775,#372417,#372418); #339821=AXIS2_PLACEMENT_3D('',#459776,#372419,#372420); #339822=AXIS2_PLACEMENT_3D('',#459785,#372425,#372426); #339823=AXIS2_PLACEMENT_3D('',#459787,#372427,#372428); #339824=AXIS2_PLACEMENT_3D('',#459789,#372429,#372430); #339825=AXIS2_PLACEMENT_3D('',#459791,#372432,#372433); #339826=AXIS2_PLACEMENT_3D('',#459793,#372434,#372435); #339827=AXIS2_PLACEMENT_3D('',#459795,#372436,#372437); #339828=AXIS2_PLACEMENT_3D('',#459797,#372439,#372440); #339829=AXIS2_PLACEMENT_3D('',#459803,#372444,#372445); #339830=AXIS2_PLACEMENT_3D('',#459809,#372449,#372450); #339831=AXIS2_PLACEMENT_3D('',#459815,#372454,#372455); #339832=AXIS2_PLACEMENT_3D('',#459821,#372459,#372460); #339833=AXIS2_PLACEMENT_3D('',#459827,#372464,#372465); #339834=AXIS2_PLACEMENT_3D('',#459833,#372469,#372470); #339835=AXIS2_PLACEMENT_3D('',#459839,#372474,#372475); #339836=AXIS2_PLACEMENT_3D('',#459840,#372476,#372477); #339837=AXIS2_PLACEMENT_3D('',#459841,#372478,#372479); #339838=AXIS2_PLACEMENT_3D('',#459842,#372480,#372481); #339839=AXIS2_PLACEMENT_3D('',#459843,#372482,#372483); #339840=AXIS2_PLACEMENT_3D('',#459844,#372484,#372485); #339841=AXIS2_PLACEMENT_3D('',#459846,#372486,#372487); #339842=AXIS2_PLACEMENT_3D('',#459849,#372489,#372490); #339843=AXIS2_PLACEMENT_3D('',#459850,#372491,#372492); #339844=AXIS2_PLACEMENT_3D('',#459859,#372497,#372498); #339845=AXIS2_PLACEMENT_3D('',#459865,#372502,#372503); #339846=AXIS2_PLACEMENT_3D('',#459871,#372507,#372508); #339847=AXIS2_PLACEMENT_3D('',#459877,#372512,#372513); #339848=AXIS2_PLACEMENT_3D('',#459883,#372517,#372518); #339849=AXIS2_PLACEMENT_3D('',#459885,#372519,#372520); #339850=AXIS2_PLACEMENT_3D('',#459887,#372521,#372522); #339851=AXIS2_PLACEMENT_3D('',#459889,#372524,#372525); #339852=AXIS2_PLACEMENT_3D('',#459895,#372529,#372530); #339853=AXIS2_PLACEMENT_3D('',#459901,#372534,#372535); #339854=AXIS2_PLACEMENT_3D('',#459907,#372539,#372540); #339855=AXIS2_PLACEMENT_3D('',#459913,#372544,#372545); #339856=AXIS2_PLACEMENT_3D('',#459919,#372549,#372550); #339857=AXIS2_PLACEMENT_3D('',#459921,#372551,#372552); #339858=AXIS2_PLACEMENT_3D('',#459923,#372553,#372554); #339859=AXIS2_PLACEMENT_3D('',#459925,#372556,#372557); #339860=AXIS2_PLACEMENT_3D('',#459927,#372558,#372559); #339861=AXIS2_PLACEMENT_3D('',#459929,#372560,#372561); #339862=AXIS2_PLACEMENT_3D('',#459931,#372563,#372564); #339863=AXIS2_PLACEMENT_3D('',#459937,#372568,#372569); #339864=AXIS2_PLACEMENT_3D('',#459939,#372570,#372571); #339865=AXIS2_PLACEMENT_3D('',#459941,#372572,#372573); #339866=AXIS2_PLACEMENT_3D('',#459943,#372575,#372576); #339867=AXIS2_PLACEMENT_3D('',#459949,#372580,#372581); #339868=AXIS2_PLACEMENT_3D('',#459951,#372582,#372583); #339869=AXIS2_PLACEMENT_3D('',#459953,#372584,#372585); #339870=AXIS2_PLACEMENT_3D('',#459955,#372587,#372588); #339871=AXIS2_PLACEMENT_3D('',#459961,#372592,#372593); #339872=AXIS2_PLACEMENT_3D('',#459963,#372594,#372595); #339873=AXIS2_PLACEMENT_3D('',#459965,#372596,#372597); #339874=AXIS2_PLACEMENT_3D('',#459967,#372599,#372600); #339875=AXIS2_PLACEMENT_3D('',#459973,#372604,#372605); #339876=AXIS2_PLACEMENT_3D('',#459975,#372606,#372607); #339877=AXIS2_PLACEMENT_3D('',#459977,#372608,#372609); #339878=AXIS2_PLACEMENT_3D('',#459979,#372611,#372612); #339879=AXIS2_PLACEMENT_3D('',#459985,#372616,#372617); #339880=AXIS2_PLACEMENT_3D('',#459988,#372620,#372621); #339881=AXIS2_PLACEMENT_3D('',#459989,#372622,#372623); #339882=AXIS2_PLACEMENT_3D('',#459990,#372624,#372625); #339883=AXIS2_PLACEMENT_3D('',#459992,#372626,#372627); #339884=AXIS2_PLACEMENT_3D('',#459995,#372629,#372630); #339885=AXIS2_PLACEMENT_3D('',#459996,#372631,#372632); #339886=AXIS2_PLACEMENT_3D('',#460005,#372637,#372638); #339887=AXIS2_PLACEMENT_3D('',#460011,#372642,#372643); #339888=AXIS2_PLACEMENT_3D('',#460017,#372647,#372648); #339889=AXIS2_PLACEMENT_3D('',#460023,#372652,#372653); #339890=AXIS2_PLACEMENT_3D('',#460029,#372657,#372658); #339891=AXIS2_PLACEMENT_3D('',#460035,#372662,#372663); #339892=AXIS2_PLACEMENT_3D('',#460041,#372667,#372668); #339893=AXIS2_PLACEMENT_3D('',#460047,#372672,#372673); #339894=AXIS2_PLACEMENT_3D('',#460049,#372674,#372675); #339895=AXIS2_PLACEMENT_3D('',#460051,#372676,#372677); #339896=AXIS2_PLACEMENT_3D('',#460053,#372679,#372680); #339897=AXIS2_PLACEMENT_3D('',#460055,#372681,#372682); #339898=AXIS2_PLACEMENT_3D('',#460057,#372683,#372684); #339899=AXIS2_PLACEMENT_3D('',#460059,#372686,#372687); #339900=AXIS2_PLACEMENT_3D('',#460065,#372691,#372692); #339901=AXIS2_PLACEMENT_3D('',#460067,#372693,#372694); #339902=AXIS2_PLACEMENT_3D('',#460069,#372695,#372696); #339903=AXIS2_PLACEMENT_3D('',#460071,#372698,#372699); #339904=AXIS2_PLACEMENT_3D('',#460077,#372703,#372704); #339905=AXIS2_PLACEMENT_3D('',#460079,#372705,#372706); #339906=AXIS2_PLACEMENT_3D('',#460081,#372707,#372708); #339907=AXIS2_PLACEMENT_3D('',#460083,#372710,#372711); #339908=AXIS2_PLACEMENT_3D('',#460089,#372715,#372716); #339909=AXIS2_PLACEMENT_3D('',#460091,#372717,#372718); #339910=AXIS2_PLACEMENT_3D('',#460093,#372719,#372720); #339911=AXIS2_PLACEMENT_3D('',#460095,#372722,#372723); #339912=AXIS2_PLACEMENT_3D('',#460098,#372726,#372727); #339913=AXIS2_PLACEMENT_3D('',#460099,#372728,#372729); #339914=AXIS2_PLACEMENT_3D('',#460100,#372730,#372731); #339915=AXIS2_PLACEMENT_3D('',#460102,#372732,#372733); #339916=AXIS2_PLACEMENT_3D('',#460105,#372735,#372736); #339917=AXIS2_PLACEMENT_3D('',#460106,#372737,#372738); #339918=AXIS2_PLACEMENT_3D('',#460115,#372743,#372744); #339919=AXIS2_PLACEMENT_3D('',#460121,#372748,#372749); #339920=AXIS2_PLACEMENT_3D('',#460127,#372753,#372754); #339921=AXIS2_PLACEMENT_3D('',#460133,#372758,#372759); #339922=AXIS2_PLACEMENT_3D('',#460139,#372763,#372764); #339923=AXIS2_PLACEMENT_3D('',#460141,#372765,#372766); #339924=AXIS2_PLACEMENT_3D('',#460143,#372767,#372768); #339925=AXIS2_PLACEMENT_3D('',#460145,#372770,#372771); #339926=AXIS2_PLACEMENT_3D('',#460151,#372775,#372776); #339927=AXIS2_PLACEMENT_3D('',#460153,#372777,#372778); #339928=AXIS2_PLACEMENT_3D('',#460155,#372779,#372780); #339929=AXIS2_PLACEMENT_3D('',#460157,#372782,#372783); #339930=AXIS2_PLACEMENT_3D('',#460163,#372787,#372788); #339931=AXIS2_PLACEMENT_3D('',#460165,#372789,#372790); #339932=AXIS2_PLACEMENT_3D('',#460167,#372791,#372792); #339933=AXIS2_PLACEMENT_3D('',#460169,#372794,#372795); #339934=AXIS2_PLACEMENT_3D('',#460175,#372799,#372800); #339935=AXIS2_PLACEMENT_3D('',#460177,#372801,#372802); #339936=AXIS2_PLACEMENT_3D('',#460179,#372803,#372804); #339937=AXIS2_PLACEMENT_3D('',#460181,#372806,#372807); #339938=AXIS2_PLACEMENT_3D('',#460187,#372811,#372812); #339939=AXIS2_PLACEMENT_3D('',#460189,#372813,#372814); #339940=AXIS2_PLACEMENT_3D('',#460191,#372815,#372816); #339941=AXIS2_PLACEMENT_3D('',#460193,#372818,#372819); #339942=AXIS2_PLACEMENT_3D('',#460195,#372820,#372821); #339943=AXIS2_PLACEMENT_3D('',#460197,#372822,#372823); #339944=AXIS2_PLACEMENT_3D('',#460199,#372825,#372826); #339945=AXIS2_PLACEMENT_3D('',#460205,#372830,#372831); #339946=AXIS2_PLACEMENT_3D('',#460211,#372835,#372836); #339947=AXIS2_PLACEMENT_3D('',#460217,#372840,#372841); #339948=AXIS2_PLACEMENT_3D('',#460223,#372845,#372846); #339949=AXIS2_PLACEMENT_3D('',#460229,#372850,#372851); #339950=AXIS2_PLACEMENT_3D('',#460231,#372852,#372853); #339951=AXIS2_PLACEMENT_3D('',#460233,#372854,#372855); #339952=AXIS2_PLACEMENT_3D('',#460235,#372857,#372858); #339953=AXIS2_PLACEMENT_3D('',#460241,#372862,#372863); #339954=AXIS2_PLACEMENT_3D('',#460244,#372866,#372867); #339955=AXIS2_PLACEMENT_3D('',#460245,#372868,#372869); #339956=AXIS2_PLACEMENT_3D('',#460246,#372870,#372871); #339957=AXIS2_PLACEMENT_3D('',#460255,#372876,#372877); #339958=AXIS2_PLACEMENT_3D('',#460261,#372881,#372882); #339959=AXIS2_PLACEMENT_3D('',#460267,#372886,#372887); #339960=AXIS2_PLACEMENT_3D('',#460273,#372891,#372892); #339961=AXIS2_PLACEMENT_3D('',#460275,#372893,#372894); #339962=AXIS2_PLACEMENT_3D('',#460277,#372895,#372896); #339963=AXIS2_PLACEMENT_3D('',#460279,#372898,#372899); #339964=AXIS2_PLACEMENT_3D('',#460285,#372903,#372904); #339965=AXIS2_PLACEMENT_3D('',#460287,#372905,#372906); #339966=AXIS2_PLACEMENT_3D('',#460289,#372907,#372908); #339967=AXIS2_PLACEMENT_3D('',#460291,#372910,#372911); #339968=AXIS2_PLACEMENT_3D('',#460297,#372915,#372916); #339969=AXIS2_PLACEMENT_3D('',#460303,#372920,#372921); #339970=AXIS2_PLACEMENT_3D('',#460309,#372925,#372926); #339971=AXIS2_PLACEMENT_3D('',#460315,#372930,#372931); #339972=AXIS2_PLACEMENT_3D('',#460321,#372935,#372936); #339973=AXIS2_PLACEMENT_3D('',#460327,#372940,#372941); #339974=AXIS2_PLACEMENT_3D('',#460333,#372945,#372946); #339975=AXIS2_PLACEMENT_3D('',#460339,#372950,#372951); #339976=AXIS2_PLACEMENT_3D('',#460345,#372955,#372956); #339977=AXIS2_PLACEMENT_3D('',#460347,#372957,#372958); #339978=AXIS2_PLACEMENT_3D('',#460349,#372959,#372960); #339979=AXIS2_PLACEMENT_3D('',#460351,#372962,#372963); #339980=AXIS2_PLACEMENT_3D('',#460357,#372967,#372968); #339981=AXIS2_PLACEMENT_3D('',#460359,#372969,#372970); #339982=AXIS2_PLACEMENT_3D('',#460361,#372971,#372972); #339983=AXIS2_PLACEMENT_3D('',#460363,#372974,#372975); #339984=AXIS2_PLACEMENT_3D('',#460369,#372979,#372980); #339985=AXIS2_PLACEMENT_3D('',#460375,#372984,#372985); #339986=AXIS2_PLACEMENT_3D('',#460381,#372989,#372990); #339987=AXIS2_PLACEMENT_3D('',#460387,#372994,#372995); #339988=AXIS2_PLACEMENT_3D('',#460390,#372998,#372999); #339989=AXIS2_PLACEMENT_3D('',#460391,#373000,#373001); #339990=AXIS2_PLACEMENT_3D('',#460392,#373002,#373003); #339991=AXIS2_PLACEMENT_3D('',#460394,#373004,#373005); #339992=AXIS2_PLACEMENT_3D('',#460397,#373007,#373008); #339993=AXIS2_PLACEMENT_3D('',#460398,#373009,#373010); #339994=AXIS2_PLACEMENT_3D('',#460400,#373011,#373012); #339995=AXIS2_PLACEMENT_3D('',#460403,#373014,#373015); #339996=AXIS2_PLACEMENT_3D('',#460404,#373016,#373017); #339997=AXIS2_PLACEMENT_3D('',#460413,#373022,#373023); #339998=AXIS2_PLACEMENT_3D('',#460415,#373024,#373025); #339999=AXIS2_PLACEMENT_3D('',#460417,#373026,#373027); #340000=AXIS2_PLACEMENT_3D('',#460419,#373029,#373030); #340001=AXIS2_PLACEMENT_3D('',#460421,#373031,#373032); #340002=AXIS2_PLACEMENT_3D('',#460423,#373033,#373034); #340003=AXIS2_PLACEMENT_3D('',#460425,#373036,#373037); #340004=AXIS2_PLACEMENT_3D('',#460431,#373041,#373042); #340005=AXIS2_PLACEMENT_3D('',#460433,#373043,#373044); #340006=AXIS2_PLACEMENT_3D('',#460435,#373045,#373046); #340007=AXIS2_PLACEMENT_3D('',#460437,#373048,#373049); #340008=AXIS2_PLACEMENT_3D('',#460439,#373050,#373051); #340009=AXIS2_PLACEMENT_3D('',#460441,#373052,#373053); #340010=AXIS2_PLACEMENT_3D('',#460443,#373055,#373056); #340011=AXIS2_PLACEMENT_3D('',#460449,#373060,#373061); #340012=AXIS2_PLACEMENT_3D('',#460450,#373062,#373063); #340013=AXIS2_PLACEMENT_3D('',#460451,#373064,#373065); #340014=AXIS2_PLACEMENT_3D('',#460452,#373066,#373067); #340015=AXIS2_PLACEMENT_3D('',#460453,#373068,#373069); #340016=AXIS2_PLACEMENT_3D('',#460454,#373070,#373071); #340017=AXIS2_PLACEMENT_3D('',#460456,#373072,#373073); #340018=AXIS2_PLACEMENT_3D('',#460459,#373075,#373076); #340019=AXIS2_PLACEMENT_3D('',#460460,#373077,#373078); #340020=AXIS2_PLACEMENT_3D('',#460469,#373083,#373084); #340021=AXIS2_PLACEMENT_3D('',#460475,#373088,#373089); #340022=AXIS2_PLACEMENT_3D('',#460481,#373093,#373094); #340023=AXIS2_PLACEMENT_3D('',#460484,#373097,#373098); #340024=AXIS2_PLACEMENT_3D('',#460485,#373099,#373100); #340025=AXIS2_PLACEMENT_3D('',#460486,#373101,#373102); #340026=AXIS2_PLACEMENT_3D('',#460488,#373103,#373104); #340027=AXIS2_PLACEMENT_3D('',#460491,#373106,#373107); #340028=AXIS2_PLACEMENT_3D('',#460492,#373108,#373109); #340029=AXIS2_PLACEMENT_3D('',#460501,#373114,#373115); #340030=AXIS2_PLACEMENT_3D('',#460507,#373119,#373120); #340031=AXIS2_PLACEMENT_3D('',#460513,#373124,#373125); #340032=AXIS2_PLACEMENT_3D('',#460516,#373128,#373129); #340033=AXIS2_PLACEMENT_3D('',#460517,#373130,#373131); #340034=AXIS2_PLACEMENT_3D('',#460518,#373132,#373133); #340035=AXIS2_PLACEMENT_3D('',#460520,#373134,#373135); #340036=AXIS2_PLACEMENT_3D('',#460523,#373137,#373138); #340037=AXIS2_PLACEMENT_3D('',#460524,#373139,#373140); #340038=AXIS2_PLACEMENT_3D('',#460533,#373145,#373146); #340039=AXIS2_PLACEMENT_3D('',#460539,#373150,#373151); #340040=AXIS2_PLACEMENT_3D('',#460545,#373155,#373156); #340041=AXIS2_PLACEMENT_3D('',#460551,#373160,#373161); #340042=AXIS2_PLACEMENT_3D('',#460557,#373165,#373166); #340043=AXIS2_PLACEMENT_3D('',#460563,#373170,#373171); #340044=AXIS2_PLACEMENT_3D('',#460569,#373175,#373176); #340045=AXIS2_PLACEMENT_3D('',#460575,#373180,#373181); #340046=AXIS2_PLACEMENT_3D('',#460581,#373185,#373186); #340047=AXIS2_PLACEMENT_3D('',#460587,#373190,#373191); #340048=AXIS2_PLACEMENT_3D('',#460589,#373192,#373193); #340049=AXIS2_PLACEMENT_3D('',#460591,#373194,#373195); #340050=AXIS2_PLACEMENT_3D('',#460593,#373197,#373198); #340051=AXIS2_PLACEMENT_3D('',#460599,#373202,#373203); #340052=AXIS2_PLACEMENT_3D('',#460601,#373204,#373205); #340053=AXIS2_PLACEMENT_3D('',#460603,#373206,#373207); #340054=AXIS2_PLACEMENT_3D('',#460605,#373209,#373210); #340055=AXIS2_PLACEMENT_3D('',#460611,#373214,#373215); #340056=AXIS2_PLACEMENT_3D('',#460617,#373219,#373220); #340057=AXIS2_PLACEMENT_3D('',#460623,#373224,#373225); #340058=AXIS2_PLACEMENT_3D('',#460625,#373226,#373227); #340059=AXIS2_PLACEMENT_3D('',#460627,#373228,#373229); #340060=AXIS2_PLACEMENT_3D('',#460629,#373231,#373232); #340061=AXIS2_PLACEMENT_3D('',#460635,#373236,#373237); #340062=AXIS2_PLACEMENT_3D('',#460637,#373238,#373239); #340063=AXIS2_PLACEMENT_3D('',#460639,#373240,#373241); #340064=AXIS2_PLACEMENT_3D('',#460641,#373243,#373244); #340065=AXIS2_PLACEMENT_3D('',#460647,#373248,#373249); #340066=AXIS2_PLACEMENT_3D('',#460653,#373253,#373254); #340067=AXIS2_PLACEMENT_3D('',#460659,#373258,#373259); #340068=AXIS2_PLACEMENT_3D('',#460661,#373260,#373261); #340069=AXIS2_PLACEMENT_3D('',#460663,#373262,#373263); #340070=AXIS2_PLACEMENT_3D('',#460665,#373265,#373266); #340071=AXIS2_PLACEMENT_3D('',#460667,#373267,#373268); #340072=AXIS2_PLACEMENT_3D('',#460669,#373269,#373270); #340073=AXIS2_PLACEMENT_3D('',#460671,#373272,#373273); #340074=AXIS2_PLACEMENT_3D('',#460677,#373277,#373278); #340075=AXIS2_PLACEMENT_3D('',#460679,#373279,#373280); #340076=AXIS2_PLACEMENT_3D('',#460681,#373281,#373282); #340077=AXIS2_PLACEMENT_3D('',#460683,#373284,#373285); #340078=AXIS2_PLACEMENT_3D('',#460689,#373289,#373290); #340079=AXIS2_PLACEMENT_3D('',#460691,#373291,#373292); #340080=AXIS2_PLACEMENT_3D('',#460693,#373293,#373294); #340081=AXIS2_PLACEMENT_3D('',#460695,#373296,#373297); #340082=AXIS2_PLACEMENT_3D('',#460701,#373301,#373302); #340083=AXIS2_PLACEMENT_3D('',#460707,#373306,#373307); #340084=AXIS2_PLACEMENT_3D('',#460713,#373311,#373312); #340085=AXIS2_PLACEMENT_3D('',#460715,#373313,#373314); #340086=AXIS2_PLACEMENT_3D('',#460717,#373315,#373316); #340087=AXIS2_PLACEMENT_3D('',#460719,#373318,#373319); #340088=AXIS2_PLACEMENT_3D('',#460725,#373323,#373324); #340089=AXIS2_PLACEMENT_3D('',#460727,#373325,#373326); #340090=AXIS2_PLACEMENT_3D('',#460729,#373327,#373328); #340091=AXIS2_PLACEMENT_3D('',#460731,#373330,#373331); #340092=AXIS2_PLACEMENT_3D('',#460737,#373335,#373336); #340093=AXIS2_PLACEMENT_3D('',#460743,#373340,#373341); #340094=AXIS2_PLACEMENT_3D('',#460749,#373345,#373346); #340095=AXIS2_PLACEMENT_3D('',#460755,#373350,#373351); #340096=AXIS2_PLACEMENT_3D('',#460761,#373355,#373356); #340097=AXIS2_PLACEMENT_3D('',#460767,#373360,#373361); #340098=AXIS2_PLACEMENT_3D('',#460773,#373365,#373366); #340099=AXIS2_PLACEMENT_3D('',#460779,#373370,#373371); #340100=AXIS2_PLACEMENT_3D('',#460782,#373374,#373375); #340101=AXIS2_PLACEMENT_3D('',#460783,#373376,#373377); #340102=AXIS2_PLACEMENT_3D('',#460784,#373378,#373379); #340103=AXIS2_PLACEMENT_3D('',#460786,#373380,#373381); #340104=AXIS2_PLACEMENT_3D('',#460789,#373383,#373384); #340105=AXIS2_PLACEMENT_3D('',#460790,#373385,#373386); #340106=AXIS2_PLACEMENT_3D('',#460799,#373391,#373392); #340107=AXIS2_PLACEMENT_3D('',#460805,#373396,#373397); #340108=AXIS2_PLACEMENT_3D('',#460811,#373401,#373402); #340109=AXIS2_PLACEMENT_3D('',#460817,#373406,#373407); #340110=AXIS2_PLACEMENT_3D('',#460823,#373411,#373412); #340111=AXIS2_PLACEMENT_3D('',#460825,#373413,#373414); #340112=AXIS2_PLACEMENT_3D('',#460827,#373415,#373416); #340113=AXIS2_PLACEMENT_3D('',#460829,#373418,#373419); #340114=AXIS2_PLACEMENT_3D('',#460835,#373423,#373424); #340115=AXIS2_PLACEMENT_3D('',#460841,#373428,#373429); #340116=AXIS2_PLACEMENT_3D('',#460843,#373430,#373431); #340117=AXIS2_PLACEMENT_3D('',#460845,#373432,#373433); #340118=AXIS2_PLACEMENT_3D('',#460847,#373435,#373436); #340119=AXIS2_PLACEMENT_3D('',#460853,#373440,#373441); #340120=AXIS2_PLACEMENT_3D('',#460859,#373445,#373446); #340121=AXIS2_PLACEMENT_3D('',#460861,#373447,#373448); #340122=AXIS2_PLACEMENT_3D('',#460863,#373449,#373450); #340123=AXIS2_PLACEMENT_3D('',#460865,#373452,#373453); #340124=AXIS2_PLACEMENT_3D('',#460867,#373454,#373455); #340125=AXIS2_PLACEMENT_3D('',#460869,#373456,#373457); #340126=AXIS2_PLACEMENT_3D('',#460871,#373459,#373460); #340127=AXIS2_PLACEMENT_3D('',#460877,#373464,#373465); #340128=AXIS2_PLACEMENT_3D('',#460879,#373466,#373467); #340129=AXIS2_PLACEMENT_3D('',#460881,#373468,#373469); #340130=AXIS2_PLACEMENT_3D('',#460883,#373471,#373472); #340131=AXIS2_PLACEMENT_3D('',#460889,#373476,#373477); #340132=AXIS2_PLACEMENT_3D('',#460895,#373481,#373482); #340133=AXIS2_PLACEMENT_3D('',#460897,#373483,#373484); #340134=AXIS2_PLACEMENT_3D('',#460899,#373485,#373486); #340135=AXIS2_PLACEMENT_3D('',#460901,#373488,#373489); #340136=AXIS2_PLACEMENT_3D('',#460907,#373493,#373494); #340137=AXIS2_PLACEMENT_3D('',#460913,#373498,#373499); #340138=AXIS2_PLACEMENT_3D('',#460916,#373502,#373503); #340139=AXIS2_PLACEMENT_3D('',#460917,#373504,#373505); #340140=AXIS2_PLACEMENT_3D('',#460918,#373506,#373507); #340141=AXIS2_PLACEMENT_3D('',#460920,#373508,#373509); #340142=AXIS2_PLACEMENT_3D('',#460923,#373511,#373512); #340143=AXIS2_PLACEMENT_3D('',#460924,#373513,#373514); #340144=AXIS2_PLACEMENT_3D('',#460933,#373519,#373520); #340145=AXIS2_PLACEMENT_3D('',#460939,#373524,#373525); #340146=AXIS2_PLACEMENT_3D('',#460945,#373529,#373530); #340147=AXIS2_PLACEMENT_3D('',#460948,#373533,#373534); #340148=AXIS2_PLACEMENT_3D('',#460949,#373535,#373536); #340149=AXIS2_PLACEMENT_3D('',#460950,#373537,#373538); #340150=AXIS2_PLACEMENT_3D('',#460952,#373539,#373540); #340151=AXIS2_PLACEMENT_3D('',#460955,#373542,#373543); #340152=AXIS2_PLACEMENT_3D('',#460956,#373544,#373545); #340153=AXIS2_PLACEMENT_3D('',#460965,#373550,#373551); #340154=AXIS2_PLACEMENT_3D('',#460971,#373555,#373556); #340155=AXIS2_PLACEMENT_3D('',#460977,#373560,#373561); #340156=AXIS2_PLACEMENT_3D('',#460980,#373564,#373565); #340157=AXIS2_PLACEMENT_3D('',#460981,#373566,#373567); #340158=AXIS2_PLACEMENT_3D('',#460982,#373568,#373569); #340159=AXIS2_PLACEMENT_3D('',#460984,#373570,#373571); #340160=AXIS2_PLACEMENT_3D('',#460987,#373573,#373574); #340161=AXIS2_PLACEMENT_3D('',#460988,#373575,#373576); #340162=AXIS2_PLACEMENT_3D('',#460997,#373581,#373582); #340163=AXIS2_PLACEMENT_3D('',#460999,#373583,#373584); #340164=AXIS2_PLACEMENT_3D('',#461001,#373585,#373586); #340165=AXIS2_PLACEMENT_3D('',#461003,#373588,#373589); #340166=AXIS2_PLACEMENT_3D('',#461009,#373593,#373594); #340167=AXIS2_PLACEMENT_3D('',#461011,#373595,#373596); #340168=AXIS2_PLACEMENT_3D('',#461013,#373597,#373598); #340169=AXIS2_PLACEMENT_3D('',#461015,#373600,#373601); #340170=AXIS2_PLACEMENT_3D('',#461021,#373605,#373606); #340171=AXIS2_PLACEMENT_3D('',#461027,#373610,#373611); #340172=AXIS2_PLACEMENT_3D('',#461033,#373615,#373616); #340173=AXIS2_PLACEMENT_3D('',#461039,#373620,#373621); #340174=AXIS2_PLACEMENT_3D('',#461045,#373625,#373626); #340175=AXIS2_PLACEMENT_3D('',#461051,#373630,#373631); #340176=AXIS2_PLACEMENT_3D('',#461057,#373635,#373636); #340177=AXIS2_PLACEMENT_3D('',#461063,#373640,#373641); #340178=AXIS2_PLACEMENT_3D('',#461069,#373645,#373646); #340179=AXIS2_PLACEMENT_3D('',#461070,#373647,#373648); #340180=AXIS2_PLACEMENT_3D('',#461071,#373649,#373650); #340181=AXIS2_PLACEMENT_3D('',#461072,#373651,#373652); #340182=AXIS2_PLACEMENT_3D('',#461073,#373653,#373654); #340183=AXIS2_PLACEMENT_3D('',#461074,#373655,#373656); #340184=AXIS2_PLACEMENT_3D('',#461076,#373657,#373658); #340185=AXIS2_PLACEMENT_3D('',#461079,#373660,#373661); #340186=AXIS2_PLACEMENT_3D('',#461080,#373662,#373663); #340187=AXIS2_PLACEMENT_3D('',#461089,#373668,#373669); #340188=AXIS2_PLACEMENT_3D('',#461091,#373670,#373671); #340189=AXIS2_PLACEMENT_3D('',#461093,#373672,#373673); #340190=AXIS2_PLACEMENT_3D('',#461095,#373675,#373676); #340191=AXIS2_PLACEMENT_3D('',#461096,#373677,#373678); #340192=AXIS2_PLACEMENT_3D('',#461097,#373679,#373680); #340193=AXIS2_PLACEMENT_3D('',#461098,#373681,#373682); #340194=AXIS2_PLACEMENT_3D('',#461099,#373683,#373684); #340195=AXIS2_PLACEMENT_3D('',#461100,#373685,#373686); #340196=AXIS2_PLACEMENT_3D('',#461102,#373687,#373688); #340197=AXIS2_PLACEMENT_3D('',#461105,#373690,#373691); #340198=AXIS2_PLACEMENT_3D('',#461106,#373692,#373693); #340199=AXIS2_PLACEMENT_3D('',#461108,#373694,#373695); #340200=AXIS2_PLACEMENT_3D('',#461111,#373697,#373698); #340201=AXIS2_PLACEMENT_3D('',#461112,#373699,#373700); #340202=AXIS2_PLACEMENT_3D('',#461121,#373705,#373706); #340203=AXIS2_PLACEMENT_3D('',#461123,#373707,#373708); #340204=AXIS2_PLACEMENT_3D('',#461125,#373709,#373710); #340205=AXIS2_PLACEMENT_3D('',#461127,#373712,#373713); #340206=AXIS2_PLACEMENT_3D('',#461133,#373717,#373718); #340207=AXIS2_PLACEMENT_3D('',#461135,#373719,#373720); #340208=AXIS2_PLACEMENT_3D('',#461137,#373721,#373722); #340209=AXIS2_PLACEMENT_3D('',#461139,#373724,#373725); #340210=AXIS2_PLACEMENT_3D('',#461141,#373726,#373727); #340211=AXIS2_PLACEMENT_3D('',#461143,#373728,#373729); #340212=AXIS2_PLACEMENT_3D('',#461145,#373731,#373732); #340213=AXIS2_PLACEMENT_3D('',#461151,#373736,#373737); #340214=AXIS2_PLACEMENT_3D('',#461152,#373738,#373739); #340215=AXIS2_PLACEMENT_3D('',#461153,#373740,#373741); #340216=AXIS2_PLACEMENT_3D('',#461154,#373742,#373743); #340217=AXIS2_PLACEMENT_3D('',#461155,#373744,#373745); #340218=AXIS2_PLACEMENT_3D('',#461156,#373746,#373747); #340219=AXIS2_PLACEMENT_3D('',#461158,#373748,#373749); #340220=AXIS2_PLACEMENT_3D('',#461161,#373751,#373752); #340221=AXIS2_PLACEMENT_3D('',#461162,#373753,#373754); #340222=AXIS2_PLACEMENT_3D('',#461171,#373759,#373760); #340223=AXIS2_PLACEMENT_3D('',#461173,#373761,#373762); #340224=AXIS2_PLACEMENT_3D('',#461175,#373763,#373764); #340225=AXIS2_PLACEMENT_3D('',#461177,#373766,#373767); #340226=AXIS2_PLACEMENT_3D('',#461178,#373768,#373769); #340227=AXIS2_PLACEMENT_3D('',#461179,#373770,#373771); #340228=AXIS2_PLACEMENT_3D('',#461180,#373772,#373773); #340229=AXIS2_PLACEMENT_3D('',#461181,#373774,#373775); #340230=AXIS2_PLACEMENT_3D('',#461182,#373776,#373777); #340231=AXIS2_PLACEMENT_3D('',#461184,#373778,#373779); #340232=AXIS2_PLACEMENT_3D('',#461187,#373781,#373782); #340233=AXIS2_PLACEMENT_3D('',#461188,#373783,#373784); #340234=AXIS2_PLACEMENT_3D('',#461197,#373789,#373790); #340235=AXIS2_PLACEMENT_3D('',#461203,#373794,#373795); #340236=AXIS2_PLACEMENT_3D('',#461209,#373799,#373800); #340237=AXIS2_PLACEMENT_3D('',#461212,#373803,#373804); #340238=AXIS2_PLACEMENT_3D('',#461213,#373805,#373806); #340239=AXIS2_PLACEMENT_3D('',#461214,#373807,#373808); #340240=AXIS2_PLACEMENT_3D('',#461216,#373809,#373810); #340241=AXIS2_PLACEMENT_3D('',#461219,#373812,#373813); #340242=AXIS2_PLACEMENT_3D('',#461220,#373814,#373815); #340243=AXIS2_PLACEMENT_3D('',#461229,#373820,#373821); #340244=AXIS2_PLACEMENT_3D('',#461235,#373825,#373826); #340245=AXIS2_PLACEMENT_3D('',#461241,#373830,#373831); #340246=AXIS2_PLACEMENT_3D('',#461247,#373835,#373836); #340247=AXIS2_PLACEMENT_3D('',#461253,#373840,#373841); #340248=AXIS2_PLACEMENT_3D('',#461259,#373845,#373846); #340249=AXIS2_PLACEMENT_3D('',#461265,#373850,#373851); #340250=AXIS2_PLACEMENT_3D('',#461268,#373854,#373855); #340251=AXIS2_PLACEMENT_3D('',#461269,#373856,#373857); #340252=AXIS2_PLACEMENT_3D('',#461270,#373858,#373859); #340253=AXIS2_PLACEMENT_3D('',#461272,#373860,#373861); #340254=AXIS2_PLACEMENT_3D('',#461275,#373863,#373864); #340255=AXIS2_PLACEMENT_3D('',#461276,#373865,#373866); #340256=AXIS2_PLACEMENT_3D('',#461285,#373871,#373872); #340257=AXIS2_PLACEMENT_3D('',#461287,#373873,#373874); #340258=AXIS2_PLACEMENT_3D('',#461289,#373875,#373876); #340259=AXIS2_PLACEMENT_3D('',#461291,#373878,#373879); #340260=AXIS2_PLACEMENT_3D('',#461292,#373880,#373881); #340261=AXIS2_PLACEMENT_3D('',#461293,#373882,#373883); #340262=AXIS2_PLACEMENT_3D('',#461294,#373884,#373885); #340263=AXIS2_PLACEMENT_3D('',#461295,#373886,#373887); #340264=AXIS2_PLACEMENT_3D('',#461296,#373888,#373889); #340265=AXIS2_PLACEMENT_3D('',#461298,#373890,#373891); #340266=AXIS2_PLACEMENT_3D('',#461301,#373893,#373894); #340267=AXIS2_PLACEMENT_3D('',#461302,#373895,#373896); #340268=AXIS2_PLACEMENT_3D('',#461311,#373901,#373902); #340269=AXIS2_PLACEMENT_3D('',#461317,#373906,#373907); #340270=AXIS2_PLACEMENT_3D('',#461323,#373911,#373912); #340271=AXIS2_PLACEMENT_3D('',#461329,#373916,#373917); #340272=AXIS2_PLACEMENT_3D('',#461335,#373921,#373922); #340273=AXIS2_PLACEMENT_3D('',#461341,#373926,#373927); #340274=AXIS2_PLACEMENT_3D('',#461347,#373931,#373932); #340275=AXIS2_PLACEMENT_3D('',#461353,#373936,#373937); #340276=AXIS2_PLACEMENT_3D('',#461359,#373941,#373942); #340277=AXIS2_PLACEMENT_3D('',#461365,#373946,#373947); #340278=AXIS2_PLACEMENT_3D('',#461371,#373951,#373952); #340279=AXIS2_PLACEMENT_3D('',#461377,#373956,#373957); #340280=AXIS2_PLACEMENT_3D('',#461379,#373958,#373959); #340281=AXIS2_PLACEMENT_3D('',#461381,#373960,#373961); #340282=AXIS2_PLACEMENT_3D('',#461383,#373963,#373964); #340283=AXIS2_PLACEMENT_3D('',#461389,#373968,#373969); #340284=AXIS2_PLACEMENT_3D('',#461391,#373970,#373971); #340285=AXIS2_PLACEMENT_3D('',#461393,#373972,#373973); #340286=AXIS2_PLACEMENT_3D('',#461395,#373975,#373976); #340287=AXIS2_PLACEMENT_3D('',#461401,#373980,#373981); #340288=AXIS2_PLACEMENT_3D('',#461407,#373985,#373986); #340289=AXIS2_PLACEMENT_3D('',#461413,#373990,#373991); #340290=AXIS2_PLACEMENT_3D('',#461415,#373992,#373993); #340291=AXIS2_PLACEMENT_3D('',#461417,#373994,#373995); #340292=AXIS2_PLACEMENT_3D('',#461419,#373997,#373998); #340293=AXIS2_PLACEMENT_3D('',#461425,#374002,#374003); #340294=AXIS2_PLACEMENT_3D('',#461427,#374004,#374005); #340295=AXIS2_PLACEMENT_3D('',#461429,#374006,#374007); #340296=AXIS2_PLACEMENT_3D('',#461431,#374009,#374010); #340297=AXIS2_PLACEMENT_3D('',#461437,#374014,#374015); #340298=AXIS2_PLACEMENT_3D('',#461439,#374016,#374017); #340299=AXIS2_PLACEMENT_3D('',#461441,#374018,#374019); #340300=AXIS2_PLACEMENT_3D('',#461443,#374021,#374022); #340301=AXIS2_PLACEMENT_3D('',#461445,#374023,#374024); #340302=AXIS2_PLACEMENT_3D('',#461447,#374025,#374026); #340303=AXIS2_PLACEMENT_3D('',#461449,#374028,#374029); #340304=AXIS2_PLACEMENT_3D('',#461455,#374033,#374034); #340305=AXIS2_PLACEMENT_3D('',#461461,#374038,#374039); #340306=AXIS2_PLACEMENT_3D('',#461467,#374043,#374044); #340307=AXIS2_PLACEMENT_3D('',#461469,#374045,#374046); #340308=AXIS2_PLACEMENT_3D('',#461471,#374047,#374048); #340309=AXIS2_PLACEMENT_3D('',#461473,#374050,#374051); #340310=AXIS2_PLACEMENT_3D('',#461479,#374055,#374056); #340311=AXIS2_PLACEMENT_3D('',#461481,#374057,#374058); #340312=AXIS2_PLACEMENT_3D('',#461483,#374059,#374060); #340313=AXIS2_PLACEMENT_3D('',#461485,#374062,#374063); #340314=AXIS2_PLACEMENT_3D('',#461491,#374067,#374068); #340315=AXIS2_PLACEMENT_3D('',#461497,#374072,#374073); #340316=AXIS2_PLACEMENT_3D('',#461503,#374077,#374078); #340317=AXIS2_PLACEMENT_3D('',#461505,#374079,#374080); #340318=AXIS2_PLACEMENT_3D('',#461507,#374081,#374082); #340319=AXIS2_PLACEMENT_3D('',#461509,#374084,#374085); #340320=AXIS2_PLACEMENT_3D('',#461515,#374089,#374090); #340321=AXIS2_PLACEMENT_3D('',#461517,#374091,#374092); #340322=AXIS2_PLACEMENT_3D('',#461519,#374093,#374094); #340323=AXIS2_PLACEMENT_3D('',#461521,#374096,#374097); #340324=AXIS2_PLACEMENT_3D('',#461527,#374101,#374102); #340325=AXIS2_PLACEMENT_3D('',#461533,#374106,#374107); #340326=AXIS2_PLACEMENT_3D('',#461539,#374111,#374112); #340327=AXIS2_PLACEMENT_3D('',#461545,#374116,#374117); #340328=AXIS2_PLACEMENT_3D('',#461551,#374121,#374122); #340329=AXIS2_PLACEMENT_3D('',#461557,#374126,#374127); #340330=AXIS2_PLACEMENT_3D('',#461560,#374130,#374131); #340331=AXIS2_PLACEMENT_3D('',#461561,#374132,#374133); #340332=AXIS2_PLACEMENT_3D('',#461562,#374134,#374135); #340333=AXIS2_PLACEMENT_3D('',#461564,#374136,#374137); #340334=AXIS2_PLACEMENT_3D('',#461567,#374139,#374140); #340335=AXIS2_PLACEMENT_3D('',#461568,#374141,#374142); #340336=AXIS2_PLACEMENT_3D('',#461577,#374147,#374148); #340337=AXIS2_PLACEMENT_3D('',#461583,#374152,#374153); #340338=AXIS2_PLACEMENT_3D('',#461589,#374157,#374158); #340339=AXIS2_PLACEMENT_3D('',#461595,#374162,#374163); #340340=AXIS2_PLACEMENT_3D('',#461597,#374164,#374165); #340341=AXIS2_PLACEMENT_3D('',#461599,#374166,#374167); #340342=AXIS2_PLACEMENT_3D('',#461601,#374169,#374170); #340343=AXIS2_PLACEMENT_3D('',#461607,#374174,#374175); #340344=AXIS2_PLACEMENT_3D('',#461609,#374176,#374177); #340345=AXIS2_PLACEMENT_3D('',#461611,#374178,#374179); #340346=AXIS2_PLACEMENT_3D('',#461613,#374181,#374182); #340347=AXIS2_PLACEMENT_3D('',#461619,#374186,#374187); #340348=AXIS2_PLACEMENT_3D('',#461625,#374191,#374192); #340349=AXIS2_PLACEMENT_3D('',#461627,#374193,#374194); #340350=AXIS2_PLACEMENT_3D('',#461629,#374195,#374196); #340351=AXIS2_PLACEMENT_3D('',#461631,#374198,#374199); #340352=AXIS2_PLACEMENT_3D('',#461637,#374203,#374204); #340353=AXIS2_PLACEMENT_3D('',#461639,#374205,#374206); #340354=AXIS2_PLACEMENT_3D('',#461641,#374207,#374208); #340355=AXIS2_PLACEMENT_3D('',#461643,#374210,#374211); #340356=AXIS2_PLACEMENT_3D('',#461645,#374212,#374213); #340357=AXIS2_PLACEMENT_3D('',#461647,#374214,#374215); #340358=AXIS2_PLACEMENT_3D('',#461649,#374217,#374218); #340359=AXIS2_PLACEMENT_3D('',#461655,#374222,#374223); #340360=AXIS2_PLACEMENT_3D('',#461661,#374227,#374228); #340361=AXIS2_PLACEMENT_3D('',#461663,#374229,#374230); #340362=AXIS2_PLACEMENT_3D('',#461665,#374231,#374232); #340363=AXIS2_PLACEMENT_3D('',#461667,#374234,#374235); #340364=AXIS2_PLACEMENT_3D('',#461673,#374239,#374240); #340365=AXIS2_PLACEMENT_3D('',#461679,#374244,#374245); #340366=AXIS2_PLACEMENT_3D('',#461685,#374249,#374250); #340367=AXIS2_PLACEMENT_3D('',#461691,#374254,#374255); #340368=AXIS2_PLACEMENT_3D('',#461694,#374258,#374259); #340369=AXIS2_PLACEMENT_3D('',#461695,#374260,#374261); #340370=AXIS2_PLACEMENT_3D('',#461696,#374262,#374263); #340371=AXIS2_PLACEMENT_3D('',#461705,#374268,#374269); #340372=AXIS2_PLACEMENT_3D('',#461711,#374273,#374274); #340373=AXIS2_PLACEMENT_3D('',#461717,#374278,#374279); #340374=AXIS2_PLACEMENT_3D('',#461723,#374283,#374284); #340375=AXIS2_PLACEMENT_3D('',#461729,#374288,#374289); #340376=AXIS2_PLACEMENT_3D('',#461735,#374293,#374294); #340377=AXIS2_PLACEMENT_3D('',#461741,#374298,#374299); #340378=AXIS2_PLACEMENT_3D('',#461747,#374303,#374304); #340379=AXIS2_PLACEMENT_3D('',#461753,#374308,#374309); #340380=AXIS2_PLACEMENT_3D('',#461759,#374313,#374314); #340381=AXIS2_PLACEMENT_3D('',#461765,#374318,#374319); #340382=AXIS2_PLACEMENT_3D('',#461768,#374322,#374323); #340383=AXIS2_PLACEMENT_3D('',#461769,#374324,#374325); #340384=AXIS2_PLACEMENT_3D('',#461770,#374326,#374327); #340385=AXIS2_PLACEMENT_3D('',#461779,#374332,#374333); #340386=AXIS2_PLACEMENT_3D('',#461785,#374337,#374338); #340387=AXIS2_PLACEMENT_3D('',#461791,#374342,#374343); #340388=AXIS2_PLACEMENT_3D('',#461797,#374347,#374348); #340389=AXIS2_PLACEMENT_3D('',#461803,#374352,#374353); #340390=AXIS2_PLACEMENT_3D('',#461809,#374357,#374358); #340391=AXIS2_PLACEMENT_3D('',#461815,#374362,#374363); #340392=AXIS2_PLACEMENT_3D('',#461821,#374367,#374368); #340393=AXIS2_PLACEMENT_3D('',#461827,#374372,#374373); #340394=AXIS2_PLACEMENT_3D('',#461833,#374377,#374378); #340395=AXIS2_PLACEMENT_3D('',#461839,#374382,#374383); #340396=AXIS2_PLACEMENT_3D('',#461842,#374386,#374387); #340397=AXIS2_PLACEMENT_3D('',#461843,#374388,#374389); #340398=AXIS2_PLACEMENT_3D('',#461844,#374390,#374391); #340399=AXIS2_PLACEMENT_3D('',#461846,#374392,#374393); #340400=AXIS2_PLACEMENT_3D('',#461849,#374395,#374396); #340401=AXIS2_PLACEMENT_3D('',#461850,#374397,#374398); #340402=AXIS2_PLACEMENT_3D('',#461859,#374403,#374404); #340403=AXIS2_PLACEMENT_3D('',#461865,#374408,#374409); #340404=AXIS2_PLACEMENT_3D('',#461871,#374413,#374414); #340405=AXIS2_PLACEMENT_3D('',#461877,#374418,#374419); #340406=AXIS2_PLACEMENT_3D('',#461879,#374420,#374421); #340407=AXIS2_PLACEMENT_3D('',#461881,#374422,#374423); #340408=AXIS2_PLACEMENT_3D('',#461883,#374425,#374426); #340409=AXIS2_PLACEMENT_3D('',#461889,#374430,#374431); #340410=AXIS2_PLACEMENT_3D('',#461891,#374432,#374433); #340411=AXIS2_PLACEMENT_3D('',#461893,#374434,#374435); #340412=AXIS2_PLACEMENT_3D('',#461895,#374437,#374438); #340413=AXIS2_PLACEMENT_3D('',#461901,#374442,#374443); #340414=AXIS2_PLACEMENT_3D('',#461903,#374444,#374445); #340415=AXIS2_PLACEMENT_3D('',#461905,#374446,#374447); #340416=AXIS2_PLACEMENT_3D('',#461907,#374449,#374450); #340417=AXIS2_PLACEMENT_3D('',#461913,#374454,#374455); #340418=AXIS2_PLACEMENT_3D('',#461915,#374456,#374457); #340419=AXIS2_PLACEMENT_3D('',#461917,#374458,#374459); #340420=AXIS2_PLACEMENT_3D('',#461919,#374461,#374462); #340421=AXIS2_PLACEMENT_3D('',#461921,#374463,#374464); #340422=AXIS2_PLACEMENT_3D('',#461923,#374465,#374466); #340423=AXIS2_PLACEMENT_3D('',#461925,#374468,#374469); #340424=AXIS2_PLACEMENT_3D('',#461931,#374473,#374474); #340425=AXIS2_PLACEMENT_3D('',#461937,#374478,#374479); #340426=AXIS2_PLACEMENT_3D('',#461943,#374483,#374484); #340427=AXIS2_PLACEMENT_3D('',#461949,#374488,#374489); #340428=AXIS2_PLACEMENT_3D('',#461952,#374492,#374493); #340429=AXIS2_PLACEMENT_3D('',#461953,#374494,#374495); #340430=AXIS2_PLACEMENT_3D('',#461954,#374496,#374497); #340431=AXIS2_PLACEMENT_3D('',#461956,#374498,#374499); #340432=AXIS2_PLACEMENT_3D('',#461959,#374501,#374502); #340433=AXIS2_PLACEMENT_3D('',#461960,#374503,#374504); #340434=AXIS2_PLACEMENT_3D('',#461969,#374509,#374510); #340435=AXIS2_PLACEMENT_3D('',#461971,#374511,#374512); #340436=AXIS2_PLACEMENT_3D('',#461973,#374513,#374514); #340437=AXIS2_PLACEMENT_3D('',#461975,#374516,#374517); #340438=AXIS2_PLACEMENT_3D('',#461981,#374521,#374522); #340439=AXIS2_PLACEMENT_3D('',#461983,#374523,#374524); #340440=AXIS2_PLACEMENT_3D('',#461985,#374525,#374526); #340441=AXIS2_PLACEMENT_3D('',#461987,#374528,#374529); #340442=AXIS2_PLACEMENT_3D('',#461993,#374533,#374534); #340443=AXIS2_PLACEMENT_3D('',#461995,#374535,#374536); #340444=AXIS2_PLACEMENT_3D('',#461997,#374537,#374538); #340445=AXIS2_PLACEMENT_3D('',#461999,#374540,#374541); #340446=AXIS2_PLACEMENT_3D('',#462005,#374545,#374546); #340447=AXIS2_PLACEMENT_3D('',#462011,#374550,#374551); #340448=AXIS2_PLACEMENT_3D('',#462017,#374555,#374556); #340449=AXIS2_PLACEMENT_3D('',#462023,#374560,#374561); #340450=AXIS2_PLACEMENT_3D('',#462029,#374565,#374566); #340451=AXIS2_PLACEMENT_3D('',#462035,#374570,#374571); #340452=AXIS2_PLACEMENT_3D('',#462041,#374575,#374576); #340453=AXIS2_PLACEMENT_3D('',#462047,#374580,#374581); #340454=AXIS2_PLACEMENT_3D('',#462053,#374585,#374586); #340455=AXIS2_PLACEMENT_3D('',#462055,#374587,#374588); #340456=AXIS2_PLACEMENT_3D('',#462057,#374589,#374590); #340457=AXIS2_PLACEMENT_3D('',#462059,#374592,#374593); #340458=AXIS2_PLACEMENT_3D('',#462065,#374597,#374598); #340459=AXIS2_PLACEMENT_3D('',#462067,#374599,#374600); #340460=AXIS2_PLACEMENT_3D('',#462069,#374601,#374602); #340461=AXIS2_PLACEMENT_3D('',#462071,#374604,#374605); #340462=AXIS2_PLACEMENT_3D('',#462077,#374609,#374610); #340463=AXIS2_PLACEMENT_3D('',#462083,#374614,#374615); #340464=AXIS2_PLACEMENT_3D('',#462089,#374619,#374620); #340465=AXIS2_PLACEMENT_3D('',#462090,#374621,#374622); #340466=AXIS2_PLACEMENT_3D('',#462091,#374623,#374624); #340467=AXIS2_PLACEMENT_3D('',#462092,#374625,#374626); #340468=AXIS2_PLACEMENT_3D('',#462093,#374627,#374628); #340469=AXIS2_PLACEMENT_3D('',#462094,#374629,#374630); #340470=AXIS2_PLACEMENT_3D('',#462096,#374631,#374632); #340471=AXIS2_PLACEMENT_3D('',#462099,#374634,#374635); #340472=AXIS2_PLACEMENT_3D('',#462100,#374636,#374637); #340473=AXIS2_PLACEMENT_3D('',#462109,#374642,#374643); #340474=AXIS2_PLACEMENT_3D('',#462111,#374644,#374645); #340475=AXIS2_PLACEMENT_3D('',#462113,#374646,#374647); #340476=AXIS2_PLACEMENT_3D('',#462115,#374649,#374650); #340477=AXIS2_PLACEMENT_3D('',#462117,#374651,#374652); #340478=AXIS2_PLACEMENT_3D('',#462119,#374653,#374654); #340479=AXIS2_PLACEMENT_3D('',#462121,#374656,#374657); #340480=AXIS2_PLACEMENT_3D('',#462127,#374661,#374662); #340481=AXIS2_PLACEMENT_3D('',#462133,#374666,#374667); #340482=AXIS2_PLACEMENT_3D('',#462139,#374671,#374672); #340483=AXIS2_PLACEMENT_3D('',#462145,#374676,#374677); #340484=AXIS2_PLACEMENT_3D('',#462151,#374681,#374682); #340485=AXIS2_PLACEMENT_3D('',#462157,#374686,#374687); #340486=AXIS2_PLACEMENT_3D('',#462159,#374688,#374689); #340487=AXIS2_PLACEMENT_3D('',#462161,#374690,#374691); #340488=AXIS2_PLACEMENT_3D('',#462163,#374693,#374694); #340489=AXIS2_PLACEMENT_3D('',#462169,#374698,#374699); #340490=AXIS2_PLACEMENT_3D('',#462170,#374700,#374701); #340491=AXIS2_PLACEMENT_3D('',#462171,#374702,#374703); #340492=AXIS2_PLACEMENT_3D('',#462172,#374704,#374705); #340493=AXIS2_PLACEMENT_3D('',#462173,#374706,#374707); #340494=AXIS2_PLACEMENT_3D('',#462174,#374708,#374709); #340495=AXIS2_PLACEMENT_3D('',#462183,#374714,#374715); #340496=AXIS2_PLACEMENT_3D('',#462189,#374719,#374720); #340497=AXIS2_PLACEMENT_3D('',#462195,#374724,#374725); #340498=AXIS2_PLACEMENT_3D('',#462201,#374729,#374730); #340499=AXIS2_PLACEMENT_3D('',#462203,#374731,#374732); #340500=AXIS2_PLACEMENT_3D('',#462205,#374733,#374734); #340501=AXIS2_PLACEMENT_3D('',#462207,#374736,#374737); #340502=AXIS2_PLACEMENT_3D('',#462213,#374741,#374742); #340503=AXIS2_PLACEMENT_3D('',#462215,#374743,#374744); #340504=AXIS2_PLACEMENT_3D('',#462217,#374745,#374746); #340505=AXIS2_PLACEMENT_3D('',#462219,#374748,#374749); #340506=AXIS2_PLACEMENT_3D('',#462225,#374753,#374754); #340507=AXIS2_PLACEMENT_3D('',#462231,#374758,#374759); #340508=AXIS2_PLACEMENT_3D('',#462237,#374763,#374764); #340509=AXIS2_PLACEMENT_3D('',#462239,#374765,#374766); #340510=AXIS2_PLACEMENT_3D('',#462241,#374767,#374768); #340511=AXIS2_PLACEMENT_3D('',#462243,#374770,#374771); #340512=AXIS2_PLACEMENT_3D('',#462249,#374775,#374776); #340513=AXIS2_PLACEMENT_3D('',#462251,#374777,#374778); #340514=AXIS2_PLACEMENT_3D('',#462253,#374779,#374780); #340515=AXIS2_PLACEMENT_3D('',#462255,#374782,#374783); #340516=AXIS2_PLACEMENT_3D('',#462261,#374787,#374788); #340517=AXIS2_PLACEMENT_3D('',#462267,#374792,#374793); #340518=AXIS2_PLACEMENT_3D('',#462273,#374797,#374798); #340519=AXIS2_PLACEMENT_3D('',#462279,#374802,#374803); #340520=AXIS2_PLACEMENT_3D('',#462285,#374807,#374808); #340521=AXIS2_PLACEMENT_3D('',#462291,#374812,#374813); #340522=AXIS2_PLACEMENT_3D('',#462297,#374817,#374818); #340523=AXIS2_PLACEMENT_3D('',#462303,#374822,#374823); #340524=AXIS2_PLACEMENT_3D('',#462309,#374827,#374828); #340525=AXIS2_PLACEMENT_3D('',#462311,#374829,#374830); #340526=AXIS2_PLACEMENT_3D('',#462313,#374831,#374832); #340527=AXIS2_PLACEMENT_3D('',#462315,#374834,#374835); #340528=AXIS2_PLACEMENT_3D('',#462321,#374839,#374840); #340529=AXIS2_PLACEMENT_3D('',#462323,#374841,#374842); #340530=AXIS2_PLACEMENT_3D('',#462325,#374843,#374844); #340531=AXIS2_PLACEMENT_3D('',#462327,#374846,#374847); #340532=AXIS2_PLACEMENT_3D('',#462333,#374851,#374852); #340533=AXIS2_PLACEMENT_3D('',#462339,#374856,#374857); #340534=AXIS2_PLACEMENT_3D('',#462345,#374861,#374862); #340535=AXIS2_PLACEMENT_3D('',#462347,#374863,#374864); #340536=AXIS2_PLACEMENT_3D('',#462349,#374865,#374866); #340537=AXIS2_PLACEMENT_3D('',#462351,#374868,#374869); #340538=AXIS2_PLACEMENT_3D('',#462357,#374873,#374874); #340539=AXIS2_PLACEMENT_3D('',#462359,#374875,#374876); #340540=AXIS2_PLACEMENT_3D('',#462361,#374877,#374878); #340541=AXIS2_PLACEMENT_3D('',#462363,#374880,#374881); #340542=AXIS2_PLACEMENT_3D('',#462369,#374885,#374886); #340543=AXIS2_PLACEMENT_3D('',#462375,#374890,#374891); #340544=AXIS2_PLACEMENT_3D('',#462381,#374895,#374896); #340545=AXIS2_PLACEMENT_3D('',#462387,#374900,#374901); #340546=AXIS2_PLACEMENT_3D('',#462390,#374904,#374905); #340547=AXIS2_PLACEMENT_3D('',#462391,#374906,#374907); #340548=AXIS2_PLACEMENT_3D('',#462392,#374908,#374909); #340549=AXIS2_PLACEMENT_3D('',#462394,#374910,#374911); #340550=AXIS2_PLACEMENT_3D('',#462397,#374913,#374914); #340551=AXIS2_PLACEMENT_3D('',#462398,#374915,#374916); #340552=AXIS2_PLACEMENT_3D('',#462407,#374921,#374922); #340553=AXIS2_PLACEMENT_3D('',#462409,#374923,#374924); #340554=AXIS2_PLACEMENT_3D('',#462411,#374925,#374926); #340555=AXIS2_PLACEMENT_3D('',#462413,#374928,#374929); #340556=AXIS2_PLACEMENT_3D('',#462419,#374933,#374934); #340557=AXIS2_PLACEMENT_3D('',#462425,#374938,#374939); #340558=AXIS2_PLACEMENT_3D('',#462431,#374943,#374944); #340559=AXIS2_PLACEMENT_3D('',#462437,#374948,#374949); #340560=AXIS2_PLACEMENT_3D('',#462443,#374953,#374954); #340561=AXIS2_PLACEMENT_3D('',#462449,#374958,#374959); #340562=AXIS2_PLACEMENT_3D('',#462455,#374963,#374964); #340563=AXIS2_PLACEMENT_3D('',#462456,#374965,#374966); #340564=AXIS2_PLACEMENT_3D('',#462457,#374967,#374968); #340565=AXIS2_PLACEMENT_3D('',#462458,#374969,#374970); #340566=AXIS2_PLACEMENT_3D('',#462459,#374971,#374972); #340567=AXIS2_PLACEMENT_3D('',#462460,#374973,#374974); #340568=AXIS2_PLACEMENT_3D('',#462462,#374975,#374976); #340569=AXIS2_PLACEMENT_3D('',#462465,#374978,#374979); #340570=AXIS2_PLACEMENT_3D('',#462466,#374980,#374981); #340571=AXIS2_PLACEMENT_3D('',#462468,#374982,#374983); #340572=AXIS2_PLACEMENT_3D('',#462471,#374985,#374986); #340573=AXIS2_PLACEMENT_3D('',#462472,#374987,#374988); #340574=AXIS2_PLACEMENT_3D('',#462481,#374993,#374994); #340575=AXIS2_PLACEMENT_3D('',#462487,#374998,#374999); #340576=AXIS2_PLACEMENT_3D('',#462489,#375000,#375001); #340577=AXIS2_PLACEMENT_3D('',#462491,#375002,#375003); #340578=AXIS2_PLACEMENT_3D('',#462493,#375005,#375006); #340579=AXIS2_PLACEMENT_3D('',#462495,#375007,#375008); #340580=AXIS2_PLACEMENT_3D('',#462497,#375009,#375010); #340581=AXIS2_PLACEMENT_3D('',#462499,#375012,#375013); #340582=AXIS2_PLACEMENT_3D('',#462505,#375017,#375018); #340583=AXIS2_PLACEMENT_3D('',#462511,#375022,#375023); #340584=AXIS2_PLACEMENT_3D('',#462513,#375024,#375025); #340585=AXIS2_PLACEMENT_3D('',#462515,#375026,#375027); #340586=AXIS2_PLACEMENT_3D('',#462517,#375029,#375030); #340587=AXIS2_PLACEMENT_3D('',#462519,#375031,#375032); #340588=AXIS2_PLACEMENT_3D('',#462521,#375033,#375034); #340589=AXIS2_PLACEMENT_3D('',#462523,#375036,#375037); #340590=AXIS2_PLACEMENT_3D('',#462529,#375041,#375042); #340591=AXIS2_PLACEMENT_3D('',#462535,#375046,#375047); #340592=AXIS2_PLACEMENT_3D('',#462541,#375051,#375052); #340593=AXIS2_PLACEMENT_3D('',#462547,#375056,#375057); #340594=AXIS2_PLACEMENT_3D('',#462553,#375061,#375062); #340595=AXIS2_PLACEMENT_3D('',#462559,#375066,#375067); #340596=AXIS2_PLACEMENT_3D('',#462565,#375071,#375072); #340597=AXIS2_PLACEMENT_3D('',#462571,#375076,#375077); #340598=AXIS2_PLACEMENT_3D('',#462577,#375081,#375082); #340599=AXIS2_PLACEMENT_3D('',#462583,#375086,#375087); #340600=AXIS2_PLACEMENT_3D('',#462585,#375088,#375089); #340601=AXIS2_PLACEMENT_3D('',#462587,#375090,#375091); #340602=AXIS2_PLACEMENT_3D('',#462589,#375093,#375094); #340603=AXIS2_PLACEMENT_3D('',#462595,#375098,#375099); #340604=AXIS2_PLACEMENT_3D('',#462596,#375100,#375101); #340605=AXIS2_PLACEMENT_3D('',#462597,#375102,#375103); #340606=AXIS2_PLACEMENT_3D('',#462598,#375104,#375105); #340607=AXIS2_PLACEMENT_3D('',#462599,#375106,#375107); #340608=AXIS2_PLACEMENT_3D('',#462600,#375108,#375109); #340609=AXIS2_PLACEMENT_3D('',#462609,#375114,#375115); #340610=AXIS2_PLACEMENT_3D('',#462615,#375119,#375120); #340611=AXIS2_PLACEMENT_3D('',#462621,#375124,#375125); #340612=AXIS2_PLACEMENT_3D('',#462627,#375129,#375130); #340613=AXIS2_PLACEMENT_3D('',#462629,#375131,#375132); #340614=AXIS2_PLACEMENT_3D('',#462631,#375133,#375134); #340615=AXIS2_PLACEMENT_3D('',#462633,#375136,#375137); #340616=AXIS2_PLACEMENT_3D('',#462639,#375141,#375142); #340617=AXIS2_PLACEMENT_3D('',#462645,#375146,#375147); #340618=AXIS2_PLACEMENT_3D('',#462651,#375151,#375152); #340619=AXIS2_PLACEMENT_3D('',#462657,#375156,#375157); #340620=AXIS2_PLACEMENT_3D('',#462663,#375161,#375162); #340621=AXIS2_PLACEMENT_3D('',#462669,#375166,#375167); #340622=AXIS2_PLACEMENT_3D('',#462675,#375171,#375172); #340623=AXIS2_PLACEMENT_3D('',#462681,#375176,#375177); #340624=AXIS2_PLACEMENT_3D('',#462684,#375180,#375181); #340625=AXIS2_PLACEMENT_3D('',#462685,#375182,#375183); #340626=AXIS2_PLACEMENT_3D('',#462686,#375184,#375185); #340627=AXIS2_PLACEMENT_3D('',#462688,#375186,#375187); #340628=AXIS2_PLACEMENT_3D('',#462691,#375189,#375190); #340629=AXIS2_PLACEMENT_3D('',#462692,#375191,#375192); #340630=AXIS2_PLACEMENT_3D('',#462694,#375193,#375194); #340631=AXIS2_PLACEMENT_3D('',#462697,#375196,#375197); #340632=AXIS2_PLACEMENT_3D('',#462698,#375198,#375199); #340633=AXIS2_PLACEMENT_3D('',#462700,#375200,#375201); #340634=AXIS2_PLACEMENT_3D('',#462703,#375203,#375204); #340635=AXIS2_PLACEMENT_3D('',#462704,#375205,#375206); #340636=AXIS2_PLACEMENT_3D('',#462706,#375207,#375208); #340637=AXIS2_PLACEMENT_3D('',#462709,#375210,#375211); #340638=AXIS2_PLACEMENT_3D('',#462710,#375212,#375213); #340639=AXIS2_PLACEMENT_3D('',#462712,#375214,#375215); #340640=AXIS2_PLACEMENT_3D('',#462715,#375217,#375218); #340641=AXIS2_PLACEMENT_3D('',#462716,#375219,#375220); #340642=AXIS2_PLACEMENT_3D('',#462718,#375221,#375222); #340643=AXIS2_PLACEMENT_3D('',#462721,#375224,#375225); #340644=AXIS2_PLACEMENT_3D('',#462722,#375226,#375227); #340645=AXIS2_PLACEMENT_3D('',#462724,#375228,#375229); #340646=AXIS2_PLACEMENT_3D('',#462727,#375231,#375232); #340647=AXIS2_PLACEMENT_3D('',#462728,#375233,#375234); #340648=AXIS2_PLACEMENT_3D('',#462730,#375235,#375236); #340649=AXIS2_PLACEMENT_3D('',#462733,#375238,#375239); #340650=AXIS2_PLACEMENT_3D('',#462734,#375240,#375241); #340651=AXIS2_PLACEMENT_3D('',#462736,#375242,#375243); #340652=AXIS2_PLACEMENT_3D('',#462739,#375245,#375246); #340653=AXIS2_PLACEMENT_3D('',#462740,#375247,#375248); #340654=AXIS2_PLACEMENT_3D('',#462742,#375249,#375250); #340655=AXIS2_PLACEMENT_3D('',#462745,#375252,#375253); #340656=AXIS2_PLACEMENT_3D('',#462746,#375254,#375255); #340657=AXIS2_PLACEMENT_3D('',#462748,#375256,#375257); #340658=AXIS2_PLACEMENT_3D('',#462751,#375259,#375260); #340659=AXIS2_PLACEMENT_3D('',#462752,#375261,#375262); #340660=AXIS2_PLACEMENT_3D('',#462754,#375263,#375264); #340661=AXIS2_PLACEMENT_3D('',#462757,#375266,#375267); #340662=AXIS2_PLACEMENT_3D('',#462758,#375268,#375269); #340663=AXIS2_PLACEMENT_3D('',#462760,#375270,#375271); #340664=AXIS2_PLACEMENT_3D('',#462763,#375273,#375274); #340665=AXIS2_PLACEMENT_3D('',#462764,#375275,#375276); #340666=AXIS2_PLACEMENT_3D('',#462773,#375281,#375282); #340667=AXIS2_PLACEMENT_3D('',#462779,#375286,#375287); #340668=AXIS2_PLACEMENT_3D('',#462785,#375291,#375292); #340669=AXIS2_PLACEMENT_3D('',#462791,#375296,#375297); #340670=AXIS2_PLACEMENT_3D('',#462797,#375301,#375302); #340671=AXIS2_PLACEMENT_3D('',#462803,#375306,#375307); #340672=AXIS2_PLACEMENT_3D('',#462809,#375311,#375312); #340673=AXIS2_PLACEMENT_3D('',#462815,#375316,#375317); #340674=AXIS2_PLACEMENT_3D('',#462821,#375321,#375322); #340675=AXIS2_PLACEMENT_3D('',#462827,#375326,#375327); #340676=AXIS2_PLACEMENT_3D('',#462833,#375331,#375332); #340677=AXIS2_PLACEMENT_3D('',#462839,#375336,#375337); #340678=AXIS2_PLACEMENT_3D('',#462845,#375341,#375342); #340679=AXIS2_PLACEMENT_3D('',#462851,#375346,#375347); #340680=AXIS2_PLACEMENT_3D('',#462857,#375351,#375352); #340681=AXIS2_PLACEMENT_3D('',#462860,#375355,#375356); #340682=AXIS2_PLACEMENT_3D('',#462869,#375361,#375362); #340683=AXIS2_PLACEMENT_3D('',#462875,#375366,#375367); #340684=AXIS2_PLACEMENT_3D('',#462881,#375371,#375372); #340685=AXIS2_PLACEMENT_3D('',#462887,#375376,#375377); #340686=AXIS2_PLACEMENT_3D('',#462893,#375381,#375382); #340687=AXIS2_PLACEMENT_3D('',#462899,#375386,#375387); #340688=AXIS2_PLACEMENT_3D('',#462905,#375391,#375392); #340689=AXIS2_PLACEMENT_3D('',#462911,#375396,#375397); #340690=AXIS2_PLACEMENT_3D('',#462917,#375401,#375402); #340691=AXIS2_PLACEMENT_3D('',#462923,#375406,#375407); #340692=AXIS2_PLACEMENT_3D('',#462929,#375411,#375412); #340693=AXIS2_PLACEMENT_3D('',#462935,#375416,#375417); #340694=AXIS2_PLACEMENT_3D('',#462941,#375421,#375422); #340695=AXIS2_PLACEMENT_3D('',#462947,#375426,#375427); #340696=AXIS2_PLACEMENT_3D('',#462953,#375431,#375432); #340697=AXIS2_PLACEMENT_3D('',#462959,#375436,#375437); #340698=AXIS2_PLACEMENT_3D('',#462965,#375441,#375442); #340699=AXIS2_PLACEMENT_3D('',#462971,#375446,#375447); #340700=AXIS2_PLACEMENT_3D('',#462977,#375451,#375452); #340701=AXIS2_PLACEMENT_3D('',#462983,#375456,#375457); #340702=AXIS2_PLACEMENT_3D('',#462989,#375461,#375462); #340703=AXIS2_PLACEMENT_3D('',#462995,#375466,#375467); #340704=AXIS2_PLACEMENT_3D('',#463001,#375471,#375472); #340705=AXIS2_PLACEMENT_3D('',#463007,#375476,#375477); #340706=AXIS2_PLACEMENT_3D('',#463013,#375481,#375482); #340707=AXIS2_PLACEMENT_3D('',#463019,#375486,#375487); #340708=AXIS2_PLACEMENT_3D('',#463025,#375491,#375492); #340709=AXIS2_PLACEMENT_3D('',#463031,#375496,#375497); #340710=AXIS2_PLACEMENT_3D('',#463037,#375501,#375502); #340711=AXIS2_PLACEMENT_3D('',#463043,#375506,#375507); #340712=AXIS2_PLACEMENT_3D('',#463049,#375511,#375512); #340713=AXIS2_PLACEMENT_3D('',#463055,#375516,#375517); #340714=AXIS2_PLACEMENT_3D('',#463061,#375521,#375522); #340715=AXIS2_PLACEMENT_3D('',#463067,#375526,#375527); #340716=AXIS2_PLACEMENT_3D('',#463073,#375531,#375532); #340717=AXIS2_PLACEMENT_3D('',#463079,#375536,#375537); #340718=AXIS2_PLACEMENT_3D('',#463085,#375541,#375542); #340719=AXIS2_PLACEMENT_3D('',#463091,#375546,#375547); #340720=AXIS2_PLACEMENT_3D('',#463097,#375551,#375552); #340721=AXIS2_PLACEMENT_3D('',#463103,#375556,#375557); #340722=AXIS2_PLACEMENT_3D('',#463109,#375561,#375562); #340723=AXIS2_PLACEMENT_3D('',#463115,#375566,#375567); #340724=AXIS2_PLACEMENT_3D('',#463121,#375571,#375572); #340725=AXIS2_PLACEMENT_3D('',#463127,#375576,#375577); #340726=AXIS2_PLACEMENT_3D('',#463133,#375581,#375582); #340727=AXIS2_PLACEMENT_3D('',#463139,#375586,#375587); #340728=AXIS2_PLACEMENT_3D('',#463145,#375591,#375592); #340729=AXIS2_PLACEMENT_3D('',#463151,#375596,#375597); #340730=AXIS2_PLACEMENT_3D('',#463157,#375601,#375602); #340731=AXIS2_PLACEMENT_3D('',#463163,#375606,#375607); #340732=AXIS2_PLACEMENT_3D('',#463169,#375611,#375612); #340733=AXIS2_PLACEMENT_3D('',#463175,#375616,#375617); #340734=AXIS2_PLACEMENT_3D('',#463181,#375621,#375622); #340735=AXIS2_PLACEMENT_3D('',#463187,#375626,#375627); #340736=AXIS2_PLACEMENT_3D('',#463193,#375631,#375632); #340737=AXIS2_PLACEMENT_3D('',#463199,#375636,#375637); #340738=AXIS2_PLACEMENT_3D('',#463205,#375641,#375642); #340739=AXIS2_PLACEMENT_3D('',#463211,#375646,#375647); #340740=AXIS2_PLACEMENT_3D('',#463217,#375651,#375652); #340741=AXIS2_PLACEMENT_3D('',#463223,#375656,#375657); #340742=AXIS2_PLACEMENT_3D('',#463229,#375661,#375662); #340743=AXIS2_PLACEMENT_3D('',#463235,#375666,#375667); #340744=AXIS2_PLACEMENT_3D('',#463241,#375671,#375672); #340745=AXIS2_PLACEMENT_3D('',#463247,#375676,#375677); #340746=AXIS2_PLACEMENT_3D('',#463253,#375681,#375682); #340747=AXIS2_PLACEMENT_3D('',#463259,#375686,#375687); #340748=AXIS2_PLACEMENT_3D('',#463265,#375691,#375692); #340749=AXIS2_PLACEMENT_3D('',#463271,#375696,#375697); #340750=AXIS2_PLACEMENT_3D('',#463277,#375701,#375702); #340751=AXIS2_PLACEMENT_3D('',#463283,#375706,#375707); #340752=AXIS2_PLACEMENT_3D('',#463289,#375711,#375712); #340753=AXIS2_PLACEMENT_3D('',#463295,#375716,#375717); #340754=AXIS2_PLACEMENT_3D('',#463301,#375721,#375722); #340755=AXIS2_PLACEMENT_3D('',#463307,#375726,#375727); #340756=AXIS2_PLACEMENT_3D('',#463313,#375731,#375732); #340757=AXIS2_PLACEMENT_3D('',#463319,#375736,#375737); #340758=AXIS2_PLACEMENT_3D('',#463325,#375741,#375742); #340759=AXIS2_PLACEMENT_3D('',#463331,#375746,#375747); #340760=AXIS2_PLACEMENT_3D('',#463337,#375751,#375752); #340761=AXIS2_PLACEMENT_3D('',#463343,#375756,#375757); #340762=AXIS2_PLACEMENT_3D('',#463349,#375761,#375762); #340763=AXIS2_PLACEMENT_3D('',#463352,#375765,#375766); #340764=AXIS2_PLACEMENT_3D('',#463353,#375767,#375768); #340765=AXIS2_PLACEMENT_3D('',#463354,#375769,#375770); #340766=AXIS2_PLACEMENT_3D('',#463356,#375771,#375772); #340767=AXIS2_PLACEMENT_3D('',#463359,#375774,#375775); #340768=AXIS2_PLACEMENT_3D('',#463360,#375776,#375777); #340769=AXIS2_PLACEMENT_3D('',#463369,#375782,#375783); #340770=AXIS2_PLACEMENT_3D('',#463371,#375784,#375785); #340771=AXIS2_PLACEMENT_3D('',#463373,#375786,#375787); #340772=AXIS2_PLACEMENT_3D('',#463375,#375789,#375790); #340773=AXIS2_PLACEMENT_3D('',#463376,#375791,#375792); #340774=AXIS2_PLACEMENT_3D('',#463377,#375793,#375794); #340775=AXIS2_PLACEMENT_3D('',#463378,#375795,#375796); #340776=AXIS2_PLACEMENT_3D('',#463379,#375797,#375798); #340777=AXIS2_PLACEMENT_3D('',#463380,#375799,#375800); #340778=AXIS2_PLACEMENT_3D('',#463382,#375801,#375802); #340779=AXIS2_PLACEMENT_3D('',#463385,#375804,#375805); #340780=AXIS2_PLACEMENT_3D('',#463386,#375806,#375807); #340781=AXIS2_PLACEMENT_3D('',#463388,#375808,#375809); #340782=AXIS2_PLACEMENT_3D('',#463391,#375811,#375812); #340783=AXIS2_PLACEMENT_3D('',#463392,#375813,#375814); #340784=AXIS2_PLACEMENT_3D('',#463394,#375815,#375816); #340785=AXIS2_PLACEMENT_3D('',#463397,#375818,#375819); #340786=AXIS2_PLACEMENT_3D('',#463398,#375820,#375821); #340787=AXIS2_PLACEMENT_3D('',#463400,#375822,#375823); #340788=AXIS2_PLACEMENT_3D('',#463403,#375825,#375826); #340789=AXIS2_PLACEMENT_3D('',#463404,#375827,#375828); #340790=AXIS2_PLACEMENT_3D('',#463406,#375829,#375830); #340791=AXIS2_PLACEMENT_3D('',#463409,#375832,#375833); #340792=AXIS2_PLACEMENT_3D('',#463410,#375834,#375835); #340793=AXIS2_PLACEMENT_3D('',#463412,#375836,#375837); #340794=AXIS2_PLACEMENT_3D('',#463415,#375839,#375840); #340795=AXIS2_PLACEMENT_3D('',#463416,#375841,#375842); #340796=AXIS2_PLACEMENT_3D('',#463418,#375843,#375844); #340797=AXIS2_PLACEMENT_3D('',#463421,#375846,#375847); #340798=AXIS2_PLACEMENT_3D('',#463422,#375848,#375849); #340799=AXIS2_PLACEMENT_3D('',#463424,#375850,#375851); #340800=AXIS2_PLACEMENT_3D('',#463427,#375853,#375854); #340801=AXIS2_PLACEMENT_3D('',#463428,#375855,#375856); #340802=AXIS2_PLACEMENT_3D('',#463430,#375857,#375858); #340803=AXIS2_PLACEMENT_3D('',#463433,#375860,#375861); #340804=AXIS2_PLACEMENT_3D('',#463434,#375862,#375863); #340805=AXIS2_PLACEMENT_3D('',#463436,#375864,#375865); #340806=AXIS2_PLACEMENT_3D('',#463439,#375867,#375868); #340807=AXIS2_PLACEMENT_3D('',#463440,#375869,#375870); #340808=AXIS2_PLACEMENT_3D('',#463442,#375871,#375872); #340809=AXIS2_PLACEMENT_3D('',#463445,#375874,#375875); #340810=AXIS2_PLACEMENT_3D('',#463446,#375876,#375877); #340811=AXIS2_PLACEMENT_3D('',#463455,#375882,#375883); #340812=AXIS2_PLACEMENT_3D('',#463461,#375887,#375888); #340813=AXIS2_PLACEMENT_3D('',#463467,#375892,#375893); #340814=AXIS2_PLACEMENT_3D('',#463473,#375897,#375898); #340815=AXIS2_PLACEMENT_3D('',#463475,#375899,#375900); #340816=AXIS2_PLACEMENT_3D('',#463477,#375901,#375902); #340817=AXIS2_PLACEMENT_3D('',#463479,#375904,#375905); #340818=AXIS2_PLACEMENT_3D('',#463485,#375909,#375910); #340819=AXIS2_PLACEMENT_3D('',#463487,#375911,#375912); #340820=AXIS2_PLACEMENT_3D('',#463489,#375913,#375914); #340821=AXIS2_PLACEMENT_3D('',#463491,#375916,#375917); #340822=AXIS2_PLACEMENT_3D('',#463497,#375921,#375922); #340823=AXIS2_PLACEMENT_3D('',#463503,#375926,#375927); #340824=AXIS2_PLACEMENT_3D('',#463509,#375931,#375932); #340825=AXIS2_PLACEMENT_3D('',#463515,#375936,#375937); #340826=AXIS2_PLACEMENT_3D('',#463521,#375941,#375942); #340827=AXIS2_PLACEMENT_3D('',#463527,#375946,#375947); #340828=AXIS2_PLACEMENT_3D('',#463533,#375951,#375952); #340829=AXIS2_PLACEMENT_3D('',#463539,#375956,#375957); #340830=AXIS2_PLACEMENT_3D('',#463545,#375961,#375962); #340831=AXIS2_PLACEMENT_3D('',#463551,#375966,#375967); #340832=AXIS2_PLACEMENT_3D('',#463557,#375971,#375972); #340833=AXIS2_PLACEMENT_3D('',#463563,#375976,#375977); #340834=AXIS2_PLACEMENT_3D('',#463569,#375981,#375982); #340835=AXIS2_PLACEMENT_3D('',#463575,#375986,#375987); #340836=AXIS2_PLACEMENT_3D('',#463581,#375991,#375992); #340837=AXIS2_PLACEMENT_3D('',#463587,#375996,#375997); #340838=AXIS2_PLACEMENT_3D('',#463593,#376001,#376002); #340839=AXIS2_PLACEMENT_3D('',#463599,#376006,#376007); #340840=AXIS2_PLACEMENT_3D('',#463605,#376011,#376012); #340841=AXIS2_PLACEMENT_3D('',#463611,#376016,#376017); #340842=AXIS2_PLACEMENT_3D('',#463617,#376021,#376022); #340843=AXIS2_PLACEMENT_3D('',#463623,#376026,#376027); #340844=AXIS2_PLACEMENT_3D('',#463629,#376031,#376032); #340845=AXIS2_PLACEMENT_3D('',#463635,#376036,#376037); #340846=AXIS2_PLACEMENT_3D('',#463641,#376041,#376042); #340847=AXIS2_PLACEMENT_3D('',#463647,#376046,#376047); #340848=AXIS2_PLACEMENT_3D('',#463653,#376051,#376052); #340849=AXIS2_PLACEMENT_3D('',#463659,#376056,#376057); #340850=AXIS2_PLACEMENT_3D('',#463665,#376061,#376062); #340851=AXIS2_PLACEMENT_3D('',#463671,#376066,#376067); #340852=AXIS2_PLACEMENT_3D('',#463677,#376071,#376072); #340853=AXIS2_PLACEMENT_3D('',#463683,#376076,#376077); #340854=AXIS2_PLACEMENT_3D('',#463689,#376081,#376082); #340855=AXIS2_PLACEMENT_3D('',#463695,#376086,#376087); #340856=AXIS2_PLACEMENT_3D('',#463701,#376091,#376092); #340857=AXIS2_PLACEMENT_3D('',#463707,#376096,#376097); #340858=AXIS2_PLACEMENT_3D('',#463713,#376101,#376102); #340859=AXIS2_PLACEMENT_3D('',#463719,#376106,#376107); #340860=AXIS2_PLACEMENT_3D('',#463725,#376111,#376112); #340861=AXIS2_PLACEMENT_3D('',#463731,#376116,#376117); #340862=AXIS2_PLACEMENT_3D('',#463737,#376121,#376122); #340863=AXIS2_PLACEMENT_3D('',#463743,#376126,#376127); #340864=AXIS2_PLACEMENT_3D('',#463749,#376131,#376132); #340865=AXIS2_PLACEMENT_3D('',#463755,#376136,#376137); #340866=AXIS2_PLACEMENT_3D('',#463761,#376141,#376142); #340867=AXIS2_PLACEMENT_3D('',#463767,#376146,#376147); #340868=AXIS2_PLACEMENT_3D('',#463773,#376151,#376152); #340869=AXIS2_PLACEMENT_3D('',#463779,#376156,#376157); #340870=AXIS2_PLACEMENT_3D('',#463785,#376161,#376162); #340871=AXIS2_PLACEMENT_3D('',#463791,#376166,#376167); #340872=AXIS2_PLACEMENT_3D('',#463797,#376171,#376172); #340873=AXIS2_PLACEMENT_3D('',#463803,#376176,#376177); #340874=AXIS2_PLACEMENT_3D('',#463809,#376181,#376182); #340875=AXIS2_PLACEMENT_3D('',#463815,#376186,#376187); #340876=AXIS2_PLACEMENT_3D('',#463821,#376191,#376192); #340877=AXIS2_PLACEMENT_3D('',#463827,#376196,#376197); #340878=AXIS2_PLACEMENT_3D('',#463833,#376201,#376202); #340879=AXIS2_PLACEMENT_3D('',#463839,#376206,#376207); #340880=AXIS2_PLACEMENT_3D('',#463845,#376211,#376212); #340881=AXIS2_PLACEMENT_3D('',#463851,#376216,#376217); #340882=AXIS2_PLACEMENT_3D('',#463857,#376221,#376222); #340883=AXIS2_PLACEMENT_3D('',#463863,#376226,#376227); #340884=AXIS2_PLACEMENT_3D('',#463869,#376231,#376232); #340885=AXIS2_PLACEMENT_3D('',#463875,#376236,#376237); #340886=AXIS2_PLACEMENT_3D('',#463881,#376241,#376242); #340887=AXIS2_PLACEMENT_3D('',#463887,#376246,#376247); #340888=AXIS2_PLACEMENT_3D('',#463893,#376251,#376252); #340889=AXIS2_PLACEMENT_3D('',#463899,#376256,#376257); #340890=AXIS2_PLACEMENT_3D('',#463905,#376261,#376262); #340891=AXIS2_PLACEMENT_3D('',#463911,#376266,#376267); #340892=AXIS2_PLACEMENT_3D('',#463917,#376271,#376272); #340893=AXIS2_PLACEMENT_3D('',#463923,#376276,#376277); #340894=AXIS2_PLACEMENT_3D('',#463929,#376281,#376282); #340895=AXIS2_PLACEMENT_3D('',#463935,#376286,#376287); #340896=AXIS2_PLACEMENT_3D('',#463941,#376291,#376292); #340897=AXIS2_PLACEMENT_3D('',#463947,#376296,#376297); #340898=AXIS2_PLACEMENT_3D('',#463953,#376301,#376302); #340899=AXIS2_PLACEMENT_3D('',#463959,#376306,#376307); #340900=AXIS2_PLACEMENT_3D('',#463965,#376311,#376312); #340901=AXIS2_PLACEMENT_3D('',#463971,#376316,#376317); #340902=AXIS2_PLACEMENT_3D('',#463977,#376321,#376322); #340903=AXIS2_PLACEMENT_3D('',#463983,#376326,#376327); #340904=AXIS2_PLACEMENT_3D('',#463989,#376331,#376332); #340905=AXIS2_PLACEMENT_3D('',#463995,#376336,#376337); #340906=AXIS2_PLACEMENT_3D('',#464001,#376341,#376342); #340907=AXIS2_PLACEMENT_3D('',#464007,#376346,#376347); #340908=AXIS2_PLACEMENT_3D('',#464013,#376351,#376352); #340909=AXIS2_PLACEMENT_3D('',#464019,#376356,#376357); #340910=AXIS2_PLACEMENT_3D('',#464025,#376361,#376362); #340911=AXIS2_PLACEMENT_3D('',#464031,#376366,#376367); #340912=AXIS2_PLACEMENT_3D('',#464037,#376371,#376372); #340913=AXIS2_PLACEMENT_3D('',#464043,#376376,#376377); #340914=AXIS2_PLACEMENT_3D('',#464049,#376381,#376382); #340915=AXIS2_PLACEMENT_3D('',#464055,#376386,#376387); #340916=AXIS2_PLACEMENT_3D('',#464061,#376391,#376392); #340917=AXIS2_PLACEMENT_3D('',#464067,#376396,#376397); #340918=AXIS2_PLACEMENT_3D('',#464073,#376401,#376402); #340919=AXIS2_PLACEMENT_3D('',#464079,#376406,#376407); #340920=AXIS2_PLACEMENT_3D('',#464085,#376411,#376412); #340921=AXIS2_PLACEMENT_3D('',#464091,#376416,#376417); #340922=AXIS2_PLACEMENT_3D('',#464097,#376421,#376422); #340923=AXIS2_PLACEMENT_3D('',#464103,#376426,#376427); #340924=AXIS2_PLACEMENT_3D('',#464109,#376431,#376432); #340925=AXIS2_PLACEMENT_3D('',#464115,#376436,#376437); #340926=AXIS2_PLACEMENT_3D('',#464121,#376441,#376442); #340927=AXIS2_PLACEMENT_3D('',#464127,#376446,#376447); #340928=AXIS2_PLACEMENT_3D('',#464133,#376451,#376452); #340929=AXIS2_PLACEMENT_3D('',#464139,#376456,#376457); #340930=AXIS2_PLACEMENT_3D('',#464145,#376461,#376462); #340931=AXIS2_PLACEMENT_3D('',#464151,#376466,#376467); #340932=AXIS2_PLACEMENT_3D('',#464157,#376471,#376472); #340933=AXIS2_PLACEMENT_3D('',#464163,#376476,#376477); #340934=AXIS2_PLACEMENT_3D('',#464169,#376481,#376482); #340935=AXIS2_PLACEMENT_3D('',#464175,#376486,#376487); #340936=AXIS2_PLACEMENT_3D('',#464181,#376491,#376492); #340937=AXIS2_PLACEMENT_3D('',#464187,#376496,#376497); #340938=AXIS2_PLACEMENT_3D('',#464193,#376501,#376502); #340939=AXIS2_PLACEMENT_3D('',#464199,#376506,#376507); #340940=AXIS2_PLACEMENT_3D('',#464201,#376508,#376509); #340941=AXIS2_PLACEMENT_3D('',#464203,#376510,#376511); #340942=AXIS2_PLACEMENT_3D('',#464205,#376513,#376514); #340943=AXIS2_PLACEMENT_3D('',#464211,#376518,#376519); #340944=AXIS2_PLACEMENT_3D('',#464213,#376520,#376521); #340945=AXIS2_PLACEMENT_3D('',#464215,#376522,#376523); #340946=AXIS2_PLACEMENT_3D('',#464217,#376525,#376526); #340947=AXIS2_PLACEMENT_3D('',#464223,#376530,#376531); #340948=AXIS2_PLACEMENT_3D('',#464229,#376535,#376536); #340949=AXIS2_PLACEMENT_3D('',#464235,#376540,#376541); #340950=AXIS2_PLACEMENT_3D('',#464241,#376545,#376546); #340951=AXIS2_PLACEMENT_3D('',#464244,#376549,#376550); #340952=AXIS2_PLACEMENT_3D('',#464245,#376551,#376552); #340953=AXIS2_PLACEMENT_3D('',#464246,#376553,#376554); #340954=AXIS2_PLACEMENT_3D('',#464248,#376555,#376556); #340955=AXIS2_PLACEMENT_3D('',#464251,#376558,#376559); #340956=AXIS2_PLACEMENT_3D('',#464252,#376560,#376561); #340957=AXIS2_PLACEMENT_3D('',#464261,#376566,#376567); #340958=AXIS2_PLACEMENT_3D('',#464267,#376571,#376572); #340959=AXIS2_PLACEMENT_3D('',#464273,#376576,#376577); #340960=AXIS2_PLACEMENT_3D('',#464279,#376581,#376582); #340961=AXIS2_PLACEMENT_3D('',#464285,#376586,#376587); #340962=AXIS2_PLACEMENT_3D('',#464291,#376591,#376592); #340963=AXIS2_PLACEMENT_3D('',#464297,#376596,#376597); #340964=AXIS2_PLACEMENT_3D('',#464300,#376600,#376601); #340965=AXIS2_PLACEMENT_3D('',#464301,#376602,#376603); #340966=AXIS2_PLACEMENT_3D('',#464302,#376604,#376605); #340967=AXIS2_PLACEMENT_3D('',#464311,#376610,#376611); #340968=AXIS2_PLACEMENT_3D('',#464317,#376615,#376616); #340969=AXIS2_PLACEMENT_3D('',#464323,#376620,#376621); #340970=AXIS2_PLACEMENT_3D('',#464329,#376625,#376626); #340971=AXIS2_PLACEMENT_3D('',#464335,#376630,#376631); #340972=AXIS2_PLACEMENT_3D('',#464341,#376635,#376636); #340973=AXIS2_PLACEMENT_3D('',#464347,#376640,#376641); #340974=AXIS2_PLACEMENT_3D('',#464353,#376645,#376646); #340975=AXIS2_PLACEMENT_3D('',#464359,#376650,#376651); #340976=AXIS2_PLACEMENT_3D('',#464365,#376655,#376656); #340977=AXIS2_PLACEMENT_3D('',#464371,#376660,#376661); #340978=AXIS2_PLACEMENT_3D('',#464377,#376665,#376666); #340979=AXIS2_PLACEMENT_3D('',#464383,#376670,#376671); #340980=AXIS2_PLACEMENT_3D('',#464389,#376675,#376676); #340981=AXIS2_PLACEMENT_3D('',#464395,#376680,#376681); #340982=AXIS2_PLACEMENT_3D('',#464401,#376685,#376686); #340983=AXIS2_PLACEMENT_3D('',#464407,#376690,#376691); #340984=AXIS2_PLACEMENT_3D('',#464413,#376695,#376696); #340985=AXIS2_PLACEMENT_3D('',#464416,#376699,#376700); #340986=AXIS2_PLACEMENT_3D('',#464417,#376701,#376702); #340987=AXIS2_PLACEMENT_3D('',#464418,#376703,#376704); #340988=AXIS2_PLACEMENT_3D('',#464427,#376709,#376710); #340989=AXIS2_PLACEMENT_3D('',#464433,#376714,#376715); #340990=AXIS2_PLACEMENT_3D('',#464439,#376719,#376720); #340991=AXIS2_PLACEMENT_3D('',#464445,#376724,#376725); #340992=AXIS2_PLACEMENT_3D('',#464451,#376729,#376730); #340993=AXIS2_PLACEMENT_3D('',#464457,#376734,#376735); #340994=AXIS2_PLACEMENT_3D('',#464463,#376739,#376740); #340995=AXIS2_PLACEMENT_3D('',#464469,#376744,#376745); #340996=AXIS2_PLACEMENT_3D('',#464475,#376749,#376750); #340997=AXIS2_PLACEMENT_3D('',#464481,#376754,#376755); #340998=AXIS2_PLACEMENT_3D('',#464487,#376759,#376760); #340999=AXIS2_PLACEMENT_3D('',#464493,#376764,#376765); #341000=AXIS2_PLACEMENT_3D('',#464499,#376769,#376770); #341001=AXIS2_PLACEMENT_3D('',#464505,#376774,#376775); #341002=AXIS2_PLACEMENT_3D('',#464511,#376779,#376780); #341003=AXIS2_PLACEMENT_3D('',#464517,#376784,#376785); #341004=AXIS2_PLACEMENT_3D('',#464523,#376789,#376790); #341005=AXIS2_PLACEMENT_3D('',#464529,#376794,#376795); #341006=AXIS2_PLACEMENT_3D('',#464535,#376799,#376800); #341007=AXIS2_PLACEMENT_3D('',#464541,#376804,#376805); #341008=AXIS2_PLACEMENT_3D('',#464544,#376808,#376809); #341009=AXIS2_PLACEMENT_3D('',#464545,#376810,#376811); #341010=AXIS2_PLACEMENT_3D('',#464546,#376812,#376813); #341011=AXIS2_PLACEMENT_3D('',#464555,#376818,#376819); #341012=AXIS2_PLACEMENT_3D('',#464561,#376823,#376824); #341013=AXIS2_PLACEMENT_3D('',#464567,#376828,#376829); #341014=AXIS2_PLACEMENT_3D('',#464573,#376833,#376834); #341015=AXIS2_PLACEMENT_3D('',#464579,#376838,#376839); #341016=AXIS2_PLACEMENT_3D('',#464585,#376843,#376844); #341017=AXIS2_PLACEMENT_3D('',#464591,#376848,#376849); #341018=AXIS2_PLACEMENT_3D('',#464597,#376853,#376854); #341019=AXIS2_PLACEMENT_3D('',#464603,#376858,#376859); #341020=AXIS2_PLACEMENT_3D('',#464609,#376863,#376864); #341021=AXIS2_PLACEMENT_3D('',#464615,#376868,#376869); #341022=AXIS2_PLACEMENT_3D('',#464621,#376873,#376874); #341023=AXIS2_PLACEMENT_3D('',#464627,#376878,#376879); #341024=AXIS2_PLACEMENT_3D('',#464633,#376883,#376884); #341025=AXIS2_PLACEMENT_3D('',#464639,#376888,#376889); #341026=AXIS2_PLACEMENT_3D('',#464645,#376893,#376894); #341027=AXIS2_PLACEMENT_3D('',#464651,#376898,#376899); #341028=AXIS2_PLACEMENT_3D('',#464657,#376903,#376904); #341029=AXIS2_PLACEMENT_3D('',#464663,#376908,#376909); #341030=AXIS2_PLACEMENT_3D('',#464666,#376912,#376913); #341031=AXIS2_PLACEMENT_3D('',#464667,#376914,#376915); #341032=AXIS2_PLACEMENT_3D('',#464668,#376916,#376917); #341033=AXIS2_PLACEMENT_3D('',#464677,#376922,#376923); #341034=AXIS2_PLACEMENT_3D('',#464683,#376927,#376928); #341035=AXIS2_PLACEMENT_3D('',#464689,#376932,#376933); #341036=AXIS2_PLACEMENT_3D('',#464695,#376937,#376938); #341037=AXIS2_PLACEMENT_3D('',#464701,#376942,#376943); #341038=AXIS2_PLACEMENT_3D('',#464707,#376947,#376948); #341039=AXIS2_PLACEMENT_3D('',#464713,#376952,#376953); #341040=AXIS2_PLACEMENT_3D('',#464719,#376957,#376958); #341041=AXIS2_PLACEMENT_3D('',#464725,#376962,#376963); #341042=AXIS2_PLACEMENT_3D('',#464731,#376967,#376968); #341043=AXIS2_PLACEMENT_3D('',#464737,#376972,#376973); #341044=AXIS2_PLACEMENT_3D('',#464743,#376977,#376978); #341045=AXIS2_PLACEMENT_3D('',#464749,#376982,#376983); #341046=AXIS2_PLACEMENT_3D('',#464755,#376987,#376988); #341047=AXIS2_PLACEMENT_3D('',#464761,#376992,#376993); #341048=AXIS2_PLACEMENT_3D('',#464767,#376997,#376998); #341049=AXIS2_PLACEMENT_3D('',#464773,#377002,#377003); #341050=AXIS2_PLACEMENT_3D('',#464779,#377007,#377008); #341051=AXIS2_PLACEMENT_3D('',#464785,#377012,#377013); #341052=AXIS2_PLACEMENT_3D('',#464791,#377017,#377018); #341053=AXIS2_PLACEMENT_3D('',#464794,#377021,#377022); #341054=AXIS2_PLACEMENT_3D('',#464795,#377023,#377024); #341055=AXIS2_PLACEMENT_3D('',#464796,#377025,#377026); #341056=AXIS2_PLACEMENT_3D('',#464798,#377027,#377028); #341057=AXIS2_PLACEMENT_3D('',#464801,#377030,#377031); #341058=AXIS2_PLACEMENT_3D('',#464802,#377032,#377033); #341059=AXIS2_PLACEMENT_3D('',#464804,#377034,#377035); #341060=AXIS2_PLACEMENT_3D('',#464807,#377037,#377038); #341061=AXIS2_PLACEMENT_3D('',#464808,#377039,#377040); #341062=AXIS2_PLACEMENT_3D('',#464810,#377041,#377042); #341063=AXIS2_PLACEMENT_3D('',#464813,#377044,#377045); #341064=AXIS2_PLACEMENT_3D('',#464814,#377046,#377047); #341065=AXIS2_PLACEMENT_3D('',#464823,#377052,#377053); #341066=AXIS2_PLACEMENT_3D('',#464829,#377057,#377058); #341067=AXIS2_PLACEMENT_3D('',#464835,#377062,#377063); #341068=AXIS2_PLACEMENT_3D('',#464841,#377067,#377068); #341069=AXIS2_PLACEMENT_3D('',#464847,#377072,#377073); #341070=AXIS2_PLACEMENT_3D('',#464853,#377077,#377078); #341071=AXIS2_PLACEMENT_3D('',#464859,#377082,#377083); #341072=AXIS2_PLACEMENT_3D('',#464865,#377087,#377088); #341073=AXIS2_PLACEMENT_3D('',#464871,#377092,#377093); #341074=AXIS2_PLACEMENT_3D('',#464877,#377097,#377098); #341075=AXIS2_PLACEMENT_3D('',#464883,#377102,#377103); #341076=AXIS2_PLACEMENT_3D('',#464889,#377107,#377108); #341077=AXIS2_PLACEMENT_3D('',#464895,#377112,#377113); #341078=AXIS2_PLACEMENT_3D('',#464901,#377117,#377118); #341079=AXIS2_PLACEMENT_3D('',#464907,#377122,#377123); #341080=AXIS2_PLACEMENT_3D('',#464913,#377127,#377128); #341081=AXIS2_PLACEMENT_3D('',#464919,#377132,#377133); #341082=AXIS2_PLACEMENT_3D('',#464925,#377137,#377138); #341083=AXIS2_PLACEMENT_3D('',#464931,#377142,#377143); #341084=AXIS2_PLACEMENT_3D('',#464937,#377147,#377148); #341085=AXIS2_PLACEMENT_3D('',#464943,#377152,#377153); #341086=AXIS2_PLACEMENT_3D('',#464949,#377157,#377158); #341087=AXIS2_PLACEMENT_3D('',#464955,#377162,#377163); #341088=AXIS2_PLACEMENT_3D('',#464961,#377167,#377168); #341089=AXIS2_PLACEMENT_3D('',#464967,#377172,#377173); #341090=AXIS2_PLACEMENT_3D('',#464973,#377177,#377178); #341091=AXIS2_PLACEMENT_3D('',#464979,#377182,#377183); #341092=AXIS2_PLACEMENT_3D('',#464985,#377187,#377188); #341093=AXIS2_PLACEMENT_3D('',#464991,#377192,#377193); #341094=AXIS2_PLACEMENT_3D('',#464997,#377197,#377198); #341095=AXIS2_PLACEMENT_3D('',#465003,#377202,#377203); #341096=AXIS2_PLACEMENT_3D('',#465009,#377207,#377208); #341097=AXIS2_PLACEMENT_3D('',#465015,#377212,#377213); #341098=AXIS2_PLACEMENT_3D('',#465021,#377217,#377218); #341099=AXIS2_PLACEMENT_3D('',#465027,#377222,#377223); #341100=AXIS2_PLACEMENT_3D('',#465033,#377227,#377228); #341101=AXIS2_PLACEMENT_3D('',#465039,#377232,#377233); #341102=AXIS2_PLACEMENT_3D('',#465045,#377237,#377238); #341103=AXIS2_PLACEMENT_3D('',#465051,#377242,#377243); #341104=AXIS2_PLACEMENT_3D('',#465057,#377247,#377248); #341105=AXIS2_PLACEMENT_3D('',#465063,#377252,#377253); #341106=AXIS2_PLACEMENT_3D('',#465069,#377257,#377258); #341107=AXIS2_PLACEMENT_3D('',#465075,#377262,#377263); #341108=AXIS2_PLACEMENT_3D('',#465081,#377267,#377268); #341109=AXIS2_PLACEMENT_3D('',#465087,#377272,#377273); #341110=AXIS2_PLACEMENT_3D('',#465093,#377277,#377278); #341111=AXIS2_PLACEMENT_3D('',#465099,#377282,#377283); #341112=AXIS2_PLACEMENT_3D('',#465105,#377287,#377288); #341113=AXIS2_PLACEMENT_3D('',#465111,#377292,#377293); #341114=AXIS2_PLACEMENT_3D('',#465117,#377297,#377298); #341115=AXIS2_PLACEMENT_3D('',#465123,#377302,#377303); #341116=AXIS2_PLACEMENT_3D('',#465129,#377307,#377308); #341117=AXIS2_PLACEMENT_3D('',#465135,#377312,#377313); #341118=AXIS2_PLACEMENT_3D('',#465141,#377317,#377318); #341119=AXIS2_PLACEMENT_3D('',#465147,#377322,#377323); #341120=AXIS2_PLACEMENT_3D('',#465153,#377327,#377328); #341121=AXIS2_PLACEMENT_3D('',#465159,#377332,#377333); #341122=AXIS2_PLACEMENT_3D('',#465165,#377337,#377338); #341123=AXIS2_PLACEMENT_3D('',#465171,#377342,#377343); #341124=AXIS2_PLACEMENT_3D('',#465177,#377347,#377348); #341125=AXIS2_PLACEMENT_3D('',#465183,#377352,#377353); #341126=AXIS2_PLACEMENT_3D('',#465189,#377357,#377358); #341127=AXIS2_PLACEMENT_3D('',#465195,#377362,#377363); #341128=AXIS2_PLACEMENT_3D('',#465201,#377367,#377368); #341129=AXIS2_PLACEMENT_3D('',#465207,#377372,#377373); #341130=AXIS2_PLACEMENT_3D('',#465213,#377377,#377378); #341131=AXIS2_PLACEMENT_3D('',#465219,#377382,#377383); #341132=AXIS2_PLACEMENT_3D('',#465225,#377387,#377388); #341133=AXIS2_PLACEMENT_3D('',#465231,#377392,#377393); #341134=AXIS2_PLACEMENT_3D('',#465237,#377397,#377398); #341135=AXIS2_PLACEMENT_3D('',#465243,#377402,#377403); #341136=AXIS2_PLACEMENT_3D('',#465249,#377407,#377408); #341137=AXIS2_PLACEMENT_3D('',#465255,#377412,#377413); #341138=AXIS2_PLACEMENT_3D('',#465261,#377417,#377418); #341139=AXIS2_PLACEMENT_3D('',#465267,#377422,#377423); #341140=AXIS2_PLACEMENT_3D('',#465273,#377427,#377428); #341141=AXIS2_PLACEMENT_3D('',#465279,#377432,#377433); #341142=AXIS2_PLACEMENT_3D('',#465285,#377437,#377438); #341143=AXIS2_PLACEMENT_3D('',#465291,#377442,#377443); #341144=AXIS2_PLACEMENT_3D('',#465297,#377447,#377448); #341145=AXIS2_PLACEMENT_3D('',#465303,#377452,#377453); #341146=AXIS2_PLACEMENT_3D('',#465309,#377457,#377458); #341147=AXIS2_PLACEMENT_3D('',#465315,#377462,#377463); #341148=AXIS2_PLACEMENT_3D('',#465321,#377467,#377468); #341149=AXIS2_PLACEMENT_3D('',#465327,#377472,#377473); #341150=AXIS2_PLACEMENT_3D('',#465333,#377477,#377478); #341151=AXIS2_PLACEMENT_3D('',#465339,#377482,#377483); #341152=AXIS2_PLACEMENT_3D('',#465345,#377487,#377488); #341153=AXIS2_PLACEMENT_3D('',#465351,#377492,#377493); #341154=AXIS2_PLACEMENT_3D('',#465354,#377496,#377497); #341155=AXIS2_PLACEMENT_3D('',#465355,#377498,#377499); #341156=AXIS2_PLACEMENT_3D('',#465356,#377500,#377501); #341157=AXIS2_PLACEMENT_3D('',#465358,#377502,#377503); #341158=AXIS2_PLACEMENT_3D('',#465361,#377505,#377506); #341159=AXIS2_PLACEMENT_3D('',#465362,#377507,#377508); #341160=AXIS2_PLACEMENT_3D('',#465364,#377509,#377510); #341161=AXIS2_PLACEMENT_3D('',#465367,#377512,#377513); #341162=AXIS2_PLACEMENT_3D('',#465368,#377514,#377515); #341163=AXIS2_PLACEMENT_3D('',#465370,#377516,#377517); #341164=AXIS2_PLACEMENT_3D('',#465373,#377519,#377520); #341165=AXIS2_PLACEMENT_3D('',#465374,#377521,#377522); #341166=AXIS2_PLACEMENT_3D('',#465376,#377523,#377524); #341167=AXIS2_PLACEMENT_3D('',#465379,#377526,#377527); #341168=AXIS2_PLACEMENT_3D('',#465380,#377528,#377529); #341169=AXIS2_PLACEMENT_3D('',#465382,#377530,#377531); #341170=AXIS2_PLACEMENT_3D('',#465385,#377533,#377534); #341171=AXIS2_PLACEMENT_3D('',#465386,#377535,#377536); #341172=AXIS2_PLACEMENT_3D('',#465388,#377537,#377538); #341173=AXIS2_PLACEMENT_3D('',#465391,#377540,#377541); #341174=AXIS2_PLACEMENT_3D('',#465392,#377542,#377543); #341175=AXIS2_PLACEMENT_3D('',#465394,#377544,#377545); #341176=AXIS2_PLACEMENT_3D('',#465397,#377547,#377548); #341177=AXIS2_PLACEMENT_3D('',#465398,#377549,#377550); #341178=AXIS2_PLACEMENT_3D('',#465400,#377551,#377552); #341179=AXIS2_PLACEMENT_3D('',#465403,#377554,#377555); #341180=AXIS2_PLACEMENT_3D('',#465404,#377556,#377557); #341181=AXIS2_PLACEMENT_3D('',#465406,#377558,#377559); #341182=AXIS2_PLACEMENT_3D('',#465409,#377561,#377562); #341183=AXIS2_PLACEMENT_3D('',#465410,#377563,#377564); #341184=AXIS2_PLACEMENT_3D('',#465419,#377569,#377570); #341185=AXIS2_PLACEMENT_3D('',#465421,#377571,#377572); #341186=AXIS2_PLACEMENT_3D('',#465423,#377573,#377574); #341187=AXIS2_PLACEMENT_3D('',#465425,#377576,#377577); #341188=AXIS2_PLACEMENT_3D('',#465431,#377581,#377582); #341189=AXIS2_PLACEMENT_3D('',#465432,#377583,#377584); #341190=AXIS2_PLACEMENT_3D('',#465433,#377585,#377586); #341191=AXIS2_PLACEMENT_3D('',#465434,#377587,#377588); #341192=AXIS2_PLACEMENT_3D('',#465443,#377593,#377594); #341193=AXIS2_PLACEMENT_3D('',#465445,#377595,#377596); #341194=AXIS2_PLACEMENT_3D('',#465447,#377597,#377598); #341195=AXIS2_PLACEMENT_3D('',#465449,#377600,#377601); #341196=AXIS2_PLACEMENT_3D('',#465455,#377605,#377606); #341197=AXIS2_PLACEMENT_3D('',#465456,#377607,#377608); #341198=AXIS2_PLACEMENT_3D('',#465457,#377609,#377610); #341199=AXIS2_PLACEMENT_3D('',#465458,#377611,#377612); #341200=AXIS2_PLACEMENT_3D('',#465460,#377613,#377614); #341201=AXIS2_PLACEMENT_3D('',#465463,#377616,#377617); #341202=AXIS2_PLACEMENT_3D('',#465464,#377618,#377619); #341203=AXIS2_PLACEMENT_3D('',#465466,#377620,#377621); #341204=AXIS2_PLACEMENT_3D('',#465469,#377623,#377624); #341205=AXIS2_PLACEMENT_3D('',#465470,#377625,#377626); #341206=AXIS2_PLACEMENT_3D('',#465472,#377627,#377628); #341207=AXIS2_PLACEMENT_3D('',#465475,#377630,#377631); #341208=AXIS2_PLACEMENT_3D('',#465476,#377632,#377633); #341209=AXIS2_PLACEMENT_3D('',#465478,#377634,#377635); #341210=AXIS2_PLACEMENT_3D('',#465481,#377637,#377638); #341211=AXIS2_PLACEMENT_3D('',#465482,#377639,#377640); #341212=AXIS2_PLACEMENT_3D('',#465491,#377645,#377646); #341213=AXIS2_PLACEMENT_3D('',#465493,#377647,#377648); #341214=AXIS2_PLACEMENT_3D('',#465495,#377649,#377650); #341215=AXIS2_PLACEMENT_3D('',#465497,#377652,#377653); #341216=AXIS2_PLACEMENT_3D('',#465503,#377657,#377658); #341217=AXIS2_PLACEMENT_3D('',#465504,#377659,#377660); #341218=AXIS2_PLACEMENT_3D('',#465505,#377661,#377662); #341219=AXIS2_PLACEMENT_3D('',#465506,#377663,#377664); #341220=AXIS2_PLACEMENT_3D('',#465515,#377669,#377670); #341221=AXIS2_PLACEMENT_3D('',#465517,#377671,#377672); #341222=AXIS2_PLACEMENT_3D('',#465519,#377673,#377674); #341223=AXIS2_PLACEMENT_3D('',#465521,#377676,#377677); #341224=AXIS2_PLACEMENT_3D('',#465527,#377681,#377682); #341225=AXIS2_PLACEMENT_3D('',#465528,#377683,#377684); #341226=AXIS2_PLACEMENT_3D('',#465529,#377685,#377686); #341227=AXIS2_PLACEMENT_3D('',#465530,#377687,#377688); #341228=AXIS2_PLACEMENT_3D('',#465532,#377689,#377690); #341229=AXIS2_PLACEMENT_3D('',#465535,#377692,#377693); #341230=AXIS2_PLACEMENT_3D('',#465536,#377694,#377695); #341231=AXIS2_PLACEMENT_3D('',#465538,#377696,#377697); #341232=AXIS2_PLACEMENT_3D('',#465541,#377699,#377700); #341233=AXIS2_PLACEMENT_3D('',#465542,#377701,#377702); #341234=AXIS2_PLACEMENT_3D('',#465544,#377703,#377704); #341235=AXIS2_PLACEMENT_3D('',#465547,#377706,#377707); #341236=AXIS2_PLACEMENT_3D('',#465548,#377708,#377709); #341237=AXIS2_PLACEMENT_3D('',#465550,#377710,#377711); #341238=AXIS2_PLACEMENT_3D('',#465553,#377713,#377714); #341239=AXIS2_PLACEMENT_3D('',#465554,#377715,#377716); #341240=AXIS2_PLACEMENT_3D('',#465556,#377717,#377718); #341241=AXIS2_PLACEMENT_3D('',#465559,#377720,#377721); #341242=AXIS2_PLACEMENT_3D('',#465560,#377722,#377723); #341243=AXIS2_PLACEMENT_3D('',#465562,#377724,#377725); #341244=AXIS2_PLACEMENT_3D('',#465565,#377727,#377728); #341245=AXIS2_PLACEMENT_3D('',#465566,#377729,#377730); #341246=AXIS2_PLACEMENT_3D('',#465568,#377731,#377732); #341247=AXIS2_PLACEMENT_3D('',#465571,#377734,#377735); #341248=AXIS2_PLACEMENT_3D('',#465572,#377736,#377737); #341249=AXIS2_PLACEMENT_3D('',#465574,#377738,#377739); #341250=AXIS2_PLACEMENT_3D('',#465577,#377741,#377742); #341251=AXIS2_PLACEMENT_3D('',#465578,#377743,#377744); #341252=AXIS2_PLACEMENT_3D('',#465580,#377745,#377746); #341253=AXIS2_PLACEMENT_3D('',#465583,#377748,#377749); #341254=AXIS2_PLACEMENT_3D('',#465584,#377750,#377751); #341255=AXIS2_PLACEMENT_3D('',#465586,#377752,#377753); #341256=AXIS2_PLACEMENT_3D('',#465589,#377755,#377756); #341257=AXIS2_PLACEMENT_3D('',#465590,#377757,#377758); #341258=AXIS2_PLACEMENT_3D('',#465592,#377759,#377760); #341259=AXIS2_PLACEMENT_3D('',#465595,#377762,#377763); #341260=AXIS2_PLACEMENT_3D('',#465596,#377764,#377765); #341261=AXIS2_PLACEMENT_3D('',#465598,#377766,#377767); #341262=AXIS2_PLACEMENT_3D('',#465601,#377769,#377770); #341263=AXIS2_PLACEMENT_3D('',#465602,#377771,#377772); #341264=AXIS2_PLACEMENT_3D('',#465604,#377773,#377774); #341265=AXIS2_PLACEMENT_3D('',#465607,#377776,#377777); #341266=AXIS2_PLACEMENT_3D('',#465608,#377778,#377779); #341267=AXIS2_PLACEMENT_3D('',#465610,#377780,#377781); #341268=AXIS2_PLACEMENT_3D('',#465613,#377783,#377784); #341269=AXIS2_PLACEMENT_3D('',#465614,#377785,#377786); #341270=AXIS2_PLACEMENT_3D('',#465616,#377787,#377788); #341271=AXIS2_PLACEMENT_3D('',#465619,#377790,#377791); #341272=AXIS2_PLACEMENT_3D('',#465620,#377792,#377793); #341273=AXIS2_PLACEMENT_3D('',#465622,#377794,#377795); #341274=AXIS2_PLACEMENT_3D('',#465625,#377797,#377798); #341275=AXIS2_PLACEMENT_3D('',#465626,#377799,#377800); #341276=AXIS2_PLACEMENT_3D('',#465628,#377801,#377802); #341277=AXIS2_PLACEMENT_3D('',#465631,#377804,#377805); #341278=AXIS2_PLACEMENT_3D('',#465632,#377806,#377807); #341279=AXIS2_PLACEMENT_3D('',#465634,#377808,#377809); #341280=AXIS2_PLACEMENT_3D('',#465637,#377811,#377812); #341281=AXIS2_PLACEMENT_3D('',#465638,#377813,#377814); #341282=AXIS2_PLACEMENT_3D('',#465640,#377815,#377816); #341283=AXIS2_PLACEMENT_3D('',#465643,#377818,#377819); #341284=AXIS2_PLACEMENT_3D('',#465644,#377820,#377821); #341285=AXIS2_PLACEMENT_3D('',#465646,#377822,#377823); #341286=AXIS2_PLACEMENT_3D('',#465649,#377825,#377826); #341287=AXIS2_PLACEMENT_3D('',#465650,#377827,#377828); #341288=AXIS2_PLACEMENT_3D('',#465652,#377829,#377830); #341289=AXIS2_PLACEMENT_3D('',#465655,#377832,#377833); #341290=AXIS2_PLACEMENT_3D('',#465656,#377834,#377835); #341291=AXIS2_PLACEMENT_3D('',#465658,#377836,#377837); #341292=AXIS2_PLACEMENT_3D('',#465661,#377839,#377840); #341293=AXIS2_PLACEMENT_3D('',#465662,#377841,#377842); #341294=AXIS2_PLACEMENT_3D('',#465664,#377843,#377844); #341295=AXIS2_PLACEMENT_3D('',#465667,#377846,#377847); #341296=AXIS2_PLACEMENT_3D('',#465668,#377848,#377849); #341297=AXIS2_PLACEMENT_3D('',#465670,#377850,#377851); #341298=AXIS2_PLACEMENT_3D('',#465673,#377853,#377854); #341299=AXIS2_PLACEMENT_3D('',#465674,#377855,#377856); #341300=AXIS2_PLACEMENT_3D('',#465676,#377857,#377858); #341301=AXIS2_PLACEMENT_3D('',#465679,#377860,#377861); #341302=AXIS2_PLACEMENT_3D('',#465680,#377862,#377863); #341303=AXIS2_PLACEMENT_3D('',#465682,#377864,#377865); #341304=AXIS2_PLACEMENT_3D('',#465685,#377867,#377868); #341305=AXIS2_PLACEMENT_3D('',#465686,#377869,#377870); #341306=AXIS2_PLACEMENT_3D('',#465688,#377871,#377872); #341307=AXIS2_PLACEMENT_3D('',#465691,#377874,#377875); #341308=AXIS2_PLACEMENT_3D('',#465692,#377876,#377877); #341309=AXIS2_PLACEMENT_3D('',#465694,#377878,#377879); #341310=AXIS2_PLACEMENT_3D('',#465697,#377881,#377882); #341311=AXIS2_PLACEMENT_3D('',#465698,#377883,#377884); #341312=AXIS2_PLACEMENT_3D('',#465700,#377885,#377886); #341313=AXIS2_PLACEMENT_3D('',#465703,#377888,#377889); #341314=AXIS2_PLACEMENT_3D('',#465704,#377890,#377891); #341315=AXIS2_PLACEMENT_3D('',#465706,#377892,#377893); #341316=AXIS2_PLACEMENT_3D('',#465709,#377895,#377896); #341317=AXIS2_PLACEMENT_3D('',#465710,#377897,#377898); #341318=AXIS2_PLACEMENT_3D('',#465712,#377899,#377900); #341319=AXIS2_PLACEMENT_3D('',#465715,#377902,#377903); #341320=AXIS2_PLACEMENT_3D('',#465716,#377904,#377905); #341321=AXIS2_PLACEMENT_3D('',#465718,#377906,#377907); #341322=AXIS2_PLACEMENT_3D('',#465721,#377909,#377910); #341323=AXIS2_PLACEMENT_3D('',#465722,#377911,#377912); #341324=AXIS2_PLACEMENT_3D('',#465724,#377913,#377914); #341325=AXIS2_PLACEMENT_3D('',#465727,#377916,#377917); #341326=AXIS2_PLACEMENT_3D('',#465728,#377918,#377919); #341327=AXIS2_PLACEMENT_3D('',#465730,#377920,#377921); #341328=AXIS2_PLACEMENT_3D('',#465733,#377923,#377924); #341329=AXIS2_PLACEMENT_3D('',#465734,#377925,#377926); #341330=AXIS2_PLACEMENT_3D('',#465736,#377927,#377928); #341331=AXIS2_PLACEMENT_3D('',#465739,#377930,#377931); #341332=AXIS2_PLACEMENT_3D('',#465740,#377932,#377933); #341333=AXIS2_PLACEMENT_3D('',#465742,#377934,#377935); #341334=AXIS2_PLACEMENT_3D('',#465745,#377937,#377938); #341335=AXIS2_PLACEMENT_3D('',#465746,#377939,#377940); #341336=AXIS2_PLACEMENT_3D('',#465748,#377941,#377942); #341337=AXIS2_PLACEMENT_3D('',#465751,#377944,#377945); #341338=AXIS2_PLACEMENT_3D('',#465752,#377946,#377947); #341339=AXIS2_PLACEMENT_3D('',#465754,#377948,#377949); #341340=AXIS2_PLACEMENT_3D('',#465757,#377951,#377952); #341341=AXIS2_PLACEMENT_3D('',#465758,#377953,#377954); #341342=AXIS2_PLACEMENT_3D('',#465760,#377955,#377956); #341343=AXIS2_PLACEMENT_3D('',#465763,#377958,#377959); #341344=AXIS2_PLACEMENT_3D('',#465764,#377960,#377961); #341345=AXIS2_PLACEMENT_3D('',#465766,#377962,#377963); #341346=AXIS2_PLACEMENT_3D('',#465769,#377965,#377966); #341347=AXIS2_PLACEMENT_3D('',#465770,#377967,#377968); #341348=AXIS2_PLACEMENT_3D('',#465772,#377969,#377970); #341349=AXIS2_PLACEMENT_3D('',#465775,#377972,#377973); #341350=AXIS2_PLACEMENT_3D('',#465776,#377974,#377975); #341351=AXIS2_PLACEMENT_3D('',#465778,#377976,#377977); #341352=AXIS2_PLACEMENT_3D('',#465781,#377979,#377980); #341353=AXIS2_PLACEMENT_3D('',#465782,#377981,#377982); #341354=AXIS2_PLACEMENT_3D('',#465784,#377983,#377984); #341355=AXIS2_PLACEMENT_3D('',#465787,#377986,#377987); #341356=AXIS2_PLACEMENT_3D('',#465788,#377988,#377989); #341357=AXIS2_PLACEMENT_3D('',#465790,#377990,#377991); #341358=AXIS2_PLACEMENT_3D('',#465793,#377993,#377994); #341359=AXIS2_PLACEMENT_3D('',#465794,#377995,#377996); #341360=AXIS2_PLACEMENT_3D('',#465796,#377997,#377998); #341361=AXIS2_PLACEMENT_3D('',#465799,#378000,#378001); #341362=AXIS2_PLACEMENT_3D('',#465800,#378002,#378003); #341363=AXIS2_PLACEMENT_3D('',#465809,#378008,#378009); #341364=AXIS2_PLACEMENT_3D('',#465815,#378013,#378014); #341365=AXIS2_PLACEMENT_3D('',#465821,#378018,#378019); #341366=AXIS2_PLACEMENT_3D('',#465827,#378023,#378024); #341367=AXIS2_PLACEMENT_3D('',#465833,#378028,#378029); #341368=AXIS2_PLACEMENT_3D('',#465839,#378033,#378034); #341369=AXIS2_PLACEMENT_3D('',#465845,#378038,#378039); #341370=AXIS2_PLACEMENT_3D('',#465848,#378042,#378043); #341371=AXIS2_PLACEMENT_3D('',#465857,#378048,#378049); #341372=AXIS2_PLACEMENT_3D('',#465863,#378053,#378054); #341373=AXIS2_PLACEMENT_3D('',#465869,#378058,#378059); #341374=AXIS2_PLACEMENT_3D('',#465875,#378063,#378064); #341375=AXIS2_PLACEMENT_3D('',#465881,#378068,#378069); #341376=AXIS2_PLACEMENT_3D('',#465887,#378073,#378074); #341377=AXIS2_PLACEMENT_3D('',#465893,#378078,#378079); #341378=AXIS2_PLACEMENT_3D('',#465899,#378083,#378084); #341379=AXIS2_PLACEMENT_3D('',#465905,#378088,#378089); #341380=AXIS2_PLACEMENT_3D('',#465911,#378093,#378094); #341381=AXIS2_PLACEMENT_3D('',#465917,#378098,#378099); #341382=AXIS2_PLACEMENT_3D('',#465923,#378103,#378104); #341383=AXIS2_PLACEMENT_3D('',#465929,#378108,#378109); #341384=AXIS2_PLACEMENT_3D('',#465935,#378113,#378114); #341385=AXIS2_PLACEMENT_3D('',#465941,#378118,#378119); #341386=AXIS2_PLACEMENT_3D('',#465947,#378123,#378124); #341387=AXIS2_PLACEMENT_3D('',#465953,#378128,#378129); #341388=AXIS2_PLACEMENT_3D('',#465959,#378133,#378134); #341389=AXIS2_PLACEMENT_3D('',#465965,#378138,#378139); #341390=AXIS2_PLACEMENT_3D('',#465971,#378143,#378144); #341391=AXIS2_PLACEMENT_3D('',#465977,#378148,#378149); #341392=AXIS2_PLACEMENT_3D('',#465983,#378153,#378154); #341393=AXIS2_PLACEMENT_3D('',#465989,#378158,#378159); #341394=AXIS2_PLACEMENT_3D('',#465995,#378163,#378164); #341395=AXIS2_PLACEMENT_3D('',#466001,#378168,#378169); #341396=AXIS2_PLACEMENT_3D('',#466007,#378173,#378174); #341397=AXIS2_PLACEMENT_3D('',#466013,#378178,#378179); #341398=AXIS2_PLACEMENT_3D('',#466019,#378183,#378184); #341399=AXIS2_PLACEMENT_3D('',#466025,#378188,#378189); #341400=AXIS2_PLACEMENT_3D('',#466031,#378193,#378194); #341401=AXIS2_PLACEMENT_3D('',#466037,#378198,#378199); #341402=AXIS2_PLACEMENT_3D('',#466043,#378203,#378204); #341403=AXIS2_PLACEMENT_3D('',#466049,#378208,#378209); #341404=AXIS2_PLACEMENT_3D('',#466055,#378213,#378214); #341405=AXIS2_PLACEMENT_3D('',#466061,#378218,#378219); #341406=AXIS2_PLACEMENT_3D('',#466064,#378222,#378223); #341407=AXIS2_PLACEMENT_3D('',#466073,#378228,#378229); #341408=AXIS2_PLACEMENT_3D('',#466079,#378233,#378234); #341409=AXIS2_PLACEMENT_3D('',#466085,#378238,#378239); #341410=AXIS2_PLACEMENT_3D('',#466091,#378243,#378244); #341411=AXIS2_PLACEMENT_3D('',#466097,#378248,#378249); #341412=AXIS2_PLACEMENT_3D('',#466103,#378253,#378254); #341413=AXIS2_PLACEMENT_3D('',#466109,#378258,#378259); #341414=AXIS2_PLACEMENT_3D('',#466115,#378263,#378264); #341415=AXIS2_PLACEMENT_3D('',#466121,#378268,#378269); #341416=AXIS2_PLACEMENT_3D('',#466127,#378273,#378274); #341417=AXIS2_PLACEMENT_3D('',#466133,#378278,#378279); #341418=AXIS2_PLACEMENT_3D('',#466139,#378283,#378284); #341419=AXIS2_PLACEMENT_3D('',#466145,#378288,#378289); #341420=AXIS2_PLACEMENT_3D('',#466151,#378293,#378294); #341421=AXIS2_PLACEMENT_3D('',#466157,#378298,#378299); #341422=AXIS2_PLACEMENT_3D('',#466163,#378303,#378304); #341423=AXIS2_PLACEMENT_3D('',#466169,#378308,#378309); #341424=AXIS2_PLACEMENT_3D('',#466175,#378313,#378314); #341425=AXIS2_PLACEMENT_3D('',#466181,#378318,#378319); #341426=AXIS2_PLACEMENT_3D('',#466187,#378323,#378324); #341427=AXIS2_PLACEMENT_3D('',#466193,#378328,#378329); #341428=AXIS2_PLACEMENT_3D('',#466199,#378333,#378334); #341429=AXIS2_PLACEMENT_3D('',#466205,#378338,#378339); #341430=AXIS2_PLACEMENT_3D('',#466211,#378343,#378344); #341431=AXIS2_PLACEMENT_3D('',#466217,#378348,#378349); #341432=AXIS2_PLACEMENT_3D('',#466223,#378353,#378354); #341433=AXIS2_PLACEMENT_3D('',#466229,#378358,#378359); #341434=AXIS2_PLACEMENT_3D('',#466235,#378363,#378364); #341435=AXIS2_PLACEMENT_3D('',#466241,#378368,#378369); #341436=AXIS2_PLACEMENT_3D('',#466247,#378373,#378374); #341437=AXIS2_PLACEMENT_3D('',#466253,#378378,#378379); #341438=AXIS2_PLACEMENT_3D('',#466259,#378383,#378384); #341439=AXIS2_PLACEMENT_3D('',#466265,#378388,#378389); #341440=AXIS2_PLACEMENT_3D('',#466271,#378393,#378394); #341441=AXIS2_PLACEMENT_3D('',#466277,#378398,#378399); #341442=AXIS2_PLACEMENT_3D('',#466280,#378402,#378403); #341443=AXIS2_PLACEMENT_3D('',#466289,#378408,#378409); #341444=AXIS2_PLACEMENT_3D('',#466295,#378413,#378414); #341445=AXIS2_PLACEMENT_3D('',#466301,#378418,#378419); #341446=AXIS2_PLACEMENT_3D('',#466307,#378423,#378424); #341447=AXIS2_PLACEMENT_3D('',#466313,#378428,#378429); #341448=AXIS2_PLACEMENT_3D('',#466319,#378433,#378434); #341449=AXIS2_PLACEMENT_3D('',#466325,#378438,#378439); #341450=AXIS2_PLACEMENT_3D('',#466331,#378443,#378444); #341451=AXIS2_PLACEMENT_3D('',#466337,#378448,#378449); #341452=AXIS2_PLACEMENT_3D('',#466343,#378453,#378454); #341453=AXIS2_PLACEMENT_3D('',#466349,#378458,#378459); #341454=AXIS2_PLACEMENT_3D('',#466355,#378463,#378464); #341455=AXIS2_PLACEMENT_3D('',#466361,#378468,#378469); #341456=AXIS2_PLACEMENT_3D('',#466367,#378473,#378474); #341457=AXIS2_PLACEMENT_3D('',#466373,#378478,#378479); #341458=AXIS2_PLACEMENT_3D('',#466379,#378483,#378484); #341459=AXIS2_PLACEMENT_3D('',#466385,#378488,#378489); #341460=AXIS2_PLACEMENT_3D('',#466391,#378493,#378494); #341461=AXIS2_PLACEMENT_3D('',#466397,#378498,#378499); #341462=AXIS2_PLACEMENT_3D('',#466403,#378503,#378504); #341463=AXIS2_PLACEMENT_3D('',#466409,#378508,#378509); #341464=AXIS2_PLACEMENT_3D('',#466415,#378513,#378514); #341465=AXIS2_PLACEMENT_3D('',#466421,#378518,#378519); #341466=AXIS2_PLACEMENT_3D('',#466427,#378523,#378524); #341467=AXIS2_PLACEMENT_3D('',#466433,#378528,#378529); #341468=AXIS2_PLACEMENT_3D('',#466439,#378533,#378534); #341469=AXIS2_PLACEMENT_3D('',#466445,#378538,#378539); #341470=AXIS2_PLACEMENT_3D('',#466451,#378543,#378544); #341471=AXIS2_PLACEMENT_3D('',#466457,#378548,#378549); #341472=AXIS2_PLACEMENT_3D('',#466463,#378553,#378554); #341473=AXIS2_PLACEMENT_3D('',#466469,#378558,#378559); #341474=AXIS2_PLACEMENT_3D('',#466475,#378563,#378564); #341475=AXIS2_PLACEMENT_3D('',#466481,#378568,#378569); #341476=AXIS2_PLACEMENT_3D('',#466487,#378573,#378574); #341477=AXIS2_PLACEMENT_3D('',#466493,#378578,#378579); #341478=AXIS2_PLACEMENT_3D('',#466499,#378583,#378584); #341479=AXIS2_PLACEMENT_3D('',#466505,#378588,#378589); #341480=AXIS2_PLACEMENT_3D('',#466511,#378593,#378594); #341481=AXIS2_PLACEMENT_3D('',#466517,#378598,#378599); #341482=AXIS2_PLACEMENT_3D('',#466523,#378603,#378604); #341483=AXIS2_PLACEMENT_3D('',#466529,#378608,#378609); #341484=AXIS2_PLACEMENT_3D('',#466535,#378613,#378614); #341485=AXIS2_PLACEMENT_3D('',#466541,#378618,#378619); #341486=AXIS2_PLACEMENT_3D('',#466547,#378623,#378624); #341487=AXIS2_PLACEMENT_3D('',#466553,#378628,#378629); #341488=AXIS2_PLACEMENT_3D('',#466559,#378633,#378634); #341489=AXIS2_PLACEMENT_3D('',#466565,#378638,#378639); #341490=AXIS2_PLACEMENT_3D('',#466571,#378643,#378644); #341491=AXIS2_PLACEMENT_3D('',#466577,#378648,#378649); #341492=AXIS2_PLACEMENT_3D('',#466583,#378653,#378654); #341493=AXIS2_PLACEMENT_3D('',#466589,#378658,#378659); #341494=AXIS2_PLACEMENT_3D('',#466595,#378663,#378664); #341495=AXIS2_PLACEMENT_3D('',#466601,#378668,#378669); #341496=AXIS2_PLACEMENT_3D('',#466607,#378673,#378674); #341497=AXIS2_PLACEMENT_3D('',#466613,#378678,#378679); #341498=AXIS2_PLACEMENT_3D('',#466619,#378683,#378684); #341499=AXIS2_PLACEMENT_3D('',#466625,#378688,#378689); #341500=AXIS2_PLACEMENT_3D('',#466631,#378693,#378694); #341501=AXIS2_PLACEMENT_3D('',#466637,#378698,#378699); #341502=AXIS2_PLACEMENT_3D('',#466643,#378703,#378704); #341503=AXIS2_PLACEMENT_3D('',#466649,#378708,#378709); #341504=AXIS2_PLACEMENT_3D('',#466655,#378713,#378714); #341505=AXIS2_PLACEMENT_3D('',#466661,#378718,#378719); #341506=AXIS2_PLACEMENT_3D('',#466667,#378723,#378724); #341507=AXIS2_PLACEMENT_3D('',#466673,#378728,#378729); #341508=AXIS2_PLACEMENT_3D('',#466679,#378733,#378734); #341509=AXIS2_PLACEMENT_3D('',#466685,#378738,#378739); #341510=AXIS2_PLACEMENT_3D('',#466691,#378743,#378744); #341511=AXIS2_PLACEMENT_3D('',#466697,#378748,#378749); #341512=AXIS2_PLACEMENT_3D('',#466703,#378753,#378754); #341513=AXIS2_PLACEMENT_3D('',#466709,#378758,#378759); #341514=AXIS2_PLACEMENT_3D('',#466715,#378763,#378764); #341515=AXIS2_PLACEMENT_3D('',#466721,#378768,#378769); #341516=AXIS2_PLACEMENT_3D('',#466727,#378773,#378774); #341517=AXIS2_PLACEMENT_3D('',#466733,#378778,#378779); #341518=AXIS2_PLACEMENT_3D('',#466739,#378783,#378784); #341519=AXIS2_PLACEMENT_3D('',#466745,#378788,#378789); #341520=AXIS2_PLACEMENT_3D('',#466751,#378793,#378794); #341521=AXIS2_PLACEMENT_3D('',#466757,#378798,#378799); #341522=AXIS2_PLACEMENT_3D('',#466763,#378803,#378804); #341523=AXIS2_PLACEMENT_3D('',#466769,#378808,#378809); #341524=AXIS2_PLACEMENT_3D('',#466775,#378813,#378814); #341525=AXIS2_PLACEMENT_3D('',#466781,#378818,#378819); #341526=AXIS2_PLACEMENT_3D('',#466787,#378823,#378824); #341527=AXIS2_PLACEMENT_3D('',#466793,#378828,#378829); #341528=AXIS2_PLACEMENT_3D('',#466799,#378833,#378834); #341529=AXIS2_PLACEMENT_3D('',#466805,#378838,#378839); #341530=AXIS2_PLACEMENT_3D('',#466811,#378843,#378844); #341531=AXIS2_PLACEMENT_3D('',#466817,#378848,#378849); #341532=AXIS2_PLACEMENT_3D('',#466823,#378853,#378854); #341533=AXIS2_PLACEMENT_3D('',#466829,#378858,#378859); #341534=AXIS2_PLACEMENT_3D('',#466835,#378863,#378864); #341535=AXIS2_PLACEMENT_3D('',#466841,#378868,#378869); #341536=AXIS2_PLACEMENT_3D('',#466847,#378873,#378874); #341537=AXIS2_PLACEMENT_3D('',#466853,#378878,#378879); #341538=AXIS2_PLACEMENT_3D('',#466859,#378883,#378884); #341539=AXIS2_PLACEMENT_3D('',#466865,#378888,#378889); #341540=AXIS2_PLACEMENT_3D('',#466871,#378893,#378894); #341541=AXIS2_PLACEMENT_3D('',#466877,#378898,#378899); #341542=AXIS2_PLACEMENT_3D('',#466883,#378903,#378904); #341543=AXIS2_PLACEMENT_3D('',#466889,#378908,#378909); #341544=AXIS2_PLACEMENT_3D('',#466895,#378913,#378914); #341545=AXIS2_PLACEMENT_3D('',#466901,#378918,#378919); #341546=AXIS2_PLACEMENT_3D('',#466907,#378923,#378924); #341547=AXIS2_PLACEMENT_3D('',#466913,#378928,#378929); #341548=AXIS2_PLACEMENT_3D('',#466919,#378933,#378934); #341549=AXIS2_PLACEMENT_3D('',#466925,#378938,#378939); #341550=AXIS2_PLACEMENT_3D('',#466931,#378943,#378944); #341551=AXIS2_PLACEMENT_3D('',#466937,#378948,#378949); #341552=AXIS2_PLACEMENT_3D('',#466943,#378953,#378954); #341553=AXIS2_PLACEMENT_3D('',#466949,#378958,#378959); #341554=AXIS2_PLACEMENT_3D('',#466955,#378963,#378964); #341555=AXIS2_PLACEMENT_3D('',#466961,#378968,#378969); #341556=AXIS2_PLACEMENT_3D('',#466967,#378973,#378974); #341557=AXIS2_PLACEMENT_3D('',#466973,#378978,#378979); #341558=AXIS2_PLACEMENT_3D('',#466979,#378983,#378984); #341559=AXIS2_PLACEMENT_3D('',#466985,#378988,#378989); #341560=AXIS2_PLACEMENT_3D('',#466991,#378993,#378994); #341561=AXIS2_PLACEMENT_3D('',#466997,#378998,#378999); #341562=AXIS2_PLACEMENT_3D('',#467003,#379003,#379004); #341563=AXIS2_PLACEMENT_3D('',#467009,#379008,#379009); #341564=AXIS2_PLACEMENT_3D('',#467015,#379013,#379014); #341565=AXIS2_PLACEMENT_3D('',#467021,#379018,#379019); #341566=AXIS2_PLACEMENT_3D('',#467027,#379023,#379024); #341567=AXIS2_PLACEMENT_3D('',#467033,#379028,#379029); #341568=AXIS2_PLACEMENT_3D('',#467039,#379033,#379034); #341569=AXIS2_PLACEMENT_3D('',#467045,#379038,#379039); #341570=AXIS2_PLACEMENT_3D('',#467051,#379043,#379044); #341571=AXIS2_PLACEMENT_3D('',#467057,#379048,#379049); #341572=AXIS2_PLACEMENT_3D('',#467063,#379053,#379054); #341573=AXIS2_PLACEMENT_3D('',#467069,#379058,#379059); #341574=AXIS2_PLACEMENT_3D('',#467075,#379063,#379064); #341575=AXIS2_PLACEMENT_3D('',#467081,#379068,#379069); #341576=AXIS2_PLACEMENT_3D('',#467087,#379073,#379074); #341577=AXIS2_PLACEMENT_3D('',#467093,#379078,#379079); #341578=AXIS2_PLACEMENT_3D('',#467099,#379083,#379084); #341579=AXIS2_PLACEMENT_3D('',#467105,#379088,#379089); #341580=AXIS2_PLACEMENT_3D('',#467111,#379093,#379094); #341581=AXIS2_PLACEMENT_3D('',#467117,#379098,#379099); #341582=AXIS2_PLACEMENT_3D('',#467123,#379103,#379104); #341583=AXIS2_PLACEMENT_3D('',#467129,#379108,#379109); #341584=AXIS2_PLACEMENT_3D('',#467135,#379113,#379114); #341585=AXIS2_PLACEMENT_3D('',#467141,#379118,#379119); #341586=AXIS2_PLACEMENT_3D('',#467147,#379123,#379124); #341587=AXIS2_PLACEMENT_3D('',#467153,#379128,#379129); #341588=AXIS2_PLACEMENT_3D('',#467159,#379133,#379134); #341589=AXIS2_PLACEMENT_3D('',#467165,#379138,#379139); #341590=AXIS2_PLACEMENT_3D('',#467171,#379143,#379144); #341591=AXIS2_PLACEMENT_3D('',#467177,#379148,#379149); #341592=AXIS2_PLACEMENT_3D('',#467183,#379153,#379154); #341593=AXIS2_PLACEMENT_3D('',#467189,#379158,#379159); #341594=AXIS2_PLACEMENT_3D('',#467195,#379163,#379164); #341595=AXIS2_PLACEMENT_3D('',#467201,#379168,#379169); #341596=AXIS2_PLACEMENT_3D('',#467207,#379173,#379174); #341597=AXIS2_PLACEMENT_3D('',#467213,#379178,#379179); #341598=AXIS2_PLACEMENT_3D('',#467219,#379183,#379184); #341599=AXIS2_PLACEMENT_3D('',#467225,#379188,#379189); #341600=AXIS2_PLACEMENT_3D('',#467231,#379193,#379194); #341601=AXIS2_PLACEMENT_3D('',#467237,#379198,#379199); #341602=AXIS2_PLACEMENT_3D('',#467243,#379203,#379204); #341603=AXIS2_PLACEMENT_3D('',#467249,#379208,#379209); #341604=AXIS2_PLACEMENT_3D('',#467255,#379213,#379214); #341605=AXIS2_PLACEMENT_3D('',#467261,#379218,#379219); #341606=AXIS2_PLACEMENT_3D('',#467267,#379223,#379224); #341607=AXIS2_PLACEMENT_3D('',#467273,#379228,#379229); #341608=AXIS2_PLACEMENT_3D('',#467279,#379233,#379234); #341609=AXIS2_PLACEMENT_3D('',#467285,#379238,#379239); #341610=AXIS2_PLACEMENT_3D('',#467291,#379243,#379244); #341611=AXIS2_PLACEMENT_3D('',#467297,#379248,#379249); #341612=AXIS2_PLACEMENT_3D('',#467303,#379253,#379254); #341613=AXIS2_PLACEMENT_3D('',#467309,#379258,#379259); #341614=AXIS2_PLACEMENT_3D('',#467315,#379263,#379264); #341615=AXIS2_PLACEMENT_3D('',#467321,#379268,#379269); #341616=AXIS2_PLACEMENT_3D('',#467327,#379273,#379274); #341617=AXIS2_PLACEMENT_3D('',#467333,#379278,#379279); #341618=AXIS2_PLACEMENT_3D('',#467339,#379283,#379284); #341619=AXIS2_PLACEMENT_3D('',#467345,#379288,#379289); #341620=AXIS2_PLACEMENT_3D('',#467351,#379293,#379294); #341621=AXIS2_PLACEMENT_3D('',#467357,#379298,#379299); #341622=AXIS2_PLACEMENT_3D('',#467363,#379303,#379304); #341623=AXIS2_PLACEMENT_3D('',#467369,#379308,#379309); #341624=AXIS2_PLACEMENT_3D('',#467375,#379313,#379314); #341625=AXIS2_PLACEMENT_3D('',#467381,#379318,#379319); #341626=AXIS2_PLACEMENT_3D('',#467387,#379323,#379324); #341627=AXIS2_PLACEMENT_3D('',#467393,#379328,#379329); #341628=AXIS2_PLACEMENT_3D('',#467399,#379333,#379334); #341629=AXIS2_PLACEMENT_3D('',#467405,#379338,#379339); #341630=AXIS2_PLACEMENT_3D('',#467411,#379343,#379344); #341631=AXIS2_PLACEMENT_3D('',#467417,#379348,#379349); #341632=AXIS2_PLACEMENT_3D('',#467423,#379353,#379354); #341633=AXIS2_PLACEMENT_3D('',#467429,#379358,#379359); #341634=AXIS2_PLACEMENT_3D('',#467435,#379363,#379364); #341635=AXIS2_PLACEMENT_3D('',#467441,#379368,#379369); #341636=AXIS2_PLACEMENT_3D('',#467447,#379373,#379374); #341637=AXIS2_PLACEMENT_3D('',#467453,#379378,#379379); #341638=AXIS2_PLACEMENT_3D('',#467459,#379383,#379384); #341639=AXIS2_PLACEMENT_3D('',#467465,#379388,#379389); #341640=AXIS2_PLACEMENT_3D('',#467471,#379393,#379394); #341641=AXIS2_PLACEMENT_3D('',#467477,#379398,#379399); #341642=AXIS2_PLACEMENT_3D('',#467483,#379403,#379404); #341643=AXIS2_PLACEMENT_3D('',#467489,#379408,#379409); #341644=AXIS2_PLACEMENT_3D('',#467495,#379413,#379414); #341645=AXIS2_PLACEMENT_3D('',#467501,#379418,#379419); #341646=AXIS2_PLACEMENT_3D('',#467507,#379423,#379424); #341647=AXIS2_PLACEMENT_3D('',#467513,#379428,#379429); #341648=AXIS2_PLACEMENT_3D('',#467519,#379433,#379434); #341649=AXIS2_PLACEMENT_3D('',#467525,#379438,#379439); #341650=AXIS2_PLACEMENT_3D('',#467531,#379443,#379444); #341651=AXIS2_PLACEMENT_3D('',#467537,#379448,#379449); #341652=AXIS2_PLACEMENT_3D('',#467543,#379453,#379454); #341653=AXIS2_PLACEMENT_3D('',#467549,#379458,#379459); #341654=AXIS2_PLACEMENT_3D('',#467555,#379463,#379464); #341655=AXIS2_PLACEMENT_3D('',#467561,#379468,#379469); #341656=AXIS2_PLACEMENT_3D('',#467567,#379473,#379474); #341657=AXIS2_PLACEMENT_3D('',#467573,#379478,#379479); #341658=AXIS2_PLACEMENT_3D('',#467579,#379483,#379484); #341659=AXIS2_PLACEMENT_3D('',#467585,#379488,#379489); #341660=AXIS2_PLACEMENT_3D('',#467591,#379493,#379494); #341661=AXIS2_PLACEMENT_3D('',#467597,#379498,#379499); #341662=AXIS2_PLACEMENT_3D('',#467603,#379503,#379504); #341663=AXIS2_PLACEMENT_3D('',#467609,#379508,#379509); #341664=AXIS2_PLACEMENT_3D('',#467615,#379513,#379514); #341665=AXIS2_PLACEMENT_3D('',#467621,#379518,#379519); #341666=AXIS2_PLACEMENT_3D('',#467627,#379523,#379524); #341667=AXIS2_PLACEMENT_3D('',#467633,#379528,#379529); #341668=AXIS2_PLACEMENT_3D('',#467639,#379533,#379534); #341669=AXIS2_PLACEMENT_3D('',#467645,#379538,#379539); #341670=AXIS2_PLACEMENT_3D('',#467651,#379543,#379544); #341671=AXIS2_PLACEMENT_3D('',#467657,#379548,#379549); #341672=AXIS2_PLACEMENT_3D('',#467663,#379553,#379554); #341673=AXIS2_PLACEMENT_3D('',#467669,#379558,#379559); #341674=AXIS2_PLACEMENT_3D('',#467675,#379563,#379564); #341675=AXIS2_PLACEMENT_3D('',#467681,#379568,#379569); #341676=AXIS2_PLACEMENT_3D('',#467687,#379573,#379574); #341677=AXIS2_PLACEMENT_3D('',#467693,#379578,#379579); #341678=AXIS2_PLACEMENT_3D('',#467699,#379583,#379584); #341679=AXIS2_PLACEMENT_3D('',#467705,#379588,#379589); #341680=AXIS2_PLACEMENT_3D('',#467711,#379593,#379594); #341681=AXIS2_PLACEMENT_3D('',#467717,#379598,#379599); #341682=AXIS2_PLACEMENT_3D('',#467723,#379603,#379604); #341683=AXIS2_PLACEMENT_3D('',#467729,#379608,#379609); #341684=AXIS2_PLACEMENT_3D('',#467735,#379613,#379614); #341685=AXIS2_PLACEMENT_3D('',#467741,#379618,#379619); #341686=AXIS2_PLACEMENT_3D('',#467747,#379623,#379624); #341687=AXIS2_PLACEMENT_3D('',#467753,#379628,#379629); #341688=AXIS2_PLACEMENT_3D('',#467759,#379633,#379634); #341689=AXIS2_PLACEMENT_3D('',#467765,#379638,#379639); #341690=AXIS2_PLACEMENT_3D('',#467771,#379643,#379644); #341691=AXIS2_PLACEMENT_3D('',#467777,#379648,#379649); #341692=AXIS2_PLACEMENT_3D('',#467783,#379653,#379654); #341693=AXIS2_PLACEMENT_3D('',#467789,#379658,#379659); #341694=AXIS2_PLACEMENT_3D('',#467795,#379663,#379664); #341695=AXIS2_PLACEMENT_3D('',#467801,#379668,#379669); #341696=AXIS2_PLACEMENT_3D('',#467807,#379673,#379674); #341697=AXIS2_PLACEMENT_3D('',#467813,#379678,#379679); #341698=AXIS2_PLACEMENT_3D('',#467819,#379683,#379684); #341699=AXIS2_PLACEMENT_3D('',#467825,#379688,#379689); #341700=AXIS2_PLACEMENT_3D('',#467831,#379693,#379694); #341701=AXIS2_PLACEMENT_3D('',#467837,#379698,#379699); #341702=AXIS2_PLACEMENT_3D('',#467843,#379703,#379704); #341703=AXIS2_PLACEMENT_3D('',#467849,#379708,#379709); #341704=AXIS2_PLACEMENT_3D('',#467855,#379713,#379714); #341705=AXIS2_PLACEMENT_3D('',#467861,#379718,#379719); #341706=AXIS2_PLACEMENT_3D('',#467867,#379723,#379724); #341707=AXIS2_PLACEMENT_3D('',#467873,#379728,#379729); #341708=AXIS2_PLACEMENT_3D('',#467879,#379733,#379734); #341709=AXIS2_PLACEMENT_3D('',#467885,#379738,#379739); #341710=AXIS2_PLACEMENT_3D('',#467891,#379743,#379744); #341711=AXIS2_PLACEMENT_3D('',#467897,#379748,#379749); #341712=AXIS2_PLACEMENT_3D('',#467903,#379753,#379754); #341713=AXIS2_PLACEMENT_3D('',#467909,#379758,#379759); #341714=AXIS2_PLACEMENT_3D('',#467915,#379763,#379764); #341715=AXIS2_PLACEMENT_3D('',#467921,#379768,#379769); #341716=AXIS2_PLACEMENT_3D('',#467927,#379773,#379774); #341717=AXIS2_PLACEMENT_3D('',#467933,#379778,#379779); #341718=AXIS2_PLACEMENT_3D('',#467939,#379783,#379784); #341719=AXIS2_PLACEMENT_3D('',#467945,#379788,#379789); #341720=AXIS2_PLACEMENT_3D('',#467951,#379793,#379794); #341721=AXIS2_PLACEMENT_3D('',#467957,#379798,#379799); #341722=AXIS2_PLACEMENT_3D('',#467963,#379803,#379804); #341723=AXIS2_PLACEMENT_3D('',#467969,#379808,#379809); #341724=AXIS2_PLACEMENT_3D('',#467975,#379813,#379814); #341725=AXIS2_PLACEMENT_3D('',#467981,#379818,#379819); #341726=AXIS2_PLACEMENT_3D('',#467987,#379823,#379824); #341727=AXIS2_PLACEMENT_3D('',#467993,#379828,#379829); #341728=AXIS2_PLACEMENT_3D('',#467999,#379833,#379834); #341729=AXIS2_PLACEMENT_3D('',#468005,#379838,#379839); #341730=AXIS2_PLACEMENT_3D('',#468011,#379843,#379844); #341731=AXIS2_PLACEMENT_3D('',#468017,#379848,#379849); #341732=AXIS2_PLACEMENT_3D('',#468023,#379853,#379854); #341733=AXIS2_PLACEMENT_3D('',#468029,#379858,#379859); #341734=AXIS2_PLACEMENT_3D('',#468035,#379863,#379864); #341735=AXIS2_PLACEMENT_3D('',#468041,#379868,#379869); #341736=AXIS2_PLACEMENT_3D('',#468047,#379873,#379874); #341737=AXIS2_PLACEMENT_3D('',#468053,#379878,#379879); #341738=AXIS2_PLACEMENT_3D('',#468059,#379883,#379884); #341739=AXIS2_PLACEMENT_3D('',#468065,#379888,#379889); #341740=AXIS2_PLACEMENT_3D('',#468071,#379893,#379894); #341741=AXIS2_PLACEMENT_3D('',#468077,#379898,#379899); #341742=AXIS2_PLACEMENT_3D('',#468083,#379903,#379904); #341743=AXIS2_PLACEMENT_3D('',#468089,#379908,#379909); #341744=AXIS2_PLACEMENT_3D('',#468095,#379913,#379914); #341745=AXIS2_PLACEMENT_3D('',#468101,#379918,#379919); #341746=AXIS2_PLACEMENT_3D('',#468107,#379923,#379924); #341747=AXIS2_PLACEMENT_3D('',#468113,#379928,#379929); #341748=AXIS2_PLACEMENT_3D('',#468119,#379933,#379934); #341749=AXIS2_PLACEMENT_3D('',#468125,#379938,#379939); #341750=AXIS2_PLACEMENT_3D('',#468131,#379943,#379944); #341751=AXIS2_PLACEMENT_3D('',#468137,#379948,#379949); #341752=AXIS2_PLACEMENT_3D('',#468143,#379953,#379954); #341753=AXIS2_PLACEMENT_3D('',#468149,#379958,#379959); #341754=AXIS2_PLACEMENT_3D('',#468155,#379963,#379964); #341755=AXIS2_PLACEMENT_3D('',#468161,#379968,#379969); #341756=AXIS2_PLACEMENT_3D('',#468167,#379973,#379974); #341757=AXIS2_PLACEMENT_3D('',#468173,#379978,#379979); #341758=AXIS2_PLACEMENT_3D('',#468179,#379983,#379984); #341759=AXIS2_PLACEMENT_3D('',#468185,#379988,#379989); #341760=AXIS2_PLACEMENT_3D('',#468191,#379993,#379994); #341761=AXIS2_PLACEMENT_3D('',#468197,#379998,#379999); #341762=AXIS2_PLACEMENT_3D('',#468203,#380003,#380004); #341763=AXIS2_PLACEMENT_3D('',#468209,#380008,#380009); #341764=AXIS2_PLACEMENT_3D('',#468215,#380013,#380014); #341765=AXIS2_PLACEMENT_3D('',#468221,#380018,#380019); #341766=AXIS2_PLACEMENT_3D('',#468227,#380023,#380024); #341767=AXIS2_PLACEMENT_3D('',#468233,#380028,#380029); #341768=AXIS2_PLACEMENT_3D('',#468239,#380033,#380034); #341769=AXIS2_PLACEMENT_3D('',#468245,#380038,#380039); #341770=AXIS2_PLACEMENT_3D('',#468251,#380043,#380044); #341771=AXIS2_PLACEMENT_3D('',#468257,#380048,#380049); #341772=AXIS2_PLACEMENT_3D('',#468263,#380053,#380054); #341773=AXIS2_PLACEMENT_3D('',#468269,#380058,#380059); #341774=AXIS2_PLACEMENT_3D('',#468275,#380063,#380064); #341775=AXIS2_PLACEMENT_3D('',#468281,#380068,#380069); #341776=AXIS2_PLACEMENT_3D('',#468287,#380073,#380074); #341777=AXIS2_PLACEMENT_3D('',#468293,#380078,#380079); #341778=AXIS2_PLACEMENT_3D('',#468299,#380083,#380084); #341779=AXIS2_PLACEMENT_3D('',#468305,#380088,#380089); #341780=AXIS2_PLACEMENT_3D('',#468311,#380093,#380094); #341781=AXIS2_PLACEMENT_3D('',#468317,#380098,#380099); #341782=AXIS2_PLACEMENT_3D('',#468323,#380103,#380104); #341783=AXIS2_PLACEMENT_3D('',#468329,#380108,#380109); #341784=AXIS2_PLACEMENT_3D('',#468335,#380113,#380114); #341785=AXIS2_PLACEMENT_3D('',#468341,#380118,#380119); #341786=AXIS2_PLACEMENT_3D('',#468347,#380123,#380124); #341787=AXIS2_PLACEMENT_3D('',#468353,#380128,#380129); #341788=AXIS2_PLACEMENT_3D('',#468359,#380133,#380134); #341789=AXIS2_PLACEMENT_3D('',#468365,#380138,#380139); #341790=AXIS2_PLACEMENT_3D('',#468371,#380143,#380144); #341791=AXIS2_PLACEMENT_3D('',#468377,#380148,#380149); #341792=AXIS2_PLACEMENT_3D('',#468383,#380153,#380154); #341793=AXIS2_PLACEMENT_3D('',#468389,#380158,#380159); #341794=AXIS2_PLACEMENT_3D('',#468395,#380163,#380164); #341795=AXIS2_PLACEMENT_3D('',#468401,#380168,#380169); #341796=AXIS2_PLACEMENT_3D('',#468407,#380173,#380174); #341797=AXIS2_PLACEMENT_3D('',#468413,#380178,#380179); #341798=AXIS2_PLACEMENT_3D('',#468419,#380183,#380184); #341799=AXIS2_PLACEMENT_3D('',#468425,#380188,#380189); #341800=AXIS2_PLACEMENT_3D('',#468431,#380193,#380194); #341801=AXIS2_PLACEMENT_3D('',#468437,#380198,#380199); #341802=AXIS2_PLACEMENT_3D('',#468443,#380203,#380204); #341803=AXIS2_PLACEMENT_3D('',#468449,#380208,#380209); #341804=AXIS2_PLACEMENT_3D('',#468455,#380213,#380214); #341805=AXIS2_PLACEMENT_3D('',#468461,#380218,#380219); #341806=AXIS2_PLACEMENT_3D('',#468467,#380223,#380224); #341807=AXIS2_PLACEMENT_3D('',#468473,#380228,#380229); #341808=AXIS2_PLACEMENT_3D('',#468479,#380233,#380234); #341809=AXIS2_PLACEMENT_3D('',#468485,#380238,#380239); #341810=AXIS2_PLACEMENT_3D('',#468491,#380243,#380244); #341811=AXIS2_PLACEMENT_3D('',#468497,#380248,#380249); #341812=AXIS2_PLACEMENT_3D('',#468503,#380253,#380254); #341813=AXIS2_PLACEMENT_3D('',#468509,#380258,#380259); #341814=AXIS2_PLACEMENT_3D('',#468515,#380263,#380264); #341815=AXIS2_PLACEMENT_3D('',#468521,#380268,#380269); #341816=AXIS2_PLACEMENT_3D('',#468527,#380273,#380274); #341817=AXIS2_PLACEMENT_3D('',#468533,#380278,#380279); #341818=AXIS2_PLACEMENT_3D('',#468539,#380283,#380284); #341819=AXIS2_PLACEMENT_3D('',#468545,#380288,#380289); #341820=AXIS2_PLACEMENT_3D('',#468551,#380293,#380294); #341821=AXIS2_PLACEMENT_3D('',#468557,#380298,#380299); #341822=AXIS2_PLACEMENT_3D('',#468563,#380303,#380304); #341823=AXIS2_PLACEMENT_3D('',#468569,#380308,#380309); #341824=AXIS2_PLACEMENT_3D('',#468575,#380313,#380314); #341825=AXIS2_PLACEMENT_3D('',#468581,#380318,#380319); #341826=AXIS2_PLACEMENT_3D('',#468587,#380323,#380324); #341827=AXIS2_PLACEMENT_3D('',#468593,#380328,#380329); #341828=AXIS2_PLACEMENT_3D('',#468599,#380333,#380334); #341829=AXIS2_PLACEMENT_3D('',#468605,#380338,#380339); #341830=AXIS2_PLACEMENT_3D('',#468611,#380343,#380344); #341831=AXIS2_PLACEMENT_3D('',#468617,#380348,#380349); #341832=AXIS2_PLACEMENT_3D('',#468623,#380353,#380354); #341833=AXIS2_PLACEMENT_3D('',#468629,#380358,#380359); #341834=AXIS2_PLACEMENT_3D('',#468635,#380363,#380364); #341835=AXIS2_PLACEMENT_3D('',#468641,#380368,#380369); #341836=AXIS2_PLACEMENT_3D('',#468647,#380373,#380374); #341837=AXIS2_PLACEMENT_3D('',#468653,#380378,#380379); #341838=AXIS2_PLACEMENT_3D('',#468659,#380383,#380384); #341839=AXIS2_PLACEMENT_3D('',#468665,#380388,#380389); #341840=AXIS2_PLACEMENT_3D('',#468671,#380393,#380394); #341841=AXIS2_PLACEMENT_3D('',#468677,#380398,#380399); #341842=AXIS2_PLACEMENT_3D('',#468683,#380403,#380404); #341843=AXIS2_PLACEMENT_3D('',#468689,#380408,#380409); #341844=AXIS2_PLACEMENT_3D('',#468695,#380413,#380414); #341845=AXIS2_PLACEMENT_3D('',#468701,#380418,#380419); #341846=AXIS2_PLACEMENT_3D('',#468707,#380423,#380424); #341847=AXIS2_PLACEMENT_3D('',#468713,#380428,#380429); #341848=AXIS2_PLACEMENT_3D('',#468719,#380433,#380434); #341849=AXIS2_PLACEMENT_3D('',#468725,#380438,#380439); #341850=AXIS2_PLACEMENT_3D('',#468731,#380443,#380444); #341851=AXIS2_PLACEMENT_3D('',#468737,#380448,#380449); #341852=AXIS2_PLACEMENT_3D('',#468743,#380453,#380454); #341853=AXIS2_PLACEMENT_3D('',#468749,#380458,#380459); #341854=AXIS2_PLACEMENT_3D('',#468755,#380463,#380464); #341855=AXIS2_PLACEMENT_3D('',#468761,#380468,#380469); #341856=AXIS2_PLACEMENT_3D('',#468767,#380473,#380474); #341857=AXIS2_PLACEMENT_3D('',#468773,#380478,#380479); #341858=AXIS2_PLACEMENT_3D('',#468779,#380483,#380484); #341859=AXIS2_PLACEMENT_3D('',#468785,#380488,#380489); #341860=AXIS2_PLACEMENT_3D('',#468791,#380493,#380494); #341861=AXIS2_PLACEMENT_3D('',#468797,#380498,#380499); #341862=AXIS2_PLACEMENT_3D('',#468803,#380503,#380504); #341863=AXIS2_PLACEMENT_3D('',#468809,#380508,#380509); #341864=AXIS2_PLACEMENT_3D('',#468815,#380513,#380514); #341865=AXIS2_PLACEMENT_3D('',#468821,#380518,#380519); #341866=AXIS2_PLACEMENT_3D('',#468827,#380523,#380524); #341867=AXIS2_PLACEMENT_3D('',#468833,#380528,#380529); #341868=AXIS2_PLACEMENT_3D('',#468839,#380533,#380534); #341869=AXIS2_PLACEMENT_3D('',#468845,#380538,#380539); #341870=AXIS2_PLACEMENT_3D('',#468851,#380543,#380544); #341871=AXIS2_PLACEMENT_3D('',#468857,#380548,#380549); #341872=AXIS2_PLACEMENT_3D('',#468863,#380553,#380554); #341873=AXIS2_PLACEMENT_3D('',#468869,#380558,#380559); #341874=AXIS2_PLACEMENT_3D('',#468875,#380563,#380564); #341875=AXIS2_PLACEMENT_3D('',#468881,#380568,#380569); #341876=AXIS2_PLACEMENT_3D('',#468887,#380573,#380574); #341877=AXIS2_PLACEMENT_3D('',#468893,#380578,#380579); #341878=AXIS2_PLACEMENT_3D('',#468899,#380583,#380584); #341879=AXIS2_PLACEMENT_3D('',#468905,#380588,#380589); #341880=AXIS2_PLACEMENT_3D('',#468911,#380593,#380594); #341881=AXIS2_PLACEMENT_3D('',#468917,#380598,#380599); #341882=AXIS2_PLACEMENT_3D('',#468923,#380603,#380604); #341883=AXIS2_PLACEMENT_3D('',#468929,#380608,#380609); #341884=AXIS2_PLACEMENT_3D('',#468935,#380613,#380614); #341885=AXIS2_PLACEMENT_3D('',#468941,#380618,#380619); #341886=AXIS2_PLACEMENT_3D('',#468947,#380623,#380624); #341887=AXIS2_PLACEMENT_3D('',#468953,#380628,#380629); #341888=AXIS2_PLACEMENT_3D('',#468959,#380633,#380634); #341889=AXIS2_PLACEMENT_3D('',#468965,#380638,#380639); #341890=AXIS2_PLACEMENT_3D('',#468971,#380643,#380644); #341891=AXIS2_PLACEMENT_3D('',#468977,#380648,#380649); #341892=AXIS2_PLACEMENT_3D('',#468983,#380653,#380654); #341893=AXIS2_PLACEMENT_3D('',#468989,#380658,#380659); #341894=AXIS2_PLACEMENT_3D('',#468995,#380663,#380664); #341895=AXIS2_PLACEMENT_3D('',#469001,#380668,#380669); #341896=AXIS2_PLACEMENT_3D('',#469007,#380673,#380674); #341897=AXIS2_PLACEMENT_3D('',#469013,#380678,#380679); #341898=AXIS2_PLACEMENT_3D('',#469019,#380683,#380684); #341899=AXIS2_PLACEMENT_3D('',#469025,#380688,#380689); #341900=AXIS2_PLACEMENT_3D('',#469031,#380693,#380694); #341901=AXIS2_PLACEMENT_3D('',#469037,#380698,#380699); #341902=AXIS2_PLACEMENT_3D('',#469043,#380703,#380704); #341903=AXIS2_PLACEMENT_3D('',#469049,#380708,#380709); #341904=AXIS2_PLACEMENT_3D('',#469055,#380713,#380714); #341905=AXIS2_PLACEMENT_3D('',#469061,#380718,#380719); #341906=AXIS2_PLACEMENT_3D('',#469067,#380723,#380724); #341907=AXIS2_PLACEMENT_3D('',#469073,#380728,#380729); #341908=AXIS2_PLACEMENT_3D('',#469079,#380733,#380734); #341909=AXIS2_PLACEMENT_3D('',#469085,#380738,#380739); #341910=AXIS2_PLACEMENT_3D('',#469091,#380743,#380744); #341911=AXIS2_PLACEMENT_3D('',#469097,#380748,#380749); #341912=AXIS2_PLACEMENT_3D('',#469103,#380753,#380754); #341913=AXIS2_PLACEMENT_3D('',#469109,#380758,#380759); #341914=AXIS2_PLACEMENT_3D('',#469115,#380763,#380764); #341915=AXIS2_PLACEMENT_3D('',#469121,#380768,#380769); #341916=AXIS2_PLACEMENT_3D('',#469127,#380773,#380774); #341917=AXIS2_PLACEMENT_3D('',#469133,#380778,#380779); #341918=AXIS2_PLACEMENT_3D('',#469139,#380783,#380784); #341919=AXIS2_PLACEMENT_3D('',#469145,#380788,#380789); #341920=AXIS2_PLACEMENT_3D('',#469151,#380793,#380794); #341921=AXIS2_PLACEMENT_3D('',#469157,#380798,#380799); #341922=AXIS2_PLACEMENT_3D('',#469163,#380803,#380804); #341923=AXIS2_PLACEMENT_3D('',#469169,#380808,#380809); #341924=AXIS2_PLACEMENT_3D('',#469175,#380813,#380814); #341925=AXIS2_PLACEMENT_3D('',#469181,#380818,#380819); #341926=AXIS2_PLACEMENT_3D('',#469187,#380823,#380824); #341927=AXIS2_PLACEMENT_3D('',#469193,#380828,#380829); #341928=AXIS2_PLACEMENT_3D('',#469199,#380833,#380834); #341929=AXIS2_PLACEMENT_3D('',#469205,#380838,#380839); #341930=AXIS2_PLACEMENT_3D('',#469211,#380843,#380844); #341931=AXIS2_PLACEMENT_3D('',#469217,#380848,#380849); #341932=AXIS2_PLACEMENT_3D('',#469223,#380853,#380854); #341933=AXIS2_PLACEMENT_3D('',#469229,#380858,#380859); #341934=AXIS2_PLACEMENT_3D('',#469235,#380863,#380864); #341935=AXIS2_PLACEMENT_3D('',#469241,#380868,#380869); #341936=AXIS2_PLACEMENT_3D('',#469247,#380873,#380874); #341937=AXIS2_PLACEMENT_3D('',#469253,#380878,#380879); #341938=AXIS2_PLACEMENT_3D('',#469259,#380883,#380884); #341939=AXIS2_PLACEMENT_3D('',#469265,#380888,#380889); #341940=AXIS2_PLACEMENT_3D('',#469271,#380893,#380894); #341941=AXIS2_PLACEMENT_3D('',#469277,#380898,#380899); #341942=AXIS2_PLACEMENT_3D('',#469283,#380903,#380904); #341943=AXIS2_PLACEMENT_3D('',#469289,#380908,#380909); #341944=AXIS2_PLACEMENT_3D('',#469295,#380913,#380914); #341945=AXIS2_PLACEMENT_3D('',#469301,#380918,#380919); #341946=AXIS2_PLACEMENT_3D('',#469307,#380923,#380924); #341947=AXIS2_PLACEMENT_3D('',#469313,#380928,#380929); #341948=AXIS2_PLACEMENT_3D('',#469319,#380933,#380934); #341949=AXIS2_PLACEMENT_3D('',#469325,#380938,#380939); #341950=AXIS2_PLACEMENT_3D('',#469331,#380943,#380944); #341951=AXIS2_PLACEMENT_3D('',#469337,#380948,#380949); #341952=AXIS2_PLACEMENT_3D('',#469343,#380953,#380954); #341953=AXIS2_PLACEMENT_3D('',#469349,#380958,#380959); #341954=AXIS2_PLACEMENT_3D('',#469355,#380963,#380964); #341955=AXIS2_PLACEMENT_3D('',#469361,#380968,#380969); #341956=AXIS2_PLACEMENT_3D('',#469367,#380973,#380974); #341957=AXIS2_PLACEMENT_3D('',#469373,#380978,#380979); #341958=AXIS2_PLACEMENT_3D('',#469379,#380983,#380984); #341959=AXIS2_PLACEMENT_3D('',#469385,#380988,#380989); #341960=AXIS2_PLACEMENT_3D('',#469391,#380993,#380994); #341961=AXIS2_PLACEMENT_3D('',#469397,#380998,#380999); #341962=AXIS2_PLACEMENT_3D('',#469403,#381003,#381004); #341963=AXIS2_PLACEMENT_3D('',#469409,#381008,#381009); #341964=AXIS2_PLACEMENT_3D('',#469415,#381013,#381014); #341965=AXIS2_PLACEMENT_3D('',#469421,#381018,#381019); #341966=AXIS2_PLACEMENT_3D('',#469427,#381023,#381024); #341967=AXIS2_PLACEMENT_3D('',#469433,#381028,#381029); #341968=AXIS2_PLACEMENT_3D('',#469439,#381033,#381034); #341969=AXIS2_PLACEMENT_3D('',#469445,#381038,#381039); #341970=AXIS2_PLACEMENT_3D('',#469451,#381043,#381044); #341971=AXIS2_PLACEMENT_3D('',#469457,#381048,#381049); #341972=AXIS2_PLACEMENT_3D('',#469463,#381053,#381054); #341973=AXIS2_PLACEMENT_3D('',#469469,#381058,#381059); #341974=AXIS2_PLACEMENT_3D('',#469475,#381063,#381064); #341975=AXIS2_PLACEMENT_3D('',#469481,#381068,#381069); #341976=AXIS2_PLACEMENT_3D('',#469487,#381073,#381074); #341977=AXIS2_PLACEMENT_3D('',#469493,#381078,#381079); #341978=AXIS2_PLACEMENT_3D('',#469499,#381083,#381084); #341979=AXIS2_PLACEMENT_3D('',#469505,#381088,#381089); #341980=AXIS2_PLACEMENT_3D('',#469511,#381093,#381094); #341981=AXIS2_PLACEMENT_3D('',#469517,#381098,#381099); #341982=AXIS2_PLACEMENT_3D('',#469523,#381103,#381104); #341983=AXIS2_PLACEMENT_3D('',#469529,#381108,#381109); #341984=AXIS2_PLACEMENT_3D('',#469535,#381113,#381114); #341985=AXIS2_PLACEMENT_3D('',#469541,#381118,#381119); #341986=AXIS2_PLACEMENT_3D('',#469547,#381123,#381124); #341987=AXIS2_PLACEMENT_3D('',#469553,#381128,#381129); #341988=AXIS2_PLACEMENT_3D('',#469559,#381133,#381134); #341989=AXIS2_PLACEMENT_3D('',#469565,#381138,#381139); #341990=AXIS2_PLACEMENT_3D('',#469571,#381143,#381144); #341991=AXIS2_PLACEMENT_3D('',#469574,#381147,#381148); #341992=AXIS2_PLACEMENT_3D('',#469583,#381153,#381154); #341993=AXIS2_PLACEMENT_3D('',#469589,#381158,#381159); #341994=AXIS2_PLACEMENT_3D('',#469595,#381163,#381164); #341995=AXIS2_PLACEMENT_3D('',#469601,#381168,#381169); #341996=AXIS2_PLACEMENT_3D('',#469607,#381173,#381174); #341997=AXIS2_PLACEMENT_3D('',#469613,#381178,#381179); #341998=AXIS2_PLACEMENT_3D('',#469619,#381183,#381184); #341999=AXIS2_PLACEMENT_3D('',#469625,#381188,#381189); #342000=AXIS2_PLACEMENT_3D('',#469631,#381193,#381194); #342001=AXIS2_PLACEMENT_3D('',#469637,#381198,#381199); #342002=AXIS2_PLACEMENT_3D('',#469643,#381203,#381204); #342003=AXIS2_PLACEMENT_3D('',#469649,#381208,#381209); #342004=AXIS2_PLACEMENT_3D('',#469655,#381213,#381214); #342005=AXIS2_PLACEMENT_3D('',#469661,#381218,#381219); #342006=AXIS2_PLACEMENT_3D('',#469667,#381223,#381224); #342007=AXIS2_PLACEMENT_3D('',#469673,#381228,#381229); #342008=AXIS2_PLACEMENT_3D('',#469679,#381233,#381234); #342009=AXIS2_PLACEMENT_3D('',#469685,#381238,#381239); #342010=AXIS2_PLACEMENT_3D('',#469691,#381243,#381244); #342011=AXIS2_PLACEMENT_3D('',#469697,#381248,#381249); #342012=AXIS2_PLACEMENT_3D('',#469703,#381253,#381254); #342013=AXIS2_PLACEMENT_3D('',#469709,#381258,#381259); #342014=AXIS2_PLACEMENT_3D('',#469715,#381263,#381264); #342015=AXIS2_PLACEMENT_3D('',#469721,#381268,#381269); #342016=AXIS2_PLACEMENT_3D('',#469727,#381273,#381274); #342017=AXIS2_PLACEMENT_3D('',#469733,#381278,#381279); #342018=AXIS2_PLACEMENT_3D('',#469739,#381283,#381284); #342019=AXIS2_PLACEMENT_3D('',#469745,#381288,#381289); #342020=AXIS2_PLACEMENT_3D('',#469751,#381293,#381294); #342021=AXIS2_PLACEMENT_3D('',#469757,#381298,#381299); #342022=AXIS2_PLACEMENT_3D('',#469763,#381303,#381304); #342023=AXIS2_PLACEMENT_3D('',#469769,#381308,#381309); #342024=AXIS2_PLACEMENT_3D('',#469775,#381313,#381314); #342025=AXIS2_PLACEMENT_3D('',#469781,#381318,#381319); #342026=AXIS2_PLACEMENT_3D('',#469787,#381323,#381324); #342027=AXIS2_PLACEMENT_3D('',#469793,#381328,#381329); #342028=AXIS2_PLACEMENT_3D('',#469799,#381333,#381334); #342029=AXIS2_PLACEMENT_3D('',#469805,#381338,#381339); #342030=AXIS2_PLACEMENT_3D('',#469811,#381343,#381344); #342031=AXIS2_PLACEMENT_3D('',#469817,#381348,#381349); #342032=AXIS2_PLACEMENT_3D('',#469823,#381353,#381354); #342033=AXIS2_PLACEMENT_3D('',#469829,#381358,#381359); #342034=AXIS2_PLACEMENT_3D('',#469835,#381363,#381364); #342035=AXIS2_PLACEMENT_3D('',#469841,#381368,#381369); #342036=AXIS2_PLACEMENT_3D('',#469847,#381373,#381374); #342037=AXIS2_PLACEMENT_3D('',#469853,#381378,#381379); #342038=AXIS2_PLACEMENT_3D('',#469859,#381383,#381384); #342039=AXIS2_PLACEMENT_3D('',#469865,#381388,#381389); #342040=AXIS2_PLACEMENT_3D('',#469871,#381393,#381394); #342041=AXIS2_PLACEMENT_3D('',#469877,#381398,#381399); #342042=AXIS2_PLACEMENT_3D('',#469883,#381403,#381404); #342043=AXIS2_PLACEMENT_3D('',#469889,#381408,#381409); #342044=AXIS2_PLACEMENT_3D('',#469895,#381413,#381414); #342045=AXIS2_PLACEMENT_3D('',#469901,#381418,#381419); #342046=AXIS2_PLACEMENT_3D('',#469907,#381423,#381424); #342047=AXIS2_PLACEMENT_3D('',#469913,#381428,#381429); #342048=AXIS2_PLACEMENT_3D('',#469919,#381433,#381434); #342049=AXIS2_PLACEMENT_3D('',#469925,#381438,#381439); #342050=AXIS2_PLACEMENT_3D('',#469931,#381443,#381444); #342051=AXIS2_PLACEMENT_3D('',#469937,#381448,#381449); #342052=AXIS2_PLACEMENT_3D('',#469943,#381453,#381454); #342053=AXIS2_PLACEMENT_3D('',#469949,#381458,#381459); #342054=AXIS2_PLACEMENT_3D('',#469955,#381463,#381464); #342055=AXIS2_PLACEMENT_3D('',#469961,#381468,#381469); #342056=AXIS2_PLACEMENT_3D('',#469967,#381473,#381474); #342057=AXIS2_PLACEMENT_3D('',#469973,#381478,#381479); #342058=AXIS2_PLACEMENT_3D('',#469979,#381483,#381484); #342059=AXIS2_PLACEMENT_3D('',#469985,#381488,#381489); #342060=AXIS2_PLACEMENT_3D('',#469991,#381493,#381494); #342061=AXIS2_PLACEMENT_3D('',#469997,#381498,#381499); #342062=AXIS2_PLACEMENT_3D('',#470003,#381503,#381504); #342063=AXIS2_PLACEMENT_3D('',#470009,#381508,#381509); #342064=AXIS2_PLACEMENT_3D('',#470015,#381513,#381514); #342065=AXIS2_PLACEMENT_3D('',#470021,#381518,#381519); #342066=AXIS2_PLACEMENT_3D('',#470027,#381523,#381524); #342067=AXIS2_PLACEMENT_3D('',#470033,#381528,#381529); #342068=AXIS2_PLACEMENT_3D('',#470039,#381533,#381534); #342069=AXIS2_PLACEMENT_3D('',#470045,#381538,#381539); #342070=AXIS2_PLACEMENT_3D('',#470051,#381543,#381544); #342071=AXIS2_PLACEMENT_3D('',#470057,#381548,#381549); #342072=AXIS2_PLACEMENT_3D('',#470063,#381553,#381554); #342073=AXIS2_PLACEMENT_3D('',#470069,#381558,#381559); #342074=AXIS2_PLACEMENT_3D('',#470075,#381563,#381564); #342075=AXIS2_PLACEMENT_3D('',#470081,#381568,#381569); #342076=AXIS2_PLACEMENT_3D('',#470087,#381573,#381574); #342077=AXIS2_PLACEMENT_3D('',#470093,#381578,#381579); #342078=AXIS2_PLACEMENT_3D('',#470099,#381583,#381584); #342079=AXIS2_PLACEMENT_3D('',#470105,#381588,#381589); #342080=AXIS2_PLACEMENT_3D('',#470111,#381593,#381594); #342081=AXIS2_PLACEMENT_3D('',#470117,#381598,#381599); #342082=AXIS2_PLACEMENT_3D('',#470123,#381603,#381604); #342083=AXIS2_PLACEMENT_3D('',#470129,#381608,#381609); #342084=AXIS2_PLACEMENT_3D('',#470135,#381613,#381614); #342085=AXIS2_PLACEMENT_3D('',#470141,#381618,#381619); #342086=AXIS2_PLACEMENT_3D('',#470147,#381623,#381624); #342087=AXIS2_PLACEMENT_3D('',#470153,#381628,#381629); #342088=AXIS2_PLACEMENT_3D('',#470159,#381633,#381634); #342089=AXIS2_PLACEMENT_3D('',#470165,#381638,#381639); #342090=AXIS2_PLACEMENT_3D('',#470171,#381643,#381644); #342091=AXIS2_PLACEMENT_3D('',#470177,#381648,#381649); #342092=AXIS2_PLACEMENT_3D('',#470183,#381653,#381654); #342093=AXIS2_PLACEMENT_3D('',#470189,#381658,#381659); #342094=AXIS2_PLACEMENT_3D('',#470195,#381663,#381664); #342095=AXIS2_PLACEMENT_3D('',#470201,#381668,#381669); #342096=AXIS2_PLACEMENT_3D('',#470207,#381673,#381674); #342097=AXIS2_PLACEMENT_3D('',#470213,#381678,#381679); #342098=AXIS2_PLACEMENT_3D('',#470219,#381683,#381684); #342099=AXIS2_PLACEMENT_3D('',#470225,#381688,#381689); #342100=AXIS2_PLACEMENT_3D('',#470231,#381693,#381694); #342101=AXIS2_PLACEMENT_3D('',#470237,#381698,#381699); #342102=AXIS2_PLACEMENT_3D('',#470243,#381703,#381704); #342103=AXIS2_PLACEMENT_3D('',#470249,#381708,#381709); #342104=AXIS2_PLACEMENT_3D('',#470255,#381713,#381714); #342105=AXIS2_PLACEMENT_3D('',#470261,#381718,#381719); #342106=AXIS2_PLACEMENT_3D('',#470267,#381723,#381724); #342107=AXIS2_PLACEMENT_3D('',#470273,#381728,#381729); #342108=AXIS2_PLACEMENT_3D('',#470279,#381733,#381734); #342109=AXIS2_PLACEMENT_3D('',#470285,#381738,#381739); #342110=AXIS2_PLACEMENT_3D('',#470288,#381742,#381743); #342111=AXIS2_PLACEMENT_3D('',#470297,#381748,#381749); #342112=AXIS2_PLACEMENT_3D('',#470303,#381753,#381754); #342113=AXIS2_PLACEMENT_3D('',#470309,#381758,#381759); #342114=AXIS2_PLACEMENT_3D('',#470315,#381763,#381764); #342115=AXIS2_PLACEMENT_3D('',#470321,#381768,#381769); #342116=AXIS2_PLACEMENT_3D('',#470327,#381773,#381774); #342117=AXIS2_PLACEMENT_3D('',#470333,#381778,#381779); #342118=AXIS2_PLACEMENT_3D('',#470336,#381782,#381783); #342119=AXIS2_PLACEMENT_3D('',#470345,#381788,#381789); #342120=AXIS2_PLACEMENT_3D('',#470351,#381793,#381794); #342121=AXIS2_PLACEMENT_3D('',#470357,#381798,#381799); #342122=AXIS2_PLACEMENT_3D('',#470363,#381803,#381804); #342123=AXIS2_PLACEMENT_3D('',#470369,#381808,#381809); #342124=AXIS2_PLACEMENT_3D('',#470375,#381813,#381814); #342125=AXIS2_PLACEMENT_3D('',#470381,#381818,#381819); #342126=AXIS2_PLACEMENT_3D('',#470387,#381823,#381824); #342127=AXIS2_PLACEMENT_3D('',#470393,#381828,#381829); #342128=AXIS2_PLACEMENT_3D('',#470399,#381833,#381834); #342129=AXIS2_PLACEMENT_3D('',#470405,#381838,#381839); #342130=AXIS2_PLACEMENT_3D('',#470411,#381843,#381844); #342131=AXIS2_PLACEMENT_3D('',#470417,#381848,#381849); #342132=AXIS2_PLACEMENT_3D('',#470423,#381853,#381854); #342133=AXIS2_PLACEMENT_3D('',#470429,#381858,#381859); #342134=AXIS2_PLACEMENT_3D('',#470435,#381863,#381864); #342135=AXIS2_PLACEMENT_3D('',#470441,#381868,#381869); #342136=AXIS2_PLACEMENT_3D('',#470447,#381873,#381874); #342137=AXIS2_PLACEMENT_3D('',#470453,#381878,#381879); #342138=AXIS2_PLACEMENT_3D('',#470459,#381883,#381884); #342139=AXIS2_PLACEMENT_3D('',#470465,#381888,#381889); #342140=AXIS2_PLACEMENT_3D('',#470471,#381893,#381894); #342141=AXIS2_PLACEMENT_3D('',#470477,#381898,#381899); #342142=AXIS2_PLACEMENT_3D('',#470480,#381902,#381903); #342143=AXIS2_PLACEMENT_3D('',#470489,#381908,#381909); #342144=AXIS2_PLACEMENT_3D('',#470495,#381913,#381914); #342145=AXIS2_PLACEMENT_3D('',#470501,#381918,#381919); #342146=AXIS2_PLACEMENT_3D('',#470507,#381923,#381924); #342147=AXIS2_PLACEMENT_3D('',#470513,#381928,#381929); #342148=AXIS2_PLACEMENT_3D('',#470519,#381933,#381934); #342149=AXIS2_PLACEMENT_3D('',#470525,#381938,#381939); #342150=AXIS2_PLACEMENT_3D('',#470531,#381943,#381944); #342151=AXIS2_PLACEMENT_3D('',#470537,#381948,#381949); #342152=AXIS2_PLACEMENT_3D('',#470543,#381953,#381954); #342153=AXIS2_PLACEMENT_3D('',#470549,#381958,#381959); #342154=AXIS2_PLACEMENT_3D('',#470555,#381963,#381964); #342155=AXIS2_PLACEMENT_3D('',#470561,#381968,#381969); #342156=AXIS2_PLACEMENT_3D('',#470567,#381973,#381974); #342157=AXIS2_PLACEMENT_3D('',#470573,#381978,#381979); #342158=AXIS2_PLACEMENT_3D('',#470579,#381983,#381984); #342159=AXIS2_PLACEMENT_3D('',#470585,#381988,#381989); #342160=AXIS2_PLACEMENT_3D('',#470591,#381993,#381994); #342161=AXIS2_PLACEMENT_3D('',#470597,#381998,#381999); #342162=AXIS2_PLACEMENT_3D('',#470603,#382003,#382004); #342163=AXIS2_PLACEMENT_3D('',#470609,#382008,#382009); #342164=AXIS2_PLACEMENT_3D('',#470615,#382013,#382014); #342165=AXIS2_PLACEMENT_3D('',#470621,#382018,#382019); #342166=AXIS2_PLACEMENT_3D('',#470627,#382023,#382024); #342167=AXIS2_PLACEMENT_3D('',#470633,#382028,#382029); #342168=AXIS2_PLACEMENT_3D('',#470639,#382033,#382034); #342169=AXIS2_PLACEMENT_3D('',#470645,#382038,#382039); #342170=AXIS2_PLACEMENT_3D('',#470651,#382043,#382044); #342171=AXIS2_PLACEMENT_3D('',#470657,#382048,#382049); #342172=AXIS2_PLACEMENT_3D('',#470663,#382053,#382054); #342173=AXIS2_PLACEMENT_3D('',#470669,#382058,#382059); #342174=AXIS2_PLACEMENT_3D('',#470675,#382063,#382064); #342175=AXIS2_PLACEMENT_3D('',#470681,#382068,#382069); #342176=AXIS2_PLACEMENT_3D('',#470687,#382073,#382074); #342177=AXIS2_PLACEMENT_3D('',#470693,#382078,#382079); #342178=AXIS2_PLACEMENT_3D('',#470699,#382083,#382084); #342179=AXIS2_PLACEMENT_3D('',#470705,#382088,#382089); #342180=AXIS2_PLACEMENT_3D('',#470711,#382093,#382094); #342181=AXIS2_PLACEMENT_3D('',#470717,#382098,#382099); #342182=AXIS2_PLACEMENT_3D('',#470723,#382103,#382104); #342183=AXIS2_PLACEMENT_3D('',#470729,#382108,#382109); #342184=AXIS2_PLACEMENT_3D('',#470735,#382113,#382114); #342185=AXIS2_PLACEMENT_3D('',#470741,#382118,#382119); #342186=AXIS2_PLACEMENT_3D('',#470747,#382123,#382124); #342187=AXIS2_PLACEMENT_3D('',#470753,#382128,#382129); #342188=AXIS2_PLACEMENT_3D('',#470759,#382133,#382134); #342189=AXIS2_PLACEMENT_3D('',#470765,#382138,#382139); #342190=AXIS2_PLACEMENT_3D('',#470771,#382143,#382144); #342191=AXIS2_PLACEMENT_3D('',#470777,#382148,#382149); #342192=AXIS2_PLACEMENT_3D('',#470783,#382153,#382154); #342193=AXIS2_PLACEMENT_3D('',#470789,#382158,#382159); #342194=AXIS2_PLACEMENT_3D('',#470795,#382163,#382164); #342195=AXIS2_PLACEMENT_3D('',#470801,#382168,#382169); #342196=AXIS2_PLACEMENT_3D('',#470807,#382173,#382174); #342197=AXIS2_PLACEMENT_3D('',#470813,#382178,#382179); #342198=AXIS2_PLACEMENT_3D('',#470819,#382183,#382184); #342199=AXIS2_PLACEMENT_3D('',#470825,#382188,#382189); #342200=AXIS2_PLACEMENT_3D('',#470831,#382193,#382194); #342201=AXIS2_PLACEMENT_3D('',#470837,#382198,#382199); #342202=AXIS2_PLACEMENT_3D('',#470843,#382203,#382204); #342203=AXIS2_PLACEMENT_3D('',#470849,#382208,#382209); #342204=AXIS2_PLACEMENT_3D('',#470855,#382213,#382214); #342205=AXIS2_PLACEMENT_3D('',#470861,#382218,#382219); #342206=AXIS2_PLACEMENT_3D('',#470867,#382223,#382224); #342207=AXIS2_PLACEMENT_3D('',#470873,#382228,#382229); #342208=AXIS2_PLACEMENT_3D('',#470879,#382233,#382234); #342209=AXIS2_PLACEMENT_3D('',#470885,#382238,#382239); #342210=AXIS2_PLACEMENT_3D('',#470891,#382243,#382244); #342211=AXIS2_PLACEMENT_3D('',#470897,#382248,#382249); #342212=AXIS2_PLACEMENT_3D('',#470903,#382253,#382254); #342213=AXIS2_PLACEMENT_3D('',#470909,#382258,#382259); #342214=AXIS2_PLACEMENT_3D('',#470915,#382263,#382264); #342215=AXIS2_PLACEMENT_3D('',#470921,#382268,#382269); #342216=AXIS2_PLACEMENT_3D('',#470927,#382273,#382274); #342217=AXIS2_PLACEMENT_3D('',#470933,#382278,#382279); #342218=AXIS2_PLACEMENT_3D('',#470939,#382283,#382284); #342219=AXIS2_PLACEMENT_3D('',#470945,#382288,#382289); #342220=AXIS2_PLACEMENT_3D('',#470951,#382293,#382294); #342221=AXIS2_PLACEMENT_3D('',#470957,#382298,#382299); #342222=AXIS2_PLACEMENT_3D('',#470963,#382303,#382304); #342223=AXIS2_PLACEMENT_3D('',#470969,#382308,#382309); #342224=AXIS2_PLACEMENT_3D('',#470975,#382313,#382314); #342225=AXIS2_PLACEMENT_3D('',#470981,#382318,#382319); #342226=AXIS2_PLACEMENT_3D('',#470987,#382323,#382324); #342227=AXIS2_PLACEMENT_3D('',#470993,#382328,#382329); #342228=AXIS2_PLACEMENT_3D('',#470999,#382333,#382334); #342229=AXIS2_PLACEMENT_3D('',#471005,#382338,#382339); #342230=AXIS2_PLACEMENT_3D('',#471011,#382343,#382344); #342231=AXIS2_PLACEMENT_3D('',#471017,#382348,#382349); #342232=AXIS2_PLACEMENT_3D('',#471023,#382353,#382354); #342233=AXIS2_PLACEMENT_3D('',#471029,#382358,#382359); #342234=AXIS2_PLACEMENT_3D('',#471035,#382363,#382364); #342235=AXIS2_PLACEMENT_3D('',#471041,#382368,#382369); #342236=AXIS2_PLACEMENT_3D('',#471047,#382373,#382374); #342237=AXIS2_PLACEMENT_3D('',#471053,#382378,#382379); #342238=AXIS2_PLACEMENT_3D('',#471059,#382383,#382384); #342239=AXIS2_PLACEMENT_3D('',#471065,#382388,#382389); #342240=AXIS2_PLACEMENT_3D('',#471071,#382393,#382394); #342241=AXIS2_PLACEMENT_3D('',#471077,#382398,#382399); #342242=AXIS2_PLACEMENT_3D('',#471083,#382403,#382404); #342243=AXIS2_PLACEMENT_3D('',#471089,#382408,#382409); #342244=AXIS2_PLACEMENT_3D('',#471095,#382413,#382414); #342245=AXIS2_PLACEMENT_3D('',#471101,#382418,#382419); #342246=AXIS2_PLACEMENT_3D('',#471107,#382423,#382424); #342247=AXIS2_PLACEMENT_3D('',#471113,#382428,#382429); #342248=AXIS2_PLACEMENT_3D('',#471119,#382433,#382434); #342249=AXIS2_PLACEMENT_3D('',#471125,#382438,#382439); #342250=AXIS2_PLACEMENT_3D('',#471131,#382443,#382444); #342251=AXIS2_PLACEMENT_3D('',#471137,#382448,#382449); #342252=AXIS2_PLACEMENT_3D('',#471143,#382453,#382454); #342253=AXIS2_PLACEMENT_3D('',#471149,#382458,#382459); #342254=AXIS2_PLACEMENT_3D('',#471155,#382463,#382464); #342255=AXIS2_PLACEMENT_3D('',#471161,#382468,#382469); #342256=AXIS2_PLACEMENT_3D('',#471167,#382473,#382474); #342257=AXIS2_PLACEMENT_3D('',#471173,#382478,#382479); #342258=AXIS2_PLACEMENT_3D('',#471179,#382483,#382484); #342259=AXIS2_PLACEMENT_3D('',#471185,#382488,#382489); #342260=AXIS2_PLACEMENT_3D('',#471191,#382493,#382494); #342261=AXIS2_PLACEMENT_3D('',#471197,#382498,#382499); #342262=AXIS2_PLACEMENT_3D('',#471200,#382502,#382503); #342263=AXIS2_PLACEMENT_3D('',#471201,#382504,#382505); #342264=AXIS2_PLACEMENT_3D('',#471202,#382506,#382507); #342265=AXIS2_PLACEMENT_3D('',#471211,#382512,#382513); #342266=AXIS2_PLACEMENT_3D('',#471217,#382517,#382518); #342267=AXIS2_PLACEMENT_3D('',#471223,#382522,#382523); #342268=AXIS2_PLACEMENT_3D('',#471229,#382527,#382528); #342269=AXIS2_PLACEMENT_3D('',#471235,#382532,#382533); #342270=AXIS2_PLACEMENT_3D('',#471241,#382537,#382538); #342271=AXIS2_PLACEMENT_3D('',#471247,#382542,#382543); #342272=AXIS2_PLACEMENT_3D('',#471253,#382547,#382548); #342273=AXIS2_PLACEMENT_3D('',#471259,#382552,#382553); #342274=AXIS2_PLACEMENT_3D('',#471265,#382557,#382558); #342275=AXIS2_PLACEMENT_3D('',#471271,#382562,#382563); #342276=AXIS2_PLACEMENT_3D('',#471277,#382567,#382568); #342277=AXIS2_PLACEMENT_3D('',#471283,#382572,#382573); #342278=AXIS2_PLACEMENT_3D('',#471289,#382577,#382578); #342279=AXIS2_PLACEMENT_3D('',#471295,#382582,#382583); #342280=AXIS2_PLACEMENT_3D('',#471301,#382587,#382588); #342281=AXIS2_PLACEMENT_3D('',#471307,#382592,#382593); #342282=AXIS2_PLACEMENT_3D('',#471313,#382597,#382598); #342283=AXIS2_PLACEMENT_3D('',#471319,#382602,#382603); #342284=AXIS2_PLACEMENT_3D('',#471322,#382606,#382607); #342285=AXIS2_PLACEMENT_3D('',#471323,#382608,#382609); #342286=AXIS2_PLACEMENT_3D('',#471324,#382610,#382611); #342287=AXIS2_PLACEMENT_3D('',#471333,#382616,#382617); #342288=AXIS2_PLACEMENT_3D('',#471339,#382621,#382622); #342289=AXIS2_PLACEMENT_3D('',#471345,#382626,#382627); #342290=AXIS2_PLACEMENT_3D('',#471351,#382631,#382632); #342291=AXIS2_PLACEMENT_3D('',#471357,#382636,#382637); #342292=AXIS2_PLACEMENT_3D('',#471363,#382641,#382642); #342293=AXIS2_PLACEMENT_3D('',#471369,#382646,#382647); #342294=AXIS2_PLACEMENT_3D('',#471375,#382651,#382652); #342295=AXIS2_PLACEMENT_3D('',#471381,#382656,#382657); #342296=AXIS2_PLACEMENT_3D('',#471387,#382661,#382662); #342297=AXIS2_PLACEMENT_3D('',#471393,#382666,#382667); #342298=AXIS2_PLACEMENT_3D('',#471399,#382671,#382672); #342299=AXIS2_PLACEMENT_3D('',#471405,#382676,#382677); #342300=AXIS2_PLACEMENT_3D('',#471411,#382681,#382682); #342301=AXIS2_PLACEMENT_3D('',#471417,#382686,#382687); #342302=AXIS2_PLACEMENT_3D('',#471423,#382691,#382692); #342303=AXIS2_PLACEMENT_3D('',#471429,#382696,#382697); #342304=AXIS2_PLACEMENT_3D('',#471435,#382701,#382702); #342305=AXIS2_PLACEMENT_3D('',#471441,#382706,#382707); #342306=AXIS2_PLACEMENT_3D('',#471447,#382711,#382712); #342307=AXIS2_PLACEMENT_3D('',#471453,#382716,#382717); #342308=AXIS2_PLACEMENT_3D('',#471459,#382721,#382722); #342309=AXIS2_PLACEMENT_3D('',#471465,#382726,#382727); #342310=AXIS2_PLACEMENT_3D('',#471471,#382731,#382732); #342311=AXIS2_PLACEMENT_3D('',#471477,#382736,#382737); #342312=AXIS2_PLACEMENT_3D('',#471483,#382741,#382742); #342313=AXIS2_PLACEMENT_3D('',#471489,#382746,#382747); #342314=AXIS2_PLACEMENT_3D('',#471495,#382751,#382752); #342315=AXIS2_PLACEMENT_3D('',#471501,#382756,#382757); #342316=AXIS2_PLACEMENT_3D('',#471507,#382761,#382762); #342317=AXIS2_PLACEMENT_3D('',#471513,#382766,#382767); #342318=AXIS2_PLACEMENT_3D('',#471519,#382771,#382772); #342319=AXIS2_PLACEMENT_3D('',#471525,#382776,#382777); #342320=AXIS2_PLACEMENT_3D('',#471531,#382781,#382782); #342321=AXIS2_PLACEMENT_3D('',#471537,#382786,#382787); #342322=AXIS2_PLACEMENT_3D('',#471543,#382791,#382792); #342323=AXIS2_PLACEMENT_3D('',#471549,#382796,#382797); #342324=AXIS2_PLACEMENT_3D('',#471555,#382801,#382802); #342325=AXIS2_PLACEMENT_3D('',#471561,#382806,#382807); #342326=AXIS2_PLACEMENT_3D('',#471564,#382810,#382811); #342327=AXIS2_PLACEMENT_3D('',#471565,#382812,#382813); #342328=AXIS2_PLACEMENT_3D('',#471566,#382814,#382815); #342329=AXIS2_PLACEMENT_3D('',#471575,#382820,#382821); #342330=AXIS2_PLACEMENT_3D('',#471577,#382822,#382823); #342331=AXIS2_PLACEMENT_3D('',#471579,#382824,#382825); #342332=AXIS2_PLACEMENT_3D('',#471581,#382827,#382828); #342333=AXIS2_PLACEMENT_3D('',#471587,#382832,#382833); #342334=AXIS2_PLACEMENT_3D('',#471588,#382834,#382835); #342335=AXIS2_PLACEMENT_3D('',#471589,#382836,#382837); #342336=AXIS2_PLACEMENT_3D('',#471590,#382838,#382839); #342337=AXIS2_PLACEMENT_3D('',#471592,#382840,#382841); #342338=AXIS2_PLACEMENT_3D('',#471595,#382843,#382844); #342339=AXIS2_PLACEMENT_3D('',#471596,#382845,#382846); #342340=AXIS2_PLACEMENT_3D('',#471598,#382847,#382848); #342341=AXIS2_PLACEMENT_3D('',#471601,#382850,#382851); #342342=AXIS2_PLACEMENT_3D('',#471602,#382852,#382853); #342343=AXIS2_PLACEMENT_3D('',#471604,#382854,#382855); #342344=AXIS2_PLACEMENT_3D('',#471607,#382857,#382858); #342345=AXIS2_PLACEMENT_3D('',#471608,#382859,#382860); #342346=AXIS2_PLACEMENT_3D('',#471610,#382861,#382862); #342347=AXIS2_PLACEMENT_3D('',#471613,#382864,#382865); #342348=AXIS2_PLACEMENT_3D('',#471614,#382866,#382867); #342349=AXIS2_PLACEMENT_3D('',#471616,#382868,#382869); #342350=AXIS2_PLACEMENT_3D('',#471619,#382871,#382872); #342351=AXIS2_PLACEMENT_3D('',#471620,#382873,#382874); #342352=AXIS2_PLACEMENT_3D('',#471622,#382875,#382876); #342353=AXIS2_PLACEMENT_3D('',#471625,#382878,#382879); #342354=AXIS2_PLACEMENT_3D('',#471626,#382880,#382881); #342355=AXIS2_PLACEMENT_3D('',#471628,#382882,#382883); #342356=AXIS2_PLACEMENT_3D('',#471631,#382885,#382886); #342357=AXIS2_PLACEMENT_3D('',#471632,#382887,#382888); #342358=AXIS2_PLACEMENT_3D('',#471634,#382889,#382890); #342359=AXIS2_PLACEMENT_3D('',#471637,#382892,#382893); #342360=AXIS2_PLACEMENT_3D('',#471638,#382894,#382895); #342361=AXIS2_PLACEMENT_3D('',#471640,#382896,#382897); #342362=AXIS2_PLACEMENT_3D('',#471643,#382899,#382900); #342363=AXIS2_PLACEMENT_3D('',#471644,#382901,#382902); #342364=AXIS2_PLACEMENT_3D('',#471646,#382903,#382904); #342365=AXIS2_PLACEMENT_3D('',#471649,#382906,#382907); #342366=AXIS2_PLACEMENT_3D('',#471650,#382908,#382909); #342367=AXIS2_PLACEMENT_3D('',#471652,#382910,#382911); #342368=AXIS2_PLACEMENT_3D('',#471655,#382913,#382914); #342369=AXIS2_PLACEMENT_3D('',#471656,#382915,#382916); #342370=AXIS2_PLACEMENT_3D('',#471665,#382921,#382922); #342371=AXIS2_PLACEMENT_3D('',#471671,#382926,#382927); #342372=AXIS2_PLACEMENT_3D('',#471677,#382931,#382932); #342373=AXIS2_PLACEMENT_3D('',#471683,#382936,#382937); #342374=AXIS2_PLACEMENT_3D('',#471689,#382941,#382942); #342375=AXIS2_PLACEMENT_3D('',#471695,#382946,#382947); #342376=AXIS2_PLACEMENT_3D('',#471701,#382951,#382952); #342377=AXIS2_PLACEMENT_3D('',#471704,#382955,#382956); #342378=AXIS2_PLACEMENT_3D('',#471713,#382961,#382962); #342379=AXIS2_PLACEMENT_3D('',#471719,#382966,#382967); #342380=AXIS2_PLACEMENT_3D('',#471725,#382971,#382972); #342381=AXIS2_PLACEMENT_3D('',#471731,#382976,#382977); #342382=AXIS2_PLACEMENT_3D('',#471737,#382981,#382982); #342383=AXIS2_PLACEMENT_3D('',#471743,#382986,#382987); #342384=AXIS2_PLACEMENT_3D('',#471749,#382991,#382992); #342385=AXIS2_PLACEMENT_3D('',#471752,#382995,#382996); #342386=AXIS2_PLACEMENT_3D('',#471761,#383001,#383002); #342387=AXIS2_PLACEMENT_3D('',#471767,#383006,#383007); #342388=AXIS2_PLACEMENT_3D('',#471773,#383011,#383012); #342389=AXIS2_PLACEMENT_3D('',#471779,#383016,#383017); #342390=AXIS2_PLACEMENT_3D('',#471785,#383021,#383022); #342391=AXIS2_PLACEMENT_3D('',#471791,#383026,#383027); #342392=AXIS2_PLACEMENT_3D('',#471797,#383031,#383032); #342393=AXIS2_PLACEMENT_3D('',#471803,#383036,#383037); #342394=AXIS2_PLACEMENT_3D('',#471809,#383041,#383042); #342395=AXIS2_PLACEMENT_3D('',#471815,#383046,#383047); #342396=AXIS2_PLACEMENT_3D('',#471821,#383051,#383052); #342397=AXIS2_PLACEMENT_3D('',#471827,#383056,#383057); #342398=AXIS2_PLACEMENT_3D('',#471833,#383061,#383062); #342399=AXIS2_PLACEMENT_3D('',#471839,#383066,#383067); #342400=AXIS2_PLACEMENT_3D('',#471845,#383071,#383072); #342401=AXIS2_PLACEMENT_3D('',#471851,#383076,#383077); #342402=AXIS2_PLACEMENT_3D('',#471857,#383081,#383082); #342403=AXIS2_PLACEMENT_3D('',#471863,#383086,#383087); #342404=AXIS2_PLACEMENT_3D('',#471869,#383091,#383092); #342405=AXIS2_PLACEMENT_3D('',#471875,#383096,#383097); #342406=AXIS2_PLACEMENT_3D('',#471881,#383101,#383102); #342407=AXIS2_PLACEMENT_3D('',#471887,#383106,#383107); #342408=AXIS2_PLACEMENT_3D('',#471893,#383111,#383112); #342409=AXIS2_PLACEMENT_3D('',#471899,#383116,#383117); #342410=AXIS2_PLACEMENT_3D('',#471905,#383121,#383122); #342411=AXIS2_PLACEMENT_3D('',#471911,#383126,#383127); #342412=AXIS2_PLACEMENT_3D('',#471917,#383131,#383132); #342413=AXIS2_PLACEMENT_3D('',#471923,#383136,#383137); #342414=AXIS2_PLACEMENT_3D('',#471929,#383141,#383142); #342415=AXIS2_PLACEMENT_3D('',#471935,#383146,#383147); #342416=AXIS2_PLACEMENT_3D('',#471941,#383151,#383152); #342417=AXIS2_PLACEMENT_3D('',#471947,#383156,#383157); #342418=AXIS2_PLACEMENT_3D('',#471953,#383161,#383162); #342419=AXIS2_PLACEMENT_3D('',#471959,#383166,#383167); #342420=AXIS2_PLACEMENT_3D('',#471965,#383171,#383172); #342421=AXIS2_PLACEMENT_3D('',#471971,#383176,#383177); #342422=AXIS2_PLACEMENT_3D('',#471977,#383181,#383182); #342423=AXIS2_PLACEMENT_3D('',#471983,#383186,#383187); #342424=AXIS2_PLACEMENT_3D('',#471989,#383191,#383192); #342425=AXIS2_PLACEMENT_3D('',#471995,#383196,#383197); #342426=AXIS2_PLACEMENT_3D('',#472001,#383201,#383202); #342427=AXIS2_PLACEMENT_3D('',#472007,#383206,#383207); #342428=AXIS2_PLACEMENT_3D('',#472013,#383211,#383212); #342429=AXIS2_PLACEMENT_3D('',#472019,#383216,#383217); #342430=AXIS2_PLACEMENT_3D('',#472025,#383221,#383222); #342431=AXIS2_PLACEMENT_3D('',#472031,#383226,#383227); #342432=AXIS2_PLACEMENT_3D('',#472037,#383231,#383232); #342433=AXIS2_PLACEMENT_3D('',#472043,#383236,#383237); #342434=AXIS2_PLACEMENT_3D('',#472049,#383241,#383242); #342435=AXIS2_PLACEMENT_3D('',#472055,#383246,#383247); #342436=AXIS2_PLACEMENT_3D('',#472061,#383251,#383252); #342437=AXIS2_PLACEMENT_3D('',#472067,#383256,#383257); #342438=AXIS2_PLACEMENT_3D('',#472073,#383261,#383262); #342439=AXIS2_PLACEMENT_3D('',#472079,#383266,#383267); #342440=AXIS2_PLACEMENT_3D('',#472085,#383271,#383272); #342441=AXIS2_PLACEMENT_3D('',#472091,#383276,#383277); #342442=AXIS2_PLACEMENT_3D('',#472097,#383281,#383282); #342443=AXIS2_PLACEMENT_3D('',#472103,#383286,#383287); #342444=AXIS2_PLACEMENT_3D('',#472109,#383291,#383292); #342445=AXIS2_PLACEMENT_3D('',#472115,#383296,#383297); #342446=AXIS2_PLACEMENT_3D('',#472121,#383301,#383302); #342447=AXIS2_PLACEMENT_3D('',#472127,#383306,#383307); #342448=AXIS2_PLACEMENT_3D('',#472133,#383311,#383312); #342449=AXIS2_PLACEMENT_3D('',#472139,#383316,#383317); #342450=AXIS2_PLACEMENT_3D('',#472145,#383321,#383322); #342451=AXIS2_PLACEMENT_3D('',#472151,#383326,#383327); #342452=AXIS2_PLACEMENT_3D('',#472157,#383331,#383332); #342453=AXIS2_PLACEMENT_3D('',#472163,#383336,#383337); #342454=AXIS2_PLACEMENT_3D('',#472169,#383341,#383342); #342455=AXIS2_PLACEMENT_3D('',#472175,#383346,#383347); #342456=AXIS2_PLACEMENT_3D('',#472181,#383351,#383352); #342457=AXIS2_PLACEMENT_3D('',#472187,#383356,#383357); #342458=AXIS2_PLACEMENT_3D('',#472193,#383361,#383362); #342459=AXIS2_PLACEMENT_3D('',#472199,#383366,#383367); #342460=AXIS2_PLACEMENT_3D('',#472205,#383371,#383372); #342461=AXIS2_PLACEMENT_3D('',#472211,#383376,#383377); #342462=AXIS2_PLACEMENT_3D('',#472217,#383381,#383382); #342463=AXIS2_PLACEMENT_3D('',#472223,#383386,#383387); #342464=AXIS2_PLACEMENT_3D('',#472229,#383391,#383392); #342465=AXIS2_PLACEMENT_3D('',#472235,#383396,#383397); #342466=AXIS2_PLACEMENT_3D('',#472241,#383401,#383402); #342467=AXIS2_PLACEMENT_3D('',#472247,#383406,#383407); #342468=AXIS2_PLACEMENT_3D('',#472253,#383411,#383412); #342469=AXIS2_PLACEMENT_3D('',#472259,#383416,#383417); #342470=AXIS2_PLACEMENT_3D('',#472265,#383421,#383422); #342471=AXIS2_PLACEMENT_3D('',#472271,#383426,#383427); #342472=AXIS2_PLACEMENT_3D('',#472277,#383431,#383432); #342473=AXIS2_PLACEMENT_3D('',#472283,#383436,#383437); #342474=AXIS2_PLACEMENT_3D('',#472289,#383441,#383442); #342475=AXIS2_PLACEMENT_3D('',#472295,#383446,#383447); #342476=AXIS2_PLACEMENT_3D('',#472301,#383451,#383452); #342477=AXIS2_PLACEMENT_3D('',#472307,#383456,#383457); #342478=AXIS2_PLACEMENT_3D('',#472313,#383461,#383462); #342479=AXIS2_PLACEMENT_3D('',#472319,#383466,#383467); #342480=AXIS2_PLACEMENT_3D('',#472325,#383471,#383472); #342481=AXIS2_PLACEMENT_3D('',#472331,#383476,#383477); #342482=AXIS2_PLACEMENT_3D('',#472337,#383481,#383482); #342483=AXIS2_PLACEMENT_3D('',#472343,#383486,#383487); #342484=AXIS2_PLACEMENT_3D('',#472349,#383491,#383492); #342485=AXIS2_PLACEMENT_3D('',#472355,#383496,#383497); #342486=AXIS2_PLACEMENT_3D('',#472361,#383501,#383502); #342487=AXIS2_PLACEMENT_3D('',#472367,#383506,#383507); #342488=AXIS2_PLACEMENT_3D('',#472373,#383511,#383512); #342489=AXIS2_PLACEMENT_3D('',#472379,#383516,#383517); #342490=AXIS2_PLACEMENT_3D('',#472385,#383521,#383522); #342491=AXIS2_PLACEMENT_3D('',#472391,#383526,#383527); #342492=AXIS2_PLACEMENT_3D('',#472397,#383531,#383532); #342493=AXIS2_PLACEMENT_3D('',#472403,#383536,#383537); #342494=AXIS2_PLACEMENT_3D('',#472409,#383541,#383542); #342495=AXIS2_PLACEMENT_3D('',#472415,#383546,#383547); #342496=AXIS2_PLACEMENT_3D('',#472421,#383551,#383552); #342497=AXIS2_PLACEMENT_3D('',#472427,#383556,#383557); #342498=AXIS2_PLACEMENT_3D('',#472433,#383561,#383562); #342499=AXIS2_PLACEMENT_3D('',#472439,#383566,#383567); #342500=AXIS2_PLACEMENT_3D('',#472445,#383571,#383572); #342501=AXIS2_PLACEMENT_3D('',#472451,#383576,#383577); #342502=AXIS2_PLACEMENT_3D('',#472457,#383581,#383582); #342503=AXIS2_PLACEMENT_3D('',#472463,#383586,#383587); #342504=AXIS2_PLACEMENT_3D('',#472469,#383591,#383592); #342505=AXIS2_PLACEMENT_3D('',#472475,#383596,#383597); #342506=AXIS2_PLACEMENT_3D('',#472481,#383601,#383602); #342507=AXIS2_PLACEMENT_3D('',#472487,#383606,#383607); #342508=AXIS2_PLACEMENT_3D('',#472493,#383611,#383612); #342509=AXIS2_PLACEMENT_3D('',#472499,#383616,#383617); #342510=AXIS2_PLACEMENT_3D('',#472505,#383621,#383622); #342511=AXIS2_PLACEMENT_3D('',#472511,#383626,#383627); #342512=AXIS2_PLACEMENT_3D('',#472517,#383631,#383632); #342513=AXIS2_PLACEMENT_3D('',#472523,#383636,#383637); #342514=AXIS2_PLACEMENT_3D('',#472529,#383641,#383642); #342515=AXIS2_PLACEMENT_3D('',#472535,#383646,#383647); #342516=AXIS2_PLACEMENT_3D('',#472541,#383651,#383652); #342517=AXIS2_PLACEMENT_3D('',#472547,#383656,#383657); #342518=AXIS2_PLACEMENT_3D('',#472553,#383661,#383662); #342519=AXIS2_PLACEMENT_3D('',#472559,#383666,#383667); #342520=AXIS2_PLACEMENT_3D('',#472565,#383671,#383672); #342521=AXIS2_PLACEMENT_3D('',#472571,#383676,#383677); #342522=AXIS2_PLACEMENT_3D('',#472577,#383681,#383682); #342523=AXIS2_PLACEMENT_3D('',#472583,#383686,#383687); #342524=AXIS2_PLACEMENT_3D('',#472589,#383691,#383692); #342525=AXIS2_PLACEMENT_3D('',#472595,#383696,#383697); #342526=AXIS2_PLACEMENT_3D('',#472601,#383701,#383702); #342527=AXIS2_PLACEMENT_3D('',#472607,#383706,#383707); #342528=AXIS2_PLACEMENT_3D('',#472613,#383711,#383712); #342529=AXIS2_PLACEMENT_3D('',#472619,#383716,#383717); #342530=AXIS2_PLACEMENT_3D('',#472625,#383721,#383722); #342531=AXIS2_PLACEMENT_3D('',#472631,#383726,#383727); #342532=AXIS2_PLACEMENT_3D('',#472637,#383731,#383732); #342533=AXIS2_PLACEMENT_3D('',#472643,#383736,#383737); #342534=AXIS2_PLACEMENT_3D('',#472649,#383741,#383742); #342535=AXIS2_PLACEMENT_3D('',#472655,#383746,#383747); #342536=AXIS2_PLACEMENT_3D('',#472661,#383751,#383752); #342537=AXIS2_PLACEMENT_3D('',#472667,#383756,#383757); #342538=AXIS2_PLACEMENT_3D('',#472673,#383761,#383762); #342539=AXIS2_PLACEMENT_3D('',#472679,#383766,#383767); #342540=AXIS2_PLACEMENT_3D('',#472685,#383771,#383772); #342541=AXIS2_PLACEMENT_3D('',#472691,#383776,#383777); #342542=AXIS2_PLACEMENT_3D('',#472697,#383781,#383782); #342543=AXIS2_PLACEMENT_3D('',#472703,#383786,#383787); #342544=AXIS2_PLACEMENT_3D('',#472709,#383791,#383792); #342545=AXIS2_PLACEMENT_3D('',#472715,#383796,#383797); #342546=AXIS2_PLACEMENT_3D('',#472721,#383801,#383802); #342547=AXIS2_PLACEMENT_3D('',#472727,#383806,#383807); #342548=AXIS2_PLACEMENT_3D('',#472733,#383811,#383812); #342549=AXIS2_PLACEMENT_3D('',#472739,#383816,#383817); #342550=AXIS2_PLACEMENT_3D('',#472745,#383821,#383822); #342551=AXIS2_PLACEMENT_3D('',#472751,#383826,#383827); #342552=AXIS2_PLACEMENT_3D('',#472757,#383831,#383832); #342553=AXIS2_PLACEMENT_3D('',#472763,#383836,#383837); #342554=AXIS2_PLACEMENT_3D('',#472769,#383841,#383842); #342555=AXIS2_PLACEMENT_3D('',#472775,#383846,#383847); #342556=AXIS2_PLACEMENT_3D('',#472781,#383851,#383852); #342557=AXIS2_PLACEMENT_3D('',#472787,#383856,#383857); #342558=AXIS2_PLACEMENT_3D('',#472793,#383861,#383862); #342559=AXIS2_PLACEMENT_3D('',#472799,#383866,#383867); #342560=AXIS2_PLACEMENT_3D('',#472805,#383871,#383872); #342561=AXIS2_PLACEMENT_3D('',#472811,#383876,#383877); #342562=AXIS2_PLACEMENT_3D('',#472817,#383881,#383882); #342563=AXIS2_PLACEMENT_3D('',#472823,#383886,#383887); #342564=AXIS2_PLACEMENT_3D('',#472829,#383891,#383892); #342565=AXIS2_PLACEMENT_3D('',#472835,#383896,#383897); #342566=AXIS2_PLACEMENT_3D('',#472841,#383901,#383902); #342567=AXIS2_PLACEMENT_3D('',#472847,#383906,#383907); #342568=AXIS2_PLACEMENT_3D('',#472850,#383910,#383911); #342569=AXIS2_PLACEMENT_3D('',#472851,#383912,#383913); #342570=AXIS2_PLACEMENT_3D('',#472852,#383914,#383915); #342571=AXIS2_PLACEMENT_3D('',#472861,#383920,#383921); #342572=AXIS2_PLACEMENT_3D('',#472867,#383925,#383926); #342573=AXIS2_PLACEMENT_3D('',#472873,#383930,#383931); #342574=AXIS2_PLACEMENT_3D('',#472879,#383935,#383936); #342575=AXIS2_PLACEMENT_3D('',#472885,#383940,#383941); #342576=AXIS2_PLACEMENT_3D('',#472891,#383945,#383946); #342577=AXIS2_PLACEMENT_3D('',#472897,#383950,#383951); #342578=AXIS2_PLACEMENT_3D('',#472903,#383955,#383956); #342579=AXIS2_PLACEMENT_3D('',#472909,#383960,#383961); #342580=AXIS2_PLACEMENT_3D('',#472915,#383965,#383966); #342581=AXIS2_PLACEMENT_3D('',#472921,#383970,#383971); #342582=AXIS2_PLACEMENT_3D('',#472927,#383975,#383976); #342583=AXIS2_PLACEMENT_3D('',#472933,#383980,#383981); #342584=AXIS2_PLACEMENT_3D('',#472939,#383985,#383986); #342585=AXIS2_PLACEMENT_3D('',#472945,#383990,#383991); #342586=AXIS2_PLACEMENT_3D('',#472951,#383995,#383996); #342587=AXIS2_PLACEMENT_3D('',#472957,#384000,#384001); #342588=AXIS2_PLACEMENT_3D('',#472963,#384005,#384006); #342589=AXIS2_PLACEMENT_3D('',#472969,#384010,#384011); #342590=AXIS2_PLACEMENT_3D('',#472972,#384014,#384015); #342591=AXIS2_PLACEMENT_3D('',#472973,#384016,#384017); #342592=AXIS2_PLACEMENT_3D('',#472974,#384018,#384019); #342593=AXIS2_PLACEMENT_3D('',#472983,#384024,#384025); #342594=AXIS2_PLACEMENT_3D('',#472989,#384029,#384030); #342595=AXIS2_PLACEMENT_3D('',#472995,#384034,#384035); #342596=AXIS2_PLACEMENT_3D('',#473001,#384039,#384040); #342597=AXIS2_PLACEMENT_3D('',#473007,#384044,#384045); #342598=AXIS2_PLACEMENT_3D('',#473013,#384049,#384050); #342599=AXIS2_PLACEMENT_3D('',#473019,#384054,#384055); #342600=AXIS2_PLACEMENT_3D('',#473025,#384059,#384060); #342601=AXIS2_PLACEMENT_3D('',#473031,#384064,#384065); #342602=AXIS2_PLACEMENT_3D('',#473037,#384069,#384070); #342603=AXIS2_PLACEMENT_3D('',#473043,#384074,#384075); #342604=AXIS2_PLACEMENT_3D('',#473049,#384079,#384080); #342605=AXIS2_PLACEMENT_3D('',#473055,#384084,#384085); #342606=AXIS2_PLACEMENT_3D('',#473061,#384089,#384090); #342607=AXIS2_PLACEMENT_3D('',#473067,#384094,#384095); #342608=AXIS2_PLACEMENT_3D('',#473073,#384099,#384100); #342609=AXIS2_PLACEMENT_3D('',#473079,#384104,#384105); #342610=AXIS2_PLACEMENT_3D('',#473085,#384109,#384110); #342611=AXIS2_PLACEMENT_3D('',#473091,#384114,#384115); #342612=AXIS2_PLACEMENT_3D('',#473097,#384119,#384120); #342613=AXIS2_PLACEMENT_3D('',#473100,#384123,#384124); #342614=AXIS2_PLACEMENT_3D('',#473101,#384125,#384126); #342615=AXIS2_PLACEMENT_3D('',#473102,#384127,#384128); #342616=AXIS2_PLACEMENT_3D('',#473104,#384129,#384130); #342617=AXIS2_PLACEMENT_3D('',#473107,#384132,#384133); #342618=AXIS2_PLACEMENT_3D('',#473108,#384134,#384135); #342619=AXIS2_PLACEMENT_3D('',#473110,#384136,#384137); #342620=AXIS2_PLACEMENT_3D('',#473113,#384139,#384140); #342621=AXIS2_PLACEMENT_3D('',#473114,#384141,#384142); #342622=AXIS2_PLACEMENT_3D('',#473116,#384143,#384144); #342623=AXIS2_PLACEMENT_3D('',#473119,#384146,#384147); #342624=AXIS2_PLACEMENT_3D('',#473120,#384148,#384149); #342625=AXIS2_PLACEMENT_3D('',#473122,#384150,#384151); #342626=AXIS2_PLACEMENT_3D('',#473125,#384153,#384154); #342627=AXIS2_PLACEMENT_3D('',#473126,#384155,#384156); #342628=AXIS2_PLACEMENT_3D('',#473128,#384157,#384158); #342629=AXIS2_PLACEMENT_3D('',#473131,#384160,#384161); #342630=AXIS2_PLACEMENT_3D('',#473132,#384162,#384163); #342631=AXIS2_PLACEMENT_3D('',#473134,#384164,#384165); #342632=AXIS2_PLACEMENT_3D('',#473137,#384167,#384168); #342633=AXIS2_PLACEMENT_3D('',#473138,#384169,#384170); #342634=AXIS2_PLACEMENT_3D('',#473140,#384171,#384172); #342635=AXIS2_PLACEMENT_3D('',#473143,#384174,#384175); #342636=AXIS2_PLACEMENT_3D('',#473144,#384176,#384177); #342637=AXIS2_PLACEMENT_3D('',#473146,#384178,#384179); #342638=AXIS2_PLACEMENT_3D('',#473149,#384181,#384182); #342639=AXIS2_PLACEMENT_3D('',#473150,#384183,#384184); #342640=AXIS2_PLACEMENT_3D('',#473152,#384185,#384186); #342641=AXIS2_PLACEMENT_3D('',#473155,#384188,#384189); #342642=AXIS2_PLACEMENT_3D('',#473156,#384190,#384191); #342643=AXIS2_PLACEMENT_3D('',#473158,#384192,#384193); #342644=AXIS2_PLACEMENT_3D('',#473161,#384195,#384196); #342645=AXIS2_PLACEMENT_3D('',#473162,#384197,#384198); #342646=AXIS2_PLACEMENT_3D('',#473164,#384199,#384200); #342647=AXIS2_PLACEMENT_3D('',#473167,#384202,#384203); #342648=AXIS2_PLACEMENT_3D('',#473168,#384204,#384205); #342649=AXIS2_PLACEMENT_3D('',#473170,#384206,#384207); #342650=AXIS2_PLACEMENT_3D('',#473173,#384209,#384210); #342651=AXIS2_PLACEMENT_3D('',#473174,#384211,#384212); #342652=AXIS2_PLACEMENT_3D('',#473176,#384213,#384214); #342653=AXIS2_PLACEMENT_3D('',#473179,#384216,#384217); #342654=AXIS2_PLACEMENT_3D('',#473180,#384218,#384219); #342655=AXIS2_PLACEMENT_3D('',#473182,#384220,#384221); #342656=AXIS2_PLACEMENT_3D('',#473185,#384223,#384224); #342657=AXIS2_PLACEMENT_3D('',#473186,#384225,#384226); #342658=AXIS2_PLACEMENT_3D('',#473188,#384227,#384228); #342659=AXIS2_PLACEMENT_3D('',#473191,#384230,#384231); #342660=AXIS2_PLACEMENT_3D('',#473192,#384232,#384233); #342661=AXIS2_PLACEMENT_3D('',#473194,#384234,#384235); #342662=AXIS2_PLACEMENT_3D('',#473197,#384237,#384238); #342663=AXIS2_PLACEMENT_3D('',#473198,#384239,#384240); #342664=AXIS2_PLACEMENT_3D('',#473200,#384241,#384242); #342665=AXIS2_PLACEMENT_3D('',#473203,#384244,#384245); #342666=AXIS2_PLACEMENT_3D('',#473204,#384246,#384247); #342667=AXIS2_PLACEMENT_3D('',#473206,#384248,#384249); #342668=AXIS2_PLACEMENT_3D('',#473209,#384251,#384252); #342669=AXIS2_PLACEMENT_3D('',#473210,#384253,#384254); #342670=AXIS2_PLACEMENT_3D('',#473212,#384255,#384256); #342671=AXIS2_PLACEMENT_3D('',#473215,#384258,#384259); #342672=AXIS2_PLACEMENT_3D('',#473216,#384260,#384261); #342673=AXIS2_PLACEMENT_3D('',#473218,#384262,#384263); #342674=AXIS2_PLACEMENT_3D('',#473221,#384265,#384266); #342675=AXIS2_PLACEMENT_3D('',#473222,#384267,#384268); #342676=AXIS2_PLACEMENT_3D('',#473224,#384269,#384270); #342677=AXIS2_PLACEMENT_3D('',#473227,#384272,#384273); #342678=AXIS2_PLACEMENT_3D('',#473228,#384274,#384275); #342679=AXIS2_PLACEMENT_3D('',#473230,#384276,#384277); #342680=AXIS2_PLACEMENT_3D('',#473233,#384279,#384280); #342681=AXIS2_PLACEMENT_3D('',#473234,#384281,#384282); #342682=AXIS2_PLACEMENT_3D('',#473236,#384283,#384284); #342683=AXIS2_PLACEMENT_3D('',#473239,#384286,#384287); #342684=AXIS2_PLACEMENT_3D('',#473240,#384288,#384289); #342685=AXIS2_PLACEMENT_3D('',#473242,#384290,#384291); #342686=AXIS2_PLACEMENT_3D('',#473245,#384293,#384294); #342687=AXIS2_PLACEMENT_3D('',#473246,#384295,#384296); #342688=AXIS2_PLACEMENT_3D('',#473248,#384297,#384298); #342689=AXIS2_PLACEMENT_3D('',#473251,#384300,#384301); #342690=AXIS2_PLACEMENT_3D('',#473252,#384302,#384303); #342691=AXIS2_PLACEMENT_3D('',#473254,#384304,#384305); #342692=AXIS2_PLACEMENT_3D('',#473257,#384307,#384308); #342693=AXIS2_PLACEMENT_3D('',#473258,#384309,#384310); #342694=AXIS2_PLACEMENT_3D('',#473260,#384311,#384312); #342695=AXIS2_PLACEMENT_3D('',#473263,#384314,#384315); #342696=AXIS2_PLACEMENT_3D('',#473264,#384316,#384317); #342697=AXIS2_PLACEMENT_3D('',#473266,#384318,#384319); #342698=AXIS2_PLACEMENT_3D('',#473269,#384321,#384322); #342699=AXIS2_PLACEMENT_3D('',#473270,#384323,#384324); #342700=AXIS2_PLACEMENT_3D('',#473272,#384325,#384326); #342701=AXIS2_PLACEMENT_3D('',#473275,#384328,#384329); #342702=AXIS2_PLACEMENT_3D('',#473276,#384330,#384331); #342703=AXIS2_PLACEMENT_3D('',#473278,#384332,#384333); #342704=AXIS2_PLACEMENT_3D('',#473281,#384335,#384336); #342705=AXIS2_PLACEMENT_3D('',#473282,#384337,#384338); #342706=AXIS2_PLACEMENT_3D('',#473284,#384339,#384340); #342707=AXIS2_PLACEMENT_3D('',#473287,#384342,#384343); #342708=AXIS2_PLACEMENT_3D('',#473288,#384344,#384345); #342709=AXIS2_PLACEMENT_3D('',#473290,#384346,#384347); #342710=AXIS2_PLACEMENT_3D('',#473293,#384349,#384350); #342711=AXIS2_PLACEMENT_3D('',#473294,#384351,#384352); #342712=AXIS2_PLACEMENT_3D('',#473296,#384353,#384354); #342713=AXIS2_PLACEMENT_3D('',#473299,#384356,#384357); #342714=AXIS2_PLACEMENT_3D('',#473300,#384358,#384359); #342715=AXIS2_PLACEMENT_3D('',#473302,#384360,#384361); #342716=AXIS2_PLACEMENT_3D('',#473305,#384363,#384364); #342717=AXIS2_PLACEMENT_3D('',#473306,#384365,#384366); #342718=AXIS2_PLACEMENT_3D('',#473308,#384367,#384368); #342719=AXIS2_PLACEMENT_3D('',#473311,#384370,#384371); #342720=AXIS2_PLACEMENT_3D('',#473312,#384372,#384373); #342721=AXIS2_PLACEMENT_3D('',#473314,#384374,#384375); #342722=AXIS2_PLACEMENT_3D('',#473317,#384377,#384378); #342723=AXIS2_PLACEMENT_3D('',#473318,#384379,#384380); #342724=AXIS2_PLACEMENT_3D('',#473320,#384381,#384382); #342725=AXIS2_PLACEMENT_3D('',#473323,#384384,#384385); #342726=AXIS2_PLACEMENT_3D('',#473324,#384386,#384387); #342727=AXIS2_PLACEMENT_3D('',#473326,#384388,#384389); #342728=AXIS2_PLACEMENT_3D('',#473329,#384391,#384392); #342729=AXIS2_PLACEMENT_3D('',#473330,#384393,#384394); #342730=AXIS2_PLACEMENT_3D('',#473332,#384395,#384396); #342731=AXIS2_PLACEMENT_3D('',#473335,#384398,#384399); #342732=AXIS2_PLACEMENT_3D('',#473336,#384400,#384401); #342733=AXIS2_PLACEMENT_3D('',#473338,#384402,#384403); #342734=AXIS2_PLACEMENT_3D('',#473341,#384405,#384406); #342735=AXIS2_PLACEMENT_3D('',#473342,#384407,#384408); #342736=AXIS2_PLACEMENT_3D('',#473344,#384409,#384410); #342737=AXIS2_PLACEMENT_3D('',#473347,#384412,#384413); #342738=AXIS2_PLACEMENT_3D('',#473348,#384414,#384415); #342739=AXIS2_PLACEMENT_3D('',#473350,#384416,#384417); #342740=AXIS2_PLACEMENT_3D('',#473353,#384419,#384420); #342741=AXIS2_PLACEMENT_3D('',#473354,#384421,#384422); #342742=AXIS2_PLACEMENT_3D('',#473356,#384423,#384424); #342743=AXIS2_PLACEMENT_3D('',#473359,#384426,#384427); #342744=AXIS2_PLACEMENT_3D('',#473360,#384428,#384429); #342745=AXIS2_PLACEMENT_3D('',#473362,#384430,#384431); #342746=AXIS2_PLACEMENT_3D('',#473365,#384433,#384434); #342747=AXIS2_PLACEMENT_3D('',#473366,#384435,#384436); #342748=AXIS2_PLACEMENT_3D('',#473368,#384437,#384438); #342749=AXIS2_PLACEMENT_3D('',#473371,#384440,#384441); #342750=AXIS2_PLACEMENT_3D('',#473372,#384442,#384443); #342751=AXIS2_PLACEMENT_3D('',#473374,#384444,#384445); #342752=AXIS2_PLACEMENT_3D('',#473377,#384447,#384448); #342753=AXIS2_PLACEMENT_3D('',#473378,#384449,#384450); #342754=AXIS2_PLACEMENT_3D('',#473380,#384451,#384452); #342755=AXIS2_PLACEMENT_3D('',#473383,#384454,#384455); #342756=AXIS2_PLACEMENT_3D('',#473384,#384456,#384457); #342757=AXIS2_PLACEMENT_3D('',#473386,#384458,#384459); #342758=AXIS2_PLACEMENT_3D('',#473389,#384461,#384462); #342759=AXIS2_PLACEMENT_3D('',#473390,#384463,#384464); #342760=AXIS2_PLACEMENT_3D('',#473392,#384465,#384466); #342761=AXIS2_PLACEMENT_3D('',#473395,#384468,#384469); #342762=AXIS2_PLACEMENT_3D('',#473396,#384470,#384471); #342763=AXIS2_PLACEMENT_3D('',#473405,#384476,#384477); #342764=AXIS2_PLACEMENT_3D('',#473411,#384481,#384482); #342765=AXIS2_PLACEMENT_3D('',#473417,#384486,#384487); #342766=AXIS2_PLACEMENT_3D('',#473423,#384491,#384492); #342767=AXIS2_PLACEMENT_3D('',#473429,#384496,#384497); #342768=AXIS2_PLACEMENT_3D('',#473435,#384501,#384502); #342769=AXIS2_PLACEMENT_3D('',#473441,#384506,#384507); #342770=AXIS2_PLACEMENT_3D('',#473447,#384511,#384512); #342771=AXIS2_PLACEMENT_3D('',#473453,#384516,#384517); #342772=AXIS2_PLACEMENT_3D('',#473459,#384521,#384522); #342773=AXIS2_PLACEMENT_3D('',#473465,#384526,#384527); #342774=AXIS2_PLACEMENT_3D('',#473471,#384531,#384532); #342775=AXIS2_PLACEMENT_3D('',#473477,#384536,#384537); #342776=AXIS2_PLACEMENT_3D('',#473483,#384541,#384542); #342777=AXIS2_PLACEMENT_3D('',#473489,#384546,#384547); #342778=AXIS2_PLACEMENT_3D('',#473495,#384551,#384552); #342779=AXIS2_PLACEMENT_3D('',#473501,#384556,#384557); #342780=AXIS2_PLACEMENT_3D('',#473507,#384561,#384562); #342781=AXIS2_PLACEMENT_3D('',#473513,#384566,#384567); #342782=AXIS2_PLACEMENT_3D('',#473519,#384571,#384572); #342783=AXIS2_PLACEMENT_3D('',#473525,#384576,#384577); #342784=AXIS2_PLACEMENT_3D('',#473531,#384581,#384582); #342785=AXIS2_PLACEMENT_3D('',#473537,#384586,#384587); #342786=AXIS2_PLACEMENT_3D('',#473543,#384591,#384592); #342787=AXIS2_PLACEMENT_3D('',#473549,#384596,#384597); #342788=AXIS2_PLACEMENT_3D('',#473555,#384601,#384602); #342789=AXIS2_PLACEMENT_3D('',#473561,#384606,#384607); #342790=AXIS2_PLACEMENT_3D('',#473567,#384611,#384612); #342791=AXIS2_PLACEMENT_3D('',#473573,#384616,#384617); #342792=AXIS2_PLACEMENT_3D('',#473579,#384621,#384622); #342793=AXIS2_PLACEMENT_3D('',#473585,#384626,#384627); #342794=AXIS2_PLACEMENT_3D('',#473591,#384631,#384632); #342795=AXIS2_PLACEMENT_3D('',#473597,#384636,#384637); #342796=AXIS2_PLACEMENT_3D('',#473603,#384641,#384642); #342797=AXIS2_PLACEMENT_3D('',#473609,#384646,#384647); #342798=AXIS2_PLACEMENT_3D('',#473615,#384651,#384652); #342799=AXIS2_PLACEMENT_3D('',#473621,#384656,#384657); #342800=AXIS2_PLACEMENT_3D('',#473627,#384661,#384662); #342801=AXIS2_PLACEMENT_3D('',#473633,#384666,#384667); #342802=AXIS2_PLACEMENT_3D('',#473639,#384671,#384672); #342803=AXIS2_PLACEMENT_3D('',#473645,#384676,#384677); #342804=AXIS2_PLACEMENT_3D('',#473651,#384681,#384682); #342805=AXIS2_PLACEMENT_3D('',#473657,#384686,#384687); #342806=AXIS2_PLACEMENT_3D('',#473663,#384691,#384692); #342807=AXIS2_PLACEMENT_3D('',#473669,#384696,#384697); #342808=AXIS2_PLACEMENT_3D('',#473675,#384701,#384702); #342809=AXIS2_PLACEMENT_3D('',#473681,#384706,#384707); #342810=AXIS2_PLACEMENT_3D('',#473687,#384711,#384712); #342811=AXIS2_PLACEMENT_3D('',#473693,#384716,#384717); #342812=AXIS2_PLACEMENT_3D('',#473699,#384721,#384722); #342813=AXIS2_PLACEMENT_3D('',#473705,#384726,#384727); #342814=AXIS2_PLACEMENT_3D('',#473711,#384731,#384732); #342815=AXIS2_PLACEMENT_3D('',#473717,#384736,#384737); #342816=AXIS2_PLACEMENT_3D('',#473723,#384741,#384742); #342817=AXIS2_PLACEMENT_3D('',#473729,#384746,#384747); #342818=AXIS2_PLACEMENT_3D('',#473735,#384751,#384752); #342819=AXIS2_PLACEMENT_3D('',#473741,#384756,#384757); #342820=AXIS2_PLACEMENT_3D('',#473747,#384761,#384762); #342821=AXIS2_PLACEMENT_3D('',#473753,#384766,#384767); #342822=AXIS2_PLACEMENT_3D('',#473759,#384771,#384772); #342823=AXIS2_PLACEMENT_3D('',#473765,#384776,#384777); #342824=AXIS2_PLACEMENT_3D('',#473771,#384781,#384782); #342825=AXIS2_PLACEMENT_3D('',#473777,#384786,#384787); #342826=AXIS2_PLACEMENT_3D('',#473783,#384791,#384792); #342827=AXIS2_PLACEMENT_3D('',#473789,#384796,#384797); #342828=AXIS2_PLACEMENT_3D('',#473795,#384801,#384802); #342829=AXIS2_PLACEMENT_3D('',#473801,#384806,#384807); #342830=AXIS2_PLACEMENT_3D('',#473807,#384811,#384812); #342831=AXIS2_PLACEMENT_3D('',#473813,#384816,#384817); #342832=AXIS2_PLACEMENT_3D('',#473819,#384821,#384822); #342833=AXIS2_PLACEMENT_3D('',#473825,#384826,#384827); #342834=AXIS2_PLACEMENT_3D('',#473831,#384831,#384832); #342835=AXIS2_PLACEMENT_3D('',#473837,#384836,#384837); #342836=AXIS2_PLACEMENT_3D('',#473843,#384841,#384842); #342837=AXIS2_PLACEMENT_3D('',#473849,#384846,#384847); #342838=AXIS2_PLACEMENT_3D('',#473855,#384851,#384852); #342839=AXIS2_PLACEMENT_3D('',#473861,#384856,#384857); #342840=AXIS2_PLACEMENT_3D('',#473867,#384861,#384862); #342841=AXIS2_PLACEMENT_3D('',#473873,#384866,#384867); #342842=AXIS2_PLACEMENT_3D('',#473879,#384871,#384872); #342843=AXIS2_PLACEMENT_3D('',#473885,#384876,#384877); #342844=AXIS2_PLACEMENT_3D('',#473891,#384881,#384882); #342845=AXIS2_PLACEMENT_3D('',#473897,#384886,#384887); #342846=AXIS2_PLACEMENT_3D('',#473903,#384891,#384892); #342847=AXIS2_PLACEMENT_3D('',#473909,#384896,#384897); #342848=AXIS2_PLACEMENT_3D('',#473915,#384901,#384902); #342849=AXIS2_PLACEMENT_3D('',#473921,#384906,#384907); #342850=AXIS2_PLACEMENT_3D('',#473927,#384911,#384912); #342851=AXIS2_PLACEMENT_3D('',#473933,#384916,#384917); #342852=AXIS2_PLACEMENT_3D('',#473939,#384921,#384922); #342853=AXIS2_PLACEMENT_3D('',#473945,#384926,#384927); #342854=AXIS2_PLACEMENT_3D('',#473951,#384931,#384932); #342855=AXIS2_PLACEMENT_3D('',#473957,#384936,#384937); #342856=AXIS2_PLACEMENT_3D('',#473963,#384941,#384942); #342857=AXIS2_PLACEMENT_3D('',#473969,#384946,#384947); #342858=AXIS2_PLACEMENT_3D('',#473975,#384951,#384952); #342859=AXIS2_PLACEMENT_3D('',#473981,#384956,#384957); #342860=AXIS2_PLACEMENT_3D('',#473987,#384961,#384962); #342861=AXIS2_PLACEMENT_3D('',#473993,#384966,#384967); #342862=AXIS2_PLACEMENT_3D('',#473999,#384971,#384972); #342863=AXIS2_PLACEMENT_3D('',#474005,#384976,#384977); #342864=AXIS2_PLACEMENT_3D('',#474011,#384981,#384982); #342865=AXIS2_PLACEMENT_3D('',#474017,#384986,#384987); #342866=AXIS2_PLACEMENT_3D('',#474023,#384991,#384992); #342867=AXIS2_PLACEMENT_3D('',#474029,#384996,#384997); #342868=AXIS2_PLACEMENT_3D('',#474035,#385001,#385002); #342869=AXIS2_PLACEMENT_3D('',#474041,#385006,#385007); #342870=AXIS2_PLACEMENT_3D('',#474047,#385011,#385012); #342871=AXIS2_PLACEMENT_3D('',#474053,#385016,#385017); #342872=AXIS2_PLACEMENT_3D('',#474059,#385021,#385022); #342873=AXIS2_PLACEMENT_3D('',#474065,#385026,#385027); #342874=AXIS2_PLACEMENT_3D('',#474071,#385031,#385032); #342875=AXIS2_PLACEMENT_3D('',#474077,#385036,#385037); #342876=AXIS2_PLACEMENT_3D('',#474083,#385041,#385042); #342877=AXIS2_PLACEMENT_3D('',#474089,#385046,#385047); #342878=AXIS2_PLACEMENT_3D('',#474095,#385051,#385052); #342879=AXIS2_PLACEMENT_3D('',#474101,#385056,#385057); #342880=AXIS2_PLACEMENT_3D('',#474107,#385061,#385062); #342881=AXIS2_PLACEMENT_3D('',#474113,#385066,#385067); #342882=AXIS2_PLACEMENT_3D('',#474119,#385071,#385072); #342883=AXIS2_PLACEMENT_3D('',#474125,#385076,#385077); #342884=AXIS2_PLACEMENT_3D('',#474131,#385081,#385082); #342885=AXIS2_PLACEMENT_3D('',#474137,#385086,#385087); #342886=AXIS2_PLACEMENT_3D('',#474143,#385091,#385092); #342887=AXIS2_PLACEMENT_3D('',#474149,#385096,#385097); #342888=AXIS2_PLACEMENT_3D('',#474155,#385101,#385102); #342889=AXIS2_PLACEMENT_3D('',#474161,#385106,#385107); #342890=AXIS2_PLACEMENT_3D('',#474167,#385111,#385112); #342891=AXIS2_PLACEMENT_3D('',#474173,#385116,#385117); #342892=AXIS2_PLACEMENT_3D('',#474179,#385121,#385122); #342893=AXIS2_PLACEMENT_3D('',#474185,#385126,#385127); #342894=AXIS2_PLACEMENT_3D('',#474191,#385131,#385132); #342895=AXIS2_PLACEMENT_3D('',#474197,#385136,#385137); #342896=AXIS2_PLACEMENT_3D('',#474203,#385141,#385142); #342897=AXIS2_PLACEMENT_3D('',#474209,#385146,#385147); #342898=AXIS2_PLACEMENT_3D('',#474215,#385151,#385152); #342899=AXIS2_PLACEMENT_3D('',#474221,#385156,#385157); #342900=AXIS2_PLACEMENT_3D('',#474227,#385161,#385162); #342901=AXIS2_PLACEMENT_3D('',#474233,#385166,#385167); #342902=AXIS2_PLACEMENT_3D('',#474239,#385171,#385172); #342903=AXIS2_PLACEMENT_3D('',#474245,#385176,#385177); #342904=AXIS2_PLACEMENT_3D('',#474251,#385181,#385182); #342905=AXIS2_PLACEMENT_3D('',#474257,#385186,#385187); #342906=AXIS2_PLACEMENT_3D('',#474263,#385191,#385192); #342907=AXIS2_PLACEMENT_3D('',#474269,#385196,#385197); #342908=AXIS2_PLACEMENT_3D('',#474275,#385201,#385202); #342909=AXIS2_PLACEMENT_3D('',#474281,#385206,#385207); #342910=AXIS2_PLACEMENT_3D('',#474287,#385211,#385212); #342911=AXIS2_PLACEMENT_3D('',#474293,#385216,#385217); #342912=AXIS2_PLACEMENT_3D('',#474299,#385221,#385222); #342913=AXIS2_PLACEMENT_3D('',#474305,#385226,#385227); #342914=AXIS2_PLACEMENT_3D('',#474311,#385231,#385232); #342915=AXIS2_PLACEMENT_3D('',#474317,#385236,#385237); #342916=AXIS2_PLACEMENT_3D('',#474323,#385241,#385242); #342917=AXIS2_PLACEMENT_3D('',#474329,#385246,#385247); #342918=AXIS2_PLACEMENT_3D('',#474335,#385251,#385252); #342919=AXIS2_PLACEMENT_3D('',#474341,#385256,#385257); #342920=AXIS2_PLACEMENT_3D('',#474347,#385261,#385262); #342921=AXIS2_PLACEMENT_3D('',#474353,#385266,#385267); #342922=AXIS2_PLACEMENT_3D('',#474359,#385271,#385272); #342923=AXIS2_PLACEMENT_3D('',#474365,#385276,#385277); #342924=AXIS2_PLACEMENT_3D('',#474371,#385281,#385282); #342925=AXIS2_PLACEMENT_3D('',#474377,#385286,#385287); #342926=AXIS2_PLACEMENT_3D('',#474383,#385291,#385292); #342927=AXIS2_PLACEMENT_3D('',#474389,#385296,#385297); #342928=AXIS2_PLACEMENT_3D('',#474395,#385301,#385302); #342929=AXIS2_PLACEMENT_3D('',#474401,#385306,#385307); #342930=AXIS2_PLACEMENT_3D('',#474407,#385311,#385312); #342931=AXIS2_PLACEMENT_3D('',#474413,#385316,#385317); #342932=AXIS2_PLACEMENT_3D('',#474419,#385321,#385322); #342933=AXIS2_PLACEMENT_3D('',#474425,#385326,#385327); #342934=AXIS2_PLACEMENT_3D('',#474431,#385331,#385332); #342935=AXIS2_PLACEMENT_3D('',#474437,#385336,#385337); #342936=AXIS2_PLACEMENT_3D('',#474443,#385341,#385342); #342937=AXIS2_PLACEMENT_3D('',#474449,#385346,#385347); #342938=AXIS2_PLACEMENT_3D('',#474455,#385351,#385352); #342939=AXIS2_PLACEMENT_3D('',#474461,#385356,#385357); #342940=AXIS2_PLACEMENT_3D('',#474467,#385361,#385362); #342941=AXIS2_PLACEMENT_3D('',#474473,#385366,#385367); #342942=AXIS2_PLACEMENT_3D('',#474479,#385371,#385372); #342943=AXIS2_PLACEMENT_3D('',#474485,#385376,#385377); #342944=AXIS2_PLACEMENT_3D('',#474491,#385381,#385382); #342945=AXIS2_PLACEMENT_3D('',#474497,#385386,#385387); #342946=AXIS2_PLACEMENT_3D('',#474503,#385391,#385392); #342947=AXIS2_PLACEMENT_3D('',#474509,#385396,#385397); #342948=AXIS2_PLACEMENT_3D('',#474515,#385401,#385402); #342949=AXIS2_PLACEMENT_3D('',#474521,#385406,#385407); #342950=AXIS2_PLACEMENT_3D('',#474527,#385411,#385412); #342951=AXIS2_PLACEMENT_3D('',#474533,#385416,#385417); #342952=AXIS2_PLACEMENT_3D('',#474539,#385421,#385422); #342953=AXIS2_PLACEMENT_3D('',#474545,#385426,#385427); #342954=AXIS2_PLACEMENT_3D('',#474551,#385431,#385432); #342955=AXIS2_PLACEMENT_3D('',#474557,#385436,#385437); #342956=AXIS2_PLACEMENT_3D('',#474563,#385441,#385442); #342957=AXIS2_PLACEMENT_3D('',#474569,#385446,#385447); #342958=AXIS2_PLACEMENT_3D('',#474575,#385451,#385452); #342959=AXIS2_PLACEMENT_3D('',#474581,#385456,#385457); #342960=AXIS2_PLACEMENT_3D('',#474587,#385461,#385462); #342961=AXIS2_PLACEMENT_3D('',#474593,#385466,#385467); #342962=AXIS2_PLACEMENT_3D('',#474599,#385471,#385472); #342963=AXIS2_PLACEMENT_3D('',#474605,#385476,#385477); #342964=AXIS2_PLACEMENT_3D('',#474611,#385481,#385482); #342965=AXIS2_PLACEMENT_3D('',#474617,#385486,#385487); #342966=AXIS2_PLACEMENT_3D('',#474623,#385491,#385492); #342967=AXIS2_PLACEMENT_3D('',#474629,#385496,#385497); #342968=AXIS2_PLACEMENT_3D('',#474635,#385501,#385502); #342969=AXIS2_PLACEMENT_3D('',#474641,#385506,#385507); #342970=AXIS2_PLACEMENT_3D('',#474647,#385511,#385512); #342971=AXIS2_PLACEMENT_3D('',#474653,#385516,#385517); #342972=AXIS2_PLACEMENT_3D('',#474659,#385521,#385522); #342973=AXIS2_PLACEMENT_3D('',#474665,#385526,#385527); #342974=AXIS2_PLACEMENT_3D('',#474671,#385531,#385532); #342975=AXIS2_PLACEMENT_3D('',#474677,#385536,#385537); #342976=AXIS2_PLACEMENT_3D('',#474683,#385541,#385542); #342977=AXIS2_PLACEMENT_3D('',#474689,#385546,#385547); #342978=AXIS2_PLACEMENT_3D('',#474695,#385551,#385552); #342979=AXIS2_PLACEMENT_3D('',#474701,#385556,#385557); #342980=AXIS2_PLACEMENT_3D('',#474707,#385561,#385562); #342981=AXIS2_PLACEMENT_3D('',#474713,#385566,#385567); #342982=AXIS2_PLACEMENT_3D('',#474719,#385571,#385572); #342983=AXIS2_PLACEMENT_3D('',#474725,#385576,#385577); #342984=AXIS2_PLACEMENT_3D('',#474731,#385581,#385582); #342985=AXIS2_PLACEMENT_3D('',#474737,#385586,#385587); #342986=AXIS2_PLACEMENT_3D('',#474743,#385591,#385592); #342987=AXIS2_PLACEMENT_3D('',#474749,#385596,#385597); #342988=AXIS2_PLACEMENT_3D('',#474755,#385601,#385602); #342989=AXIS2_PLACEMENT_3D('',#474761,#385606,#385607); #342990=AXIS2_PLACEMENT_3D('',#474767,#385611,#385612); #342991=AXIS2_PLACEMENT_3D('',#474773,#385616,#385617); #342992=AXIS2_PLACEMENT_3D('',#474779,#385621,#385622); #342993=AXIS2_PLACEMENT_3D('',#474785,#385626,#385627); #342994=AXIS2_PLACEMENT_3D('',#474791,#385631,#385632); #342995=AXIS2_PLACEMENT_3D('',#474797,#385636,#385637); #342996=AXIS2_PLACEMENT_3D('',#474803,#385641,#385642); #342997=AXIS2_PLACEMENT_3D('',#474809,#385646,#385647); #342998=AXIS2_PLACEMENT_3D('',#474815,#385651,#385652); #342999=AXIS2_PLACEMENT_3D('',#474821,#385656,#385657); #343000=AXIS2_PLACEMENT_3D('',#474827,#385661,#385662); #343001=AXIS2_PLACEMENT_3D('',#474833,#385666,#385667); #343002=AXIS2_PLACEMENT_3D('',#474839,#385671,#385672); #343003=AXIS2_PLACEMENT_3D('',#474845,#385676,#385677); #343004=AXIS2_PLACEMENT_3D('',#474851,#385681,#385682); #343005=AXIS2_PLACEMENT_3D('',#474857,#385686,#385687); #343006=AXIS2_PLACEMENT_3D('',#474863,#385691,#385692); #343007=AXIS2_PLACEMENT_3D('',#474869,#385696,#385697); #343008=AXIS2_PLACEMENT_3D('',#474875,#385701,#385702); #343009=AXIS2_PLACEMENT_3D('',#474881,#385706,#385707); #343010=AXIS2_PLACEMENT_3D('',#474887,#385711,#385712); #343011=AXIS2_PLACEMENT_3D('',#474893,#385716,#385717); #343012=AXIS2_PLACEMENT_3D('',#474899,#385721,#385722); #343013=AXIS2_PLACEMENT_3D('',#474905,#385726,#385727); #343014=AXIS2_PLACEMENT_3D('',#474911,#385731,#385732); #343015=AXIS2_PLACEMENT_3D('',#474917,#385736,#385737); #343016=AXIS2_PLACEMENT_3D('',#474923,#385741,#385742); #343017=AXIS2_PLACEMENT_3D('',#474929,#385746,#385747); #343018=AXIS2_PLACEMENT_3D('',#474935,#385751,#385752); #343019=AXIS2_PLACEMENT_3D('',#474941,#385756,#385757); #343020=AXIS2_PLACEMENT_3D('',#474947,#385761,#385762); #343021=AXIS2_PLACEMENT_3D('',#474953,#385766,#385767); #343022=AXIS2_PLACEMENT_3D('',#474959,#385771,#385772); #343023=AXIS2_PLACEMENT_3D('',#474965,#385776,#385777); #343024=AXIS2_PLACEMENT_3D('',#474971,#385781,#385782); #343025=AXIS2_PLACEMENT_3D('',#474977,#385786,#385787); #343026=AXIS2_PLACEMENT_3D('',#474983,#385791,#385792); #343027=AXIS2_PLACEMENT_3D('',#474989,#385796,#385797); #343028=AXIS2_PLACEMENT_3D('',#474995,#385801,#385802); #343029=AXIS2_PLACEMENT_3D('',#475001,#385806,#385807); #343030=AXIS2_PLACEMENT_3D('',#475007,#385811,#385812); #343031=AXIS2_PLACEMENT_3D('',#475013,#385816,#385817); #343032=AXIS2_PLACEMENT_3D('',#475019,#385821,#385822); #343033=AXIS2_PLACEMENT_3D('',#475025,#385826,#385827); #343034=AXIS2_PLACEMENT_3D('',#475031,#385831,#385832); #343035=AXIS2_PLACEMENT_3D('',#475037,#385836,#385837); #343036=AXIS2_PLACEMENT_3D('',#475043,#385841,#385842); #343037=AXIS2_PLACEMENT_3D('',#475049,#385846,#385847); #343038=AXIS2_PLACEMENT_3D('',#475055,#385851,#385852); #343039=AXIS2_PLACEMENT_3D('',#475061,#385856,#385857); #343040=AXIS2_PLACEMENT_3D('',#475067,#385861,#385862); #343041=AXIS2_PLACEMENT_3D('',#475073,#385866,#385867); #343042=AXIS2_PLACEMENT_3D('',#475079,#385871,#385872); #343043=AXIS2_PLACEMENT_3D('',#475085,#385876,#385877); #343044=AXIS2_PLACEMENT_3D('',#475091,#385881,#385882); #343045=AXIS2_PLACEMENT_3D('',#475097,#385886,#385887); #343046=AXIS2_PLACEMENT_3D('',#475103,#385891,#385892); #343047=AXIS2_PLACEMENT_3D('',#475109,#385896,#385897); #343048=AXIS2_PLACEMENT_3D('',#475115,#385901,#385902); #343049=AXIS2_PLACEMENT_3D('',#475121,#385906,#385907); #343050=AXIS2_PLACEMENT_3D('',#475127,#385911,#385912); #343051=AXIS2_PLACEMENT_3D('',#475133,#385916,#385917); #343052=AXIS2_PLACEMENT_3D('',#475139,#385921,#385922); #343053=AXIS2_PLACEMENT_3D('',#475145,#385926,#385927); #343054=AXIS2_PLACEMENT_3D('',#475151,#385931,#385932); #343055=AXIS2_PLACEMENT_3D('',#475157,#385936,#385937); #343056=AXIS2_PLACEMENT_3D('',#475163,#385941,#385942); #343057=AXIS2_PLACEMENT_3D('',#475169,#385946,#385947); #343058=AXIS2_PLACEMENT_3D('',#475172,#385950,#385951); #343059=AXIS2_PLACEMENT_3D('',#475173,#385952,#385953); #343060=AXIS2_PLACEMENT_3D('',#475174,#385954,#385955); #343061=AXIS2_PLACEMENT_3D('',#475183,#385960,#385961); #343062=AXIS2_PLACEMENT_3D('',#475189,#385965,#385966); #343063=AXIS2_PLACEMENT_3D('',#475195,#385970,#385971); #343064=AXIS2_PLACEMENT_3D('',#475201,#385975,#385976); #343065=AXIS2_PLACEMENT_3D('',#475207,#385980,#385981); #343066=AXIS2_PLACEMENT_3D('',#475213,#385985,#385986); #343067=AXIS2_PLACEMENT_3D('',#475219,#385990,#385991); #343068=AXIS2_PLACEMENT_3D('',#475225,#385995,#385996); #343069=AXIS2_PLACEMENT_3D('',#475231,#386000,#386001); #343070=AXIS2_PLACEMENT_3D('',#475237,#386005,#386006); #343071=AXIS2_PLACEMENT_3D('',#475243,#386010,#386011); #343072=AXIS2_PLACEMENT_3D('',#475249,#386015,#386016); #343073=AXIS2_PLACEMENT_3D('',#475255,#386020,#386021); #343074=AXIS2_PLACEMENT_3D('',#475261,#386025,#386026); #343075=AXIS2_PLACEMENT_3D('',#475267,#386030,#386031); #343076=AXIS2_PLACEMENT_3D('',#475273,#386035,#386036); #343077=AXIS2_PLACEMENT_3D('',#475279,#386040,#386041); #343078=AXIS2_PLACEMENT_3D('',#475285,#386045,#386046); #343079=AXIS2_PLACEMENT_3D('',#475291,#386050,#386051); #343080=AXIS2_PLACEMENT_3D('',#475294,#386054,#386055); #343081=AXIS2_PLACEMENT_3D('',#475295,#386056,#386057); #343082=AXIS2_PLACEMENT_3D('',#475296,#386058,#386059); #343083=AXIS2_PLACEMENT_3D('',#475305,#386064,#386065); #343084=AXIS2_PLACEMENT_3D('',#475311,#386069,#386070); #343085=AXIS2_PLACEMENT_3D('',#475317,#386074,#386075); #343086=AXIS2_PLACEMENT_3D('',#475323,#386079,#386080); #343087=AXIS2_PLACEMENT_3D('',#475329,#386084,#386085); #343088=AXIS2_PLACEMENT_3D('',#475335,#386089,#386090); #343089=AXIS2_PLACEMENT_3D('',#475341,#386094,#386095); #343090=AXIS2_PLACEMENT_3D('',#475347,#386099,#386100); #343091=AXIS2_PLACEMENT_3D('',#475353,#386104,#386105); #343092=AXIS2_PLACEMENT_3D('',#475359,#386109,#386110); #343093=AXIS2_PLACEMENT_3D('',#475365,#386114,#386115); #343094=AXIS2_PLACEMENT_3D('',#475371,#386119,#386120); #343095=AXIS2_PLACEMENT_3D('',#475377,#386124,#386125); #343096=AXIS2_PLACEMENT_3D('',#475383,#386129,#386130); #343097=AXIS2_PLACEMENT_3D('',#475389,#386134,#386135); #343098=AXIS2_PLACEMENT_3D('',#475395,#386139,#386140); #343099=AXIS2_PLACEMENT_3D('',#475401,#386144,#386145); #343100=AXIS2_PLACEMENT_3D('',#475407,#386149,#386150); #343101=AXIS2_PLACEMENT_3D('',#475413,#386154,#386155); #343102=AXIS2_PLACEMENT_3D('',#475419,#386159,#386160); #343103=AXIS2_PLACEMENT_3D('',#475425,#386164,#386165); #343104=AXIS2_PLACEMENT_3D('',#475431,#386169,#386170); #343105=AXIS2_PLACEMENT_3D('',#475437,#386174,#386175); #343106=AXIS2_PLACEMENT_3D('',#475443,#386179,#386180); #343107=AXIS2_PLACEMENT_3D('',#475449,#386184,#386185); #343108=AXIS2_PLACEMENT_3D('',#475452,#386188,#386189); #343109=AXIS2_PLACEMENT_3D('',#475453,#386190,#386191); #343110=AXIS2_PLACEMENT_3D('',#475454,#386192,#386193); #343111=AXIS2_PLACEMENT_3D('',#475463,#386198,#386199); #343112=AXIS2_PLACEMENT_3D('',#475469,#386203,#386204); #343113=AXIS2_PLACEMENT_3D('',#475475,#386208,#386209); #343114=AXIS2_PLACEMENT_3D('',#475481,#386213,#386214); #343115=AXIS2_PLACEMENT_3D('',#475487,#386218,#386219); #343116=AXIS2_PLACEMENT_3D('',#475493,#386223,#386224); #343117=AXIS2_PLACEMENT_3D('',#475499,#386228,#386229); #343118=AXIS2_PLACEMENT_3D('',#475505,#386233,#386234); #343119=AXIS2_PLACEMENT_3D('',#475511,#386238,#386239); #343120=AXIS2_PLACEMENT_3D('',#475517,#386243,#386244); #343121=AXIS2_PLACEMENT_3D('',#475523,#386248,#386249); #343122=AXIS2_PLACEMENT_3D('',#475529,#386253,#386254); #343123=AXIS2_PLACEMENT_3D('',#475535,#386258,#386259); #343124=AXIS2_PLACEMENT_3D('',#475541,#386263,#386264); #343125=AXIS2_PLACEMENT_3D('',#475547,#386268,#386269); #343126=AXIS2_PLACEMENT_3D('',#475553,#386273,#386274); #343127=AXIS2_PLACEMENT_3D('',#475559,#386278,#386279); #343128=AXIS2_PLACEMENT_3D('',#475565,#386283,#386284); #343129=AXIS2_PLACEMENT_3D('',#475571,#386288,#386289); #343130=AXIS2_PLACEMENT_3D('',#475577,#386293,#386294); #343131=AXIS2_PLACEMENT_3D('',#475580,#386297,#386298); #343132=AXIS2_PLACEMENT_3D('',#475581,#386299,#386300); #343133=AXIS2_PLACEMENT_3D('',#475582,#386301,#386302); #343134=AXIS2_PLACEMENT_3D('',#475591,#386307,#386308); #343135=AXIS2_PLACEMENT_3D('',#475597,#386312,#386313); #343136=AXIS2_PLACEMENT_3D('',#475603,#386317,#386318); #343137=AXIS2_PLACEMENT_3D('',#475609,#386322,#386323); #343138=AXIS2_PLACEMENT_3D('',#475615,#386327,#386328); #343139=AXIS2_PLACEMENT_3D('',#475621,#386332,#386333); #343140=AXIS2_PLACEMENT_3D('',#475627,#386337,#386338); #343141=AXIS2_PLACEMENT_3D('',#475633,#386342,#386343); #343142=AXIS2_PLACEMENT_3D('',#475639,#386347,#386348); #343143=AXIS2_PLACEMENT_3D('',#475645,#386352,#386353); #343144=AXIS2_PLACEMENT_3D('',#475651,#386357,#386358); #343145=AXIS2_PLACEMENT_3D('',#475657,#386362,#386363); #343146=AXIS2_PLACEMENT_3D('',#475663,#386367,#386368); #343147=AXIS2_PLACEMENT_3D('',#475669,#386372,#386373); #343148=AXIS2_PLACEMENT_3D('',#475675,#386377,#386378); #343149=AXIS2_PLACEMENT_3D('',#475681,#386382,#386383); #343150=AXIS2_PLACEMENT_3D('',#475687,#386387,#386388); #343151=AXIS2_PLACEMENT_3D('',#475693,#386392,#386393); #343152=AXIS2_PLACEMENT_3D('',#475699,#386397,#386398); #343153=AXIS2_PLACEMENT_3D('',#475705,#386402,#386403); #343154=AXIS2_PLACEMENT_3D('',#475711,#386407,#386408); #343155=AXIS2_PLACEMENT_3D('',#475717,#386412,#386413); #343156=AXIS2_PLACEMENT_3D('',#475723,#386417,#386418); #343157=AXIS2_PLACEMENT_3D('',#475729,#386422,#386423); #343158=AXIS2_PLACEMENT_3D('',#475735,#386427,#386428); #343159=AXIS2_PLACEMENT_3D('',#475741,#386432,#386433); #343160=AXIS2_PLACEMENT_3D('',#475747,#386437,#386438); #343161=AXIS2_PLACEMENT_3D('',#475753,#386442,#386443); #343162=AXIS2_PLACEMENT_3D('',#475759,#386447,#386448); #343163=AXIS2_PLACEMENT_3D('',#475765,#386452,#386453); #343164=AXIS2_PLACEMENT_3D('',#475771,#386457,#386458); #343165=AXIS2_PLACEMENT_3D('',#475777,#386462,#386463); #343166=AXIS2_PLACEMENT_3D('',#475780,#386466,#386467); #343167=AXIS2_PLACEMENT_3D('',#475781,#386468,#386469); #343168=AXIS2_PLACEMENT_3D('',#475782,#386470,#386471); #343169=AXIS2_PLACEMENT_3D('',#475791,#386476,#386477); #343170=AXIS2_PLACEMENT_3D('',#475797,#386481,#386482); #343171=AXIS2_PLACEMENT_3D('',#475803,#386486,#386487); #343172=AXIS2_PLACEMENT_3D('',#475809,#386491,#386492); #343173=AXIS2_PLACEMENT_3D('',#475815,#386496,#386497); #343174=AXIS2_PLACEMENT_3D('',#475821,#386501,#386502); #343175=AXIS2_PLACEMENT_3D('',#475827,#386506,#386507); #343176=AXIS2_PLACEMENT_3D('',#475833,#386511,#386512); #343177=AXIS2_PLACEMENT_3D('',#475839,#386516,#386517); #343178=AXIS2_PLACEMENT_3D('',#475845,#386521,#386522); #343179=AXIS2_PLACEMENT_3D('',#475851,#386526,#386527); #343180=AXIS2_PLACEMENT_3D('',#475857,#386531,#386532); #343181=AXIS2_PLACEMENT_3D('',#475863,#386536,#386537); #343182=AXIS2_PLACEMENT_3D('',#475869,#386541,#386542); #343183=AXIS2_PLACEMENT_3D('',#475875,#386546,#386547); #343184=AXIS2_PLACEMENT_3D('',#475881,#386551,#386552); #343185=AXIS2_PLACEMENT_3D('',#475887,#386556,#386557); #343186=AXIS2_PLACEMENT_3D('',#475893,#386561,#386562); #343187=AXIS2_PLACEMENT_3D('',#475899,#386566,#386567); #343188=AXIS2_PLACEMENT_3D('',#475902,#386570,#386571); #343189=AXIS2_PLACEMENT_3D('',#475903,#386572,#386573); #343190=AXIS2_PLACEMENT_3D('',#475904,#386574,#386575); #343191=AXIS2_PLACEMENT_3D('',#475913,#386580,#386581); #343192=AXIS2_PLACEMENT_3D('',#475919,#386585,#386586); #343193=AXIS2_PLACEMENT_3D('',#475925,#386590,#386591); #343194=AXIS2_PLACEMENT_3D('',#475931,#386595,#386596); #343195=AXIS2_PLACEMENT_3D('',#475937,#386600,#386601); #343196=AXIS2_PLACEMENT_3D('',#475943,#386605,#386606); #343197=AXIS2_PLACEMENT_3D('',#475949,#386610,#386611); #343198=AXIS2_PLACEMENT_3D('',#475955,#386615,#386616); #343199=AXIS2_PLACEMENT_3D('',#475961,#386620,#386621); #343200=AXIS2_PLACEMENT_3D('',#475967,#386625,#386626); #343201=AXIS2_PLACEMENT_3D('',#475973,#386630,#386631); #343202=AXIS2_PLACEMENT_3D('',#475979,#386635,#386636); #343203=AXIS2_PLACEMENT_3D('',#475985,#386640,#386641); #343204=AXIS2_PLACEMENT_3D('',#475991,#386645,#386646); #343205=AXIS2_PLACEMENT_3D('',#475997,#386650,#386651); #343206=AXIS2_PLACEMENT_3D('',#476003,#386655,#386656); #343207=AXIS2_PLACEMENT_3D('',#476009,#386660,#386661); #343208=AXIS2_PLACEMENT_3D('',#476015,#386665,#386666); #343209=AXIS2_PLACEMENT_3D('',#476021,#386670,#386671); #343210=AXIS2_PLACEMENT_3D('',#476027,#386675,#386676); #343211=AXIS2_PLACEMENT_3D('',#476033,#386680,#386681); #343212=AXIS2_PLACEMENT_3D('',#476039,#386685,#386686); #343213=AXIS2_PLACEMENT_3D('',#476042,#386689,#386690); #343214=AXIS2_PLACEMENT_3D('',#476043,#386691,#386692); #343215=AXIS2_PLACEMENT_3D('',#476044,#386693,#386694); #343216=AXIS2_PLACEMENT_3D('',#476046,#386695,#386696); #343217=AXIS2_PLACEMENT_3D('',#476049,#386698,#386699); #343218=AXIS2_PLACEMENT_3D('',#476050,#386700,#386701); #343219=AXIS2_PLACEMENT_3D('',#476052,#386702,#386703); #343220=AXIS2_PLACEMENT_3D('',#476055,#386705,#386706); #343221=AXIS2_PLACEMENT_3D('',#476056,#386707,#386708); #343222=AXIS2_PLACEMENT_3D('',#476058,#386709,#386710); #343223=AXIS2_PLACEMENT_3D('',#476061,#386712,#386713); #343224=AXIS2_PLACEMENT_3D('',#476062,#386714,#386715); #343225=AXIS2_PLACEMENT_3D('',#476071,#386720,#386721); #343226=AXIS2_PLACEMENT_3D('',#476077,#386725,#386726); #343227=AXIS2_PLACEMENT_3D('',#476083,#386730,#386731); #343228=AXIS2_PLACEMENT_3D('',#476089,#386735,#386736); #343229=AXIS2_PLACEMENT_3D('',#476095,#386740,#386741); #343230=AXIS2_PLACEMENT_3D('',#476101,#386745,#386746); #343231=AXIS2_PLACEMENT_3D('',#476107,#386750,#386751); #343232=AXIS2_PLACEMENT_3D('',#476113,#386755,#386756); #343233=AXIS2_PLACEMENT_3D('',#476119,#386760,#386761); #343234=AXIS2_PLACEMENT_3D('',#476125,#386765,#386766); #343235=AXIS2_PLACEMENT_3D('',#476131,#386770,#386771); #343236=AXIS2_PLACEMENT_3D('',#476137,#386775,#386776); #343237=AXIS2_PLACEMENT_3D('',#476143,#386780,#386781); #343238=AXIS2_PLACEMENT_3D('',#476149,#386785,#386786); #343239=AXIS2_PLACEMENT_3D('',#476155,#386790,#386791); #343240=AXIS2_PLACEMENT_3D('',#476161,#386795,#386796); #343241=AXIS2_PLACEMENT_3D('',#476167,#386800,#386801); #343242=AXIS2_PLACEMENT_3D('',#476173,#386805,#386806); #343243=AXIS2_PLACEMENT_3D('',#476179,#386810,#386811); #343244=AXIS2_PLACEMENT_3D('',#476185,#386815,#386816); #343245=AXIS2_PLACEMENT_3D('',#476191,#386820,#386821); #343246=AXIS2_PLACEMENT_3D('',#476197,#386825,#386826); #343247=AXIS2_PLACEMENT_3D('',#476203,#386830,#386831); #343248=AXIS2_PLACEMENT_3D('',#476209,#386835,#386836); #343249=AXIS2_PLACEMENT_3D('',#476215,#386840,#386841); #343250=AXIS2_PLACEMENT_3D('',#476221,#386845,#386846); #343251=AXIS2_PLACEMENT_3D('',#476227,#386850,#386851); #343252=AXIS2_PLACEMENT_3D('',#476233,#386855,#386856); #343253=AXIS2_PLACEMENT_3D('',#476239,#386860,#386861); #343254=AXIS2_PLACEMENT_3D('',#476245,#386865,#386866); #343255=AXIS2_PLACEMENT_3D('',#476251,#386870,#386871); #343256=AXIS2_PLACEMENT_3D('',#476257,#386875,#386876); #343257=AXIS2_PLACEMENT_3D('',#476263,#386880,#386881); #343258=AXIS2_PLACEMENT_3D('',#476269,#386885,#386886); #343259=AXIS2_PLACEMENT_3D('',#476275,#386890,#386891); #343260=AXIS2_PLACEMENT_3D('',#476281,#386895,#386896); #343261=AXIS2_PLACEMENT_3D('',#476287,#386900,#386901); #343262=AXIS2_PLACEMENT_3D('',#476293,#386905,#386906); #343263=AXIS2_PLACEMENT_3D('',#476299,#386910,#386911); #343264=AXIS2_PLACEMENT_3D('',#476305,#386915,#386916); #343265=AXIS2_PLACEMENT_3D('',#476311,#386920,#386921); #343266=AXIS2_PLACEMENT_3D('',#476317,#386925,#386926); #343267=AXIS2_PLACEMENT_3D('',#476323,#386930,#386931); #343268=AXIS2_PLACEMENT_3D('',#476329,#386935,#386936); #343269=AXIS2_PLACEMENT_3D('',#476335,#386940,#386941); #343270=AXIS2_PLACEMENT_3D('',#476341,#386945,#386946); #343271=AXIS2_PLACEMENT_3D('',#476347,#386950,#386951); #343272=AXIS2_PLACEMENT_3D('',#476353,#386955,#386956); #343273=AXIS2_PLACEMENT_3D('',#476359,#386960,#386961); #343274=AXIS2_PLACEMENT_3D('',#476365,#386965,#386966); #343275=AXIS2_PLACEMENT_3D('',#476371,#386970,#386971); #343276=AXIS2_PLACEMENT_3D('',#476377,#386975,#386976); #343277=AXIS2_PLACEMENT_3D('',#476383,#386980,#386981); #343278=AXIS2_PLACEMENT_3D('',#476389,#386985,#386986); #343279=AXIS2_PLACEMENT_3D('',#476395,#386990,#386991); #343280=AXIS2_PLACEMENT_3D('',#476401,#386995,#386996); #343281=AXIS2_PLACEMENT_3D('',#476407,#387000,#387001); #343282=AXIS2_PLACEMENT_3D('',#476413,#387005,#387006); #343283=AXIS2_PLACEMENT_3D('',#476419,#387010,#387011); #343284=AXIS2_PLACEMENT_3D('',#476425,#387015,#387016); #343285=AXIS2_PLACEMENT_3D('',#476431,#387020,#387021); #343286=AXIS2_PLACEMENT_3D('',#476437,#387025,#387026); #343287=AXIS2_PLACEMENT_3D('',#476443,#387030,#387031); #343288=AXIS2_PLACEMENT_3D('',#476449,#387035,#387036); #343289=AXIS2_PLACEMENT_3D('',#476455,#387040,#387041); #343290=AXIS2_PLACEMENT_3D('',#476461,#387045,#387046); #343291=AXIS2_PLACEMENT_3D('',#476467,#387050,#387051); #343292=AXIS2_PLACEMENT_3D('',#476473,#387055,#387056); #343293=AXIS2_PLACEMENT_3D('',#476479,#387060,#387061); #343294=AXIS2_PLACEMENT_3D('',#476485,#387065,#387066); #343295=AXIS2_PLACEMENT_3D('',#476491,#387070,#387071); #343296=AXIS2_PLACEMENT_3D('',#476497,#387075,#387076); #343297=AXIS2_PLACEMENT_3D('',#476503,#387080,#387081); #343298=AXIS2_PLACEMENT_3D('',#476509,#387085,#387086); #343299=AXIS2_PLACEMENT_3D('',#476515,#387090,#387091); #343300=AXIS2_PLACEMENT_3D('',#476521,#387095,#387096); #343301=AXIS2_PLACEMENT_3D('',#476527,#387100,#387101); #343302=AXIS2_PLACEMENT_3D('',#476533,#387105,#387106); #343303=AXIS2_PLACEMENT_3D('',#476539,#387110,#387111); #343304=AXIS2_PLACEMENT_3D('',#476545,#387115,#387116); #343305=AXIS2_PLACEMENT_3D('',#476551,#387120,#387121); #343306=AXIS2_PLACEMENT_3D('',#476557,#387125,#387126); #343307=AXIS2_PLACEMENT_3D('',#476563,#387130,#387131); #343308=AXIS2_PLACEMENT_3D('',#476569,#387135,#387136); #343309=AXIS2_PLACEMENT_3D('',#476575,#387140,#387141); #343310=AXIS2_PLACEMENT_3D('',#476581,#387145,#387146); #343311=AXIS2_PLACEMENT_3D('',#476584,#387149,#387150); #343312=AXIS2_PLACEMENT_3D('',#476585,#387151,#387152); #343313=AXIS2_PLACEMENT_3D('',#476586,#387153,#387154); #343314=AXIS2_PLACEMENT_3D('',#476595,#387159,#387160); #343315=AXIS2_PLACEMENT_3D('',#476601,#387164,#387165); #343316=AXIS2_PLACEMENT_3D('',#476607,#387169,#387170); #343317=AXIS2_PLACEMENT_3D('',#476613,#387174,#387175); #343318=AXIS2_PLACEMENT_3D('',#476619,#387179,#387180); #343319=AXIS2_PLACEMENT_3D('',#476625,#387184,#387185); #343320=AXIS2_PLACEMENT_3D('',#476631,#387189,#387190); #343321=AXIS2_PLACEMENT_3D('',#476637,#387194,#387195); #343322=AXIS2_PLACEMENT_3D('',#476643,#387199,#387200); #343323=AXIS2_PLACEMENT_3D('',#476649,#387204,#387205); #343324=AXIS2_PLACEMENT_3D('',#476655,#387209,#387210); #343325=AXIS2_PLACEMENT_3D('',#476661,#387214,#387215); #343326=AXIS2_PLACEMENT_3D('',#476667,#387219,#387220); #343327=AXIS2_PLACEMENT_3D('',#476673,#387224,#387225); #343328=AXIS2_PLACEMENT_3D('',#476679,#387229,#387230); #343329=AXIS2_PLACEMENT_3D('',#476685,#387234,#387235); #343330=AXIS2_PLACEMENT_3D('',#476691,#387239,#387240); #343331=AXIS2_PLACEMENT_3D('',#476697,#387244,#387245); #343332=AXIS2_PLACEMENT_3D('',#476703,#387249,#387250); #343333=AXIS2_PLACEMENT_3D('',#476709,#387254,#387255); #343334=AXIS2_PLACEMENT_3D('',#476712,#387258,#387259); #343335=AXIS2_PLACEMENT_3D('',#476713,#387260,#387261); #343336=AXIS2_PLACEMENT_3D('',#476714,#387262,#387263); #343337=AXIS2_PLACEMENT_3D('',#476723,#387268,#387269); #343338=AXIS2_PLACEMENT_3D('',#476729,#387273,#387274); #343339=AXIS2_PLACEMENT_3D('',#476735,#387278,#387279); #343340=AXIS2_PLACEMENT_3D('',#476741,#387283,#387284); #343341=AXIS2_PLACEMENT_3D('',#476747,#387288,#387289); #343342=AXIS2_PLACEMENT_3D('',#476753,#387293,#387294); #343343=AXIS2_PLACEMENT_3D('',#476759,#387298,#387299); #343344=AXIS2_PLACEMENT_3D('',#476765,#387303,#387304); #343345=AXIS2_PLACEMENT_3D('',#476771,#387308,#387309); #343346=AXIS2_PLACEMENT_3D('',#476777,#387313,#387314); #343347=AXIS2_PLACEMENT_3D('',#476783,#387318,#387319); #343348=AXIS2_PLACEMENT_3D('',#476789,#387323,#387324); #343349=AXIS2_PLACEMENT_3D('',#476795,#387328,#387329); #343350=AXIS2_PLACEMENT_3D('',#476801,#387333,#387334); #343351=AXIS2_PLACEMENT_3D('',#476807,#387338,#387339); #343352=AXIS2_PLACEMENT_3D('',#476813,#387343,#387344); #343353=AXIS2_PLACEMENT_3D('',#476819,#387348,#387349); #343354=AXIS2_PLACEMENT_3D('',#476825,#387353,#387354); #343355=AXIS2_PLACEMENT_3D('',#476828,#387357,#387358); #343356=AXIS2_PLACEMENT_3D('',#476829,#387359,#387360); #343357=AXIS2_PLACEMENT_3D('',#476830,#387361,#387362); #343358=AXIS2_PLACEMENT_3D('',#476839,#387367,#387368); #343359=AXIS2_PLACEMENT_3D('',#476845,#387372,#387373); #343360=AXIS2_PLACEMENT_3D('',#476851,#387377,#387378); #343361=AXIS2_PLACEMENT_3D('',#476857,#387382,#387383); #343362=AXIS2_PLACEMENT_3D('',#476863,#387387,#387388); #343363=AXIS2_PLACEMENT_3D('',#476869,#387392,#387393); #343364=AXIS2_PLACEMENT_3D('',#476875,#387397,#387398); #343365=AXIS2_PLACEMENT_3D('',#476881,#387402,#387403); #343366=AXIS2_PLACEMENT_3D('',#476887,#387407,#387408); #343367=AXIS2_PLACEMENT_3D('',#476893,#387412,#387413); #343368=AXIS2_PLACEMENT_3D('',#476899,#387417,#387418); #343369=AXIS2_PLACEMENT_3D('',#476905,#387422,#387423); #343370=AXIS2_PLACEMENT_3D('',#476911,#387427,#387428); #343371=AXIS2_PLACEMENT_3D('',#476917,#387432,#387433); #343372=AXIS2_PLACEMENT_3D('',#476923,#387437,#387438); #343373=AXIS2_PLACEMENT_3D('',#476929,#387442,#387443); #343374=AXIS2_PLACEMENT_3D('',#476935,#387447,#387448); #343375=AXIS2_PLACEMENT_3D('',#476941,#387452,#387453); #343376=AXIS2_PLACEMENT_3D('',#476947,#387457,#387458); #343377=AXIS2_PLACEMENT_3D('',#476953,#387462,#387463); #343378=AXIS2_PLACEMENT_3D('',#476959,#387467,#387468); #343379=AXIS2_PLACEMENT_3D('',#476965,#387472,#387473); #343380=AXIS2_PLACEMENT_3D('',#476971,#387477,#387478); #343381=AXIS2_PLACEMENT_3D('',#476977,#387482,#387483); #343382=AXIS2_PLACEMENT_3D('',#476983,#387487,#387488); #343383=AXIS2_PLACEMENT_3D('',#476989,#387492,#387493); #343384=AXIS2_PLACEMENT_3D('',#476995,#387497,#387498); #343385=AXIS2_PLACEMENT_3D('',#477001,#387502,#387503); #343386=AXIS2_PLACEMENT_3D('',#477004,#387506,#387507); #343387=AXIS2_PLACEMENT_3D('',#477005,#387508,#387509); #343388=AXIS2_PLACEMENT_3D('',#477006,#387510,#387511); #343389=AXIS2_PLACEMENT_3D('',#477015,#387516,#387517); #343390=AXIS2_PLACEMENT_3D('',#477021,#387521,#387522); #343391=AXIS2_PLACEMENT_3D('',#477027,#387526,#387527); #343392=AXIS2_PLACEMENT_3D('',#477033,#387531,#387532); #343393=AXIS2_PLACEMENT_3D('',#477039,#387536,#387537); #343394=AXIS2_PLACEMENT_3D('',#477045,#387541,#387542); #343395=AXIS2_PLACEMENT_3D('',#477051,#387546,#387547); #343396=AXIS2_PLACEMENT_3D('',#477057,#387551,#387552); #343397=AXIS2_PLACEMENT_3D('',#477063,#387556,#387557); #343398=AXIS2_PLACEMENT_3D('',#477069,#387561,#387562); #343399=AXIS2_PLACEMENT_3D('',#477075,#387566,#387567); #343400=AXIS2_PLACEMENT_3D('',#477081,#387571,#387572); #343401=AXIS2_PLACEMENT_3D('',#477087,#387576,#387577); #343402=AXIS2_PLACEMENT_3D('',#477093,#387581,#387582); #343403=AXIS2_PLACEMENT_3D('',#477099,#387586,#387587); #343404=AXIS2_PLACEMENT_3D('',#477105,#387591,#387592); #343405=AXIS2_PLACEMENT_3D('',#477111,#387596,#387597); #343406=AXIS2_PLACEMENT_3D('',#477117,#387601,#387602); #343407=AXIS2_PLACEMENT_3D('',#477123,#387606,#387607); #343408=AXIS2_PLACEMENT_3D('',#477129,#387611,#387612); #343409=AXIS2_PLACEMENT_3D('',#477132,#387615,#387616); #343410=AXIS2_PLACEMENT_3D('',#477133,#387617,#387618); #343411=AXIS2_PLACEMENT_3D('',#477134,#387619,#387620); #343412=AXIS2_PLACEMENT_3D('',#477143,#387625,#387626); #343413=AXIS2_PLACEMENT_3D('',#477149,#387630,#387631); #343414=AXIS2_PLACEMENT_3D('',#477155,#387635,#387636); #343415=AXIS2_PLACEMENT_3D('',#477161,#387640,#387641); #343416=AXIS2_PLACEMENT_3D('',#477167,#387645,#387646); #343417=AXIS2_PLACEMENT_3D('',#477173,#387650,#387651); #343418=AXIS2_PLACEMENT_3D('',#477179,#387655,#387656); #343419=AXIS2_PLACEMENT_3D('',#477185,#387660,#387661); #343420=AXIS2_PLACEMENT_3D('',#477191,#387665,#387666); #343421=AXIS2_PLACEMENT_3D('',#477197,#387670,#387671); #343422=AXIS2_PLACEMENT_3D('',#477203,#387675,#387676); #343423=AXIS2_PLACEMENT_3D('',#477209,#387680,#387681); #343424=AXIS2_PLACEMENT_3D('',#477215,#387685,#387686); #343425=AXIS2_PLACEMENT_3D('',#477221,#387690,#387691); #343426=AXIS2_PLACEMENT_3D('',#477227,#387695,#387696); #343427=AXIS2_PLACEMENT_3D('',#477233,#387700,#387701); #343428=AXIS2_PLACEMENT_3D('',#477239,#387705,#387706); #343429=AXIS2_PLACEMENT_3D('',#477245,#387710,#387711); #343430=AXIS2_PLACEMENT_3D('',#477248,#387714,#387715); #343431=AXIS2_PLACEMENT_3D('',#477249,#387716,#387717); #343432=AXIS2_PLACEMENT_3D('',#477250,#387718,#387719); #343433=AXIS2_PLACEMENT_3D('',#477259,#387724,#387725); #343434=AXIS2_PLACEMENT_3D('',#477265,#387729,#387730); #343435=AXIS2_PLACEMENT_3D('',#477271,#387734,#387735); #343436=AXIS2_PLACEMENT_3D('',#477277,#387739,#387740); #343437=AXIS2_PLACEMENT_3D('',#477283,#387744,#387745); #343438=AXIS2_PLACEMENT_3D('',#477289,#387749,#387750); #343439=AXIS2_PLACEMENT_3D('',#477295,#387754,#387755); #343440=AXIS2_PLACEMENT_3D('',#477301,#387759,#387760); #343441=AXIS2_PLACEMENT_3D('',#477307,#387764,#387765); #343442=AXIS2_PLACEMENT_3D('',#477313,#387769,#387770); #343443=AXIS2_PLACEMENT_3D('',#477319,#387774,#387775); #343444=AXIS2_PLACEMENT_3D('',#477325,#387779,#387780); #343445=AXIS2_PLACEMENT_3D('',#477331,#387784,#387785); #343446=AXIS2_PLACEMENT_3D('',#477337,#387789,#387790); #343447=AXIS2_PLACEMENT_3D('',#477343,#387794,#387795); #343448=AXIS2_PLACEMENT_3D('',#477349,#387799,#387800); #343449=AXIS2_PLACEMENT_3D('',#477355,#387804,#387805); #343450=AXIS2_PLACEMENT_3D('',#477361,#387809,#387810); #343451=AXIS2_PLACEMENT_3D('',#477364,#387813,#387814); #343452=AXIS2_PLACEMENT_3D('',#477365,#387815,#387816); #343453=AXIS2_PLACEMENT_3D('',#477366,#387817,#387818); #343454=AXIS2_PLACEMENT_3D('',#477375,#387823,#387824); #343455=AXIS2_PLACEMENT_3D('',#477381,#387828,#387829); #343456=AXIS2_PLACEMENT_3D('',#477387,#387833,#387834); #343457=AXIS2_PLACEMENT_3D('',#477393,#387838,#387839); #343458=AXIS2_PLACEMENT_3D('',#477399,#387843,#387844); #343459=AXIS2_PLACEMENT_3D('',#477405,#387848,#387849); #343460=AXIS2_PLACEMENT_3D('',#477411,#387853,#387854); #343461=AXIS2_PLACEMENT_3D('',#477417,#387858,#387859); #343462=AXIS2_PLACEMENT_3D('',#477423,#387863,#387864); #343463=AXIS2_PLACEMENT_3D('',#477429,#387868,#387869); #343464=AXIS2_PLACEMENT_3D('',#477435,#387873,#387874); #343465=AXIS2_PLACEMENT_3D('',#477441,#387878,#387879); #343466=AXIS2_PLACEMENT_3D('',#477447,#387883,#387884); #343467=AXIS2_PLACEMENT_3D('',#477453,#387888,#387889); #343468=AXIS2_PLACEMENT_3D('',#477459,#387893,#387894); #343469=AXIS2_PLACEMENT_3D('',#477465,#387898,#387899); #343470=AXIS2_PLACEMENT_3D('',#477471,#387903,#387904); #343471=AXIS2_PLACEMENT_3D('',#477477,#387908,#387909); #343472=AXIS2_PLACEMENT_3D('',#477483,#387913,#387914); #343473=AXIS2_PLACEMENT_3D('',#477489,#387918,#387919); #343474=AXIS2_PLACEMENT_3D('',#477492,#387922,#387923); #343475=AXIS2_PLACEMENT_3D('',#477493,#387924,#387925); #343476=AXIS2_PLACEMENT_3D('',#477494,#387926,#387927); #343477=AXIS2_PLACEMENT_3D('',#477503,#387932,#387933); #343478=AXIS2_PLACEMENT_3D('',#477509,#387937,#387938); #343479=AXIS2_PLACEMENT_3D('',#477515,#387942,#387943); #343480=AXIS2_PLACEMENT_3D('',#477521,#387947,#387948); #343481=AXIS2_PLACEMENT_3D('',#477527,#387952,#387953); #343482=AXIS2_PLACEMENT_3D('',#477533,#387957,#387958); #343483=AXIS2_PLACEMENT_3D('',#477539,#387962,#387963); #343484=AXIS2_PLACEMENT_3D('',#477545,#387967,#387968); #343485=AXIS2_PLACEMENT_3D('',#477551,#387972,#387973); #343486=AXIS2_PLACEMENT_3D('',#477557,#387977,#387978); #343487=AXIS2_PLACEMENT_3D('',#477563,#387982,#387983); #343488=AXIS2_PLACEMENT_3D('',#477569,#387987,#387988); #343489=AXIS2_PLACEMENT_3D('',#477575,#387992,#387993); #343490=AXIS2_PLACEMENT_3D('',#477581,#387997,#387998); #343491=AXIS2_PLACEMENT_3D('',#477587,#388002,#388003); #343492=AXIS2_PLACEMENT_3D('',#477593,#388007,#388008); #343493=AXIS2_PLACEMENT_3D('',#477599,#388012,#388013); #343494=AXIS2_PLACEMENT_3D('',#477605,#388017,#388018); #343495=AXIS2_PLACEMENT_3D('',#477611,#388022,#388023); #343496=AXIS2_PLACEMENT_3D('',#477614,#388026,#388027); #343497=AXIS2_PLACEMENT_3D('',#477615,#388028,#388029); #343498=AXIS2_PLACEMENT_3D('',#477616,#388030,#388031); #343499=AXIS2_PLACEMENT_3D('',#477625,#388036,#388037); #343500=AXIS2_PLACEMENT_3D('',#477631,#388041,#388042); #343501=AXIS2_PLACEMENT_3D('',#477637,#388046,#388047); #343502=AXIS2_PLACEMENT_3D('',#477643,#388051,#388052); #343503=AXIS2_PLACEMENT_3D('',#477649,#388056,#388057); #343504=AXIS2_PLACEMENT_3D('',#477655,#388061,#388062); #343505=AXIS2_PLACEMENT_3D('',#477661,#388066,#388067); #343506=AXIS2_PLACEMENT_3D('',#477667,#388071,#388072); #343507=AXIS2_PLACEMENT_3D('',#477673,#388076,#388077); #343508=AXIS2_PLACEMENT_3D('',#477679,#388081,#388082); #343509=AXIS2_PLACEMENT_3D('',#477685,#388086,#388087); #343510=AXIS2_PLACEMENT_3D('',#477691,#388091,#388092); #343511=AXIS2_PLACEMENT_3D('',#477697,#388096,#388097); #343512=AXIS2_PLACEMENT_3D('',#477703,#388101,#388102); #343513=AXIS2_PLACEMENT_3D('',#477709,#388106,#388107); #343514=AXIS2_PLACEMENT_3D('',#477715,#388111,#388112); #343515=AXIS2_PLACEMENT_3D('',#477721,#388116,#388117); #343516=AXIS2_PLACEMENT_3D('',#477727,#388121,#388122); #343517=AXIS2_PLACEMENT_3D('',#477733,#388126,#388127); #343518=AXIS2_PLACEMENT_3D('',#477739,#388131,#388132); #343519=AXIS2_PLACEMENT_3D('',#477745,#388136,#388137); #343520=AXIS2_PLACEMENT_3D('',#477751,#388141,#388142); #343521=AXIS2_PLACEMENT_3D('',#477757,#388146,#388147); #343522=AXIS2_PLACEMENT_3D('',#477763,#388151,#388152); #343523=AXIS2_PLACEMENT_3D('',#477769,#388156,#388157); #343524=AXIS2_PLACEMENT_3D('',#477775,#388161,#388162); #343525=AXIS2_PLACEMENT_3D('',#477781,#388166,#388167); #343526=AXIS2_PLACEMENT_3D('',#477787,#388171,#388172); #343527=AXIS2_PLACEMENT_3D('',#477793,#388176,#388177); #343528=AXIS2_PLACEMENT_3D('',#477799,#388181,#388182); #343529=AXIS2_PLACEMENT_3D('',#477805,#388186,#388187); #343530=AXIS2_PLACEMENT_3D('',#477811,#388191,#388192); #343531=AXIS2_PLACEMENT_3D('',#477817,#388196,#388197); #343532=AXIS2_PLACEMENT_3D('',#477823,#388201,#388202); #343533=AXIS2_PLACEMENT_3D('',#477829,#388206,#388207); #343534=AXIS2_PLACEMENT_3D('',#477835,#388211,#388212); #343535=AXIS2_PLACEMENT_3D('',#477838,#388215,#388216); #343536=AXIS2_PLACEMENT_3D('',#477839,#388217,#388218); #343537=AXIS2_PLACEMENT_3D('',#477840,#388219,#388220); #343538=AXIS2_PLACEMENT_3D('',#477849,#388225,#388226); #343539=AXIS2_PLACEMENT_3D('',#477855,#388230,#388231); #343540=AXIS2_PLACEMENT_3D('',#477861,#388235,#388236); #343541=AXIS2_PLACEMENT_3D('',#477867,#388240,#388241); #343542=AXIS2_PLACEMENT_3D('',#477873,#388245,#388246); #343543=AXIS2_PLACEMENT_3D('',#477879,#388250,#388251); #343544=AXIS2_PLACEMENT_3D('',#477885,#388255,#388256); #343545=AXIS2_PLACEMENT_3D('',#477891,#388260,#388261); #343546=AXIS2_PLACEMENT_3D('',#477897,#388265,#388266); #343547=AXIS2_PLACEMENT_3D('',#477903,#388270,#388271); #343548=AXIS2_PLACEMENT_3D('',#477909,#388275,#388276); #343549=AXIS2_PLACEMENT_3D('',#477915,#388280,#388281); #343550=AXIS2_PLACEMENT_3D('',#477921,#388285,#388286); #343551=AXIS2_PLACEMENT_3D('',#477927,#388290,#388291); #343552=AXIS2_PLACEMENT_3D('',#477933,#388295,#388296); #343553=AXIS2_PLACEMENT_3D('',#477939,#388300,#388301); #343554=AXIS2_PLACEMENT_3D('',#477945,#388305,#388306); #343555=AXIS2_PLACEMENT_3D('',#477951,#388310,#388311); #343556=AXIS2_PLACEMENT_3D('',#477957,#388315,#388316); #343557=AXIS2_PLACEMENT_3D('',#477960,#388319,#388320); #343558=AXIS2_PLACEMENT_3D('',#477961,#388321,#388322); #343559=AXIS2_PLACEMENT_3D('',#477962,#388323,#388324); #343560=AXIS2_PLACEMENT_3D('',#477971,#388329,#388330); #343561=AXIS2_PLACEMENT_3D('',#477977,#388334,#388335); #343562=AXIS2_PLACEMENT_3D('',#477983,#388339,#388340); #343563=AXIS2_PLACEMENT_3D('',#477989,#388344,#388345); #343564=AXIS2_PLACEMENT_3D('',#477995,#388349,#388350); #343565=AXIS2_PLACEMENT_3D('',#478001,#388354,#388355); #343566=AXIS2_PLACEMENT_3D('',#478007,#388359,#388360); #343567=AXIS2_PLACEMENT_3D('',#478013,#388364,#388365); #343568=AXIS2_PLACEMENT_3D('',#478019,#388369,#388370); #343569=AXIS2_PLACEMENT_3D('',#478025,#388374,#388375); #343570=AXIS2_PLACEMENT_3D('',#478031,#388379,#388380); #343571=AXIS2_PLACEMENT_3D('',#478037,#388384,#388385); #343572=AXIS2_PLACEMENT_3D('',#478043,#388389,#388390); #343573=AXIS2_PLACEMENT_3D('',#478049,#388394,#388395); #343574=AXIS2_PLACEMENT_3D('',#478055,#388399,#388400); #343575=AXIS2_PLACEMENT_3D('',#478061,#388404,#388405); #343576=AXIS2_PLACEMENT_3D('',#478067,#388409,#388410); #343577=AXIS2_PLACEMENT_3D('',#478073,#388414,#388415); #343578=AXIS2_PLACEMENT_3D('',#478079,#388419,#388420); #343579=AXIS2_PLACEMENT_3D('',#478085,#388424,#388425); #343580=AXIS2_PLACEMENT_3D('',#478091,#388429,#388430); #343581=AXIS2_PLACEMENT_3D('',#478097,#388434,#388435); #343582=AXIS2_PLACEMENT_3D('',#478103,#388439,#388440); #343583=AXIS2_PLACEMENT_3D('',#478109,#388444,#388445); #343584=AXIS2_PLACEMENT_3D('',#478115,#388449,#388450); #343585=AXIS2_PLACEMENT_3D('',#478121,#388454,#388455); #343586=AXIS2_PLACEMENT_3D('',#478127,#388459,#388460); #343587=AXIS2_PLACEMENT_3D('',#478133,#388464,#388465); #343588=AXIS2_PLACEMENT_3D('',#478139,#388469,#388470); #343589=AXIS2_PLACEMENT_3D('',#478145,#388474,#388475); #343590=AXIS2_PLACEMENT_3D('',#478151,#388479,#388480); #343591=AXIS2_PLACEMENT_3D('',#478157,#388484,#388485); #343592=AXIS2_PLACEMENT_3D('',#478163,#388489,#388490); #343593=AXIS2_PLACEMENT_3D('',#478169,#388494,#388495); #343594=AXIS2_PLACEMENT_3D('',#478175,#388499,#388500); #343595=AXIS2_PLACEMENT_3D('',#478181,#388504,#388505); #343596=AXIS2_PLACEMENT_3D('',#478187,#388509,#388510); #343597=AXIS2_PLACEMENT_3D('',#478193,#388514,#388515); #343598=AXIS2_PLACEMENT_3D('',#478199,#388519,#388520); #343599=AXIS2_PLACEMENT_3D('',#478205,#388524,#388525); #343600=AXIS2_PLACEMENT_3D('',#478211,#388529,#388530); #343601=AXIS2_PLACEMENT_3D('',#478217,#388534,#388535); #343602=AXIS2_PLACEMENT_3D('',#478223,#388539,#388540); #343603=AXIS2_PLACEMENT_3D('',#478229,#388544,#388545); #343604=AXIS2_PLACEMENT_3D('',#478235,#388549,#388550); #343605=AXIS2_PLACEMENT_3D('',#478241,#388554,#388555); #343606=AXIS2_PLACEMENT_3D('',#478247,#388559,#388560); #343607=AXIS2_PLACEMENT_3D('',#478250,#388563,#388564); #343608=AXIS2_PLACEMENT_3D('',#478251,#388565,#388566); #343609=AXIS2_PLACEMENT_3D('',#478252,#388567,#388568); #343610=AXIS2_PLACEMENT_3D('',#478261,#388573,#388574); #343611=AXIS2_PLACEMENT_3D('',#478267,#388578,#388579); #343612=AXIS2_PLACEMENT_3D('',#478273,#388583,#388584); #343613=AXIS2_PLACEMENT_3D('',#478279,#388588,#388589); #343614=AXIS2_PLACEMENT_3D('',#478285,#388593,#388594); #343615=AXIS2_PLACEMENT_3D('',#478291,#388598,#388599); #343616=AXIS2_PLACEMENT_3D('',#478297,#388603,#388604); #343617=AXIS2_PLACEMENT_3D('',#478303,#388608,#388609); #343618=AXIS2_PLACEMENT_3D('',#478309,#388613,#388614); #343619=AXIS2_PLACEMENT_3D('',#478315,#388618,#388619); #343620=AXIS2_PLACEMENT_3D('',#478321,#388623,#388624); #343621=AXIS2_PLACEMENT_3D('',#478327,#388628,#388629); #343622=AXIS2_PLACEMENT_3D('',#478333,#388633,#388634); #343623=AXIS2_PLACEMENT_3D('',#478339,#388638,#388639); #343624=AXIS2_PLACEMENT_3D('',#478345,#388643,#388644); #343625=AXIS2_PLACEMENT_3D('',#478351,#388648,#388649); #343626=AXIS2_PLACEMENT_3D('',#478357,#388653,#388654); #343627=AXIS2_PLACEMENT_3D('',#478363,#388658,#388659); #343628=AXIS2_PLACEMENT_3D('',#478369,#388663,#388664); #343629=AXIS2_PLACEMENT_3D('',#478372,#388667,#388668); #343630=AXIS2_PLACEMENT_3D('',#478373,#388669,#388670); #343631=AXIS2_PLACEMENT_3D('',#478374,#388671,#388672); #343632=AXIS2_PLACEMENT_3D('',#478383,#388677,#388678); #343633=AXIS2_PLACEMENT_3D('',#478389,#388682,#388683); #343634=AXIS2_PLACEMENT_3D('',#478395,#388687,#388688); #343635=AXIS2_PLACEMENT_3D('',#478401,#388692,#388693); #343636=AXIS2_PLACEMENT_3D('',#478407,#388697,#388698); #343637=AXIS2_PLACEMENT_3D('',#478413,#388702,#388703); #343638=AXIS2_PLACEMENT_3D('',#478419,#388707,#388708); #343639=AXIS2_PLACEMENT_3D('',#478425,#388712,#388713); #343640=AXIS2_PLACEMENT_3D('',#478431,#388717,#388718); #343641=AXIS2_PLACEMENT_3D('',#478437,#388722,#388723); #343642=AXIS2_PLACEMENT_3D('',#478443,#388727,#388728); #343643=AXIS2_PLACEMENT_3D('',#478449,#388732,#388733); #343644=AXIS2_PLACEMENT_3D('',#478455,#388737,#388738); #343645=AXIS2_PLACEMENT_3D('',#478461,#388742,#388743); #343646=AXIS2_PLACEMENT_3D('',#478467,#388747,#388748); #343647=AXIS2_PLACEMENT_3D('',#478473,#388752,#388753); #343648=AXIS2_PLACEMENT_3D('',#478479,#388757,#388758); #343649=AXIS2_PLACEMENT_3D('',#478485,#388762,#388763); #343650=AXIS2_PLACEMENT_3D('',#478491,#388767,#388768); #343651=AXIS2_PLACEMENT_3D('',#478497,#388772,#388773); #343652=AXIS2_PLACEMENT_3D('',#478503,#388777,#388778); #343653=AXIS2_PLACEMENT_3D('',#478509,#388782,#388783); #343654=AXIS2_PLACEMENT_3D('',#478515,#388787,#388788); #343655=AXIS2_PLACEMENT_3D('',#478521,#388792,#388793); #343656=AXIS2_PLACEMENT_3D('',#478527,#388797,#388798); #343657=AXIS2_PLACEMENT_3D('',#478533,#388802,#388803); #343658=AXIS2_PLACEMENT_3D('',#478539,#388807,#388808); #343659=AXIS2_PLACEMENT_3D('',#478545,#388812,#388813); #343660=AXIS2_PLACEMENT_3D('',#478551,#388817,#388818); #343661=AXIS2_PLACEMENT_3D('',#478557,#388822,#388823); #343662=AXIS2_PLACEMENT_3D('',#478560,#388826,#388827); #343663=AXIS2_PLACEMENT_3D('',#478561,#388828,#388829); #343664=AXIS2_PLACEMENT_3D('',#478562,#388830,#388831); #343665=AXIS2_PLACEMENT_3D('',#478571,#388836,#388837); #343666=AXIS2_PLACEMENT_3D('',#478577,#388841,#388842); #343667=AXIS2_PLACEMENT_3D('',#478583,#388846,#388847); #343668=AXIS2_PLACEMENT_3D('',#478589,#388851,#388852); #343669=AXIS2_PLACEMENT_3D('',#478595,#388856,#388857); #343670=AXIS2_PLACEMENT_3D('',#478601,#388861,#388862); #343671=AXIS2_PLACEMENT_3D('',#478607,#388866,#388867); #343672=AXIS2_PLACEMENT_3D('',#478613,#388871,#388872); #343673=AXIS2_PLACEMENT_3D('',#478619,#388876,#388877); #343674=AXIS2_PLACEMENT_3D('',#478625,#388881,#388882); #343675=AXIS2_PLACEMENT_3D('',#478631,#388886,#388887); #343676=AXIS2_PLACEMENT_3D('',#478637,#388891,#388892); #343677=AXIS2_PLACEMENT_3D('',#478643,#388896,#388897); #343678=AXIS2_PLACEMENT_3D('',#478649,#388901,#388902); #343679=AXIS2_PLACEMENT_3D('',#478655,#388906,#388907); #343680=AXIS2_PLACEMENT_3D('',#478661,#388911,#388912); #343681=AXIS2_PLACEMENT_3D('',#478667,#388916,#388917); #343682=AXIS2_PLACEMENT_3D('',#478673,#388921,#388922); #343683=AXIS2_PLACEMENT_3D('',#478679,#388926,#388927); #343684=AXIS2_PLACEMENT_3D('',#478682,#388930,#388931); #343685=AXIS2_PLACEMENT_3D('',#478683,#388932,#388933); #343686=AXIS2_PLACEMENT_3D('',#478684,#388934,#388935); #343687=AXIS2_PLACEMENT_3D('',#478693,#388940,#388941); #343688=AXIS2_PLACEMENT_3D('',#478699,#388945,#388946); #343689=AXIS2_PLACEMENT_3D('',#478705,#388950,#388951); #343690=AXIS2_PLACEMENT_3D('',#478711,#388955,#388956); #343691=AXIS2_PLACEMENT_3D('',#478717,#388960,#388961); #343692=AXIS2_PLACEMENT_3D('',#478723,#388965,#388966); #343693=AXIS2_PLACEMENT_3D('',#478729,#388970,#388971); #343694=AXIS2_PLACEMENT_3D('',#478735,#388975,#388976); #343695=AXIS2_PLACEMENT_3D('',#478741,#388980,#388981); #343696=AXIS2_PLACEMENT_3D('',#478747,#388985,#388986); #343697=AXIS2_PLACEMENT_3D('',#478753,#388990,#388991); #343698=AXIS2_PLACEMENT_3D('',#478759,#388995,#388996); #343699=AXIS2_PLACEMENT_3D('',#478765,#389000,#389001); #343700=AXIS2_PLACEMENT_3D('',#478771,#389005,#389006); #343701=AXIS2_PLACEMENT_3D('',#478777,#389010,#389011); #343702=AXIS2_PLACEMENT_3D('',#478783,#389015,#389016); #343703=AXIS2_PLACEMENT_3D('',#478789,#389020,#389021); #343704=AXIS2_PLACEMENT_3D('',#478795,#389025,#389026); #343705=AXIS2_PLACEMENT_3D('',#478801,#389030,#389031); #343706=AXIS2_PLACEMENT_3D('',#478807,#389035,#389036); #343707=AXIS2_PLACEMENT_3D('',#478813,#389040,#389041); #343708=AXIS2_PLACEMENT_3D('',#478819,#389045,#389046); #343709=AXIS2_PLACEMENT_3D('',#478825,#389050,#389051); #343710=AXIS2_PLACEMENT_3D('',#478831,#389055,#389056); #343711=AXIS2_PLACEMENT_3D('',#478837,#389060,#389061); #343712=AXIS2_PLACEMENT_3D('',#478843,#389065,#389066); #343713=AXIS2_PLACEMENT_3D('',#478849,#389070,#389071); #343714=AXIS2_PLACEMENT_3D('',#478855,#389075,#389076); #343715=AXIS2_PLACEMENT_3D('',#478861,#389080,#389081); #343716=AXIS2_PLACEMENT_3D('',#478867,#389085,#389086); #343717=AXIS2_PLACEMENT_3D('',#478870,#389089,#389090); #343718=AXIS2_PLACEMENT_3D('',#478871,#389091,#389092); #343719=AXIS2_PLACEMENT_3D('',#478872,#389093,#389094); #343720=AXIS2_PLACEMENT_3D('',#478881,#389099,#389100); #343721=AXIS2_PLACEMENT_3D('',#478887,#389104,#389105); #343722=AXIS2_PLACEMENT_3D('',#478893,#389109,#389110); #343723=AXIS2_PLACEMENT_3D('',#478899,#389114,#389115); #343724=AXIS2_PLACEMENT_3D('',#478905,#389119,#389120); #343725=AXIS2_PLACEMENT_3D('',#478911,#389124,#389125); #343726=AXIS2_PLACEMENT_3D('',#478917,#389129,#389130); #343727=AXIS2_PLACEMENT_3D('',#478923,#389134,#389135); #343728=AXIS2_PLACEMENT_3D('',#478929,#389139,#389140); #343729=AXIS2_PLACEMENT_3D('',#478935,#389144,#389145); #343730=AXIS2_PLACEMENT_3D('',#478941,#389149,#389150); #343731=AXIS2_PLACEMENT_3D('',#478947,#389154,#389155); #343732=AXIS2_PLACEMENT_3D('',#478953,#389159,#389160); #343733=AXIS2_PLACEMENT_3D('',#478959,#389164,#389165); #343734=AXIS2_PLACEMENT_3D('',#478965,#389169,#389170); #343735=AXIS2_PLACEMENT_3D('',#478971,#389174,#389175); #343736=AXIS2_PLACEMENT_3D('',#478977,#389179,#389180); #343737=AXIS2_PLACEMENT_3D('',#478983,#389184,#389185); #343738=AXIS2_PLACEMENT_3D('',#478989,#389189,#389190); #343739=AXIS2_PLACEMENT_3D('',#478995,#389194,#389195); #343740=AXIS2_PLACEMENT_3D('',#478998,#389198,#389199); #343741=AXIS2_PLACEMENT_3D('',#478999,#389200,#389201); #343742=AXIS2_PLACEMENT_3D('',#479000,#389202,#389203); #343743=AXIS2_PLACEMENT_3D('',#479009,#389208,#389209); #343744=AXIS2_PLACEMENT_3D('',#479015,#389213,#389214); #343745=AXIS2_PLACEMENT_3D('',#479021,#389218,#389219); #343746=AXIS2_PLACEMENT_3D('',#479027,#389223,#389224); #343747=AXIS2_PLACEMENT_3D('',#479033,#389228,#389229); #343748=AXIS2_PLACEMENT_3D('',#479039,#389233,#389234); #343749=AXIS2_PLACEMENT_3D('',#479045,#389238,#389239); #343750=AXIS2_PLACEMENT_3D('',#479051,#389243,#389244); #343751=AXIS2_PLACEMENT_3D('',#479057,#389248,#389249); #343752=AXIS2_PLACEMENT_3D('',#479063,#389253,#389254); #343753=AXIS2_PLACEMENT_3D('',#479069,#389258,#389259); #343754=AXIS2_PLACEMENT_3D('',#479075,#389263,#389264); #343755=AXIS2_PLACEMENT_3D('',#479081,#389268,#389269); #343756=AXIS2_PLACEMENT_3D('',#479087,#389273,#389274); #343757=AXIS2_PLACEMENT_3D('',#479093,#389278,#389279); #343758=AXIS2_PLACEMENT_3D('',#479099,#389283,#389284); #343759=AXIS2_PLACEMENT_3D('',#479105,#389288,#389289); #343760=AXIS2_PLACEMENT_3D('',#479111,#389293,#389294); #343761=AXIS2_PLACEMENT_3D('',#479117,#389298,#389299); #343762=AXIS2_PLACEMENT_3D('',#479123,#389303,#389304); #343763=AXIS2_PLACEMENT_3D('',#479129,#389308,#389309); #343764=AXIS2_PLACEMENT_3D('',#479135,#389313,#389314); #343765=AXIS2_PLACEMENT_3D('',#479141,#389318,#389319); #343766=AXIS2_PLACEMENT_3D('',#479147,#389323,#389324); #343767=AXIS2_PLACEMENT_3D('',#479153,#389328,#389329); #343768=AXIS2_PLACEMENT_3D('',#479159,#389333,#389334); #343769=AXIS2_PLACEMENT_3D('',#479165,#389338,#389339); #343770=AXIS2_PLACEMENT_3D('',#479171,#389343,#389344); #343771=AXIS2_PLACEMENT_3D('',#479177,#389348,#389349); #343772=AXIS2_PLACEMENT_3D('',#479183,#389353,#389354); #343773=AXIS2_PLACEMENT_3D('',#479189,#389358,#389359); #343774=AXIS2_PLACEMENT_3D('',#479195,#389363,#389364); #343775=AXIS2_PLACEMENT_3D('',#479201,#389368,#389369); #343776=AXIS2_PLACEMENT_3D('',#479207,#389373,#389374); #343777=AXIS2_PLACEMENT_3D('',#479213,#389378,#389379); #343778=AXIS2_PLACEMENT_3D('',#479219,#389383,#389384); #343779=AXIS2_PLACEMENT_3D('',#479225,#389388,#389389); #343780=AXIS2_PLACEMENT_3D('',#479231,#389393,#389394); #343781=AXIS2_PLACEMENT_3D('',#479237,#389398,#389399); #343782=AXIS2_PLACEMENT_3D('',#479240,#389402,#389403); #343783=AXIS2_PLACEMENT_3D('',#479241,#389404,#389405); #343784=AXIS2_PLACEMENT_3D('',#479242,#389406,#389407); #343785=AXIS2_PLACEMENT_3D('',#479251,#389412,#389413); #343786=AXIS2_PLACEMENT_3D('',#479257,#389417,#389418); #343787=AXIS2_PLACEMENT_3D('',#479263,#389422,#389423); #343788=AXIS2_PLACEMENT_3D('',#479269,#389427,#389428); #343789=AXIS2_PLACEMENT_3D('',#479275,#389432,#389433); #343790=AXIS2_PLACEMENT_3D('',#479281,#389437,#389438); #343791=AXIS2_PLACEMENT_3D('',#479287,#389442,#389443); #343792=AXIS2_PLACEMENT_3D('',#479293,#389447,#389448); #343793=AXIS2_PLACEMENT_3D('',#479299,#389452,#389453); #343794=AXIS2_PLACEMENT_3D('',#479305,#389457,#389458); #343795=AXIS2_PLACEMENT_3D('',#479311,#389462,#389463); #343796=AXIS2_PLACEMENT_3D('',#479317,#389467,#389468); #343797=AXIS2_PLACEMENT_3D('',#479323,#389472,#389473); #343798=AXIS2_PLACEMENT_3D('',#479329,#389477,#389478); #343799=AXIS2_PLACEMENT_3D('',#479335,#389482,#389483); #343800=AXIS2_PLACEMENT_3D('',#479341,#389487,#389488); #343801=AXIS2_PLACEMENT_3D('',#479347,#389492,#389493); #343802=AXIS2_PLACEMENT_3D('',#479353,#389497,#389498); #343803=AXIS2_PLACEMENT_3D('',#479359,#389502,#389503); #343804=AXIS2_PLACEMENT_3D('',#479362,#389506,#389507); #343805=AXIS2_PLACEMENT_3D('',#479363,#389508,#389509); #343806=AXIS2_PLACEMENT_3D('',#479364,#389510,#389511); #343807=AXIS2_PLACEMENT_3D('',#479373,#389516,#389517); #343808=AXIS2_PLACEMENT_3D('',#479379,#389521,#389522); #343809=AXIS2_PLACEMENT_3D('',#479385,#389526,#389527); #343810=AXIS2_PLACEMENT_3D('',#479391,#389531,#389532); #343811=AXIS2_PLACEMENT_3D('',#479397,#389536,#389537); #343812=AXIS2_PLACEMENT_3D('',#479403,#389541,#389542); #343813=AXIS2_PLACEMENT_3D('',#479409,#389546,#389547); #343814=AXIS2_PLACEMENT_3D('',#479415,#389551,#389552); #343815=AXIS2_PLACEMENT_3D('',#479421,#389556,#389557); #343816=AXIS2_PLACEMENT_3D('',#479427,#389561,#389562); #343817=AXIS2_PLACEMENT_3D('',#479433,#389566,#389567); #343818=AXIS2_PLACEMENT_3D('',#479439,#389571,#389572); #343819=AXIS2_PLACEMENT_3D('',#479445,#389576,#389577); #343820=AXIS2_PLACEMENT_3D('',#479451,#389581,#389582); #343821=AXIS2_PLACEMENT_3D('',#479457,#389586,#389587); #343822=AXIS2_PLACEMENT_3D('',#479463,#389591,#389592); #343823=AXIS2_PLACEMENT_3D('',#479469,#389596,#389597); #343824=AXIS2_PLACEMENT_3D('',#479475,#389601,#389602); #343825=AXIS2_PLACEMENT_3D('',#479481,#389606,#389607); #343826=AXIS2_PLACEMENT_3D('',#479487,#389611,#389612); #343827=AXIS2_PLACEMENT_3D('',#479493,#389616,#389617); #343828=AXIS2_PLACEMENT_3D('',#479499,#389621,#389622); #343829=AXIS2_PLACEMENT_3D('',#479505,#389626,#389627); #343830=AXIS2_PLACEMENT_3D('',#479511,#389631,#389632); #343831=AXIS2_PLACEMENT_3D('',#479517,#389636,#389637); #343832=AXIS2_PLACEMENT_3D('',#479523,#389641,#389642); #343833=AXIS2_PLACEMENT_3D('',#479529,#389646,#389647); #343834=AXIS2_PLACEMENT_3D('',#479535,#389651,#389652); #343835=AXIS2_PLACEMENT_3D('',#479541,#389656,#389657); #343836=AXIS2_PLACEMENT_3D('',#479547,#389661,#389662); #343837=AXIS2_PLACEMENT_3D('',#479553,#389666,#389667); #343838=AXIS2_PLACEMENT_3D('',#479559,#389671,#389672); #343839=AXIS2_PLACEMENT_3D('',#479565,#389676,#389677); #343840=AXIS2_PLACEMENT_3D('',#479571,#389681,#389682); #343841=AXIS2_PLACEMENT_3D('',#479577,#389686,#389687); #343842=AXIS2_PLACEMENT_3D('',#479583,#389691,#389692); #343843=AXIS2_PLACEMENT_3D('',#479589,#389696,#389697); #343844=AXIS2_PLACEMENT_3D('',#479595,#389701,#389702); #343845=AXIS2_PLACEMENT_3D('',#479601,#389706,#389707); #343846=AXIS2_PLACEMENT_3D('',#479604,#389710,#389711); #343847=AXIS2_PLACEMENT_3D('',#479605,#389712,#389713); #343848=AXIS2_PLACEMENT_3D('',#479606,#389714,#389715); #343849=AXIS2_PLACEMENT_3D('',#479615,#389720,#389721); #343850=AXIS2_PLACEMENT_3D('',#479621,#389725,#389726); #343851=AXIS2_PLACEMENT_3D('',#479627,#389730,#389731); #343852=AXIS2_PLACEMENT_3D('',#479633,#389735,#389736); #343853=AXIS2_PLACEMENT_3D('',#479639,#389740,#389741); #343854=AXIS2_PLACEMENT_3D('',#479645,#389745,#389746); #343855=AXIS2_PLACEMENT_3D('',#479651,#389750,#389751); #343856=AXIS2_PLACEMENT_3D('',#479657,#389755,#389756); #343857=AXIS2_PLACEMENT_3D('',#479663,#389760,#389761); #343858=AXIS2_PLACEMENT_3D('',#479669,#389765,#389766); #343859=AXIS2_PLACEMENT_3D('',#479675,#389770,#389771); #343860=AXIS2_PLACEMENT_3D('',#479681,#389775,#389776); #343861=AXIS2_PLACEMENT_3D('',#479687,#389780,#389781); #343862=AXIS2_PLACEMENT_3D('',#479693,#389785,#389786); #343863=AXIS2_PLACEMENT_3D('',#479699,#389790,#389791); #343864=AXIS2_PLACEMENT_3D('',#479705,#389795,#389796); #343865=AXIS2_PLACEMENT_3D('',#479711,#389800,#389801); #343866=AXIS2_PLACEMENT_3D('',#479717,#389805,#389806); #343867=AXIS2_PLACEMENT_3D('',#479723,#389810,#389811); #343868=AXIS2_PLACEMENT_3D('',#479726,#389814,#389815); #343869=AXIS2_PLACEMENT_3D('',#479727,#389816,#389817); #343870=AXIS2_PLACEMENT_3D('',#479728,#389818,#389819); #343871=AXIS2_PLACEMENT_3D('',#479737,#389824,#389825); #343872=AXIS2_PLACEMENT_3D('',#479743,#389829,#389830); #343873=AXIS2_PLACEMENT_3D('',#479749,#389834,#389835); #343874=AXIS2_PLACEMENT_3D('',#479755,#389839,#389840); #343875=AXIS2_PLACEMENT_3D('',#479761,#389844,#389845); #343876=AXIS2_PLACEMENT_3D('',#479767,#389849,#389850); #343877=AXIS2_PLACEMENT_3D('',#479773,#389854,#389855); #343878=AXIS2_PLACEMENT_3D('',#479779,#389859,#389860); #343879=AXIS2_PLACEMENT_3D('',#479785,#389864,#389865); #343880=AXIS2_PLACEMENT_3D('',#479791,#389869,#389870); #343881=AXIS2_PLACEMENT_3D('',#479797,#389874,#389875); #343882=AXIS2_PLACEMENT_3D('',#479803,#389879,#389880); #343883=AXIS2_PLACEMENT_3D('',#479809,#389884,#389885); #343884=AXIS2_PLACEMENT_3D('',#479815,#389889,#389890); #343885=AXIS2_PLACEMENT_3D('',#479821,#389894,#389895); #343886=AXIS2_PLACEMENT_3D('',#479827,#389899,#389900); #343887=AXIS2_PLACEMENT_3D('',#479833,#389904,#389905); #343888=AXIS2_PLACEMENT_3D('',#479839,#389909,#389910); #343889=AXIS2_PLACEMENT_3D('',#479845,#389914,#389915); #343890=AXIS2_PLACEMENT_3D('',#479848,#389918,#389919); #343891=AXIS2_PLACEMENT_3D('',#479849,#389920,#389921); #343892=AXIS2_PLACEMENT_3D('',#479850,#389922,#389923); #343893=AXIS2_PLACEMENT_3D('',#479852,#389924,#389925); #343894=AXIS2_PLACEMENT_3D('',#479855,#389927,#389928); #343895=AXIS2_PLACEMENT_3D('',#479856,#389929,#389930); #343896=AXIS2_PLACEMENT_3D('',#479865,#389935,#389936); #343897=AXIS2_PLACEMENT_3D('',#479867,#389937,#389938); #343898=AXIS2_PLACEMENT_3D('',#479869,#389939,#389940); #343899=AXIS2_PLACEMENT_3D('',#479871,#389942,#389943); #343900=AXIS2_PLACEMENT_3D('',#479877,#389947,#389948); #343901=AXIS2_PLACEMENT_3D('',#479878,#389949,#389950); #343902=AXIS2_PLACEMENT_3D('',#479879,#389951,#389952); #343903=AXIS2_PLACEMENT_3D('',#479880,#389953,#389954); #343904=AXIS2_PLACEMENT_3D('',#479889,#389959,#389960); #343905=AXIS2_PLACEMENT_3D('',#479895,#389964,#389965); #343906=AXIS2_PLACEMENT_3D('',#479901,#389969,#389970); #343907=AXIS2_PLACEMENT_3D('',#479907,#389974,#389975); #343908=AXIS2_PLACEMENT_3D('',#479913,#389979,#389980); #343909=AXIS2_PLACEMENT_3D('',#479919,#389984,#389985); #343910=AXIS2_PLACEMENT_3D('',#479925,#389989,#389990); #343911=AXIS2_PLACEMENT_3D('',#479931,#389994,#389995); #343912=AXIS2_PLACEMENT_3D('',#479937,#389999,#390000); #343913=AXIS2_PLACEMENT_3D('',#479943,#390004,#390005); #343914=AXIS2_PLACEMENT_3D('',#479949,#390009,#390010); #343915=AXIS2_PLACEMENT_3D('',#479955,#390014,#390015); #343916=AXIS2_PLACEMENT_3D('',#479961,#390019,#390020); #343917=AXIS2_PLACEMENT_3D('',#479967,#390024,#390025); #343918=AXIS2_PLACEMENT_3D('',#479973,#390029,#390030); #343919=AXIS2_PLACEMENT_3D('',#479979,#390034,#390035); #343920=AXIS2_PLACEMENT_3D('',#479985,#390039,#390040); #343921=AXIS2_PLACEMENT_3D('',#479991,#390044,#390045); #343922=AXIS2_PLACEMENT_3D('',#479997,#390049,#390050); #343923=AXIS2_PLACEMENT_3D('',#480003,#390054,#390055); #343924=AXIS2_PLACEMENT_3D('',#480009,#390059,#390060); #343925=AXIS2_PLACEMENT_3D('',#480015,#390064,#390065); #343926=AXIS2_PLACEMENT_3D('',#480021,#390069,#390070); #343927=AXIS2_PLACEMENT_3D('',#480027,#390074,#390075); #343928=AXIS2_PLACEMENT_3D('',#480033,#390079,#390080); #343929=AXIS2_PLACEMENT_3D('',#480039,#390084,#390085); #343930=AXIS2_PLACEMENT_3D('',#480045,#390089,#390090); #343931=AXIS2_PLACEMENT_3D('',#480051,#390094,#390095); #343932=AXIS2_PLACEMENT_3D('',#480057,#390099,#390100); #343933=AXIS2_PLACEMENT_3D('',#480063,#390104,#390105); #343934=AXIS2_PLACEMENT_3D('',#480069,#390109,#390110); #343935=AXIS2_PLACEMENT_3D('',#480075,#390114,#390115); #343936=AXIS2_PLACEMENT_3D('',#480081,#390119,#390120); #343937=AXIS2_PLACEMENT_3D('',#480087,#390124,#390125); #343938=AXIS2_PLACEMENT_3D('',#480093,#390129,#390130); #343939=AXIS2_PLACEMENT_3D('',#480099,#390134,#390135); #343940=AXIS2_PLACEMENT_3D('',#480105,#390139,#390140); #343941=AXIS2_PLACEMENT_3D('',#480111,#390144,#390145); #343942=AXIS2_PLACEMENT_3D('',#480117,#390149,#390150); #343943=AXIS2_PLACEMENT_3D('',#480123,#390154,#390155); #343944=AXIS2_PLACEMENT_3D('',#480129,#390159,#390160); #343945=AXIS2_PLACEMENT_3D('',#480135,#390164,#390165); #343946=AXIS2_PLACEMENT_3D('',#480141,#390169,#390170); #343947=AXIS2_PLACEMENT_3D('',#480147,#390174,#390175); #343948=AXIS2_PLACEMENT_3D('',#480153,#390179,#390180); #343949=AXIS2_PLACEMENT_3D('',#480159,#390184,#390185); #343950=AXIS2_PLACEMENT_3D('',#480165,#390189,#390190); #343951=AXIS2_PLACEMENT_3D('',#480171,#390194,#390195); #343952=AXIS2_PLACEMENT_3D('',#480177,#390199,#390200); #343953=AXIS2_PLACEMENT_3D('',#480183,#390204,#390205); #343954=AXIS2_PLACEMENT_3D('',#480189,#390209,#390210); #343955=AXIS2_PLACEMENT_3D('',#480195,#390214,#390215); #343956=AXIS2_PLACEMENT_3D('',#480201,#390219,#390220); #343957=AXIS2_PLACEMENT_3D('',#480207,#390224,#390225); #343958=AXIS2_PLACEMENT_3D('',#480213,#390229,#390230); #343959=AXIS2_PLACEMENT_3D('',#480219,#390234,#390235); #343960=AXIS2_PLACEMENT_3D('',#480225,#390239,#390240); #343961=AXIS2_PLACEMENT_3D('',#480231,#390244,#390245); #343962=AXIS2_PLACEMENT_3D('',#480237,#390249,#390250); #343963=AXIS2_PLACEMENT_3D('',#480243,#390254,#390255); #343964=AXIS2_PLACEMENT_3D('',#480249,#390259,#390260); #343965=AXIS2_PLACEMENT_3D('',#480255,#390264,#390265); #343966=AXIS2_PLACEMENT_3D('',#480261,#390269,#390270); #343967=AXIS2_PLACEMENT_3D('',#480267,#390274,#390275); #343968=AXIS2_PLACEMENT_3D('',#480273,#390279,#390280); #343969=AXIS2_PLACEMENT_3D('',#480279,#390284,#390285); #343970=AXIS2_PLACEMENT_3D('',#480285,#390289,#390290); #343971=AXIS2_PLACEMENT_3D('',#480291,#390294,#390295); #343972=AXIS2_PLACEMENT_3D('',#480297,#390299,#390300); #343973=AXIS2_PLACEMENT_3D('',#480303,#390304,#390305); #343974=AXIS2_PLACEMENT_3D('',#480309,#390309,#390310); #343975=AXIS2_PLACEMENT_3D('',#480315,#390314,#390315); #343976=AXIS2_PLACEMENT_3D('',#480321,#390319,#390320); #343977=AXIS2_PLACEMENT_3D('',#480327,#390324,#390325); #343978=AXIS2_PLACEMENT_3D('',#480333,#390329,#390330); #343979=AXIS2_PLACEMENT_3D('',#480339,#390334,#390335); #343980=AXIS2_PLACEMENT_3D('',#480345,#390339,#390340); #343981=AXIS2_PLACEMENT_3D('',#480351,#390344,#390345); #343982=AXIS2_PLACEMENT_3D('',#480357,#390349,#390350); #343983=AXIS2_PLACEMENT_3D('',#480363,#390354,#390355); #343984=AXIS2_PLACEMENT_3D('',#480369,#390359,#390360); #343985=AXIS2_PLACEMENT_3D('',#480375,#390364,#390365); #343986=AXIS2_PLACEMENT_3D('',#480381,#390369,#390370); #343987=AXIS2_PLACEMENT_3D('',#480387,#390374,#390375); #343988=AXIS2_PLACEMENT_3D('',#480393,#390379,#390380); #343989=AXIS2_PLACEMENT_3D('',#480399,#390384,#390385); #343990=AXIS2_PLACEMENT_3D('',#480405,#390389,#390390); #343991=AXIS2_PLACEMENT_3D('',#480411,#390394,#390395); #343992=AXIS2_PLACEMENT_3D('',#480417,#390399,#390400); #343993=AXIS2_PLACEMENT_3D('',#480423,#390404,#390405); #343994=AXIS2_PLACEMENT_3D('',#480429,#390409,#390410); #343995=AXIS2_PLACEMENT_3D('',#480435,#390414,#390415); #343996=AXIS2_PLACEMENT_3D('',#480438,#390418,#390419); #343997=AXIS2_PLACEMENT_3D('',#480439,#390420,#390421); #343998=AXIS2_PLACEMENT_3D('',#480440,#390422,#390423); #343999=AXIS2_PLACEMENT_3D('',#480449,#390428,#390429); #344000=AXIS2_PLACEMENT_3D('',#480455,#390433,#390434); #344001=AXIS2_PLACEMENT_3D('',#480461,#390438,#390439); #344002=AXIS2_PLACEMENT_3D('',#480467,#390443,#390444); #344003=AXIS2_PLACEMENT_3D('',#480473,#390448,#390449); #344004=AXIS2_PLACEMENT_3D('',#480479,#390453,#390454); #344005=AXIS2_PLACEMENT_3D('',#480485,#390458,#390459); #344006=AXIS2_PLACEMENT_3D('',#480491,#390463,#390464); #344007=AXIS2_PLACEMENT_3D('',#480497,#390468,#390469); #344008=AXIS2_PLACEMENT_3D('',#480503,#390473,#390474); #344009=AXIS2_PLACEMENT_3D('',#480509,#390478,#390479); #344010=AXIS2_PLACEMENT_3D('',#480515,#390483,#390484); #344011=AXIS2_PLACEMENT_3D('',#480521,#390488,#390489); #344012=AXIS2_PLACEMENT_3D('',#480527,#390493,#390494); #344013=AXIS2_PLACEMENT_3D('',#480533,#390498,#390499); #344014=AXIS2_PLACEMENT_3D('',#480539,#390503,#390504); #344015=AXIS2_PLACEMENT_3D('',#480545,#390508,#390509); #344016=AXIS2_PLACEMENT_3D('',#480551,#390513,#390514); #344017=AXIS2_PLACEMENT_3D('',#480557,#390518,#390519); #344018=AXIS2_PLACEMENT_3D('',#480563,#390523,#390524); #344019=AXIS2_PLACEMENT_3D('',#480569,#390528,#390529); #344020=AXIS2_PLACEMENT_3D('',#480575,#390533,#390534); #344021=AXIS2_PLACEMENT_3D('',#480581,#390538,#390539); #344022=AXIS2_PLACEMENT_3D('',#480587,#390543,#390544); #344023=AXIS2_PLACEMENT_3D('',#480593,#390548,#390549); #344024=AXIS2_PLACEMENT_3D('',#480599,#390553,#390554); #344025=AXIS2_PLACEMENT_3D('',#480605,#390558,#390559); #344026=AXIS2_PLACEMENT_3D('',#480611,#390563,#390564); #344027=AXIS2_PLACEMENT_3D('',#480617,#390568,#390569); #344028=AXIS2_PLACEMENT_3D('',#480620,#390572,#390573); #344029=AXIS2_PLACEMENT_3D('',#480621,#390574,#390575); #344030=AXIS2_PLACEMENT_3D('',#480622,#390576,#390577); #344031=AXIS2_PLACEMENT_3D('',#480624,#390578,#390579); #344032=AXIS2_PLACEMENT_3D('',#480627,#390581,#390582); #344033=AXIS2_PLACEMENT_3D('',#480628,#390583,#390584); #344034=AXIS2_PLACEMENT_3D('',#480630,#390585,#390586); #344035=AXIS2_PLACEMENT_3D('',#480633,#390588,#390589); #344036=AXIS2_PLACEMENT_3D('',#480634,#390590,#390591); #344037=AXIS2_PLACEMENT_3D('',#480636,#390592,#390593); #344038=AXIS2_PLACEMENT_3D('',#480639,#390595,#390596); #344039=AXIS2_PLACEMENT_3D('',#480640,#390597,#390598); #344040=AXIS2_PLACEMENT_3D('',#480642,#390599,#390600); #344041=AXIS2_PLACEMENT_3D('',#480645,#390602,#390603); #344042=AXIS2_PLACEMENT_3D('',#480646,#390604,#390605); #344043=AXIS2_PLACEMENT_3D('',#480648,#390606,#390607); #344044=AXIS2_PLACEMENT_3D('',#480651,#390609,#390610); #344045=AXIS2_PLACEMENT_3D('',#480652,#390611,#390612); #344046=AXIS2_PLACEMENT_3D('',#480654,#390613,#390614); #344047=AXIS2_PLACEMENT_3D('',#480657,#390616,#390617); #344048=AXIS2_PLACEMENT_3D('',#480658,#390618,#390619); #344049=AXIS2_PLACEMENT_3D('',#480660,#390620,#390621); #344050=AXIS2_PLACEMENT_3D('',#480663,#390623,#390624); #344051=AXIS2_PLACEMENT_3D('',#480664,#390625,#390626); #344052=AXIS2_PLACEMENT_3D('',#480666,#390627,#390628); #344053=AXIS2_PLACEMENT_3D('',#480669,#390630,#390631); #344054=AXIS2_PLACEMENT_3D('',#480670,#390632,#390633); #344055=AXIS2_PLACEMENT_3D('',#480672,#390634,#390635); #344056=AXIS2_PLACEMENT_3D('',#480675,#390637,#390638); #344057=AXIS2_PLACEMENT_3D('',#480676,#390639,#390640); #344058=AXIS2_PLACEMENT_3D('',#480678,#390641,#390642); #344059=AXIS2_PLACEMENT_3D('',#480681,#390644,#390645); #344060=AXIS2_PLACEMENT_3D('',#480682,#390646,#390647); #344061=AXIS2_PLACEMENT_3D('',#480684,#390648,#390649); #344062=AXIS2_PLACEMENT_3D('',#480687,#390651,#390652); #344063=AXIS2_PLACEMENT_3D('',#480688,#390653,#390654); #344064=AXIS2_PLACEMENT_3D('',#480690,#390655,#390656); #344065=AXIS2_PLACEMENT_3D('',#480693,#390658,#390659); #344066=AXIS2_PLACEMENT_3D('',#480694,#390660,#390661); #344067=AXIS2_PLACEMENT_3D('',#480696,#390662,#390663); #344068=AXIS2_PLACEMENT_3D('',#480699,#390665,#390666); #344069=AXIS2_PLACEMENT_3D('',#480700,#390667,#390668); #344070=AXIS2_PLACEMENT_3D('',#480702,#390669,#390670); #344071=AXIS2_PLACEMENT_3D('',#480705,#390672,#390673); #344072=AXIS2_PLACEMENT_3D('',#480706,#390674,#390675); #344073=AXIS2_PLACEMENT_3D('',#480708,#390676,#390677); #344074=AXIS2_PLACEMENT_3D('',#480711,#390679,#390680); #344075=AXIS2_PLACEMENT_3D('',#480712,#390681,#390682); #344076=AXIS2_PLACEMENT_3D('',#480721,#390687,#390688); #344077=AXIS2_PLACEMENT_3D('',#480727,#390692,#390693); #344078=AXIS2_PLACEMENT_3D('',#480733,#390697,#390698); #344079=AXIS2_PLACEMENT_3D('',#480739,#390702,#390703); #344080=AXIS2_PLACEMENT_3D('',#480745,#390707,#390708); #344081=AXIS2_PLACEMENT_3D('',#480751,#390712,#390713); #344082=AXIS2_PLACEMENT_3D('',#480757,#390717,#390718); #344083=AXIS2_PLACEMENT_3D('',#480763,#390722,#390723); #344084=AXIS2_PLACEMENT_3D('',#480769,#390727,#390728); #344085=AXIS2_PLACEMENT_3D('',#480775,#390732,#390733); #344086=AXIS2_PLACEMENT_3D('',#480781,#390737,#390738); #344087=AXIS2_PLACEMENT_3D('',#480787,#390742,#390743); #344088=AXIS2_PLACEMENT_3D('',#480793,#390747,#390748); #344089=AXIS2_PLACEMENT_3D('',#480799,#390752,#390753); #344090=AXIS2_PLACEMENT_3D('',#480805,#390757,#390758); #344091=AXIS2_PLACEMENT_3D('',#480811,#390762,#390763); #344092=AXIS2_PLACEMENT_3D('',#480817,#390767,#390768); #344093=AXIS2_PLACEMENT_3D('',#480823,#390772,#390773); #344094=AXIS2_PLACEMENT_3D('',#480829,#390777,#390778); #344095=AXIS2_PLACEMENT_3D('',#480835,#390782,#390783); #344096=AXIS2_PLACEMENT_3D('',#480841,#390787,#390788); #344097=AXIS2_PLACEMENT_3D('',#480847,#390792,#390793); #344098=AXIS2_PLACEMENT_3D('',#480853,#390797,#390798); #344099=AXIS2_PLACEMENT_3D('',#480859,#390802,#390803); #344100=AXIS2_PLACEMENT_3D('',#480865,#390807,#390808); #344101=AXIS2_PLACEMENT_3D('',#480871,#390812,#390813); #344102=AXIS2_PLACEMENT_3D('',#480877,#390817,#390818); #344103=AXIS2_PLACEMENT_3D('',#480883,#390822,#390823); #344104=AXIS2_PLACEMENT_3D('',#480889,#390827,#390828); #344105=AXIS2_PLACEMENT_3D('',#480895,#390832,#390833); #344106=AXIS2_PLACEMENT_3D('',#480901,#390837,#390838); #344107=AXIS2_PLACEMENT_3D('',#480907,#390842,#390843); #344108=AXIS2_PLACEMENT_3D('',#480913,#390847,#390848); #344109=AXIS2_PLACEMENT_3D('',#480919,#390852,#390853); #344110=AXIS2_PLACEMENT_3D('',#480925,#390857,#390858); #344111=AXIS2_PLACEMENT_3D('',#480931,#390862,#390863); #344112=AXIS2_PLACEMENT_3D('',#480937,#390867,#390868); #344113=AXIS2_PLACEMENT_3D('',#480943,#390872,#390873); #344114=AXIS2_PLACEMENT_3D('',#480949,#390877,#390878); #344115=AXIS2_PLACEMENT_3D('',#480955,#390882,#390883); #344116=AXIS2_PLACEMENT_3D('',#480958,#390886,#390887); #344117=AXIS2_PLACEMENT_3D('',#480959,#390888,#390889); #344118=AXIS2_PLACEMENT_3D('',#480960,#390890,#390891); #344119=AXIS2_PLACEMENT_3D('',#480962,#390892,#390893); #344120=AXIS2_PLACEMENT_3D('',#480965,#390895,#390896); #344121=AXIS2_PLACEMENT_3D('',#480966,#390897,#390898); #344122=AXIS2_PLACEMENT_3D('',#480975,#390903,#390904); #344123=AXIS2_PLACEMENT_3D('',#480981,#390908,#390909); #344124=AXIS2_PLACEMENT_3D('',#480987,#390913,#390914); #344125=AXIS2_PLACEMENT_3D('',#480993,#390918,#390919); #344126=AXIS2_PLACEMENT_3D('',#480999,#390923,#390924); #344127=AXIS2_PLACEMENT_3D('',#481001,#390925,#390926); #344128=AXIS2_PLACEMENT_3D('',#481003,#390927,#390928); #344129=AXIS2_PLACEMENT_3D('',#481005,#390930,#390931); #344130=AXIS2_PLACEMENT_3D('',#481011,#390935,#390936); #344131=AXIS2_PLACEMENT_3D('',#481017,#390940,#390941); #344132=AXIS2_PLACEMENT_3D('',#481019,#390942,#390943); #344133=AXIS2_PLACEMENT_3D('',#481021,#390944,#390945); #344134=AXIS2_PLACEMENT_3D('',#481023,#390947,#390948); #344135=AXIS2_PLACEMENT_3D('',#481029,#390952,#390953); #344136=AXIS2_PLACEMENT_3D('',#481035,#390957,#390958); #344137=AXIS2_PLACEMENT_3D('',#481041,#390962,#390963); #344138=AXIS2_PLACEMENT_3D('',#481047,#390967,#390968); #344139=AXIS2_PLACEMENT_3D('',#481053,#390972,#390973); #344140=AXIS2_PLACEMENT_3D('',#481059,#390977,#390978); #344141=AXIS2_PLACEMENT_3D('',#481065,#390982,#390983); #344142=AXIS2_PLACEMENT_3D('',#481071,#390987,#390988); #344143=AXIS2_PLACEMENT_3D('',#481077,#390992,#390993); #344144=AXIS2_PLACEMENT_3D('',#481083,#390997,#390998); #344145=AXIS2_PLACEMENT_3D('',#481089,#391002,#391003); #344146=AXIS2_PLACEMENT_3D('',#481095,#391007,#391008); #344147=AXIS2_PLACEMENT_3D('',#481101,#391012,#391013); #344148=AXIS2_PLACEMENT_3D('',#481107,#391017,#391018); #344149=AXIS2_PLACEMENT_3D('',#481113,#391022,#391023); #344150=AXIS2_PLACEMENT_3D('',#481119,#391027,#391028); #344151=AXIS2_PLACEMENT_3D('',#481121,#391029,#391030); #344152=AXIS2_PLACEMENT_3D('',#481123,#391031,#391032); #344153=AXIS2_PLACEMENT_3D('',#481125,#391034,#391035); #344154=AXIS2_PLACEMENT_3D('',#481131,#391039,#391040); #344155=AXIS2_PLACEMENT_3D('',#481133,#391041,#391042); #344156=AXIS2_PLACEMENT_3D('',#481135,#391043,#391044); #344157=AXIS2_PLACEMENT_3D('',#481137,#391046,#391047); #344158=AXIS2_PLACEMENT_3D('',#481143,#391051,#391052); #344159=AXIS2_PLACEMENT_3D('',#481145,#391053,#391054); #344160=AXIS2_PLACEMENT_3D('',#481147,#391055,#391056); #344161=AXIS2_PLACEMENT_3D('',#481149,#391058,#391059); #344162=AXIS2_PLACEMENT_3D('',#481155,#391063,#391064); #344163=AXIS2_PLACEMENT_3D('',#481161,#391068,#391069); #344164=AXIS2_PLACEMENT_3D('',#481167,#391073,#391074); #344165=AXIS2_PLACEMENT_3D('',#481169,#391075,#391076); #344166=AXIS2_PLACEMENT_3D('',#481171,#391077,#391078); #344167=AXIS2_PLACEMENT_3D('',#481173,#391080,#391081); #344168=AXIS2_PLACEMENT_3D('',#481179,#391085,#391086); #344169=AXIS2_PLACEMENT_3D('',#481181,#391087,#391088); #344170=AXIS2_PLACEMENT_3D('',#481183,#391089,#391090); #344171=AXIS2_PLACEMENT_3D('',#481185,#391092,#391093); #344172=AXIS2_PLACEMENT_3D('',#481191,#391097,#391098); #344173=AXIS2_PLACEMENT_3D('',#481197,#391102,#391103); #344174=AXIS2_PLACEMENT_3D('',#481199,#391104,#391105); #344175=AXIS2_PLACEMENT_3D('',#481201,#391106,#391107); #344176=AXIS2_PLACEMENT_3D('',#481203,#391109,#391110); #344177=AXIS2_PLACEMENT_3D('',#481209,#391114,#391115); #344178=AXIS2_PLACEMENT_3D('',#481215,#391119,#391120); #344179=AXIS2_PLACEMENT_3D('',#481218,#391123,#391124); #344180=AXIS2_PLACEMENT_3D('',#481219,#391125,#391126); #344181=AXIS2_PLACEMENT_3D('',#481220,#391127,#391128); #344182=AXIS2_PLACEMENT_3D('',#481222,#391129,#391130); #344183=AXIS2_PLACEMENT_3D('',#481225,#391132,#391133); #344184=AXIS2_PLACEMENT_3D('',#481226,#391134,#391135); #344185=AXIS2_PLACEMENT_3D('',#481228,#391136,#391137); #344186=AXIS2_PLACEMENT_3D('',#481231,#391139,#391140); #344187=AXIS2_PLACEMENT_3D('',#481232,#391141,#391142); #344188=AXIS2_PLACEMENT_3D('',#481234,#391143,#391144); #344189=AXIS2_PLACEMENT_3D('',#481237,#391146,#391147); #344190=AXIS2_PLACEMENT_3D('',#481238,#391148,#391149); #344191=AXIS2_PLACEMENT_3D('',#481240,#391150,#391151); #344192=AXIS2_PLACEMENT_3D('',#481243,#391153,#391154); #344193=AXIS2_PLACEMENT_3D('',#481244,#391155,#391156); #344194=AXIS2_PLACEMENT_3D('',#481246,#391157,#391158); #344195=AXIS2_PLACEMENT_3D('',#481249,#391160,#391161); #344196=AXIS2_PLACEMENT_3D('',#481250,#391162,#391163); #344197=AXIS2_PLACEMENT_3D('',#481252,#391164,#391165); #344198=AXIS2_PLACEMENT_3D('',#481255,#391167,#391168); #344199=AXIS2_PLACEMENT_3D('',#481256,#391169,#391170); #344200=AXIS2_PLACEMENT_3D('',#481258,#391171,#391172); #344201=AXIS2_PLACEMENT_3D('',#481261,#391174,#391175); #344202=AXIS2_PLACEMENT_3D('',#481262,#391176,#391177); #344203=AXIS2_PLACEMENT_3D('',#481264,#391178,#391179); #344204=AXIS2_PLACEMENT_3D('',#481267,#391181,#391182); #344205=AXIS2_PLACEMENT_3D('',#481268,#391183,#391184); #344206=AXIS2_PLACEMENT_3D('',#481270,#391185,#391186); #344207=AXIS2_PLACEMENT_3D('',#481273,#391188,#391189); #344208=AXIS2_PLACEMENT_3D('',#481274,#391190,#391191); #344209=AXIS2_PLACEMENT_3D('',#481276,#391192,#391193); #344210=AXIS2_PLACEMENT_3D('',#481279,#391195,#391196); #344211=AXIS2_PLACEMENT_3D('',#481280,#391197,#391198); #344212=AXIS2_PLACEMENT_3D('',#481282,#391199,#391200); #344213=AXIS2_PLACEMENT_3D('',#481285,#391202,#391203); #344214=AXIS2_PLACEMENT_3D('',#481286,#391204,#391205); #344215=AXIS2_PLACEMENT_3D('',#481288,#391206,#391207); #344216=AXIS2_PLACEMENT_3D('',#481291,#391209,#391210); #344217=AXIS2_PLACEMENT_3D('',#481292,#391211,#391212); #344218=AXIS2_PLACEMENT_3D('',#481294,#391213,#391214); #344219=AXIS2_PLACEMENT_3D('',#481297,#391216,#391217); #344220=AXIS2_PLACEMENT_3D('',#481298,#391218,#391219); #344221=AXIS2_PLACEMENT_3D('',#481300,#391220,#391221); #344222=AXIS2_PLACEMENT_3D('',#481303,#391223,#391224); #344223=AXIS2_PLACEMENT_3D('',#481304,#391225,#391226); #344224=AXIS2_PLACEMENT_3D('',#481306,#391227,#391228); #344225=AXIS2_PLACEMENT_3D('',#481309,#391230,#391231); #344226=AXIS2_PLACEMENT_3D('',#481310,#391232,#391233); #344227=AXIS2_PLACEMENT_3D('',#481319,#391238,#391239); #344228=AXIS2_PLACEMENT_3D('',#481325,#391243,#391244); #344229=AXIS2_PLACEMENT_3D('',#481331,#391248,#391249); #344230=AXIS2_PLACEMENT_3D('',#481337,#391253,#391254); #344231=AXIS2_PLACEMENT_3D('',#481343,#391258,#391259); #344232=AXIS2_PLACEMENT_3D('',#481349,#391263,#391264); #344233=AXIS2_PLACEMENT_3D('',#481355,#391268,#391269); #344234=AXIS2_PLACEMENT_3D('',#481361,#391273,#391274); #344235=AXIS2_PLACEMENT_3D('',#481367,#391278,#391279); #344236=AXIS2_PLACEMENT_3D('',#481373,#391283,#391284); #344237=AXIS2_PLACEMENT_3D('',#481379,#391288,#391289); #344238=AXIS2_PLACEMENT_3D('',#481385,#391293,#391294); #344239=AXIS2_PLACEMENT_3D('',#481391,#391298,#391299); #344240=AXIS2_PLACEMENT_3D('',#481397,#391303,#391304); #344241=AXIS2_PLACEMENT_3D('',#481403,#391308,#391309); #344242=AXIS2_PLACEMENT_3D('',#481409,#391313,#391314); #344243=AXIS2_PLACEMENT_3D('',#481415,#391318,#391319); #344244=AXIS2_PLACEMENT_3D('',#481421,#391323,#391324); #344245=AXIS2_PLACEMENT_3D('',#481427,#391328,#391329); #344246=AXIS2_PLACEMENT_3D('',#481433,#391333,#391334); #344247=AXIS2_PLACEMENT_3D('',#481439,#391338,#391339); #344248=AXIS2_PLACEMENT_3D('',#481445,#391343,#391344); #344249=AXIS2_PLACEMENT_3D('',#481451,#391348,#391349); #344250=AXIS2_PLACEMENT_3D('',#481457,#391353,#391354); #344251=AXIS2_PLACEMENT_3D('',#481463,#391358,#391359); #344252=AXIS2_PLACEMENT_3D('',#481469,#391363,#391364); #344253=AXIS2_PLACEMENT_3D('',#481475,#391368,#391369); #344254=AXIS2_PLACEMENT_3D('',#481481,#391373,#391374); #344255=AXIS2_PLACEMENT_3D('',#481487,#391378,#391379); #344256=AXIS2_PLACEMENT_3D('',#481493,#391383,#391384); #344257=AXIS2_PLACEMENT_3D('',#481499,#391388,#391389); #344258=AXIS2_PLACEMENT_3D('',#481505,#391393,#391394); #344259=AXIS2_PLACEMENT_3D('',#481511,#391398,#391399); #344260=AXIS2_PLACEMENT_3D('',#481517,#391403,#391404); #344261=AXIS2_PLACEMENT_3D('',#481523,#391408,#391409); #344262=AXIS2_PLACEMENT_3D('',#481529,#391413,#391414); #344263=AXIS2_PLACEMENT_3D('',#481535,#391418,#391419); #344264=AXIS2_PLACEMENT_3D('',#481541,#391423,#391424); #344265=AXIS2_PLACEMENT_3D('',#481547,#391428,#391429); #344266=AXIS2_PLACEMENT_3D('',#481553,#391433,#391434); #344267=AXIS2_PLACEMENT_3D('',#481559,#391438,#391439); #344268=AXIS2_PLACEMENT_3D('',#481565,#391443,#391444); #344269=AXIS2_PLACEMENT_3D('',#481571,#391448,#391449); #344270=AXIS2_PLACEMENT_3D('',#481577,#391453,#391454); #344271=AXIS2_PLACEMENT_3D('',#481583,#391458,#391459); #344272=AXIS2_PLACEMENT_3D('',#481589,#391463,#391464); #344273=AXIS2_PLACEMENT_3D('',#481595,#391468,#391469); #344274=AXIS2_PLACEMENT_3D('',#481601,#391473,#391474); #344275=AXIS2_PLACEMENT_3D('',#481604,#391477,#391478); #344276=AXIS2_PLACEMENT_3D('',#481605,#391479,#391480); #344277=AXIS2_PLACEMENT_3D('',#481606,#391481,#391482); #344278=AXIS2_PLACEMENT_3D('',#481608,#391483,#391484); #344279=AXIS2_PLACEMENT_3D('',#481611,#391486,#391487); #344280=AXIS2_PLACEMENT_3D('',#481612,#391488,#391489); #344281=AXIS2_PLACEMENT_3D('',#481621,#391494,#391495); #344282=AXIS2_PLACEMENT_3D('',#481627,#391499,#391500); #344283=AXIS2_PLACEMENT_3D('',#481633,#391504,#391505); #344284=AXIS2_PLACEMENT_3D('',#481639,#391509,#391510); #344285=AXIS2_PLACEMENT_3D('',#481645,#391514,#391515); #344286=AXIS2_PLACEMENT_3D('',#481651,#391519,#391520); #344287=AXIS2_PLACEMENT_3D('',#481653,#391521,#391522); #344288=AXIS2_PLACEMENT_3D('',#481655,#391523,#391524); #344289=AXIS2_PLACEMENT_3D('',#481657,#391526,#391527); #344290=AXIS2_PLACEMENT_3D('',#481663,#391531,#391532); #344291=AXIS2_PLACEMENT_3D('',#481669,#391536,#391537); #344292=AXIS2_PLACEMENT_3D('',#481671,#391538,#391539); #344293=AXIS2_PLACEMENT_3D('',#481673,#391540,#391541); #344294=AXIS2_PLACEMENT_3D('',#481675,#391543,#391544); #344295=AXIS2_PLACEMENT_3D('',#481681,#391548,#391549); #344296=AXIS2_PLACEMENT_3D('',#481683,#391550,#391551); #344297=AXIS2_PLACEMENT_3D('',#481685,#391552,#391553); #344298=AXIS2_PLACEMENT_3D('',#481687,#391555,#391556); #344299=AXIS2_PLACEMENT_3D('',#481693,#391560,#391561); #344300=AXIS2_PLACEMENT_3D('',#481699,#391565,#391566); #344301=AXIS2_PLACEMENT_3D('',#481705,#391570,#391571); #344302=AXIS2_PLACEMENT_3D('',#481707,#391572,#391573); #344303=AXIS2_PLACEMENT_3D('',#481709,#391574,#391575); #344304=AXIS2_PLACEMENT_3D('',#481711,#391577,#391578); #344305=AXIS2_PLACEMENT_3D('',#481717,#391582,#391583); #344306=AXIS2_PLACEMENT_3D('',#481719,#391584,#391585); #344307=AXIS2_PLACEMENT_3D('',#481721,#391586,#391587); #344308=AXIS2_PLACEMENT_3D('',#481723,#391589,#391590); #344309=AXIS2_PLACEMENT_3D('',#481729,#391594,#391595); #344310=AXIS2_PLACEMENT_3D('',#481731,#391596,#391597); #344311=AXIS2_PLACEMENT_3D('',#481733,#391598,#391599); #344312=AXIS2_PLACEMENT_3D('',#481735,#391601,#391602); #344313=AXIS2_PLACEMENT_3D('',#481741,#391606,#391607); #344314=AXIS2_PLACEMENT_3D('',#481747,#391611,#391612); #344315=AXIS2_PLACEMENT_3D('',#481753,#391616,#391617); #344316=AXIS2_PLACEMENT_3D('',#481759,#391621,#391622); #344317=AXIS2_PLACEMENT_3D('',#481765,#391626,#391627); #344318=AXIS2_PLACEMENT_3D('',#481771,#391631,#391632); #344319=AXIS2_PLACEMENT_3D('',#481777,#391636,#391637); #344320=AXIS2_PLACEMENT_3D('',#481783,#391641,#391642); #344321=AXIS2_PLACEMENT_3D('',#481789,#391646,#391647); #344322=AXIS2_PLACEMENT_3D('',#481795,#391651,#391652); #344323=AXIS2_PLACEMENT_3D('',#481801,#391656,#391657); #344324=AXIS2_PLACEMENT_3D('',#481807,#391661,#391662); #344325=AXIS2_PLACEMENT_3D('',#481813,#391666,#391667); #344326=AXIS2_PLACEMENT_3D('',#481819,#391671,#391672); #344327=AXIS2_PLACEMENT_3D('',#481825,#391676,#391677); #344328=AXIS2_PLACEMENT_3D('',#481831,#391681,#391682); #344329=AXIS2_PLACEMENT_3D('',#481833,#391683,#391684); #344330=AXIS2_PLACEMENT_3D('',#481835,#391685,#391686); #344331=AXIS2_PLACEMENT_3D('',#481837,#391688,#391689); #344332=AXIS2_PLACEMENT_3D('',#481843,#391693,#391694); #344333=AXIS2_PLACEMENT_3D('',#481849,#391698,#391699); #344334=AXIS2_PLACEMENT_3D('',#481851,#391700,#391701); #344335=AXIS2_PLACEMENT_3D('',#481853,#391702,#391703); #344336=AXIS2_PLACEMENT_3D('',#481855,#391705,#391706); #344337=AXIS2_PLACEMENT_3D('',#481861,#391710,#391711); #344338=AXIS2_PLACEMENT_3D('',#481864,#391714,#391715); #344339=AXIS2_PLACEMENT_3D('',#481865,#391716,#391717); #344340=AXIS2_PLACEMENT_3D('',#481866,#391718,#391719); #344341=AXIS2_PLACEMENT_3D('',#481868,#391720,#391721); #344342=AXIS2_PLACEMENT_3D('',#481871,#391723,#391724); #344343=AXIS2_PLACEMENT_3D('',#481872,#391725,#391726); #344344=AXIS2_PLACEMENT_3D('',#481874,#391727,#391728); #344345=AXIS2_PLACEMENT_3D('',#481877,#391730,#391731); #344346=AXIS2_PLACEMENT_3D('',#481878,#391732,#391733); #344347=AXIS2_PLACEMENT_3D('',#481880,#391734,#391735); #344348=AXIS2_PLACEMENT_3D('',#481883,#391737,#391738); #344349=AXIS2_PLACEMENT_3D('',#481884,#391739,#391740); #344350=AXIS2_PLACEMENT_3D('',#481886,#391741,#391742); #344351=AXIS2_PLACEMENT_3D('',#481889,#391744,#391745); #344352=AXIS2_PLACEMENT_3D('',#481890,#391746,#391747); #344353=AXIS2_PLACEMENT_3D('',#481892,#391748,#391749); #344354=AXIS2_PLACEMENT_3D('',#481895,#391751,#391752); #344355=AXIS2_PLACEMENT_3D('',#481896,#391753,#391754); #344356=AXIS2_PLACEMENT_3D('',#481898,#391755,#391756); #344357=AXIS2_PLACEMENT_3D('',#481901,#391758,#391759); #344358=AXIS2_PLACEMENT_3D('',#481902,#391760,#391761); #344359=AXIS2_PLACEMENT_3D('',#481904,#391762,#391763); #344360=AXIS2_PLACEMENT_3D('',#481907,#391765,#391766); #344361=AXIS2_PLACEMENT_3D('',#481908,#391767,#391768); #344362=AXIS2_PLACEMENT_3D('',#481910,#391769,#391770); #344363=AXIS2_PLACEMENT_3D('',#481913,#391772,#391773); #344364=AXIS2_PLACEMENT_3D('',#481914,#391774,#391775); #344365=AXIS2_PLACEMENT_3D('',#481916,#391776,#391777); #344366=AXIS2_PLACEMENT_3D('',#481919,#391779,#391780); #344367=AXIS2_PLACEMENT_3D('',#481920,#391781,#391782); #344368=AXIS2_PLACEMENT_3D('',#481922,#391783,#391784); #344369=AXIS2_PLACEMENT_3D('',#481925,#391786,#391787); #344370=AXIS2_PLACEMENT_3D('',#481926,#391788,#391789); #344371=AXIS2_PLACEMENT_3D('',#481928,#391790,#391791); #344372=AXIS2_PLACEMENT_3D('',#481931,#391793,#391794); #344373=AXIS2_PLACEMENT_3D('',#481932,#391795,#391796); #344374=AXIS2_PLACEMENT_3D('',#481934,#391797,#391798); #344375=AXIS2_PLACEMENT_3D('',#481937,#391800,#391801); #344376=AXIS2_PLACEMENT_3D('',#481938,#391802,#391803); #344377=AXIS2_PLACEMENT_3D('',#481940,#391804,#391805); #344378=AXIS2_PLACEMENT_3D('',#481943,#391807,#391808); #344379=AXIS2_PLACEMENT_3D('',#481944,#391809,#391810); #344380=AXIS2_PLACEMENT_3D('',#481946,#391811,#391812); #344381=AXIS2_PLACEMENT_3D('',#481949,#391814,#391815); #344382=AXIS2_PLACEMENT_3D('',#481950,#391816,#391817); #344383=AXIS2_PLACEMENT_3D('',#481952,#391818,#391819); #344384=AXIS2_PLACEMENT_3D('',#481955,#391821,#391822); #344385=AXIS2_PLACEMENT_3D('',#481956,#391823,#391824); #344386=AXIS2_PLACEMENT_3D('',#481958,#391825,#391826); #344387=AXIS2_PLACEMENT_3D('',#481961,#391828,#391829); #344388=AXIS2_PLACEMENT_3D('',#481962,#391830,#391831); #344389=AXIS2_PLACEMENT_3D('',#481964,#391832,#391833); #344390=AXIS2_PLACEMENT_3D('',#481967,#391835,#391836); #344391=AXIS2_PLACEMENT_3D('',#481968,#391837,#391838); #344392=AXIS2_PLACEMENT_3D('',#481970,#391839,#391840); #344393=AXIS2_PLACEMENT_3D('',#481973,#391842,#391843); #344394=AXIS2_PLACEMENT_3D('',#481974,#391844,#391845); #344395=AXIS2_PLACEMENT_3D('',#481976,#391846,#391847); #344396=AXIS2_PLACEMENT_3D('',#481979,#391849,#391850); #344397=AXIS2_PLACEMENT_3D('',#481980,#391851,#391852); #344398=AXIS2_PLACEMENT_3D('',#481982,#391853,#391854); #344399=AXIS2_PLACEMENT_3D('',#481985,#391856,#391857); #344400=AXIS2_PLACEMENT_3D('',#481986,#391858,#391859); #344401=AXIS2_PLACEMENT_3D('',#481988,#391860,#391861); #344402=AXIS2_PLACEMENT_3D('',#481991,#391863,#391864); #344403=AXIS2_PLACEMENT_3D('',#481992,#391865,#391866); #344404=AXIS2_PLACEMENT_3D('',#481994,#391867,#391868); #344405=AXIS2_PLACEMENT_3D('',#481997,#391870,#391871); #344406=AXIS2_PLACEMENT_3D('',#481998,#391872,#391873); #344407=AXIS2_PLACEMENT_3D('',#482000,#391874,#391875); #344408=AXIS2_PLACEMENT_3D('',#482003,#391877,#391878); #344409=AXIS2_PLACEMENT_3D('',#482004,#391879,#391880); #344410=AXIS2_PLACEMENT_3D('',#482006,#391881,#391882); #344411=AXIS2_PLACEMENT_3D('',#482009,#391884,#391885); #344412=AXIS2_PLACEMENT_3D('',#482010,#391886,#391887); #344413=AXIS2_PLACEMENT_3D('',#482012,#391888,#391889); #344414=AXIS2_PLACEMENT_3D('',#482015,#391891,#391892); #344415=AXIS2_PLACEMENT_3D('',#482016,#391893,#391894); #344416=AXIS2_PLACEMENT_3D('',#482018,#391895,#391896); #344417=AXIS2_PLACEMENT_3D('',#482021,#391898,#391899); #344418=AXIS2_PLACEMENT_3D('',#482022,#391900,#391901); #344419=AXIS2_PLACEMENT_3D('',#482024,#391902,#391903); #344420=AXIS2_PLACEMENT_3D('',#482027,#391905,#391906); #344421=AXIS2_PLACEMENT_3D('',#482028,#391907,#391908); #344422=AXIS2_PLACEMENT_3D('',#482030,#391909,#391910); #344423=AXIS2_PLACEMENT_3D('',#482033,#391912,#391913); #344424=AXIS2_PLACEMENT_3D('',#482034,#391914,#391915); #344425=AXIS2_PLACEMENT_3D('',#482036,#391916,#391917); #344426=AXIS2_PLACEMENT_3D('',#482039,#391919,#391920); #344427=AXIS2_PLACEMENT_3D('',#482040,#391921,#391922); #344428=AXIS2_PLACEMENT_3D('',#482042,#391923,#391924); #344429=AXIS2_PLACEMENT_3D('',#482045,#391926,#391927); #344430=AXIS2_PLACEMENT_3D('',#482046,#391928,#391929); #344431=AXIS2_PLACEMENT_3D('',#482048,#391930,#391931); #344432=AXIS2_PLACEMENT_3D('',#482051,#391933,#391934); #344433=AXIS2_PLACEMENT_3D('',#482052,#391935,#391936); #344434=AXIS2_PLACEMENT_3D('',#482061,#391941,#391942); #344435=AXIS2_PLACEMENT_3D('',#482063,#391943,#391944); #344436=AXIS2_PLACEMENT_3D('',#482065,#391945,#391946); #344437=AXIS2_PLACEMENT_3D('',#482067,#391948,#391949); #344438=AXIS2_PLACEMENT_3D('',#482073,#391953,#391954); #344439=AXIS2_PLACEMENT_3D('',#482079,#391958,#391959); #344440=AXIS2_PLACEMENT_3D('',#482085,#391963,#391964); #344441=AXIS2_PLACEMENT_3D('',#482087,#391965,#391966); #344442=AXIS2_PLACEMENT_3D('',#482089,#391967,#391968); #344443=AXIS2_PLACEMENT_3D('',#482091,#391970,#391971); #344444=AXIS2_PLACEMENT_3D('',#482097,#391975,#391976); #344445=AXIS2_PLACEMENT_3D('',#482099,#391977,#391978); #344446=AXIS2_PLACEMENT_3D('',#482101,#391979,#391980); #344447=AXIS2_PLACEMENT_3D('',#482103,#391982,#391983); #344448=AXIS2_PLACEMENT_3D('',#482109,#391987,#391988); #344449=AXIS2_PLACEMENT_3D('',#482115,#391992,#391993); #344450=AXIS2_PLACEMENT_3D('',#482121,#391997,#391998); #344451=AXIS2_PLACEMENT_3D('',#482127,#392002,#392003); #344452=AXIS2_PLACEMENT_3D('',#482133,#392007,#392008); #344453=AXIS2_PLACEMENT_3D('',#482139,#392012,#392013); #344454=AXIS2_PLACEMENT_3D('',#482145,#392017,#392018); #344455=AXIS2_PLACEMENT_3D('',#482151,#392022,#392023); #344456=AXIS2_PLACEMENT_3D('',#482157,#392027,#392028); #344457=AXIS2_PLACEMENT_3D('',#482163,#392032,#392033); #344458=AXIS2_PLACEMENT_3D('',#482169,#392037,#392038); #344459=AXIS2_PLACEMENT_3D('',#482175,#392042,#392043); #344460=AXIS2_PLACEMENT_3D('',#482181,#392047,#392048); #344461=AXIS2_PLACEMENT_3D('',#482187,#392052,#392053); #344462=AXIS2_PLACEMENT_3D('',#482193,#392057,#392058); #344463=AXIS2_PLACEMENT_3D('',#482199,#392062,#392063); #344464=AXIS2_PLACEMENT_3D('',#482205,#392067,#392068); #344465=AXIS2_PLACEMENT_3D('',#482211,#392072,#392073); #344466=AXIS2_PLACEMENT_3D('',#482217,#392077,#392078); #344467=AXIS2_PLACEMENT_3D('',#482223,#392082,#392083); #344468=AXIS2_PLACEMENT_3D('',#482229,#392087,#392088); #344469=AXIS2_PLACEMENT_3D('',#482235,#392092,#392093); #344470=AXIS2_PLACEMENT_3D('',#482241,#392097,#392098); #344471=AXIS2_PLACEMENT_3D('',#482247,#392102,#392103); #344472=AXIS2_PLACEMENT_3D('',#482253,#392107,#392108); #344473=AXIS2_PLACEMENT_3D('',#482259,#392112,#392113); #344474=AXIS2_PLACEMENT_3D('',#482265,#392117,#392118); #344475=AXIS2_PLACEMENT_3D('',#482271,#392122,#392123); #344476=AXIS2_PLACEMENT_3D('',#482277,#392127,#392128); #344477=AXIS2_PLACEMENT_3D('',#482283,#392132,#392133); #344478=AXIS2_PLACEMENT_3D('',#482289,#392137,#392138); #344479=AXIS2_PLACEMENT_3D('',#482295,#392142,#392143); #344480=AXIS2_PLACEMENT_3D('',#482301,#392147,#392148); #344481=AXIS2_PLACEMENT_3D('',#482307,#392152,#392153); #344482=AXIS2_PLACEMENT_3D('',#482313,#392157,#392158); #344483=AXIS2_PLACEMENT_3D('',#482319,#392162,#392163); #344484=AXIS2_PLACEMENT_3D('',#482325,#392167,#392168); #344485=AXIS2_PLACEMENT_3D('',#482331,#392172,#392173); #344486=AXIS2_PLACEMENT_3D('',#482337,#392177,#392178); #344487=AXIS2_PLACEMENT_3D('',#482343,#392182,#392183); #344488=AXIS2_PLACEMENT_3D('',#482349,#392187,#392188); #344489=AXIS2_PLACEMENT_3D('',#482355,#392192,#392193); #344490=AXIS2_PLACEMENT_3D('',#482361,#392197,#392198); #344491=AXIS2_PLACEMENT_3D('',#482367,#392202,#392203); #344492=AXIS2_PLACEMENT_3D('',#482373,#392207,#392208); #344493=AXIS2_PLACEMENT_3D('',#482379,#392212,#392213); #344494=AXIS2_PLACEMENT_3D('',#482385,#392217,#392218); #344495=AXIS2_PLACEMENT_3D('',#482391,#392222,#392223); #344496=AXIS2_PLACEMENT_3D('',#482397,#392227,#392228); #344497=AXIS2_PLACEMENT_3D('',#482403,#392232,#392233); #344498=AXIS2_PLACEMENT_3D('',#482409,#392237,#392238); #344499=AXIS2_PLACEMENT_3D('',#482415,#392242,#392243); #344500=AXIS2_PLACEMENT_3D('',#482421,#392247,#392248); #344501=AXIS2_PLACEMENT_3D('',#482427,#392252,#392253); #344502=AXIS2_PLACEMENT_3D('',#482433,#392257,#392258); #344503=AXIS2_PLACEMENT_3D('',#482439,#392262,#392263); #344504=AXIS2_PLACEMENT_3D('',#482445,#392267,#392268); #344505=AXIS2_PLACEMENT_3D('',#482451,#392272,#392273); #344506=AXIS2_PLACEMENT_3D('',#482457,#392277,#392278); #344507=AXIS2_PLACEMENT_3D('',#482463,#392282,#392283); #344508=AXIS2_PLACEMENT_3D('',#482469,#392287,#392288); #344509=AXIS2_PLACEMENT_3D('',#482475,#392292,#392293); #344510=AXIS2_PLACEMENT_3D('',#482481,#392297,#392298); #344511=AXIS2_PLACEMENT_3D('',#482487,#392302,#392303); #344512=AXIS2_PLACEMENT_3D('',#482493,#392307,#392308); #344513=AXIS2_PLACEMENT_3D('',#482499,#392312,#392313); #344514=AXIS2_PLACEMENT_3D('',#482505,#392317,#392318); #344515=AXIS2_PLACEMENT_3D('',#482511,#392322,#392323); #344516=AXIS2_PLACEMENT_3D('',#482517,#392327,#392328); #344517=AXIS2_PLACEMENT_3D('',#482523,#392332,#392333); #344518=AXIS2_PLACEMENT_3D('',#482529,#392337,#392338); #344519=AXIS2_PLACEMENT_3D('',#482535,#392342,#392343); #344520=AXIS2_PLACEMENT_3D('',#482537,#392344,#392345); #344521=AXIS2_PLACEMENT_3D('',#482539,#392346,#392347); #344522=AXIS2_PLACEMENT_3D('',#482541,#392349,#392350); #344523=AXIS2_PLACEMENT_3D('',#482547,#392354,#392355); #344524=AXIS2_PLACEMENT_3D('',#482549,#392356,#392357); #344525=AXIS2_PLACEMENT_3D('',#482551,#392358,#392359); #344526=AXIS2_PLACEMENT_3D('',#482553,#392361,#392362); #344527=AXIS2_PLACEMENT_3D('',#482559,#392366,#392367); #344528=AXIS2_PLACEMENT_3D('',#482560,#392368,#392369); #344529=AXIS2_PLACEMENT_3D('',#482561,#392370,#392371); #344530=AXIS2_PLACEMENT_3D('',#482562,#392372,#392373); #344531=AXIS2_PLACEMENT_3D('',#482563,#392374,#392375); #344532=AXIS2_PLACEMENT_3D('',#482564,#392376,#392377); #344533=AXIS2_PLACEMENT_3D('',#482566,#392378,#392379); #344534=AXIS2_PLACEMENT_3D('',#482569,#392381,#392382); #344535=AXIS2_PLACEMENT_3D('',#482570,#392383,#392384); #344536=AXIS2_PLACEMENT_3D('',#482579,#392389,#392390); #344537=AXIS2_PLACEMENT_3D('',#482585,#392394,#392395); #344538=AXIS2_PLACEMENT_3D('',#482591,#392399,#392400); #344539=AXIS2_PLACEMENT_3D('',#482597,#392404,#392405); #344540=AXIS2_PLACEMENT_3D('',#482603,#392409,#392410); #344541=AXIS2_PLACEMENT_3D('',#482609,#392414,#392415); #344542=AXIS2_PLACEMENT_3D('',#482611,#392416,#392417); #344543=AXIS2_PLACEMENT_3D('',#482613,#392418,#392419); #344544=AXIS2_PLACEMENT_3D('',#482615,#392421,#392422); #344545=AXIS2_PLACEMENT_3D('',#482621,#392426,#392427); #344546=AXIS2_PLACEMENT_3D('',#482627,#392431,#392432); #344547=AXIS2_PLACEMENT_3D('',#482629,#392433,#392434); #344548=AXIS2_PLACEMENT_3D('',#482631,#392435,#392436); #344549=AXIS2_PLACEMENT_3D('',#482633,#392438,#392439); #344550=AXIS2_PLACEMENT_3D('',#482639,#392443,#392444); #344551=AXIS2_PLACEMENT_3D('',#482641,#392445,#392446); #344552=AXIS2_PLACEMENT_3D('',#482643,#392447,#392448); #344553=AXIS2_PLACEMENT_3D('',#482645,#392450,#392451); #344554=AXIS2_PLACEMENT_3D('',#482647,#392452,#392453); #344555=AXIS2_PLACEMENT_3D('',#482649,#392454,#392455); #344556=AXIS2_PLACEMENT_3D('',#482651,#392457,#392458); #344557=AXIS2_PLACEMENT_3D('',#482657,#392462,#392463); #344558=AXIS2_PLACEMENT_3D('',#482663,#392467,#392468); #344559=AXIS2_PLACEMENT_3D('',#482665,#392469,#392470); #344560=AXIS2_PLACEMENT_3D('',#482667,#392471,#392472); #344561=AXIS2_PLACEMENT_3D('',#482669,#392474,#392475); #344562=AXIS2_PLACEMENT_3D('',#482675,#392479,#392480); #344563=AXIS2_PLACEMENT_3D('',#482681,#392484,#392485); #344564=AXIS2_PLACEMENT_3D('',#482683,#392486,#392487); #344565=AXIS2_PLACEMENT_3D('',#482685,#392488,#392489); #344566=AXIS2_PLACEMENT_3D('',#482687,#392491,#392492); #344567=AXIS2_PLACEMENT_3D('',#482693,#392496,#392497); #344568=AXIS2_PLACEMENT_3D('',#482696,#392500,#392501); #344569=AXIS2_PLACEMENT_3D('',#482697,#392502,#392503); #344570=AXIS2_PLACEMENT_3D('',#482698,#392504,#392505); #344571=AXIS2_PLACEMENT_3D('',#482700,#392506,#392507); #344572=AXIS2_PLACEMENT_3D('',#482703,#392509,#392510); #344573=AXIS2_PLACEMENT_3D('',#482704,#392511,#392512); #344574=AXIS2_PLACEMENT_3D('',#482706,#392513,#392514); #344575=AXIS2_PLACEMENT_3D('',#482709,#392516,#392517); #344576=AXIS2_PLACEMENT_3D('',#482710,#392518,#392519); #344577=AXIS2_PLACEMENT_3D('',#482712,#392520,#392521); #344578=AXIS2_PLACEMENT_3D('',#482715,#392523,#392524); #344579=AXIS2_PLACEMENT_3D('',#482716,#392525,#392526); #344580=AXIS2_PLACEMENT_3D('',#482718,#392527,#392528); #344581=AXIS2_PLACEMENT_3D('',#482721,#392530,#392531); #344582=AXIS2_PLACEMENT_3D('',#482722,#392532,#392533); #344583=AXIS2_PLACEMENT_3D('',#482724,#392534,#392535); #344584=AXIS2_PLACEMENT_3D('',#482727,#392537,#392538); #344585=AXIS2_PLACEMENT_3D('',#482728,#392539,#392540); #344586=AXIS2_PLACEMENT_3D('',#482730,#392541,#392542); #344587=AXIS2_PLACEMENT_3D('',#482733,#392544,#392545); #344588=AXIS2_PLACEMENT_3D('',#482734,#392546,#392547); #344589=AXIS2_PLACEMENT_3D('',#482736,#392548,#392549); #344590=AXIS2_PLACEMENT_3D('',#482739,#392551,#392552); #344591=AXIS2_PLACEMENT_3D('',#482740,#392553,#392554); #344592=AXIS2_PLACEMENT_3D('',#482742,#392555,#392556); #344593=AXIS2_PLACEMENT_3D('',#482745,#392558,#392559); #344594=AXIS2_PLACEMENT_3D('',#482746,#392560,#392561); #344595=AXIS2_PLACEMENT_3D('',#482748,#392562,#392563); #344596=AXIS2_PLACEMENT_3D('',#482751,#392565,#392566); #344597=AXIS2_PLACEMENT_3D('',#482752,#392567,#392568); #344598=AXIS2_PLACEMENT_3D('',#482754,#392569,#392570); #344599=AXIS2_PLACEMENT_3D('',#482757,#392572,#392573); #344600=AXIS2_PLACEMENT_3D('',#482758,#392574,#392575); #344601=AXIS2_PLACEMENT_3D('',#482760,#392576,#392577); #344602=AXIS2_PLACEMENT_3D('',#482763,#392579,#392580); #344603=AXIS2_PLACEMENT_3D('',#482764,#392581,#392582); #344604=AXIS2_PLACEMENT_3D('',#482766,#392583,#392584); #344605=AXIS2_PLACEMENT_3D('',#482769,#392586,#392587); #344606=AXIS2_PLACEMENT_3D('',#482770,#392588,#392589); #344607=AXIS2_PLACEMENT_3D('',#482772,#392590,#392591); #344608=AXIS2_PLACEMENT_3D('',#482775,#392593,#392594); #344609=AXIS2_PLACEMENT_3D('',#482776,#392595,#392596); #344610=AXIS2_PLACEMENT_3D('',#482778,#392597,#392598); #344611=AXIS2_PLACEMENT_3D('',#482781,#392600,#392601); #344612=AXIS2_PLACEMENT_3D('',#482782,#392602,#392603); #344613=AXIS2_PLACEMENT_3D('',#482784,#392604,#392605); #344614=AXIS2_PLACEMENT_3D('',#482787,#392607,#392608); #344615=AXIS2_PLACEMENT_3D('',#482788,#392609,#392610); #344616=AXIS2_PLACEMENT_3D('',#482790,#392611,#392612); #344617=AXIS2_PLACEMENT_3D('',#482793,#392614,#392615); #344618=AXIS2_PLACEMENT_3D('',#482794,#392616,#392617); #344619=AXIS2_PLACEMENT_3D('',#482796,#392618,#392619); #344620=AXIS2_PLACEMENT_3D('',#482799,#392621,#392622); #344621=AXIS2_PLACEMENT_3D('',#482800,#392623,#392624); #344622=AXIS2_PLACEMENT_3D('',#482802,#392625,#392626); #344623=AXIS2_PLACEMENT_3D('',#482805,#392628,#392629); #344624=AXIS2_PLACEMENT_3D('',#482806,#392630,#392631); #344625=AXIS2_PLACEMENT_3D('',#482808,#392632,#392633); #344626=AXIS2_PLACEMENT_3D('',#482811,#392635,#392636); #344627=AXIS2_PLACEMENT_3D('',#482812,#392637,#392638); #344628=AXIS2_PLACEMENT_3D('',#482814,#392639,#392640); #344629=AXIS2_PLACEMENT_3D('',#482817,#392642,#392643); #344630=AXIS2_PLACEMENT_3D('',#482818,#392644,#392645); #344631=AXIS2_PLACEMENT_3D('',#482820,#392646,#392647); #344632=AXIS2_PLACEMENT_3D('',#482823,#392649,#392650); #344633=AXIS2_PLACEMENT_3D('',#482824,#392651,#392652); #344634=AXIS2_PLACEMENT_3D('',#482826,#392653,#392654); #344635=AXIS2_PLACEMENT_3D('',#482829,#392656,#392657); #344636=AXIS2_PLACEMENT_3D('',#482830,#392658,#392659); #344637=AXIS2_PLACEMENT_3D('',#482832,#392660,#392661); #344638=AXIS2_PLACEMENT_3D('',#482835,#392663,#392664); #344639=AXIS2_PLACEMENT_3D('',#482836,#392665,#392666); #344640=AXIS2_PLACEMENT_3D('',#482838,#392667,#392668); #344641=AXIS2_PLACEMENT_3D('',#482841,#392670,#392671); #344642=AXIS2_PLACEMENT_3D('',#482842,#392672,#392673); #344643=AXIS2_PLACEMENT_3D('',#482844,#392674,#392675); #344644=AXIS2_PLACEMENT_3D('',#482847,#392677,#392678); #344645=AXIS2_PLACEMENT_3D('',#482848,#392679,#392680); #344646=AXIS2_PLACEMENT_3D('',#482850,#392681,#392682); #344647=AXIS2_PLACEMENT_3D('',#482853,#392684,#392685); #344648=AXIS2_PLACEMENT_3D('',#482854,#392686,#392687); #344649=AXIS2_PLACEMENT_3D('',#482856,#392688,#392689); #344650=AXIS2_PLACEMENT_3D('',#482859,#392691,#392692); #344651=AXIS2_PLACEMENT_3D('',#482860,#392693,#392694); #344652=AXIS2_PLACEMENT_3D('',#482862,#392695,#392696); #344653=AXIS2_PLACEMENT_3D('',#482865,#392698,#392699); #344654=AXIS2_PLACEMENT_3D('',#482866,#392700,#392701); #344655=AXIS2_PLACEMENT_3D('',#482868,#392702,#392703); #344656=AXIS2_PLACEMENT_3D('',#482871,#392705,#392706); #344657=AXIS2_PLACEMENT_3D('',#482872,#392707,#392708); #344658=AXIS2_PLACEMENT_3D('',#482874,#392709,#392710); #344659=AXIS2_PLACEMENT_3D('',#482877,#392712,#392713); #344660=AXIS2_PLACEMENT_3D('',#482878,#392714,#392715); #344661=AXIS2_PLACEMENT_3D('',#482880,#392716,#392717); #344662=AXIS2_PLACEMENT_3D('',#482883,#392719,#392720); #344663=AXIS2_PLACEMENT_3D('',#482884,#392721,#392722); #344664=AXIS2_PLACEMENT_3D('',#482893,#392727,#392728); #344665=AXIS2_PLACEMENT_3D('',#482895,#392729,#392730); #344666=AXIS2_PLACEMENT_3D('',#482897,#392731,#392732); #344667=AXIS2_PLACEMENT_3D('',#482899,#392734,#392735); #344668=AXIS2_PLACEMENT_3D('',#482905,#392739,#392740); #344669=AXIS2_PLACEMENT_3D('',#482907,#392741,#392742); #344670=AXIS2_PLACEMENT_3D('',#482909,#392743,#392744); #344671=AXIS2_PLACEMENT_3D('',#482911,#392746,#392747); #344672=AXIS2_PLACEMENT_3D('',#482917,#392751,#392752); #344673=AXIS2_PLACEMENT_3D('',#482919,#392753,#392754); #344674=AXIS2_PLACEMENT_3D('',#482921,#392755,#392756); #344675=AXIS2_PLACEMENT_3D('',#482923,#392758,#392759); #344676=AXIS2_PLACEMENT_3D('',#482929,#392763,#392764); #344677=AXIS2_PLACEMENT_3D('',#482935,#392768,#392769); #344678=AXIS2_PLACEMENT_3D('',#482941,#392773,#392774); #344679=AXIS2_PLACEMENT_3D('',#482947,#392778,#392779); #344680=AXIS2_PLACEMENT_3D('',#482953,#392783,#392784); #344681=AXIS2_PLACEMENT_3D('',#482959,#392788,#392789); #344682=AXIS2_PLACEMENT_3D('',#482965,#392793,#392794); #344683=AXIS2_PLACEMENT_3D('',#482971,#392798,#392799); #344684=AXIS2_PLACEMENT_3D('',#482977,#392803,#392804); #344685=AXIS2_PLACEMENT_3D('',#482983,#392808,#392809); #344686=AXIS2_PLACEMENT_3D('',#482989,#392813,#392814); #344687=AXIS2_PLACEMENT_3D('',#482995,#392818,#392819); #344688=AXIS2_PLACEMENT_3D('',#483001,#392823,#392824); #344689=AXIS2_PLACEMENT_3D('',#483007,#392828,#392829); #344690=AXIS2_PLACEMENT_3D('',#483013,#392833,#392834); #344691=AXIS2_PLACEMENT_3D('',#483019,#392838,#392839); #344692=AXIS2_PLACEMENT_3D('',#483025,#392843,#392844); #344693=AXIS2_PLACEMENT_3D('',#483031,#392848,#392849); #344694=AXIS2_PLACEMENT_3D('',#483037,#392853,#392854); #344695=AXIS2_PLACEMENT_3D('',#483043,#392858,#392859); #344696=AXIS2_PLACEMENT_3D('',#483049,#392863,#392864); #344697=AXIS2_PLACEMENT_3D('',#483055,#392868,#392869); #344698=AXIS2_PLACEMENT_3D('',#483061,#392873,#392874); #344699=AXIS2_PLACEMENT_3D('',#483067,#392878,#392879); #344700=AXIS2_PLACEMENT_3D('',#483073,#392883,#392884); #344701=AXIS2_PLACEMENT_3D('',#483079,#392888,#392889); #344702=AXIS2_PLACEMENT_3D('',#483085,#392893,#392894); #344703=AXIS2_PLACEMENT_3D('',#483091,#392898,#392899); #344704=AXIS2_PLACEMENT_3D('',#483097,#392903,#392904); #344705=AXIS2_PLACEMENT_3D('',#483103,#392908,#392909); #344706=AXIS2_PLACEMENT_3D('',#483109,#392913,#392914); #344707=AXIS2_PLACEMENT_3D('',#483115,#392918,#392919); #344708=AXIS2_PLACEMENT_3D('',#483121,#392923,#392924); #344709=AXIS2_PLACEMENT_3D('',#483127,#392928,#392929); #344710=AXIS2_PLACEMENT_3D('',#483133,#392933,#392934); #344711=AXIS2_PLACEMENT_3D('',#483139,#392938,#392939); #344712=AXIS2_PLACEMENT_3D('',#483145,#392943,#392944); #344713=AXIS2_PLACEMENT_3D('',#483151,#392948,#392949); #344714=AXIS2_PLACEMENT_3D('',#483157,#392953,#392954); #344715=AXIS2_PLACEMENT_3D('',#483163,#392958,#392959); #344716=AXIS2_PLACEMENT_3D('',#483169,#392963,#392964); #344717=AXIS2_PLACEMENT_3D('',#483175,#392968,#392969); #344718=AXIS2_PLACEMENT_3D('',#483181,#392973,#392974); #344719=AXIS2_PLACEMENT_3D('',#483187,#392978,#392979); #344720=AXIS2_PLACEMENT_3D('',#483193,#392983,#392984); #344721=AXIS2_PLACEMENT_3D('',#483199,#392988,#392989); #344722=AXIS2_PLACEMENT_3D('',#483205,#392993,#392994); #344723=AXIS2_PLACEMENT_3D('',#483211,#392998,#392999); #344724=AXIS2_PLACEMENT_3D('',#483217,#393003,#393004); #344725=AXIS2_PLACEMENT_3D('',#483223,#393008,#393009); #344726=AXIS2_PLACEMENT_3D('',#483229,#393013,#393014); #344727=AXIS2_PLACEMENT_3D('',#483235,#393018,#393019); #344728=AXIS2_PLACEMENT_3D('',#483241,#393023,#393024); #344729=AXIS2_PLACEMENT_3D('',#483247,#393028,#393029); #344730=AXIS2_PLACEMENT_3D('',#483253,#393033,#393034); #344731=AXIS2_PLACEMENT_3D('',#483259,#393038,#393039); #344732=AXIS2_PLACEMENT_3D('',#483265,#393043,#393044); #344733=AXIS2_PLACEMENT_3D('',#483271,#393048,#393049); #344734=AXIS2_PLACEMENT_3D('',#483277,#393053,#393054); #344735=AXIS2_PLACEMENT_3D('',#483283,#393058,#393059); #344736=AXIS2_PLACEMENT_3D('',#483289,#393063,#393064); #344737=AXIS2_PLACEMENT_3D('',#483295,#393068,#393069); #344738=AXIS2_PLACEMENT_3D('',#483301,#393073,#393074); #344739=AXIS2_PLACEMENT_3D('',#483307,#393078,#393079); #344740=AXIS2_PLACEMENT_3D('',#483313,#393083,#393084); #344741=AXIS2_PLACEMENT_3D('',#483319,#393088,#393089); #344742=AXIS2_PLACEMENT_3D('',#483325,#393093,#393094); #344743=AXIS2_PLACEMENT_3D('',#483327,#393095,#393096); #344744=AXIS2_PLACEMENT_3D('',#483329,#393097,#393098); #344745=AXIS2_PLACEMENT_3D('',#483331,#393100,#393101); #344746=AXIS2_PLACEMENT_3D('',#483337,#393105,#393106); #344747=AXIS2_PLACEMENT_3D('',#483339,#393107,#393108); #344748=AXIS2_PLACEMENT_3D('',#483341,#393109,#393110); #344749=AXIS2_PLACEMENT_3D('',#483343,#393112,#393113); #344750=AXIS2_PLACEMENT_3D('',#483349,#393117,#393118); #344751=AXIS2_PLACEMENT_3D('',#483355,#393122,#393123); #344752=AXIS2_PLACEMENT_3D('',#483361,#393127,#393128); #344753=AXIS2_PLACEMENT_3D('',#483362,#393129,#393130); #344754=AXIS2_PLACEMENT_3D('',#483363,#393131,#393132); #344755=AXIS2_PLACEMENT_3D('',#483364,#393133,#393134); #344756=AXIS2_PLACEMENT_3D('',#483365,#393135,#393136); #344757=AXIS2_PLACEMENT_3D('',#483366,#393137,#393138); #344758=AXIS2_PLACEMENT_3D('',#483368,#393139,#393140); #344759=AXIS2_PLACEMENT_3D('',#483371,#393142,#393143); #344760=AXIS2_PLACEMENT_3D('',#483372,#393144,#393145); #344761=AXIS2_PLACEMENT_3D('',#483381,#393150,#393151); #344762=AXIS2_PLACEMENT_3D('',#483387,#393155,#393156); #344763=AXIS2_PLACEMENT_3D('',#483393,#393160,#393161); #344764=AXIS2_PLACEMENT_3D('',#483399,#393165,#393166); #344765=AXIS2_PLACEMENT_3D('',#483405,#393170,#393171); #344766=AXIS2_PLACEMENT_3D('',#483407,#393172,#393173); #344767=AXIS2_PLACEMENT_3D('',#483409,#393174,#393175); #344768=AXIS2_PLACEMENT_3D('',#483411,#393177,#393178); #344769=AXIS2_PLACEMENT_3D('',#483417,#393182,#393183); #344770=AXIS2_PLACEMENT_3D('',#483423,#393187,#393188); #344771=AXIS2_PLACEMENT_3D('',#483425,#393189,#393190); #344772=AXIS2_PLACEMENT_3D('',#483427,#393191,#393192); #344773=AXIS2_PLACEMENT_3D('',#483429,#393194,#393195); #344774=AXIS2_PLACEMENT_3D('',#483435,#393199,#393200); #344775=AXIS2_PLACEMENT_3D('',#483441,#393204,#393205); #344776=AXIS2_PLACEMENT_3D('',#483443,#393206,#393207); #344777=AXIS2_PLACEMENT_3D('',#483445,#393208,#393209); #344778=AXIS2_PLACEMENT_3D('',#483447,#393211,#393212); #344779=AXIS2_PLACEMENT_3D('',#483449,#393213,#393214); #344780=AXIS2_PLACEMENT_3D('',#483451,#393215,#393216); #344781=AXIS2_PLACEMENT_3D('',#483453,#393218,#393219); #344782=AXIS2_PLACEMENT_3D('',#483459,#393223,#393224); #344783=AXIS2_PLACEMENT_3D('',#483461,#393225,#393226); #344784=AXIS2_PLACEMENT_3D('',#483463,#393227,#393228); #344785=AXIS2_PLACEMENT_3D('',#483465,#393230,#393231); #344786=AXIS2_PLACEMENT_3D('',#483471,#393235,#393236); #344787=AXIS2_PLACEMENT_3D('',#483477,#393240,#393241); #344788=AXIS2_PLACEMENT_3D('',#483479,#393242,#393243); #344789=AXIS2_PLACEMENT_3D('',#483481,#393244,#393245); #344790=AXIS2_PLACEMENT_3D('',#483483,#393247,#393248); #344791=AXIS2_PLACEMENT_3D('',#483489,#393252,#393253); #344792=AXIS2_PLACEMENT_3D('',#483495,#393257,#393258); #344793=AXIS2_PLACEMENT_3D('',#483498,#393261,#393262); #344794=AXIS2_PLACEMENT_3D('',#483499,#393263,#393264); #344795=AXIS2_PLACEMENT_3D('',#483500,#393265,#393266); #344796=AXIS2_PLACEMENT_3D('',#483502,#393267,#393268); #344797=AXIS2_PLACEMENT_3D('',#483505,#393270,#393271); #344798=AXIS2_PLACEMENT_3D('',#483506,#393272,#393273); #344799=AXIS2_PLACEMENT_3D('',#483508,#393274,#393275); #344800=AXIS2_PLACEMENT_3D('',#483511,#393277,#393278); #344801=AXIS2_PLACEMENT_3D('',#483512,#393279,#393280); #344802=AXIS2_PLACEMENT_3D('',#483514,#393281,#393282); #344803=AXIS2_PLACEMENT_3D('',#483517,#393284,#393285); #344804=AXIS2_PLACEMENT_3D('',#483518,#393286,#393287); #344805=AXIS2_PLACEMENT_3D('',#483520,#393288,#393289); #344806=AXIS2_PLACEMENT_3D('',#483523,#393291,#393292); #344807=AXIS2_PLACEMENT_3D('',#483524,#393293,#393294); #344808=AXIS2_PLACEMENT_3D('',#483526,#393295,#393296); #344809=AXIS2_PLACEMENT_3D('',#483529,#393298,#393299); #344810=AXIS2_PLACEMENT_3D('',#483530,#393300,#393301); #344811=AXIS2_PLACEMENT_3D('',#483532,#393302,#393303); #344812=AXIS2_PLACEMENT_3D('',#483535,#393305,#393306); #344813=AXIS2_PLACEMENT_3D('',#483536,#393307,#393308); #344814=AXIS2_PLACEMENT_3D('',#483538,#393309,#393310); #344815=AXIS2_PLACEMENT_3D('',#483541,#393312,#393313); #344816=AXIS2_PLACEMENT_3D('',#483542,#393314,#393315); #344817=AXIS2_PLACEMENT_3D('',#483544,#393316,#393317); #344818=AXIS2_PLACEMENT_3D('',#483547,#393319,#393320); #344819=AXIS2_PLACEMENT_3D('',#483548,#393321,#393322); #344820=AXIS2_PLACEMENT_3D('',#483550,#393323,#393324); #344821=AXIS2_PLACEMENT_3D('',#483553,#393326,#393327); #344822=AXIS2_PLACEMENT_3D('',#483554,#393328,#393329); #344823=AXIS2_PLACEMENT_3D('',#483556,#393330,#393331); #344824=AXIS2_PLACEMENT_3D('',#483559,#393333,#393334); #344825=AXIS2_PLACEMENT_3D('',#483560,#393335,#393336); #344826=AXIS2_PLACEMENT_3D('',#483562,#393337,#393338); #344827=AXIS2_PLACEMENT_3D('',#483565,#393340,#393341); #344828=AXIS2_PLACEMENT_3D('',#483566,#393342,#393343); #344829=AXIS2_PLACEMENT_3D('',#483568,#393344,#393345); #344830=AXIS2_PLACEMENT_3D('',#483571,#393347,#393348); #344831=AXIS2_PLACEMENT_3D('',#483572,#393349,#393350); #344832=AXIS2_PLACEMENT_3D('',#483574,#393351,#393352); #344833=AXIS2_PLACEMENT_3D('',#483577,#393354,#393355); #344834=AXIS2_PLACEMENT_3D('',#483578,#393356,#393357); #344835=AXIS2_PLACEMENT_3D('',#483580,#393358,#393359); #344836=AXIS2_PLACEMENT_3D('',#483583,#393361,#393362); #344837=AXIS2_PLACEMENT_3D('',#483584,#393363,#393364); #344838=AXIS2_PLACEMENT_3D('',#483586,#393365,#393366); #344839=AXIS2_PLACEMENT_3D('',#483589,#393368,#393369); #344840=AXIS2_PLACEMENT_3D('',#483590,#393370,#393371); #344841=AXIS2_PLACEMENT_3D('',#483592,#393372,#393373); #344842=AXIS2_PLACEMENT_3D('',#483595,#393375,#393376); #344843=AXIS2_PLACEMENT_3D('',#483596,#393377,#393378); #344844=AXIS2_PLACEMENT_3D('',#483605,#393383,#393384); #344845=AXIS2_PLACEMENT_3D('',#483611,#393388,#393389); #344846=AXIS2_PLACEMENT_3D('',#483617,#393393,#393394); #344847=AXIS2_PLACEMENT_3D('',#483623,#393398,#393399); #344848=AXIS2_PLACEMENT_3D('',#483629,#393403,#393404); #344849=AXIS2_PLACEMENT_3D('',#483635,#393408,#393409); #344850=AXIS2_PLACEMENT_3D('',#483641,#393413,#393414); #344851=AXIS2_PLACEMENT_3D('',#483647,#393418,#393419); #344852=AXIS2_PLACEMENT_3D('',#483653,#393423,#393424); #344853=AXIS2_PLACEMENT_3D('',#483659,#393428,#393429); #344854=AXIS2_PLACEMENT_3D('',#483665,#393433,#393434); #344855=AXIS2_PLACEMENT_3D('',#483671,#393438,#393439); #344856=AXIS2_PLACEMENT_3D('',#483677,#393443,#393444); #344857=AXIS2_PLACEMENT_3D('',#483683,#393448,#393449); #344858=AXIS2_PLACEMENT_3D('',#483689,#393453,#393454); #344859=AXIS2_PLACEMENT_3D('',#483695,#393458,#393459); #344860=AXIS2_PLACEMENT_3D('',#483701,#393463,#393464); #344861=AXIS2_PLACEMENT_3D('',#483707,#393468,#393469); #344862=AXIS2_PLACEMENT_3D('',#483713,#393473,#393474); #344863=AXIS2_PLACEMENT_3D('',#483719,#393478,#393479); #344864=AXIS2_PLACEMENT_3D('',#483725,#393483,#393484); #344865=AXIS2_PLACEMENT_3D('',#483731,#393488,#393489); #344866=AXIS2_PLACEMENT_3D('',#483737,#393493,#393494); #344867=AXIS2_PLACEMENT_3D('',#483740,#393497,#393498); #344868=AXIS2_PLACEMENT_3D('',#483749,#393503,#393504); #344869=AXIS2_PLACEMENT_3D('',#483755,#393508,#393509); #344870=AXIS2_PLACEMENT_3D('',#483761,#393513,#393514); #344871=AXIS2_PLACEMENT_3D('',#483767,#393518,#393519); #344872=AXIS2_PLACEMENT_3D('',#483773,#393523,#393524); #344873=AXIS2_PLACEMENT_3D('',#483779,#393528,#393529); #344874=AXIS2_PLACEMENT_3D('',#483785,#393533,#393534); #344875=AXIS2_PLACEMENT_3D('',#483791,#393538,#393539); #344876=AXIS2_PLACEMENT_3D('',#483797,#393543,#393544); #344877=AXIS2_PLACEMENT_3D('',#483803,#393548,#393549); #344878=AXIS2_PLACEMENT_3D('',#483809,#393553,#393554); #344879=AXIS2_PLACEMENT_3D('',#483815,#393558,#393559); #344880=AXIS2_PLACEMENT_3D('',#483821,#393563,#393564); #344881=AXIS2_PLACEMENT_3D('',#483827,#393568,#393569); #344882=AXIS2_PLACEMENT_3D('',#483833,#393573,#393574); #344883=AXIS2_PLACEMENT_3D('',#483839,#393578,#393579); #344884=AXIS2_PLACEMENT_3D('',#483845,#393583,#393584); #344885=AXIS2_PLACEMENT_3D('',#483851,#393588,#393589); #344886=AXIS2_PLACEMENT_3D('',#483857,#393593,#393594); #344887=AXIS2_PLACEMENT_3D('',#483863,#393598,#393599); #344888=AXIS2_PLACEMENT_3D('',#483869,#393603,#393604); #344889=AXIS2_PLACEMENT_3D('',#483875,#393608,#393609); #344890=AXIS2_PLACEMENT_3D('',#483881,#393613,#393614); #344891=AXIS2_PLACEMENT_3D('',#483887,#393618,#393619); #344892=AXIS2_PLACEMENT_3D('',#483893,#393623,#393624); #344893=AXIS2_PLACEMENT_3D('',#483899,#393628,#393629); #344894=AXIS2_PLACEMENT_3D('',#483905,#393633,#393634); #344895=AXIS2_PLACEMENT_3D('',#483911,#393638,#393639); #344896=AXIS2_PLACEMENT_3D('',#483917,#393643,#393644); #344897=AXIS2_PLACEMENT_3D('',#483923,#393648,#393649); #344898=AXIS2_PLACEMENT_3D('',#483929,#393653,#393654); #344899=AXIS2_PLACEMENT_3D('',#483935,#393658,#393659); #344900=AXIS2_PLACEMENT_3D('',#483941,#393663,#393664); #344901=AXIS2_PLACEMENT_3D('',#483947,#393668,#393669); #344902=AXIS2_PLACEMENT_3D('',#483953,#393673,#393674); #344903=AXIS2_PLACEMENT_3D('',#483959,#393678,#393679); #344904=AXIS2_PLACEMENT_3D('',#483965,#393683,#393684); #344905=AXIS2_PLACEMENT_3D('',#483971,#393688,#393689); #344906=AXIS2_PLACEMENT_3D('',#483977,#393693,#393694); #344907=AXIS2_PLACEMENT_3D('',#483983,#393698,#393699); #344908=AXIS2_PLACEMENT_3D('',#483989,#393703,#393704); #344909=AXIS2_PLACEMENT_3D('',#483995,#393708,#393709); #344910=AXIS2_PLACEMENT_3D('',#484001,#393713,#393714); #344911=AXIS2_PLACEMENT_3D('',#484007,#393718,#393719); #344912=AXIS2_PLACEMENT_3D('',#484013,#393723,#393724); #344913=AXIS2_PLACEMENT_3D('',#484019,#393728,#393729); #344914=AXIS2_PLACEMENT_3D('',#484025,#393733,#393734); #344915=AXIS2_PLACEMENT_3D('',#484031,#393738,#393739); #344916=AXIS2_PLACEMENT_3D('',#484037,#393743,#393744); #344917=AXIS2_PLACEMENT_3D('',#484043,#393748,#393749); #344918=AXIS2_PLACEMENT_3D('',#484049,#393753,#393754); #344919=AXIS2_PLACEMENT_3D('',#484055,#393758,#393759); #344920=AXIS2_PLACEMENT_3D('',#484061,#393763,#393764); #344921=AXIS2_PLACEMENT_3D('',#484067,#393768,#393769); #344922=AXIS2_PLACEMENT_3D('',#484073,#393773,#393774); #344923=AXIS2_PLACEMENT_3D('',#484079,#393778,#393779); #344924=AXIS2_PLACEMENT_3D('',#484085,#393783,#393784); #344925=AXIS2_PLACEMENT_3D('',#484091,#393788,#393789); #344926=AXIS2_PLACEMENT_3D('',#484097,#393793,#393794); #344927=AXIS2_PLACEMENT_3D('',#484103,#393798,#393799); #344928=AXIS2_PLACEMENT_3D('',#484109,#393803,#393804); #344929=AXIS2_PLACEMENT_3D('',#484115,#393808,#393809); #344930=AXIS2_PLACEMENT_3D('',#484121,#393813,#393814); #344931=AXIS2_PLACEMENT_3D('',#484127,#393818,#393819); #344932=AXIS2_PLACEMENT_3D('',#484133,#393823,#393824); #344933=AXIS2_PLACEMENT_3D('',#484139,#393828,#393829); #344934=AXIS2_PLACEMENT_3D('',#484145,#393833,#393834); #344935=AXIS2_PLACEMENT_3D('',#484151,#393838,#393839); #344936=AXIS2_PLACEMENT_3D('',#484157,#393843,#393844); #344937=AXIS2_PLACEMENT_3D('',#484163,#393848,#393849); #344938=AXIS2_PLACEMENT_3D('',#484169,#393853,#393854); #344939=AXIS2_PLACEMENT_3D('',#484175,#393858,#393859); #344940=AXIS2_PLACEMENT_3D('',#484181,#393863,#393864); #344941=AXIS2_PLACEMENT_3D('',#484187,#393868,#393869); #344942=AXIS2_PLACEMENT_3D('',#484193,#393873,#393874); #344943=AXIS2_PLACEMENT_3D('',#484199,#393878,#393879); #344944=AXIS2_PLACEMENT_3D('',#484205,#393883,#393884); #344945=AXIS2_PLACEMENT_3D('',#484211,#393888,#393889); #344946=AXIS2_PLACEMENT_3D('',#484217,#393893,#393894); #344947=AXIS2_PLACEMENT_3D('',#484223,#393898,#393899); #344948=AXIS2_PLACEMENT_3D('',#484229,#393903,#393904); #344949=AXIS2_PLACEMENT_3D('',#484235,#393908,#393909); #344950=AXIS2_PLACEMENT_3D('',#484241,#393913,#393914); #344951=AXIS2_PLACEMENT_3D('',#484247,#393918,#393919); #344952=AXIS2_PLACEMENT_3D('',#484253,#393923,#393924); #344953=AXIS2_PLACEMENT_3D('',#484259,#393928,#393929); #344954=AXIS2_PLACEMENT_3D('',#484265,#393933,#393934); #344955=AXIS2_PLACEMENT_3D('',#484271,#393938,#393939); #344956=AXIS2_PLACEMENT_3D('',#484277,#393943,#393944); #344957=AXIS2_PLACEMENT_3D('',#484283,#393948,#393949); #344958=AXIS2_PLACEMENT_3D('',#484289,#393953,#393954); #344959=AXIS2_PLACEMENT_3D('',#484295,#393958,#393959); #344960=AXIS2_PLACEMENT_3D('',#484301,#393963,#393964); #344961=AXIS2_PLACEMENT_3D('',#484307,#393968,#393969); #344962=AXIS2_PLACEMENT_3D('',#484313,#393973,#393974); #344963=AXIS2_PLACEMENT_3D('',#484319,#393978,#393979); #344964=AXIS2_PLACEMENT_3D('',#484325,#393983,#393984); #344965=AXIS2_PLACEMENT_3D('',#484331,#393988,#393989); #344966=AXIS2_PLACEMENT_3D('',#484337,#393993,#393994); #344967=AXIS2_PLACEMENT_3D('',#484343,#393998,#393999); #344968=AXIS2_PLACEMENT_3D('',#484349,#394003,#394004); #344969=AXIS2_PLACEMENT_3D('',#484355,#394008,#394009); #344970=AXIS2_PLACEMENT_3D('',#484361,#394013,#394014); #344971=AXIS2_PLACEMENT_3D('',#484367,#394018,#394019); #344972=AXIS2_PLACEMENT_3D('',#484373,#394023,#394024); #344973=AXIS2_PLACEMENT_3D('',#484379,#394028,#394029); #344974=AXIS2_PLACEMENT_3D('',#484385,#394033,#394034); #344975=AXIS2_PLACEMENT_3D('',#484391,#394038,#394039); #344976=AXIS2_PLACEMENT_3D('',#484397,#394043,#394044); #344977=AXIS2_PLACEMENT_3D('',#484403,#394048,#394049); #344978=AXIS2_PLACEMENT_3D('',#484409,#394053,#394054); #344979=AXIS2_PLACEMENT_3D('',#484415,#394058,#394059); #344980=AXIS2_PLACEMENT_3D('',#484421,#394063,#394064); #344981=AXIS2_PLACEMENT_3D('',#484427,#394068,#394069); #344982=AXIS2_PLACEMENT_3D('',#484433,#394073,#394074); #344983=AXIS2_PLACEMENT_3D('',#484439,#394078,#394079); #344984=AXIS2_PLACEMENT_3D('',#484445,#394083,#394084); #344985=AXIS2_PLACEMENT_3D('',#484451,#394088,#394089); #344986=AXIS2_PLACEMENT_3D('',#484457,#394093,#394094); #344987=AXIS2_PLACEMENT_3D('',#484463,#394098,#394099); #344988=AXIS2_PLACEMENT_3D('',#484469,#394103,#394104); #344989=AXIS2_PLACEMENT_3D('',#484475,#394108,#394109); #344990=AXIS2_PLACEMENT_3D('',#484481,#394113,#394114); #344991=AXIS2_PLACEMENT_3D('',#484487,#394118,#394119); #344992=AXIS2_PLACEMENT_3D('',#484493,#394123,#394124); #344993=AXIS2_PLACEMENT_3D('',#484499,#394128,#394129); #344994=AXIS2_PLACEMENT_3D('',#484505,#394133,#394134); #344995=AXIS2_PLACEMENT_3D('',#484511,#394138,#394139); #344996=AXIS2_PLACEMENT_3D('',#484517,#394143,#394144); #344997=AXIS2_PLACEMENT_3D('',#484523,#394148,#394149); #344998=AXIS2_PLACEMENT_3D('',#484529,#394153,#394154); #344999=AXIS2_PLACEMENT_3D('',#484535,#394158,#394159); #345000=AXIS2_PLACEMENT_3D('',#484541,#394163,#394164); #345001=AXIS2_PLACEMENT_3D('',#484547,#394168,#394169); #345002=AXIS2_PLACEMENT_3D('',#484553,#394173,#394174); #345003=AXIS2_PLACEMENT_3D('',#484559,#394178,#394179); #345004=AXIS2_PLACEMENT_3D('',#484565,#394183,#394184); #345005=AXIS2_PLACEMENT_3D('',#484571,#394188,#394189); #345006=AXIS2_PLACEMENT_3D('',#484577,#394193,#394194); #345007=AXIS2_PLACEMENT_3D('',#484583,#394198,#394199); #345008=AXIS2_PLACEMENT_3D('',#484589,#394203,#394204); #345009=AXIS2_PLACEMENT_3D('',#484595,#394208,#394209); #345010=AXIS2_PLACEMENT_3D('',#484601,#394213,#394214); #345011=AXIS2_PLACEMENT_3D('',#484607,#394218,#394219); #345012=AXIS2_PLACEMENT_3D('',#484613,#394223,#394224); #345013=AXIS2_PLACEMENT_3D('',#484619,#394228,#394229); #345014=AXIS2_PLACEMENT_3D('',#484625,#394233,#394234); #345015=AXIS2_PLACEMENT_3D('',#484631,#394238,#394239); #345016=AXIS2_PLACEMENT_3D('',#484637,#394243,#394244); #345017=AXIS2_PLACEMENT_3D('',#484643,#394248,#394249); #345018=AXIS2_PLACEMENT_3D('',#484649,#394253,#394254); #345019=AXIS2_PLACEMENT_3D('',#484655,#394258,#394259); #345020=AXIS2_PLACEMENT_3D('',#484661,#394263,#394264); #345021=AXIS2_PLACEMENT_3D('',#484667,#394268,#394269); #345022=AXIS2_PLACEMENT_3D('',#484673,#394273,#394274); #345023=AXIS2_PLACEMENT_3D('',#484679,#394278,#394279); #345024=AXIS2_PLACEMENT_3D('',#484685,#394283,#394284); #345025=AXIS2_PLACEMENT_3D('',#484691,#394288,#394289); #345026=AXIS2_PLACEMENT_3D('',#484697,#394293,#394294); #345027=AXIS2_PLACEMENT_3D('',#484703,#394298,#394299); #345028=AXIS2_PLACEMENT_3D('',#484709,#394303,#394304); #345029=AXIS2_PLACEMENT_3D('',#484715,#394308,#394309); #345030=AXIS2_PLACEMENT_3D('',#484721,#394313,#394314); #345031=AXIS2_PLACEMENT_3D('',#484727,#394318,#394319); #345032=AXIS2_PLACEMENT_3D('',#484733,#394323,#394324); #345033=AXIS2_PLACEMENT_3D('',#484739,#394328,#394329); #345034=AXIS2_PLACEMENT_3D('',#484745,#394333,#394334); #345035=AXIS2_PLACEMENT_3D('',#484751,#394338,#394339); #345036=AXIS2_PLACEMENT_3D('',#484757,#394343,#394344); #345037=AXIS2_PLACEMENT_3D('',#484763,#394348,#394349); #345038=AXIS2_PLACEMENT_3D('',#484769,#394353,#394354); #345039=AXIS2_PLACEMENT_3D('',#484775,#394358,#394359); #345040=AXIS2_PLACEMENT_3D('',#484781,#394363,#394364); #345041=AXIS2_PLACEMENT_3D('',#484787,#394368,#394369); #345042=AXIS2_PLACEMENT_3D('',#484793,#394373,#394374); #345043=AXIS2_PLACEMENT_3D('',#484799,#394378,#394379); #345044=AXIS2_PLACEMENT_3D('',#484805,#394383,#394384); #345045=AXIS2_PLACEMENT_3D('',#484811,#394388,#394389); #345046=AXIS2_PLACEMENT_3D('',#484817,#394393,#394394); #345047=AXIS2_PLACEMENT_3D('',#484823,#394398,#394399); #345048=AXIS2_PLACEMENT_3D('',#484829,#394403,#394404); #345049=AXIS2_PLACEMENT_3D('',#484835,#394408,#394409); #345050=AXIS2_PLACEMENT_3D('',#484841,#394413,#394414); #345051=AXIS2_PLACEMENT_3D('',#484847,#394418,#394419); #345052=AXIS2_PLACEMENT_3D('',#484853,#394423,#394424); #345053=AXIS2_PLACEMENT_3D('',#484859,#394428,#394429); #345054=AXIS2_PLACEMENT_3D('',#484865,#394433,#394434); #345055=AXIS2_PLACEMENT_3D('',#484871,#394438,#394439); #345056=AXIS2_PLACEMENT_3D('',#484877,#394443,#394444); #345057=AXIS2_PLACEMENT_3D('',#484883,#394448,#394449); #345058=AXIS2_PLACEMENT_3D('',#484889,#394453,#394454); #345059=AXIS2_PLACEMENT_3D('',#484895,#394458,#394459); #345060=AXIS2_PLACEMENT_3D('',#484897,#394460,#394461); #345061=AXIS2_PLACEMENT_3D('',#484899,#394462,#394463); #345062=AXIS2_PLACEMENT_3D('',#484901,#394465,#394466); #345063=AXIS2_PLACEMENT_3D('',#484907,#394470,#394471); #345064=AXIS2_PLACEMENT_3D('',#484909,#394472,#394473); #345065=AXIS2_PLACEMENT_3D('',#484911,#394474,#394475); #345066=AXIS2_PLACEMENT_3D('',#484913,#394477,#394478); #345067=AXIS2_PLACEMENT_3D('',#484919,#394482,#394483); #345068=AXIS2_PLACEMENT_3D('',#484925,#394487,#394488); #345069=AXIS2_PLACEMENT_3D('',#484931,#394492,#394493); #345070=AXIS2_PLACEMENT_3D('',#484937,#394497,#394498); #345071=AXIS2_PLACEMENT_3D('',#484943,#394502,#394503); #345072=AXIS2_PLACEMENT_3D('',#484949,#394507,#394508); #345073=AXIS2_PLACEMENT_3D('',#484955,#394512,#394513); #345074=AXIS2_PLACEMENT_3D('',#484961,#394517,#394518); #345075=AXIS2_PLACEMENT_3D('',#484963,#394519,#394520); #345076=AXIS2_PLACEMENT_3D('',#484965,#394521,#394522); #345077=AXIS2_PLACEMENT_3D('',#484967,#394524,#394525); #345078=AXIS2_PLACEMENT_3D('',#484973,#394529,#394530); #345079=AXIS2_PLACEMENT_3D('',#484979,#394534,#394535); #345080=AXIS2_PLACEMENT_3D('',#484981,#394536,#394537); #345081=AXIS2_PLACEMENT_3D('',#484983,#394538,#394539); #345082=AXIS2_PLACEMENT_3D('',#484985,#394541,#394542); #345083=AXIS2_PLACEMENT_3D('',#484991,#394546,#394547); #345084=AXIS2_PLACEMENT_3D('',#484997,#394551,#394552); #345085=AXIS2_PLACEMENT_3D('',#485003,#394556,#394557); #345086=AXIS2_PLACEMENT_3D('',#485009,#394561,#394562); #345087=AXIS2_PLACEMENT_3D('',#485015,#394566,#394567); #345088=AXIS2_PLACEMENT_3D('',#485021,#394571,#394572); #345089=AXIS2_PLACEMENT_3D('',#485027,#394576,#394577); #345090=AXIS2_PLACEMENT_3D('',#485033,#394581,#394582); #345091=AXIS2_PLACEMENT_3D('',#485039,#394586,#394587); #345092=AXIS2_PLACEMENT_3D('',#485045,#394591,#394592); #345093=AXIS2_PLACEMENT_3D('',#485051,#394596,#394597); #345094=AXIS2_PLACEMENT_3D('',#485057,#394601,#394602); #345095=AXIS2_PLACEMENT_3D('',#485063,#394606,#394607); #345096=AXIS2_PLACEMENT_3D('',#485069,#394611,#394612); #345097=AXIS2_PLACEMENT_3D('',#485075,#394616,#394617); #345098=AXIS2_PLACEMENT_3D('',#485081,#394621,#394622); #345099=AXIS2_PLACEMENT_3D('',#485087,#394626,#394627); #345100=AXIS2_PLACEMENT_3D('',#485093,#394631,#394632); #345101=AXIS2_PLACEMENT_3D('',#485099,#394636,#394637); #345102=AXIS2_PLACEMENT_3D('',#485105,#394641,#394642); #345103=AXIS2_PLACEMENT_3D('',#485111,#394646,#394647); #345104=AXIS2_PLACEMENT_3D('',#485117,#394651,#394652); #345105=AXIS2_PLACEMENT_3D('',#485123,#394656,#394657); #345106=AXIS2_PLACEMENT_3D('',#485129,#394661,#394662); #345107=AXIS2_PLACEMENT_3D('',#485135,#394666,#394667); #345108=AXIS2_PLACEMENT_3D('',#485141,#394671,#394672); #345109=AXIS2_PLACEMENT_3D('',#485147,#394676,#394677); #345110=AXIS2_PLACEMENT_3D('',#485153,#394681,#394682); #345111=AXIS2_PLACEMENT_3D('',#485159,#394686,#394687); #345112=AXIS2_PLACEMENT_3D('',#485165,#394691,#394692); #345113=AXIS2_PLACEMENT_3D('',#485171,#394696,#394697); #345114=AXIS2_PLACEMENT_3D('',#485177,#394701,#394702); #345115=AXIS2_PLACEMENT_3D('',#485183,#394706,#394707); #345116=AXIS2_PLACEMENT_3D('',#485189,#394711,#394712); #345117=AXIS2_PLACEMENT_3D('',#485195,#394716,#394717); #345118=AXIS2_PLACEMENT_3D('',#485201,#394721,#394722); #345119=AXIS2_PLACEMENT_3D('',#485207,#394726,#394727); #345120=AXIS2_PLACEMENT_3D('',#485213,#394731,#394732); #345121=AXIS2_PLACEMENT_3D('',#485219,#394736,#394737); #345122=AXIS2_PLACEMENT_3D('',#485225,#394741,#394742); #345123=AXIS2_PLACEMENT_3D('',#485231,#394746,#394747); #345124=AXIS2_PLACEMENT_3D('',#485237,#394751,#394752); #345125=AXIS2_PLACEMENT_3D('',#485243,#394756,#394757); #345126=AXIS2_PLACEMENT_3D('',#485249,#394761,#394762); #345127=AXIS2_PLACEMENT_3D('',#485255,#394766,#394767); #345128=AXIS2_PLACEMENT_3D('',#485261,#394771,#394772); #345129=AXIS2_PLACEMENT_3D('',#485267,#394776,#394777); #345130=AXIS2_PLACEMENT_3D('',#485273,#394781,#394782); #345131=AXIS2_PLACEMENT_3D('',#485279,#394786,#394787); #345132=AXIS2_PLACEMENT_3D('',#485285,#394791,#394792); #345133=AXIS2_PLACEMENT_3D('',#485291,#394796,#394797); #345134=AXIS2_PLACEMENT_3D('',#485297,#394801,#394802); #345135=AXIS2_PLACEMENT_3D('',#485303,#394806,#394807); #345136=AXIS2_PLACEMENT_3D('',#485309,#394811,#394812); #345137=AXIS2_PLACEMENT_3D('',#485315,#394816,#394817); #345138=AXIS2_PLACEMENT_3D('',#485321,#394821,#394822); #345139=AXIS2_PLACEMENT_3D('',#485327,#394826,#394827); #345140=AXIS2_PLACEMENT_3D('',#485333,#394831,#394832); #345141=AXIS2_PLACEMENT_3D('',#485339,#394836,#394837); #345142=AXIS2_PLACEMENT_3D('',#485345,#394841,#394842); #345143=AXIS2_PLACEMENT_3D('',#485351,#394846,#394847); #345144=AXIS2_PLACEMENT_3D('',#485357,#394851,#394852); #345145=AXIS2_PLACEMENT_3D('',#485363,#394856,#394857); #345146=AXIS2_PLACEMENT_3D('',#485369,#394861,#394862); #345147=AXIS2_PLACEMENT_3D('',#485375,#394866,#394867); #345148=AXIS2_PLACEMENT_3D('',#485381,#394871,#394872); #345149=AXIS2_PLACEMENT_3D('',#485387,#394876,#394877); #345150=AXIS2_PLACEMENT_3D('',#485393,#394881,#394882); #345151=AXIS2_PLACEMENT_3D('',#485399,#394886,#394887); #345152=AXIS2_PLACEMENT_3D('',#485405,#394891,#394892); #345153=AXIS2_PLACEMENT_3D('',#485411,#394896,#394897); #345154=AXIS2_PLACEMENT_3D('',#485417,#394901,#394902); #345155=AXIS2_PLACEMENT_3D('',#485423,#394906,#394907); #345156=AXIS2_PLACEMENT_3D('',#485429,#394911,#394912); #345157=AXIS2_PLACEMENT_3D('',#485435,#394916,#394917); #345158=AXIS2_PLACEMENT_3D('',#485441,#394921,#394922); #345159=AXIS2_PLACEMENT_3D('',#485447,#394926,#394927); #345160=AXIS2_PLACEMENT_3D('',#485453,#394931,#394932); #345161=AXIS2_PLACEMENT_3D('',#485459,#394936,#394937); #345162=AXIS2_PLACEMENT_3D('',#485465,#394941,#394942); #345163=AXIS2_PLACEMENT_3D('',#485471,#394946,#394947); #345164=AXIS2_PLACEMENT_3D('',#485477,#394951,#394952); #345165=AXIS2_PLACEMENT_3D('',#485483,#394956,#394957); #345166=AXIS2_PLACEMENT_3D('',#485486,#394960,#394961); #345167=AXIS2_PLACEMENT_3D('',#485487,#394962,#394963); #345168=AXIS2_PLACEMENT_3D('',#485488,#394964,#394965); #345169=AXIS2_PLACEMENT_3D('',#485497,#394970,#394971); #345170=AXIS2_PLACEMENT_3D('',#485503,#394975,#394976); #345171=AXIS2_PLACEMENT_3D('',#485509,#394980,#394981); #345172=AXIS2_PLACEMENT_3D('',#485515,#394985,#394986); #345173=AXIS2_PLACEMENT_3D('',#485521,#394990,#394991); #345174=AXIS2_PLACEMENT_3D('',#485527,#394995,#394996); #345175=AXIS2_PLACEMENT_3D('',#485533,#395000,#395001); #345176=AXIS2_PLACEMENT_3D('',#485539,#395005,#395006); #345177=AXIS2_PLACEMENT_3D('',#485545,#395010,#395011); #345178=AXIS2_PLACEMENT_3D('',#485551,#395015,#395016); #345179=AXIS2_PLACEMENT_3D('',#485557,#395020,#395021); #345180=AXIS2_PLACEMENT_3D('',#485563,#395025,#395026); #345181=AXIS2_PLACEMENT_3D('',#485569,#395030,#395031); #345182=AXIS2_PLACEMENT_3D('',#485575,#395035,#395036); #345183=AXIS2_PLACEMENT_3D('',#485581,#395040,#395041); #345184=AXIS2_PLACEMENT_3D('',#485587,#395045,#395046); #345185=AXIS2_PLACEMENT_3D('',#485593,#395050,#395051); #345186=AXIS2_PLACEMENT_3D('',#485599,#395055,#395056); #345187=AXIS2_PLACEMENT_3D('',#485605,#395060,#395061); #345188=AXIS2_PLACEMENT_3D('',#485608,#395064,#395065); #345189=AXIS2_PLACEMENT_3D('',#485609,#395066,#395067); #345190=AXIS2_PLACEMENT_3D('',#485610,#395068,#395069); #345191=AXIS2_PLACEMENT_3D('',#485619,#395074,#395075); #345192=AXIS2_PLACEMENT_3D('',#485625,#395079,#395080); #345193=AXIS2_PLACEMENT_3D('',#485631,#395084,#395085); #345194=AXIS2_PLACEMENT_3D('',#485637,#395089,#395090); #345195=AXIS2_PLACEMENT_3D('',#485643,#395094,#395095); #345196=AXIS2_PLACEMENT_3D('',#485649,#395099,#395100); #345197=AXIS2_PLACEMENT_3D('',#485655,#395104,#395105); #345198=AXIS2_PLACEMENT_3D('',#485661,#395109,#395110); #345199=AXIS2_PLACEMENT_3D('',#485667,#395114,#395115); #345200=AXIS2_PLACEMENT_3D('',#485673,#395119,#395120); #345201=AXIS2_PLACEMENT_3D('',#485679,#395124,#395125); #345202=AXIS2_PLACEMENT_3D('',#485685,#395129,#395130); #345203=AXIS2_PLACEMENT_3D('',#485691,#395134,#395135); #345204=AXIS2_PLACEMENT_3D('',#485697,#395139,#395140); #345205=AXIS2_PLACEMENT_3D('',#485703,#395144,#395145); #345206=AXIS2_PLACEMENT_3D('',#485709,#395149,#395150); #345207=AXIS2_PLACEMENT_3D('',#485715,#395154,#395155); #345208=AXIS2_PLACEMENT_3D('',#485721,#395159,#395160); #345209=AXIS2_PLACEMENT_3D('',#485727,#395164,#395165); #345210=AXIS2_PLACEMENT_3D('',#485730,#395168,#395169); #345211=AXIS2_PLACEMENT_3D('',#485731,#395170,#395171); #345212=AXIS2_PLACEMENT_3D('',#485732,#395172,#395173); #345213=AXIS2_PLACEMENT_3D('',#485741,#395178,#395179); #345214=AXIS2_PLACEMENT_3D('',#485747,#395183,#395184); #345215=AXIS2_PLACEMENT_3D('',#485753,#395188,#395189); #345216=AXIS2_PLACEMENT_3D('',#485759,#395193,#395194); #345217=AXIS2_PLACEMENT_3D('',#485765,#395198,#395199); #345218=AXIS2_PLACEMENT_3D('',#485771,#395203,#395204); #345219=AXIS2_PLACEMENT_3D('',#485777,#395208,#395209); #345220=AXIS2_PLACEMENT_3D('',#485783,#395213,#395214); #345221=AXIS2_PLACEMENT_3D('',#485789,#395218,#395219); #345222=AXIS2_PLACEMENT_3D('',#485795,#395223,#395224); #345223=AXIS2_PLACEMENT_3D('',#485801,#395228,#395229); #345224=AXIS2_PLACEMENT_3D('',#485807,#395233,#395234); #345225=AXIS2_PLACEMENT_3D('',#485813,#395238,#395239); #345226=AXIS2_PLACEMENT_3D('',#485819,#395243,#395244); #345227=AXIS2_PLACEMENT_3D('',#485825,#395248,#395249); #345228=AXIS2_PLACEMENT_3D('',#485831,#395253,#395254); #345229=AXIS2_PLACEMENT_3D('',#485837,#395258,#395259); #345230=AXIS2_PLACEMENT_3D('',#485843,#395263,#395264); #345231=AXIS2_PLACEMENT_3D('',#485849,#395268,#395269); #345232=AXIS2_PLACEMENT_3D('',#485852,#395272,#395273); #345233=AXIS2_PLACEMENT_3D('',#485853,#395274,#395275); #345234=AXIS2_PLACEMENT_3D('',#485854,#395276,#395277); #345235=AXIS2_PLACEMENT_3D('',#485863,#395282,#395283); #345236=AXIS2_PLACEMENT_3D('',#485869,#395287,#395288); #345237=AXIS2_PLACEMENT_3D('',#485875,#395292,#395293); #345238=AXIS2_PLACEMENT_3D('',#485881,#395297,#395298); #345239=AXIS2_PLACEMENT_3D('',#485887,#395302,#395303); #345240=AXIS2_PLACEMENT_3D('',#485893,#395307,#395308); #345241=AXIS2_PLACEMENT_3D('',#485899,#395312,#395313); #345242=AXIS2_PLACEMENT_3D('',#485905,#395317,#395318); #345243=AXIS2_PLACEMENT_3D('',#485911,#395322,#395323); #345244=AXIS2_PLACEMENT_3D('',#485917,#395327,#395328); #345245=AXIS2_PLACEMENT_3D('',#485923,#395332,#395333); #345246=AXIS2_PLACEMENT_3D('',#485929,#395337,#395338); #345247=AXIS2_PLACEMENT_3D('',#485935,#395342,#395343); #345248=AXIS2_PLACEMENT_3D('',#485941,#395347,#395348); #345249=AXIS2_PLACEMENT_3D('',#485947,#395352,#395353); #345250=AXIS2_PLACEMENT_3D('',#485953,#395357,#395358); #345251=AXIS2_PLACEMENT_3D('',#485959,#395362,#395363); #345252=AXIS2_PLACEMENT_3D('',#485965,#395367,#395368); #345253=AXIS2_PLACEMENT_3D('',#485971,#395372,#395373); #345254=AXIS2_PLACEMENT_3D('',#485977,#395377,#395378); #345255=AXIS2_PLACEMENT_3D('',#485983,#395382,#395383); #345256=AXIS2_PLACEMENT_3D('',#485989,#395387,#395388); #345257=AXIS2_PLACEMENT_3D('',#485992,#395391,#395392); #345258=AXIS2_PLACEMENT_3D('',#485993,#395393,#395394); #345259=AXIS2_PLACEMENT_3D('',#485994,#395395,#395396); #345260=AXIS2_PLACEMENT_3D('',#486003,#395401,#395402); #345261=AXIS2_PLACEMENT_3D('',#486009,#395406,#395407); #345262=AXIS2_PLACEMENT_3D('',#486015,#395411,#395412); #345263=AXIS2_PLACEMENT_3D('',#486021,#395416,#395417); #345264=AXIS2_PLACEMENT_3D('',#486027,#395421,#395422); #345265=AXIS2_PLACEMENT_3D('',#486033,#395426,#395427); #345266=AXIS2_PLACEMENT_3D('',#486039,#395431,#395432); #345267=AXIS2_PLACEMENT_3D('',#486045,#395436,#395437); #345268=AXIS2_PLACEMENT_3D('',#486051,#395441,#395442); #345269=AXIS2_PLACEMENT_3D('',#486057,#395446,#395447); #345270=AXIS2_PLACEMENT_3D('',#486063,#395451,#395452); #345271=AXIS2_PLACEMENT_3D('',#486069,#395456,#395457); #345272=AXIS2_PLACEMENT_3D('',#486075,#395461,#395462); #345273=AXIS2_PLACEMENT_3D('',#486081,#395466,#395467); #345274=AXIS2_PLACEMENT_3D('',#486087,#395471,#395472); #345275=AXIS2_PLACEMENT_3D('',#486093,#395476,#395477); #345276=AXIS2_PLACEMENT_3D('',#486099,#395481,#395482); #345277=AXIS2_PLACEMENT_3D('',#486105,#395486,#395487); #345278=AXIS2_PLACEMENT_3D('',#486111,#395491,#395492); #345279=AXIS2_PLACEMENT_3D('',#486114,#395495,#395496); #345280=AXIS2_PLACEMENT_3D('',#486115,#395497,#395498); #345281=AXIS2_PLACEMENT_3D('',#486116,#395499,#395500); #345282=AXIS2_PLACEMENT_3D('',#486125,#395505,#395506); #345283=AXIS2_PLACEMENT_3D('',#486131,#395510,#395511); #345284=AXIS2_PLACEMENT_3D('',#486137,#395515,#395516); #345285=AXIS2_PLACEMENT_3D('',#486143,#395520,#395521); #345286=AXIS2_PLACEMENT_3D('',#486149,#395525,#395526); #345287=AXIS2_PLACEMENT_3D('',#486155,#395530,#395531); #345288=AXIS2_PLACEMENT_3D('',#486161,#395535,#395536); #345289=AXIS2_PLACEMENT_3D('',#486167,#395540,#395541); #345290=AXIS2_PLACEMENT_3D('',#486173,#395545,#395546); #345291=AXIS2_PLACEMENT_3D('',#486179,#395550,#395551); #345292=AXIS2_PLACEMENT_3D('',#486185,#395555,#395556); #345293=AXIS2_PLACEMENT_3D('',#486191,#395560,#395561); #345294=AXIS2_PLACEMENT_3D('',#486197,#395565,#395566); #345295=AXIS2_PLACEMENT_3D('',#486203,#395570,#395571); #345296=AXIS2_PLACEMENT_3D('',#486209,#395575,#395576); #345297=AXIS2_PLACEMENT_3D('',#486215,#395580,#395581); #345298=AXIS2_PLACEMENT_3D('',#486221,#395585,#395586); #345299=AXIS2_PLACEMENT_3D('',#486227,#395590,#395591); #345300=AXIS2_PLACEMENT_3D('',#486233,#395595,#395596); #345301=AXIS2_PLACEMENT_3D('',#486239,#395600,#395601); #345302=AXIS2_PLACEMENT_3D('',#486242,#395604,#395605); #345303=AXIS2_PLACEMENT_3D('',#486243,#395606,#395607); #345304=AXIS2_PLACEMENT_3D('',#486244,#395608,#395609); #345305=AXIS2_PLACEMENT_3D('',#486246,#395610,#395611); #345306=AXIS2_PLACEMENT_3D('',#486249,#395613,#395614); #345307=AXIS2_PLACEMENT_3D('',#486250,#395615,#395616); #345308=AXIS2_PLACEMENT_3D('',#486259,#395621,#395622); #345309=AXIS2_PLACEMENT_3D('',#486261,#395623,#395624); #345310=AXIS2_PLACEMENT_3D('',#486263,#395625,#395626); #345311=AXIS2_PLACEMENT_3D('',#486265,#395628,#395629); #345312=AXIS2_PLACEMENT_3D('',#486271,#395633,#395634); #345313=AXIS2_PLACEMENT_3D('',#486273,#395635,#395636); #345314=AXIS2_PLACEMENT_3D('',#486275,#395637,#395638); #345315=AXIS2_PLACEMENT_3D('',#486277,#395640,#395641); #345316=AXIS2_PLACEMENT_3D('',#486283,#395645,#395646); #345317=AXIS2_PLACEMENT_3D('',#486289,#395650,#395651); #345318=AXIS2_PLACEMENT_3D('',#486295,#395655,#395656); #345319=AXIS2_PLACEMENT_3D('',#486301,#395660,#395661); #345320=AXIS2_PLACEMENT_3D('',#486303,#395662,#395663); #345321=AXIS2_PLACEMENT_3D('',#486305,#395664,#395665); #345322=AXIS2_PLACEMENT_3D('',#486307,#395667,#395668); #345323=AXIS2_PLACEMENT_3D('',#486313,#395672,#395673); #345324=AXIS2_PLACEMENT_3D('',#486319,#395677,#395678); #345325=AXIS2_PLACEMENT_3D('',#486325,#395682,#395683); #345326=AXIS2_PLACEMENT_3D('',#486331,#395687,#395688); #345327=AXIS2_PLACEMENT_3D('',#486337,#395692,#395693); #345328=AXIS2_PLACEMENT_3D('',#486343,#395697,#395698); #345329=AXIS2_PLACEMENT_3D('',#486349,#395702,#395703); #345330=AXIS2_PLACEMENT_3D('',#486351,#395704,#395705); #345331=AXIS2_PLACEMENT_3D('',#486353,#395706,#395707); #345332=AXIS2_PLACEMENT_3D('',#486355,#395709,#395710); #345333=AXIS2_PLACEMENT_3D('',#486361,#395714,#395715); #345334=AXIS2_PLACEMENT_3D('',#486363,#395716,#395717); #345335=AXIS2_PLACEMENT_3D('',#486365,#395718,#395719); #345336=AXIS2_PLACEMENT_3D('',#486367,#395721,#395722); #345337=AXIS2_PLACEMENT_3D('',#486373,#395726,#395727); #345338=AXIS2_PLACEMENT_3D('',#486375,#395728,#395729); #345339=AXIS2_PLACEMENT_3D('',#486377,#395730,#395731); #345340=AXIS2_PLACEMENT_3D('',#486379,#395733,#395734); #345341=AXIS2_PLACEMENT_3D('',#486385,#395738,#395739); #345342=AXIS2_PLACEMENT_3D('',#486391,#395743,#395744); #345343=AXIS2_PLACEMENT_3D('',#486392,#395745,#395746); #345344=AXIS2_PLACEMENT_3D('',#486393,#395747,#395748); #345345=AXIS2_PLACEMENT_3D('',#486394,#395749,#395750); #345346=AXIS2_PLACEMENT_3D('',#486395,#395751,#395752); #345347=AXIS2_PLACEMENT_3D('',#486396,#395753,#395754); #345348=AXIS2_PLACEMENT_3D('',#486398,#395755,#395756); #345349=AXIS2_PLACEMENT_3D('',#486401,#395758,#395759); #345350=AXIS2_PLACEMENT_3D('',#486402,#395760,#395761); #345351=AXIS2_PLACEMENT_3D('',#486404,#395762,#395763); #345352=AXIS2_PLACEMENT_3D('',#486407,#395765,#395766); #345353=AXIS2_PLACEMENT_3D('',#486408,#395767,#395768); #345354=AXIS2_PLACEMENT_3D('',#486410,#395769,#395770); #345355=AXIS2_PLACEMENT_3D('',#486413,#395772,#395773); #345356=AXIS2_PLACEMENT_3D('',#486414,#395774,#395775); #345357=AXIS2_PLACEMENT_3D('',#486416,#395776,#395777); #345358=AXIS2_PLACEMENT_3D('',#486419,#395779,#395780); #345359=AXIS2_PLACEMENT_3D('',#486420,#395781,#395782); #345360=AXIS2_PLACEMENT_3D('',#486422,#395783,#395784); #345361=AXIS2_PLACEMENT_3D('',#486425,#395786,#395787); #345362=AXIS2_PLACEMENT_3D('',#486426,#395788,#395789); #345363=AXIS2_PLACEMENT_3D('',#486428,#395790,#395791); #345364=AXIS2_PLACEMENT_3D('',#486431,#395793,#395794); #345365=AXIS2_PLACEMENT_3D('',#486432,#395795,#395796); #345366=AXIS2_PLACEMENT_3D('',#486434,#395797,#395798); #345367=AXIS2_PLACEMENT_3D('',#486437,#395800,#395801); #345368=AXIS2_PLACEMENT_3D('',#486438,#395802,#395803); #345369=AXIS2_PLACEMENT_3D('',#486440,#395804,#395805); #345370=AXIS2_PLACEMENT_3D('',#486443,#395807,#395808); #345371=AXIS2_PLACEMENT_3D('',#486444,#395809,#395810); #345372=AXIS2_PLACEMENT_3D('',#486446,#395811,#395812); #345373=AXIS2_PLACEMENT_3D('',#486449,#395814,#395815); #345374=AXIS2_PLACEMENT_3D('',#486450,#395816,#395817); #345375=AXIS2_PLACEMENT_3D('',#486452,#395818,#395819); #345376=AXIS2_PLACEMENT_3D('',#486455,#395821,#395822); #345377=AXIS2_PLACEMENT_3D('',#486456,#395823,#395824); #345378=AXIS2_PLACEMENT_3D('',#486458,#395825,#395826); #345379=AXIS2_PLACEMENT_3D('',#486461,#395828,#395829); #345380=AXIS2_PLACEMENT_3D('',#486462,#395830,#395831); #345381=AXIS2_PLACEMENT_3D('',#486464,#395832,#395833); #345382=AXIS2_PLACEMENT_3D('',#486467,#395835,#395836); #345383=AXIS2_PLACEMENT_3D('',#486468,#395837,#395838); #345384=AXIS2_PLACEMENT_3D('',#486477,#395843,#395844); #345385=AXIS2_PLACEMENT_3D('',#486483,#395848,#395849); #345386=AXIS2_PLACEMENT_3D('',#486489,#395853,#395854); #345387=AXIS2_PLACEMENT_3D('',#486495,#395858,#395859); #345388=AXIS2_PLACEMENT_3D('',#486501,#395863,#395864); #345389=AXIS2_PLACEMENT_3D('',#486507,#395868,#395869); #345390=AXIS2_PLACEMENT_3D('',#486513,#395873,#395874); #345391=AXIS2_PLACEMENT_3D('',#486519,#395878,#395879); #345392=AXIS2_PLACEMENT_3D('',#486525,#395883,#395884); #345393=AXIS2_PLACEMENT_3D('',#486531,#395888,#395889); #345394=AXIS2_PLACEMENT_3D('',#486537,#395893,#395894); #345395=AXIS2_PLACEMENT_3D('',#486543,#395898,#395899); #345396=AXIS2_PLACEMENT_3D('',#486549,#395903,#395904); #345397=AXIS2_PLACEMENT_3D('',#486555,#395908,#395909); #345398=AXIS2_PLACEMENT_3D('',#486561,#395913,#395914); #345399=AXIS2_PLACEMENT_3D('',#486567,#395918,#395919); #345400=AXIS2_PLACEMENT_3D('',#486573,#395923,#395924); #345401=AXIS2_PLACEMENT_3D('',#486579,#395928,#395929); #345402=AXIS2_PLACEMENT_3D('',#486585,#395933,#395934); #345403=AXIS2_PLACEMENT_3D('',#486591,#395938,#395939); #345404=AXIS2_PLACEMENT_3D('',#486597,#395943,#395944); #345405=AXIS2_PLACEMENT_3D('',#486603,#395948,#395949); #345406=AXIS2_PLACEMENT_3D('',#486609,#395953,#395954); #345407=AXIS2_PLACEMENT_3D('',#486615,#395958,#395959); #345408=AXIS2_PLACEMENT_3D('',#486617,#395960,#395961); #345409=AXIS2_PLACEMENT_3D('',#486619,#395962,#395963); #345410=AXIS2_PLACEMENT_3D('',#486621,#395965,#395966); #345411=AXIS2_PLACEMENT_3D('',#486627,#395970,#395971); #345412=AXIS2_PLACEMENT_3D('',#486633,#395975,#395976); #345413=AXIS2_PLACEMENT_3D('',#486635,#395977,#395978); #345414=AXIS2_PLACEMENT_3D('',#486637,#395979,#395980); #345415=AXIS2_PLACEMENT_3D('',#486639,#395982,#395983); #345416=AXIS2_PLACEMENT_3D('',#486645,#395987,#395988); #345417=AXIS2_PLACEMENT_3D('',#486651,#395992,#395993); #345418=AXIS2_PLACEMENT_3D('',#486657,#395997,#395998); #345419=AXIS2_PLACEMENT_3D('',#486663,#396002,#396003); #345420=AXIS2_PLACEMENT_3D('',#486669,#396007,#396008); #345421=AXIS2_PLACEMENT_3D('',#486675,#396012,#396013); #345422=AXIS2_PLACEMENT_3D('',#486681,#396017,#396018); #345423=AXIS2_PLACEMENT_3D('',#486687,#396022,#396023); #345424=AXIS2_PLACEMENT_3D('',#486689,#396024,#396025); #345425=AXIS2_PLACEMENT_3D('',#486691,#396026,#396027); #345426=AXIS2_PLACEMENT_3D('',#486693,#396029,#396030); #345427=AXIS2_PLACEMENT_3D('',#486699,#396034,#396035); #345428=AXIS2_PLACEMENT_3D('',#486701,#396036,#396037); #345429=AXIS2_PLACEMENT_3D('',#486703,#396038,#396039); #345430=AXIS2_PLACEMENT_3D('',#486705,#396041,#396042); #345431=AXIS2_PLACEMENT_3D('',#486711,#396046,#396047); #345432=AXIS2_PLACEMENT_3D('',#486717,#396051,#396052); #345433=AXIS2_PLACEMENT_3D('',#486723,#396056,#396057); #345434=AXIS2_PLACEMENT_3D('',#486729,#396061,#396062); #345435=AXIS2_PLACEMENT_3D('',#486735,#396066,#396067); #345436=AXIS2_PLACEMENT_3D('',#486741,#396071,#396072); #345437=AXIS2_PLACEMENT_3D('',#486747,#396076,#396077); #345438=AXIS2_PLACEMENT_3D('',#486753,#396081,#396082); #345439=AXIS2_PLACEMENT_3D('',#486759,#396086,#396087); #345440=AXIS2_PLACEMENT_3D('',#486765,#396091,#396092); #345441=AXIS2_PLACEMENT_3D('',#486771,#396096,#396097); #345442=AXIS2_PLACEMENT_3D('',#486777,#396101,#396102); #345443=AXIS2_PLACEMENT_3D('',#486783,#396106,#396107); #345444=AXIS2_PLACEMENT_3D('',#486789,#396111,#396112); #345445=AXIS2_PLACEMENT_3D('',#486795,#396116,#396117); #345446=AXIS2_PLACEMENT_3D('',#486797,#396118,#396119); #345447=AXIS2_PLACEMENT_3D('',#486799,#396120,#396121); #345448=AXIS2_PLACEMENT_3D('',#486801,#396123,#396124); #345449=AXIS2_PLACEMENT_3D('',#486807,#396128,#396129); #345450=AXIS2_PLACEMENT_3D('',#486809,#396130,#396131); #345451=AXIS2_PLACEMENT_3D('',#486811,#396132,#396133); #345452=AXIS2_PLACEMENT_3D('',#486813,#396135,#396136); #345453=AXIS2_PLACEMENT_3D('',#486819,#396140,#396141); #345454=AXIS2_PLACEMENT_3D('',#486825,#396145,#396146); #345455=AXIS2_PLACEMENT_3D('',#486831,#396150,#396151); #345456=AXIS2_PLACEMENT_3D('',#486837,#396155,#396156); #345457=AXIS2_PLACEMENT_3D('',#486843,#396160,#396161); #345458=AXIS2_PLACEMENT_3D('',#486849,#396165,#396166); #345459=AXIS2_PLACEMENT_3D('',#486855,#396170,#396171); #345460=AXIS2_PLACEMENT_3D('',#486861,#396175,#396176); #345461=AXIS2_PLACEMENT_3D('',#486867,#396180,#396181); #345462=AXIS2_PLACEMENT_3D('',#486873,#396185,#396186); #345463=AXIS2_PLACEMENT_3D('',#486879,#396190,#396191); #345464=AXIS2_PLACEMENT_3D('',#486885,#396195,#396196); #345465=AXIS2_PLACEMENT_3D('',#486891,#396200,#396201); #345466=AXIS2_PLACEMENT_3D('',#486897,#396205,#396206); #345467=AXIS2_PLACEMENT_3D('',#486903,#396210,#396211); #345468=AXIS2_PLACEMENT_3D('',#486909,#396215,#396216); #345469=AXIS2_PLACEMENT_3D('',#486915,#396220,#396221); #345470=AXIS2_PLACEMENT_3D('',#486921,#396225,#396226); #345471=AXIS2_PLACEMENT_3D('',#486927,#396230,#396231); #345472=AXIS2_PLACEMENT_3D('',#486933,#396235,#396236); #345473=AXIS2_PLACEMENT_3D('',#486939,#396240,#396241); #345474=AXIS2_PLACEMENT_3D('',#486945,#396245,#396246); #345475=AXIS2_PLACEMENT_3D('',#486951,#396250,#396251); #345476=AXIS2_PLACEMENT_3D('',#486957,#396255,#396256); #345477=AXIS2_PLACEMENT_3D('',#486963,#396260,#396261); #345478=AXIS2_PLACEMENT_3D('',#486969,#396265,#396266); #345479=AXIS2_PLACEMENT_3D('',#486975,#396270,#396271); #345480=AXIS2_PLACEMENT_3D('',#486981,#396275,#396276); #345481=AXIS2_PLACEMENT_3D('',#486987,#396280,#396281); #345482=AXIS2_PLACEMENT_3D('',#486993,#396285,#396286); #345483=AXIS2_PLACEMENT_3D('',#486999,#396290,#396291); #345484=AXIS2_PLACEMENT_3D('',#487005,#396295,#396296); #345485=AXIS2_PLACEMENT_3D('',#487011,#396300,#396301); #345486=AXIS2_PLACEMENT_3D('',#487017,#396305,#396306); #345487=AXIS2_PLACEMENT_3D('',#487023,#396310,#396311); #345488=AXIS2_PLACEMENT_3D('',#487029,#396315,#396316); #345489=AXIS2_PLACEMENT_3D('',#487035,#396320,#396321); #345490=AXIS2_PLACEMENT_3D('',#487041,#396325,#396326); #345491=AXIS2_PLACEMENT_3D('',#487047,#396330,#396331); #345492=AXIS2_PLACEMENT_3D('',#487053,#396335,#396336); #345493=AXIS2_PLACEMENT_3D('',#487059,#396340,#396341); #345494=AXIS2_PLACEMENT_3D('',#487065,#396345,#396346); #345495=AXIS2_PLACEMENT_3D('',#487071,#396350,#396351); #345496=AXIS2_PLACEMENT_3D('',#487077,#396355,#396356); #345497=AXIS2_PLACEMENT_3D('',#487083,#396360,#396361); #345498=AXIS2_PLACEMENT_3D('',#487089,#396365,#396366); #345499=AXIS2_PLACEMENT_3D('',#487095,#396370,#396371); #345500=AXIS2_PLACEMENT_3D('',#487101,#396375,#396376); #345501=AXIS2_PLACEMENT_3D('',#487107,#396380,#396381); #345502=AXIS2_PLACEMENT_3D('',#487113,#396385,#396386); #345503=AXIS2_PLACEMENT_3D('',#487119,#396390,#396391); #345504=AXIS2_PLACEMENT_3D('',#487125,#396395,#396396); #345505=AXIS2_PLACEMENT_3D('',#487131,#396400,#396401); #345506=AXIS2_PLACEMENT_3D('',#487137,#396405,#396406); #345507=AXIS2_PLACEMENT_3D('',#487143,#396410,#396411); #345508=AXIS2_PLACEMENT_3D('',#487149,#396415,#396416); #345509=AXIS2_PLACEMENT_3D('',#487155,#396420,#396421); #345510=AXIS2_PLACEMENT_3D('',#487161,#396425,#396426); #345511=AXIS2_PLACEMENT_3D('',#487167,#396430,#396431); #345512=AXIS2_PLACEMENT_3D('',#487173,#396435,#396436); #345513=AXIS2_PLACEMENT_3D('',#487179,#396440,#396441); #345514=AXIS2_PLACEMENT_3D('',#487185,#396445,#396446); #345515=AXIS2_PLACEMENT_3D('',#487191,#396450,#396451); #345516=AXIS2_PLACEMENT_3D('',#487197,#396455,#396456); #345517=AXIS2_PLACEMENT_3D('',#487203,#396460,#396461); #345518=AXIS2_PLACEMENT_3D('',#487209,#396465,#396466); #345519=AXIS2_PLACEMENT_3D('',#487212,#396469,#396470); #345520=AXIS2_PLACEMENT_3D('',#487213,#396471,#396472); #345521=AXIS2_PLACEMENT_3D('',#487214,#396473,#396474); #345522=AXIS2_PLACEMENT_3D('',#487216,#396475,#396476); #345523=AXIS2_PLACEMENT_3D('',#487219,#396478,#396479); #345524=AXIS2_PLACEMENT_3D('',#487220,#396480,#396481); #345525=AXIS2_PLACEMENT_3D('',#487229,#396486,#396487); #345526=AXIS2_PLACEMENT_3D('',#487231,#396488,#396489); #345527=AXIS2_PLACEMENT_3D('',#487233,#396490,#396491); #345528=AXIS2_PLACEMENT_3D('',#487235,#396493,#396494); #345529=AXIS2_PLACEMENT_3D('',#487236,#396495,#396496); #345530=AXIS2_PLACEMENT_3D('',#487237,#396497,#396498); #345531=AXIS2_PLACEMENT_3D('',#487238,#396499,#396500); #345532=AXIS2_PLACEMENT_3D('',#487239,#396501,#396502); #345533=AXIS2_PLACEMENT_3D('',#487240,#396503,#396504); #345534=AXIS2_PLACEMENT_3D('',#487242,#396505,#396506); #345535=AXIS2_PLACEMENT_3D('',#487245,#396508,#396509); #345536=AXIS2_PLACEMENT_3D('',#487246,#396510,#396511); #345537=AXIS2_PLACEMENT_3D('',#487255,#396516,#396517); #345538=AXIS2_PLACEMENT_3D('',#487257,#396518,#396519); #345539=AXIS2_PLACEMENT_3D('',#487259,#396520,#396521); #345540=AXIS2_PLACEMENT_3D('',#487261,#396523,#396524); #345541=AXIS2_PLACEMENT_3D('',#487262,#396525,#396526); #345542=AXIS2_PLACEMENT_3D('',#487263,#396527,#396528); #345543=AXIS2_PLACEMENT_3D('',#487264,#396529,#396530); #345544=AXIS2_PLACEMENT_3D('',#487265,#396531,#396532); #345545=AXIS2_PLACEMENT_3D('',#487266,#396533,#396534); #345546=AXIS2_PLACEMENT_3D('',#487268,#396535,#396536); #345547=AXIS2_PLACEMENT_3D('',#487271,#396538,#396539); #345548=AXIS2_PLACEMENT_3D('',#487272,#396540,#396541); #345549=AXIS2_PLACEMENT_3D('',#487281,#396546,#396547); #345550=AXIS2_PLACEMENT_3D('',#487283,#396548,#396549); #345551=AXIS2_PLACEMENT_3D('',#487285,#396550,#396551); #345552=AXIS2_PLACEMENT_3D('',#487287,#396553,#396554); #345553=AXIS2_PLACEMENT_3D('',#487288,#396555,#396556); #345554=AXIS2_PLACEMENT_3D('',#487289,#396557,#396558); #345555=AXIS2_PLACEMENT_3D('',#487290,#396559,#396560); #345556=AXIS2_PLACEMENT_3D('',#487291,#396561,#396562); #345557=AXIS2_PLACEMENT_3D('',#487292,#396563,#396564); #345558=AXIS2_PLACEMENT_3D('',#487294,#396565,#396566); #345559=AXIS2_PLACEMENT_3D('',#487297,#396568,#396569); #345560=AXIS2_PLACEMENT_3D('',#487298,#396570,#396571); #345561=AXIS2_PLACEMENT_3D('',#487307,#396576,#396577); #345562=AXIS2_PLACEMENT_3D('',#487313,#396581,#396582); #345563=AXIS2_PLACEMENT_3D('',#487319,#396586,#396587); #345564=AXIS2_PLACEMENT_3D('',#487325,#396591,#396592); #345565=AXIS2_PLACEMENT_3D('',#487331,#396596,#396597); #345566=AXIS2_PLACEMENT_3D('',#487337,#396601,#396602); #345567=AXIS2_PLACEMENT_3D('',#487343,#396606,#396607); #345568=AXIS2_PLACEMENT_3D('',#487346,#396610,#396611); #345569=AXIS2_PLACEMENT_3D('',#487347,#396612,#396613); #345570=AXIS2_PLACEMENT_3D('',#487348,#396614,#396615); #345571=AXIS2_PLACEMENT_3D('',#487350,#396616,#396617); #345572=AXIS2_PLACEMENT_3D('',#487353,#396619,#396620); #345573=AXIS2_PLACEMENT_3D('',#487354,#396621,#396622); #345574=AXIS2_PLACEMENT_3D('',#487363,#396627,#396628); #345575=AXIS2_PLACEMENT_3D('',#487365,#396629,#396630); #345576=AXIS2_PLACEMENT_3D('',#487367,#396631,#396632); #345577=AXIS2_PLACEMENT_3D('',#487369,#396634,#396635); #345578=AXIS2_PLACEMENT_3D('',#487370,#396636,#396637); #345579=AXIS2_PLACEMENT_3D('',#487371,#396638,#396639); #345580=AXIS2_PLACEMENT_3D('',#487372,#396640,#396641); #345581=AXIS2_PLACEMENT_3D('',#487373,#396642,#396643); #345582=AXIS2_PLACEMENT_3D('',#487374,#396644,#396645); #345583=AXIS2_PLACEMENT_3D('',#487376,#396646,#396647); #345584=AXIS2_PLACEMENT_3D('',#487379,#396649,#396650); #345585=AXIS2_PLACEMENT_3D('',#487380,#396651,#396652); #345586=AXIS2_PLACEMENT_3D('',#487389,#396657,#396658); #345587=AXIS2_PLACEMENT_3D('',#487395,#396662,#396663); #345588=AXIS2_PLACEMENT_3D('',#487401,#396667,#396668); #345589=AXIS2_PLACEMENT_3D('',#487404,#396671,#396672); #345590=AXIS2_PLACEMENT_3D('',#487405,#396673,#396674); #345591=AXIS2_PLACEMENT_3D('',#487406,#396675,#396676); #345592=AXIS2_PLACEMENT_3D('',#487408,#396677,#396678); #345593=AXIS2_PLACEMENT_3D('',#487411,#396680,#396681); #345594=AXIS2_PLACEMENT_3D('',#487412,#396682,#396683); #345595=AXIS2_PLACEMENT_3D('',#487421,#396688,#396689); #345596=AXIS2_PLACEMENT_3D('',#487423,#396690,#396691); #345597=AXIS2_PLACEMENT_3D('',#487425,#396692,#396693); #345598=AXIS2_PLACEMENT_3D('',#487427,#396695,#396696); #345599=AXIS2_PLACEMENT_3D('',#487428,#396697,#396698); #345600=AXIS2_PLACEMENT_3D('',#487429,#396699,#396700); #345601=AXIS2_PLACEMENT_3D('',#487430,#396701,#396702); #345602=AXIS2_PLACEMENT_3D('',#487431,#396703,#396704); #345603=AXIS2_PLACEMENT_3D('',#487432,#396705,#396706); #345604=AXIS2_PLACEMENT_3D('',#487441,#396711,#396712); #345605=AXIS2_PLACEMENT_3D('',#487443,#396713,#396714); #345606=AXIS2_PLACEMENT_3D('',#487445,#396715,#396716); #345607=AXIS2_PLACEMENT_3D('',#487447,#396718,#396719); #345608=AXIS2_PLACEMENT_3D('',#487453,#396723,#396724); #345609=AXIS2_PLACEMENT_3D('',#487454,#396725,#396726); #345610=AXIS2_PLACEMENT_3D('',#487455,#396727,#396728); #345611=AXIS2_PLACEMENT_3D('',#487456,#396729,#396730); #345612=AXIS2_PLACEMENT_3D('',#487465,#396735,#396736); #345613=AXIS2_PLACEMENT_3D('',#487471,#396740,#396741); #345614=AXIS2_PLACEMENT_3D('',#487477,#396745,#396746); #345615=AXIS2_PLACEMENT_3D('',#487483,#396750,#396751); #345616=AXIS2_PLACEMENT_3D('',#487489,#396755,#396756); #345617=AXIS2_PLACEMENT_3D('',#487495,#396760,#396761); #345618=AXIS2_PLACEMENT_3D('',#487501,#396765,#396766); #345619=AXIS2_PLACEMENT_3D('',#487507,#396770,#396771); #345620=AXIS2_PLACEMENT_3D('',#487513,#396775,#396776); #345621=AXIS2_PLACEMENT_3D('',#487519,#396780,#396781); #345622=AXIS2_PLACEMENT_3D('',#487525,#396785,#396786); #345623=AXIS2_PLACEMENT_3D('',#487531,#396790,#396791); #345624=AXIS2_PLACEMENT_3D('',#487537,#396795,#396796); #345625=AXIS2_PLACEMENT_3D('',#487543,#396800,#396801); #345626=AXIS2_PLACEMENT_3D('',#487549,#396805,#396806); #345627=AXIS2_PLACEMENT_3D('',#487555,#396810,#396811); #345628=AXIS2_PLACEMENT_3D('',#487561,#396815,#396816); #345629=AXIS2_PLACEMENT_3D('',#487567,#396820,#396821); #345630=AXIS2_PLACEMENT_3D('',#487573,#396825,#396826); #345631=AXIS2_PLACEMENT_3D('',#487579,#396830,#396831); #345632=AXIS2_PLACEMENT_3D('',#487585,#396835,#396836); #345633=AXIS2_PLACEMENT_3D('',#487591,#396840,#396841); #345634=AXIS2_PLACEMENT_3D('',#487597,#396845,#396846); #345635=AXIS2_PLACEMENT_3D('',#487603,#396850,#396851); #345636=AXIS2_PLACEMENT_3D('',#487609,#396855,#396856); #345637=AXIS2_PLACEMENT_3D('',#487615,#396860,#396861); #345638=AXIS2_PLACEMENT_3D('',#487621,#396865,#396866); #345639=AXIS2_PLACEMENT_3D('',#487627,#396870,#396871); #345640=AXIS2_PLACEMENT_3D('',#487633,#396875,#396876); #345641=AXIS2_PLACEMENT_3D('',#487639,#396880,#396881); #345642=AXIS2_PLACEMENT_3D('',#487645,#396885,#396886); #345643=AXIS2_PLACEMENT_3D('',#487651,#396890,#396891); #345644=AXIS2_PLACEMENT_3D('',#487657,#396895,#396896); #345645=AXIS2_PLACEMENT_3D('',#487663,#396900,#396901); #345646=AXIS2_PLACEMENT_3D('',#487669,#396905,#396906); #345647=AXIS2_PLACEMENT_3D('',#487675,#396910,#396911); #345648=AXIS2_PLACEMENT_3D('',#487681,#396915,#396916); #345649=AXIS2_PLACEMENT_3D('',#487687,#396920,#396921); #345650=AXIS2_PLACEMENT_3D('',#487693,#396925,#396926); #345651=AXIS2_PLACEMENT_3D('',#487699,#396930,#396931); #345652=AXIS2_PLACEMENT_3D('',#487705,#396935,#396936); #345653=AXIS2_PLACEMENT_3D('',#487711,#396940,#396941); #345654=AXIS2_PLACEMENT_3D('',#487717,#396945,#396946); #345655=AXIS2_PLACEMENT_3D('',#487723,#396950,#396951); #345656=AXIS2_PLACEMENT_3D('',#487729,#396955,#396956); #345657=AXIS2_PLACEMENT_3D('',#487735,#396960,#396961); #345658=AXIS2_PLACEMENT_3D('',#487741,#396965,#396966); #345659=AXIS2_PLACEMENT_3D('',#487747,#396970,#396971); #345660=AXIS2_PLACEMENT_3D('',#487753,#396975,#396976); #345661=AXIS2_PLACEMENT_3D('',#487759,#396980,#396981); #345662=AXIS2_PLACEMENT_3D('',#487765,#396985,#396986); #345663=AXIS2_PLACEMENT_3D('',#487771,#396990,#396991); #345664=AXIS2_PLACEMENT_3D('',#487777,#396995,#396996); #345665=AXIS2_PLACEMENT_3D('',#487783,#397000,#397001); #345666=AXIS2_PLACEMENT_3D('',#487789,#397005,#397006); #345667=AXIS2_PLACEMENT_3D('',#487792,#397009,#397010); #345668=AXIS2_PLACEMENT_3D('',#487793,#397011,#397012); #345669=AXIS2_PLACEMENT_3D('',#487794,#397013,#397014); #345670=AXIS2_PLACEMENT_3D('',#487796,#397015,#397016); #345671=AXIS2_PLACEMENT_3D('',#487799,#397018,#397019); #345672=AXIS2_PLACEMENT_3D('',#487800,#397020,#397021); #345673=AXIS2_PLACEMENT_3D('',#487809,#397026,#397027); #345674=AXIS2_PLACEMENT_3D('',#487811,#397028,#397029); #345675=AXIS2_PLACEMENT_3D('',#487813,#397030,#397031); #345676=AXIS2_PLACEMENT_3D('',#487815,#397033,#397034); #345677=AXIS2_PLACEMENT_3D('',#487816,#397035,#397036); #345678=AXIS2_PLACEMENT_3D('',#487817,#397037,#397038); #345679=AXIS2_PLACEMENT_3D('',#487818,#397039,#397040); #345680=AXIS2_PLACEMENT_3D('',#487819,#397041,#397042); #345681=AXIS2_PLACEMENT_3D('',#487820,#397043,#397044); #345682=AXIS2_PLACEMENT_3D('',#487829,#397049,#397050); #345683=AXIS2_PLACEMENT_3D('',#487831,#397051,#397052); #345684=AXIS2_PLACEMENT_3D('',#487833,#397053,#397054); #345685=AXIS2_PLACEMENT_3D('',#487835,#397056,#397057); #345686=AXIS2_PLACEMENT_3D('',#487841,#397061,#397062); #345687=AXIS2_PLACEMENT_3D('',#487842,#397063,#397064); #345688=AXIS2_PLACEMENT_3D('',#487843,#397065,#397066); #345689=AXIS2_PLACEMENT_3D('',#487844,#397067,#397068); #345690=AXIS2_PLACEMENT_3D('',#487853,#397073,#397074); #345691=AXIS2_PLACEMENT_3D('',#487859,#397078,#397079); #345692=AXIS2_PLACEMENT_3D('',#487865,#397083,#397084); #345693=AXIS2_PLACEMENT_3D('',#487871,#397088,#397089); #345694=AXIS2_PLACEMENT_3D('',#487877,#397093,#397094); #345695=AXIS2_PLACEMENT_3D('',#487883,#397098,#397099); #345696=AXIS2_PLACEMENT_3D('',#487889,#397103,#397104); #345697=AXIS2_PLACEMENT_3D('',#487895,#397108,#397109); #345698=AXIS2_PLACEMENT_3D('',#487901,#397113,#397114); #345699=AXIS2_PLACEMENT_3D('',#487907,#397118,#397119); #345700=AXIS2_PLACEMENT_3D('',#487913,#397123,#397124); #345701=AXIS2_PLACEMENT_3D('',#487919,#397128,#397129); #345702=AXIS2_PLACEMENT_3D('',#487925,#397133,#397134); #345703=AXIS2_PLACEMENT_3D('',#487931,#397138,#397139); #345704=AXIS2_PLACEMENT_3D('',#487937,#397143,#397144); #345705=AXIS2_PLACEMENT_3D('',#487943,#397148,#397149); #345706=AXIS2_PLACEMENT_3D('',#487949,#397153,#397154); #345707=AXIS2_PLACEMENT_3D('',#487955,#397158,#397159); #345708=AXIS2_PLACEMENT_3D('',#487961,#397163,#397164); #345709=AXIS2_PLACEMENT_3D('',#487967,#397168,#397169); #345710=AXIS2_PLACEMENT_3D('',#487973,#397173,#397174); #345711=AXIS2_PLACEMENT_3D('',#487979,#397178,#397179); #345712=AXIS2_PLACEMENT_3D('',#487985,#397183,#397184); #345713=AXIS2_PLACEMENT_3D('',#487991,#397188,#397189); #345714=AXIS2_PLACEMENT_3D('',#487997,#397193,#397194); #345715=AXIS2_PLACEMENT_3D('',#488003,#397198,#397199); #345716=AXIS2_PLACEMENT_3D('',#488009,#397203,#397204); #345717=AXIS2_PLACEMENT_3D('',#488015,#397208,#397209); #345718=AXIS2_PLACEMENT_3D('',#488021,#397213,#397214); #345719=AXIS2_PLACEMENT_3D('',#488027,#397218,#397219); #345720=AXIS2_PLACEMENT_3D('',#488033,#397223,#397224); #345721=AXIS2_PLACEMENT_3D('',#488039,#397228,#397229); #345722=AXIS2_PLACEMENT_3D('',#488045,#397233,#397234); #345723=AXIS2_PLACEMENT_3D('',#488051,#397238,#397239); #345724=AXIS2_PLACEMENT_3D('',#488057,#397243,#397244); #345725=AXIS2_PLACEMENT_3D('',#488063,#397248,#397249); #345726=AXIS2_PLACEMENT_3D('',#488069,#397253,#397254); #345727=AXIS2_PLACEMENT_3D('',#488075,#397258,#397259); #345728=AXIS2_PLACEMENT_3D('',#488081,#397263,#397264); #345729=AXIS2_PLACEMENT_3D('',#488087,#397268,#397269); #345730=AXIS2_PLACEMENT_3D('',#488093,#397273,#397274); #345731=AXIS2_PLACEMENT_3D('',#488099,#397278,#397279); #345732=AXIS2_PLACEMENT_3D('',#488105,#397283,#397284); #345733=AXIS2_PLACEMENT_3D('',#488111,#397288,#397289); #345734=AXIS2_PLACEMENT_3D('',#488117,#397293,#397294); #345735=AXIS2_PLACEMENT_3D('',#488123,#397298,#397299); #345736=AXIS2_PLACEMENT_3D('',#488129,#397303,#397304); #345737=AXIS2_PLACEMENT_3D('',#488135,#397308,#397309); #345738=AXIS2_PLACEMENT_3D('',#488141,#397313,#397314); #345739=AXIS2_PLACEMENT_3D('',#488147,#397318,#397319); #345740=AXIS2_PLACEMENT_3D('',#488153,#397323,#397324); #345741=AXIS2_PLACEMENT_3D('',#488159,#397328,#397329); #345742=AXIS2_PLACEMENT_3D('',#488165,#397333,#397334); #345743=AXIS2_PLACEMENT_3D('',#488171,#397338,#397339); #345744=AXIS2_PLACEMENT_3D('',#488177,#397343,#397344); #345745=AXIS2_PLACEMENT_3D('',#488180,#397347,#397348); #345746=AXIS2_PLACEMENT_3D('',#488181,#397349,#397350); #345747=AXIS2_PLACEMENT_3D('',#488182,#397351,#397352); #345748=AXIS2_PLACEMENT_3D('',#488191,#397357,#397358); #345749=AXIS2_PLACEMENT_3D('',#488193,#397359,#397360); #345750=AXIS2_PLACEMENT_3D('',#488195,#397361,#397362); #345751=AXIS2_PLACEMENT_3D('',#488197,#397364,#397365); #345752=AXIS2_PLACEMENT_3D('',#488203,#397369,#397370); #345753=AXIS2_PLACEMENT_3D('',#488204,#397371,#397372); #345754=AXIS2_PLACEMENT_3D('',#488205,#397373,#397374); #345755=AXIS2_PLACEMENT_3D('',#488206,#397375,#397376); #345756=AXIS2_PLACEMENT_3D('',#488215,#397381,#397382); #345757=AXIS2_PLACEMENT_3D('',#488221,#397386,#397387); #345758=AXIS2_PLACEMENT_3D('',#488227,#397391,#397392); #345759=AXIS2_PLACEMENT_3D('',#488233,#397396,#397397); #345760=AXIS2_PLACEMENT_3D('',#488239,#397401,#397402); #345761=AXIS2_PLACEMENT_3D('',#488245,#397406,#397407); #345762=AXIS2_PLACEMENT_3D('',#488251,#397411,#397412); #345763=AXIS2_PLACEMENT_3D('',#488257,#397416,#397417); #345764=AXIS2_PLACEMENT_3D('',#488263,#397421,#397422); #345765=AXIS2_PLACEMENT_3D('',#488269,#397426,#397427); #345766=AXIS2_PLACEMENT_3D('',#488275,#397431,#397432); #345767=AXIS2_PLACEMENT_3D('',#488281,#397436,#397437); #345768=AXIS2_PLACEMENT_3D('',#488287,#397441,#397442); #345769=AXIS2_PLACEMENT_3D('',#488293,#397446,#397447); #345770=AXIS2_PLACEMENT_3D('',#488299,#397451,#397452); #345771=AXIS2_PLACEMENT_3D('',#488305,#397456,#397457); #345772=AXIS2_PLACEMENT_3D('',#488311,#397461,#397462); #345773=AXIS2_PLACEMENT_3D('',#488317,#397466,#397467); #345774=AXIS2_PLACEMENT_3D('',#488323,#397471,#397472); #345775=AXIS2_PLACEMENT_3D('',#488329,#397476,#397477); #345776=AXIS2_PLACEMENT_3D('',#488335,#397481,#397482); #345777=AXIS2_PLACEMENT_3D('',#488341,#397486,#397487); #345778=AXIS2_PLACEMENT_3D('',#488347,#397491,#397492); #345779=AXIS2_PLACEMENT_3D('',#488353,#397496,#397497); #345780=AXIS2_PLACEMENT_3D('',#488359,#397501,#397502); #345781=AXIS2_PLACEMENT_3D('',#488365,#397506,#397507); #345782=AXIS2_PLACEMENT_3D('',#488371,#397511,#397512); #345783=AXIS2_PLACEMENT_3D('',#488377,#397516,#397517); #345784=AXIS2_PLACEMENT_3D('',#488383,#397521,#397522); #345785=AXIS2_PLACEMENT_3D('',#488389,#397526,#397527); #345786=AXIS2_PLACEMENT_3D('',#488395,#397531,#397532); #345787=AXIS2_PLACEMENT_3D('',#488401,#397536,#397537); #345788=AXIS2_PLACEMENT_3D('',#488407,#397541,#397542); #345789=AXIS2_PLACEMENT_3D('',#488413,#397546,#397547); #345790=AXIS2_PLACEMENT_3D('',#488419,#397551,#397552); #345791=AXIS2_PLACEMENT_3D('',#488425,#397556,#397557); #345792=AXIS2_PLACEMENT_3D('',#488431,#397561,#397562); #345793=AXIS2_PLACEMENT_3D('',#488437,#397566,#397567); #345794=AXIS2_PLACEMENT_3D('',#488443,#397571,#397572); #345795=AXIS2_PLACEMENT_3D('',#488449,#397576,#397577); #345796=AXIS2_PLACEMENT_3D('',#488455,#397581,#397582); #345797=AXIS2_PLACEMENT_3D('',#488461,#397586,#397587); #345798=AXIS2_PLACEMENT_3D('',#488467,#397591,#397592); #345799=AXIS2_PLACEMENT_3D('',#488473,#397596,#397597); #345800=AXIS2_PLACEMENT_3D('',#488479,#397601,#397602); #345801=AXIS2_PLACEMENT_3D('',#488485,#397606,#397607); #345802=AXIS2_PLACEMENT_3D('',#488491,#397611,#397612); #345803=AXIS2_PLACEMENT_3D('',#488497,#397616,#397617); #345804=AXIS2_PLACEMENT_3D('',#488503,#397621,#397622); #345805=AXIS2_PLACEMENT_3D('',#488509,#397626,#397627); #345806=AXIS2_PLACEMENT_3D('',#488515,#397631,#397632); #345807=AXIS2_PLACEMENT_3D('',#488521,#397636,#397637); #345808=AXIS2_PLACEMENT_3D('',#488527,#397641,#397642); #345809=AXIS2_PLACEMENT_3D('',#488533,#397646,#397647); #345810=AXIS2_PLACEMENT_3D('',#488539,#397651,#397652); #345811=AXIS2_PLACEMENT_3D('',#488545,#397656,#397657); #345812=AXIS2_PLACEMENT_3D('',#488551,#397661,#397662); #345813=AXIS2_PLACEMENT_3D('',#488557,#397666,#397667); #345814=AXIS2_PLACEMENT_3D('',#488563,#397671,#397672); #345815=AXIS2_PLACEMENT_3D('',#488569,#397676,#397677); #345816=AXIS2_PLACEMENT_3D('',#488575,#397681,#397682); #345817=AXIS2_PLACEMENT_3D('',#488581,#397686,#397687); #345818=AXIS2_PLACEMENT_3D('',#488587,#397691,#397692); #345819=AXIS2_PLACEMENT_3D('',#488593,#397696,#397697); #345820=AXIS2_PLACEMENT_3D('',#488599,#397701,#397702); #345821=AXIS2_PLACEMENT_3D('',#488605,#397706,#397707); #345822=AXIS2_PLACEMENT_3D('',#488611,#397711,#397712); #345823=AXIS2_PLACEMENT_3D('',#488617,#397716,#397717); #345824=AXIS2_PLACEMENT_3D('',#488623,#397721,#397722); #345825=AXIS2_PLACEMENT_3D('',#488629,#397726,#397727); #345826=AXIS2_PLACEMENT_3D('',#488635,#397731,#397732); #345827=AXIS2_PLACEMENT_3D('',#488638,#397735,#397736); #345828=AXIS2_PLACEMENT_3D('',#488639,#397737,#397738); #345829=AXIS2_PLACEMENT_3D('',#488640,#397739,#397740); #345830=AXIS2_PLACEMENT_3D('',#488649,#397745,#397746); #345831=AXIS2_PLACEMENT_3D('',#488651,#397747,#397748); #345832=AXIS2_PLACEMENT_3D('',#488653,#397749,#397750); #345833=AXIS2_PLACEMENT_3D('',#488655,#397752,#397753); #345834=AXIS2_PLACEMENT_3D('',#488661,#397757,#397758); #345835=AXIS2_PLACEMENT_3D('',#488662,#397759,#397760); #345836=AXIS2_PLACEMENT_3D('',#488663,#397761,#397762); #345837=AXIS2_PLACEMENT_3D('',#488664,#397763,#397764); #345838=AXIS2_PLACEMENT_3D('',#488673,#397769,#397770); #345839=AXIS2_PLACEMENT_3D('',#488679,#397774,#397775); #345840=AXIS2_PLACEMENT_3D('',#488685,#397779,#397780); #345841=AXIS2_PLACEMENT_3D('',#488691,#397784,#397785); #345842=AXIS2_PLACEMENT_3D('',#488697,#397789,#397790); #345843=AXIS2_PLACEMENT_3D('',#488703,#397794,#397795); #345844=AXIS2_PLACEMENT_3D('',#488709,#397799,#397800); #345845=AXIS2_PLACEMENT_3D('',#488715,#397804,#397805); #345846=AXIS2_PLACEMENT_3D('',#488721,#397809,#397810); #345847=AXIS2_PLACEMENT_3D('',#488727,#397814,#397815); #345848=AXIS2_PLACEMENT_3D('',#488733,#397819,#397820); #345849=AXIS2_PLACEMENT_3D('',#488739,#397824,#397825); #345850=AXIS2_PLACEMENT_3D('',#488745,#397829,#397830); #345851=AXIS2_PLACEMENT_3D('',#488751,#397834,#397835); #345852=AXIS2_PLACEMENT_3D('',#488757,#397839,#397840); #345853=AXIS2_PLACEMENT_3D('',#488763,#397844,#397845); #345854=AXIS2_PLACEMENT_3D('',#488769,#397849,#397850); #345855=AXIS2_PLACEMENT_3D('',#488775,#397854,#397855); #345856=AXIS2_PLACEMENT_3D('',#488781,#397859,#397860); #345857=AXIS2_PLACEMENT_3D('',#488787,#397864,#397865); #345858=AXIS2_PLACEMENT_3D('',#488793,#397869,#397870); #345859=AXIS2_PLACEMENT_3D('',#488799,#397874,#397875); #345860=AXIS2_PLACEMENT_3D('',#488805,#397879,#397880); #345861=AXIS2_PLACEMENT_3D('',#488811,#397884,#397885); #345862=AXIS2_PLACEMENT_3D('',#488817,#397889,#397890); #345863=AXIS2_PLACEMENT_3D('',#488823,#397894,#397895); #345864=AXIS2_PLACEMENT_3D('',#488829,#397899,#397900); #345865=AXIS2_PLACEMENT_3D('',#488835,#397904,#397905); #345866=AXIS2_PLACEMENT_3D('',#488841,#397909,#397910); #345867=AXIS2_PLACEMENT_3D('',#488847,#397914,#397915); #345868=AXIS2_PLACEMENT_3D('',#488853,#397919,#397920); #345869=AXIS2_PLACEMENT_3D('',#488859,#397924,#397925); #345870=AXIS2_PLACEMENT_3D('',#488865,#397929,#397930); #345871=AXIS2_PLACEMENT_3D('',#488871,#397934,#397935); #345872=AXIS2_PLACEMENT_3D('',#488877,#397939,#397940); #345873=AXIS2_PLACEMENT_3D('',#488883,#397944,#397945); #345874=AXIS2_PLACEMENT_3D('',#488889,#397949,#397950); #345875=AXIS2_PLACEMENT_3D('',#488895,#397954,#397955); #345876=AXIS2_PLACEMENT_3D('',#488901,#397959,#397960); #345877=AXIS2_PLACEMENT_3D('',#488907,#397964,#397965); #345878=AXIS2_PLACEMENT_3D('',#488913,#397969,#397970); #345879=AXIS2_PLACEMENT_3D('',#488919,#397974,#397975); #345880=AXIS2_PLACEMENT_3D('',#488925,#397979,#397980); #345881=AXIS2_PLACEMENT_3D('',#488931,#397984,#397985); #345882=AXIS2_PLACEMENT_3D('',#488937,#397989,#397990); #345883=AXIS2_PLACEMENT_3D('',#488943,#397994,#397995); #345884=AXIS2_PLACEMENT_3D('',#488949,#397999,#398000); #345885=AXIS2_PLACEMENT_3D('',#488955,#398004,#398005); #345886=AXIS2_PLACEMENT_3D('',#488961,#398009,#398010); #345887=AXIS2_PLACEMENT_3D('',#488967,#398014,#398015); #345888=AXIS2_PLACEMENT_3D('',#488973,#398019,#398020); #345889=AXIS2_PLACEMENT_3D('',#488979,#398024,#398025); #345890=AXIS2_PLACEMENT_3D('',#488985,#398029,#398030); #345891=AXIS2_PLACEMENT_3D('',#488991,#398034,#398035); #345892=AXIS2_PLACEMENT_3D('',#488997,#398039,#398040); #345893=AXIS2_PLACEMENT_3D('',#489000,#398043,#398044); #345894=AXIS2_PLACEMENT_3D('',#489001,#398045,#398046); #345895=AXIS2_PLACEMENT_3D('',#489002,#398047,#398048); #345896=AXIS2_PLACEMENT_3D('',#489004,#398049,#398050); #345897=AXIS2_PLACEMENT_3D('',#489007,#398052,#398053); #345898=AXIS2_PLACEMENT_3D('',#489008,#398054,#398055); #345899=AXIS2_PLACEMENT_3D('',#489017,#398060,#398061); #345900=AXIS2_PLACEMENT_3D('',#489019,#398062,#398063); #345901=AXIS2_PLACEMENT_3D('',#489021,#398064,#398065); #345902=AXIS2_PLACEMENT_3D('',#489023,#398067,#398068); #345903=AXIS2_PLACEMENT_3D('',#489024,#398069,#398070); #345904=AXIS2_PLACEMENT_3D('',#489025,#398071,#398072); #345905=AXIS2_PLACEMENT_3D('',#489026,#398073,#398074); #345906=AXIS2_PLACEMENT_3D('',#489027,#398075,#398076); #345907=AXIS2_PLACEMENT_3D('',#489028,#398077,#398078); #345908=AXIS2_PLACEMENT_3D('',#489037,#398083,#398084); #345909=AXIS2_PLACEMENT_3D('',#489039,#398085,#398086); #345910=AXIS2_PLACEMENT_3D('',#489041,#398087,#398088); #345911=AXIS2_PLACEMENT_3D('',#489043,#398090,#398091); #345912=AXIS2_PLACEMENT_3D('',#489049,#398095,#398096); #345913=AXIS2_PLACEMENT_3D('',#489050,#398097,#398098); #345914=AXIS2_PLACEMENT_3D('',#489051,#398099,#398100); #345915=AXIS2_PLACEMENT_3D('',#489052,#398101,#398102); #345916=AXIS2_PLACEMENT_3D('',#489061,#398107,#398108); #345917=AXIS2_PLACEMENT_3D('',#489067,#398112,#398113); #345918=AXIS2_PLACEMENT_3D('',#489073,#398117,#398118); #345919=AXIS2_PLACEMENT_3D('',#489079,#398122,#398123); #345920=AXIS2_PLACEMENT_3D('',#489085,#398127,#398128); #345921=AXIS2_PLACEMENT_3D('',#489091,#398132,#398133); #345922=AXIS2_PLACEMENT_3D('',#489097,#398137,#398138); #345923=AXIS2_PLACEMENT_3D('',#489103,#398142,#398143); #345924=AXIS2_PLACEMENT_3D('',#489109,#398147,#398148); #345925=AXIS2_PLACEMENT_3D('',#489115,#398152,#398153); #345926=AXIS2_PLACEMENT_3D('',#489121,#398157,#398158); #345927=AXIS2_PLACEMENT_3D('',#489127,#398162,#398163); #345928=AXIS2_PLACEMENT_3D('',#489133,#398167,#398168); #345929=AXIS2_PLACEMENT_3D('',#489139,#398172,#398173); #345930=AXIS2_PLACEMENT_3D('',#489145,#398177,#398178); #345931=AXIS2_PLACEMENT_3D('',#489151,#398182,#398183); #345932=AXIS2_PLACEMENT_3D('',#489157,#398187,#398188); #345933=AXIS2_PLACEMENT_3D('',#489163,#398192,#398193); #345934=AXIS2_PLACEMENT_3D('',#489169,#398197,#398198); #345935=AXIS2_PLACEMENT_3D('',#489175,#398202,#398203); #345936=AXIS2_PLACEMENT_3D('',#489181,#398207,#398208); #345937=AXIS2_PLACEMENT_3D('',#489187,#398212,#398213); #345938=AXIS2_PLACEMENT_3D('',#489193,#398217,#398218); #345939=AXIS2_PLACEMENT_3D('',#489199,#398222,#398223); #345940=AXIS2_PLACEMENT_3D('',#489205,#398227,#398228); #345941=AXIS2_PLACEMENT_3D('',#489211,#398232,#398233); #345942=AXIS2_PLACEMENT_3D('',#489217,#398237,#398238); #345943=AXIS2_PLACEMENT_3D('',#489223,#398242,#398243); #345944=AXIS2_PLACEMENT_3D('',#489229,#398247,#398248); #345945=AXIS2_PLACEMENT_3D('',#489235,#398252,#398253); #345946=AXIS2_PLACEMENT_3D('',#489241,#398257,#398258); #345947=AXIS2_PLACEMENT_3D('',#489247,#398262,#398263); #345948=AXIS2_PLACEMENT_3D('',#489253,#398267,#398268); #345949=AXIS2_PLACEMENT_3D('',#489259,#398272,#398273); #345950=AXIS2_PLACEMENT_3D('',#489265,#398277,#398278); #345951=AXIS2_PLACEMENT_3D('',#489271,#398282,#398283); #345952=AXIS2_PLACEMENT_3D('',#489277,#398287,#398288); #345953=AXIS2_PLACEMENT_3D('',#489283,#398292,#398293); #345954=AXIS2_PLACEMENT_3D('',#489289,#398297,#398298); #345955=AXIS2_PLACEMENT_3D('',#489295,#398302,#398303); #345956=AXIS2_PLACEMENT_3D('',#489301,#398307,#398308); #345957=AXIS2_PLACEMENT_3D('',#489307,#398312,#398313); #345958=AXIS2_PLACEMENT_3D('',#489313,#398317,#398318); #345959=AXIS2_PLACEMENT_3D('',#489319,#398322,#398323); #345960=AXIS2_PLACEMENT_3D('',#489325,#398327,#398328); #345961=AXIS2_PLACEMENT_3D('',#489331,#398332,#398333); #345962=AXIS2_PLACEMENT_3D('',#489337,#398337,#398338); #345963=AXIS2_PLACEMENT_3D('',#489343,#398342,#398343); #345964=AXIS2_PLACEMENT_3D('',#489349,#398347,#398348); #345965=AXIS2_PLACEMENT_3D('',#489355,#398352,#398353); #345966=AXIS2_PLACEMENT_3D('',#489361,#398357,#398358); #345967=AXIS2_PLACEMENT_3D('',#489367,#398362,#398363); #345968=AXIS2_PLACEMENT_3D('',#489373,#398367,#398368); #345969=AXIS2_PLACEMENT_3D('',#489379,#398372,#398373); #345970=AXIS2_PLACEMENT_3D('',#489385,#398377,#398378); #345971=AXIS2_PLACEMENT_3D('',#489388,#398381,#398382); #345972=AXIS2_PLACEMENT_3D('',#489389,#398383,#398384); #345973=AXIS2_PLACEMENT_3D('',#489390,#398385,#398386); #345974=AXIS2_PLACEMENT_3D('',#489392,#398387,#398388); #345975=AXIS2_PLACEMENT_3D('',#489395,#398390,#398391); #345976=AXIS2_PLACEMENT_3D('',#489396,#398392,#398393); #345977=AXIS2_PLACEMENT_3D('',#489405,#398398,#398399); #345978=AXIS2_PLACEMENT_3D('',#489411,#398403,#398404); #345979=AXIS2_PLACEMENT_3D('',#489417,#398408,#398409); #345980=AXIS2_PLACEMENT_3D('',#489423,#398413,#398414); #345981=AXIS2_PLACEMENT_3D('',#489429,#398418,#398419); #345982=AXIS2_PLACEMENT_3D('',#489435,#398423,#398424); #345983=AXIS2_PLACEMENT_3D('',#489441,#398428,#398429); #345984=AXIS2_PLACEMENT_3D('',#489444,#398432,#398433); #345985=AXIS2_PLACEMENT_3D('',#489445,#398434,#398435); #345986=AXIS2_PLACEMENT_3D('',#489446,#398436,#398437); #345987=AXIS2_PLACEMENT_3D('',#489448,#398438,#398439); #345988=AXIS2_PLACEMENT_3D('',#489451,#398441,#398442); #345989=AXIS2_PLACEMENT_3D('',#489452,#398443,#398444); #345990=AXIS2_PLACEMENT_3D('',#489461,#398449,#398450); #345991=AXIS2_PLACEMENT_3D('',#489463,#398451,#398452); #345992=AXIS2_PLACEMENT_3D('',#489465,#398453,#398454); #345993=AXIS2_PLACEMENT_3D('',#489467,#398456,#398457); #345994=AXIS2_PLACEMENT_3D('',#489468,#398458,#398459); #345995=AXIS2_PLACEMENT_3D('',#489469,#398460,#398461); #345996=AXIS2_PLACEMENT_3D('',#489470,#398462,#398463); #345997=AXIS2_PLACEMENT_3D('',#489471,#398464,#398465); #345998=AXIS2_PLACEMENT_3D('',#489472,#398466,#398467); #345999=AXIS2_PLACEMENT_3D('',#489481,#398472,#398473); #346000=AXIS2_PLACEMENT_3D('',#489483,#398474,#398475); #346001=AXIS2_PLACEMENT_3D('',#489485,#398476,#398477); #346002=AXIS2_PLACEMENT_3D('',#489487,#398479,#398480); #346003=AXIS2_PLACEMENT_3D('',#489493,#398484,#398485); #346004=AXIS2_PLACEMENT_3D('',#489494,#398486,#398487); #346005=AXIS2_PLACEMENT_3D('',#489495,#398488,#398489); #346006=AXIS2_PLACEMENT_3D('',#489496,#398490,#398491); #346007=AXIS2_PLACEMENT_3D('',#489505,#398496,#398497); #346008=AXIS2_PLACEMENT_3D('',#489511,#398501,#398502); #346009=AXIS2_PLACEMENT_3D('',#489517,#398506,#398507); #346010=AXIS2_PLACEMENT_3D('',#489523,#398511,#398512); #346011=AXIS2_PLACEMENT_3D('',#489529,#398516,#398517); #346012=AXIS2_PLACEMENT_3D('',#489535,#398521,#398522); #346013=AXIS2_PLACEMENT_3D('',#489541,#398526,#398527); #346014=AXIS2_PLACEMENT_3D('',#489547,#398531,#398532); #346015=AXIS2_PLACEMENT_3D('',#489553,#398536,#398537); #346016=AXIS2_PLACEMENT_3D('',#489559,#398541,#398542); #346017=AXIS2_PLACEMENT_3D('',#489565,#398546,#398547); #346018=AXIS2_PLACEMENT_3D('',#489571,#398551,#398552); #346019=AXIS2_PLACEMENT_3D('',#489577,#398556,#398557); #346020=AXIS2_PLACEMENT_3D('',#489583,#398561,#398562); #346021=AXIS2_PLACEMENT_3D('',#489589,#398566,#398567); #346022=AXIS2_PLACEMENT_3D('',#489595,#398571,#398572); #346023=AXIS2_PLACEMENT_3D('',#489601,#398576,#398577); #346024=AXIS2_PLACEMENT_3D('',#489607,#398581,#398582); #346025=AXIS2_PLACEMENT_3D('',#489613,#398586,#398587); #346026=AXIS2_PLACEMENT_3D('',#489619,#398591,#398592); #346027=AXIS2_PLACEMENT_3D('',#489625,#398596,#398597); #346028=AXIS2_PLACEMENT_3D('',#489631,#398601,#398602); #346029=AXIS2_PLACEMENT_3D('',#489637,#398606,#398607); #346030=AXIS2_PLACEMENT_3D('',#489643,#398611,#398612); #346031=AXIS2_PLACEMENT_3D('',#489649,#398616,#398617); #346032=AXIS2_PLACEMENT_3D('',#489655,#398621,#398622); #346033=AXIS2_PLACEMENT_3D('',#489661,#398626,#398627); #346034=AXIS2_PLACEMENT_3D('',#489667,#398631,#398632); #346035=AXIS2_PLACEMENT_3D('',#489673,#398636,#398637); #346036=AXIS2_PLACEMENT_3D('',#489679,#398641,#398642); #346037=AXIS2_PLACEMENT_3D('',#489685,#398646,#398647); #346038=AXIS2_PLACEMENT_3D('',#489691,#398651,#398652); #346039=AXIS2_PLACEMENT_3D('',#489697,#398656,#398657); #346040=AXIS2_PLACEMENT_3D('',#489703,#398661,#398662); #346041=AXIS2_PLACEMENT_3D('',#489709,#398666,#398667); #346042=AXIS2_PLACEMENT_3D('',#489715,#398671,#398672); #346043=AXIS2_PLACEMENT_3D('',#489721,#398676,#398677); #346044=AXIS2_PLACEMENT_3D('',#489727,#398681,#398682); #346045=AXIS2_PLACEMENT_3D('',#489733,#398686,#398687); #346046=AXIS2_PLACEMENT_3D('',#489739,#398691,#398692); #346047=AXIS2_PLACEMENT_3D('',#489745,#398696,#398697); #346048=AXIS2_PLACEMENT_3D('',#489751,#398701,#398702); #346049=AXIS2_PLACEMENT_3D('',#489757,#398706,#398707); #346050=AXIS2_PLACEMENT_3D('',#489763,#398711,#398712); #346051=AXIS2_PLACEMENT_3D('',#489769,#398716,#398717); #346052=AXIS2_PLACEMENT_3D('',#489775,#398721,#398722); #346053=AXIS2_PLACEMENT_3D('',#489781,#398726,#398727); #346054=AXIS2_PLACEMENT_3D('',#489787,#398731,#398732); #346055=AXIS2_PLACEMENT_3D('',#489793,#398736,#398737); #346056=AXIS2_PLACEMENT_3D('',#489799,#398741,#398742); #346057=AXIS2_PLACEMENT_3D('',#489805,#398746,#398747); #346058=AXIS2_PLACEMENT_3D('',#489811,#398751,#398752); #346059=AXIS2_PLACEMENT_3D('',#489817,#398756,#398757); #346060=AXIS2_PLACEMENT_3D('',#489823,#398761,#398762); #346061=AXIS2_PLACEMENT_3D('',#489829,#398766,#398767); #346062=AXIS2_PLACEMENT_3D('',#489835,#398771,#398772); #346063=AXIS2_PLACEMENT_3D('',#489841,#398776,#398777); #346064=AXIS2_PLACEMENT_3D('',#489847,#398781,#398782); #346065=AXIS2_PLACEMENT_3D('',#489853,#398786,#398787); #346066=AXIS2_PLACEMENT_3D('',#489859,#398791,#398792); #346067=AXIS2_PLACEMENT_3D('',#489865,#398796,#398797); #346068=AXIS2_PLACEMENT_3D('',#489871,#398801,#398802); #346069=AXIS2_PLACEMENT_3D('',#489877,#398806,#398807); #346070=AXIS2_PLACEMENT_3D('',#489883,#398811,#398812); #346071=AXIS2_PLACEMENT_3D('',#489889,#398816,#398817); #346072=AXIS2_PLACEMENT_3D('',#489895,#398821,#398822); #346073=AXIS2_PLACEMENT_3D('',#489901,#398826,#398827); #346074=AXIS2_PLACEMENT_3D('',#489907,#398831,#398832); #346075=AXIS2_PLACEMENT_3D('',#489913,#398836,#398837); #346076=AXIS2_PLACEMENT_3D('',#489919,#398841,#398842); #346077=AXIS2_PLACEMENT_3D('',#489925,#398846,#398847); #346078=AXIS2_PLACEMENT_3D('',#489928,#398850,#398851); #346079=AXIS2_PLACEMENT_3D('',#489929,#398852,#398853); #346080=AXIS2_PLACEMENT_3D('',#489930,#398854,#398855); #346081=AXIS2_PLACEMENT_3D('',#489932,#398856,#398857); #346082=AXIS2_PLACEMENT_3D('',#489935,#398859,#398860); #346083=AXIS2_PLACEMENT_3D('',#489936,#398861,#398862); #346084=AXIS2_PLACEMENT_3D('',#489945,#398867,#398868); #346085=AXIS2_PLACEMENT_3D('',#489951,#398872,#398873); #346086=AXIS2_PLACEMENT_3D('',#489957,#398877,#398878); #346087=AXIS2_PLACEMENT_3D('',#489963,#398882,#398883); #346088=AXIS2_PLACEMENT_3D('',#489969,#398887,#398888); #346089=AXIS2_PLACEMENT_3D('',#489975,#398892,#398893); #346090=AXIS2_PLACEMENT_3D('',#489981,#398897,#398898); #346091=AXIS2_PLACEMENT_3D('',#489984,#398901,#398902); #346092=AXIS2_PLACEMENT_3D('',#489985,#398903,#398904); #346093=AXIS2_PLACEMENT_3D('',#489986,#398905,#398906); #346094=AXIS2_PLACEMENT_3D('',#489988,#398907,#398908); #346095=AXIS2_PLACEMENT_3D('',#489991,#398910,#398911); #346096=AXIS2_PLACEMENT_3D('',#489992,#398912,#398913); #346097=AXIS2_PLACEMENT_3D('',#490001,#398918,#398919); #346098=AXIS2_PLACEMENT_3D('',#490003,#398920,#398921); #346099=AXIS2_PLACEMENT_3D('',#490005,#398922,#398923); #346100=AXIS2_PLACEMENT_3D('',#490007,#398925,#398926); #346101=AXIS2_PLACEMENT_3D('',#490008,#398927,#398928); #346102=AXIS2_PLACEMENT_3D('',#490009,#398929,#398930); #346103=AXIS2_PLACEMENT_3D('',#490010,#398931,#398932); #346104=AXIS2_PLACEMENT_3D('',#490011,#398933,#398934); #346105=AXIS2_PLACEMENT_3D('',#490012,#398935,#398936); #346106=AXIS2_PLACEMENT_3D('',#490014,#398937,#398938); #346107=AXIS2_PLACEMENT_3D('',#490017,#398940,#398941); #346108=AXIS2_PLACEMENT_3D('',#490018,#398942,#398943); #346109=AXIS2_PLACEMENT_3D('',#490027,#398948,#398949); #346110=AXIS2_PLACEMENT_3D('',#490029,#398950,#398951); #346111=AXIS2_PLACEMENT_3D('',#490031,#398952,#398953); #346112=AXIS2_PLACEMENT_3D('',#490033,#398955,#398956); #346113=AXIS2_PLACEMENT_3D('',#490034,#398957,#398958); #346114=AXIS2_PLACEMENT_3D('',#490035,#398959,#398960); #346115=AXIS2_PLACEMENT_3D('',#490036,#398961,#398962); #346116=AXIS2_PLACEMENT_3D('',#490037,#398963,#398964); #346117=AXIS2_PLACEMENT_3D('',#490038,#398965,#398966); #346118=AXIS2_PLACEMENT_3D('',#490040,#398967,#398968); #346119=AXIS2_PLACEMENT_3D('',#490043,#398970,#398971); #346120=AXIS2_PLACEMENT_3D('',#490044,#398972,#398973); #346121=AXIS2_PLACEMENT_3D('',#490053,#398978,#398979); #346122=AXIS2_PLACEMENT_3D('',#490055,#398980,#398981); #346123=AXIS2_PLACEMENT_3D('',#490057,#398982,#398983); #346124=AXIS2_PLACEMENT_3D('',#490059,#398985,#398986); #346125=AXIS2_PLACEMENT_3D('',#490060,#398987,#398988); #346126=AXIS2_PLACEMENT_3D('',#490061,#398989,#398990); #346127=AXIS2_PLACEMENT_3D('',#490062,#398991,#398992); #346128=AXIS2_PLACEMENT_3D('',#490063,#398993,#398994); #346129=AXIS2_PLACEMENT_3D('',#490064,#398995,#398996); #346130=AXIS2_PLACEMENT_3D('',#490066,#398997,#398998); #346131=AXIS2_PLACEMENT_3D('',#490069,#399000,#399001); #346132=AXIS2_PLACEMENT_3D('',#490070,#399002,#399003); #346133=AXIS2_PLACEMENT_3D('',#490079,#399008,#399009); #346134=AXIS2_PLACEMENT_3D('',#490085,#399013,#399014); #346135=AXIS2_PLACEMENT_3D('',#490091,#399018,#399019); #346136=AXIS2_PLACEMENT_3D('',#490094,#399022,#399023); #346137=AXIS2_PLACEMENT_3D('',#490095,#399024,#399025); #346138=AXIS2_PLACEMENT_3D('',#490096,#399026,#399027); #346139=AXIS2_PLACEMENT_3D('',#490098,#399028,#399029); #346140=AXIS2_PLACEMENT_3D('',#490101,#399031,#399032); #346141=AXIS2_PLACEMENT_3D('',#490102,#399033,#399034); #346142=AXIS2_PLACEMENT_3D('',#490111,#399039,#399040); #346143=AXIS2_PLACEMENT_3D('',#490117,#399044,#399045); #346144=AXIS2_PLACEMENT_3D('',#490123,#399049,#399050); #346145=AXIS2_PLACEMENT_3D('',#490129,#399054,#399055); #346146=AXIS2_PLACEMENT_3D('',#490135,#399059,#399060); #346147=AXIS2_PLACEMENT_3D('',#490141,#399064,#399065); #346148=AXIS2_PLACEMENT_3D('',#490147,#399069,#399070); #346149=AXIS2_PLACEMENT_3D('',#490150,#399073,#399074); #346150=AXIS2_PLACEMENT_3D('',#490151,#399075,#399076); #346151=AXIS2_PLACEMENT_3D('',#490152,#399077,#399078); #346152=AXIS2_PLACEMENT_3D('',#490154,#399079,#399080); #346153=AXIS2_PLACEMENT_3D('',#490157,#399082,#399083); #346154=AXIS2_PLACEMENT_3D('',#490158,#399084,#399085); #346155=AXIS2_PLACEMENT_3D('',#490167,#399090,#399091); #346156=AXIS2_PLACEMENT_3D('',#490169,#399092,#399093); #346157=AXIS2_PLACEMENT_3D('',#490171,#399094,#399095); #346158=AXIS2_PLACEMENT_3D('',#490173,#399097,#399098); #346159=AXIS2_PLACEMENT_3D('',#490174,#399099,#399100); #346160=AXIS2_PLACEMENT_3D('',#490175,#399101,#399102); #346161=AXIS2_PLACEMENT_3D('',#490176,#399103,#399104); #346162=AXIS2_PLACEMENT_3D('',#490177,#399105,#399106); #346163=AXIS2_PLACEMENT_3D('',#490178,#399107,#399108); #346164=AXIS2_PLACEMENT_3D('',#490180,#399109,#399110); #346165=AXIS2_PLACEMENT_3D('',#490183,#399112,#399113); #346166=AXIS2_PLACEMENT_3D('',#490184,#399114,#399115); #346167=AXIS2_PLACEMENT_3D('',#490193,#399120,#399121); #346168=AXIS2_PLACEMENT_3D('',#490195,#399122,#399123); #346169=AXIS2_PLACEMENT_3D('',#490197,#399124,#399125); #346170=AXIS2_PLACEMENT_3D('',#490199,#399127,#399128); #346171=AXIS2_PLACEMENT_3D('',#490200,#399129,#399130); #346172=AXIS2_PLACEMENT_3D('',#490201,#399131,#399132); #346173=AXIS2_PLACEMENT_3D('',#490202,#399133,#399134); #346174=AXIS2_PLACEMENT_3D('',#490203,#399135,#399136); #346175=AXIS2_PLACEMENT_3D('',#490204,#399137,#399138); #346176=AXIS2_PLACEMENT_3D('',#490213,#399143,#399144); #346177=AXIS2_PLACEMENT_3D('',#490219,#399148,#399149); #346178=AXIS2_PLACEMENT_3D('',#490225,#399153,#399154); #346179=AXIS2_PLACEMENT_3D('',#490228,#399157,#399158); #346180=AXIS2_PLACEMENT_3D('',#490229,#399159,#399160); #346181=AXIS2_PLACEMENT_3D('',#490230,#399161,#399162); #346182=AXIS2_PLACEMENT_3D('',#490239,#399167,#399168); #346183=AXIS2_PLACEMENT_3D('',#490245,#399172,#399173); #346184=AXIS2_PLACEMENT_3D('',#490251,#399177,#399178); #346185=AXIS2_PLACEMENT_3D('',#490254,#399181,#399182); #346186=AXIS2_PLACEMENT_3D('',#490255,#399183,#399184); #346187=AXIS2_PLACEMENT_3D('',#490256,#399185,#399186); #346188=AXIS2_PLACEMENT_3D('',#490265,#399191,#399192); #346189=AXIS2_PLACEMENT_3D('',#490271,#399196,#399197); #346190=AXIS2_PLACEMENT_3D('',#490277,#399201,#399202); #346191=AXIS2_PLACEMENT_3D('',#490280,#399205,#399206); #346192=AXIS2_PLACEMENT_3D('',#490281,#399207,#399208); #346193=AXIS2_PLACEMENT_3D('',#490282,#399209,#399210); #346194=AXIS2_PLACEMENT_3D('',#490291,#399215,#399216); #346195=AXIS2_PLACEMENT_3D('',#490297,#399220,#399221); #346196=AXIS2_PLACEMENT_3D('',#490303,#399225,#399226); #346197=AXIS2_PLACEMENT_3D('',#490306,#399229,#399230); #346198=AXIS2_PLACEMENT_3D('',#490307,#399231,#399232); #346199=AXIS2_PLACEMENT_3D('',#490308,#399233,#399234); #346200=AXIS2_PLACEMENT_3D('',#490317,#399239,#399240); #346201=AXIS2_PLACEMENT_3D('',#490323,#399244,#399245); #346202=AXIS2_PLACEMENT_3D('',#490329,#399249,#399250); #346203=AXIS2_PLACEMENT_3D('',#490332,#399253,#399254); #346204=AXIS2_PLACEMENT_3D('',#490333,#399255,#399256); #346205=AXIS2_PLACEMENT_3D('',#490334,#399257,#399258); #346206=AXIS2_PLACEMENT_3D('',#490343,#399263,#399264); #346207=AXIS2_PLACEMENT_3D('',#490349,#399268,#399269); #346208=AXIS2_PLACEMENT_3D('',#490355,#399273,#399274); #346209=AXIS2_PLACEMENT_3D('',#490358,#399277,#399278); #346210=AXIS2_PLACEMENT_3D('',#490359,#399279,#399280); #346211=AXIS2_PLACEMENT_3D('',#490360,#399281,#399282); #346212=AXIS2_PLACEMENT_3D('',#490369,#399287,#399288); #346213=AXIS2_PLACEMENT_3D('',#490375,#399292,#399293); #346214=AXIS2_PLACEMENT_3D('',#490381,#399297,#399298); #346215=AXIS2_PLACEMENT_3D('',#490384,#399301,#399302); #346216=AXIS2_PLACEMENT_3D('',#490385,#399303,#399304); #346217=AXIS2_PLACEMENT_3D('',#490386,#399305,#399306); #346218=AXIS2_PLACEMENT_3D('',#490395,#399311,#399312); #346219=AXIS2_PLACEMENT_3D('',#490401,#399316,#399317); #346220=AXIS2_PLACEMENT_3D('',#490407,#399321,#399322); #346221=AXIS2_PLACEMENT_3D('',#490410,#399325,#399326); #346222=AXIS2_PLACEMENT_3D('',#490411,#399327,#399328); #346223=AXIS2_PLACEMENT_3D('',#490412,#399329,#399330); #346224=AXIS2_PLACEMENT_3D('',#490421,#399335,#399336); #346225=AXIS2_PLACEMENT_3D('',#490427,#399340,#399341); #346226=AXIS2_PLACEMENT_3D('',#490433,#399345,#399346); #346227=AXIS2_PLACEMENT_3D('',#490436,#399349,#399350); #346228=AXIS2_PLACEMENT_3D('',#490437,#399351,#399352); #346229=AXIS2_PLACEMENT_3D('',#490438,#399353,#399354); #346230=AXIS2_PLACEMENT_3D('',#490447,#399359,#399360); #346231=AXIS2_PLACEMENT_3D('',#490453,#399364,#399365); #346232=AXIS2_PLACEMENT_3D('',#490459,#399369,#399370); #346233=AXIS2_PLACEMENT_3D('',#490462,#399373,#399374); #346234=AXIS2_PLACEMENT_3D('',#490463,#399375,#399376); #346235=AXIS2_PLACEMENT_3D('',#490464,#399377,#399378); #346236=AXIS2_PLACEMENT_3D('',#490473,#399383,#399384); #346237=AXIS2_PLACEMENT_3D('',#490479,#399388,#399389); #346238=AXIS2_PLACEMENT_3D('',#490485,#399393,#399394); #346239=AXIS2_PLACEMENT_3D('',#490488,#399397,#399398); #346240=AXIS2_PLACEMENT_3D('',#490489,#399399,#399400); #346241=AXIS2_PLACEMENT_3D('',#490490,#399401,#399402); #346242=AXIS2_PLACEMENT_3D('',#490499,#399407,#399408); #346243=AXIS2_PLACEMENT_3D('',#490505,#399412,#399413); #346244=AXIS2_PLACEMENT_3D('',#490511,#399417,#399418); #346245=AXIS2_PLACEMENT_3D('',#490514,#399421,#399422); #346246=AXIS2_PLACEMENT_3D('',#490515,#399423,#399424); #346247=AXIS2_PLACEMENT_3D('',#490516,#399425,#399426); #346248=AXIS2_PLACEMENT_3D('',#490525,#399431,#399432); #346249=AXIS2_PLACEMENT_3D('',#490531,#399436,#399437); #346250=AXIS2_PLACEMENT_3D('',#490537,#399441,#399442); #346251=AXIS2_PLACEMENT_3D('',#490540,#399445,#399446); #346252=AXIS2_PLACEMENT_3D('',#490541,#399447,#399448); #346253=AXIS2_PLACEMENT_3D('',#490542,#399449,#399450); #346254=AXIS2_PLACEMENT_3D('',#490551,#399455,#399456); #346255=AXIS2_PLACEMENT_3D('',#490557,#399460,#399461); #346256=AXIS2_PLACEMENT_3D('',#490563,#399465,#399466); #346257=AXIS2_PLACEMENT_3D('',#490566,#399469,#399470); #346258=AXIS2_PLACEMENT_3D('',#490567,#399471,#399472); #346259=AXIS2_PLACEMENT_3D('',#490568,#399473,#399474); #346260=AXIS2_PLACEMENT_3D('',#490570,#399475,#399476); #346261=AXIS2_PLACEMENT_3D('',#490573,#399478,#399479); #346262=AXIS2_PLACEMENT_3D('',#490574,#399480,#399481); #346263=AXIS2_PLACEMENT_3D('',#490576,#399482,#399483); #346264=AXIS2_PLACEMENT_3D('',#490579,#399485,#399486); #346265=AXIS2_PLACEMENT_3D('',#490580,#399487,#399488); #346266=AXIS2_PLACEMENT_3D('',#490582,#399489,#399490); #346267=AXIS2_PLACEMENT_3D('',#490585,#399492,#399493); #346268=AXIS2_PLACEMENT_3D('',#490586,#399494,#399495); #346269=AXIS2_PLACEMENT_3D('',#490588,#399496,#399497); #346270=AXIS2_PLACEMENT_3D('',#490591,#399499,#399500); #346271=AXIS2_PLACEMENT_3D('',#490592,#399501,#399502); #346272=AXIS2_PLACEMENT_3D('',#490594,#399503,#399504); #346273=AXIS2_PLACEMENT_3D('',#490597,#399506,#399507); #346274=AXIS2_PLACEMENT_3D('',#490598,#399508,#399509); #346275=AXIS2_PLACEMENT_3D('',#490600,#399510,#399511); #346276=AXIS2_PLACEMENT_3D('',#490603,#399513,#399514); #346277=AXIS2_PLACEMENT_3D('',#490604,#399515,#399516); #346278=AXIS2_PLACEMENT_3D('',#490613,#399521,#399522); #346279=AXIS2_PLACEMENT_3D('',#490619,#399526,#399527); #346280=AXIS2_PLACEMENT_3D('',#490625,#399531,#399532); #346281=AXIS2_PLACEMENT_3D('',#490628,#399535,#399536); #346282=AXIS2_PLACEMENT_3D('',#490629,#399537,#399538); #346283=AXIS2_PLACEMENT_3D('',#490630,#399539,#399540); #346284=AXIS2_PLACEMENT_3D('',#490639,#399545,#399546); #346285=AXIS2_PLACEMENT_3D('',#490645,#399550,#399551); #346286=AXIS2_PLACEMENT_3D('',#490651,#399555,#399556); #346287=AXIS2_PLACEMENT_3D('',#490654,#399559,#399560); #346288=AXIS2_PLACEMENT_3D('',#490655,#399561,#399562); #346289=AXIS2_PLACEMENT_3D('',#490656,#399563,#399564); #346290=AXIS2_PLACEMENT_3D('',#490665,#399569,#399570); #346291=AXIS2_PLACEMENT_3D('',#490671,#399574,#399575); #346292=AXIS2_PLACEMENT_3D('',#490677,#399579,#399580); #346293=AXIS2_PLACEMENT_3D('',#490680,#399583,#399584); #346294=AXIS2_PLACEMENT_3D('',#490681,#399585,#399586); #346295=AXIS2_PLACEMENT_3D('',#490682,#399587,#399588); #346296=AXIS2_PLACEMENT_3D('',#490691,#399593,#399594); #346297=AXIS2_PLACEMENT_3D('',#490697,#399598,#399599); #346298=AXIS2_PLACEMENT_3D('',#490703,#399603,#399604); #346299=AXIS2_PLACEMENT_3D('',#490706,#399607,#399608); #346300=AXIS2_PLACEMENT_3D('',#490707,#399609,#399610); #346301=AXIS2_PLACEMENT_3D('',#490708,#399611,#399612); #346302=AXIS2_PLACEMENT_3D('',#490717,#399617,#399618); #346303=AXIS2_PLACEMENT_3D('',#490723,#399622,#399623); #346304=AXIS2_PLACEMENT_3D('',#490729,#399627,#399628); #346305=AXIS2_PLACEMENT_3D('',#490732,#399631,#399632); #346306=AXIS2_PLACEMENT_3D('',#490733,#399633,#399634); #346307=AXIS2_PLACEMENT_3D('',#490734,#399635,#399636); #346308=AXIS2_PLACEMENT_3D('',#490743,#399641,#399642); #346309=AXIS2_PLACEMENT_3D('',#490749,#399646,#399647); #346310=AXIS2_PLACEMENT_3D('',#490755,#399651,#399652); #346311=AXIS2_PLACEMENT_3D('',#490758,#399655,#399656); #346312=AXIS2_PLACEMENT_3D('',#490759,#399657,#399658); #346313=AXIS2_PLACEMENT_3D('',#490760,#399659,#399660); #346314=AXIS2_PLACEMENT_3D('',#490769,#399665,#399666); #346315=AXIS2_PLACEMENT_3D('',#490775,#399670,#399671); #346316=AXIS2_PLACEMENT_3D('',#490781,#399675,#399676); #346317=AXIS2_PLACEMENT_3D('',#490784,#399679,#399680); #346318=AXIS2_PLACEMENT_3D('',#490785,#399681,#399682); #346319=AXIS2_PLACEMENT_3D('',#490786,#399683,#399684); #346320=AXIS2_PLACEMENT_3D('',#490795,#399689,#399690); #346321=AXIS2_PLACEMENT_3D('',#490801,#399694,#399695); #346322=AXIS2_PLACEMENT_3D('',#490807,#399699,#399700); #346323=AXIS2_PLACEMENT_3D('',#490810,#399703,#399704); #346324=AXIS2_PLACEMENT_3D('',#490811,#399705,#399706); #346325=AXIS2_PLACEMENT_3D('',#490812,#399707,#399708); #346326=AXIS2_PLACEMENT_3D('',#490821,#399713,#399714); #346327=AXIS2_PLACEMENT_3D('',#490827,#399718,#399719); #346328=AXIS2_PLACEMENT_3D('',#490833,#399723,#399724); #346329=AXIS2_PLACEMENT_3D('',#490836,#399727,#399728); #346330=AXIS2_PLACEMENT_3D('',#490837,#399729,#399730); #346331=AXIS2_PLACEMENT_3D('',#490838,#399731,#399732); #346332=AXIS2_PLACEMENT_3D('',#490847,#399737,#399738); #346333=AXIS2_PLACEMENT_3D('',#490853,#399742,#399743); #346334=AXIS2_PLACEMENT_3D('',#490859,#399747,#399748); #346335=AXIS2_PLACEMENT_3D('',#490862,#399751,#399752); #346336=AXIS2_PLACEMENT_3D('',#490863,#399753,#399754); #346337=AXIS2_PLACEMENT_3D('',#490864,#399755,#399756); #346338=AXIS2_PLACEMENT_3D('',#490873,#399761,#399762); #346339=AXIS2_PLACEMENT_3D('',#490879,#399766,#399767); #346340=AXIS2_PLACEMENT_3D('',#490885,#399771,#399772); #346341=AXIS2_PLACEMENT_3D('',#490888,#399775,#399776); #346342=AXIS2_PLACEMENT_3D('',#490889,#399777,#399778); #346343=AXIS2_PLACEMENT_3D('',#490890,#399779,#399780); #346344=AXIS2_PLACEMENT_3D('',#490899,#399785,#399786); #346345=AXIS2_PLACEMENT_3D('',#490905,#399790,#399791); #346346=AXIS2_PLACEMENT_3D('',#490911,#399795,#399796); #346347=AXIS2_PLACEMENT_3D('',#490914,#399799,#399800); #346348=AXIS2_PLACEMENT_3D('',#490915,#399801,#399802); #346349=AXIS2_PLACEMENT_3D('',#490916,#399803,#399804); #346350=AXIS2_PLACEMENT_3D('',#490925,#399809,#399810); #346351=AXIS2_PLACEMENT_3D('',#490931,#399814,#399815); #346352=AXIS2_PLACEMENT_3D('',#490937,#399819,#399820); #346353=AXIS2_PLACEMENT_3D('',#490940,#399823,#399824); #346354=AXIS2_PLACEMENT_3D('',#490941,#399825,#399826); #346355=AXIS2_PLACEMENT_3D('',#490942,#399827,#399828); #346356=AXIS2_PLACEMENT_3D('',#490951,#399833,#399834); #346357=AXIS2_PLACEMENT_3D('',#490957,#399838,#399839); #346358=AXIS2_PLACEMENT_3D('',#490963,#399843,#399844); #346359=AXIS2_PLACEMENT_3D('',#490966,#399847,#399848); #346360=AXIS2_PLACEMENT_3D('',#490967,#399849,#399850); #346361=AXIS2_PLACEMENT_3D('',#490968,#399851,#399852); #346362=AXIS2_PLACEMENT_3D('',#490977,#399857,#399858); #346363=AXIS2_PLACEMENT_3D('',#490983,#399862,#399863); #346364=AXIS2_PLACEMENT_3D('',#490989,#399867,#399868); #346365=AXIS2_PLACEMENT_3D('',#490992,#399871,#399872); #346366=AXIS2_PLACEMENT_3D('',#490993,#399873,#399874); #346367=AXIS2_PLACEMENT_3D('',#490994,#399875,#399876); #346368=AXIS2_PLACEMENT_3D('',#491003,#399881,#399882); #346369=AXIS2_PLACEMENT_3D('',#491009,#399886,#399887); #346370=AXIS2_PLACEMENT_3D('',#491015,#399891,#399892); #346371=AXIS2_PLACEMENT_3D('',#491018,#399895,#399896); #346372=AXIS2_PLACEMENT_3D('',#491019,#399897,#399898); #346373=AXIS2_PLACEMENT_3D('',#491020,#399899,#399900); #346374=AXIS2_PLACEMENT_3D('',#491029,#399905,#399906); #346375=AXIS2_PLACEMENT_3D('',#491035,#399910,#399911); #346376=AXIS2_PLACEMENT_3D('',#491041,#399915,#399916); #346377=AXIS2_PLACEMENT_3D('',#491044,#399919,#399920); #346378=AXIS2_PLACEMENT_3D('',#491045,#399921,#399922); #346379=AXIS2_PLACEMENT_3D('',#491046,#399923,#399924); #346380=AXIS2_PLACEMENT_3D('',#491055,#399929,#399930); #346381=AXIS2_PLACEMENT_3D('',#491061,#399934,#399935); #346382=AXIS2_PLACEMENT_3D('',#491067,#399939,#399940); #346383=AXIS2_PLACEMENT_3D('',#491070,#399943,#399944); #346384=AXIS2_PLACEMENT_3D('',#491071,#399945,#399946); #346385=AXIS2_PLACEMENT_3D('',#491072,#399947,#399948); #346386=AXIS2_PLACEMENT_3D('',#491081,#399953,#399954); #346387=AXIS2_PLACEMENT_3D('',#491087,#399958,#399959); #346388=AXIS2_PLACEMENT_3D('',#491093,#399963,#399964); #346389=AXIS2_PLACEMENT_3D('',#491096,#399967,#399968); #346390=AXIS2_PLACEMENT_3D('',#491097,#399969,#399970); #346391=AXIS2_PLACEMENT_3D('',#491098,#399971,#399972); #346392=AXIS2_PLACEMENT_3D('',#491107,#399977,#399978); #346393=AXIS2_PLACEMENT_3D('',#491113,#399982,#399983); #346394=AXIS2_PLACEMENT_3D('',#491119,#399987,#399988); #346395=AXIS2_PLACEMENT_3D('',#491122,#399991,#399992); #346396=AXIS2_PLACEMENT_3D('',#491123,#399993,#399994); #346397=AXIS2_PLACEMENT_3D('',#491124,#399995,#399996); #346398=AXIS2_PLACEMENT_3D('',#491133,#400001,#400002); #346399=AXIS2_PLACEMENT_3D('',#491139,#400006,#400007); #346400=AXIS2_PLACEMENT_3D('',#491145,#400011,#400012); #346401=AXIS2_PLACEMENT_3D('',#491148,#400015,#400016); #346402=AXIS2_PLACEMENT_3D('',#491149,#400017,#400018); #346403=AXIS2_PLACEMENT_3D('',#491150,#400019,#400020); #346404=AXIS2_PLACEMENT_3D('',#491159,#400025,#400026); #346405=AXIS2_PLACEMENT_3D('',#491165,#400030,#400031); #346406=AXIS2_PLACEMENT_3D('',#491171,#400035,#400036); #346407=AXIS2_PLACEMENT_3D('',#491174,#400039,#400040); #346408=AXIS2_PLACEMENT_3D('',#491175,#400041,#400042); #346409=AXIS2_PLACEMENT_3D('',#491176,#400043,#400044); #346410=AXIS2_PLACEMENT_3D('',#491185,#400049,#400050); #346411=AXIS2_PLACEMENT_3D('',#491191,#400054,#400055); #346412=AXIS2_PLACEMENT_3D('',#491197,#400059,#400060); #346413=AXIS2_PLACEMENT_3D('',#491200,#400063,#400064); #346414=AXIS2_PLACEMENT_3D('',#491201,#400065,#400066); #346415=AXIS2_PLACEMENT_3D('',#491202,#400067,#400068); #346416=AXIS2_PLACEMENT_3D('',#491211,#400073,#400074); #346417=AXIS2_PLACEMENT_3D('',#491217,#400078,#400079); #346418=AXIS2_PLACEMENT_3D('',#491223,#400083,#400084); #346419=AXIS2_PLACEMENT_3D('',#491226,#400087,#400088); #346420=AXIS2_PLACEMENT_3D('',#491227,#400089,#400090); #346421=AXIS2_PLACEMENT_3D('',#491228,#400091,#400092); #346422=AXIS2_PLACEMENT_3D('',#491230,#400093,#400094); #346423=AXIS2_PLACEMENT_3D('',#491233,#400096,#400097); #346424=AXIS2_PLACEMENT_3D('',#491234,#400098,#400099); #346425=AXIS2_PLACEMENT_3D('',#491236,#400100,#400101); #346426=AXIS2_PLACEMENT_3D('',#491239,#400103,#400104); #346427=AXIS2_PLACEMENT_3D('',#491240,#400105,#400106); #346428=AXIS2_PLACEMENT_3D('',#491242,#400107,#400108); #346429=AXIS2_PLACEMENT_3D('',#491245,#400110,#400111); #346430=AXIS2_PLACEMENT_3D('',#491246,#400112,#400113); #346431=AXIS2_PLACEMENT_3D('',#491248,#400114,#400115); #346432=AXIS2_PLACEMENT_3D('',#491251,#400117,#400118); #346433=AXIS2_PLACEMENT_3D('',#491252,#400119,#400120); #346434=AXIS2_PLACEMENT_3D('',#491254,#400121,#400122); #346435=AXIS2_PLACEMENT_3D('',#491257,#400124,#400125); #346436=AXIS2_PLACEMENT_3D('',#491258,#400126,#400127); #346437=AXIS2_PLACEMENT_3D('',#491260,#400128,#400129); #346438=AXIS2_PLACEMENT_3D('',#491263,#400131,#400132); #346439=AXIS2_PLACEMENT_3D('',#491264,#400133,#400134); #346440=AXIS2_PLACEMENT_3D('',#491273,#400139,#400140); #346441=AXIS2_PLACEMENT_3D('',#491279,#400144,#400145); #346442=AXIS2_PLACEMENT_3D('',#491285,#400149,#400150); #346443=AXIS2_PLACEMENT_3D('',#491288,#400153,#400154); #346444=AXIS2_PLACEMENT_3D('',#491289,#400155,#400156); #346445=AXIS2_PLACEMENT_3D('',#491290,#400157,#400158); #346446=AXIS2_PLACEMENT_3D('',#491299,#400163,#400164); #346447=AXIS2_PLACEMENT_3D('',#491305,#400168,#400169); #346448=AXIS2_PLACEMENT_3D('',#491311,#400173,#400174); #346449=AXIS2_PLACEMENT_3D('',#491314,#400177,#400178); #346450=AXIS2_PLACEMENT_3D('',#491315,#400179,#400180); #346451=AXIS2_PLACEMENT_3D('',#491316,#400181,#400182); #346452=AXIS2_PLACEMENT_3D('',#491325,#400187,#400188); #346453=AXIS2_PLACEMENT_3D('',#491331,#400192,#400193); #346454=AXIS2_PLACEMENT_3D('',#491337,#400197,#400198); #346455=AXIS2_PLACEMENT_3D('',#491340,#400201,#400202); #346456=AXIS2_PLACEMENT_3D('',#491341,#400203,#400204); #346457=AXIS2_PLACEMENT_3D('',#491342,#400205,#400206); #346458=AXIS2_PLACEMENT_3D('',#491344,#400207,#400208); #346459=AXIS2_PLACEMENT_3D('',#491347,#400210,#400211); #346460=AXIS2_PLACEMENT_3D('',#491348,#400212,#400213); #346461=AXIS2_PLACEMENT_3D('',#491350,#400214,#400215); #346462=AXIS2_PLACEMENT_3D('',#491353,#400217,#400218); #346463=AXIS2_PLACEMENT_3D('',#491354,#400219,#400220); #346464=AXIS2_PLACEMENT_3D('',#491356,#400221,#400222); #346465=AXIS2_PLACEMENT_3D('',#491359,#400224,#400225); #346466=AXIS2_PLACEMENT_3D('',#491360,#400226,#400227); #346467=AXIS2_PLACEMENT_3D('',#491362,#400228,#400229); #346468=AXIS2_PLACEMENT_3D('',#491365,#400231,#400232); #346469=AXIS2_PLACEMENT_3D('',#491366,#400233,#400234); #346470=AXIS2_PLACEMENT_3D('',#491368,#400235,#400236); #346471=AXIS2_PLACEMENT_3D('',#491371,#400238,#400239); #346472=AXIS2_PLACEMENT_3D('',#491372,#400240,#400241); #346473=AXIS2_PLACEMENT_3D('',#491374,#400242,#400243); #346474=AXIS2_PLACEMENT_3D('',#491377,#400245,#400246); #346475=AXIS2_PLACEMENT_3D('',#491378,#400247,#400248); #346476=AXIS2_PLACEMENT_3D('',#491387,#400253,#400254); #346477=AXIS2_PLACEMENT_3D('',#491393,#400258,#400259); #346478=AXIS2_PLACEMENT_3D('',#491399,#400263,#400264); #346479=AXIS2_PLACEMENT_3D('',#491402,#400267,#400268); #346480=AXIS2_PLACEMENT_3D('',#491403,#400269,#400270); #346481=AXIS2_PLACEMENT_3D('',#491404,#400271,#400272); #346482=AXIS2_PLACEMENT_3D('',#491413,#400277,#400278); #346483=AXIS2_PLACEMENT_3D('',#491419,#400282,#400283); #346484=AXIS2_PLACEMENT_3D('',#491425,#400287,#400288); #346485=AXIS2_PLACEMENT_3D('',#491428,#400291,#400292); #346486=AXIS2_PLACEMENT_3D('',#491429,#400293,#400294); #346487=AXIS2_PLACEMENT_3D('',#491430,#400295,#400296); #346488=AXIS2_PLACEMENT_3D('',#491439,#400301,#400302); #346489=AXIS2_PLACEMENT_3D('',#491445,#400306,#400307); #346490=AXIS2_PLACEMENT_3D('',#491451,#400311,#400312); #346491=AXIS2_PLACEMENT_3D('',#491454,#400315,#400316); #346492=AXIS2_PLACEMENT_3D('',#491455,#400317,#400318); #346493=AXIS2_PLACEMENT_3D('',#491456,#400319,#400320); #346494=AXIS2_PLACEMENT_3D('',#491465,#400325,#400326); #346495=AXIS2_PLACEMENT_3D('',#491471,#400330,#400331); #346496=AXIS2_PLACEMENT_3D('',#491477,#400335,#400336); #346497=AXIS2_PLACEMENT_3D('',#491480,#400339,#400340); #346498=AXIS2_PLACEMENT_3D('',#491481,#400341,#400342); #346499=AXIS2_PLACEMENT_3D('',#491482,#400343,#400344); #346500=AXIS2_PLACEMENT_3D('',#491491,#400349,#400350); #346501=AXIS2_PLACEMENT_3D('',#491497,#400354,#400355); #346502=AXIS2_PLACEMENT_3D('',#491503,#400359,#400360); #346503=AXIS2_PLACEMENT_3D('',#491506,#400363,#400364); #346504=AXIS2_PLACEMENT_3D('',#491507,#400365,#400366); #346505=AXIS2_PLACEMENT_3D('',#491508,#400367,#400368); #346506=AXIS2_PLACEMENT_3D('',#491517,#400373,#400374); #346507=AXIS2_PLACEMENT_3D('',#491523,#400378,#400379); #346508=AXIS2_PLACEMENT_3D('',#491529,#400383,#400384); #346509=AXIS2_PLACEMENT_3D('',#491532,#400387,#400388); #346510=AXIS2_PLACEMENT_3D('',#491533,#400389,#400390); #346511=AXIS2_PLACEMENT_3D('',#491534,#400391,#400392); #346512=AXIS2_PLACEMENT_3D('',#491543,#400397,#400398); #346513=AXIS2_PLACEMENT_3D('',#491549,#400402,#400403); #346514=AXIS2_PLACEMENT_3D('',#491555,#400407,#400408); #346515=AXIS2_PLACEMENT_3D('',#491558,#400411,#400412); #346516=AXIS2_PLACEMENT_3D('',#491559,#400413,#400414); #346517=AXIS2_PLACEMENT_3D('',#491560,#400415,#400416); #346518=AXIS2_PLACEMENT_3D('',#491569,#400421,#400422); #346519=AXIS2_PLACEMENT_3D('',#491575,#400426,#400427); #346520=AXIS2_PLACEMENT_3D('',#491581,#400431,#400432); #346521=AXIS2_PLACEMENT_3D('',#491584,#400435,#400436); #346522=AXIS2_PLACEMENT_3D('',#491585,#400437,#400438); #346523=AXIS2_PLACEMENT_3D('',#491586,#400439,#400440); #346524=AXIS2_PLACEMENT_3D('',#491595,#400445,#400446); #346525=AXIS2_PLACEMENT_3D('',#491601,#400450,#400451); #346526=AXIS2_PLACEMENT_3D('',#491607,#400455,#400456); #346527=AXIS2_PLACEMENT_3D('',#491610,#400459,#400460); #346528=AXIS2_PLACEMENT_3D('',#491611,#400461,#400462); #346529=AXIS2_PLACEMENT_3D('',#491612,#400463,#400464); #346530=AXIS2_PLACEMENT_3D('',#491621,#400469,#400470); #346531=AXIS2_PLACEMENT_3D('',#491627,#400474,#400475); #346532=AXIS2_PLACEMENT_3D('',#491633,#400479,#400480); #346533=AXIS2_PLACEMENT_3D('',#491636,#400483,#400484); #346534=AXIS2_PLACEMENT_3D('',#491637,#400485,#400486); #346535=AXIS2_PLACEMENT_3D('',#491638,#400487,#400488); #346536=AXIS2_PLACEMENT_3D('',#491647,#400493,#400494); #346537=AXIS2_PLACEMENT_3D('',#491653,#400498,#400499); #346538=AXIS2_PLACEMENT_3D('',#491659,#400503,#400504); #346539=AXIS2_PLACEMENT_3D('',#491662,#400507,#400508); #346540=AXIS2_PLACEMENT_3D('',#491663,#400509,#400510); #346541=AXIS2_PLACEMENT_3D('',#491664,#400511,#400512); #346542=AXIS2_PLACEMENT_3D('',#491673,#400517,#400518); #346543=AXIS2_PLACEMENT_3D('',#491679,#400522,#400523); #346544=AXIS2_PLACEMENT_3D('',#491685,#400527,#400528); #346545=AXIS2_PLACEMENT_3D('',#491688,#400531,#400532); #346546=AXIS2_PLACEMENT_3D('',#491689,#400533,#400534); #346547=AXIS2_PLACEMENT_3D('',#491690,#400535,#400536); #346548=AXIS2_PLACEMENT_3D('',#491699,#400541,#400542); #346549=AXIS2_PLACEMENT_3D('',#491705,#400546,#400547); #346550=AXIS2_PLACEMENT_3D('',#491711,#400551,#400552); #346551=AXIS2_PLACEMENT_3D('',#491714,#400555,#400556); #346552=AXIS2_PLACEMENT_3D('',#491715,#400557,#400558); #346553=AXIS2_PLACEMENT_3D('',#491716,#400559,#400560); #346554=AXIS2_PLACEMENT_3D('',#491725,#400565,#400566); #346555=AXIS2_PLACEMENT_3D('',#491731,#400570,#400571); #346556=AXIS2_PLACEMENT_3D('',#491737,#400575,#400576); #346557=AXIS2_PLACEMENT_3D('',#491740,#400579,#400580); #346558=AXIS2_PLACEMENT_3D('',#491741,#400581,#400582); #346559=AXIS2_PLACEMENT_3D('',#491742,#400583,#400584); #346560=AXIS2_PLACEMENT_3D('',#491751,#400589,#400590); #346561=AXIS2_PLACEMENT_3D('',#491757,#400594,#400595); #346562=AXIS2_PLACEMENT_3D('',#491763,#400599,#400600); #346563=AXIS2_PLACEMENT_3D('',#491766,#400603,#400604); #346564=AXIS2_PLACEMENT_3D('',#491767,#400605,#400606); #346565=AXIS2_PLACEMENT_3D('',#491768,#400607,#400608); #346566=AXIS2_PLACEMENT_3D('',#491770,#400609,#400610); #346567=AXIS2_PLACEMENT_3D('',#491773,#400612,#400613); #346568=AXIS2_PLACEMENT_3D('',#491774,#400614,#400615); #346569=AXIS2_PLACEMENT_3D('',#491776,#400616,#400617); #346570=AXIS2_PLACEMENT_3D('',#491779,#400619,#400620); #346571=AXIS2_PLACEMENT_3D('',#491780,#400621,#400622); #346572=AXIS2_PLACEMENT_3D('',#491782,#400623,#400624); #346573=AXIS2_PLACEMENT_3D('',#491785,#400626,#400627); #346574=AXIS2_PLACEMENT_3D('',#491786,#400628,#400629); #346575=AXIS2_PLACEMENT_3D('',#491788,#400630,#400631); #346576=AXIS2_PLACEMENT_3D('',#491791,#400633,#400634); #346577=AXIS2_PLACEMENT_3D('',#491792,#400635,#400636); #346578=AXIS2_PLACEMENT_3D('',#491794,#400637,#400638); #346579=AXIS2_PLACEMENT_3D('',#491797,#400640,#400641); #346580=AXIS2_PLACEMENT_3D('',#491798,#400642,#400643); #346581=AXIS2_PLACEMENT_3D('',#491800,#400644,#400645); #346582=AXIS2_PLACEMENT_3D('',#491803,#400647,#400648); #346583=AXIS2_PLACEMENT_3D('',#491804,#400649,#400650); #346584=AXIS2_PLACEMENT_3D('',#491806,#400651,#400652); #346585=AXIS2_PLACEMENT_3D('',#491809,#400654,#400655); #346586=AXIS2_PLACEMENT_3D('',#491810,#400656,#400657); #346587=AXIS2_PLACEMENT_3D('',#491812,#400658,#400659); #346588=AXIS2_PLACEMENT_3D('',#491815,#400661,#400662); #346589=AXIS2_PLACEMENT_3D('',#491816,#400663,#400664); #346590=AXIS2_PLACEMENT_3D('',#491818,#400665,#400666); #346591=AXIS2_PLACEMENT_3D('',#491821,#400668,#400669); #346592=AXIS2_PLACEMENT_3D('',#491822,#400670,#400671); #346593=AXIS2_PLACEMENT_3D('',#491824,#400672,#400673); #346594=AXIS2_PLACEMENT_3D('',#491827,#400675,#400676); #346595=AXIS2_PLACEMENT_3D('',#491828,#400677,#400678); #346596=AXIS2_PLACEMENT_3D('',#491830,#400679,#400680); #346597=AXIS2_PLACEMENT_3D('',#491833,#400682,#400683); #346598=AXIS2_PLACEMENT_3D('',#491834,#400684,#400685); #346599=AXIS2_PLACEMENT_3D('',#491836,#400686,#400687); #346600=AXIS2_PLACEMENT_3D('',#491839,#400689,#400690); #346601=AXIS2_PLACEMENT_3D('',#491840,#400691,#400692); #346602=AXIS2_PLACEMENT_3D('',#491842,#400693,#400694); #346603=AXIS2_PLACEMENT_3D('',#491845,#400696,#400697); #346604=AXIS2_PLACEMENT_3D('',#491846,#400698,#400699); #346605=AXIS2_PLACEMENT_3D('',#491848,#400700,#400701); #346606=AXIS2_PLACEMENT_3D('',#491851,#400703,#400704); #346607=AXIS2_PLACEMENT_3D('',#491852,#400705,#400706); #346608=AXIS2_PLACEMENT_3D('',#491854,#400707,#400708); #346609=AXIS2_PLACEMENT_3D('',#491857,#400710,#400711); #346610=AXIS2_PLACEMENT_3D('',#491858,#400712,#400713); #346611=AXIS2_PLACEMENT_3D('',#491860,#400714,#400715); #346612=AXIS2_PLACEMENT_3D('',#491863,#400717,#400718); #346613=AXIS2_PLACEMENT_3D('',#491864,#400719,#400720); #346614=AXIS2_PLACEMENT_3D('',#491866,#400721,#400722); #346615=AXIS2_PLACEMENT_3D('',#491869,#400724,#400725); #346616=AXIS2_PLACEMENT_3D('',#491870,#400726,#400727); #346617=AXIS2_PLACEMENT_3D('',#491872,#400728,#400729); #346618=AXIS2_PLACEMENT_3D('',#491875,#400731,#400732); #346619=AXIS2_PLACEMENT_3D('',#491876,#400733,#400734); #346620=AXIS2_PLACEMENT_3D('',#491878,#400735,#400736); #346621=AXIS2_PLACEMENT_3D('',#491881,#400738,#400739); #346622=AXIS2_PLACEMENT_3D('',#491882,#400740,#400741); #346623=AXIS2_PLACEMENT_3D('',#491884,#400742,#400743); #346624=AXIS2_PLACEMENT_3D('',#491887,#400745,#400746); #346625=AXIS2_PLACEMENT_3D('',#491888,#400747,#400748); #346626=AXIS2_PLACEMENT_3D('',#491890,#400749,#400750); #346627=AXIS2_PLACEMENT_3D('',#491893,#400752,#400753); #346628=AXIS2_PLACEMENT_3D('',#491894,#400754,#400755); #346629=AXIS2_PLACEMENT_3D('',#491896,#400756,#400757); #346630=AXIS2_PLACEMENT_3D('',#491899,#400759,#400760); #346631=AXIS2_PLACEMENT_3D('',#491900,#400761,#400762); #346632=AXIS2_PLACEMENT_3D('',#491902,#400763,#400764); #346633=AXIS2_PLACEMENT_3D('',#491905,#400766,#400767); #346634=AXIS2_PLACEMENT_3D('',#491906,#400768,#400769); #346635=AXIS2_PLACEMENT_3D('',#491908,#400770,#400771); #346636=AXIS2_PLACEMENT_3D('',#491911,#400773,#400774); #346637=AXIS2_PLACEMENT_3D('',#491912,#400775,#400776); #346638=AXIS2_PLACEMENT_3D('',#491914,#400777,#400778); #346639=AXIS2_PLACEMENT_3D('',#491917,#400780,#400781); #346640=AXIS2_PLACEMENT_3D('',#491918,#400782,#400783); #346641=AXIS2_PLACEMENT_3D('',#491920,#400784,#400785); #346642=AXIS2_PLACEMENT_3D('',#491923,#400787,#400788); #346643=AXIS2_PLACEMENT_3D('',#491924,#400789,#400790); #346644=AXIS2_PLACEMENT_3D('',#491926,#400791,#400792); #346645=AXIS2_PLACEMENT_3D('',#491929,#400794,#400795); #346646=AXIS2_PLACEMENT_3D('',#491930,#400796,#400797); #346647=AXIS2_PLACEMENT_3D('',#491932,#400798,#400799); #346648=AXIS2_PLACEMENT_3D('',#491935,#400801,#400802); #346649=AXIS2_PLACEMENT_3D('',#491936,#400803,#400804); #346650=AXIS2_PLACEMENT_3D('',#491938,#400805,#400806); #346651=AXIS2_PLACEMENT_3D('',#491941,#400808,#400809); #346652=AXIS2_PLACEMENT_3D('',#491942,#400810,#400811); #346653=AXIS2_PLACEMENT_3D('',#491944,#400812,#400813); #346654=AXIS2_PLACEMENT_3D('',#491947,#400815,#400816); #346655=AXIS2_PLACEMENT_3D('',#491948,#400817,#400818); #346656=AXIS2_PLACEMENT_3D('',#491950,#400819,#400820); #346657=AXIS2_PLACEMENT_3D('',#491953,#400822,#400823); #346658=AXIS2_PLACEMENT_3D('',#491954,#400824,#400825); #346659=AXIS2_PLACEMENT_3D('',#491956,#400826,#400827); #346660=AXIS2_PLACEMENT_3D('',#491959,#400829,#400830); #346661=AXIS2_PLACEMENT_3D('',#491960,#400831,#400832); #346662=AXIS2_PLACEMENT_3D('',#491962,#400833,#400834); #346663=AXIS2_PLACEMENT_3D('',#491965,#400836,#400837); #346664=AXIS2_PLACEMENT_3D('',#491966,#400838,#400839); #346665=AXIS2_PLACEMENT_3D('',#491968,#400840,#400841); #346666=AXIS2_PLACEMENT_3D('',#491971,#400843,#400844); #346667=AXIS2_PLACEMENT_3D('',#491972,#400845,#400846); #346668=AXIS2_PLACEMENT_3D('',#491974,#400847,#400848); #346669=AXIS2_PLACEMENT_3D('',#491977,#400850,#400851); #346670=AXIS2_PLACEMENT_3D('',#491978,#400852,#400853); #346671=AXIS2_PLACEMENT_3D('',#491980,#400854,#400855); #346672=AXIS2_PLACEMENT_3D('',#491983,#400857,#400858); #346673=AXIS2_PLACEMENT_3D('',#491984,#400859,#400860); #346674=AXIS2_PLACEMENT_3D('',#491986,#400861,#400862); #346675=AXIS2_PLACEMENT_3D('',#491989,#400864,#400865); #346676=AXIS2_PLACEMENT_3D('',#491990,#400866,#400867); #346677=AXIS2_PLACEMENT_3D('',#491992,#400868,#400869); #346678=AXIS2_PLACEMENT_3D('',#491995,#400871,#400872); #346679=AXIS2_PLACEMENT_3D('',#491996,#400873,#400874); #346680=AXIS2_PLACEMENT_3D('',#491998,#400875,#400876); #346681=AXIS2_PLACEMENT_3D('',#492001,#400878,#400879); #346682=AXIS2_PLACEMENT_3D('',#492002,#400880,#400881); #346683=AXIS2_PLACEMENT_3D('',#492004,#400882,#400883); #346684=AXIS2_PLACEMENT_3D('',#492007,#400885,#400886); #346685=AXIS2_PLACEMENT_3D('',#492008,#400887,#400888); #346686=AXIS2_PLACEMENT_3D('',#492010,#400889,#400890); #346687=AXIS2_PLACEMENT_3D('',#492013,#400892,#400893); #346688=AXIS2_PLACEMENT_3D('',#492014,#400894,#400895); #346689=AXIS2_PLACEMENT_3D('',#492016,#400896,#400897); #346690=AXIS2_PLACEMENT_3D('',#492019,#400899,#400900); #346691=AXIS2_PLACEMENT_3D('',#492020,#400901,#400902); #346692=AXIS2_PLACEMENT_3D('',#492022,#400903,#400904); #346693=AXIS2_PLACEMENT_3D('',#492025,#400906,#400907); #346694=AXIS2_PLACEMENT_3D('',#492026,#400908,#400909); #346695=AXIS2_PLACEMENT_3D('',#492028,#400910,#400911); #346696=AXIS2_PLACEMENT_3D('',#492031,#400913,#400914); #346697=AXIS2_PLACEMENT_3D('',#492032,#400915,#400916); #346698=AXIS2_PLACEMENT_3D('',#492034,#400917,#400918); #346699=AXIS2_PLACEMENT_3D('',#492037,#400920,#400921); #346700=AXIS2_PLACEMENT_3D('',#492038,#400922,#400923); #346701=AXIS2_PLACEMENT_3D('',#492040,#400924,#400925); #346702=AXIS2_PLACEMENT_3D('',#492043,#400927,#400928); #346703=AXIS2_PLACEMENT_3D('',#492044,#400929,#400930); #346704=AXIS2_PLACEMENT_3D('',#492046,#400931,#400932); #346705=AXIS2_PLACEMENT_3D('',#492049,#400934,#400935); #346706=AXIS2_PLACEMENT_3D('',#492050,#400936,#400937); #346707=AXIS2_PLACEMENT_3D('',#492052,#400938,#400939); #346708=AXIS2_PLACEMENT_3D('',#492055,#400941,#400942); #346709=AXIS2_PLACEMENT_3D('',#492056,#400943,#400944); #346710=AXIS2_PLACEMENT_3D('',#492058,#400945,#400946); #346711=AXIS2_PLACEMENT_3D('',#492061,#400948,#400949); #346712=AXIS2_PLACEMENT_3D('',#492062,#400950,#400951); #346713=AXIS2_PLACEMENT_3D('',#492071,#400956,#400957); #346714=AXIS2_PLACEMENT_3D('',#492077,#400961,#400962); #346715=AXIS2_PLACEMENT_3D('',#492083,#400966,#400967); #346716=AXIS2_PLACEMENT_3D('',#492086,#400970,#400971); #346717=AXIS2_PLACEMENT_3D('',#492087,#400972,#400973); #346718=AXIS2_PLACEMENT_3D('',#492088,#400974,#400975); #346719=AXIS2_PLACEMENT_3D('',#492097,#400980,#400981); #346720=AXIS2_PLACEMENT_3D('',#492103,#400985,#400986); #346721=AXIS2_PLACEMENT_3D('',#492109,#400990,#400991); #346722=AXIS2_PLACEMENT_3D('',#492112,#400994,#400995); #346723=AXIS2_PLACEMENT_3D('',#492113,#400996,#400997); #346724=AXIS2_PLACEMENT_3D('',#492114,#400998,#400999); #346725=AXIS2_PLACEMENT_3D('',#492123,#401004,#401005); #346726=AXIS2_PLACEMENT_3D('',#492129,#401009,#401010); #346727=AXIS2_PLACEMENT_3D('',#492135,#401014,#401015); #346728=AXIS2_PLACEMENT_3D('',#492138,#401018,#401019); #346729=AXIS2_PLACEMENT_3D('',#492139,#401020,#401021); #346730=AXIS2_PLACEMENT_3D('',#492140,#401022,#401023); #346731=AXIS2_PLACEMENT_3D('',#492149,#401028,#401029); #346732=AXIS2_PLACEMENT_3D('',#492155,#401033,#401034); #346733=AXIS2_PLACEMENT_3D('',#492161,#401038,#401039); #346734=AXIS2_PLACEMENT_3D('',#492164,#401042,#401043); #346735=AXIS2_PLACEMENT_3D('',#492165,#401044,#401045); #346736=AXIS2_PLACEMENT_3D('',#492166,#401046,#401047); #346737=AXIS2_PLACEMENT_3D('',#492175,#401052,#401053); #346738=AXIS2_PLACEMENT_3D('',#492181,#401057,#401058); #346739=AXIS2_PLACEMENT_3D('',#492187,#401062,#401063); #346740=AXIS2_PLACEMENT_3D('',#492190,#401066,#401067); #346741=AXIS2_PLACEMENT_3D('',#492191,#401068,#401069); #346742=AXIS2_PLACEMENT_3D('',#492192,#401070,#401071); #346743=AXIS2_PLACEMENT_3D('',#492201,#401076,#401077); #346744=AXIS2_PLACEMENT_3D('',#492207,#401081,#401082); #346745=AXIS2_PLACEMENT_3D('',#492213,#401086,#401087); #346746=AXIS2_PLACEMENT_3D('',#492216,#401090,#401091); #346747=AXIS2_PLACEMENT_3D('',#492217,#401092,#401093); #346748=AXIS2_PLACEMENT_3D('',#492218,#401094,#401095); #346749=AXIS2_PLACEMENT_3D('',#492227,#401100,#401101); #346750=AXIS2_PLACEMENT_3D('',#492233,#401105,#401106); #346751=AXIS2_PLACEMENT_3D('',#492239,#401110,#401111); #346752=AXIS2_PLACEMENT_3D('',#492242,#401114,#401115); #346753=AXIS2_PLACEMENT_3D('',#492243,#401116,#401117); #346754=AXIS2_PLACEMENT_3D('',#492244,#401118,#401119); #346755=AXIS2_PLACEMENT_3D('',#492253,#401124,#401125); #346756=AXIS2_PLACEMENT_3D('',#492259,#401129,#401130); #346757=AXIS2_PLACEMENT_3D('',#492265,#401134,#401135); #346758=AXIS2_PLACEMENT_3D('',#492268,#401138,#401139); #346759=AXIS2_PLACEMENT_3D('',#492269,#401140,#401141); #346760=AXIS2_PLACEMENT_3D('',#492270,#401142,#401143); #346761=AXIS2_PLACEMENT_3D('',#492279,#401148,#401149); #346762=AXIS2_PLACEMENT_3D('',#492285,#401153,#401154); #346763=AXIS2_PLACEMENT_3D('',#492291,#401158,#401159); #346764=AXIS2_PLACEMENT_3D('',#492294,#401162,#401163); #346765=AXIS2_PLACEMENT_3D('',#492295,#401164,#401165); #346766=AXIS2_PLACEMENT_3D('',#492296,#401166,#401167); #346767=AXIS2_PLACEMENT_3D('',#492305,#401172,#401173); #346768=AXIS2_PLACEMENT_3D('',#492311,#401177,#401178); #346769=AXIS2_PLACEMENT_3D('',#492317,#401182,#401183); #346770=AXIS2_PLACEMENT_3D('',#492320,#401186,#401187); #346771=AXIS2_PLACEMENT_3D('',#492321,#401188,#401189); #346772=AXIS2_PLACEMENT_3D('',#492322,#401190,#401191); #346773=AXIS2_PLACEMENT_3D('',#492331,#401196,#401197); #346774=AXIS2_PLACEMENT_3D('',#492337,#401201,#401202); #346775=AXIS2_PLACEMENT_3D('',#492343,#401206,#401207); #346776=AXIS2_PLACEMENT_3D('',#492346,#401210,#401211); #346777=AXIS2_PLACEMENT_3D('',#492347,#401212,#401213); #346778=AXIS2_PLACEMENT_3D('',#492348,#401214,#401215); #346779=AXIS2_PLACEMENT_3D('',#492357,#401220,#401221); #346780=AXIS2_PLACEMENT_3D('',#492363,#401225,#401226); #346781=AXIS2_PLACEMENT_3D('',#492369,#401230,#401231); #346782=AXIS2_PLACEMENT_3D('',#492372,#401234,#401235); #346783=AXIS2_PLACEMENT_3D('',#492373,#401236,#401237); #346784=AXIS2_PLACEMENT_3D('',#492374,#401238,#401239); #346785=AXIS2_PLACEMENT_3D('',#492383,#401244,#401245); #346786=AXIS2_PLACEMENT_3D('',#492389,#401249,#401250); #346787=AXIS2_PLACEMENT_3D('',#492395,#401254,#401255); #346788=AXIS2_PLACEMENT_3D('',#492398,#401258,#401259); #346789=AXIS2_PLACEMENT_3D('',#492399,#401260,#401261); #346790=AXIS2_PLACEMENT_3D('',#492400,#401262,#401263); #346791=AXIS2_PLACEMENT_3D('',#492409,#401268,#401269); #346792=AXIS2_PLACEMENT_3D('',#492415,#401273,#401274); #346793=AXIS2_PLACEMENT_3D('',#492421,#401278,#401279); #346794=AXIS2_PLACEMENT_3D('',#492424,#401282,#401283); #346795=AXIS2_PLACEMENT_3D('',#492425,#401284,#401285); #346796=AXIS2_PLACEMENT_3D('',#492426,#401286,#401287); #346797=AXIS2_PLACEMENT_3D('',#492435,#401292,#401293); #346798=AXIS2_PLACEMENT_3D('',#492441,#401297,#401298); #346799=AXIS2_PLACEMENT_3D('',#492447,#401302,#401303); #346800=AXIS2_PLACEMENT_3D('',#492450,#401306,#401307); #346801=AXIS2_PLACEMENT_3D('',#492451,#401308,#401309); #346802=AXIS2_PLACEMENT_3D('',#492452,#401310,#401311); #346803=AXIS2_PLACEMENT_3D('',#492454,#401312,#401313); #346804=AXIS2_PLACEMENT_3D('',#492457,#401315,#401316); #346805=AXIS2_PLACEMENT_3D('',#492458,#401317,#401318); #346806=AXIS2_PLACEMENT_3D('',#492467,#401323,#401324); #346807=AXIS2_PLACEMENT_3D('',#492473,#401328,#401329); #346808=AXIS2_PLACEMENT_3D('',#492479,#401333,#401334); #346809=AXIS2_PLACEMENT_3D('',#492482,#401337,#401338); #346810=AXIS2_PLACEMENT_3D('',#492483,#401339,#401340); #346811=AXIS2_PLACEMENT_3D('',#492484,#401341,#401342); #346812=AXIS2_PLACEMENT_3D('',#492493,#401347,#401348); #346813=AXIS2_PLACEMENT_3D('',#492499,#401352,#401353); #346814=AXIS2_PLACEMENT_3D('',#492505,#401357,#401358); #346815=AXIS2_PLACEMENT_3D('',#492508,#401361,#401362); #346816=AXIS2_PLACEMENT_3D('',#492509,#401363,#401364); #346817=AXIS2_PLACEMENT_3D('',#492510,#401365,#401366); #346818=AXIS2_PLACEMENT_3D('',#492519,#401371,#401372); #346819=AXIS2_PLACEMENT_3D('',#492525,#401376,#401377); #346820=AXIS2_PLACEMENT_3D('',#492531,#401381,#401382); #346821=AXIS2_PLACEMENT_3D('',#492534,#401385,#401386); #346822=AXIS2_PLACEMENT_3D('',#492535,#401387,#401388); #346823=AXIS2_PLACEMENT_3D('',#492536,#401389,#401390); #346824=AXIS2_PLACEMENT_3D('',#492538,#401391,#401392); #346825=AXIS2_PLACEMENT_3D('',#492541,#401394,#401395); #346826=AXIS2_PLACEMENT_3D('',#492542,#401396,#401397); #346827=AXIS2_PLACEMENT_3D('',#492551,#401402,#401403); #346828=AXIS2_PLACEMENT_3D('',#492557,#401407,#401408); #346829=AXIS2_PLACEMENT_3D('',#492563,#401412,#401413); #346830=AXIS2_PLACEMENT_3D('',#492566,#401416,#401417); #346831=AXIS2_PLACEMENT_3D('',#492567,#401418,#401419); #346832=AXIS2_PLACEMENT_3D('',#492568,#401420,#401421); #346833=AXIS2_PLACEMENT_3D('',#492577,#401426,#401427); #346834=AXIS2_PLACEMENT_3D('',#492583,#401431,#401432); #346835=AXIS2_PLACEMENT_3D('',#492589,#401436,#401437); #346836=AXIS2_PLACEMENT_3D('',#492592,#401440,#401441); #346837=AXIS2_PLACEMENT_3D('',#492593,#401442,#401443); #346838=AXIS2_PLACEMENT_3D('',#492594,#401444,#401445); #346839=AXIS2_PLACEMENT_3D('',#492603,#401450,#401451); #346840=AXIS2_PLACEMENT_3D('',#492609,#401455,#401456); #346841=AXIS2_PLACEMENT_3D('',#492615,#401460,#401461); #346842=AXIS2_PLACEMENT_3D('',#492618,#401464,#401465); #346843=AXIS2_PLACEMENT_3D('',#492619,#401466,#401467); #346844=AXIS2_PLACEMENT_3D('',#492620,#401468,#401469); #346845=AXIS2_PLACEMENT_3D('',#492629,#401474,#401475); #346846=AXIS2_PLACEMENT_3D('',#492635,#401479,#401480); #346847=AXIS2_PLACEMENT_3D('',#492641,#401484,#401485); #346848=AXIS2_PLACEMENT_3D('',#492644,#401488,#401489); #346849=AXIS2_PLACEMENT_3D('',#492645,#401490,#401491); #346850=AXIS2_PLACEMENT_3D('',#492646,#401492,#401493); #346851=AXIS2_PLACEMENT_3D('',#492655,#401498,#401499); #346852=AXIS2_PLACEMENT_3D('',#492661,#401503,#401504); #346853=AXIS2_PLACEMENT_3D('',#492667,#401508,#401509); #346854=AXIS2_PLACEMENT_3D('',#492670,#401512,#401513); #346855=AXIS2_PLACEMENT_3D('',#492671,#401514,#401515); #346856=AXIS2_PLACEMENT_3D('',#492672,#401516,#401517); #346857=AXIS2_PLACEMENT_3D('',#492681,#401522,#401523); #346858=AXIS2_PLACEMENT_3D('',#492687,#401527,#401528); #346859=AXIS2_PLACEMENT_3D('',#492693,#401532,#401533); #346860=AXIS2_PLACEMENT_3D('',#492696,#401536,#401537); #346861=AXIS2_PLACEMENT_3D('',#492697,#401538,#401539); #346862=AXIS2_PLACEMENT_3D('',#492698,#401540,#401541); #346863=AXIS2_PLACEMENT_3D('',#492707,#401546,#401547); #346864=AXIS2_PLACEMENT_3D('',#492713,#401551,#401552); #346865=AXIS2_PLACEMENT_3D('',#492719,#401556,#401557); #346866=AXIS2_PLACEMENT_3D('',#492722,#401560,#401561); #346867=AXIS2_PLACEMENT_3D('',#492723,#401562,#401563); #346868=AXIS2_PLACEMENT_3D('',#492724,#401564,#401565); #346869=AXIS2_PLACEMENT_3D('',#492733,#401570,#401571); #346870=AXIS2_PLACEMENT_3D('',#492739,#401575,#401576); #346871=AXIS2_PLACEMENT_3D('',#492745,#401580,#401581); #346872=AXIS2_PLACEMENT_3D('',#492748,#401584,#401585); #346873=AXIS2_PLACEMENT_3D('',#492749,#401586,#401587); #346874=AXIS2_PLACEMENT_3D('',#492750,#401588,#401589); #346875=AXIS2_PLACEMENT_3D('',#492759,#401594,#401595); #346876=AXIS2_PLACEMENT_3D('',#492765,#401599,#401600); #346877=AXIS2_PLACEMENT_3D('',#492771,#401604,#401605); #346878=AXIS2_PLACEMENT_3D('',#492774,#401608,#401609); #346879=AXIS2_PLACEMENT_3D('',#492775,#401610,#401611); #346880=AXIS2_PLACEMENT_3D('',#492776,#401612,#401613); #346881=AXIS2_PLACEMENT_3D('',#492785,#401618,#401619); #346882=AXIS2_PLACEMENT_3D('',#492791,#401623,#401624); #346883=AXIS2_PLACEMENT_3D('',#492797,#401628,#401629); #346884=AXIS2_PLACEMENT_3D('',#492800,#401632,#401633); #346885=AXIS2_PLACEMENT_3D('',#492801,#401634,#401635); #346886=AXIS2_PLACEMENT_3D('',#492802,#401636,#401637); #346887=AXIS2_PLACEMENT_3D('',#492811,#401642,#401643); #346888=AXIS2_PLACEMENT_3D('',#492817,#401647,#401648); #346889=AXIS2_PLACEMENT_3D('',#492823,#401652,#401653); #346890=AXIS2_PLACEMENT_3D('',#492826,#401656,#401657); #346891=AXIS2_PLACEMENT_3D('',#492827,#401658,#401659); #346892=AXIS2_PLACEMENT_3D('',#492828,#401660,#401661); #346893=AXIS2_PLACEMENT_3D('',#492837,#401666,#401667); #346894=AXIS2_PLACEMENT_3D('',#492843,#401671,#401672); #346895=AXIS2_PLACEMENT_3D('',#492849,#401676,#401677); #346896=AXIS2_PLACEMENT_3D('',#492852,#401680,#401681); #346897=AXIS2_PLACEMENT_3D('',#492853,#401682,#401683); #346898=AXIS2_PLACEMENT_3D('',#492854,#401684,#401685); #346899=AXIS2_PLACEMENT_3D('',#492856,#401686,#401687); #346900=AXIS2_PLACEMENT_3D('',#492859,#401689,#401690); #346901=AXIS2_PLACEMENT_3D('',#492860,#401691,#401692); #346902=AXIS2_PLACEMENT_3D('',#492865,#401694,#401695); #346903=AXIS2_PLACEMENT_3D('',#492868,#401697,#401698); #346904=AXIS2_PLACEMENT_3D('',#492869,#401699,#401700); #346905=AXIS2_PLACEMENT_3D('',#492874,#401702,#401703); #346906=AXIS2_PLACEMENT_3D('',#492877,#401705,#401706); #346907=AXIS2_PLACEMENT_3D('',#492878,#401707,#401708); #346908=AXIS2_PLACEMENT_3D('',#492883,#401710,#401711); #346909=AXIS2_PLACEMENT_3D('',#492886,#401713,#401714); #346910=AXIS2_PLACEMENT_3D('',#492887,#401715,#401716); #346911=AXIS2_PLACEMENT_3D('',#492892,#401718,#401719); #346912=AXIS2_PLACEMENT_3D('',#492895,#401721,#401722); #346913=AXIS2_PLACEMENT_3D('',#492896,#401723,#401724); #346914=AXIS2_PLACEMENT_3D('',#492901,#401726,#401727); #346915=AXIS2_PLACEMENT_3D('',#492904,#401729,#401730); #346916=AXIS2_PLACEMENT_3D('',#492905,#401731,#401732); #346917=AXIS2_PLACEMENT_3D('',#492910,#401734,#401735); #346918=AXIS2_PLACEMENT_3D('',#492913,#401737,#401738); #346919=AXIS2_PLACEMENT_3D('',#492914,#401739,#401740); #346920=AXIS2_PLACEMENT_3D('',#492919,#401742,#401743); #346921=AXIS2_PLACEMENT_3D('',#492922,#401745,#401746); #346922=AXIS2_PLACEMENT_3D('',#492923,#401747,#401748); #346923=AXIS2_PLACEMENT_3D('',#492928,#401750,#401751); #346924=AXIS2_PLACEMENT_3D('',#492931,#401753,#401754); #346925=AXIS2_PLACEMENT_3D('',#492932,#401755,#401756); #346926=AXIS2_PLACEMENT_3D('',#492935,#401759,#401760); #346927=AXIS2_PLACEMENT_3D('',#492941,#401764,#401765); #346928=AXIS2_PLACEMENT_3D('',#492944,#401768,#401769); #346929=AXIS2_PLACEMENT_3D('',#492947,#401772,#401773); #346930=AXIS2_PLACEMENT_3D('',#492953,#401777,#401778); #346931=AXIS2_PLACEMENT_3D('',#492956,#401781,#401782); #346932=AXIS2_PLACEMENT_3D('',#492959,#401785,#401786); #346933=AXIS2_PLACEMENT_3D('',#492962,#401789,#401790); #346934=AXIS2_PLACEMENT_3D('',#492965,#401793,#401794); #346935=AXIS2_PLACEMENT_3D('',#492967,#401796,#401797); #346936=AXIS2_PLACEMENT_3D('',#492969,#401799,#401800); #346937=AXIS2_PLACEMENT_3D('',#492970,#401801,#401802); #346938=AXIS2_PLACEMENT_3D('',#492979,#401807,#401808); #346939=AXIS2_PLACEMENT_3D('',#492985,#401812,#401813); #346940=AXIS2_PLACEMENT_3D('',#492991,#401817,#401818); #346941=AXIS2_PLACEMENT_3D('',#492994,#401821,#401822); #346942=AXIS2_PLACEMENT_3D('',#492995,#401823,#401824); #346943=AXIS2_PLACEMENT_3D('',#492996,#401825,#401826); #346944=AXIS2_PLACEMENT_3D('',#492998,#401827,#401828); #346945=AXIS2_PLACEMENT_3D('',#493001,#401830,#401831); #346946=AXIS2_PLACEMENT_3D('',#493002,#401832,#401833); #346947=AXIS2_PLACEMENT_3D('',#493011,#401838,#401839); #346948=AXIS2_PLACEMENT_3D('',#493017,#401843,#401844); #346949=AXIS2_PLACEMENT_3D('',#493023,#401848,#401849); #346950=AXIS2_PLACEMENT_3D('',#493026,#401852,#401853); #346951=AXIS2_PLACEMENT_3D('',#493027,#401854,#401855); #346952=AXIS2_PLACEMENT_3D('',#493028,#401856,#401857); #346953=AXIS2_PLACEMENT_3D('',#493037,#401862,#401863); #346954=AXIS2_PLACEMENT_3D('',#493043,#401867,#401868); #346955=AXIS2_PLACEMENT_3D('',#493049,#401872,#401873); #346956=AXIS2_PLACEMENT_3D('',#493052,#401876,#401877); #346957=AXIS2_PLACEMENT_3D('',#493053,#401878,#401879); #346958=AXIS2_PLACEMENT_3D('',#493054,#401880,#401881); #346959=AXIS2_PLACEMENT_3D('',#493063,#401886,#401887); #346960=AXIS2_PLACEMENT_3D('',#493069,#401891,#401892); #346961=AXIS2_PLACEMENT_3D('',#493075,#401896,#401897); #346962=AXIS2_PLACEMENT_3D('',#493078,#401900,#401901); #346963=AXIS2_PLACEMENT_3D('',#493079,#401902,#401903); #346964=AXIS2_PLACEMENT_3D('',#493080,#401904,#401905); #346965=AXIS2_PLACEMENT_3D('',#493089,#401910,#401911); #346966=AXIS2_PLACEMENT_3D('',#493095,#401915,#401916); #346967=AXIS2_PLACEMENT_3D('',#493101,#401920,#401921); #346968=AXIS2_PLACEMENT_3D('',#493104,#401924,#401925); #346969=AXIS2_PLACEMENT_3D('',#493105,#401926,#401927); #346970=AXIS2_PLACEMENT_3D('',#493106,#401928,#401929); #346971=AXIS2_PLACEMENT_3D('',#493108,#401930,#401931); #346972=AXIS2_PLACEMENT_3D('',#493111,#401933,#401934); #346973=AXIS2_PLACEMENT_3D('',#493112,#401935,#401936); #346974=AXIS2_PLACEMENT_3D('',#493121,#401941,#401942); #346975=AXIS2_PLACEMENT_3D('',#493127,#401946,#401947); #346976=AXIS2_PLACEMENT_3D('',#493133,#401951,#401952); #346977=AXIS2_PLACEMENT_3D('',#493136,#401955,#401956); #346978=AXIS2_PLACEMENT_3D('',#493137,#401957,#401958); #346979=AXIS2_PLACEMENT_3D('',#493138,#401959,#401960); #346980=AXIS2_PLACEMENT_3D('',#493140,#401961,#401962); #346981=AXIS2_PLACEMENT_3D('',#493143,#401964,#401965); #346982=AXIS2_PLACEMENT_3D('',#493144,#401966,#401967); #346983=AXIS2_PLACEMENT_3D('',#493153,#401972,#401973); #346984=AXIS2_PLACEMENT_3D('',#493159,#401977,#401978); #346985=AXIS2_PLACEMENT_3D('',#493165,#401982,#401983); #346986=AXIS2_PLACEMENT_3D('',#493168,#401986,#401987); #346987=AXIS2_PLACEMENT_3D('',#493169,#401988,#401989); #346988=AXIS2_PLACEMENT_3D('',#493170,#401990,#401991); #346989=AXIS2_PLACEMENT_3D('',#493179,#401996,#401997); #346990=AXIS2_PLACEMENT_3D('',#493185,#402001,#402002); #346991=AXIS2_PLACEMENT_3D('',#493191,#402006,#402007); #346992=AXIS2_PLACEMENT_3D('',#493194,#402010,#402011); #346993=AXIS2_PLACEMENT_3D('',#493195,#402012,#402013); #346994=AXIS2_PLACEMENT_3D('',#493196,#402014,#402015); #346995=AXIS2_PLACEMENT_3D('',#493205,#402020,#402021); #346996=AXIS2_PLACEMENT_3D('',#493211,#402025,#402026); #346997=AXIS2_PLACEMENT_3D('',#493217,#402030,#402031); #346998=AXIS2_PLACEMENT_3D('',#493220,#402034,#402035); #346999=AXIS2_PLACEMENT_3D('',#493221,#402036,#402037); #347000=AXIS2_PLACEMENT_3D('',#493222,#402038,#402039); #347001=AXIS2_PLACEMENT_3D('',#493231,#402044,#402045); #347002=AXIS2_PLACEMENT_3D('',#493237,#402049,#402050); #347003=AXIS2_PLACEMENT_3D('',#493243,#402054,#402055); #347004=AXIS2_PLACEMENT_3D('',#493246,#402058,#402059); #347005=AXIS2_PLACEMENT_3D('',#493247,#402060,#402061); #347006=AXIS2_PLACEMENT_3D('',#493248,#402062,#402063); #347007=AXIS2_PLACEMENT_3D('',#493253,#402065,#402066); #347008=AXIS2_PLACEMENT_3D('',#493256,#402068,#402069); #347009=AXIS2_PLACEMENT_3D('',#493257,#402070,#402071); #347010=AXIS2_PLACEMENT_3D('',#493262,#402073,#402074); #347011=AXIS2_PLACEMENT_3D('',#493265,#402076,#402077); #347012=AXIS2_PLACEMENT_3D('',#493266,#402078,#402079); #347013=AXIS2_PLACEMENT_3D('',#493271,#402081,#402082); #347014=AXIS2_PLACEMENT_3D('',#493274,#402084,#402085); #347015=AXIS2_PLACEMENT_3D('',#493275,#402086,#402087); #347016=AXIS2_PLACEMENT_3D('',#493277,#402088,#402089); #347017=AXIS2_PLACEMENT_3D('',#493280,#402091,#402092); #347018=AXIS2_PLACEMENT_3D('',#493281,#402093,#402094); #347019=AXIS2_PLACEMENT_3D('',#493286,#402096,#402097); #347020=AXIS2_PLACEMENT_3D('',#493289,#402099,#402100); #347021=AXIS2_PLACEMENT_3D('',#493290,#402101,#402102); #347022=AXIS2_PLACEMENT_3D('',#493292,#402103,#402104); #347023=AXIS2_PLACEMENT_3D('',#493295,#402106,#402107); #347024=AXIS2_PLACEMENT_3D('',#493296,#402108,#402109); #347025=AXIS2_PLACEMENT_3D('',#493302,#402113,#402114); #347026=AXIS2_PLACEMENT_3D('',#493305,#402117,#402118); #347027=AXIS2_PLACEMENT_3D('',#493311,#402122,#402123); #347028=AXIS2_PLACEMENT_3D('',#493317,#402127,#402128); #347029=AXIS2_PLACEMENT_3D('',#493320,#402131,#402132); #347030=AXIS2_PLACEMENT_3D('',#493326,#402136,#402137); #347031=AXIS2_PLACEMENT_3D('',#493329,#402140,#402141); #347032=AXIS2_PLACEMENT_3D('',#493331,#402143,#402144); #347033=AXIS2_PLACEMENT_3D('',#493333,#402146,#402147); #347034=AXIS2_PLACEMENT_3D('',#493334,#402148,#402149); #347035=AXIS2_PLACEMENT_3D('',#493343,#402154,#402155); #347036=AXIS2_PLACEMENT_3D('',#493349,#402159,#402160); #347037=AXIS2_PLACEMENT_3D('',#493355,#402164,#402165); #347038=AXIS2_PLACEMENT_3D('',#493358,#402168,#402169); #347039=AXIS2_PLACEMENT_3D('',#493359,#402170,#402171); #347040=AXIS2_PLACEMENT_3D('',#493360,#402172,#402173); #347041=AXIS2_PLACEMENT_3D('',#493369,#402178,#402179); #347042=AXIS2_PLACEMENT_3D('',#493375,#402183,#402184); #347043=AXIS2_PLACEMENT_3D('',#493381,#402188,#402189); #347044=AXIS2_PLACEMENT_3D('',#493384,#402192,#402193); #347045=AXIS2_PLACEMENT_3D('',#493385,#402194,#402195); #347046=AXIS2_PLACEMENT_3D('',#493386,#402196,#402197); #347047=AXIS2_PLACEMENT_3D('',#493395,#402202,#402203); #347048=AXIS2_PLACEMENT_3D('',#493401,#402207,#402208); #347049=AXIS2_PLACEMENT_3D('',#493407,#402212,#402213); #347050=AXIS2_PLACEMENT_3D('',#493410,#402216,#402217); #347051=AXIS2_PLACEMENT_3D('',#493411,#402218,#402219); #347052=AXIS2_PLACEMENT_3D('',#493412,#402220,#402221); #347053=AXIS2_PLACEMENT_3D('',#493421,#402226,#402227); #347054=AXIS2_PLACEMENT_3D('',#493427,#402231,#402232); #347055=AXIS2_PLACEMENT_3D('',#493433,#402236,#402237); #347056=AXIS2_PLACEMENT_3D('',#493436,#402240,#402241); #347057=AXIS2_PLACEMENT_3D('',#493437,#402242,#402243); #347058=AXIS2_PLACEMENT_3D('',#493438,#402244,#402245); #347059=AXIS2_PLACEMENT_3D('',#493447,#402250,#402251); #347060=AXIS2_PLACEMENT_3D('',#493453,#402255,#402256); #347061=AXIS2_PLACEMENT_3D('',#493459,#402260,#402261); #347062=AXIS2_PLACEMENT_3D('',#493462,#402264,#402265); #347063=AXIS2_PLACEMENT_3D('',#493463,#402266,#402267); #347064=AXIS2_PLACEMENT_3D('',#493464,#402268,#402269); #347065=AXIS2_PLACEMENT_3D('',#493473,#402274,#402275); #347066=AXIS2_PLACEMENT_3D('',#493479,#402279,#402280); #347067=AXIS2_PLACEMENT_3D('',#493485,#402284,#402285); #347068=AXIS2_PLACEMENT_3D('',#493488,#402288,#402289); #347069=AXIS2_PLACEMENT_3D('',#493489,#402290,#402291); #347070=AXIS2_PLACEMENT_3D('',#493490,#402292,#402293); #347071=AXIS2_PLACEMENT_3D('',#493499,#402298,#402299); #347072=AXIS2_PLACEMENT_3D('',#493505,#402303,#402304); #347073=AXIS2_PLACEMENT_3D('',#493511,#402308,#402309); #347074=AXIS2_PLACEMENT_3D('',#493514,#402312,#402313); #347075=AXIS2_PLACEMENT_3D('',#493515,#402314,#402315); #347076=AXIS2_PLACEMENT_3D('',#493516,#402316,#402317); #347077=AXIS2_PLACEMENT_3D('',#493525,#402322,#402323); #347078=AXIS2_PLACEMENT_3D('',#493531,#402327,#402328); #347079=AXIS2_PLACEMENT_3D('',#493537,#402332,#402333); #347080=AXIS2_PLACEMENT_3D('',#493540,#402336,#402337); #347081=AXIS2_PLACEMENT_3D('',#493541,#402338,#402339); #347082=AXIS2_PLACEMENT_3D('',#493542,#402340,#402341); #347083=AXIS2_PLACEMENT_3D('',#493551,#402346,#402347); #347084=AXIS2_PLACEMENT_3D('',#493557,#402351,#402352); #347085=AXIS2_PLACEMENT_3D('',#493563,#402356,#402357); #347086=AXIS2_PLACEMENT_3D('',#493566,#402360,#402361); #347087=AXIS2_PLACEMENT_3D('',#493567,#402362,#402363); #347088=AXIS2_PLACEMENT_3D('',#493568,#402364,#402365); #347089=AXIS2_PLACEMENT_3D('',#493577,#402370,#402371); #347090=AXIS2_PLACEMENT_3D('',#493583,#402375,#402376); #347091=AXIS2_PLACEMENT_3D('',#493589,#402380,#402381); #347092=AXIS2_PLACEMENT_3D('',#493592,#402384,#402385); #347093=AXIS2_PLACEMENT_3D('',#493593,#402386,#402387); #347094=AXIS2_PLACEMENT_3D('',#493594,#402388,#402389); #347095=AXIS2_PLACEMENT_3D('',#493603,#402394,#402395); #347096=AXIS2_PLACEMENT_3D('',#493609,#402399,#402400); #347097=AXIS2_PLACEMENT_3D('',#493615,#402404,#402405); #347098=AXIS2_PLACEMENT_3D('',#493618,#402408,#402409); #347099=AXIS2_PLACEMENT_3D('',#493619,#402410,#402411); #347100=AXIS2_PLACEMENT_3D('',#493620,#402412,#402413); #347101=AXIS2_PLACEMENT_3D('',#493629,#402418,#402419); #347102=AXIS2_PLACEMENT_3D('',#493635,#402423,#402424); #347103=AXIS2_PLACEMENT_3D('',#493641,#402428,#402429); #347104=AXIS2_PLACEMENT_3D('',#493644,#402432,#402433); #347105=AXIS2_PLACEMENT_3D('',#493645,#402434,#402435); #347106=AXIS2_PLACEMENT_3D('',#493646,#402436,#402437); #347107=AXIS2_PLACEMENT_3D('',#493655,#402442,#402443); #347108=AXIS2_PLACEMENT_3D('',#493661,#402447,#402448); #347109=AXIS2_PLACEMENT_3D('',#493667,#402452,#402453); #347110=AXIS2_PLACEMENT_3D('',#493670,#402456,#402457); #347111=AXIS2_PLACEMENT_3D('',#493671,#402458,#402459); #347112=AXIS2_PLACEMENT_3D('',#493672,#402460,#402461); #347113=AXIS2_PLACEMENT_3D('',#493681,#402466,#402467); #347114=AXIS2_PLACEMENT_3D('',#493687,#402471,#402472); #347115=AXIS2_PLACEMENT_3D('',#493693,#402476,#402477); #347116=AXIS2_PLACEMENT_3D('',#493696,#402480,#402481); #347117=AXIS2_PLACEMENT_3D('',#493697,#402482,#402483); #347118=AXIS2_PLACEMENT_3D('',#493698,#402484,#402485); #347119=AXIS2_PLACEMENT_3D('',#493707,#402490,#402491); #347120=AXIS2_PLACEMENT_3D('',#493713,#402495,#402496); #347121=AXIS2_PLACEMENT_3D('',#493719,#402500,#402501); #347122=AXIS2_PLACEMENT_3D('',#493722,#402504,#402505); #347123=AXIS2_PLACEMENT_3D('',#493723,#402506,#402507); #347124=AXIS2_PLACEMENT_3D('',#493724,#402508,#402509); #347125=AXIS2_PLACEMENT_3D('',#493733,#402514,#402515); #347126=AXIS2_PLACEMENT_3D('',#493739,#402519,#402520); #347127=AXIS2_PLACEMENT_3D('',#493745,#402524,#402525); #347128=AXIS2_PLACEMENT_3D('',#493748,#402528,#402529); #347129=AXIS2_PLACEMENT_3D('',#493749,#402530,#402531); #347130=AXIS2_PLACEMENT_3D('',#493750,#402532,#402533); #347131=AXIS2_PLACEMENT_3D('',#493759,#402538,#402539); #347132=AXIS2_PLACEMENT_3D('',#493765,#402543,#402544); #347133=AXIS2_PLACEMENT_3D('',#493771,#402548,#402549); #347134=AXIS2_PLACEMENT_3D('',#493774,#402552,#402553); #347135=AXIS2_PLACEMENT_3D('',#493775,#402554,#402555); #347136=AXIS2_PLACEMENT_3D('',#493776,#402556,#402557); #347137=AXIS2_PLACEMENT_3D('',#493785,#402562,#402563); #347138=AXIS2_PLACEMENT_3D('',#493791,#402567,#402568); #347139=AXIS2_PLACEMENT_3D('',#493797,#402572,#402573); #347140=AXIS2_PLACEMENT_3D('',#493800,#402576,#402577); #347141=AXIS2_PLACEMENT_3D('',#493801,#402578,#402579); #347142=AXIS2_PLACEMENT_3D('',#493802,#402580,#402581); #347143=AXIS2_PLACEMENT_3D('',#493811,#402586,#402587); #347144=AXIS2_PLACEMENT_3D('',#493817,#402591,#402592); #347145=AXIS2_PLACEMENT_3D('',#493823,#402596,#402597); #347146=AXIS2_PLACEMENT_3D('',#493826,#402600,#402601); #347147=AXIS2_PLACEMENT_3D('',#493827,#402602,#402603); #347148=AXIS2_PLACEMENT_3D('',#493828,#402604,#402605); #347149=AXIS2_PLACEMENT_3D('',#493837,#402610,#402611); #347150=AXIS2_PLACEMENT_3D('',#493843,#402615,#402616); #347151=AXIS2_PLACEMENT_3D('',#493849,#402620,#402621); #347152=AXIS2_PLACEMENT_3D('',#493852,#402624,#402625); #347153=AXIS2_PLACEMENT_3D('',#493853,#402626,#402627); #347154=AXIS2_PLACEMENT_3D('',#493854,#402628,#402629); #347155=AXIS2_PLACEMENT_3D('',#493863,#402634,#402635); #347156=AXIS2_PLACEMENT_3D('',#493869,#402639,#402640); #347157=AXIS2_PLACEMENT_3D('',#493875,#402644,#402645); #347158=AXIS2_PLACEMENT_3D('',#493878,#402648,#402649); #347159=AXIS2_PLACEMENT_3D('',#493879,#402650,#402651); #347160=AXIS2_PLACEMENT_3D('',#493880,#402652,#402653); #347161=AXIS2_PLACEMENT_3D('',#493889,#402658,#402659); #347162=AXIS2_PLACEMENT_3D('',#493895,#402663,#402664); #347163=AXIS2_PLACEMENT_3D('',#493901,#402668,#402669); #347164=AXIS2_PLACEMENT_3D('',#493904,#402672,#402673); #347165=AXIS2_PLACEMENT_3D('',#493905,#402674,#402675); #347166=AXIS2_PLACEMENT_3D('',#493906,#402676,#402677); #347167=AXIS2_PLACEMENT_3D('',#493915,#402682,#402683); #347168=AXIS2_PLACEMENT_3D('',#493921,#402687,#402688); #347169=AXIS2_PLACEMENT_3D('',#493927,#402692,#402693); #347170=AXIS2_PLACEMENT_3D('',#493930,#402696,#402697); #347171=AXIS2_PLACEMENT_3D('',#493931,#402698,#402699); #347172=AXIS2_PLACEMENT_3D('',#493932,#402700,#402701); #347173=AXIS2_PLACEMENT_3D('',#493941,#402706,#402707); #347174=AXIS2_PLACEMENT_3D('',#493947,#402711,#402712); #347175=AXIS2_PLACEMENT_3D('',#493953,#402716,#402717); #347176=AXIS2_PLACEMENT_3D('',#493956,#402720,#402721); #347177=AXIS2_PLACEMENT_3D('',#493957,#402722,#402723); #347178=AXIS2_PLACEMENT_3D('',#493958,#402724,#402725); #347179=AXIS2_PLACEMENT_3D('',#493967,#402730,#402731); #347180=AXIS2_PLACEMENT_3D('',#493973,#402735,#402736); #347181=AXIS2_PLACEMENT_3D('',#493979,#402740,#402741); #347182=AXIS2_PLACEMENT_3D('',#493982,#402744,#402745); #347183=AXIS2_PLACEMENT_3D('',#493983,#402746,#402747); #347184=AXIS2_PLACEMENT_3D('',#493984,#402748,#402749); #347185=AXIS2_PLACEMENT_3D('',#493986,#402750,#402751); #347186=AXIS2_PLACEMENT_3D('',#493989,#402753,#402754); #347187=AXIS2_PLACEMENT_3D('',#493990,#402755,#402756); #347188=AXIS2_PLACEMENT_3D('',#493992,#402757,#402758); #347189=AXIS2_PLACEMENT_3D('',#493995,#402760,#402761); #347190=AXIS2_PLACEMENT_3D('',#493996,#402762,#402763); #347191=AXIS2_PLACEMENT_3D('',#493998,#402764,#402765); #347192=AXIS2_PLACEMENT_3D('',#494001,#402767,#402768); #347193=AXIS2_PLACEMENT_3D('',#494002,#402769,#402770); #347194=AXIS2_PLACEMENT_3D('',#494004,#402771,#402772); #347195=AXIS2_PLACEMENT_3D('',#494007,#402774,#402775); #347196=AXIS2_PLACEMENT_3D('',#494008,#402776,#402777); #347197=AXIS2_PLACEMENT_3D('',#494010,#402778,#402779); #347198=AXIS2_PLACEMENT_3D('',#494013,#402781,#402782); #347199=AXIS2_PLACEMENT_3D('',#494014,#402783,#402784); #347200=AXIS2_PLACEMENT_3D('',#494016,#402785,#402786); #347201=AXIS2_PLACEMENT_3D('',#494019,#402788,#402789); #347202=AXIS2_PLACEMENT_3D('',#494020,#402790,#402791); #347203=AXIS2_PLACEMENT_3D('',#494029,#402796,#402797); #347204=AXIS2_PLACEMENT_3D('',#494035,#402801,#402802); #347205=AXIS2_PLACEMENT_3D('',#494041,#402806,#402807); #347206=AXIS2_PLACEMENT_3D('',#494044,#402810,#402811); #347207=AXIS2_PLACEMENT_3D('',#494045,#402812,#402813); #347208=AXIS2_PLACEMENT_3D('',#494046,#402814,#402815); #347209=AXIS2_PLACEMENT_3D('',#494055,#402820,#402821); #347210=AXIS2_PLACEMENT_3D('',#494061,#402825,#402826); #347211=AXIS2_PLACEMENT_3D('',#494067,#402830,#402831); #347212=AXIS2_PLACEMENT_3D('',#494070,#402834,#402835); #347213=AXIS2_PLACEMENT_3D('',#494071,#402836,#402837); #347214=AXIS2_PLACEMENT_3D('',#494072,#402838,#402839); #347215=AXIS2_PLACEMENT_3D('',#494081,#402844,#402845); #347216=AXIS2_PLACEMENT_3D('',#494087,#402849,#402850); #347217=AXIS2_PLACEMENT_3D('',#494093,#402854,#402855); #347218=AXIS2_PLACEMENT_3D('',#494096,#402858,#402859); #347219=AXIS2_PLACEMENT_3D('',#494097,#402860,#402861); #347220=AXIS2_PLACEMENT_3D('',#494098,#402862,#402863); #347221=AXIS2_PLACEMENT_3D('',#494107,#402868,#402869); #347222=AXIS2_PLACEMENT_3D('',#494113,#402873,#402874); #347223=AXIS2_PLACEMENT_3D('',#494119,#402878,#402879); #347224=AXIS2_PLACEMENT_3D('',#494122,#402882,#402883); #347225=AXIS2_PLACEMENT_3D('',#494123,#402884,#402885); #347226=AXIS2_PLACEMENT_3D('',#494124,#402886,#402887); #347227=AXIS2_PLACEMENT_3D('',#494133,#402892,#402893); #347228=AXIS2_PLACEMENT_3D('',#494139,#402897,#402898); #347229=AXIS2_PLACEMENT_3D('',#494145,#402902,#402903); #347230=AXIS2_PLACEMENT_3D('',#494148,#402906,#402907); #347231=AXIS2_PLACEMENT_3D('',#494149,#402908,#402909); #347232=AXIS2_PLACEMENT_3D('',#494150,#402910,#402911); #347233=AXIS2_PLACEMENT_3D('',#494159,#402916,#402917); #347234=AXIS2_PLACEMENT_3D('',#494165,#402921,#402922); #347235=AXIS2_PLACEMENT_3D('',#494171,#402926,#402927); #347236=AXIS2_PLACEMENT_3D('',#494174,#402930,#402931); #347237=AXIS2_PLACEMENT_3D('',#494175,#402932,#402933); #347238=AXIS2_PLACEMENT_3D('',#494176,#402934,#402935); #347239=AXIS2_PLACEMENT_3D('',#494185,#402940,#402941); #347240=AXIS2_PLACEMENT_3D('',#494191,#402945,#402946); #347241=AXIS2_PLACEMENT_3D('',#494197,#402950,#402951); #347242=AXIS2_PLACEMENT_3D('',#494200,#402954,#402955); #347243=AXIS2_PLACEMENT_3D('',#494201,#402956,#402957); #347244=AXIS2_PLACEMENT_3D('',#494202,#402958,#402959); #347245=AXIS2_PLACEMENT_3D('',#494211,#402964,#402965); #347246=AXIS2_PLACEMENT_3D('',#494217,#402969,#402970); #347247=AXIS2_PLACEMENT_3D('',#494223,#402974,#402975); #347248=AXIS2_PLACEMENT_3D('',#494226,#402978,#402979); #347249=AXIS2_PLACEMENT_3D('',#494227,#402980,#402981); #347250=AXIS2_PLACEMENT_3D('',#494228,#402982,#402983); #347251=AXIS2_PLACEMENT_3D('',#494237,#402988,#402989); #347252=AXIS2_PLACEMENT_3D('',#494243,#402993,#402994); #347253=AXIS2_PLACEMENT_3D('',#494249,#402998,#402999); #347254=AXIS2_PLACEMENT_3D('',#494252,#403002,#403003); #347255=AXIS2_PLACEMENT_3D('',#494253,#403004,#403005); #347256=AXIS2_PLACEMENT_3D('',#494254,#403006,#403007); #347257=AXIS2_PLACEMENT_3D('',#494255,#403008,#403009); #347258=AXIS2_PLACEMENT_3D('',#494257,#403010,#403011); #347259=AXIS2_PLACEMENT_3D('',#494260,#403013,#403014); #347260=AXIS2_PLACEMENT_3D('',#494261,#403015,#403016); #347261=AXIS2_PLACEMENT_3D('',#494270,#403021,#403022); #347262=AXIS2_PLACEMENT_3D('',#494272,#403023,#403024); #347263=AXIS2_PLACEMENT_3D('',#494274,#403025,#403026); #347264=AXIS2_PLACEMENT_3D('',#494276,#403028,#403029); #347265=AXIS2_PLACEMENT_3D('',#494277,#403030,#403031); #347266=AXIS2_PLACEMENT_3D('',#494278,#403032,#403033); #347267=AXIS2_PLACEMENT_3D('',#494279,#403034,#403035); #347268=AXIS2_PLACEMENT_3D('',#494280,#403036,#403037); #347269=AXIS2_PLACEMENT_3D('',#494281,#403038,#403039); #347270=AXIS2_PLACEMENT_3D('',#494290,#403044,#403045); #347271=AXIS2_PLACEMENT_3D('',#494296,#403049,#403050); #347272=AXIS2_PLACEMENT_3D('',#494302,#403054,#403055); #347273=AXIS2_PLACEMENT_3D('',#494305,#403058,#403059); #347274=AXIS2_PLACEMENT_3D('',#494306,#403060,#403061); #347275=AXIS2_PLACEMENT_3D('',#494307,#403062,#403063); #347276=AXIS2_PLACEMENT_3D('',#494316,#403068,#403069); #347277=AXIS2_PLACEMENT_3D('',#494322,#403073,#403074); #347278=AXIS2_PLACEMENT_3D('',#494328,#403078,#403079); #347279=AXIS2_PLACEMENT_3D('',#494331,#403082,#403083); #347280=AXIS2_PLACEMENT_3D('',#494332,#403084,#403085); #347281=AXIS2_PLACEMENT_3D('',#494333,#403086,#403087); #347282=AXIS2_PLACEMENT_3D('',#494335,#403088,#403089); #347283=AXIS2_PLACEMENT_3D('',#494338,#403091,#403092); #347284=AXIS2_PLACEMENT_3D('',#494339,#403093,#403094); #347285=AXIS2_PLACEMENT_3D('',#494341,#403095,#403096); #347286=AXIS2_PLACEMENT_3D('',#494344,#403098,#403099); #347287=AXIS2_PLACEMENT_3D('',#494345,#403100,#403101); #347288=AXIS2_PLACEMENT_3D('',#494354,#403106,#403107); #347289=AXIS2_PLACEMENT_3D('',#494360,#403111,#403112); #347290=AXIS2_PLACEMENT_3D('',#494366,#403116,#403117); #347291=AXIS2_PLACEMENT_3D('',#494369,#403120,#403121); #347292=AXIS2_PLACEMENT_3D('',#494370,#403122,#403123); #347293=AXIS2_PLACEMENT_3D('',#494371,#403124,#403125); #347294=AXIS2_PLACEMENT_3D('',#494380,#403130,#403131); #347295=AXIS2_PLACEMENT_3D('',#494386,#403135,#403136); #347296=AXIS2_PLACEMENT_3D('',#494392,#403140,#403141); #347297=AXIS2_PLACEMENT_3D('',#494395,#403144,#403145); #347298=AXIS2_PLACEMENT_3D('',#494396,#403146,#403147); #347299=AXIS2_PLACEMENT_3D('',#494397,#403148,#403149); #347300=AXIS2_PLACEMENT_3D('',#494399,#403150,#403151); #347301=AXIS2_PLACEMENT_3D('',#494402,#403153,#403154); #347302=AXIS2_PLACEMENT_3D('',#494403,#403155,#403156); #347303=AXIS2_PLACEMENT_3D('',#494405,#403157,#403158); #347304=AXIS2_PLACEMENT_3D('',#494408,#403160,#403161); #347305=AXIS2_PLACEMENT_3D('',#494409,#403162,#403163); #347306=AXIS2_PLACEMENT_3D('',#494418,#403168,#403169); #347307=AXIS2_PLACEMENT_3D('',#494424,#403173,#403174); #347308=AXIS2_PLACEMENT_3D('',#494430,#403178,#403179); #347309=AXIS2_PLACEMENT_3D('',#494433,#403182,#403183); #347310=AXIS2_PLACEMENT_3D('',#494434,#403184,#403185); #347311=AXIS2_PLACEMENT_3D('',#494435,#403186,#403187); #347312=AXIS2_PLACEMENT_3D('',#494444,#403192,#403193); #347313=AXIS2_PLACEMENT_3D('',#494450,#403197,#403198); #347314=AXIS2_PLACEMENT_3D('',#494456,#403202,#403203); #347315=AXIS2_PLACEMENT_3D('',#494459,#403206,#403207); #347316=AXIS2_PLACEMENT_3D('',#494460,#403208,#403209); #347317=AXIS2_PLACEMENT_3D('',#494461,#403210,#403211); #347318=AXIS2_PLACEMENT_3D('',#494463,#403212,#403213); #347319=AXIS2_PLACEMENT_3D('',#494466,#403215,#403216); #347320=AXIS2_PLACEMENT_3D('',#494467,#403217,#403218); #347321=AXIS2_PLACEMENT_3D('',#494469,#403219,#403220); #347322=AXIS2_PLACEMENT_3D('',#494472,#403222,#403223); #347323=AXIS2_PLACEMENT_3D('',#494473,#403224,#403225); #347324=AXIS2_PLACEMENT_3D('',#494482,#403230,#403231); #347325=AXIS2_PLACEMENT_3D('',#494488,#403235,#403236); #347326=AXIS2_PLACEMENT_3D('',#494494,#403240,#403241); #347327=AXIS2_PLACEMENT_3D('',#494497,#403244,#403245); #347328=AXIS2_PLACEMENT_3D('',#494498,#403246,#403247); #347329=AXIS2_PLACEMENT_3D('',#494499,#403248,#403249); #347330=AXIS2_PLACEMENT_3D('',#494508,#403254,#403255); #347331=AXIS2_PLACEMENT_3D('',#494514,#403259,#403260); #347332=AXIS2_PLACEMENT_3D('',#494520,#403264,#403265); #347333=AXIS2_PLACEMENT_3D('',#494523,#403268,#403269); #347334=AXIS2_PLACEMENT_3D('',#494524,#403270,#403271); #347335=AXIS2_PLACEMENT_3D('',#494525,#403272,#403273); #347336=AXIS2_PLACEMENT_3D('',#494527,#403274,#403275); #347337=AXIS2_PLACEMENT_3D('',#494530,#403277,#403278); #347338=AXIS2_PLACEMENT_3D('',#494531,#403279,#403280); #347339=AXIS2_PLACEMENT_3D('',#494533,#403281,#403282); #347340=AXIS2_PLACEMENT_3D('',#494536,#403284,#403285); #347341=AXIS2_PLACEMENT_3D('',#494537,#403286,#403287); #347342=AXIS2_PLACEMENT_3D('',#494546,#403292,#403293); #347343=AXIS2_PLACEMENT_3D('',#494552,#403297,#403298); #347344=AXIS2_PLACEMENT_3D('',#494558,#403302,#403303); #347345=AXIS2_PLACEMENT_3D('',#494561,#403306,#403307); #347346=AXIS2_PLACEMENT_3D('',#494562,#403308,#403309); #347347=AXIS2_PLACEMENT_3D('',#494563,#403310,#403311); #347348=AXIS2_PLACEMENT_3D('',#494572,#403316,#403317); #347349=AXIS2_PLACEMENT_3D('',#494578,#403321,#403322); #347350=AXIS2_PLACEMENT_3D('',#494584,#403326,#403327); #347351=AXIS2_PLACEMENT_3D('',#494587,#403330,#403331); #347352=AXIS2_PLACEMENT_3D('',#494588,#403332,#403333); #347353=AXIS2_PLACEMENT_3D('',#494589,#403334,#403335); #347354=AXIS2_PLACEMENT_3D('',#494598,#403340,#403341); #347355=AXIS2_PLACEMENT_3D('',#494604,#403345,#403346); #347356=AXIS2_PLACEMENT_3D('',#494610,#403350,#403351); #347357=AXIS2_PLACEMENT_3D('',#494616,#403355,#403356); #347358=AXIS2_PLACEMENT_3D('',#494622,#403360,#403361); #347359=AXIS2_PLACEMENT_3D('',#494628,#403365,#403366); #347360=AXIS2_PLACEMENT_3D('',#494630,#403367,#403368); #347361=AXIS2_PLACEMENT_3D('',#494632,#403369,#403370); #347362=AXIS2_PLACEMENT_3D('',#494634,#403372,#403373); #347363=AXIS2_PLACEMENT_3D('',#494640,#403377,#403378); #347364=AXIS2_PLACEMENT_3D('',#494646,#403382,#403383); #347365=AXIS2_PLACEMENT_3D('',#494652,#403387,#403388); #347366=AXIS2_PLACEMENT_3D('',#494658,#403392,#403393); #347367=AXIS2_PLACEMENT_3D('',#494664,#403397,#403398); #347368=AXIS2_PLACEMENT_3D('',#494666,#403399,#403400); #347369=AXIS2_PLACEMENT_3D('',#494668,#403401,#403402); #347370=AXIS2_PLACEMENT_3D('',#494670,#403404,#403405); #347371=AXIS2_PLACEMENT_3D('',#494676,#403409,#403410); #347372=AXIS2_PLACEMENT_3D('',#494682,#403414,#403415); #347373=AXIS2_PLACEMENT_3D('',#494684,#403416,#403417); #347374=AXIS2_PLACEMENT_3D('',#494686,#403418,#403419); #347375=AXIS2_PLACEMENT_3D('',#494688,#403421,#403422); #347376=AXIS2_PLACEMENT_3D('',#494694,#403426,#403427); #347377=AXIS2_PLACEMENT_3D('',#494700,#403431,#403432); #347378=AXIS2_PLACEMENT_3D('',#494706,#403436,#403437); #347379=AXIS2_PLACEMENT_3D('',#494712,#403441,#403442); #347380=AXIS2_PLACEMENT_3D('',#494718,#403446,#403447); #347381=AXIS2_PLACEMENT_3D('',#494724,#403451,#403452); #347382=AXIS2_PLACEMENT_3D('',#494730,#403456,#403457); #347383=AXIS2_PLACEMENT_3D('',#494732,#403458,#403459); #347384=AXIS2_PLACEMENT_3D('',#494734,#403460,#403461); #347385=AXIS2_PLACEMENT_3D('',#494736,#403463,#403464); #347386=AXIS2_PLACEMENT_3D('',#494742,#403468,#403469); #347387=AXIS2_PLACEMENT_3D('',#494744,#403470,#403471); #347388=AXIS2_PLACEMENT_3D('',#494746,#403472,#403473); #347389=AXIS2_PLACEMENT_3D('',#494748,#403475,#403476); #347390=AXIS2_PLACEMENT_3D('',#494754,#403480,#403481); #347391=AXIS2_PLACEMENT_3D('',#494760,#403485,#403486); #347392=AXIS2_PLACEMENT_3D('',#494766,#403490,#403491); #347393=AXIS2_PLACEMENT_3D('',#494772,#403495,#403496); #347394=AXIS2_PLACEMENT_3D('',#494778,#403500,#403501); #347395=AXIS2_PLACEMENT_3D('',#494784,#403505,#403506); #347396=AXIS2_PLACEMENT_3D('',#494790,#403510,#403511); #347397=AXIS2_PLACEMENT_3D('',#494796,#403515,#403516); #347398=AXIS2_PLACEMENT_3D('',#494798,#403517,#403518); #347399=AXIS2_PLACEMENT_3D('',#494800,#403519,#403520); #347400=AXIS2_PLACEMENT_3D('',#494802,#403522,#403523); #347401=AXIS2_PLACEMENT_3D('',#494808,#403527,#403528); #347402=AXIS2_PLACEMENT_3D('',#494810,#403529,#403530); #347403=AXIS2_PLACEMENT_3D('',#494812,#403531,#403532); #347404=AXIS2_PLACEMENT_3D('',#494814,#403534,#403535); #347405=AXIS2_PLACEMENT_3D('',#494820,#403539,#403540); #347406=AXIS2_PLACEMENT_3D('',#494826,#403544,#403545); #347407=AXIS2_PLACEMENT_3D('',#494832,#403549,#403550); #347408=AXIS2_PLACEMENT_3D('',#494834,#403551,#403552); #347409=AXIS2_PLACEMENT_3D('',#494836,#403553,#403554); #347410=AXIS2_PLACEMENT_3D('',#494838,#403556,#403557); #347411=AXIS2_PLACEMENT_3D('',#494844,#403561,#403562); #347412=AXIS2_PLACEMENT_3D('',#494846,#403563,#403564); #347413=AXIS2_PLACEMENT_3D('',#494848,#403565,#403566); #347414=AXIS2_PLACEMENT_3D('',#494850,#403568,#403569); #347415=AXIS2_PLACEMENT_3D('',#494856,#403573,#403574); #347416=AXIS2_PLACEMENT_3D('',#494862,#403578,#403579); #347417=AXIS2_PLACEMENT_3D('',#494868,#403583,#403584); #347418=AXIS2_PLACEMENT_3D('',#494874,#403588,#403589); #347419=AXIS2_PLACEMENT_3D('',#494880,#403593,#403594); #347420=AXIS2_PLACEMENT_3D('',#494882,#403595,#403596); #347421=AXIS2_PLACEMENT_3D('',#494884,#403597,#403598); #347422=AXIS2_PLACEMENT_3D('',#494886,#403600,#403601); #347423=AXIS2_PLACEMENT_3D('',#494892,#403605,#403606); #347424=AXIS2_PLACEMENT_3D('',#494898,#403610,#403611); #347425=AXIS2_PLACEMENT_3D('',#494904,#403615,#403616); #347426=AXIS2_PLACEMENT_3D('',#494910,#403620,#403621); #347427=AXIS2_PLACEMENT_3D('',#494916,#403625,#403626); #347428=AXIS2_PLACEMENT_3D('',#494922,#403630,#403631); #347429=AXIS2_PLACEMENT_3D('',#494924,#403632,#403633); #347430=AXIS2_PLACEMENT_3D('',#494926,#403634,#403635); #347431=AXIS2_PLACEMENT_3D('',#494928,#403637,#403638); #347432=AXIS2_PLACEMENT_3D('',#494934,#403642,#403643); #347433=AXIS2_PLACEMENT_3D('',#494937,#403646,#403647); #347434=AXIS2_PLACEMENT_3D('',#494938,#403648,#403649); #347435=AXIS2_PLACEMENT_3D('',#494939,#403650,#403651); #347436=AXIS2_PLACEMENT_3D('',#494941,#403652,#403653); #347437=AXIS2_PLACEMENT_3D('',#494944,#403655,#403656); #347438=AXIS2_PLACEMENT_3D('',#494945,#403657,#403658); #347439=AXIS2_PLACEMENT_3D('',#494947,#403659,#403660); #347440=AXIS2_PLACEMENT_3D('',#494950,#403662,#403663); #347441=AXIS2_PLACEMENT_3D('',#494951,#403664,#403665); #347442=AXIS2_PLACEMENT_3D('',#494960,#403670,#403671); #347443=AXIS2_PLACEMENT_3D('',#494962,#403672,#403673); #347444=AXIS2_PLACEMENT_3D('',#494964,#403674,#403675); #347445=AXIS2_PLACEMENT_3D('',#494966,#403677,#403678); #347446=AXIS2_PLACEMENT_3D('',#494968,#403679,#403680); #347447=AXIS2_PLACEMENT_3D('',#494970,#403681,#403682); #347448=AXIS2_PLACEMENT_3D('',#494972,#403684,#403685); #347449=AXIS2_PLACEMENT_3D('',#494978,#403689,#403690); #347450=AXIS2_PLACEMENT_3D('',#494980,#403691,#403692); #347451=AXIS2_PLACEMENT_3D('',#494982,#403693,#403694); #347452=AXIS2_PLACEMENT_3D('',#494984,#403696,#403697); #347453=AXIS2_PLACEMENT_3D('',#494986,#403698,#403699); #347454=AXIS2_PLACEMENT_3D('',#494988,#403700,#403701); #347455=AXIS2_PLACEMENT_3D('',#494990,#403703,#403704); #347456=AXIS2_PLACEMENT_3D('',#494996,#403708,#403709); #347457=AXIS2_PLACEMENT_3D('',#494997,#403710,#403711); #347458=AXIS2_PLACEMENT_3D('',#494998,#403712,#403713); #347459=AXIS2_PLACEMENT_3D('',#494999,#403714,#403715); #347460=AXIS2_PLACEMENT_3D('',#495000,#403716,#403717); #347461=AXIS2_PLACEMENT_3D('',#495001,#403718,#403719); #347462=AXIS2_PLACEMENT_3D('',#495003,#403720,#403721); #347463=AXIS2_PLACEMENT_3D('',#495006,#403723,#403724); #347464=AXIS2_PLACEMENT_3D('',#495007,#403725,#403726); #347465=AXIS2_PLACEMENT_3D('',#495016,#403731,#403732); #347466=AXIS2_PLACEMENT_3D('',#495018,#403733,#403734); #347467=AXIS2_PLACEMENT_3D('',#495020,#403735,#403736); #347468=AXIS2_PLACEMENT_3D('',#495022,#403738,#403739); #347469=AXIS2_PLACEMENT_3D('',#495028,#403743,#403744); #347470=AXIS2_PLACEMENT_3D('',#495034,#403748,#403749); #347471=AXIS2_PLACEMENT_3D('',#495040,#403753,#403754); #347472=AXIS2_PLACEMENT_3D('',#495046,#403758,#403759); #347473=AXIS2_PLACEMENT_3D('',#495052,#403763,#403764); #347474=AXIS2_PLACEMENT_3D('',#495058,#403768,#403769); #347475=AXIS2_PLACEMENT_3D('',#495064,#403773,#403774); #347476=AXIS2_PLACEMENT_3D('',#495065,#403775,#403776); #347477=AXIS2_PLACEMENT_3D('',#495066,#403777,#403778); #347478=AXIS2_PLACEMENT_3D('',#495067,#403779,#403780); #347479=AXIS2_PLACEMENT_3D('',#495068,#403781,#403782); #347480=AXIS2_PLACEMENT_3D('',#495069,#403783,#403784); #347481=AXIS2_PLACEMENT_3D('',#495071,#403785,#403786); #347482=AXIS2_PLACEMENT_3D('',#495074,#403788,#403789); #347483=AXIS2_PLACEMENT_3D('',#495075,#403790,#403791); #347484=AXIS2_PLACEMENT_3D('',#495084,#403796,#403797); #347485=AXIS2_PLACEMENT_3D('',#495090,#403801,#403802); #347486=AXIS2_PLACEMENT_3D('',#495096,#403806,#403807); #347487=AXIS2_PLACEMENT_3D('',#495102,#403811,#403812); #347488=AXIS2_PLACEMENT_3D('',#495108,#403816,#403817); #347489=AXIS2_PLACEMENT_3D('',#495110,#403818,#403819); #347490=AXIS2_PLACEMENT_3D('',#495112,#403820,#403821); #347491=AXIS2_PLACEMENT_3D('',#495114,#403823,#403824); #347492=AXIS2_PLACEMENT_3D('',#495120,#403828,#403829); #347493=AXIS2_PLACEMENT_3D('',#495122,#403830,#403831); #347494=AXIS2_PLACEMENT_3D('',#495124,#403832,#403833); #347495=AXIS2_PLACEMENT_3D('',#495126,#403835,#403836); #347496=AXIS2_PLACEMENT_3D('',#495128,#403837,#403838); #347497=AXIS2_PLACEMENT_3D('',#495130,#403839,#403840); #347498=AXIS2_PLACEMENT_3D('',#495132,#403842,#403843); #347499=AXIS2_PLACEMENT_3D('',#495134,#403844,#403845); #347500=AXIS2_PLACEMENT_3D('',#495136,#403846,#403847); #347501=AXIS2_PLACEMENT_3D('',#495138,#403849,#403850); #347502=AXIS2_PLACEMENT_3D('',#495144,#403854,#403855); #347503=AXIS2_PLACEMENT_3D('',#495150,#403859,#403860); #347504=AXIS2_PLACEMENT_3D('',#495153,#403863,#403864); #347505=AXIS2_PLACEMENT_3D('',#495154,#403865,#403866); #347506=AXIS2_PLACEMENT_3D('',#495155,#403867,#403868); #347507=AXIS2_PLACEMENT_3D('',#495157,#403869,#403870); #347508=AXIS2_PLACEMENT_3D('',#495160,#403872,#403873); #347509=AXIS2_PLACEMENT_3D('',#495161,#403874,#403875); #347510=AXIS2_PLACEMENT_3D('',#495163,#403876,#403877); #347511=AXIS2_PLACEMENT_3D('',#495166,#403879,#403880); #347512=AXIS2_PLACEMENT_3D('',#495167,#403881,#403882); #347513=AXIS2_PLACEMENT_3D('',#495176,#403887,#403888); #347514=AXIS2_PLACEMENT_3D('',#495178,#403889,#403890); #347515=AXIS2_PLACEMENT_3D('',#495180,#403891,#403892); #347516=AXIS2_PLACEMENT_3D('',#495182,#403894,#403895); #347517=AXIS2_PLACEMENT_3D('',#495184,#403896,#403897); #347518=AXIS2_PLACEMENT_3D('',#495186,#403898,#403899); #347519=AXIS2_PLACEMENT_3D('',#495188,#403901,#403902); #347520=AXIS2_PLACEMENT_3D('',#495194,#403906,#403907); #347521=AXIS2_PLACEMENT_3D('',#495200,#403911,#403912); #347522=AXIS2_PLACEMENT_3D('',#495206,#403916,#403917); #347523=AXIS2_PLACEMENT_3D('',#495212,#403921,#403922); #347524=AXIS2_PLACEMENT_3D('',#495218,#403926,#403927); #347525=AXIS2_PLACEMENT_3D('',#495220,#403928,#403929); #347526=AXIS2_PLACEMENT_3D('',#495222,#403930,#403931); #347527=AXIS2_PLACEMENT_3D('',#495224,#403933,#403934); #347528=AXIS2_PLACEMENT_3D('',#495230,#403938,#403939); #347529=AXIS2_PLACEMENT_3D('',#495236,#403943,#403944); #347530=AXIS2_PLACEMENT_3D('',#495238,#403945,#403946); #347531=AXIS2_PLACEMENT_3D('',#495240,#403947,#403948); #347532=AXIS2_PLACEMENT_3D('',#495242,#403950,#403951); #347533=AXIS2_PLACEMENT_3D('',#495248,#403955,#403956); #347534=AXIS2_PLACEMENT_3D('',#495250,#403957,#403958); #347535=AXIS2_PLACEMENT_3D('',#495252,#403959,#403960); #347536=AXIS2_PLACEMENT_3D('',#495254,#403962,#403963); #347537=AXIS2_PLACEMENT_3D('',#495260,#403967,#403968); #347538=AXIS2_PLACEMENT_3D('',#495266,#403972,#403973); #347539=AXIS2_PLACEMENT_3D('',#495272,#403977,#403978); #347540=AXIS2_PLACEMENT_3D('',#495274,#403979,#403980); #347541=AXIS2_PLACEMENT_3D('',#495276,#403981,#403982); #347542=AXIS2_PLACEMENT_3D('',#495278,#403984,#403985); #347543=AXIS2_PLACEMENT_3D('',#495284,#403989,#403990); #347544=AXIS2_PLACEMENT_3D('',#495286,#403991,#403992); #347545=AXIS2_PLACEMENT_3D('',#495288,#403993,#403994); #347546=AXIS2_PLACEMENT_3D('',#495290,#403996,#403997); #347547=AXIS2_PLACEMENT_3D('',#495296,#404001,#404002); #347548=AXIS2_PLACEMENT_3D('',#495298,#404003,#404004); #347549=AXIS2_PLACEMENT_3D('',#495300,#404005,#404006); #347550=AXIS2_PLACEMENT_3D('',#495302,#404008,#404009); #347551=AXIS2_PLACEMENT_3D('',#495304,#404010,#404011); #347552=AXIS2_PLACEMENT_3D('',#495306,#404012,#404013); #347553=AXIS2_PLACEMENT_3D('',#495308,#404015,#404016); #347554=AXIS2_PLACEMENT_3D('',#495314,#404020,#404021); #347555=AXIS2_PLACEMENT_3D('',#495320,#404025,#404026); #347556=AXIS2_PLACEMENT_3D('',#495326,#404030,#404031); #347557=AXIS2_PLACEMENT_3D('',#495328,#404032,#404033); #347558=AXIS2_PLACEMENT_3D('',#495330,#404034,#404035); #347559=AXIS2_PLACEMENT_3D('',#495332,#404037,#404038); #347560=AXIS2_PLACEMENT_3D('',#495338,#404042,#404043); #347561=AXIS2_PLACEMENT_3D('',#495340,#404044,#404045); #347562=AXIS2_PLACEMENT_3D('',#495342,#404046,#404047); #347563=AXIS2_PLACEMENT_3D('',#495344,#404049,#404050); #347564=AXIS2_PLACEMENT_3D('',#495350,#404054,#404055); #347565=AXIS2_PLACEMENT_3D('',#495356,#404059,#404060); #347566=AXIS2_PLACEMENT_3D('',#495362,#404064,#404065); #347567=AXIS2_PLACEMENT_3D('',#495364,#404066,#404067); #347568=AXIS2_PLACEMENT_3D('',#495366,#404068,#404069); #347569=AXIS2_PLACEMENT_3D('',#495368,#404071,#404072); #347570=AXIS2_PLACEMENT_3D('',#495374,#404076,#404077); #347571=AXIS2_PLACEMENT_3D('',#495380,#404081,#404082); #347572=AXIS2_PLACEMENT_3D('',#495382,#404083,#404084); #347573=AXIS2_PLACEMENT_3D('',#495384,#404085,#404086); #347574=AXIS2_PLACEMENT_3D('',#495386,#404088,#404089); #347575=AXIS2_PLACEMENT_3D('',#495392,#404093,#404094); #347576=AXIS2_PLACEMENT_3D('',#495394,#404095,#404096); #347577=AXIS2_PLACEMENT_3D('',#495396,#404097,#404098); #347578=AXIS2_PLACEMENT_3D('',#495398,#404100,#404101); #347579=AXIS2_PLACEMENT_3D('',#495404,#404105,#404106); #347580=AXIS2_PLACEMENT_3D('',#495406,#404107,#404108); #347581=AXIS2_PLACEMENT_3D('',#495408,#404109,#404110); #347582=AXIS2_PLACEMENT_3D('',#495410,#404112,#404113); #347583=AXIS2_PLACEMENT_3D('',#495416,#404117,#404118); #347584=AXIS2_PLACEMENT_3D('',#495418,#404119,#404120); #347585=AXIS2_PLACEMENT_3D('',#495420,#404121,#404122); #347586=AXIS2_PLACEMENT_3D('',#495422,#404124,#404125); #347587=AXIS2_PLACEMENT_3D('',#495428,#404129,#404130); #347588=AXIS2_PLACEMENT_3D('',#495429,#404131,#404132); #347589=AXIS2_PLACEMENT_3D('',#495430,#404133,#404134); #347590=AXIS2_PLACEMENT_3D('',#495431,#404135,#404136); #347591=AXIS2_PLACEMENT_3D('',#495432,#404137,#404138); #347592=AXIS2_PLACEMENT_3D('',#495433,#404139,#404140); #347593=AXIS2_PLACEMENT_3D('',#495435,#404141,#404142); #347594=AXIS2_PLACEMENT_3D('',#495438,#404144,#404145); #347595=AXIS2_PLACEMENT_3D('',#495439,#404146,#404147); #347596=AXIS2_PLACEMENT_3D('',#495441,#404148,#404149); #347597=AXIS2_PLACEMENT_3D('',#495444,#404151,#404152); #347598=AXIS2_PLACEMENT_3D('',#495445,#404153,#404154); #347599=AXIS2_PLACEMENT_3D('',#495454,#404159,#404160); #347600=AXIS2_PLACEMENT_3D('',#495456,#404161,#404162); #347601=AXIS2_PLACEMENT_3D('',#495458,#404163,#404164); #347602=AXIS2_PLACEMENT_3D('',#495460,#404166,#404167); #347603=AXIS2_PLACEMENT_3D('',#495462,#404168,#404169); #347604=AXIS2_PLACEMENT_3D('',#495464,#404170,#404171); #347605=AXIS2_PLACEMENT_3D('',#495466,#404173,#404174); #347606=AXIS2_PLACEMENT_3D('',#495472,#404178,#404179); #347607=AXIS2_PLACEMENT_3D('',#495478,#404183,#404184); #347608=AXIS2_PLACEMENT_3D('',#495480,#404185,#404186); #347609=AXIS2_PLACEMENT_3D('',#495482,#404187,#404188); #347610=AXIS2_PLACEMENT_3D('',#495484,#404190,#404191); #347611=AXIS2_PLACEMENT_3D('',#495486,#404192,#404193); #347612=AXIS2_PLACEMENT_3D('',#495488,#404194,#404195); #347613=AXIS2_PLACEMENT_3D('',#495490,#404197,#404198); #347614=AXIS2_PLACEMENT_3D('',#495496,#404202,#404203); #347615=AXIS2_PLACEMENT_3D('',#495498,#404204,#404205); #347616=AXIS2_PLACEMENT_3D('',#495500,#404206,#404207); #347617=AXIS2_PLACEMENT_3D('',#495502,#404209,#404210); #347618=AXIS2_PLACEMENT_3D('',#495508,#404214,#404215); #347619=AXIS2_PLACEMENT_3D('',#495509,#404216,#404217); #347620=AXIS2_PLACEMENT_3D('',#495510,#404218,#404219); #347621=AXIS2_PLACEMENT_3D('',#495511,#404220,#404221); #347622=AXIS2_PLACEMENT_3D('',#495512,#404222,#404223); #347623=AXIS2_PLACEMENT_3D('',#495513,#404224,#404225); #347624=AXIS2_PLACEMENT_3D('',#495515,#404226,#404227); #347625=AXIS2_PLACEMENT_3D('',#495518,#404229,#404230); #347626=AXIS2_PLACEMENT_3D('',#495519,#404231,#404232); #347627=AXIS2_PLACEMENT_3D('',#495528,#404237,#404238); #347628=AXIS2_PLACEMENT_3D('',#495534,#404242,#404243); #347629=AXIS2_PLACEMENT_3D('',#495540,#404247,#404248); #347630=AXIS2_PLACEMENT_3D('',#495546,#404252,#404253); #347631=AXIS2_PLACEMENT_3D('',#495552,#404257,#404258); #347632=AXIS2_PLACEMENT_3D('',#495554,#404259,#404260); #347633=AXIS2_PLACEMENT_3D('',#495556,#404261,#404262); #347634=AXIS2_PLACEMENT_3D('',#495558,#404264,#404265); #347635=AXIS2_PLACEMENT_3D('',#495564,#404269,#404270); #347636=AXIS2_PLACEMENT_3D('',#495566,#404271,#404272); #347637=AXIS2_PLACEMENT_3D('',#495568,#404273,#404274); #347638=AXIS2_PLACEMENT_3D('',#495570,#404276,#404277); #347639=AXIS2_PLACEMENT_3D('',#495572,#404278,#404279); #347640=AXIS2_PLACEMENT_3D('',#495574,#404280,#404281); #347641=AXIS2_PLACEMENT_3D('',#495576,#404283,#404284); #347642=AXIS2_PLACEMENT_3D('',#495578,#404285,#404286); #347643=AXIS2_PLACEMENT_3D('',#495580,#404287,#404288); #347644=AXIS2_PLACEMENT_3D('',#495582,#404290,#404291); #347645=AXIS2_PLACEMENT_3D('',#495588,#404295,#404296); #347646=AXIS2_PLACEMENT_3D('',#495590,#404297,#404298); #347647=AXIS2_PLACEMENT_3D('',#495592,#404299,#404300); #347648=AXIS2_PLACEMENT_3D('',#495594,#404302,#404303); #347649=AXIS2_PLACEMENT_3D('',#495600,#404307,#404308); #347650=AXIS2_PLACEMENT_3D('',#495606,#404312,#404313); #347651=AXIS2_PLACEMENT_3D('',#495612,#404317,#404318); #347652=AXIS2_PLACEMENT_3D('',#495618,#404322,#404323); #347653=AXIS2_PLACEMENT_3D('',#495624,#404327,#404328); #347654=AXIS2_PLACEMENT_3D('',#495630,#404332,#404333); #347655=AXIS2_PLACEMENT_3D('',#495636,#404337,#404338); #347656=AXIS2_PLACEMENT_3D('',#495642,#404342,#404343); #347657=AXIS2_PLACEMENT_3D('',#495644,#404344,#404345); #347658=AXIS2_PLACEMENT_3D('',#495646,#404346,#404347); #347659=AXIS2_PLACEMENT_3D('',#495648,#404349,#404350); #347660=AXIS2_PLACEMENT_3D('',#495654,#404354,#404355); #347661=AXIS2_PLACEMENT_3D('',#495660,#404359,#404360); #347662=AXIS2_PLACEMENT_3D('',#495663,#404363,#404364); #347663=AXIS2_PLACEMENT_3D('',#495664,#404365,#404366); #347664=AXIS2_PLACEMENT_3D('',#495665,#404367,#404368); #347665=AXIS2_PLACEMENT_3D('',#495667,#404369,#404370); #347666=AXIS2_PLACEMENT_3D('',#495670,#404372,#404373); #347667=AXIS2_PLACEMENT_3D('',#495671,#404374,#404375); #347668=AXIS2_PLACEMENT_3D('',#495680,#404380,#404381); #347669=AXIS2_PLACEMENT_3D('',#495682,#404382,#404383); #347670=AXIS2_PLACEMENT_3D('',#495684,#404384,#404385); #347671=AXIS2_PLACEMENT_3D('',#495686,#404387,#404388); #347672=AXIS2_PLACEMENT_3D('',#495688,#404389,#404390); #347673=AXIS2_PLACEMENT_3D('',#495690,#404391,#404392); #347674=AXIS2_PLACEMENT_3D('',#495692,#404394,#404395); #347675=AXIS2_PLACEMENT_3D('',#495698,#404399,#404400); #347676=AXIS2_PLACEMENT_3D('',#495700,#404401,#404402); #347677=AXIS2_PLACEMENT_3D('',#495702,#404403,#404404); #347678=AXIS2_PLACEMENT_3D('',#495704,#404406,#404407); #347679=AXIS2_PLACEMENT_3D('',#495710,#404411,#404412); #347680=AXIS2_PLACEMENT_3D('',#495716,#404416,#404417); #347681=AXIS2_PLACEMENT_3D('',#495722,#404421,#404422); #347682=AXIS2_PLACEMENT_3D('',#495728,#404426,#404427); #347683=AXIS2_PLACEMENT_3D('',#495734,#404431,#404432); #347684=AXIS2_PLACEMENT_3D('',#495740,#404436,#404437); #347685=AXIS2_PLACEMENT_3D('',#495746,#404441,#404442); #347686=AXIS2_PLACEMENT_3D('',#495752,#404446,#404447); #347687=AXIS2_PLACEMENT_3D('',#495754,#404448,#404449); #347688=AXIS2_PLACEMENT_3D('',#495756,#404450,#404451); #347689=AXIS2_PLACEMENT_3D('',#495758,#404453,#404454); #347690=AXIS2_PLACEMENT_3D('',#495764,#404458,#404459); #347691=AXIS2_PLACEMENT_3D('',#495770,#404463,#404464); #347692=AXIS2_PLACEMENT_3D('',#495776,#404468,#404469); #347693=AXIS2_PLACEMENT_3D('',#495782,#404473,#404474); #347694=AXIS2_PLACEMENT_3D('',#495788,#404478,#404479); #347695=AXIS2_PLACEMENT_3D('',#495794,#404483,#404484); #347696=AXIS2_PLACEMENT_3D('',#495800,#404488,#404489); #347697=AXIS2_PLACEMENT_3D('',#495806,#404493,#404494); #347698=AXIS2_PLACEMENT_3D('',#495808,#404495,#404496); #347699=AXIS2_PLACEMENT_3D('',#495810,#404497,#404498); #347700=AXIS2_PLACEMENT_3D('',#495812,#404500,#404501); #347701=AXIS2_PLACEMENT_3D('',#495818,#404505,#404506); #347702=AXIS2_PLACEMENT_3D('',#495820,#404507,#404508); #347703=AXIS2_PLACEMENT_3D('',#495822,#404509,#404510); #347704=AXIS2_PLACEMENT_3D('',#495824,#404512,#404513); #347705=AXIS2_PLACEMENT_3D('',#495825,#404514,#404515); #347706=AXIS2_PLACEMENT_3D('',#495826,#404516,#404517); #347707=AXIS2_PLACEMENT_3D('',#495827,#404518,#404519); #347708=AXIS2_PLACEMENT_3D('',#495828,#404520,#404521); #347709=AXIS2_PLACEMENT_3D('',#495829,#404522,#404523); #347710=AXIS2_PLACEMENT_3D('',#495831,#404524,#404525); #347711=AXIS2_PLACEMENT_3D('',#495834,#404527,#404528); #347712=AXIS2_PLACEMENT_3D('',#495835,#404529,#404530); #347713=AXIS2_PLACEMENT_3D('',#495837,#404531,#404532); #347714=AXIS2_PLACEMENT_3D('',#495840,#404534,#404535); #347715=AXIS2_PLACEMENT_3D('',#495841,#404536,#404537); #347716=AXIS2_PLACEMENT_3D('',#495850,#404542,#404543); #347717=AXIS2_PLACEMENT_3D('',#495856,#404547,#404548); #347718=AXIS2_PLACEMENT_3D('',#495858,#404549,#404550); #347719=AXIS2_PLACEMENT_3D('',#495860,#404551,#404552); #347720=AXIS2_PLACEMENT_3D('',#495862,#404554,#404555); #347721=AXIS2_PLACEMENT_3D('',#495868,#404559,#404560); #347722=AXIS2_PLACEMENT_3D('',#495874,#404564,#404565); #347723=AXIS2_PLACEMENT_3D('',#495876,#404566,#404567); #347724=AXIS2_PLACEMENT_3D('',#495878,#404568,#404569); #347725=AXIS2_PLACEMENT_3D('',#495880,#404571,#404572); #347726=AXIS2_PLACEMENT_3D('',#495882,#404573,#404574); #347727=AXIS2_PLACEMENT_3D('',#495884,#404575,#404576); #347728=AXIS2_PLACEMENT_3D('',#495886,#404578,#404579); #347729=AXIS2_PLACEMENT_3D('',#495892,#404583,#404584); #347730=AXIS2_PLACEMENT_3D('',#495894,#404585,#404586); #347731=AXIS2_PLACEMENT_3D('',#495896,#404587,#404588); #347732=AXIS2_PLACEMENT_3D('',#495898,#404590,#404591); #347733=AXIS2_PLACEMENT_3D('',#495904,#404595,#404596); #347734=AXIS2_PLACEMENT_3D('',#495910,#404600,#404601); #347735=AXIS2_PLACEMENT_3D('',#495916,#404605,#404606); #347736=AXIS2_PLACEMENT_3D('',#495918,#404607,#404608); #347737=AXIS2_PLACEMENT_3D('',#495920,#404609,#404610); #347738=AXIS2_PLACEMENT_3D('',#495922,#404612,#404613); #347739=AXIS2_PLACEMENT_3D('',#495928,#404617,#404618); #347740=AXIS2_PLACEMENT_3D('',#495934,#404622,#404623); #347741=AXIS2_PLACEMENT_3D('',#495940,#404627,#404628); #347742=AXIS2_PLACEMENT_3D('',#495946,#404632,#404633); #347743=AXIS2_PLACEMENT_3D('',#495952,#404637,#404638); #347744=AXIS2_PLACEMENT_3D('',#495954,#404639,#404640); #347745=AXIS2_PLACEMENT_3D('',#495956,#404641,#404642); #347746=AXIS2_PLACEMENT_3D('',#495958,#404644,#404645); #347747=AXIS2_PLACEMENT_3D('',#495964,#404649,#404650); #347748=AXIS2_PLACEMENT_3D('',#495970,#404654,#404655); #347749=AXIS2_PLACEMENT_3D('',#495972,#404656,#404657); #347750=AXIS2_PLACEMENT_3D('',#495974,#404658,#404659); #347751=AXIS2_PLACEMENT_3D('',#495976,#404661,#404662); #347752=AXIS2_PLACEMENT_3D('',#495982,#404666,#404667); #347753=AXIS2_PLACEMENT_3D('',#495984,#404668,#404669); #347754=AXIS2_PLACEMENT_3D('',#495986,#404670,#404671); #347755=AXIS2_PLACEMENT_3D('',#495988,#404673,#404674); #347756=AXIS2_PLACEMENT_3D('',#495994,#404678,#404679); #347757=AXIS2_PLACEMENT_3D('',#496000,#404683,#404684); #347758=AXIS2_PLACEMENT_3D('',#496006,#404688,#404689); #347759=AXIS2_PLACEMENT_3D('',#496008,#404690,#404691); #347760=AXIS2_PLACEMENT_3D('',#496010,#404692,#404693); #347761=AXIS2_PLACEMENT_3D('',#496012,#404695,#404696); #347762=AXIS2_PLACEMENT_3D('',#496018,#404700,#404701); #347763=AXIS2_PLACEMENT_3D('',#496020,#404702,#404703); #347764=AXIS2_PLACEMENT_3D('',#496022,#404704,#404705); #347765=AXIS2_PLACEMENT_3D('',#496024,#404707,#404708); #347766=AXIS2_PLACEMENT_3D('',#496030,#404712,#404713); #347767=AXIS2_PLACEMENT_3D('',#496032,#404714,#404715); #347768=AXIS2_PLACEMENT_3D('',#496034,#404716,#404717); #347769=AXIS2_PLACEMENT_3D('',#496036,#404719,#404720); #347770=AXIS2_PLACEMENT_3D('',#496042,#404724,#404725); #347771=AXIS2_PLACEMENT_3D('',#496048,#404729,#404730); #347772=AXIS2_PLACEMENT_3D('',#496050,#404731,#404732); #347773=AXIS2_PLACEMENT_3D('',#496052,#404733,#404734); #347774=AXIS2_PLACEMENT_3D('',#496054,#404736,#404737); #347775=AXIS2_PLACEMENT_3D('',#496056,#404738,#404739); #347776=AXIS2_PLACEMENT_3D('',#496058,#404740,#404741); #347777=AXIS2_PLACEMENT_3D('',#496060,#404743,#404744); #347778=AXIS2_PLACEMENT_3D('',#496066,#404748,#404749); #347779=AXIS2_PLACEMENT_3D('',#496068,#404750,#404751); #347780=AXIS2_PLACEMENT_3D('',#496070,#404752,#404753); #347781=AXIS2_PLACEMENT_3D('',#496072,#404755,#404756); #347782=AXIS2_PLACEMENT_3D('',#496078,#404760,#404761); #347783=AXIS2_PLACEMENT_3D('',#496084,#404765,#404766); #347784=AXIS2_PLACEMENT_3D('',#496090,#404770,#404771); #347785=AXIS2_PLACEMENT_3D('',#496096,#404775,#404776); #347786=AXIS2_PLACEMENT_3D('',#496098,#404777,#404778); #347787=AXIS2_PLACEMENT_3D('',#496100,#404779,#404780); #347788=AXIS2_PLACEMENT_3D('',#496102,#404782,#404783); #347789=AXIS2_PLACEMENT_3D('',#496108,#404787,#404788); #347790=AXIS2_PLACEMENT_3D('',#496110,#404789,#404790); #347791=AXIS2_PLACEMENT_3D('',#496112,#404791,#404792); #347792=AXIS2_PLACEMENT_3D('',#496114,#404794,#404795); #347793=AXIS2_PLACEMENT_3D('',#496120,#404799,#404800); #347794=AXIS2_PLACEMENT_3D('',#496126,#404804,#404805); #347795=AXIS2_PLACEMENT_3D('',#496132,#404809,#404810); #347796=AXIS2_PLACEMENT_3D('',#496134,#404811,#404812); #347797=AXIS2_PLACEMENT_3D('',#496136,#404813,#404814); #347798=AXIS2_PLACEMENT_3D('',#496138,#404816,#404817); #347799=AXIS2_PLACEMENT_3D('',#496144,#404821,#404822); #347800=AXIS2_PLACEMENT_3D('',#496150,#404826,#404827); #347801=AXIS2_PLACEMENT_3D('',#496152,#404828,#404829); #347802=AXIS2_PLACEMENT_3D('',#496154,#404830,#404831); #347803=AXIS2_PLACEMENT_3D('',#496156,#404833,#404834); #347804=AXIS2_PLACEMENT_3D('',#496162,#404838,#404839); #347805=AXIS2_PLACEMENT_3D('',#496164,#404840,#404841); #347806=AXIS2_PLACEMENT_3D('',#496166,#404842,#404843); #347807=AXIS2_PLACEMENT_3D('',#496168,#404845,#404846); #347808=AXIS2_PLACEMENT_3D('',#496174,#404850,#404851); #347809=AXIS2_PLACEMENT_3D('',#496176,#404852,#404853); #347810=AXIS2_PLACEMENT_3D('',#496178,#404854,#404855); #347811=AXIS2_PLACEMENT_3D('',#496180,#404857,#404858); #347812=AXIS2_PLACEMENT_3D('',#496186,#404862,#404863); #347813=AXIS2_PLACEMENT_3D('',#496188,#404864,#404865); #347814=AXIS2_PLACEMENT_3D('',#496190,#404866,#404867); #347815=AXIS2_PLACEMENT_3D('',#496192,#404869,#404870); #347816=AXIS2_PLACEMENT_3D('',#496198,#404874,#404875); #347817=AXIS2_PLACEMENT_3D('',#496204,#404879,#404880); #347818=AXIS2_PLACEMENT_3D('',#496205,#404881,#404882); #347819=AXIS2_PLACEMENT_3D('',#496206,#404883,#404884); #347820=AXIS2_PLACEMENT_3D('',#496207,#404885,#404886); #347821=AXIS2_PLACEMENT_3D('',#496208,#404887,#404888); #347822=AXIS2_PLACEMENT_3D('',#496209,#404889,#404890); #347823=AXIS2_PLACEMENT_3D('',#496211,#404891,#404892); #347824=AXIS2_PLACEMENT_3D('',#496214,#404894,#404895); #347825=AXIS2_PLACEMENT_3D('',#496215,#404896,#404897); #347826=AXIS2_PLACEMENT_3D('',#496217,#404898,#404899); #347827=AXIS2_PLACEMENT_3D('',#496220,#404901,#404902); #347828=AXIS2_PLACEMENT_3D('',#496221,#404903,#404904); #347829=AXIS2_PLACEMENT_3D('',#496230,#404909,#404910); #347830=AXIS2_PLACEMENT_3D('',#496232,#404911,#404912); #347831=AXIS2_PLACEMENT_3D('',#496234,#404913,#404914); #347832=AXIS2_PLACEMENT_3D('',#496236,#404916,#404917); #347833=AXIS2_PLACEMENT_3D('',#496238,#404918,#404919); #347834=AXIS2_PLACEMENT_3D('',#496240,#404920,#404921); #347835=AXIS2_PLACEMENT_3D('',#496242,#404923,#404924); #347836=AXIS2_PLACEMENT_3D('',#496248,#404928,#404929); #347837=AXIS2_PLACEMENT_3D('',#496250,#404930,#404931); #347838=AXIS2_PLACEMENT_3D('',#496252,#404932,#404933); #347839=AXIS2_PLACEMENT_3D('',#496254,#404935,#404936); #347840=AXIS2_PLACEMENT_3D('',#496256,#404937,#404938); #347841=AXIS2_PLACEMENT_3D('',#496258,#404939,#404940); #347842=AXIS2_PLACEMENT_3D('',#496260,#404942,#404943); #347843=AXIS2_PLACEMENT_3D('',#496266,#404947,#404948); #347844=AXIS2_PLACEMENT_3D('',#496267,#404949,#404950); #347845=AXIS2_PLACEMENT_3D('',#496268,#404951,#404952); #347846=AXIS2_PLACEMENT_3D('',#496269,#404953,#404954); #347847=AXIS2_PLACEMENT_3D('',#496270,#404955,#404956); #347848=AXIS2_PLACEMENT_3D('',#496271,#404957,#404958); #347849=AXIS2_PLACEMENT_3D('',#496273,#404959,#404960); #347850=AXIS2_PLACEMENT_3D('',#496276,#404962,#404963); #347851=AXIS2_PLACEMENT_3D('',#496277,#404964,#404965); #347852=AXIS2_PLACEMENT_3D('',#496279,#404966,#404967); #347853=AXIS2_PLACEMENT_3D('',#496282,#404969,#404970); #347854=AXIS2_PLACEMENT_3D('',#496283,#404971,#404972); #347855=AXIS2_PLACEMENT_3D('',#496292,#404977,#404978); #347856=AXIS2_PLACEMENT_3D('',#496294,#404979,#404980); #347857=AXIS2_PLACEMENT_3D('',#496296,#404981,#404982); #347858=AXIS2_PLACEMENT_3D('',#496298,#404984,#404985); #347859=AXIS2_PLACEMENT_3D('',#496300,#404986,#404987); #347860=AXIS2_PLACEMENT_3D('',#496302,#404988,#404989); #347861=AXIS2_PLACEMENT_3D('',#496304,#404991,#404992); #347862=AXIS2_PLACEMENT_3D('',#496310,#404996,#404997); #347863=AXIS2_PLACEMENT_3D('',#496312,#404998,#404999); #347864=AXIS2_PLACEMENT_3D('',#496314,#405000,#405001); #347865=AXIS2_PLACEMENT_3D('',#496316,#405003,#405004); #347866=AXIS2_PLACEMENT_3D('',#496318,#405005,#405006); #347867=AXIS2_PLACEMENT_3D('',#496320,#405007,#405008); #347868=AXIS2_PLACEMENT_3D('',#496322,#405010,#405011); #347869=AXIS2_PLACEMENT_3D('',#496328,#405015,#405016); #347870=AXIS2_PLACEMENT_3D('',#496329,#405017,#405018); #347871=AXIS2_PLACEMENT_3D('',#496330,#405019,#405020); #347872=AXIS2_PLACEMENT_3D('',#496331,#405021,#405022); #347873=AXIS2_PLACEMENT_3D('',#496332,#405023,#405024); #347874=AXIS2_PLACEMENT_3D('',#496333,#405025,#405026); #347875=AXIS2_PLACEMENT_3D('',#496335,#405027,#405028); #347876=AXIS2_PLACEMENT_3D('',#496338,#405030,#405031); #347877=AXIS2_PLACEMENT_3D('',#496339,#405032,#405033); #347878=AXIS2_PLACEMENT_3D('',#496341,#405034,#405035); #347879=AXIS2_PLACEMENT_3D('',#496344,#405037,#405038); #347880=AXIS2_PLACEMENT_3D('',#496345,#405039,#405040); #347881=AXIS2_PLACEMENT_3D('',#496354,#405045,#405046); #347882=AXIS2_PLACEMENT_3D('',#496356,#405047,#405048); #347883=AXIS2_PLACEMENT_3D('',#496358,#405049,#405050); #347884=AXIS2_PLACEMENT_3D('',#496360,#405052,#405053); #347885=AXIS2_PLACEMENT_3D('',#496362,#405054,#405055); #347886=AXIS2_PLACEMENT_3D('',#496364,#405056,#405057); #347887=AXIS2_PLACEMENT_3D('',#496366,#405059,#405060); #347888=AXIS2_PLACEMENT_3D('',#496372,#405064,#405065); #347889=AXIS2_PLACEMENT_3D('',#496374,#405066,#405067); #347890=AXIS2_PLACEMENT_3D('',#496376,#405068,#405069); #347891=AXIS2_PLACEMENT_3D('',#496378,#405071,#405072); #347892=AXIS2_PLACEMENT_3D('',#496380,#405073,#405074); #347893=AXIS2_PLACEMENT_3D('',#496382,#405075,#405076); #347894=AXIS2_PLACEMENT_3D('',#496384,#405078,#405079); #347895=AXIS2_PLACEMENT_3D('',#496390,#405083,#405084); #347896=AXIS2_PLACEMENT_3D('',#496391,#405085,#405086); #347897=AXIS2_PLACEMENT_3D('',#496392,#405087,#405088); #347898=AXIS2_PLACEMENT_3D('',#496393,#405089,#405090); #347899=AXIS2_PLACEMENT_3D('',#496394,#405091,#405092); #347900=AXIS2_PLACEMENT_3D('',#496395,#405093,#405094); #347901=AXIS2_PLACEMENT_3D('',#496397,#405095,#405096); #347902=AXIS2_PLACEMENT_3D('',#496400,#405098,#405099); #347903=AXIS2_PLACEMENT_3D('',#496401,#405100,#405101); #347904=AXIS2_PLACEMENT_3D('',#496403,#405102,#405103); #347905=AXIS2_PLACEMENT_3D('',#496406,#405105,#405106); #347906=AXIS2_PLACEMENT_3D('',#496407,#405107,#405108); #347907=AXIS2_PLACEMENT_3D('',#496416,#405113,#405114); #347908=AXIS2_PLACEMENT_3D('',#496418,#405115,#405116); #347909=AXIS2_PLACEMENT_3D('',#496420,#405117,#405118); #347910=AXIS2_PLACEMENT_3D('',#496422,#405120,#405121); #347911=AXIS2_PLACEMENT_3D('',#496428,#405125,#405126); #347912=AXIS2_PLACEMENT_3D('',#496434,#405130,#405131); #347913=AXIS2_PLACEMENT_3D('',#496440,#405135,#405136); #347914=AXIS2_PLACEMENT_3D('',#496442,#405137,#405138); #347915=AXIS2_PLACEMENT_3D('',#496444,#405139,#405140); #347916=AXIS2_PLACEMENT_3D('',#496446,#405142,#405143); #347917=AXIS2_PLACEMENT_3D('',#496448,#405144,#405145); #347918=AXIS2_PLACEMENT_3D('',#496450,#405146,#405147); #347919=AXIS2_PLACEMENT_3D('',#496452,#405149,#405150); #347920=AXIS2_PLACEMENT_3D('',#496458,#405154,#405155); #347921=AXIS2_PLACEMENT_3D('',#496460,#405156,#405157); #347922=AXIS2_PLACEMENT_3D('',#496462,#405158,#405159); #347923=AXIS2_PLACEMENT_3D('',#496464,#405161,#405162); #347924=AXIS2_PLACEMENT_3D('',#496470,#405166,#405167); #347925=AXIS2_PLACEMENT_3D('',#496472,#405168,#405169); #347926=AXIS2_PLACEMENT_3D('',#496474,#405170,#405171); #347927=AXIS2_PLACEMENT_3D('',#496476,#405173,#405174); #347928=AXIS2_PLACEMENT_3D('',#496482,#405178,#405179); #347929=AXIS2_PLACEMENT_3D('',#496483,#405180,#405181); #347930=AXIS2_PLACEMENT_3D('',#496484,#405182,#405183); #347931=AXIS2_PLACEMENT_3D('',#496485,#405184,#405185); #347932=AXIS2_PLACEMENT_3D('',#496486,#405186,#405187); #347933=AXIS2_PLACEMENT_3D('',#496487,#405188,#405189); #347934=AXIS2_PLACEMENT_3D('',#496489,#405190,#405191); #347935=AXIS2_PLACEMENT_3D('',#496492,#405193,#405194); #347936=AXIS2_PLACEMENT_3D('',#496493,#405195,#405196); #347937=AXIS2_PLACEMENT_3D('',#496502,#405201,#405202); #347938=AXIS2_PLACEMENT_3D('',#496508,#405206,#405207); #347939=AXIS2_PLACEMENT_3D('',#496514,#405211,#405212); #347940=AXIS2_PLACEMENT_3D('',#496517,#405215,#405216); #347941=AXIS2_PLACEMENT_3D('',#496518,#405217,#405218); #347942=AXIS2_PLACEMENT_3D('',#496519,#405219,#405220); #347943=AXIS2_PLACEMENT_3D('',#496521,#405221,#405222); #347944=AXIS2_PLACEMENT_3D('',#496524,#405224,#405225); #347945=AXIS2_PLACEMENT_3D('',#496525,#405226,#405227); #347946=AXIS2_PLACEMENT_3D('',#496534,#405232,#405233); #347947=AXIS2_PLACEMENT_3D('',#496540,#405237,#405238); #347948=AXIS2_PLACEMENT_3D('',#496546,#405242,#405243); #347949=AXIS2_PLACEMENT_3D('',#496549,#405246,#405247); #347950=AXIS2_PLACEMENT_3D('',#496550,#405248,#405249); #347951=AXIS2_PLACEMENT_3D('',#496551,#405250,#405251); #347952=AXIS2_PLACEMENT_3D('',#496553,#405252,#405253); #347953=AXIS2_PLACEMENT_3D('',#496556,#405255,#405256); #347954=AXIS2_PLACEMENT_3D('',#496557,#405257,#405258); #347955=AXIS2_PLACEMENT_3D('',#496566,#405263,#405264); #347956=AXIS2_PLACEMENT_3D('',#496572,#405268,#405269); #347957=AXIS2_PLACEMENT_3D('',#496578,#405273,#405274); #347958=AXIS2_PLACEMENT_3D('',#496581,#405277,#405278); #347959=AXIS2_PLACEMENT_3D('',#496582,#405279,#405280); #347960=AXIS2_PLACEMENT_3D('',#496583,#405281,#405282); #347961=AXIS2_PLACEMENT_3D('',#496585,#405283,#405284); #347962=AXIS2_PLACEMENT_3D('',#496588,#405286,#405287); #347963=AXIS2_PLACEMENT_3D('',#496589,#405288,#405289); #347964=AXIS2_PLACEMENT_3D('',#496598,#405294,#405295); #347965=AXIS2_PLACEMENT_3D('',#496600,#405296,#405297); #347966=AXIS2_PLACEMENT_3D('',#496602,#405298,#405299); #347967=AXIS2_PLACEMENT_3D('',#496604,#405301,#405302); #347968=AXIS2_PLACEMENT_3D('',#496610,#405306,#405307); #347969=AXIS2_PLACEMENT_3D('',#496616,#405311,#405312); #347970=AXIS2_PLACEMENT_3D('',#496622,#405316,#405317); #347971=AXIS2_PLACEMENT_3D('',#496628,#405321,#405322); #347972=AXIS2_PLACEMENT_3D('',#496634,#405326,#405327); #347973=AXIS2_PLACEMENT_3D('',#496640,#405331,#405332); #347974=AXIS2_PLACEMENT_3D('',#496646,#405336,#405337); #347975=AXIS2_PLACEMENT_3D('',#496647,#405338,#405339); #347976=AXIS2_PLACEMENT_3D('',#496648,#405340,#405341); #347977=AXIS2_PLACEMENT_3D('',#496649,#405342,#405343); #347978=AXIS2_PLACEMENT_3D('',#496650,#405344,#405345); #347979=AXIS2_PLACEMENT_3D('',#496651,#405346,#405347); #347980=AXIS2_PLACEMENT_3D('',#496653,#405348,#405349); #347981=AXIS2_PLACEMENT_3D('',#496656,#405351,#405352); #347982=AXIS2_PLACEMENT_3D('',#496657,#405353,#405354); #347983=AXIS2_PLACEMENT_3D('',#496659,#405355,#405356); #347984=AXIS2_PLACEMENT_3D('',#496662,#405358,#405359); #347985=AXIS2_PLACEMENT_3D('',#496663,#405360,#405361); #347986=AXIS2_PLACEMENT_3D('',#496672,#405366,#405367); #347987=AXIS2_PLACEMENT_3D('',#496674,#405368,#405369); #347988=AXIS2_PLACEMENT_3D('',#496676,#405370,#405371); #347989=AXIS2_PLACEMENT_3D('',#496678,#405373,#405374); #347990=AXIS2_PLACEMENT_3D('',#496684,#405378,#405379); #347991=AXIS2_PLACEMENT_3D('',#496686,#405380,#405381); #347992=AXIS2_PLACEMENT_3D('',#496688,#405382,#405383); #347993=AXIS2_PLACEMENT_3D('',#496690,#405385,#405386); #347994=AXIS2_PLACEMENT_3D('',#496692,#405387,#405388); #347995=AXIS2_PLACEMENT_3D('',#496694,#405389,#405390); #347996=AXIS2_PLACEMENT_3D('',#496696,#405392,#405393); #347997=AXIS2_PLACEMENT_3D('',#496702,#405397,#405398); #347998=AXIS2_PLACEMENT_3D('',#496703,#405399,#405400); #347999=AXIS2_PLACEMENT_3D('',#496704,#405401,#405402); #348000=AXIS2_PLACEMENT_3D('',#496705,#405403,#405404); #348001=AXIS2_PLACEMENT_3D('',#496706,#405405,#405406); #348002=AXIS2_PLACEMENT_3D('',#496707,#405407,#405408); #348003=AXIS2_PLACEMENT_3D('',#496709,#405409,#405410); #348004=AXIS2_PLACEMENT_3D('',#496712,#405412,#405413); #348005=AXIS2_PLACEMENT_3D('',#496713,#405414,#405415); #348006=AXIS2_PLACEMENT_3D('',#496722,#405420,#405421); #348007=AXIS2_PLACEMENT_3D('',#496724,#405422,#405423); #348008=AXIS2_PLACEMENT_3D('',#496726,#405424,#405425); #348009=AXIS2_PLACEMENT_3D('',#496728,#405427,#405428); #348010=AXIS2_PLACEMENT_3D('',#496734,#405432,#405433); #348011=AXIS2_PLACEMENT_3D('',#496740,#405437,#405438); #348012=AXIS2_PLACEMENT_3D('',#496746,#405442,#405443); #348013=AXIS2_PLACEMENT_3D('',#496752,#405447,#405448); #348014=AXIS2_PLACEMENT_3D('',#496758,#405452,#405453); #348015=AXIS2_PLACEMENT_3D('',#496764,#405457,#405458); #348016=AXIS2_PLACEMENT_3D('',#496770,#405462,#405463); #348017=AXIS2_PLACEMENT_3D('',#496771,#405464,#405465); #348018=AXIS2_PLACEMENT_3D('',#496772,#405466,#405467); #348019=AXIS2_PLACEMENT_3D('',#496773,#405468,#405469); #348020=AXIS2_PLACEMENT_3D('',#496774,#405470,#405471); #348021=AXIS2_PLACEMENT_3D('',#496775,#405472,#405473); #348022=AXIS2_PLACEMENT_3D('',#496777,#405474,#405475); #348023=AXIS2_PLACEMENT_3D('',#496780,#405477,#405478); #348024=AXIS2_PLACEMENT_3D('',#496781,#405479,#405480); #348025=AXIS2_PLACEMENT_3D('',#496783,#405481,#405482); #348026=AXIS2_PLACEMENT_3D('',#496786,#405484,#405485); #348027=AXIS2_PLACEMENT_3D('',#496787,#405486,#405487); #348028=AXIS2_PLACEMENT_3D('',#496796,#405492,#405493); #348029=AXIS2_PLACEMENT_3D('',#496798,#405494,#405495); #348030=AXIS2_PLACEMENT_3D('',#496800,#405496,#405497); #348031=AXIS2_PLACEMENT_3D('',#496802,#405499,#405500); #348032=AXIS2_PLACEMENT_3D('',#496808,#405504,#405505); #348033=AXIS2_PLACEMENT_3D('',#496810,#405506,#405507); #348034=AXIS2_PLACEMENT_3D('',#496812,#405508,#405509); #348035=AXIS2_PLACEMENT_3D('',#496814,#405511,#405512); #348036=AXIS2_PLACEMENT_3D('',#496816,#405513,#405514); #348037=AXIS2_PLACEMENT_3D('',#496818,#405515,#405516); #348038=AXIS2_PLACEMENT_3D('',#496820,#405518,#405519); #348039=AXIS2_PLACEMENT_3D('',#496826,#405523,#405524); #348040=AXIS2_PLACEMENT_3D('',#496827,#405525,#405526); #348041=AXIS2_PLACEMENT_3D('',#496828,#405527,#405528); #348042=AXIS2_PLACEMENT_3D('',#496829,#405529,#405530); #348043=AXIS2_PLACEMENT_3D('',#496830,#405531,#405532); #348044=AXIS2_PLACEMENT_3D('',#496831,#405533,#405534); #348045=AXIS2_PLACEMENT_3D('',#496833,#405535,#405536); #348046=AXIS2_PLACEMENT_3D('',#496836,#405538,#405539); #348047=AXIS2_PLACEMENT_3D('',#496837,#405540,#405541); #348048=AXIS2_PLACEMENT_3D('',#496846,#405546,#405547); #348049=AXIS2_PLACEMENT_3D('',#496848,#405548,#405549); #348050=AXIS2_PLACEMENT_3D('',#496850,#405550,#405551); #348051=AXIS2_PLACEMENT_3D('',#496852,#405553,#405554); #348052=AXIS2_PLACEMENT_3D('',#496858,#405558,#405559); #348053=AXIS2_PLACEMENT_3D('',#496864,#405563,#405564); #348054=AXIS2_PLACEMENT_3D('',#496870,#405568,#405569); #348055=AXIS2_PLACEMENT_3D('',#496876,#405573,#405574); #348056=AXIS2_PLACEMENT_3D('',#496882,#405578,#405579); #348057=AXIS2_PLACEMENT_3D('',#496888,#405583,#405584); #348058=AXIS2_PLACEMENT_3D('',#496894,#405588,#405589); #348059=AXIS2_PLACEMENT_3D('',#496895,#405590,#405591); #348060=AXIS2_PLACEMENT_3D('',#496896,#405592,#405593); #348061=AXIS2_PLACEMENT_3D('',#496897,#405594,#405595); #348062=AXIS2_PLACEMENT_3D('',#496898,#405596,#405597); #348063=AXIS2_PLACEMENT_3D('',#496899,#405598,#405599); #348064=AXIS2_PLACEMENT_3D('',#496901,#405600,#405601); #348065=AXIS2_PLACEMENT_3D('',#496904,#405603,#405604); #348066=AXIS2_PLACEMENT_3D('',#496905,#405605,#405606); #348067=AXIS2_PLACEMENT_3D('',#496914,#405611,#405612); #348068=AXIS2_PLACEMENT_3D('',#496916,#405613,#405614); #348069=AXIS2_PLACEMENT_3D('',#496918,#405615,#405616); #348070=AXIS2_PLACEMENT_3D('',#496920,#405618,#405619); #348071=AXIS2_PLACEMENT_3D('',#496926,#405623,#405624); #348072=AXIS2_PLACEMENT_3D('',#496932,#405628,#405629); #348073=AXIS2_PLACEMENT_3D('',#496938,#405633,#405634); #348074=AXIS2_PLACEMENT_3D('',#496944,#405638,#405639); #348075=AXIS2_PLACEMENT_3D('',#496950,#405643,#405644); #348076=AXIS2_PLACEMENT_3D('',#496956,#405648,#405649); #348077=AXIS2_PLACEMENT_3D('',#496962,#405653,#405654); #348078=AXIS2_PLACEMENT_3D('',#496963,#405655,#405656); #348079=AXIS2_PLACEMENT_3D('',#496964,#405657,#405658); #348080=AXIS2_PLACEMENT_3D('',#496965,#405659,#405660); #348081=AXIS2_PLACEMENT_3D('',#496966,#405661,#405662); #348082=AXIS2_PLACEMENT_3D('',#496967,#405663,#405664); #348083=AXIS2_PLACEMENT_3D('',#496969,#405665,#405666); #348084=AXIS2_PLACEMENT_3D('',#496972,#405668,#405669); #348085=AXIS2_PLACEMENT_3D('',#496973,#405670,#405671); #348086=AXIS2_PLACEMENT_3D('',#496982,#405676,#405677); #348087=AXIS2_PLACEMENT_3D('',#496984,#405678,#405679); #348088=AXIS2_PLACEMENT_3D('',#496986,#405680,#405681); #348089=AXIS2_PLACEMENT_3D('',#496988,#405683,#405684); #348090=AXIS2_PLACEMENT_3D('',#496994,#405688,#405689); #348091=AXIS2_PLACEMENT_3D('',#496996,#405690,#405691); #348092=AXIS2_PLACEMENT_3D('',#496998,#405692,#405693); #348093=AXIS2_PLACEMENT_3D('',#497000,#405695,#405696); #348094=AXIS2_PLACEMENT_3D('',#497006,#405700,#405701); #348095=AXIS2_PLACEMENT_3D('',#497008,#405702,#405703); #348096=AXIS2_PLACEMENT_3D('',#497010,#405704,#405705); #348097=AXIS2_PLACEMENT_3D('',#497012,#405707,#405708); #348098=AXIS2_PLACEMENT_3D('',#497018,#405712,#405713); #348099=AXIS2_PLACEMENT_3D('',#497024,#405717,#405718); #348100=AXIS2_PLACEMENT_3D('',#497030,#405722,#405723); #348101=AXIS2_PLACEMENT_3D('',#497036,#405727,#405728); #348102=AXIS2_PLACEMENT_3D('',#497042,#405732,#405733); #348103=AXIS2_PLACEMENT_3D('',#497048,#405737,#405738); #348104=AXIS2_PLACEMENT_3D('',#497054,#405742,#405743); #348105=AXIS2_PLACEMENT_3D('',#497060,#405747,#405748); #348106=AXIS2_PLACEMENT_3D('',#497066,#405752,#405753); #348107=AXIS2_PLACEMENT_3D('',#497068,#405754,#405755); #348108=AXIS2_PLACEMENT_3D('',#497070,#405756,#405757); #348109=AXIS2_PLACEMENT_3D('',#497072,#405759,#405760); #348110=AXIS2_PLACEMENT_3D('',#497073,#405761,#405762); #348111=AXIS2_PLACEMENT_3D('',#497074,#405763,#405764); #348112=AXIS2_PLACEMENT_3D('',#497075,#405765,#405766); #348113=AXIS2_PLACEMENT_3D('',#497076,#405767,#405768); #348114=AXIS2_PLACEMENT_3D('',#497077,#405769,#405770); #348115=AXIS2_PLACEMENT_3D('',#497079,#405771,#405772); #348116=AXIS2_PLACEMENT_3D('',#497082,#405774,#405775); #348117=AXIS2_PLACEMENT_3D('',#497083,#405776,#405777); #348118=AXIS2_PLACEMENT_3D('',#497092,#405782,#405783); #348119=AXIS2_PLACEMENT_3D('',#497098,#405787,#405788); #348120=AXIS2_PLACEMENT_3D('',#497104,#405792,#405793); #348121=AXIS2_PLACEMENT_3D('',#497110,#405797,#405798); #348122=AXIS2_PLACEMENT_3D('',#497116,#405802,#405803); #348123=AXIS2_PLACEMENT_3D('',#497122,#405807,#405808); #348124=AXIS2_PLACEMENT_3D('',#497128,#405812,#405813); #348125=AXIS2_PLACEMENT_3D('',#497134,#405817,#405818); #348126=AXIS2_PLACEMENT_3D('',#497136,#405819,#405820); #348127=AXIS2_PLACEMENT_3D('',#497138,#405821,#405822); #348128=AXIS2_PLACEMENT_3D('',#497140,#405824,#405825); #348129=AXIS2_PLACEMENT_3D('',#497142,#405826,#405827); #348130=AXIS2_PLACEMENT_3D('',#497144,#405828,#405829); #348131=AXIS2_PLACEMENT_3D('',#497146,#405831,#405832); #348132=AXIS2_PLACEMENT_3D('',#497152,#405836,#405837); #348133=AXIS2_PLACEMENT_3D('',#497154,#405838,#405839); #348134=AXIS2_PLACEMENT_3D('',#497156,#405840,#405841); #348135=AXIS2_PLACEMENT_3D('',#497158,#405843,#405844); #348136=AXIS2_PLACEMENT_3D('',#497164,#405848,#405849); #348137=AXIS2_PLACEMENT_3D('',#497166,#405850,#405851); #348138=AXIS2_PLACEMENT_3D('',#497168,#405852,#405853); #348139=AXIS2_PLACEMENT_3D('',#497170,#405855,#405856); #348140=AXIS2_PLACEMENT_3D('',#497173,#405859,#405860); #348141=AXIS2_PLACEMENT_3D('',#497174,#405861,#405862); #348142=AXIS2_PLACEMENT_3D('',#497175,#405863,#405864); #348143=AXIS2_PLACEMENT_3D('',#497177,#405865,#405866); #348144=AXIS2_PLACEMENT_3D('',#497180,#405868,#405869); #348145=AXIS2_PLACEMENT_3D('',#497181,#405870,#405871); #348146=AXIS2_PLACEMENT_3D('',#497190,#405876,#405877); #348147=AXIS2_PLACEMENT_3D('',#497192,#405878,#405879); #348148=AXIS2_PLACEMENT_3D('',#497194,#405880,#405881); #348149=AXIS2_PLACEMENT_3D('',#497196,#405883,#405884); #348150=AXIS2_PLACEMENT_3D('',#497197,#405885,#405886); #348151=AXIS2_PLACEMENT_3D('',#497198,#405887,#405888); #348152=AXIS2_PLACEMENT_3D('',#497199,#405889,#405890); #348153=AXIS2_PLACEMENT_3D('',#497200,#405891,#405892); #348154=AXIS2_PLACEMENT_3D('',#497201,#405893,#405894); #348155=AXIS2_PLACEMENT_3D('',#497203,#405895,#405896); #348156=AXIS2_PLACEMENT_3D('',#497206,#405898,#405899); #348157=AXIS2_PLACEMENT_3D('',#497207,#405900,#405901); #348158=AXIS2_PLACEMENT_3D('',#497216,#405906,#405907); #348159=AXIS2_PLACEMENT_3D('',#497222,#405911,#405912); #348160=AXIS2_PLACEMENT_3D('',#497228,#405916,#405917); #348161=AXIS2_PLACEMENT_3D('',#497234,#405921,#405922); #348162=AXIS2_PLACEMENT_3D('',#497240,#405926,#405927); #348163=AXIS2_PLACEMENT_3D('',#497246,#405931,#405932); #348164=AXIS2_PLACEMENT_3D('',#497252,#405936,#405937); #348165=AXIS2_PLACEMENT_3D('',#497254,#405938,#405939); #348166=AXIS2_PLACEMENT_3D('',#497256,#405940,#405941); #348167=AXIS2_PLACEMENT_3D('',#497258,#405943,#405944); #348168=AXIS2_PLACEMENT_3D('',#497264,#405948,#405949); #348169=AXIS2_PLACEMENT_3D('',#497270,#405953,#405954); #348170=AXIS2_PLACEMENT_3D('',#497272,#405955,#405956); #348171=AXIS2_PLACEMENT_3D('',#497274,#405957,#405958); #348172=AXIS2_PLACEMENT_3D('',#497276,#405960,#405961); #348173=AXIS2_PLACEMENT_3D('',#497278,#405962,#405963); #348174=AXIS2_PLACEMENT_3D('',#497280,#405964,#405965); #348175=AXIS2_PLACEMENT_3D('',#497282,#405967,#405968); #348176=AXIS2_PLACEMENT_3D('',#497288,#405972,#405973); #348177=AXIS2_PLACEMENT_3D('',#497290,#405974,#405975); #348178=AXIS2_PLACEMENT_3D('',#497292,#405976,#405977); #348179=AXIS2_PLACEMENT_3D('',#497294,#405979,#405980); #348180=AXIS2_PLACEMENT_3D('',#497300,#405984,#405985); #348181=AXIS2_PLACEMENT_3D('',#497306,#405989,#405990); #348182=AXIS2_PLACEMENT_3D('',#497308,#405991,#405992); #348183=AXIS2_PLACEMENT_3D('',#497310,#405993,#405994); #348184=AXIS2_PLACEMENT_3D('',#497312,#405996,#405997); #348185=AXIS2_PLACEMENT_3D('',#497318,#406001,#406002); #348186=AXIS2_PLACEMENT_3D('',#497320,#406003,#406004); #348187=AXIS2_PLACEMENT_3D('',#497322,#406005,#406006); #348188=AXIS2_PLACEMENT_3D('',#497324,#406008,#406009); #348189=AXIS2_PLACEMENT_3D('',#497330,#406013,#406014); #348190=AXIS2_PLACEMENT_3D('',#497333,#406017,#406018); #348191=AXIS2_PLACEMENT_3D('',#497334,#406019,#406020); #348192=AXIS2_PLACEMENT_3D('',#497335,#406021,#406022); #348193=AXIS2_PLACEMENT_3D('',#497337,#406023,#406024); #348194=AXIS2_PLACEMENT_3D('',#497340,#406026,#406027); #348195=AXIS2_PLACEMENT_3D('',#497341,#406028,#406029); #348196=AXIS2_PLACEMENT_3D('',#497350,#406034,#406035); #348197=AXIS2_PLACEMENT_3D('',#497356,#406039,#406040); #348198=AXIS2_PLACEMENT_3D('',#497362,#406044,#406045); #348199=AXIS2_PLACEMENT_3D('',#497368,#406049,#406050); #348200=AXIS2_PLACEMENT_3D('',#497370,#406051,#406052); #348201=AXIS2_PLACEMENT_3D('',#497372,#406053,#406054); #348202=AXIS2_PLACEMENT_3D('',#497374,#406056,#406057); #348203=AXIS2_PLACEMENT_3D('',#497380,#406061,#406062); #348204=AXIS2_PLACEMENT_3D('',#497382,#406063,#406064); #348205=AXIS2_PLACEMENT_3D('',#497384,#406065,#406066); #348206=AXIS2_PLACEMENT_3D('',#497386,#406068,#406069); #348207=AXIS2_PLACEMENT_3D('',#497392,#406073,#406074); #348208=AXIS2_PLACEMENT_3D('',#497394,#406075,#406076); #348209=AXIS2_PLACEMENT_3D('',#497396,#406077,#406078); #348210=AXIS2_PLACEMENT_3D('',#497398,#406080,#406081); #348211=AXIS2_PLACEMENT_3D('',#497400,#406082,#406083); #348212=AXIS2_PLACEMENT_3D('',#497402,#406084,#406085); #348213=AXIS2_PLACEMENT_3D('',#497404,#406087,#406088); #348214=AXIS2_PLACEMENT_3D('',#497410,#406092,#406093); #348215=AXIS2_PLACEMENT_3D('',#497416,#406097,#406098); #348216=AXIS2_PLACEMENT_3D('',#497422,#406102,#406103); #348217=AXIS2_PLACEMENT_3D('',#497428,#406107,#406108); #348218=AXIS2_PLACEMENT_3D('',#497431,#406111,#406112); #348219=AXIS2_PLACEMENT_3D('',#497432,#406113,#406114); #348220=AXIS2_PLACEMENT_3D('',#497433,#406115,#406116); #348221=AXIS2_PLACEMENT_3D('',#497442,#406121,#406122); #348222=AXIS2_PLACEMENT_3D('',#497448,#406126,#406127); #348223=AXIS2_PLACEMENT_3D('',#497454,#406131,#406132); #348224=AXIS2_PLACEMENT_3D('',#497460,#406136,#406137); #348225=AXIS2_PLACEMENT_3D('',#497466,#406141,#406142); #348226=AXIS2_PLACEMENT_3D('',#497468,#406143,#406144); #348227=AXIS2_PLACEMENT_3D('',#497470,#406145,#406146); #348228=AXIS2_PLACEMENT_3D('',#497472,#406148,#406149); #348229=AXIS2_PLACEMENT_3D('',#497478,#406153,#406154); #348230=AXIS2_PLACEMENT_3D('',#497484,#406158,#406159); #348231=AXIS2_PLACEMENT_3D('',#497490,#406163,#406164); #348232=AXIS2_PLACEMENT_3D('',#497496,#406168,#406169); #348233=AXIS2_PLACEMENT_3D('',#497502,#406173,#406174); #348234=AXIS2_PLACEMENT_3D('',#497508,#406178,#406179); #348235=AXIS2_PLACEMENT_3D('',#497514,#406183,#406184); #348236=AXIS2_PLACEMENT_3D('',#497517,#406187,#406188); #348237=AXIS2_PLACEMENT_3D('',#497518,#406189,#406190); #348238=AXIS2_PLACEMENT_3D('',#497519,#406191,#406192); #348239=AXIS2_PLACEMENT_3D('',#497528,#406197,#406198); #348240=AXIS2_PLACEMENT_3D('',#497534,#406202,#406203); #348241=AXIS2_PLACEMENT_3D('',#497540,#406207,#406208); #348242=AXIS2_PLACEMENT_3D('',#497546,#406212,#406213); #348243=AXIS2_PLACEMENT_3D('',#497552,#406217,#406218); #348244=AXIS2_PLACEMENT_3D('',#497558,#406222,#406223); #348245=AXIS2_PLACEMENT_3D('',#497564,#406227,#406228); #348246=AXIS2_PLACEMENT_3D('',#497570,#406232,#406233); #348247=AXIS2_PLACEMENT_3D('',#497576,#406237,#406238); #348248=AXIS2_PLACEMENT_3D('',#497582,#406242,#406243); #348249=AXIS2_PLACEMENT_3D('',#497588,#406247,#406248); #348250=AXIS2_PLACEMENT_3D('',#497590,#406249,#406250); #348251=AXIS2_PLACEMENT_3D('',#497592,#406251,#406252); #348252=AXIS2_PLACEMENT_3D('',#497594,#406254,#406255); #348253=AXIS2_PLACEMENT_3D('',#497600,#406259,#406260); #348254=AXIS2_PLACEMENT_3D('',#497603,#406263,#406264); #348255=AXIS2_PLACEMENT_3D('',#497604,#406265,#406266); #348256=AXIS2_PLACEMENT_3D('',#497605,#406267,#406268); #348257=AXIS2_PLACEMENT_3D('',#497607,#406269,#406270); #348258=AXIS2_PLACEMENT_3D('',#497610,#406272,#406273); #348259=AXIS2_PLACEMENT_3D('',#497611,#406274,#406275); #348260=AXIS2_PLACEMENT_3D('',#497620,#406280,#406281); #348261=AXIS2_PLACEMENT_3D('',#497626,#406285,#406286); #348262=AXIS2_PLACEMENT_3D('',#497632,#406290,#406291); #348263=AXIS2_PLACEMENT_3D('',#497638,#406295,#406296); #348264=AXIS2_PLACEMENT_3D('',#497644,#406300,#406301); #348265=AXIS2_PLACEMENT_3D('',#497646,#406302,#406303); #348266=AXIS2_PLACEMENT_3D('',#497648,#406304,#406305); #348267=AXIS2_PLACEMENT_3D('',#497650,#406307,#406308); #348268=AXIS2_PLACEMENT_3D('',#497656,#406312,#406313); #348269=AXIS2_PLACEMENT_3D('',#497662,#406317,#406318); #348270=AXIS2_PLACEMENT_3D('',#497668,#406322,#406323); #348271=AXIS2_PLACEMENT_3D('',#497674,#406327,#406328); #348272=AXIS2_PLACEMENT_3D('',#497676,#406329,#406330); #348273=AXIS2_PLACEMENT_3D('',#497678,#406331,#406332); #348274=AXIS2_PLACEMENT_3D('',#497680,#406334,#406335); #348275=AXIS2_PLACEMENT_3D('',#497686,#406339,#406340); #348276=AXIS2_PLACEMENT_3D('',#497688,#406341,#406342); #348277=AXIS2_PLACEMENT_3D('',#497690,#406343,#406344); #348278=AXIS2_PLACEMENT_3D('',#497692,#406346,#406347); #348279=AXIS2_PLACEMENT_3D('',#497698,#406351,#406352); #348280=AXIS2_PLACEMENT_3D('',#497704,#406356,#406357); #348281=AXIS2_PLACEMENT_3D('',#497710,#406361,#406362); #348282=AXIS2_PLACEMENT_3D('',#497716,#406366,#406367); #348283=AXIS2_PLACEMENT_3D('',#497722,#406371,#406372); #348284=AXIS2_PLACEMENT_3D('',#497728,#406376,#406377); #348285=AXIS2_PLACEMENT_3D('',#497734,#406381,#406382); #348286=AXIS2_PLACEMENT_3D('',#497740,#406386,#406387); #348287=AXIS2_PLACEMENT_3D('',#497746,#406391,#406392); #348288=AXIS2_PLACEMENT_3D('',#497748,#406393,#406394); #348289=AXIS2_PLACEMENT_3D('',#497750,#406395,#406396); #348290=AXIS2_PLACEMENT_3D('',#497752,#406398,#406399); #348291=AXIS2_PLACEMENT_3D('',#497758,#406403,#406404); #348292=AXIS2_PLACEMENT_3D('',#497760,#406405,#406406); #348293=AXIS2_PLACEMENT_3D('',#497762,#406407,#406408); #348294=AXIS2_PLACEMENT_3D('',#497764,#406410,#406411); #348295=AXIS2_PLACEMENT_3D('',#497770,#406415,#406416); #348296=AXIS2_PLACEMENT_3D('',#497772,#406417,#406418); #348297=AXIS2_PLACEMENT_3D('',#497774,#406419,#406420); #348298=AXIS2_PLACEMENT_3D('',#497776,#406422,#406423); #348299=AXIS2_PLACEMENT_3D('',#497782,#406427,#406428); #348300=AXIS2_PLACEMENT_3D('',#497788,#406432,#406433); #348301=AXIS2_PLACEMENT_3D('',#497794,#406437,#406438); #348302=AXIS2_PLACEMENT_3D('',#497800,#406442,#406443); #348303=AXIS2_PLACEMENT_3D('',#497806,#406447,#406448); #348304=AXIS2_PLACEMENT_3D('',#497812,#406452,#406453); #348305=AXIS2_PLACEMENT_3D('',#497818,#406457,#406458); #348306=AXIS2_PLACEMENT_3D('',#497824,#406462,#406463); #348307=AXIS2_PLACEMENT_3D('',#497830,#406467,#406468); #348308=AXIS2_PLACEMENT_3D('',#497836,#406472,#406473); #348309=AXIS2_PLACEMENT_3D('',#497839,#406476,#406477); #348310=AXIS2_PLACEMENT_3D('',#497840,#406478,#406479); #348311=AXIS2_PLACEMENT_3D('',#497841,#406480,#406481); #348312=AXIS2_PLACEMENT_3D('',#497843,#406482,#406483); #348313=AXIS2_PLACEMENT_3D('',#497846,#406485,#406486); #348314=AXIS2_PLACEMENT_3D('',#497847,#406487,#406488); #348315=AXIS2_PLACEMENT_3D('',#497849,#406489,#406490); #348316=AXIS2_PLACEMENT_3D('',#497852,#406492,#406493); #348317=AXIS2_PLACEMENT_3D('',#497853,#406494,#406495); #348318=AXIS2_PLACEMENT_3D('',#497862,#406500,#406501); #348319=AXIS2_PLACEMENT_3D('',#497864,#406502,#406503); #348320=AXIS2_PLACEMENT_3D('',#497866,#406504,#406505); #348321=AXIS2_PLACEMENT_3D('',#497868,#406507,#406508); #348322=AXIS2_PLACEMENT_3D('',#497874,#406512,#406513); #348323=AXIS2_PLACEMENT_3D('',#497876,#406514,#406515); #348324=AXIS2_PLACEMENT_3D('',#497878,#406516,#406517); #348325=AXIS2_PLACEMENT_3D('',#497880,#406519,#406520); #348326=AXIS2_PLACEMENT_3D('',#497882,#406521,#406522); #348327=AXIS2_PLACEMENT_3D('',#497884,#406523,#406524); #348328=AXIS2_PLACEMENT_3D('',#497886,#406526,#406527); #348329=AXIS2_PLACEMENT_3D('',#497892,#406531,#406532); #348330=AXIS2_PLACEMENT_3D('',#497893,#406533,#406534); #348331=AXIS2_PLACEMENT_3D('',#497894,#406535,#406536); #348332=AXIS2_PLACEMENT_3D('',#497895,#406537,#406538); #348333=AXIS2_PLACEMENT_3D('',#497896,#406539,#406540); #348334=AXIS2_PLACEMENT_3D('',#497897,#406541,#406542); #348335=AXIS2_PLACEMENT_3D('',#497899,#406543,#406544); #348336=AXIS2_PLACEMENT_3D('',#497902,#406546,#406547); #348337=AXIS2_PLACEMENT_3D('',#497903,#406548,#406549); #348338=AXIS2_PLACEMENT_3D('',#497912,#406554,#406555); #348339=AXIS2_PLACEMENT_3D('',#497918,#406559,#406560); #348340=AXIS2_PLACEMENT_3D('',#497924,#406564,#406565); #348341=AXIS2_PLACEMENT_3D('',#497927,#406568,#406569); #348342=AXIS2_PLACEMENT_3D('',#497928,#406570,#406571); #348343=AXIS2_PLACEMENT_3D('',#497929,#406572,#406573); #348344=AXIS2_PLACEMENT_3D('',#497931,#406574,#406575); #348345=AXIS2_PLACEMENT_3D('',#497934,#406577,#406578); #348346=AXIS2_PLACEMENT_3D('',#497935,#406579,#406580); #348347=AXIS2_PLACEMENT_3D('',#497937,#406581,#406582); #348348=AXIS2_PLACEMENT_3D('',#497940,#406584,#406585); #348349=AXIS2_PLACEMENT_3D('',#497941,#406586,#406587); #348350=AXIS2_PLACEMENT_3D('',#497950,#406592,#406593); #348351=AXIS2_PLACEMENT_3D('',#497952,#406594,#406595); #348352=AXIS2_PLACEMENT_3D('',#497954,#406596,#406597); #348353=AXIS2_PLACEMENT_3D('',#497956,#406599,#406600); #348354=AXIS2_PLACEMENT_3D('',#497962,#406604,#406605); #348355=AXIS2_PLACEMENT_3D('',#497964,#406606,#406607); #348356=AXIS2_PLACEMENT_3D('',#497966,#406608,#406609); #348357=AXIS2_PLACEMENT_3D('',#497968,#406611,#406612); #348358=AXIS2_PLACEMENT_3D('',#497974,#406616,#406617); #348359=AXIS2_PLACEMENT_3D('',#497976,#406618,#406619); #348360=AXIS2_PLACEMENT_3D('',#497978,#406620,#406621); #348361=AXIS2_PLACEMENT_3D('',#497980,#406623,#406624); #348362=AXIS2_PLACEMENT_3D('',#497986,#406628,#406629); #348363=AXIS2_PLACEMENT_3D('',#497988,#406630,#406631); #348364=AXIS2_PLACEMENT_3D('',#497990,#406632,#406633); #348365=AXIS2_PLACEMENT_3D('',#497992,#406635,#406636); #348366=AXIS2_PLACEMENT_3D('',#497998,#406640,#406641); #348367=AXIS2_PLACEMENT_3D('',#498000,#406642,#406643); #348368=AXIS2_PLACEMENT_3D('',#498002,#406644,#406645); #348369=AXIS2_PLACEMENT_3D('',#498004,#406647,#406648); #348370=AXIS2_PLACEMENT_3D('',#498010,#406652,#406653); #348371=AXIS2_PLACEMENT_3D('',#498012,#406654,#406655); #348372=AXIS2_PLACEMENT_3D('',#498014,#406656,#406657); #348373=AXIS2_PLACEMENT_3D('',#498016,#406659,#406660); #348374=AXIS2_PLACEMENT_3D('',#498022,#406664,#406665); #348375=AXIS2_PLACEMENT_3D('',#498024,#406666,#406667); #348376=AXIS2_PLACEMENT_3D('',#498026,#406668,#406669); #348377=AXIS2_PLACEMENT_3D('',#498028,#406671,#406672); #348378=AXIS2_PLACEMENT_3D('',#498030,#406673,#406674); #348379=AXIS2_PLACEMENT_3D('',#498032,#406675,#406676); #348380=AXIS2_PLACEMENT_3D('',#498034,#406678,#406679); #348381=AXIS2_PLACEMENT_3D('',#498040,#406683,#406684); #348382=AXIS2_PLACEMENT_3D('',#498046,#406688,#406689); #348383=AXIS2_PLACEMENT_3D('',#498052,#406693,#406694); #348384=AXIS2_PLACEMENT_3D('',#498058,#406698,#406699); #348385=AXIS2_PLACEMENT_3D('',#498064,#406703,#406704); #348386=AXIS2_PLACEMENT_3D('',#498070,#406708,#406709); #348387=AXIS2_PLACEMENT_3D('',#498072,#406710,#406711); #348388=AXIS2_PLACEMENT_3D('',#498074,#406712,#406713); #348389=AXIS2_PLACEMENT_3D('',#498076,#406715,#406716); #348390=AXIS2_PLACEMENT_3D('',#498077,#406717,#406718); #348391=AXIS2_PLACEMENT_3D('',#498078,#406719,#406720); #348392=AXIS2_PLACEMENT_3D('',#498079,#406721,#406722); #348393=AXIS2_PLACEMENT_3D('',#498080,#406723,#406724); #348394=AXIS2_PLACEMENT_3D('',#498081,#406725,#406726); #348395=AXIS2_PLACEMENT_3D('',#498083,#406727,#406728); #348396=AXIS2_PLACEMENT_3D('',#498086,#406730,#406731); #348397=AXIS2_PLACEMENT_3D('',#498087,#406732,#406733); #348398=AXIS2_PLACEMENT_3D('',#498089,#406734,#406735); #348399=AXIS2_PLACEMENT_3D('',#498092,#406737,#406738); #348400=AXIS2_PLACEMENT_3D('',#498093,#406739,#406740); #348401=AXIS2_PLACEMENT_3D('',#498102,#406745,#406746); #348402=AXIS2_PLACEMENT_3D('',#498104,#406747,#406748); #348403=AXIS2_PLACEMENT_3D('',#498106,#406749,#406750); #348404=AXIS2_PLACEMENT_3D('',#498108,#406752,#406753); #348405=AXIS2_PLACEMENT_3D('',#498114,#406757,#406758); #348406=AXIS2_PLACEMENT_3D('',#498116,#406759,#406760); #348407=AXIS2_PLACEMENT_3D('',#498118,#406761,#406762); #348408=AXIS2_PLACEMENT_3D('',#498120,#406764,#406765); #348409=AXIS2_PLACEMENT_3D('',#498122,#406766,#406767); #348410=AXIS2_PLACEMENT_3D('',#498124,#406768,#406769); #348411=AXIS2_PLACEMENT_3D('',#498126,#406771,#406772); #348412=AXIS2_PLACEMENT_3D('',#498132,#406776,#406777); #348413=AXIS2_PLACEMENT_3D('',#498138,#406781,#406782); #348414=AXIS2_PLACEMENT_3D('',#498139,#406783,#406784); #348415=AXIS2_PLACEMENT_3D('',#498140,#406785,#406786); #348416=AXIS2_PLACEMENT_3D('',#498141,#406787,#406788); #348417=AXIS2_PLACEMENT_3D('',#498142,#406789,#406790); #348418=AXIS2_PLACEMENT_3D('',#498143,#406791,#406792); #348419=AXIS2_PLACEMENT_3D('',#498145,#406793,#406794); #348420=AXIS2_PLACEMENT_3D('',#498148,#406796,#406797); #348421=AXIS2_PLACEMENT_3D('',#498149,#406798,#406799); #348422=AXIS2_PLACEMENT_3D('',#498158,#406804,#406805); #348423=AXIS2_PLACEMENT_3D('',#498164,#406809,#406810); #348424=AXIS2_PLACEMENT_3D('',#498170,#406814,#406815); #348425=AXIS2_PLACEMENT_3D('',#498173,#406818,#406819); #348426=AXIS2_PLACEMENT_3D('',#498174,#406820,#406821); #348427=AXIS2_PLACEMENT_3D('',#498175,#406822,#406823); #348428=AXIS2_PLACEMENT_3D('',#498177,#406824,#406825); #348429=AXIS2_PLACEMENT_3D('',#498180,#406827,#406828); #348430=AXIS2_PLACEMENT_3D('',#498181,#406829,#406830); #348431=AXIS2_PLACEMENT_3D('',#498190,#406835,#406836); #348432=AXIS2_PLACEMENT_3D('',#498192,#406837,#406838); #348433=AXIS2_PLACEMENT_3D('',#498194,#406839,#406840); #348434=AXIS2_PLACEMENT_3D('',#498196,#406842,#406843); #348435=AXIS2_PLACEMENT_3D('',#498197,#406844,#406845); #348436=AXIS2_PLACEMENT_3D('',#498198,#406846,#406847); #348437=AXIS2_PLACEMENT_3D('',#498199,#406848,#406849); #348438=AXIS2_PLACEMENT_3D('',#498200,#406850,#406851); #348439=AXIS2_PLACEMENT_3D('',#498201,#406852,#406853); #348440=AXIS2_PLACEMENT_3D('',#498203,#406854,#406855); #348441=AXIS2_PLACEMENT_3D('',#498206,#406857,#406858); #348442=AXIS2_PLACEMENT_3D('',#498207,#406859,#406860); #348443=AXIS2_PLACEMENT_3D('',#498209,#406861,#406862); #348444=AXIS2_PLACEMENT_3D('',#498212,#406864,#406865); #348445=AXIS2_PLACEMENT_3D('',#498213,#406866,#406867); #348446=AXIS2_PLACEMENT_3D('',#498215,#406868,#406869); #348447=AXIS2_PLACEMENT_3D('',#498218,#406871,#406872); #348448=AXIS2_PLACEMENT_3D('',#498219,#406873,#406874); #348449=AXIS2_PLACEMENT_3D('',#498221,#406875,#406876); #348450=AXIS2_PLACEMENT_3D('',#498224,#406878,#406879); #348451=AXIS2_PLACEMENT_3D('',#498225,#406880,#406881); #348452=AXIS2_PLACEMENT_3D('',#498227,#406882,#406883); #348453=AXIS2_PLACEMENT_3D('',#498230,#406885,#406886); #348454=AXIS2_PLACEMENT_3D('',#498231,#406887,#406888); #348455=AXIS2_PLACEMENT_3D('',#498233,#406889,#406890); #348456=AXIS2_PLACEMENT_3D('',#498236,#406892,#406893); #348457=AXIS2_PLACEMENT_3D('',#498237,#406894,#406895); #348458=AXIS2_PLACEMENT_3D('',#498239,#406896,#406897); #348459=AXIS2_PLACEMENT_3D('',#498242,#406899,#406900); #348460=AXIS2_PLACEMENT_3D('',#498243,#406901,#406902); #348461=AXIS2_PLACEMENT_3D('',#498245,#406903,#406904); #348462=AXIS2_PLACEMENT_3D('',#498248,#406906,#406907); #348463=AXIS2_PLACEMENT_3D('',#498249,#406908,#406909); #348464=AXIS2_PLACEMENT_3D('',#498251,#406910,#406911); #348465=AXIS2_PLACEMENT_3D('',#498254,#406913,#406914); #348466=AXIS2_PLACEMENT_3D('',#498255,#406915,#406916); #348467=AXIS2_PLACEMENT_3D('',#498257,#406917,#406918); #348468=AXIS2_PLACEMENT_3D('',#498260,#406920,#406921); #348469=AXIS2_PLACEMENT_3D('',#498261,#406922,#406923); #348470=AXIS2_PLACEMENT_3D('',#498263,#406924,#406925); #348471=AXIS2_PLACEMENT_3D('',#498266,#406927,#406928); #348472=AXIS2_PLACEMENT_3D('',#498267,#406929,#406930); #348473=AXIS2_PLACEMENT_3D('',#498269,#406931,#406932); #348474=AXIS2_PLACEMENT_3D('',#498272,#406934,#406935); #348475=AXIS2_PLACEMENT_3D('',#498273,#406936,#406937); #348476=AXIS2_PLACEMENT_3D('',#498275,#406938,#406939); #348477=AXIS2_PLACEMENT_3D('',#498278,#406941,#406942); #348478=AXIS2_PLACEMENT_3D('',#498279,#406943,#406944); #348479=AXIS2_PLACEMENT_3D('',#498288,#406949,#406950); #348480=AXIS2_PLACEMENT_3D('',#498294,#406954,#406955); #348481=AXIS2_PLACEMENT_3D('',#498300,#406959,#406960); #348482=AXIS2_PLACEMENT_3D('',#498306,#406964,#406965); #348483=AXIS2_PLACEMENT_3D('',#498312,#406969,#406970); #348484=AXIS2_PLACEMENT_3D('',#498318,#406974,#406975); #348485=AXIS2_PLACEMENT_3D('',#498324,#406979,#406980); #348486=AXIS2_PLACEMENT_3D('',#498330,#406984,#406985); #348487=AXIS2_PLACEMENT_3D('',#498336,#406989,#406990); #348488=AXIS2_PLACEMENT_3D('',#498342,#406994,#406995); #348489=AXIS2_PLACEMENT_3D('',#498348,#406999,#407000); #348490=AXIS2_PLACEMENT_3D('',#498354,#407004,#407005); #348491=AXIS2_PLACEMENT_3D('',#498360,#407009,#407010); #348492=AXIS2_PLACEMENT_3D('',#498366,#407014,#407015); #348493=AXIS2_PLACEMENT_3D('',#498372,#407019,#407020); #348494=AXIS2_PLACEMENT_3D('',#498375,#407023,#407024); #348495=AXIS2_PLACEMENT_3D('',#498384,#407029,#407030); #348496=AXIS2_PLACEMENT_3D('',#498390,#407034,#407035); #348497=AXIS2_PLACEMENT_3D('',#498392,#407036,#407037); #348498=AXIS2_PLACEMENT_3D('',#498394,#407038,#407039); #348499=AXIS2_PLACEMENT_3D('',#498396,#407041,#407042); #348500=AXIS2_PLACEMENT_3D('',#498402,#407046,#407047); #348501=AXIS2_PLACEMENT_3D('',#498408,#407051,#407052); #348502=AXIS2_PLACEMENT_3D('',#498414,#407056,#407057); #348503=AXIS2_PLACEMENT_3D('',#498420,#407061,#407062); #348504=AXIS2_PLACEMENT_3D('',#498426,#407066,#407067); #348505=AXIS2_PLACEMENT_3D('',#498432,#407071,#407072); #348506=AXIS2_PLACEMENT_3D('',#498438,#407076,#407077); #348507=AXIS2_PLACEMENT_3D('',#498444,#407081,#407082); #348508=AXIS2_PLACEMENT_3D('',#498450,#407086,#407087); #348509=AXIS2_PLACEMENT_3D('',#498456,#407091,#407092); #348510=AXIS2_PLACEMENT_3D('',#498462,#407096,#407097); #348511=AXIS2_PLACEMENT_3D('',#498468,#407101,#407102); #348512=AXIS2_PLACEMENT_3D('',#498474,#407106,#407107); #348513=AXIS2_PLACEMENT_3D('',#498480,#407111,#407112); #348514=AXIS2_PLACEMENT_3D('',#498486,#407116,#407117); #348515=AXIS2_PLACEMENT_3D('',#498492,#407121,#407122); #348516=AXIS2_PLACEMENT_3D('',#498498,#407126,#407127); #348517=AXIS2_PLACEMENT_3D('',#498504,#407131,#407132); #348518=AXIS2_PLACEMENT_3D('',#498510,#407136,#407137); #348519=AXIS2_PLACEMENT_3D('',#498516,#407141,#407142); #348520=AXIS2_PLACEMENT_3D('',#498518,#407143,#407144); #348521=AXIS2_PLACEMENT_3D('',#498520,#407145,#407146); #348522=AXIS2_PLACEMENT_3D('',#498522,#407148,#407149); #348523=AXIS2_PLACEMENT_3D('',#498528,#407153,#407154); #348524=AXIS2_PLACEMENT_3D('',#498534,#407158,#407159); #348525=AXIS2_PLACEMENT_3D('',#498540,#407163,#407164); #348526=AXIS2_PLACEMENT_3D('',#498546,#407168,#407169); #348527=AXIS2_PLACEMENT_3D('',#498552,#407173,#407174); #348528=AXIS2_PLACEMENT_3D('',#498558,#407178,#407179); #348529=AXIS2_PLACEMENT_3D('',#498564,#407183,#407184); #348530=AXIS2_PLACEMENT_3D('',#498570,#407188,#407189); #348531=AXIS2_PLACEMENT_3D('',#498576,#407193,#407194); #348532=AXIS2_PLACEMENT_3D('',#498582,#407198,#407199); #348533=AXIS2_PLACEMENT_3D('',#498588,#407203,#407204); #348534=AXIS2_PLACEMENT_3D('',#498594,#407208,#407209); #348535=AXIS2_PLACEMENT_3D('',#498600,#407213,#407214); #348536=AXIS2_PLACEMENT_3D('',#498606,#407218,#407219); #348537=AXIS2_PLACEMENT_3D('',#498612,#407223,#407224); #348538=AXIS2_PLACEMENT_3D('',#498618,#407228,#407229); #348539=AXIS2_PLACEMENT_3D('',#498624,#407233,#407234); #348540=AXIS2_PLACEMENT_3D('',#498630,#407238,#407239); #348541=AXIS2_PLACEMENT_3D('',#498636,#407243,#407244); #348542=AXIS2_PLACEMENT_3D('',#498642,#407248,#407249); #348543=AXIS2_PLACEMENT_3D('',#498648,#407253,#407254); #348544=AXIS2_PLACEMENT_3D('',#498654,#407258,#407259); #348545=AXIS2_PLACEMENT_3D('',#498660,#407263,#407264); #348546=AXIS2_PLACEMENT_3D('',#498666,#407268,#407269); #348547=AXIS2_PLACEMENT_3D('',#498672,#407273,#407274); #348548=AXIS2_PLACEMENT_3D('',#498678,#407278,#407279); #348549=AXIS2_PLACEMENT_3D('',#498684,#407283,#407284); #348550=AXIS2_PLACEMENT_3D('',#498690,#407288,#407289); #348551=AXIS2_PLACEMENT_3D('',#498696,#407293,#407294); #348552=AXIS2_PLACEMENT_3D('',#498702,#407298,#407299); #348553=AXIS2_PLACEMENT_3D('',#498708,#407303,#407304); #348554=AXIS2_PLACEMENT_3D('',#498714,#407308,#407309); #348555=AXIS2_PLACEMENT_3D('',#498720,#407313,#407314); #348556=AXIS2_PLACEMENT_3D('',#498726,#407318,#407319); #348557=AXIS2_PLACEMENT_3D('',#498732,#407323,#407324); #348558=AXIS2_PLACEMENT_3D('',#498738,#407328,#407329); #348559=AXIS2_PLACEMENT_3D('',#498744,#407333,#407334); #348560=AXIS2_PLACEMENT_3D('',#498750,#407338,#407339); #348561=AXIS2_PLACEMENT_3D('',#498756,#407343,#407344); #348562=AXIS2_PLACEMENT_3D('',#498762,#407348,#407349); #348563=AXIS2_PLACEMENT_3D('',#498768,#407353,#407354); #348564=AXIS2_PLACEMENT_3D('',#498774,#407358,#407359); #348565=AXIS2_PLACEMENT_3D('',#498780,#407363,#407364); #348566=AXIS2_PLACEMENT_3D('',#498786,#407368,#407369); #348567=AXIS2_PLACEMENT_3D('',#498792,#407373,#407374); #348568=AXIS2_PLACEMENT_3D('',#498798,#407378,#407379); #348569=AXIS2_PLACEMENT_3D('',#498804,#407383,#407384); #348570=AXIS2_PLACEMENT_3D('',#498810,#407388,#407389); #348571=AXIS2_PLACEMENT_3D('',#498812,#407390,#407391); #348572=AXIS2_PLACEMENT_3D('',#498814,#407392,#407393); #348573=AXIS2_PLACEMENT_3D('',#498816,#407395,#407396); #348574=AXIS2_PLACEMENT_3D('',#498822,#407400,#407401); #348575=AXIS2_PLACEMENT_3D('',#498824,#407402,#407403); #348576=AXIS2_PLACEMENT_3D('',#498826,#407404,#407405); #348577=AXIS2_PLACEMENT_3D('',#498828,#407407,#407408); #348578=AXIS2_PLACEMENT_3D('',#498834,#407412,#407413); #348579=AXIS2_PLACEMENT_3D('',#498840,#407417,#407418); #348580=AXIS2_PLACEMENT_3D('',#498846,#407422,#407423); #348581=AXIS2_PLACEMENT_3D('',#498852,#407427,#407428); #348582=AXIS2_PLACEMENT_3D('',#498858,#407432,#407433); #348583=AXIS2_PLACEMENT_3D('',#498859,#407434,#407435); #348584=AXIS2_PLACEMENT_3D('',#498860,#407436,#407437); #348585=AXIS2_PLACEMENT_3D('',#498861,#407438,#407439); #348586=AXIS2_PLACEMENT_3D('',#498862,#407440,#407441); #348587=AXIS2_PLACEMENT_3D('',#498863,#407442,#407443); #348588=AXIS2_PLACEMENT_3D('',#498865,#407444,#407445); #348589=AXIS2_PLACEMENT_3D('',#498868,#407447,#407448); #348590=AXIS2_PLACEMENT_3D('',#498869,#407449,#407450); #348591=AXIS2_PLACEMENT_3D('',#498871,#407451,#407452); #348592=AXIS2_PLACEMENT_3D('',#498874,#407454,#407455); #348593=AXIS2_PLACEMENT_3D('',#498875,#407456,#407457); #348594=AXIS2_PLACEMENT_3D('',#498877,#407458,#407459); #348595=AXIS2_PLACEMENT_3D('',#498880,#407461,#407462); #348596=AXIS2_PLACEMENT_3D('',#498881,#407463,#407464); #348597=AXIS2_PLACEMENT_3D('',#498883,#407465,#407466); #348598=AXIS2_PLACEMENT_3D('',#498886,#407468,#407469); #348599=AXIS2_PLACEMENT_3D('',#498887,#407470,#407471); #348600=AXIS2_PLACEMENT_3D('',#498889,#407472,#407473); #348601=AXIS2_PLACEMENT_3D('',#498892,#407475,#407476); #348602=AXIS2_PLACEMENT_3D('',#498893,#407477,#407478); #348603=AXIS2_PLACEMENT_3D('',#498895,#407479,#407480); #348604=AXIS2_PLACEMENT_3D('',#498898,#407482,#407483); #348605=AXIS2_PLACEMENT_3D('',#498899,#407484,#407485); #348606=AXIS2_PLACEMENT_3D('',#498901,#407486,#407487); #348607=AXIS2_PLACEMENT_3D('',#498904,#407489,#407490); #348608=AXIS2_PLACEMENT_3D('',#498905,#407491,#407492); #348609=AXIS2_PLACEMENT_3D('',#498907,#407493,#407494); #348610=AXIS2_PLACEMENT_3D('',#498910,#407496,#407497); #348611=AXIS2_PLACEMENT_3D('',#498911,#407498,#407499); #348612=AXIS2_PLACEMENT_3D('',#498913,#407500,#407501); #348613=AXIS2_PLACEMENT_3D('',#498916,#407503,#407504); #348614=AXIS2_PLACEMENT_3D('',#498917,#407505,#407506); #348615=AXIS2_PLACEMENT_3D('',#498919,#407507,#407508); #348616=AXIS2_PLACEMENT_3D('',#498922,#407510,#407511); #348617=AXIS2_PLACEMENT_3D('',#498923,#407512,#407513); #348618=AXIS2_PLACEMENT_3D('',#498925,#407514,#407515); #348619=AXIS2_PLACEMENT_3D('',#498928,#407517,#407518); #348620=AXIS2_PLACEMENT_3D('',#498929,#407519,#407520); #348621=AXIS2_PLACEMENT_3D('',#498931,#407521,#407522); #348622=AXIS2_PLACEMENT_3D('',#498934,#407524,#407525); #348623=AXIS2_PLACEMENT_3D('',#498935,#407526,#407527); #348624=AXIS2_PLACEMENT_3D('',#498944,#407532,#407533); #348625=AXIS2_PLACEMENT_3D('',#498950,#407537,#407538); #348626=AXIS2_PLACEMENT_3D('',#498956,#407542,#407543); #348627=AXIS2_PLACEMENT_3D('',#498962,#407547,#407548); #348628=AXIS2_PLACEMENT_3D('',#498968,#407552,#407553); #348629=AXIS2_PLACEMENT_3D('',#498974,#407557,#407558); #348630=AXIS2_PLACEMENT_3D('',#498980,#407562,#407563); #348631=AXIS2_PLACEMENT_3D('',#498986,#407567,#407568); #348632=AXIS2_PLACEMENT_3D('',#498992,#407572,#407573); #348633=AXIS2_PLACEMENT_3D('',#498998,#407577,#407578); #348634=AXIS2_PLACEMENT_3D('',#499004,#407582,#407583); #348635=AXIS2_PLACEMENT_3D('',#499010,#407587,#407588); #348636=AXIS2_PLACEMENT_3D('',#499016,#407592,#407593); #348637=AXIS2_PLACEMENT_3D('',#499022,#407597,#407598); #348638=AXIS2_PLACEMENT_3D('',#499028,#407602,#407603); #348639=AXIS2_PLACEMENT_3D('',#499031,#407606,#407607); #348640=AXIS2_PLACEMENT_3D('',#499040,#407612,#407613); #348641=AXIS2_PLACEMENT_3D('',#499046,#407617,#407618); #348642=AXIS2_PLACEMENT_3D('',#499052,#407622,#407623); #348643=AXIS2_PLACEMENT_3D('',#499058,#407627,#407628); #348644=AXIS2_PLACEMENT_3D('',#499064,#407632,#407633); #348645=AXIS2_PLACEMENT_3D('',#499070,#407637,#407638); #348646=AXIS2_PLACEMENT_3D('',#499076,#407642,#407643); #348647=AXIS2_PLACEMENT_3D('',#499082,#407647,#407648); #348648=AXIS2_PLACEMENT_3D('',#499088,#407652,#407653); #348649=AXIS2_PLACEMENT_3D('',#499094,#407657,#407658); #348650=AXIS2_PLACEMENT_3D('',#499100,#407662,#407663); #348651=AXIS2_PLACEMENT_3D('',#499106,#407667,#407668); #348652=AXIS2_PLACEMENT_3D('',#499112,#407672,#407673); #348653=AXIS2_PLACEMENT_3D('',#499118,#407677,#407678); #348654=AXIS2_PLACEMENT_3D('',#499124,#407682,#407683); #348655=AXIS2_PLACEMENT_3D('',#499127,#407686,#407687); #348656=AXIS2_PLACEMENT_3D('',#499136,#407692,#407693); #348657=AXIS2_PLACEMENT_3D('',#499142,#407697,#407698); #348658=AXIS2_PLACEMENT_3D('',#499148,#407702,#407703); #348659=AXIS2_PLACEMENT_3D('',#499154,#407707,#407708); #348660=AXIS2_PLACEMENT_3D('',#499160,#407712,#407713); #348661=AXIS2_PLACEMENT_3D('',#499166,#407717,#407718); #348662=AXIS2_PLACEMENT_3D('',#499172,#407722,#407723); #348663=AXIS2_PLACEMENT_3D('',#499175,#407726,#407727); #348664=AXIS2_PLACEMENT_3D('',#499184,#407732,#407733); #348665=AXIS2_PLACEMENT_3D('',#499190,#407737,#407738); #348666=AXIS2_PLACEMENT_3D('',#499196,#407742,#407743); #348667=AXIS2_PLACEMENT_3D('',#499202,#407747,#407748); #348668=AXIS2_PLACEMENT_3D('',#499208,#407752,#407753); #348669=AXIS2_PLACEMENT_3D('',#499214,#407757,#407758); #348670=AXIS2_PLACEMENT_3D('',#499220,#407762,#407763); #348671=AXIS2_PLACEMENT_3D('',#499226,#407767,#407768); #348672=AXIS2_PLACEMENT_3D('',#499232,#407772,#407773); #348673=AXIS2_PLACEMENT_3D('',#499238,#407777,#407778); #348674=AXIS2_PLACEMENT_3D('',#499244,#407782,#407783); #348675=AXIS2_PLACEMENT_3D('',#499250,#407787,#407788); #348676=AXIS2_PLACEMENT_3D('',#499256,#407792,#407793); #348677=AXIS2_PLACEMENT_3D('',#499262,#407797,#407798); #348678=AXIS2_PLACEMENT_3D('',#499268,#407802,#407803); #348679=AXIS2_PLACEMENT_3D('',#499274,#407807,#407808); #348680=AXIS2_PLACEMENT_3D('',#499280,#407812,#407813); #348681=AXIS2_PLACEMENT_3D('',#499286,#407817,#407818); #348682=AXIS2_PLACEMENT_3D('',#499292,#407822,#407823); #348683=AXIS2_PLACEMENT_3D('',#499298,#407827,#407828); #348684=AXIS2_PLACEMENT_3D('',#499304,#407832,#407833); #348685=AXIS2_PLACEMENT_3D('',#499310,#407837,#407838); #348686=AXIS2_PLACEMENT_3D('',#499316,#407842,#407843); #348687=AXIS2_PLACEMENT_3D('',#499319,#407846,#407847); #348688=AXIS2_PLACEMENT_3D('',#499328,#407852,#407853); #348689=AXIS2_PLACEMENT_3D('',#499334,#407857,#407858); #348690=AXIS2_PLACEMENT_3D('',#499340,#407862,#407863); #348691=AXIS2_PLACEMENT_3D('',#499346,#407867,#407868); #348692=AXIS2_PLACEMENT_3D('',#499352,#407872,#407873); #348693=AXIS2_PLACEMENT_3D('',#499358,#407877,#407878); #348694=AXIS2_PLACEMENT_3D('',#499364,#407882,#407883); #348695=AXIS2_PLACEMENT_3D('',#499370,#407887,#407888); #348696=AXIS2_PLACEMENT_3D('',#499376,#407892,#407893); #348697=AXIS2_PLACEMENT_3D('',#499382,#407897,#407898); #348698=AXIS2_PLACEMENT_3D('',#499388,#407902,#407903); #348699=AXIS2_PLACEMENT_3D('',#499394,#407907,#407908); #348700=AXIS2_PLACEMENT_3D('',#499400,#407912,#407913); #348701=AXIS2_PLACEMENT_3D('',#499406,#407917,#407918); #348702=AXIS2_PLACEMENT_3D('',#499412,#407922,#407923); #348703=AXIS2_PLACEMENT_3D('',#499418,#407927,#407928); #348704=AXIS2_PLACEMENT_3D('',#499424,#407932,#407933); #348705=AXIS2_PLACEMENT_3D('',#499430,#407937,#407938); #348706=AXIS2_PLACEMENT_3D('',#499436,#407942,#407943); #348707=AXIS2_PLACEMENT_3D('',#499442,#407947,#407948); #348708=AXIS2_PLACEMENT_3D('',#499448,#407952,#407953); #348709=AXIS2_PLACEMENT_3D('',#499454,#407957,#407958); #348710=AXIS2_PLACEMENT_3D('',#499460,#407962,#407963); #348711=AXIS2_PLACEMENT_3D('',#499463,#407966,#407967); #348712=AXIS2_PLACEMENT_3D('',#499472,#407972,#407973); #348713=AXIS2_PLACEMENT_3D('',#499478,#407977,#407978); #348714=AXIS2_PLACEMENT_3D('',#499484,#407982,#407983); #348715=AXIS2_PLACEMENT_3D('',#499490,#407987,#407988); #348716=AXIS2_PLACEMENT_3D('',#499496,#407992,#407993); #348717=AXIS2_PLACEMENT_3D('',#499502,#407997,#407998); #348718=AXIS2_PLACEMENT_3D('',#499508,#408002,#408003); #348719=AXIS2_PLACEMENT_3D('',#499514,#408007,#408008); #348720=AXIS2_PLACEMENT_3D('',#499520,#408012,#408013); #348721=AXIS2_PLACEMENT_3D('',#499526,#408017,#408018); #348722=AXIS2_PLACEMENT_3D('',#499532,#408022,#408023); #348723=AXIS2_PLACEMENT_3D('',#499538,#408027,#408028); #348724=AXIS2_PLACEMENT_3D('',#499544,#408032,#408033); #348725=AXIS2_PLACEMENT_3D('',#499550,#408037,#408038); #348726=AXIS2_PLACEMENT_3D('',#499556,#408042,#408043); #348727=AXIS2_PLACEMENT_3D('',#499562,#408047,#408048); #348728=AXIS2_PLACEMENT_3D('',#499568,#408052,#408053); #348729=AXIS2_PLACEMENT_3D('',#499574,#408057,#408058); #348730=AXIS2_PLACEMENT_3D('',#499580,#408062,#408063); #348731=AXIS2_PLACEMENT_3D('',#499586,#408067,#408068); #348732=AXIS2_PLACEMENT_3D('',#499592,#408072,#408073); #348733=AXIS2_PLACEMENT_3D('',#499598,#408077,#408078); #348734=AXIS2_PLACEMENT_3D('',#499604,#408082,#408083); #348735=AXIS2_PLACEMENT_3D('',#499610,#408087,#408088); #348736=AXIS2_PLACEMENT_3D('',#499616,#408092,#408093); #348737=AXIS2_PLACEMENT_3D('',#499622,#408097,#408098); #348738=AXIS2_PLACEMENT_3D('',#499628,#408102,#408103); #348739=AXIS2_PLACEMENT_3D('',#499634,#408107,#408108); #348740=AXIS2_PLACEMENT_3D('',#499640,#408112,#408113); #348741=AXIS2_PLACEMENT_3D('',#499646,#408117,#408118); #348742=AXIS2_PLACEMENT_3D('',#499652,#408122,#408123); #348743=AXIS2_PLACEMENT_3D('',#499658,#408127,#408128); #348744=AXIS2_PLACEMENT_3D('',#499664,#408132,#408133); #348745=AXIS2_PLACEMENT_3D('',#499670,#408137,#408138); #348746=AXIS2_PLACEMENT_3D('',#499676,#408142,#408143); #348747=AXIS2_PLACEMENT_3D('',#499682,#408147,#408148); #348748=AXIS2_PLACEMENT_3D('',#499688,#408152,#408153); #348749=AXIS2_PLACEMENT_3D('',#499694,#408157,#408158); #348750=AXIS2_PLACEMENT_3D('',#499700,#408162,#408163); #348751=AXIS2_PLACEMENT_3D('',#499706,#408167,#408168); #348752=AXIS2_PLACEMENT_3D('',#499712,#408172,#408173); #348753=AXIS2_PLACEMENT_3D('',#499718,#408177,#408178); #348754=AXIS2_PLACEMENT_3D('',#499724,#408182,#408183); #348755=AXIS2_PLACEMENT_3D('',#499730,#408187,#408188); #348756=AXIS2_PLACEMENT_3D('',#499736,#408192,#408193); #348757=AXIS2_PLACEMENT_3D('',#499742,#408197,#408198); #348758=AXIS2_PLACEMENT_3D('',#499748,#408202,#408203); #348759=AXIS2_PLACEMENT_3D('',#499754,#408207,#408208); #348760=AXIS2_PLACEMENT_3D('',#499760,#408212,#408213); #348761=AXIS2_PLACEMENT_3D('',#499766,#408217,#408218); #348762=AXIS2_PLACEMENT_3D('',#499772,#408222,#408223); #348763=AXIS2_PLACEMENT_3D('',#499778,#408227,#408228); #348764=AXIS2_PLACEMENT_3D('',#499784,#408232,#408233); #348765=AXIS2_PLACEMENT_3D('',#499790,#408237,#408238); #348766=AXIS2_PLACEMENT_3D('',#499796,#408242,#408243); #348767=AXIS2_PLACEMENT_3D('',#499802,#408247,#408248); #348768=AXIS2_PLACEMENT_3D('',#499808,#408252,#408253); #348769=AXIS2_PLACEMENT_3D('',#499814,#408257,#408258); #348770=AXIS2_PLACEMENT_3D('',#499820,#408262,#408263); #348771=AXIS2_PLACEMENT_3D('',#499826,#408267,#408268); #348772=AXIS2_PLACEMENT_3D('',#499832,#408272,#408273); #348773=AXIS2_PLACEMENT_3D('',#499838,#408277,#408278); #348774=AXIS2_PLACEMENT_3D('',#499844,#408282,#408283); #348775=AXIS2_PLACEMENT_3D('',#499850,#408287,#408288); #348776=AXIS2_PLACEMENT_3D('',#499856,#408292,#408293); #348777=AXIS2_PLACEMENT_3D('',#499862,#408297,#408298); #348778=AXIS2_PLACEMENT_3D('',#499868,#408302,#408303); #348779=AXIS2_PLACEMENT_3D('',#499874,#408307,#408308); #348780=AXIS2_PLACEMENT_3D('',#499880,#408312,#408313); #348781=AXIS2_PLACEMENT_3D('',#499886,#408317,#408318); #348782=AXIS2_PLACEMENT_3D('',#499892,#408322,#408323); #348783=AXIS2_PLACEMENT_3D('',#499898,#408327,#408328); #348784=AXIS2_PLACEMENT_3D('',#499904,#408332,#408333); #348785=AXIS2_PLACEMENT_3D('',#499910,#408337,#408338); #348786=AXIS2_PLACEMENT_3D('',#499916,#408342,#408343); #348787=AXIS2_PLACEMENT_3D('',#499922,#408347,#408348); #348788=AXIS2_PLACEMENT_3D('',#499928,#408352,#408353); #348789=AXIS2_PLACEMENT_3D('',#499934,#408357,#408358); #348790=AXIS2_PLACEMENT_3D('',#499940,#408362,#408363); #348791=AXIS2_PLACEMENT_3D('',#499946,#408367,#408368); #348792=AXIS2_PLACEMENT_3D('',#499952,#408372,#408373); #348793=AXIS2_PLACEMENT_3D('',#499958,#408377,#408378); #348794=AXIS2_PLACEMENT_3D('',#499964,#408382,#408383); #348795=AXIS2_PLACEMENT_3D('',#499970,#408387,#408388); #348796=AXIS2_PLACEMENT_3D('',#499976,#408392,#408393); #348797=AXIS2_PLACEMENT_3D('',#499982,#408397,#408398); #348798=AXIS2_PLACEMENT_3D('',#499988,#408402,#408403); #348799=AXIS2_PLACEMENT_3D('',#499994,#408407,#408408); #348800=AXIS2_PLACEMENT_3D('',#500000,#408412,#408413); #348801=AXIS2_PLACEMENT_3D('',#500006,#408417,#408418); #348802=AXIS2_PLACEMENT_3D('',#500012,#408422,#408423); #348803=AXIS2_PLACEMENT_3D('',#500018,#408427,#408428); #348804=AXIS2_PLACEMENT_3D('',#500024,#408432,#408433); #348805=AXIS2_PLACEMENT_3D('',#500030,#408437,#408438); #348806=AXIS2_PLACEMENT_3D('',#500036,#408442,#408443); #348807=AXIS2_PLACEMENT_3D('',#500042,#408447,#408448); #348808=AXIS2_PLACEMENT_3D('',#500048,#408452,#408453); #348809=AXIS2_PLACEMENT_3D('',#500054,#408457,#408458); #348810=AXIS2_PLACEMENT_3D('',#500060,#408462,#408463); #348811=AXIS2_PLACEMENT_3D('',#500066,#408467,#408468); #348812=AXIS2_PLACEMENT_3D('',#500072,#408472,#408473); #348813=AXIS2_PLACEMENT_3D('',#500078,#408477,#408478); #348814=AXIS2_PLACEMENT_3D('',#500084,#408482,#408483); #348815=AXIS2_PLACEMENT_3D('',#500090,#408487,#408488); #348816=AXIS2_PLACEMENT_3D('',#500096,#408492,#408493); #348817=AXIS2_PLACEMENT_3D('',#500102,#408497,#408498); #348818=AXIS2_PLACEMENT_3D('',#500108,#408502,#408503); #348819=AXIS2_PLACEMENT_3D('',#500114,#408507,#408508); #348820=AXIS2_PLACEMENT_3D('',#500120,#408512,#408513); #348821=AXIS2_PLACEMENT_3D('',#500126,#408517,#408518); #348822=AXIS2_PLACEMENT_3D('',#500132,#408522,#408523); #348823=AXIS2_PLACEMENT_3D('',#500138,#408527,#408528); #348824=AXIS2_PLACEMENT_3D('',#500144,#408532,#408533); #348825=AXIS2_PLACEMENT_3D('',#500150,#408537,#408538); #348826=AXIS2_PLACEMENT_3D('',#500156,#408542,#408543); #348827=AXIS2_PLACEMENT_3D('',#500162,#408547,#408548); #348828=AXIS2_PLACEMENT_3D('',#500168,#408552,#408553); #348829=AXIS2_PLACEMENT_3D('',#500174,#408557,#408558); #348830=AXIS2_PLACEMENT_3D('',#500180,#408562,#408563); #348831=AXIS2_PLACEMENT_3D('',#500186,#408567,#408568); #348832=AXIS2_PLACEMENT_3D('',#500192,#408572,#408573); #348833=AXIS2_PLACEMENT_3D('',#500198,#408577,#408578); #348834=AXIS2_PLACEMENT_3D('',#500204,#408582,#408583); #348835=AXIS2_PLACEMENT_3D('',#500210,#408587,#408588); #348836=AXIS2_PLACEMENT_3D('',#500216,#408592,#408593); #348837=AXIS2_PLACEMENT_3D('',#500222,#408597,#408598); #348838=AXIS2_PLACEMENT_3D('',#500228,#408602,#408603); #348839=AXIS2_PLACEMENT_3D('',#500234,#408607,#408608); #348840=AXIS2_PLACEMENT_3D('',#500240,#408612,#408613); #348841=AXIS2_PLACEMENT_3D('',#500246,#408617,#408618); #348842=AXIS2_PLACEMENT_3D('',#500252,#408622,#408623); #348843=AXIS2_PLACEMENT_3D('',#500258,#408627,#408628); #348844=AXIS2_PLACEMENT_3D('',#500264,#408632,#408633); #348845=AXIS2_PLACEMENT_3D('',#500270,#408637,#408638); #348846=AXIS2_PLACEMENT_3D('',#500276,#408642,#408643); #348847=AXIS2_PLACEMENT_3D('',#500282,#408647,#408648); #348848=AXIS2_PLACEMENT_3D('',#500288,#408652,#408653); #348849=AXIS2_PLACEMENT_3D('',#500294,#408657,#408658); #348850=AXIS2_PLACEMENT_3D('',#500300,#408662,#408663); #348851=AXIS2_PLACEMENT_3D('',#500306,#408667,#408668); #348852=AXIS2_PLACEMENT_3D('',#500312,#408672,#408673); #348853=AXIS2_PLACEMENT_3D('',#500318,#408677,#408678); #348854=AXIS2_PLACEMENT_3D('',#500324,#408682,#408683); #348855=AXIS2_PLACEMENT_3D('',#500330,#408687,#408688); #348856=AXIS2_PLACEMENT_3D('',#500336,#408692,#408693); #348857=AXIS2_PLACEMENT_3D('',#500342,#408697,#408698); #348858=AXIS2_PLACEMENT_3D('',#500348,#408702,#408703); #348859=AXIS2_PLACEMENT_3D('',#500354,#408707,#408708); #348860=AXIS2_PLACEMENT_3D('',#500360,#408712,#408713); #348861=AXIS2_PLACEMENT_3D('',#500366,#408717,#408718); #348862=AXIS2_PLACEMENT_3D('',#500372,#408722,#408723); #348863=AXIS2_PLACEMENT_3D('',#500378,#408727,#408728); #348864=AXIS2_PLACEMENT_3D('',#500384,#408732,#408733); #348865=AXIS2_PLACEMENT_3D('',#500390,#408737,#408738); #348866=AXIS2_PLACEMENT_3D('',#500396,#408742,#408743); #348867=AXIS2_PLACEMENT_3D('',#500402,#408747,#408748); #348868=AXIS2_PLACEMENT_3D('',#500408,#408752,#408753); #348869=AXIS2_PLACEMENT_3D('',#500414,#408757,#408758); #348870=AXIS2_PLACEMENT_3D('',#500420,#408762,#408763); #348871=AXIS2_PLACEMENT_3D('',#500423,#408766,#408767); #348872=AXIS2_PLACEMENT_3D('',#500424,#408768,#408769); #348873=AXIS2_PLACEMENT_3D('',#500425,#408770,#408771); #348874=AXIS2_PLACEMENT_3D('',#500434,#408776,#408777); #348875=AXIS2_PLACEMENT_3D('',#500440,#408781,#408782); #348876=AXIS2_PLACEMENT_3D('',#500446,#408786,#408787); #348877=AXIS2_PLACEMENT_3D('',#500452,#408791,#408792); #348878=AXIS2_PLACEMENT_3D('',#500458,#408796,#408797); #348879=AXIS2_PLACEMENT_3D('',#500464,#408801,#408802); #348880=AXIS2_PLACEMENT_3D('',#500470,#408806,#408807); #348881=AXIS2_PLACEMENT_3D('',#500476,#408811,#408812); #348882=AXIS2_PLACEMENT_3D('',#500482,#408816,#408817); #348883=AXIS2_PLACEMENT_3D('',#500488,#408821,#408822); #348884=AXIS2_PLACEMENT_3D('',#500494,#408826,#408827); #348885=AXIS2_PLACEMENT_3D('',#500500,#408831,#408832); #348886=AXIS2_PLACEMENT_3D('',#500506,#408836,#408837); #348887=AXIS2_PLACEMENT_3D('',#500512,#408841,#408842); #348888=AXIS2_PLACEMENT_3D('',#500518,#408846,#408847); #348889=AXIS2_PLACEMENT_3D('',#500524,#408851,#408852); #348890=AXIS2_PLACEMENT_3D('',#500530,#408856,#408857); #348891=AXIS2_PLACEMENT_3D('',#500536,#408861,#408862); #348892=AXIS2_PLACEMENT_3D('',#500542,#408866,#408867); #348893=AXIS2_PLACEMENT_3D('',#500548,#408871,#408872); #348894=AXIS2_PLACEMENT_3D('',#500554,#408876,#408877); #348895=AXIS2_PLACEMENT_3D('',#500557,#408880,#408881); #348896=AXIS2_PLACEMENT_3D('',#500558,#408882,#408883); #348897=AXIS2_PLACEMENT_3D('',#500559,#408884,#408885); #348898=AXIS2_PLACEMENT_3D('',#500568,#408890,#408891); #348899=AXIS2_PLACEMENT_3D('',#500574,#408895,#408896); #348900=AXIS2_PLACEMENT_3D('',#500580,#408900,#408901); #348901=AXIS2_PLACEMENT_3D('',#500586,#408905,#408906); #348902=AXIS2_PLACEMENT_3D('',#500592,#408910,#408911); #348903=AXIS2_PLACEMENT_3D('',#500598,#408915,#408916); #348904=AXIS2_PLACEMENT_3D('',#500604,#408920,#408921); #348905=AXIS2_PLACEMENT_3D('',#500610,#408925,#408926); #348906=AXIS2_PLACEMENT_3D('',#500616,#408930,#408931); #348907=AXIS2_PLACEMENT_3D('',#500622,#408935,#408936); #348908=AXIS2_PLACEMENT_3D('',#500628,#408940,#408941); #348909=AXIS2_PLACEMENT_3D('',#500634,#408945,#408946); #348910=AXIS2_PLACEMENT_3D('',#500640,#408950,#408951); #348911=AXIS2_PLACEMENT_3D('',#500646,#408955,#408956); #348912=AXIS2_PLACEMENT_3D('',#500652,#408960,#408961); #348913=AXIS2_PLACEMENT_3D('',#500658,#408965,#408966); #348914=AXIS2_PLACEMENT_3D('',#500664,#408970,#408971); #348915=AXIS2_PLACEMENT_3D('',#500670,#408975,#408976); #348916=AXIS2_PLACEMENT_3D('',#500676,#408980,#408981); #348917=AXIS2_PLACEMENT_3D('',#500682,#408985,#408986); #348918=AXIS2_PLACEMENT_3D('',#500685,#408989,#408990); #348919=AXIS2_PLACEMENT_3D('',#500686,#408991,#408992); #348920=AXIS2_PLACEMENT_3D('',#500687,#408993,#408994); #348921=AXIS2_PLACEMENT_3D('',#500696,#408999,#409000); #348922=AXIS2_PLACEMENT_3D('',#500702,#409004,#409005); #348923=AXIS2_PLACEMENT_3D('',#500708,#409009,#409010); #348924=AXIS2_PLACEMENT_3D('',#500714,#409014,#409015); #348925=AXIS2_PLACEMENT_3D('',#500720,#409019,#409020); #348926=AXIS2_PLACEMENT_3D('',#500726,#409024,#409025); #348927=AXIS2_PLACEMENT_3D('',#500732,#409029,#409030); #348928=AXIS2_PLACEMENT_3D('',#500738,#409034,#409035); #348929=AXIS2_PLACEMENT_3D('',#500744,#409039,#409040); #348930=AXIS2_PLACEMENT_3D('',#500750,#409044,#409045); #348931=AXIS2_PLACEMENT_3D('',#500756,#409049,#409050); #348932=AXIS2_PLACEMENT_3D('',#500762,#409054,#409055); #348933=AXIS2_PLACEMENT_3D('',#500768,#409059,#409060); #348934=AXIS2_PLACEMENT_3D('',#500774,#409064,#409065); #348935=AXIS2_PLACEMENT_3D('',#500780,#409069,#409070); #348936=AXIS2_PLACEMENT_3D('',#500786,#409074,#409075); #348937=AXIS2_PLACEMENT_3D('',#500792,#409079,#409080); #348938=AXIS2_PLACEMENT_3D('',#500798,#409084,#409085); #348939=AXIS2_PLACEMENT_3D('',#500804,#409089,#409090); #348940=AXIS2_PLACEMENT_3D('',#500807,#409093,#409094); #348941=AXIS2_PLACEMENT_3D('',#500808,#409095,#409096); #348942=AXIS2_PLACEMENT_3D('',#500809,#409097,#409098); #348943=AXIS2_PLACEMENT_3D('',#500818,#409103,#409104); #348944=AXIS2_PLACEMENT_3D('',#500820,#409105,#409106); #348945=AXIS2_PLACEMENT_3D('',#500822,#409107,#409108); #348946=AXIS2_PLACEMENT_3D('',#500824,#409110,#409111); #348947=AXIS2_PLACEMENT_3D('',#500830,#409115,#409116); #348948=AXIS2_PLACEMENT_3D('',#500831,#409117,#409118); #348949=AXIS2_PLACEMENT_3D('',#500832,#409119,#409120); #348950=AXIS2_PLACEMENT_3D('',#500833,#409121,#409122); #348951=AXIS2_PLACEMENT_3D('',#500842,#409127,#409128); #348952=AXIS2_PLACEMENT_3D('',#500848,#409132,#409133); #348953=AXIS2_PLACEMENT_3D('',#500854,#409137,#409138); #348954=AXIS2_PLACEMENT_3D('',#500860,#409142,#409143); #348955=AXIS2_PLACEMENT_3D('',#500866,#409147,#409148); #348956=AXIS2_PLACEMENT_3D('',#500872,#409152,#409153); #348957=AXIS2_PLACEMENT_3D('',#500878,#409157,#409158); #348958=AXIS2_PLACEMENT_3D('',#500884,#409162,#409163); #348959=AXIS2_PLACEMENT_3D('',#500890,#409167,#409168); #348960=AXIS2_PLACEMENT_3D('',#500896,#409172,#409173); #348961=AXIS2_PLACEMENT_3D('',#500902,#409177,#409178); #348962=AXIS2_PLACEMENT_3D('',#500908,#409182,#409183); #348963=AXIS2_PLACEMENT_3D('',#500914,#409187,#409188); #348964=AXIS2_PLACEMENT_3D('',#500920,#409192,#409193); #348965=AXIS2_PLACEMENT_3D('',#500926,#409197,#409198); #348966=AXIS2_PLACEMENT_3D('',#500932,#409202,#409203); #348967=AXIS2_PLACEMENT_3D('',#500938,#409207,#409208); #348968=AXIS2_PLACEMENT_3D('',#500944,#409212,#409213); #348969=AXIS2_PLACEMENT_3D('',#500950,#409217,#409218); #348970=AXIS2_PLACEMENT_3D('',#500956,#409222,#409223); #348971=AXIS2_PLACEMENT_3D('',#500962,#409227,#409228); #348972=AXIS2_PLACEMENT_3D('',#500968,#409232,#409233); #348973=AXIS2_PLACEMENT_3D('',#500974,#409237,#409238); #348974=AXIS2_PLACEMENT_3D('',#500980,#409242,#409243); #348975=AXIS2_PLACEMENT_3D('',#500986,#409247,#409248); #348976=AXIS2_PLACEMENT_3D('',#500992,#409252,#409253); #348977=AXIS2_PLACEMENT_3D('',#500998,#409257,#409258); #348978=AXIS2_PLACEMENT_3D('',#501004,#409262,#409263); #348979=AXIS2_PLACEMENT_3D('',#501010,#409267,#409268); #348980=AXIS2_PLACEMENT_3D('',#501016,#409272,#409273); #348981=AXIS2_PLACEMENT_3D('',#501022,#409277,#409278); #348982=AXIS2_PLACEMENT_3D('',#501028,#409282,#409283); #348983=AXIS2_PLACEMENT_3D('',#501034,#409287,#409288); #348984=AXIS2_PLACEMENT_3D('',#501040,#409292,#409293); #348985=AXIS2_PLACEMENT_3D('',#501046,#409297,#409298); #348986=AXIS2_PLACEMENT_3D('',#501052,#409302,#409303); #348987=AXIS2_PLACEMENT_3D('',#501058,#409307,#409308); #348988=AXIS2_PLACEMENT_3D('',#501064,#409312,#409313); #348989=AXIS2_PLACEMENT_3D('',#501070,#409317,#409318); #348990=AXIS2_PLACEMENT_3D('',#501076,#409322,#409323); #348991=AXIS2_PLACEMENT_3D('',#501082,#409327,#409328); #348992=AXIS2_PLACEMENT_3D('',#501088,#409332,#409333); #348993=AXIS2_PLACEMENT_3D('',#501094,#409337,#409338); #348994=AXIS2_PLACEMENT_3D('',#501100,#409342,#409343); #348995=AXIS2_PLACEMENT_3D('',#501106,#409347,#409348); #348996=AXIS2_PLACEMENT_3D('',#501112,#409352,#409353); #348997=AXIS2_PLACEMENT_3D('',#501118,#409357,#409358); #348998=AXIS2_PLACEMENT_3D('',#501124,#409362,#409363); #348999=AXIS2_PLACEMENT_3D('',#501130,#409367,#409368); #349000=AXIS2_PLACEMENT_3D('',#501136,#409372,#409373); #349001=AXIS2_PLACEMENT_3D('',#501142,#409377,#409378); #349002=AXIS2_PLACEMENT_3D('',#501148,#409382,#409383); #349003=AXIS2_PLACEMENT_3D('',#501154,#409387,#409388); #349004=AXIS2_PLACEMENT_3D('',#501160,#409392,#409393); #349005=AXIS2_PLACEMENT_3D('',#501166,#409397,#409398); #349006=AXIS2_PLACEMENT_3D('',#501172,#409402,#409403); #349007=AXIS2_PLACEMENT_3D('',#501178,#409407,#409408); #349008=AXIS2_PLACEMENT_3D('',#501184,#409412,#409413); #349009=AXIS2_PLACEMENT_3D('',#501190,#409417,#409418); #349010=AXIS2_PLACEMENT_3D('',#501196,#409422,#409423); #349011=AXIS2_PLACEMENT_3D('',#501202,#409427,#409428); #349012=AXIS2_PLACEMENT_3D('',#501208,#409432,#409433); #349013=AXIS2_PLACEMENT_3D('',#501214,#409437,#409438); #349014=AXIS2_PLACEMENT_3D('',#501220,#409442,#409443); #349015=AXIS2_PLACEMENT_3D('',#501226,#409447,#409448); #349016=AXIS2_PLACEMENT_3D('',#501232,#409452,#409453); #349017=AXIS2_PLACEMENT_3D('',#501238,#409457,#409458); #349018=AXIS2_PLACEMENT_3D('',#501244,#409462,#409463); #349019=AXIS2_PLACEMENT_3D('',#501250,#409467,#409468); #349020=AXIS2_PLACEMENT_3D('',#501256,#409472,#409473); #349021=AXIS2_PLACEMENT_3D('',#501262,#409477,#409478); #349022=AXIS2_PLACEMENT_3D('',#501265,#409481,#409482); #349023=AXIS2_PLACEMENT_3D('',#501266,#409483,#409484); #349024=AXIS2_PLACEMENT_3D('',#501267,#409485,#409486); #349025=AXIS2_PLACEMENT_3D('',#501276,#409491,#409492); #349026=AXIS2_PLACEMENT_3D('',#501282,#409496,#409497); #349027=AXIS2_PLACEMENT_3D('',#501288,#409501,#409502); #349028=AXIS2_PLACEMENT_3D('',#501294,#409506,#409507); #349029=AXIS2_PLACEMENT_3D('',#501300,#409511,#409512); #349030=AXIS2_PLACEMENT_3D('',#501306,#409516,#409517); #349031=AXIS2_PLACEMENT_3D('',#501312,#409521,#409522); #349032=AXIS2_PLACEMENT_3D('',#501318,#409526,#409527); #349033=AXIS2_PLACEMENT_3D('',#501324,#409531,#409532); #349034=AXIS2_PLACEMENT_3D('',#501330,#409536,#409537); #349035=AXIS2_PLACEMENT_3D('',#501336,#409541,#409542); #349036=AXIS2_PLACEMENT_3D('',#501342,#409546,#409547); #349037=AXIS2_PLACEMENT_3D('',#501348,#409551,#409552); #349038=AXIS2_PLACEMENT_3D('',#501354,#409556,#409557); #349039=AXIS2_PLACEMENT_3D('',#501360,#409561,#409562); #349040=AXIS2_PLACEMENT_3D('',#501366,#409566,#409567); #349041=AXIS2_PLACEMENT_3D('',#501372,#409571,#409572); #349042=AXIS2_PLACEMENT_3D('',#501378,#409576,#409577); #349043=AXIS2_PLACEMENT_3D('',#501384,#409581,#409582); #349044=AXIS2_PLACEMENT_3D('',#501390,#409586,#409587); #349045=AXIS2_PLACEMENT_3D('',#501396,#409591,#409592); #349046=AXIS2_PLACEMENT_3D('',#501402,#409596,#409597); #349047=AXIS2_PLACEMENT_3D('',#501408,#409601,#409602); #349048=AXIS2_PLACEMENT_3D('',#501414,#409606,#409607); #349049=AXIS2_PLACEMENT_3D('',#501420,#409611,#409612); #349050=AXIS2_PLACEMENT_3D('',#501426,#409616,#409617); #349051=AXIS2_PLACEMENT_3D('',#501432,#409621,#409622); #349052=AXIS2_PLACEMENT_3D('',#501438,#409626,#409627); #349053=AXIS2_PLACEMENT_3D('',#501444,#409631,#409632); #349054=AXIS2_PLACEMENT_3D('',#501450,#409636,#409637); #349055=AXIS2_PLACEMENT_3D('',#501456,#409641,#409642); #349056=AXIS2_PLACEMENT_3D('',#501462,#409646,#409647); #349057=AXIS2_PLACEMENT_3D('',#501468,#409651,#409652); #349058=AXIS2_PLACEMENT_3D('',#501471,#409655,#409656); #349059=AXIS2_PLACEMENT_3D('',#501472,#409657,#409658); #349060=AXIS2_PLACEMENT_3D('',#501473,#409659,#409660); #349061=AXIS2_PLACEMENT_3D('',#501475,#409661,#409662); #349062=AXIS2_PLACEMENT_3D('',#501478,#409664,#409665); #349063=AXIS2_PLACEMENT_3D('',#501479,#409666,#409667); #349064=AXIS2_PLACEMENT_3D('',#501488,#409672,#409673); #349065=AXIS2_PLACEMENT_3D('',#501494,#409677,#409678); #349066=AXIS2_PLACEMENT_3D('',#501500,#409682,#409683); #349067=AXIS2_PLACEMENT_3D('',#501506,#409687,#409688); #349068=AXIS2_PLACEMENT_3D('',#501512,#409692,#409693); #349069=AXIS2_PLACEMENT_3D('',#501518,#409697,#409698); #349070=AXIS2_PLACEMENT_3D('',#501524,#409702,#409703); #349071=AXIS2_PLACEMENT_3D('',#501527,#409706,#409707); #349072=AXIS2_PLACEMENT_3D('',#501528,#409708,#409709); #349073=AXIS2_PLACEMENT_3D('',#501529,#409710,#409711); #349074=AXIS2_PLACEMENT_3D('',#501531,#409712,#409713); #349075=AXIS2_PLACEMENT_3D('',#501534,#409715,#409716); #349076=AXIS2_PLACEMENT_3D('',#501535,#409717,#409718); #349077=AXIS2_PLACEMENT_3D('',#501537,#409719,#409720); #349078=AXIS2_PLACEMENT_3D('',#501540,#409722,#409723); #349079=AXIS2_PLACEMENT_3D('',#501541,#409724,#409725); #349080=AXIS2_PLACEMENT_3D('',#501543,#409726,#409727); #349081=AXIS2_PLACEMENT_3D('',#501546,#409729,#409730); #349082=AXIS2_PLACEMENT_3D('',#501547,#409731,#409732); #349083=AXIS2_PLACEMENT_3D('',#501549,#409733,#409734); #349084=AXIS2_PLACEMENT_3D('',#501552,#409736,#409737); #349085=AXIS2_PLACEMENT_3D('',#501553,#409738,#409739); #349086=AXIS2_PLACEMENT_3D('',#501555,#409740,#409741); #349087=AXIS2_PLACEMENT_3D('',#501558,#409743,#409744); #349088=AXIS2_PLACEMENT_3D('',#501559,#409745,#409746); #349089=AXIS2_PLACEMENT_3D('',#501561,#409747,#409748); #349090=AXIS2_PLACEMENT_3D('',#501564,#409750,#409751); #349091=AXIS2_PLACEMENT_3D('',#501565,#409752,#409753); #349092=AXIS2_PLACEMENT_3D('',#501567,#409754,#409755); #349093=AXIS2_PLACEMENT_3D('',#501570,#409757,#409758); #349094=AXIS2_PLACEMENT_3D('',#501571,#409759,#409760); #349095=AXIS2_PLACEMENT_3D('',#501573,#409761,#409762); #349096=AXIS2_PLACEMENT_3D('',#501576,#409764,#409765); #349097=AXIS2_PLACEMENT_3D('',#501577,#409766,#409767); #349098=AXIS2_PLACEMENT_3D('',#501579,#409768,#409769); #349099=AXIS2_PLACEMENT_3D('',#501582,#409771,#409772); #349100=AXIS2_PLACEMENT_3D('',#501583,#409773,#409774); #349101=AXIS2_PLACEMENT_3D('',#501585,#409775,#409776); #349102=AXIS2_PLACEMENT_3D('',#501588,#409778,#409779); #349103=AXIS2_PLACEMENT_3D('',#501589,#409780,#409781); #349104=AXIS2_PLACEMENT_3D('',#501591,#409782,#409783); #349105=AXIS2_PLACEMENT_3D('',#501594,#409785,#409786); #349106=AXIS2_PLACEMENT_3D('',#501595,#409787,#409788); #349107=AXIS2_PLACEMENT_3D('',#501597,#409789,#409790); #349108=AXIS2_PLACEMENT_3D('',#501600,#409792,#409793); #349109=AXIS2_PLACEMENT_3D('',#501601,#409794,#409795); #349110=AXIS2_PLACEMENT_3D('',#501603,#409796,#409797); #349111=AXIS2_PLACEMENT_3D('',#501606,#409799,#409800); #349112=AXIS2_PLACEMENT_3D('',#501607,#409801,#409802); #349113=AXIS2_PLACEMENT_3D('',#501609,#409803,#409804); #349114=AXIS2_PLACEMENT_3D('',#501612,#409806,#409807); #349115=AXIS2_PLACEMENT_3D('',#501613,#409808,#409809); #349116=AXIS2_PLACEMENT_3D('',#501615,#409810,#409811); #349117=AXIS2_PLACEMENT_3D('',#501618,#409813,#409814); #349118=AXIS2_PLACEMENT_3D('',#501619,#409815,#409816); #349119=AXIS2_PLACEMENT_3D('',#501621,#409817,#409818); #349120=AXIS2_PLACEMENT_3D('',#501624,#409820,#409821); #349121=AXIS2_PLACEMENT_3D('',#501625,#409822,#409823); #349122=AXIS2_PLACEMENT_3D('',#501634,#409828,#409829); #349123=AXIS2_PLACEMENT_3D('',#501636,#409830,#409831); #349124=AXIS2_PLACEMENT_3D('',#501638,#409832,#409833); #349125=AXIS2_PLACEMENT_3D('',#501640,#409835,#409836); #349126=AXIS2_PLACEMENT_3D('',#501646,#409840,#409841); #349127=AXIS2_PLACEMENT_3D('',#501647,#409842,#409843); #349128=AXIS2_PLACEMENT_3D('',#501648,#409844,#409845); #349129=AXIS2_PLACEMENT_3D('',#501649,#409846,#409847); #349130=AXIS2_PLACEMENT_3D('',#501658,#409852,#409853); #349131=AXIS2_PLACEMENT_3D('',#501660,#409854,#409855); #349132=AXIS2_PLACEMENT_3D('',#501662,#409856,#409857); #349133=AXIS2_PLACEMENT_3D('',#501664,#409859,#409860); #349134=AXIS2_PLACEMENT_3D('',#501670,#409864,#409865); #349135=AXIS2_PLACEMENT_3D('',#501671,#409866,#409867); #349136=AXIS2_PLACEMENT_3D('',#501672,#409868,#409869); #349137=AXIS2_PLACEMENT_3D('',#501673,#409870,#409871); #349138=AXIS2_PLACEMENT_3D('',#501675,#409872,#409873); #349139=AXIS2_PLACEMENT_3D('',#501678,#409875,#409876); #349140=AXIS2_PLACEMENT_3D('',#501679,#409877,#409878); #349141=AXIS2_PLACEMENT_3D('',#501681,#409879,#409880); #349142=AXIS2_PLACEMENT_3D('',#501684,#409882,#409883); #349143=AXIS2_PLACEMENT_3D('',#501685,#409884,#409885); #349144=AXIS2_PLACEMENT_3D('',#501687,#409886,#409887); #349145=AXIS2_PLACEMENT_3D('',#501690,#409889,#409890); #349146=AXIS2_PLACEMENT_3D('',#501691,#409891,#409892); #349147=AXIS2_PLACEMENT_3D('',#501693,#409893,#409894); #349148=AXIS2_PLACEMENT_3D('',#501696,#409896,#409897); #349149=AXIS2_PLACEMENT_3D('',#501697,#409898,#409899); #349150=AXIS2_PLACEMENT_3D('',#501699,#409900,#409901); #349151=AXIS2_PLACEMENT_3D('',#501702,#409903,#409904); #349152=AXIS2_PLACEMENT_3D('',#501703,#409905,#409906); #349153=AXIS2_PLACEMENT_3D('',#501705,#409907,#409908); #349154=AXIS2_PLACEMENT_3D('',#501708,#409910,#409911); #349155=AXIS2_PLACEMENT_3D('',#501709,#409912,#409913); #349156=AXIS2_PLACEMENT_3D('',#501711,#409914,#409915); #349157=AXIS2_PLACEMENT_3D('',#501714,#409917,#409918); #349158=AXIS2_PLACEMENT_3D('',#501715,#409919,#409920); #349159=AXIS2_PLACEMENT_3D('',#501717,#409921,#409922); #349160=AXIS2_PLACEMENT_3D('',#501720,#409924,#409925); #349161=AXIS2_PLACEMENT_3D('',#501721,#409926,#409927); #349162=AXIS2_PLACEMENT_3D('',#501730,#409932,#409933); #349163=AXIS2_PLACEMENT_3D('',#501732,#409934,#409935); #349164=AXIS2_PLACEMENT_3D('',#501734,#409936,#409937); #349165=AXIS2_PLACEMENT_3D('',#501736,#409939,#409940); #349166=AXIS2_PLACEMENT_3D('',#501742,#409944,#409945); #349167=AXIS2_PLACEMENT_3D('',#501743,#409946,#409947); #349168=AXIS2_PLACEMENT_3D('',#501744,#409948,#409949); #349169=AXIS2_PLACEMENT_3D('',#501745,#409950,#409951); #349170=AXIS2_PLACEMENT_3D('',#501754,#409956,#409957); #349171=AXIS2_PLACEMENT_3D('',#501756,#409958,#409959); #349172=AXIS2_PLACEMENT_3D('',#501758,#409960,#409961); #349173=AXIS2_PLACEMENT_3D('',#501760,#409963,#409964); #349174=AXIS2_PLACEMENT_3D('',#501766,#409968,#409969); #349175=AXIS2_PLACEMENT_3D('',#501767,#409970,#409971); #349176=AXIS2_PLACEMENT_3D('',#501768,#409972,#409973); #349177=AXIS2_PLACEMENT_3D('',#501769,#409974,#409975); #349178=AXIS2_PLACEMENT_3D('',#501771,#409976,#409977); #349179=AXIS2_PLACEMENT_3D('',#501774,#409979,#409980); #349180=AXIS2_PLACEMENT_3D('',#501775,#409981,#409982); #349181=AXIS2_PLACEMENT_3D('',#501777,#409983,#409984); #349182=AXIS2_PLACEMENT_3D('',#501780,#409986,#409987); #349183=AXIS2_PLACEMENT_3D('',#501781,#409988,#409989); #349184=AXIS2_PLACEMENT_3D('',#501783,#409990,#409991); #349185=AXIS2_PLACEMENT_3D('',#501786,#409993,#409994); #349186=AXIS2_PLACEMENT_3D('',#501787,#409995,#409996); #349187=AXIS2_PLACEMENT_3D('',#501789,#409997,#409998); #349188=AXIS2_PLACEMENT_3D('',#501792,#410000,#410001); #349189=AXIS2_PLACEMENT_3D('',#501793,#410002,#410003); #349190=AXIS2_PLACEMENT_3D('',#501795,#410004,#410005); #349191=AXIS2_PLACEMENT_3D('',#501798,#410007,#410008); #349192=AXIS2_PLACEMENT_3D('',#501799,#410009,#410010); #349193=AXIS2_PLACEMENT_3D('',#501801,#410011,#410012); #349194=AXIS2_PLACEMENT_3D('',#501804,#410014,#410015); #349195=AXIS2_PLACEMENT_3D('',#501805,#410016,#410017); #349196=AXIS2_PLACEMENT_3D('',#501807,#410018,#410019); #349197=AXIS2_PLACEMENT_3D('',#501810,#410021,#410022); #349198=AXIS2_PLACEMENT_3D('',#501811,#410023,#410024); #349199=AXIS2_PLACEMENT_3D('',#501813,#410025,#410026); #349200=AXIS2_PLACEMENT_3D('',#501816,#410028,#410029); #349201=AXIS2_PLACEMENT_3D('',#501817,#410030,#410031); #349202=AXIS2_PLACEMENT_3D('',#501819,#410032,#410033); #349203=AXIS2_PLACEMENT_3D('',#501822,#410035,#410036); #349204=AXIS2_PLACEMENT_3D('',#501823,#410037,#410038); #349205=AXIS2_PLACEMENT_3D('',#501825,#410039,#410040); #349206=AXIS2_PLACEMENT_3D('',#501828,#410042,#410043); #349207=AXIS2_PLACEMENT_3D('',#501829,#410044,#410045); #349208=AXIS2_PLACEMENT_3D('',#501831,#410046,#410047); #349209=AXIS2_PLACEMENT_3D('',#501834,#410049,#410050); #349210=AXIS2_PLACEMENT_3D('',#501835,#410051,#410052); #349211=AXIS2_PLACEMENT_3D('',#501837,#410053,#410054); #349212=AXIS2_PLACEMENT_3D('',#501840,#410056,#410057); #349213=AXIS2_PLACEMENT_3D('',#501841,#410058,#410059); #349214=AXIS2_PLACEMENT_3D('',#501843,#410060,#410061); #349215=AXIS2_PLACEMENT_3D('',#501846,#410063,#410064); #349216=AXIS2_PLACEMENT_3D('',#501847,#410065,#410066); #349217=AXIS2_PLACEMENT_3D('',#501849,#410067,#410068); #349218=AXIS2_PLACEMENT_3D('',#501852,#410070,#410071); #349219=AXIS2_PLACEMENT_3D('',#501853,#410072,#410073); #349220=AXIS2_PLACEMENT_3D('',#501855,#410074,#410075); #349221=AXIS2_PLACEMENT_3D('',#501858,#410077,#410078); #349222=AXIS2_PLACEMENT_3D('',#501859,#410079,#410080); #349223=AXIS2_PLACEMENT_3D('',#501861,#410081,#410082); #349224=AXIS2_PLACEMENT_3D('',#501864,#410084,#410085); #349225=AXIS2_PLACEMENT_3D('',#501865,#410086,#410087); #349226=AXIS2_PLACEMENT_3D('',#501867,#410088,#410089); #349227=AXIS2_PLACEMENT_3D('',#501870,#410091,#410092); #349228=AXIS2_PLACEMENT_3D('',#501871,#410093,#410094); #349229=AXIS2_PLACEMENT_3D('',#501873,#410095,#410096); #349230=AXIS2_PLACEMENT_3D('',#501876,#410098,#410099); #349231=AXIS2_PLACEMENT_3D('',#501877,#410100,#410101); #349232=AXIS2_PLACEMENT_3D('',#501879,#410102,#410103); #349233=AXIS2_PLACEMENT_3D('',#501882,#410105,#410106); #349234=AXIS2_PLACEMENT_3D('',#501883,#410107,#410108); #349235=AXIS2_PLACEMENT_3D('',#501885,#410109,#410110); #349236=AXIS2_PLACEMENT_3D('',#501888,#410112,#410113); #349237=AXIS2_PLACEMENT_3D('',#501889,#410114,#410115); #349238=AXIS2_PLACEMENT_3D('',#501891,#410116,#410117); #349239=AXIS2_PLACEMENT_3D('',#501894,#410119,#410120); #349240=AXIS2_PLACEMENT_3D('',#501895,#410121,#410122); #349241=AXIS2_PLACEMENT_3D('',#501897,#410123,#410124); #349242=AXIS2_PLACEMENT_3D('',#501900,#410126,#410127); #349243=AXIS2_PLACEMENT_3D('',#501901,#410128,#410129); #349244=AXIS2_PLACEMENT_3D('',#501903,#410130,#410131); #349245=AXIS2_PLACEMENT_3D('',#501906,#410133,#410134); #349246=AXIS2_PLACEMENT_3D('',#501907,#410135,#410136); #349247=AXIS2_PLACEMENT_3D('',#501909,#410137,#410138); #349248=AXIS2_PLACEMENT_3D('',#501912,#410140,#410141); #349249=AXIS2_PLACEMENT_3D('',#501913,#410142,#410143); #349250=AXIS2_PLACEMENT_3D('',#501915,#410144,#410145); #349251=AXIS2_PLACEMENT_3D('',#501918,#410147,#410148); #349252=AXIS2_PLACEMENT_3D('',#501919,#410149,#410150); #349253=AXIS2_PLACEMENT_3D('',#501921,#410151,#410152); #349254=AXIS2_PLACEMENT_3D('',#501924,#410154,#410155); #349255=AXIS2_PLACEMENT_3D('',#501925,#410156,#410157); #349256=AXIS2_PLACEMENT_3D('',#501927,#410158,#410159); #349257=AXIS2_PLACEMENT_3D('',#501930,#410161,#410162); #349258=AXIS2_PLACEMENT_3D('',#501931,#410163,#410164); #349259=AXIS2_PLACEMENT_3D('',#501933,#410165,#410166); #349260=AXIS2_PLACEMENT_3D('',#501936,#410168,#410169); #349261=AXIS2_PLACEMENT_3D('',#501937,#410170,#410171); #349262=AXIS2_PLACEMENT_3D('',#501939,#410172,#410173); #349263=AXIS2_PLACEMENT_3D('',#501942,#410175,#410176); #349264=AXIS2_PLACEMENT_3D('',#501943,#410177,#410178); #349265=AXIS2_PLACEMENT_3D('',#501945,#410179,#410180); #349266=AXIS2_PLACEMENT_3D('',#501948,#410182,#410183); #349267=AXIS2_PLACEMENT_3D('',#501949,#410184,#410185); #349268=AXIS2_PLACEMENT_3D('',#501951,#410186,#410187); #349269=AXIS2_PLACEMENT_3D('',#501954,#410189,#410190); #349270=AXIS2_PLACEMENT_3D('',#501955,#410191,#410192); #349271=AXIS2_PLACEMENT_3D('',#501957,#410193,#410194); #349272=AXIS2_PLACEMENT_3D('',#501960,#410196,#410197); #349273=AXIS2_PLACEMENT_3D('',#501961,#410198,#410199); #349274=AXIS2_PLACEMENT_3D('',#501963,#410200,#410201); #349275=AXIS2_PLACEMENT_3D('',#501966,#410203,#410204); #349276=AXIS2_PLACEMENT_3D('',#501967,#410205,#410206); #349277=AXIS2_PLACEMENT_3D('',#501969,#410207,#410208); #349278=AXIS2_PLACEMENT_3D('',#501972,#410210,#410211); #349279=AXIS2_PLACEMENT_3D('',#501973,#410212,#410213); #349280=AXIS2_PLACEMENT_3D('',#501975,#410214,#410215); #349281=AXIS2_PLACEMENT_3D('',#501978,#410217,#410218); #349282=AXIS2_PLACEMENT_3D('',#501979,#410219,#410220); #349283=AXIS2_PLACEMENT_3D('',#501981,#410221,#410222); #349284=AXIS2_PLACEMENT_3D('',#501984,#410224,#410225); #349285=AXIS2_PLACEMENT_3D('',#501985,#410226,#410227); #349286=AXIS2_PLACEMENT_3D('',#501987,#410228,#410229); #349287=AXIS2_PLACEMENT_3D('',#501990,#410231,#410232); #349288=AXIS2_PLACEMENT_3D('',#501991,#410233,#410234); #349289=AXIS2_PLACEMENT_3D('',#501993,#410235,#410236); #349290=AXIS2_PLACEMENT_3D('',#501996,#410238,#410239); #349291=AXIS2_PLACEMENT_3D('',#501997,#410240,#410241); #349292=AXIS2_PLACEMENT_3D('',#501999,#410242,#410243); #349293=AXIS2_PLACEMENT_3D('',#502002,#410245,#410246); #349294=AXIS2_PLACEMENT_3D('',#502003,#410247,#410248); #349295=AXIS2_PLACEMENT_3D('',#502005,#410249,#410250); #349296=AXIS2_PLACEMENT_3D('',#502008,#410252,#410253); #349297=AXIS2_PLACEMENT_3D('',#502009,#410254,#410255); #349298=AXIS2_PLACEMENT_3D('',#502011,#410256,#410257); #349299=AXIS2_PLACEMENT_3D('',#502014,#410259,#410260); #349300=AXIS2_PLACEMENT_3D('',#502015,#410261,#410262); #349301=AXIS2_PLACEMENT_3D('',#502017,#410263,#410264); #349302=AXIS2_PLACEMENT_3D('',#502020,#410266,#410267); #349303=AXIS2_PLACEMENT_3D('',#502021,#410268,#410269); #349304=AXIS2_PLACEMENT_3D('',#502023,#410270,#410271); #349305=AXIS2_PLACEMENT_3D('',#502026,#410273,#410274); #349306=AXIS2_PLACEMENT_3D('',#502027,#410275,#410276); #349307=AXIS2_PLACEMENT_3D('',#502029,#410277,#410278); #349308=AXIS2_PLACEMENT_3D('',#502032,#410280,#410281); #349309=AXIS2_PLACEMENT_3D('',#502033,#410282,#410283); #349310=AXIS2_PLACEMENT_3D('',#502035,#410284,#410285); #349311=AXIS2_PLACEMENT_3D('',#502038,#410287,#410288); #349312=AXIS2_PLACEMENT_3D('',#502039,#410289,#410290); #349313=AXIS2_PLACEMENT_3D('',#502041,#410291,#410292); #349314=AXIS2_PLACEMENT_3D('',#502044,#410294,#410295); #349315=AXIS2_PLACEMENT_3D('',#502045,#410296,#410297); #349316=AXIS2_PLACEMENT_3D('',#502047,#410298,#410299); #349317=AXIS2_PLACEMENT_3D('',#502050,#410301,#410302); #349318=AXIS2_PLACEMENT_3D('',#502051,#410303,#410304); #349319=AXIS2_PLACEMENT_3D('',#502053,#410305,#410306); #349320=AXIS2_PLACEMENT_3D('',#502056,#410308,#410309); #349321=AXIS2_PLACEMENT_3D('',#502057,#410310,#410311); #349322=AXIS2_PLACEMENT_3D('',#502059,#410312,#410313); #349323=AXIS2_PLACEMENT_3D('',#502062,#410315,#410316); #349324=AXIS2_PLACEMENT_3D('',#502063,#410317,#410318); #349325=AXIS2_PLACEMENT_3D('',#502065,#410319,#410320); #349326=AXIS2_PLACEMENT_3D('',#502068,#410322,#410323); #349327=AXIS2_PLACEMENT_3D('',#502069,#410324,#410325); #349328=AXIS2_PLACEMENT_3D('',#502071,#410326,#410327); #349329=AXIS2_PLACEMENT_3D('',#502074,#410329,#410330); #349330=AXIS2_PLACEMENT_3D('',#502075,#410331,#410332); #349331=AXIS2_PLACEMENT_3D('',#502077,#410333,#410334); #349332=AXIS2_PLACEMENT_3D('',#502080,#410336,#410337); #349333=AXIS2_PLACEMENT_3D('',#502081,#410338,#410339); #349334=AXIS2_PLACEMENT_3D('',#502083,#410340,#410341); #349335=AXIS2_PLACEMENT_3D('',#502086,#410343,#410344); #349336=AXIS2_PLACEMENT_3D('',#502087,#410345,#410346); #349337=AXIS2_PLACEMENT_3D('',#502089,#410347,#410348); #349338=AXIS2_PLACEMENT_3D('',#502092,#410350,#410351); #349339=AXIS2_PLACEMENT_3D('',#502093,#410352,#410353); #349340=AXIS2_PLACEMENT_3D('',#502095,#410354,#410355); #349341=AXIS2_PLACEMENT_3D('',#502098,#410357,#410358); #349342=AXIS2_PLACEMENT_3D('',#502099,#410359,#410360); #349343=AXIS2_PLACEMENT_3D('',#502101,#410361,#410362); #349344=AXIS2_PLACEMENT_3D('',#502104,#410364,#410365); #349345=AXIS2_PLACEMENT_3D('',#502105,#410366,#410367); #349346=AXIS2_PLACEMENT_3D('',#502107,#410368,#410369); #349347=AXIS2_PLACEMENT_3D('',#502110,#410371,#410372); #349348=AXIS2_PLACEMENT_3D('',#502111,#410373,#410374); #349349=AXIS2_PLACEMENT_3D('',#502113,#410375,#410376); #349350=AXIS2_PLACEMENT_3D('',#502116,#410378,#410379); #349351=AXIS2_PLACEMENT_3D('',#502117,#410380,#410381); #349352=AXIS2_PLACEMENT_3D('',#502119,#410382,#410383); #349353=AXIS2_PLACEMENT_3D('',#502122,#410385,#410386); #349354=AXIS2_PLACEMENT_3D('',#502123,#410387,#410388); #349355=AXIS2_PLACEMENT_3D('',#502125,#410389,#410390); #349356=AXIS2_PLACEMENT_3D('',#502128,#410392,#410393); #349357=AXIS2_PLACEMENT_3D('',#502129,#410394,#410395); #349358=AXIS2_PLACEMENT_3D('',#502131,#410396,#410397); #349359=AXIS2_PLACEMENT_3D('',#502134,#410399,#410400); #349360=AXIS2_PLACEMENT_3D('',#502135,#410401,#410402); #349361=AXIS2_PLACEMENT_3D('',#502137,#410403,#410404); #349362=AXIS2_PLACEMENT_3D('',#502140,#410406,#410407); #349363=AXIS2_PLACEMENT_3D('',#502141,#410408,#410409); #349364=AXIS2_PLACEMENT_3D('',#502143,#410410,#410411); #349365=AXIS2_PLACEMENT_3D('',#502146,#410413,#410414); #349366=AXIS2_PLACEMENT_3D('',#502147,#410415,#410416); #349367=AXIS2_PLACEMENT_3D('',#502149,#410417,#410418); #349368=AXIS2_PLACEMENT_3D('',#502152,#410420,#410421); #349369=AXIS2_PLACEMENT_3D('',#502153,#410422,#410423); #349370=AXIS2_PLACEMENT_3D('',#502155,#410424,#410425); #349371=AXIS2_PLACEMENT_3D('',#502158,#410427,#410428); #349372=AXIS2_PLACEMENT_3D('',#502159,#410429,#410430); #349373=AXIS2_PLACEMENT_3D('',#502161,#410431,#410432); #349374=AXIS2_PLACEMENT_3D('',#502164,#410434,#410435); #349375=AXIS2_PLACEMENT_3D('',#502165,#410436,#410437); #349376=AXIS2_PLACEMENT_3D('',#502167,#410438,#410439); #349377=AXIS2_PLACEMENT_3D('',#502170,#410441,#410442); #349378=AXIS2_PLACEMENT_3D('',#502171,#410443,#410444); #349379=AXIS2_PLACEMENT_3D('',#502173,#410445,#410446); #349380=AXIS2_PLACEMENT_3D('',#502176,#410448,#410449); #349381=AXIS2_PLACEMENT_3D('',#502177,#410450,#410451); #349382=AXIS2_PLACEMENT_3D('',#502179,#410452,#410453); #349383=AXIS2_PLACEMENT_3D('',#502182,#410455,#410456); #349384=AXIS2_PLACEMENT_3D('',#502183,#410457,#410458); #349385=AXIS2_PLACEMENT_3D('',#502185,#410459,#410460); #349386=AXIS2_PLACEMENT_3D('',#502188,#410462,#410463); #349387=AXIS2_PLACEMENT_3D('',#502189,#410464,#410465); #349388=AXIS2_PLACEMENT_3D('',#502191,#410466,#410467); #349389=AXIS2_PLACEMENT_3D('',#502194,#410469,#410470); #349390=AXIS2_PLACEMENT_3D('',#502195,#410471,#410472); #349391=AXIS2_PLACEMENT_3D('',#502197,#410473,#410474); #349392=AXIS2_PLACEMENT_3D('',#502200,#410476,#410477); #349393=AXIS2_PLACEMENT_3D('',#502201,#410478,#410479); #349394=AXIS2_PLACEMENT_3D('',#502203,#410480,#410481); #349395=AXIS2_PLACEMENT_3D('',#502206,#410483,#410484); #349396=AXIS2_PLACEMENT_3D('',#502207,#410485,#410486); #349397=AXIS2_PLACEMENT_3D('',#502209,#410487,#410488); #349398=AXIS2_PLACEMENT_3D('',#502212,#410490,#410491); #349399=AXIS2_PLACEMENT_3D('',#502213,#410492,#410493); #349400=AXIS2_PLACEMENT_3D('',#502215,#410494,#410495); #349401=AXIS2_PLACEMENT_3D('',#502218,#410497,#410498); #349402=AXIS2_PLACEMENT_3D('',#502219,#410499,#410500); #349403=AXIS2_PLACEMENT_3D('',#502221,#410501,#410502); #349404=AXIS2_PLACEMENT_3D('',#502224,#410504,#410505); #349405=AXIS2_PLACEMENT_3D('',#502225,#410506,#410507); #349406=AXIS2_PLACEMENT_3D('',#502227,#410508,#410509); #349407=AXIS2_PLACEMENT_3D('',#502230,#410511,#410512); #349408=AXIS2_PLACEMENT_3D('',#502231,#410513,#410514); #349409=AXIS2_PLACEMENT_3D('',#502233,#410515,#410516); #349410=AXIS2_PLACEMENT_3D('',#502236,#410518,#410519); #349411=AXIS2_PLACEMENT_3D('',#502237,#410520,#410521); #349412=AXIS2_PLACEMENT_3D('',#502239,#410522,#410523); #349413=AXIS2_PLACEMENT_3D('',#502242,#410525,#410526); #349414=AXIS2_PLACEMENT_3D('',#502243,#410527,#410528); #349415=AXIS2_PLACEMENT_3D('',#502245,#410529,#410530); #349416=AXIS2_PLACEMENT_3D('',#502248,#410532,#410533); #349417=AXIS2_PLACEMENT_3D('',#502249,#410534,#410535); #349418=AXIS2_PLACEMENT_3D('',#502251,#410536,#410537); #349419=AXIS2_PLACEMENT_3D('',#502254,#410539,#410540); #349420=AXIS2_PLACEMENT_3D('',#502255,#410541,#410542); #349421=AXIS2_PLACEMENT_3D('',#502257,#410543,#410544); #349422=AXIS2_PLACEMENT_3D('',#502260,#410546,#410547); #349423=AXIS2_PLACEMENT_3D('',#502261,#410548,#410549); #349424=AXIS2_PLACEMENT_3D('',#502263,#410550,#410551); #349425=AXIS2_PLACEMENT_3D('',#502266,#410553,#410554); #349426=AXIS2_PLACEMENT_3D('',#502267,#410555,#410556); #349427=AXIS2_PLACEMENT_3D('',#502269,#410557,#410558); #349428=AXIS2_PLACEMENT_3D('',#502272,#410560,#410561); #349429=AXIS2_PLACEMENT_3D('',#502273,#410562,#410563); #349430=AXIS2_PLACEMENT_3D('',#502275,#410564,#410565); #349431=AXIS2_PLACEMENT_3D('',#502278,#410567,#410568); #349432=AXIS2_PLACEMENT_3D('',#502279,#410569,#410570); #349433=AXIS2_PLACEMENT_3D('',#502281,#410571,#410572); #349434=AXIS2_PLACEMENT_3D('',#502284,#410574,#410575); #349435=AXIS2_PLACEMENT_3D('',#502285,#410576,#410577); #349436=AXIS2_PLACEMENT_3D('',#502287,#410578,#410579); #349437=AXIS2_PLACEMENT_3D('',#502290,#410581,#410582); #349438=AXIS2_PLACEMENT_3D('',#502291,#410583,#410584); #349439=AXIS2_PLACEMENT_3D('',#502293,#410585,#410586); #349440=AXIS2_PLACEMENT_3D('',#502296,#410588,#410589); #349441=AXIS2_PLACEMENT_3D('',#502297,#410590,#410591); #349442=AXIS2_PLACEMENT_3D('',#502299,#410592,#410593); #349443=AXIS2_PLACEMENT_3D('',#502302,#410595,#410596); #349444=AXIS2_PLACEMENT_3D('',#502303,#410597,#410598); #349445=AXIS2_PLACEMENT_3D('',#502305,#410599,#410600); #349446=AXIS2_PLACEMENT_3D('',#502308,#410602,#410603); #349447=AXIS2_PLACEMENT_3D('',#502309,#410604,#410605); #349448=AXIS2_PLACEMENT_3D('',#502311,#410606,#410607); #349449=AXIS2_PLACEMENT_3D('',#502314,#410609,#410610); #349450=AXIS2_PLACEMENT_3D('',#502315,#410611,#410612); #349451=AXIS2_PLACEMENT_3D('',#502317,#410613,#410614); #349452=AXIS2_PLACEMENT_3D('',#502320,#410616,#410617); #349453=AXIS2_PLACEMENT_3D('',#502321,#410618,#410619); #349454=AXIS2_PLACEMENT_3D('',#502323,#410620,#410621); #349455=AXIS2_PLACEMENT_3D('',#502326,#410623,#410624); #349456=AXIS2_PLACEMENT_3D('',#502327,#410625,#410626); #349457=AXIS2_PLACEMENT_3D('',#502329,#410627,#410628); #349458=AXIS2_PLACEMENT_3D('',#502332,#410630,#410631); #349459=AXIS2_PLACEMENT_3D('',#502333,#410632,#410633); #349460=AXIS2_PLACEMENT_3D('',#502335,#410634,#410635); #349461=AXIS2_PLACEMENT_3D('',#502338,#410637,#410638); #349462=AXIS2_PLACEMENT_3D('',#502339,#410639,#410640); #349463=AXIS2_PLACEMENT_3D('',#502341,#410641,#410642); #349464=AXIS2_PLACEMENT_3D('',#502344,#410644,#410645); #349465=AXIS2_PLACEMENT_3D('',#502345,#410646,#410647); #349466=AXIS2_PLACEMENT_3D('',#502347,#410648,#410649); #349467=AXIS2_PLACEMENT_3D('',#502350,#410651,#410652); #349468=AXIS2_PLACEMENT_3D('',#502351,#410653,#410654); #349469=AXIS2_PLACEMENT_3D('',#502353,#410655,#410656); #349470=AXIS2_PLACEMENT_3D('',#502356,#410658,#410659); #349471=AXIS2_PLACEMENT_3D('',#502357,#410660,#410661); #349472=AXIS2_PLACEMENT_3D('',#502359,#410662,#410663); #349473=AXIS2_PLACEMENT_3D('',#502362,#410665,#410666); #349474=AXIS2_PLACEMENT_3D('',#502363,#410667,#410668); #349475=AXIS2_PLACEMENT_3D('',#502372,#410673,#410674); #349476=AXIS2_PLACEMENT_3D('',#502378,#410678,#410679); #349477=AXIS2_PLACEMENT_3D('',#502384,#410683,#410684); #349478=AXIS2_PLACEMENT_3D('',#502390,#410688,#410689); #349479=AXIS2_PLACEMENT_3D('',#502396,#410693,#410694); #349480=AXIS2_PLACEMENT_3D('',#502402,#410698,#410699); #349481=AXIS2_PLACEMENT_3D('',#502408,#410703,#410704); #349482=AXIS2_PLACEMENT_3D('',#502414,#410708,#410709); #349483=AXIS2_PLACEMENT_3D('',#502420,#410713,#410714); #349484=AXIS2_PLACEMENT_3D('',#502426,#410718,#410719); #349485=AXIS2_PLACEMENT_3D('',#502432,#410723,#410724); #349486=AXIS2_PLACEMENT_3D('',#502438,#410728,#410729); #349487=AXIS2_PLACEMENT_3D('',#502444,#410733,#410734); #349488=AXIS2_PLACEMENT_3D('',#502450,#410738,#410739); #349489=AXIS2_PLACEMENT_3D('',#502456,#410743,#410744); #349490=AXIS2_PLACEMENT_3D('',#502462,#410748,#410749); #349491=AXIS2_PLACEMENT_3D('',#502468,#410753,#410754); #349492=AXIS2_PLACEMENT_3D('',#502474,#410758,#410759); #349493=AXIS2_PLACEMENT_3D('',#502480,#410763,#410764); #349494=AXIS2_PLACEMENT_3D('',#502486,#410768,#410769); #349495=AXIS2_PLACEMENT_3D('',#502492,#410773,#410774); #349496=AXIS2_PLACEMENT_3D('',#502498,#410778,#410779); #349497=AXIS2_PLACEMENT_3D('',#502504,#410783,#410784); #349498=AXIS2_PLACEMENT_3D('',#502510,#410788,#410789); #349499=AXIS2_PLACEMENT_3D('',#502516,#410793,#410794); #349500=AXIS2_PLACEMENT_3D('',#502522,#410798,#410799); #349501=AXIS2_PLACEMENT_3D('',#502528,#410803,#410804); #349502=AXIS2_PLACEMENT_3D('',#502534,#410808,#410809); #349503=AXIS2_PLACEMENT_3D('',#502540,#410813,#410814); #349504=AXIS2_PLACEMENT_3D('',#502546,#410818,#410819); #349505=AXIS2_PLACEMENT_3D('',#502552,#410823,#410824); #349506=AXIS2_PLACEMENT_3D('',#502558,#410828,#410829); #349507=AXIS2_PLACEMENT_3D('',#502564,#410833,#410834); #349508=AXIS2_PLACEMENT_3D('',#502570,#410838,#410839); #349509=AXIS2_PLACEMENT_3D('',#502576,#410843,#410844); #349510=AXIS2_PLACEMENT_3D('',#502582,#410848,#410849); #349511=AXIS2_PLACEMENT_3D('',#502588,#410853,#410854); #349512=AXIS2_PLACEMENT_3D('',#502594,#410858,#410859); #349513=AXIS2_PLACEMENT_3D('',#502600,#410863,#410864); #349514=AXIS2_PLACEMENT_3D('',#502606,#410868,#410869); #349515=AXIS2_PLACEMENT_3D('',#502612,#410873,#410874); #349516=AXIS2_PLACEMENT_3D('',#502618,#410878,#410879); #349517=AXIS2_PLACEMENT_3D('',#502624,#410883,#410884); #349518=AXIS2_PLACEMENT_3D('',#502630,#410888,#410889); #349519=AXIS2_PLACEMENT_3D('',#502636,#410893,#410894); #349520=AXIS2_PLACEMENT_3D('',#502642,#410898,#410899); #349521=AXIS2_PLACEMENT_3D('',#502648,#410903,#410904); #349522=AXIS2_PLACEMENT_3D('',#502654,#410908,#410909); #349523=AXIS2_PLACEMENT_3D('',#502660,#410913,#410914); #349524=AXIS2_PLACEMENT_3D('',#502666,#410918,#410919); #349525=AXIS2_PLACEMENT_3D('',#502672,#410923,#410924); #349526=AXIS2_PLACEMENT_3D('',#502678,#410928,#410929); #349527=AXIS2_PLACEMENT_3D('',#502684,#410933,#410934); #349528=AXIS2_PLACEMENT_3D('',#502690,#410938,#410939); #349529=AXIS2_PLACEMENT_3D('',#502696,#410943,#410944); #349530=AXIS2_PLACEMENT_3D('',#502702,#410948,#410949); #349531=AXIS2_PLACEMENT_3D('',#502708,#410953,#410954); #349532=AXIS2_PLACEMENT_3D('',#502714,#410958,#410959); #349533=AXIS2_PLACEMENT_3D('',#502720,#410963,#410964); #349534=AXIS2_PLACEMENT_3D('',#502726,#410968,#410969); #349535=AXIS2_PLACEMENT_3D('',#502732,#410973,#410974); #349536=AXIS2_PLACEMENT_3D('',#502738,#410978,#410979); #349537=AXIS2_PLACEMENT_3D('',#502744,#410983,#410984); #349538=AXIS2_PLACEMENT_3D('',#502750,#410988,#410989); #349539=AXIS2_PLACEMENT_3D('',#502756,#410993,#410994); #349540=AXIS2_PLACEMENT_3D('',#502762,#410998,#410999); #349541=AXIS2_PLACEMENT_3D('',#502768,#411003,#411004); #349542=AXIS2_PLACEMENT_3D('',#502774,#411008,#411009); #349543=AXIS2_PLACEMENT_3D('',#502780,#411013,#411014); #349544=AXIS2_PLACEMENT_3D('',#502786,#411018,#411019); #349545=AXIS2_PLACEMENT_3D('',#502792,#411023,#411024); #349546=AXIS2_PLACEMENT_3D('',#502798,#411028,#411029); #349547=AXIS2_PLACEMENT_3D('',#502804,#411033,#411034); #349548=AXIS2_PLACEMENT_3D('',#502810,#411038,#411039); #349549=AXIS2_PLACEMENT_3D('',#502816,#411043,#411044); #349550=AXIS2_PLACEMENT_3D('',#502822,#411048,#411049); #349551=AXIS2_PLACEMENT_3D('',#502828,#411053,#411054); #349552=AXIS2_PLACEMENT_3D('',#502834,#411058,#411059); #349553=AXIS2_PLACEMENT_3D('',#502840,#411063,#411064); #349554=AXIS2_PLACEMENT_3D('',#502846,#411068,#411069); #349555=AXIS2_PLACEMENT_3D('',#502852,#411073,#411074); #349556=AXIS2_PLACEMENT_3D('',#502858,#411078,#411079); #349557=AXIS2_PLACEMENT_3D('',#502864,#411083,#411084); #349558=AXIS2_PLACEMENT_3D('',#502870,#411088,#411089); #349559=AXIS2_PLACEMENT_3D('',#502876,#411093,#411094); #349560=AXIS2_PLACEMENT_3D('',#502882,#411098,#411099); #349561=AXIS2_PLACEMENT_3D('',#502888,#411103,#411104); #349562=AXIS2_PLACEMENT_3D('',#502894,#411108,#411109); #349563=AXIS2_PLACEMENT_3D('',#502900,#411113,#411114); #349564=AXIS2_PLACEMENT_3D('',#502906,#411118,#411119); #349565=AXIS2_PLACEMENT_3D('',#502912,#411123,#411124); #349566=AXIS2_PLACEMENT_3D('',#502918,#411128,#411129); #349567=AXIS2_PLACEMENT_3D('',#502924,#411133,#411134); #349568=AXIS2_PLACEMENT_3D('',#502930,#411138,#411139); #349569=AXIS2_PLACEMENT_3D('',#502936,#411143,#411144); #349570=AXIS2_PLACEMENT_3D('',#502942,#411148,#411149); #349571=AXIS2_PLACEMENT_3D('',#502948,#411153,#411154); #349572=AXIS2_PLACEMENT_3D('',#502954,#411158,#411159); #349573=AXIS2_PLACEMENT_3D('',#502960,#411163,#411164); #349574=AXIS2_PLACEMENT_3D('',#502966,#411168,#411169); #349575=AXIS2_PLACEMENT_3D('',#502972,#411173,#411174); #349576=AXIS2_PLACEMENT_3D('',#502978,#411178,#411179); #349577=AXIS2_PLACEMENT_3D('',#502984,#411183,#411184); #349578=AXIS2_PLACEMENT_3D('',#502990,#411188,#411189); #349579=AXIS2_PLACEMENT_3D('',#502996,#411193,#411194); #349580=AXIS2_PLACEMENT_3D('',#503002,#411198,#411199); #349581=AXIS2_PLACEMENT_3D('',#503008,#411203,#411204); #349582=AXIS2_PLACEMENT_3D('',#503014,#411208,#411209); #349583=AXIS2_PLACEMENT_3D('',#503020,#411213,#411214); #349584=AXIS2_PLACEMENT_3D('',#503026,#411218,#411219); #349585=AXIS2_PLACEMENT_3D('',#503032,#411223,#411224); #349586=AXIS2_PLACEMENT_3D('',#503038,#411228,#411229); #349587=AXIS2_PLACEMENT_3D('',#503044,#411233,#411234); #349588=AXIS2_PLACEMENT_3D('',#503050,#411238,#411239); #349589=AXIS2_PLACEMENT_3D('',#503056,#411243,#411244); #349590=AXIS2_PLACEMENT_3D('',#503062,#411248,#411249); #349591=AXIS2_PLACEMENT_3D('',#503068,#411253,#411254); #349592=AXIS2_PLACEMENT_3D('',#503074,#411258,#411259); #349593=AXIS2_PLACEMENT_3D('',#503080,#411263,#411264); #349594=AXIS2_PLACEMENT_3D('',#503086,#411268,#411269); #349595=AXIS2_PLACEMENT_3D('',#503092,#411273,#411274); #349596=AXIS2_PLACEMENT_3D('',#503098,#411278,#411279); #349597=AXIS2_PLACEMENT_3D('',#503104,#411283,#411284); #349598=AXIS2_PLACEMENT_3D('',#503110,#411288,#411289); #349599=AXIS2_PLACEMENT_3D('',#503116,#411293,#411294); #349600=AXIS2_PLACEMENT_3D('',#503122,#411298,#411299); #349601=AXIS2_PLACEMENT_3D('',#503128,#411303,#411304); #349602=AXIS2_PLACEMENT_3D('',#503134,#411308,#411309); #349603=AXIS2_PLACEMENT_3D('',#503140,#411313,#411314); #349604=AXIS2_PLACEMENT_3D('',#503146,#411318,#411319); #349605=AXIS2_PLACEMENT_3D('',#503152,#411323,#411324); #349606=AXIS2_PLACEMENT_3D('',#503155,#411327,#411328); #349607=AXIS2_PLACEMENT_3D('',#503164,#411333,#411334); #349608=AXIS2_PLACEMENT_3D('',#503170,#411338,#411339); #349609=AXIS2_PLACEMENT_3D('',#503176,#411343,#411344); #349610=AXIS2_PLACEMENT_3D('',#503182,#411348,#411349); #349611=AXIS2_PLACEMENT_3D('',#503188,#411353,#411354); #349612=AXIS2_PLACEMENT_3D('',#503194,#411358,#411359); #349613=AXIS2_PLACEMENT_3D('',#503200,#411363,#411364); #349614=AXIS2_PLACEMENT_3D('',#503203,#411367,#411368); #349615=AXIS2_PLACEMENT_3D('',#503212,#411373,#411374); #349616=AXIS2_PLACEMENT_3D('',#503218,#411378,#411379); #349617=AXIS2_PLACEMENT_3D('',#503224,#411383,#411384); #349618=AXIS2_PLACEMENT_3D('',#503230,#411388,#411389); #349619=AXIS2_PLACEMENT_3D('',#503236,#411393,#411394); #349620=AXIS2_PLACEMENT_3D('',#503242,#411398,#411399); #349621=AXIS2_PLACEMENT_3D('',#503248,#411403,#411404); #349622=AXIS2_PLACEMENT_3D('',#503251,#411407,#411408); #349623=AXIS2_PLACEMENT_3D('',#503260,#411413,#411414); #349624=AXIS2_PLACEMENT_3D('',#503266,#411418,#411419); #349625=AXIS2_PLACEMENT_3D('',#503272,#411423,#411424); #349626=AXIS2_PLACEMENT_3D('',#503278,#411428,#411429); #349627=AXIS2_PLACEMENT_3D('',#503284,#411433,#411434); #349628=AXIS2_PLACEMENT_3D('',#503290,#411438,#411439); #349629=AXIS2_PLACEMENT_3D('',#503296,#411443,#411444); #349630=AXIS2_PLACEMENT_3D('',#503299,#411447,#411448); #349631=AXIS2_PLACEMENT_3D('',#503308,#411453,#411454); #349632=AXIS2_PLACEMENT_3D('',#503314,#411458,#411459); #349633=AXIS2_PLACEMENT_3D('',#503320,#411463,#411464); #349634=AXIS2_PLACEMENT_3D('',#503326,#411468,#411469); #349635=AXIS2_PLACEMENT_3D('',#503332,#411473,#411474); #349636=AXIS2_PLACEMENT_3D('',#503338,#411478,#411479); #349637=AXIS2_PLACEMENT_3D('',#503344,#411483,#411484); #349638=AXIS2_PLACEMENT_3D('',#503350,#411488,#411489); #349639=AXIS2_PLACEMENT_3D('',#503356,#411493,#411494); #349640=AXIS2_PLACEMENT_3D('',#503362,#411498,#411499); #349641=AXIS2_PLACEMENT_3D('',#503368,#411503,#411504); #349642=AXIS2_PLACEMENT_3D('',#503374,#411508,#411509); #349643=AXIS2_PLACEMENT_3D('',#503380,#411513,#411514); #349644=AXIS2_PLACEMENT_3D('',#503386,#411518,#411519); #349645=AXIS2_PLACEMENT_3D('',#503392,#411523,#411524); #349646=AXIS2_PLACEMENT_3D('',#503398,#411528,#411529); #349647=AXIS2_PLACEMENT_3D('',#503404,#411533,#411534); #349648=AXIS2_PLACEMENT_3D('',#503410,#411538,#411539); #349649=AXIS2_PLACEMENT_3D('',#503416,#411543,#411544); #349650=AXIS2_PLACEMENT_3D('',#503422,#411548,#411549); #349651=AXIS2_PLACEMENT_3D('',#503428,#411553,#411554); #349652=AXIS2_PLACEMENT_3D('',#503434,#411558,#411559); #349653=AXIS2_PLACEMENT_3D('',#503440,#411563,#411564); #349654=AXIS2_PLACEMENT_3D('',#503446,#411568,#411569); #349655=AXIS2_PLACEMENT_3D('',#503452,#411573,#411574); #349656=AXIS2_PLACEMENT_3D('',#503458,#411578,#411579); #349657=AXIS2_PLACEMENT_3D('',#503464,#411583,#411584); #349658=AXIS2_PLACEMENT_3D('',#503470,#411588,#411589); #349659=AXIS2_PLACEMENT_3D('',#503476,#411593,#411594); #349660=AXIS2_PLACEMENT_3D('',#503482,#411598,#411599); #349661=AXIS2_PLACEMENT_3D('',#503488,#411603,#411604); #349662=AXIS2_PLACEMENT_3D('',#503494,#411608,#411609); #349663=AXIS2_PLACEMENT_3D('',#503500,#411613,#411614); #349664=AXIS2_PLACEMENT_3D('',#503506,#411618,#411619); #349665=AXIS2_PLACEMENT_3D('',#503512,#411623,#411624); #349666=AXIS2_PLACEMENT_3D('',#503518,#411628,#411629); #349667=AXIS2_PLACEMENT_3D('',#503524,#411633,#411634); #349668=AXIS2_PLACEMENT_3D('',#503530,#411638,#411639); #349669=AXIS2_PLACEMENT_3D('',#503536,#411643,#411644); #349670=AXIS2_PLACEMENT_3D('',#503542,#411648,#411649); #349671=AXIS2_PLACEMENT_3D('',#503548,#411653,#411654); #349672=AXIS2_PLACEMENT_3D('',#503554,#411658,#411659); #349673=AXIS2_PLACEMENT_3D('',#503560,#411663,#411664); #349674=AXIS2_PLACEMENT_3D('',#503566,#411668,#411669); #349675=AXIS2_PLACEMENT_3D('',#503572,#411673,#411674); #349676=AXIS2_PLACEMENT_3D('',#503578,#411678,#411679); #349677=AXIS2_PLACEMENT_3D('',#503584,#411683,#411684); #349678=AXIS2_PLACEMENT_3D('',#503590,#411688,#411689); #349679=AXIS2_PLACEMENT_3D('',#503596,#411693,#411694); #349680=AXIS2_PLACEMENT_3D('',#503602,#411698,#411699); #349681=AXIS2_PLACEMENT_3D('',#503608,#411703,#411704); #349682=AXIS2_PLACEMENT_3D('',#503614,#411708,#411709); #349683=AXIS2_PLACEMENT_3D('',#503620,#411713,#411714); #349684=AXIS2_PLACEMENT_3D('',#503626,#411718,#411719); #349685=AXIS2_PLACEMENT_3D('',#503632,#411723,#411724); #349686=AXIS2_PLACEMENT_3D('',#503638,#411728,#411729); #349687=AXIS2_PLACEMENT_3D('',#503644,#411733,#411734); #349688=AXIS2_PLACEMENT_3D('',#503650,#411738,#411739); #349689=AXIS2_PLACEMENT_3D('',#503656,#411743,#411744); #349690=AXIS2_PLACEMENT_3D('',#503659,#411747,#411748); #349691=AXIS2_PLACEMENT_3D('',#503668,#411753,#411754); #349692=AXIS2_PLACEMENT_3D('',#503674,#411758,#411759); #349693=AXIS2_PLACEMENT_3D('',#503680,#411763,#411764); #349694=AXIS2_PLACEMENT_3D('',#503686,#411768,#411769); #349695=AXIS2_PLACEMENT_3D('',#503692,#411773,#411774); #349696=AXIS2_PLACEMENT_3D('',#503698,#411778,#411779); #349697=AXIS2_PLACEMENT_3D('',#503704,#411783,#411784); #349698=AXIS2_PLACEMENT_3D('',#503710,#411788,#411789); #349699=AXIS2_PLACEMENT_3D('',#503716,#411793,#411794); #349700=AXIS2_PLACEMENT_3D('',#503722,#411798,#411799); #349701=AXIS2_PLACEMENT_3D('',#503728,#411803,#411804); #349702=AXIS2_PLACEMENT_3D('',#503734,#411808,#411809); #349703=AXIS2_PLACEMENT_3D('',#503740,#411813,#411814); #349704=AXIS2_PLACEMENT_3D('',#503746,#411818,#411819); #349705=AXIS2_PLACEMENT_3D('',#503752,#411823,#411824); #349706=AXIS2_PLACEMENT_3D('',#503758,#411828,#411829); #349707=AXIS2_PLACEMENT_3D('',#503764,#411833,#411834); #349708=AXIS2_PLACEMENT_3D('',#503770,#411838,#411839); #349709=AXIS2_PLACEMENT_3D('',#503776,#411843,#411844); #349710=AXIS2_PLACEMENT_3D('',#503782,#411848,#411849); #349711=AXIS2_PLACEMENT_3D('',#503788,#411853,#411854); #349712=AXIS2_PLACEMENT_3D('',#503794,#411858,#411859); #349713=AXIS2_PLACEMENT_3D('',#503800,#411863,#411864); #349714=AXIS2_PLACEMENT_3D('',#503806,#411868,#411869); #349715=AXIS2_PLACEMENT_3D('',#503812,#411873,#411874); #349716=AXIS2_PLACEMENT_3D('',#503818,#411878,#411879); #349717=AXIS2_PLACEMENT_3D('',#503824,#411883,#411884); #349718=AXIS2_PLACEMENT_3D('',#503830,#411888,#411889); #349719=AXIS2_PLACEMENT_3D('',#503836,#411893,#411894); #349720=AXIS2_PLACEMENT_3D('',#503842,#411898,#411899); #349721=AXIS2_PLACEMENT_3D('',#503848,#411903,#411904); #349722=AXIS2_PLACEMENT_3D('',#503854,#411908,#411909); #349723=AXIS2_PLACEMENT_3D('',#503860,#411913,#411914); #349724=AXIS2_PLACEMENT_3D('',#503863,#411917,#411918); #349725=AXIS2_PLACEMENT_3D('',#503872,#411923,#411924); #349726=AXIS2_PLACEMENT_3D('',#503878,#411928,#411929); #349727=AXIS2_PLACEMENT_3D('',#503884,#411933,#411934); #349728=AXIS2_PLACEMENT_3D('',#503890,#411938,#411939); #349729=AXIS2_PLACEMENT_3D('',#503896,#411943,#411944); #349730=AXIS2_PLACEMENT_3D('',#503902,#411948,#411949); #349731=AXIS2_PLACEMENT_3D('',#503908,#411953,#411954); #349732=AXIS2_PLACEMENT_3D('',#503914,#411958,#411959); #349733=AXIS2_PLACEMENT_3D('',#503920,#411963,#411964); #349734=AXIS2_PLACEMENT_3D('',#503926,#411968,#411969); #349735=AXIS2_PLACEMENT_3D('',#503932,#411973,#411974); #349736=AXIS2_PLACEMENT_3D('',#503938,#411978,#411979); #349737=AXIS2_PLACEMENT_3D('',#503944,#411983,#411984); #349738=AXIS2_PLACEMENT_3D('',#503950,#411988,#411989); #349739=AXIS2_PLACEMENT_3D('',#503956,#411993,#411994); #349740=AXIS2_PLACEMENT_3D('',#503962,#411998,#411999); #349741=AXIS2_PLACEMENT_3D('',#503968,#412003,#412004); #349742=AXIS2_PLACEMENT_3D('',#503974,#412008,#412009); #349743=AXIS2_PLACEMENT_3D('',#503980,#412013,#412014); #349744=AXIS2_PLACEMENT_3D('',#503986,#412018,#412019); #349745=AXIS2_PLACEMENT_3D('',#503992,#412023,#412024); #349746=AXIS2_PLACEMENT_3D('',#503998,#412028,#412029); #349747=AXIS2_PLACEMENT_3D('',#504004,#412033,#412034); #349748=AXIS2_PLACEMENT_3D('',#504010,#412038,#412039); #349749=AXIS2_PLACEMENT_3D('',#504016,#412043,#412044); #349750=AXIS2_PLACEMENT_3D('',#504022,#412048,#412049); #349751=AXIS2_PLACEMENT_3D('',#504028,#412053,#412054); #349752=AXIS2_PLACEMENT_3D('',#504034,#412058,#412059); #349753=AXIS2_PLACEMENT_3D('',#504040,#412063,#412064); #349754=AXIS2_PLACEMENT_3D('',#504046,#412068,#412069); #349755=AXIS2_PLACEMENT_3D('',#504052,#412073,#412074); #349756=AXIS2_PLACEMENT_3D('',#504058,#412078,#412079); #349757=AXIS2_PLACEMENT_3D('',#504064,#412083,#412084); #349758=AXIS2_PLACEMENT_3D('',#504067,#412087,#412088); #349759=AXIS2_PLACEMENT_3D('',#504076,#412093,#412094); #349760=AXIS2_PLACEMENT_3D('',#504082,#412098,#412099); #349761=AXIS2_PLACEMENT_3D('',#504088,#412103,#412104); #349762=AXIS2_PLACEMENT_3D('',#504094,#412108,#412109); #349763=AXIS2_PLACEMENT_3D('',#504100,#412113,#412114); #349764=AXIS2_PLACEMENT_3D('',#504106,#412118,#412119); #349765=AXIS2_PLACEMENT_3D('',#504112,#412123,#412124); #349766=AXIS2_PLACEMENT_3D('',#504118,#412128,#412129); #349767=AXIS2_PLACEMENT_3D('',#504124,#412133,#412134); #349768=AXIS2_PLACEMENT_3D('',#504130,#412138,#412139); #349769=AXIS2_PLACEMENT_3D('',#504136,#412143,#412144); #349770=AXIS2_PLACEMENT_3D('',#504142,#412148,#412149); #349771=AXIS2_PLACEMENT_3D('',#504148,#412153,#412154); #349772=AXIS2_PLACEMENT_3D('',#504154,#412158,#412159); #349773=AXIS2_PLACEMENT_3D('',#504160,#412163,#412164); #349774=AXIS2_PLACEMENT_3D('',#504166,#412168,#412169); #349775=AXIS2_PLACEMENT_3D('',#504172,#412173,#412174); #349776=AXIS2_PLACEMENT_3D('',#504178,#412178,#412179); #349777=AXIS2_PLACEMENT_3D('',#504184,#412183,#412184); #349778=AXIS2_PLACEMENT_3D('',#504190,#412188,#412189); #349779=AXIS2_PLACEMENT_3D('',#504196,#412193,#412194); #349780=AXIS2_PLACEMENT_3D('',#504202,#412198,#412199); #349781=AXIS2_PLACEMENT_3D('',#504208,#412203,#412204); #349782=AXIS2_PLACEMENT_3D('',#504214,#412208,#412209); #349783=AXIS2_PLACEMENT_3D('',#504220,#412213,#412214); #349784=AXIS2_PLACEMENT_3D('',#504226,#412218,#412219); #349785=AXIS2_PLACEMENT_3D('',#504232,#412223,#412224); #349786=AXIS2_PLACEMENT_3D('',#504238,#412228,#412229); #349787=AXIS2_PLACEMENT_3D('',#504244,#412233,#412234); #349788=AXIS2_PLACEMENT_3D('',#504250,#412238,#412239); #349789=AXIS2_PLACEMENT_3D('',#504256,#412243,#412244); #349790=AXIS2_PLACEMENT_3D('',#504262,#412248,#412249); #349791=AXIS2_PLACEMENT_3D('',#504268,#412253,#412254); #349792=AXIS2_PLACEMENT_3D('',#504274,#412258,#412259); #349793=AXIS2_PLACEMENT_3D('',#504280,#412263,#412264); #349794=AXIS2_PLACEMENT_3D('',#504286,#412268,#412269); #349795=AXIS2_PLACEMENT_3D('',#504292,#412273,#412274); #349796=AXIS2_PLACEMENT_3D('',#504298,#412278,#412279); #349797=AXIS2_PLACEMENT_3D('',#504304,#412283,#412284); #349798=AXIS2_PLACEMENT_3D('',#504307,#412287,#412288); #349799=AXIS2_PLACEMENT_3D('',#504316,#412293,#412294); #349800=AXIS2_PLACEMENT_3D('',#504322,#412298,#412299); #349801=AXIS2_PLACEMENT_3D('',#504328,#412303,#412304); #349802=AXIS2_PLACEMENT_3D('',#504334,#412308,#412309); #349803=AXIS2_PLACEMENT_3D('',#504340,#412313,#412314); #349804=AXIS2_PLACEMENT_3D('',#504346,#412318,#412319); #349805=AXIS2_PLACEMENT_3D('',#504352,#412323,#412324); #349806=AXIS2_PLACEMENT_3D('',#504358,#412328,#412329); #349807=AXIS2_PLACEMENT_3D('',#504364,#412333,#412334); #349808=AXIS2_PLACEMENT_3D('',#504370,#412338,#412339); #349809=AXIS2_PLACEMENT_3D('',#504376,#412343,#412344); #349810=AXIS2_PLACEMENT_3D('',#504382,#412348,#412349); #349811=AXIS2_PLACEMENT_3D('',#504388,#412353,#412354); #349812=AXIS2_PLACEMENT_3D('',#504394,#412358,#412359); #349813=AXIS2_PLACEMENT_3D('',#504400,#412363,#412364); #349814=AXIS2_PLACEMENT_3D('',#504403,#412367,#412368); #349815=AXIS2_PLACEMENT_3D('',#504412,#412373,#412374); #349816=AXIS2_PLACEMENT_3D('',#504418,#412378,#412379); #349817=AXIS2_PLACEMENT_3D('',#504424,#412383,#412384); #349818=AXIS2_PLACEMENT_3D('',#504430,#412388,#412389); #349819=AXIS2_PLACEMENT_3D('',#504436,#412393,#412394); #349820=AXIS2_PLACEMENT_3D('',#504442,#412398,#412399); #349821=AXIS2_PLACEMENT_3D('',#504448,#412403,#412404); #349822=AXIS2_PLACEMENT_3D('',#504454,#412408,#412409); #349823=AXIS2_PLACEMENT_3D('',#504460,#412413,#412414); #349824=AXIS2_PLACEMENT_3D('',#504466,#412418,#412419); #349825=AXIS2_PLACEMENT_3D('',#504472,#412423,#412424); #349826=AXIS2_PLACEMENT_3D('',#504478,#412428,#412429); #349827=AXIS2_PLACEMENT_3D('',#504484,#412433,#412434); #349828=AXIS2_PLACEMENT_3D('',#504490,#412438,#412439); #349829=AXIS2_PLACEMENT_3D('',#504496,#412443,#412444); #349830=AXIS2_PLACEMENT_3D('',#504502,#412448,#412449); #349831=AXIS2_PLACEMENT_3D('',#504508,#412453,#412454); #349832=AXIS2_PLACEMENT_3D('',#504514,#412458,#412459); #349833=AXIS2_PLACEMENT_3D('',#504520,#412463,#412464); #349834=AXIS2_PLACEMENT_3D('',#504526,#412468,#412469); #349835=AXIS2_PLACEMENT_3D('',#504532,#412473,#412474); #349836=AXIS2_PLACEMENT_3D('',#504538,#412478,#412479); #349837=AXIS2_PLACEMENT_3D('',#504544,#412483,#412484); #349838=AXIS2_PLACEMENT_3D('',#504550,#412488,#412489); #349839=AXIS2_PLACEMENT_3D('',#504556,#412493,#412494); #349840=AXIS2_PLACEMENT_3D('',#504562,#412498,#412499); #349841=AXIS2_PLACEMENT_3D('',#504568,#412503,#412504); #349842=AXIS2_PLACEMENT_3D('',#504574,#412508,#412509); #349843=AXIS2_PLACEMENT_3D('',#504580,#412513,#412514); #349844=AXIS2_PLACEMENT_3D('',#504586,#412518,#412519); #349845=AXIS2_PLACEMENT_3D('',#504592,#412523,#412524); #349846=AXIS2_PLACEMENT_3D('',#504598,#412528,#412529); #349847=AXIS2_PLACEMENT_3D('',#504604,#412533,#412534); #349848=AXIS2_PLACEMENT_3D('',#504610,#412538,#412539); #349849=AXIS2_PLACEMENT_3D('',#504616,#412543,#412544); #349850=AXIS2_PLACEMENT_3D('',#504622,#412548,#412549); #349851=AXIS2_PLACEMENT_3D('',#504628,#412553,#412554); #349852=AXIS2_PLACEMENT_3D('',#504634,#412558,#412559); #349853=AXIS2_PLACEMENT_3D('',#504640,#412563,#412564); #349854=AXIS2_PLACEMENT_3D('',#504646,#412568,#412569); #349855=AXIS2_PLACEMENT_3D('',#504652,#412573,#412574); #349856=AXIS2_PLACEMENT_3D('',#504658,#412578,#412579); #349857=AXIS2_PLACEMENT_3D('',#504664,#412583,#412584); #349858=AXIS2_PLACEMENT_3D('',#504670,#412588,#412589); #349859=AXIS2_PLACEMENT_3D('',#504676,#412593,#412594); #349860=AXIS2_PLACEMENT_3D('',#504682,#412598,#412599); #349861=AXIS2_PLACEMENT_3D('',#504688,#412603,#412604); #349862=AXIS2_PLACEMENT_3D('',#504694,#412608,#412609); #349863=AXIS2_PLACEMENT_3D('',#504700,#412613,#412614); #349864=AXIS2_PLACEMENT_3D('',#504706,#412618,#412619); #349865=AXIS2_PLACEMENT_3D('',#504712,#412623,#412624); #349866=AXIS2_PLACEMENT_3D('',#504718,#412628,#412629); #349867=AXIS2_PLACEMENT_3D('',#504724,#412633,#412634); #349868=AXIS2_PLACEMENT_3D('',#504730,#412638,#412639); #349869=AXIS2_PLACEMENT_3D('',#504736,#412643,#412644); #349870=AXIS2_PLACEMENT_3D('',#504742,#412648,#412649); #349871=AXIS2_PLACEMENT_3D('',#504748,#412653,#412654); #349872=AXIS2_PLACEMENT_3D('',#504754,#412658,#412659); #349873=AXIS2_PLACEMENT_3D('',#504760,#412663,#412664); #349874=AXIS2_PLACEMENT_3D('',#504766,#412668,#412669); #349875=AXIS2_PLACEMENT_3D('',#504772,#412673,#412674); #349876=AXIS2_PLACEMENT_3D('',#504778,#412678,#412679); #349877=AXIS2_PLACEMENT_3D('',#504784,#412683,#412684); #349878=AXIS2_PLACEMENT_3D('',#504790,#412688,#412689); #349879=AXIS2_PLACEMENT_3D('',#504796,#412693,#412694); #349880=AXIS2_PLACEMENT_3D('',#504802,#412698,#412699); #349881=AXIS2_PLACEMENT_3D('',#504808,#412703,#412704); #349882=AXIS2_PLACEMENT_3D('',#504814,#412708,#412709); #349883=AXIS2_PLACEMENT_3D('',#504820,#412713,#412714); #349884=AXIS2_PLACEMENT_3D('',#504826,#412718,#412719); #349885=AXIS2_PLACEMENT_3D('',#504832,#412723,#412724); #349886=AXIS2_PLACEMENT_3D('',#504838,#412728,#412729); #349887=AXIS2_PLACEMENT_3D('',#504844,#412733,#412734); #349888=AXIS2_PLACEMENT_3D('',#504850,#412738,#412739); #349889=AXIS2_PLACEMENT_3D('',#504856,#412743,#412744); #349890=AXIS2_PLACEMENT_3D('',#504862,#412748,#412749); #349891=AXIS2_PLACEMENT_3D('',#504868,#412753,#412754); #349892=AXIS2_PLACEMENT_3D('',#504874,#412758,#412759); #349893=AXIS2_PLACEMENT_3D('',#504880,#412763,#412764); #349894=AXIS2_PLACEMENT_3D('',#504886,#412768,#412769); #349895=AXIS2_PLACEMENT_3D('',#504892,#412773,#412774); #349896=AXIS2_PLACEMENT_3D('',#504898,#412778,#412779); #349897=AXIS2_PLACEMENT_3D('',#504904,#412783,#412784); #349898=AXIS2_PLACEMENT_3D('',#504910,#412788,#412789); #349899=AXIS2_PLACEMENT_3D('',#504916,#412793,#412794); #349900=AXIS2_PLACEMENT_3D('',#504922,#412798,#412799); #349901=AXIS2_PLACEMENT_3D('',#504928,#412803,#412804); #349902=AXIS2_PLACEMENT_3D('',#504934,#412808,#412809); #349903=AXIS2_PLACEMENT_3D('',#504940,#412813,#412814); #349904=AXIS2_PLACEMENT_3D('',#504946,#412818,#412819); #349905=AXIS2_PLACEMENT_3D('',#504952,#412823,#412824); #349906=AXIS2_PLACEMENT_3D('',#504958,#412828,#412829); #349907=AXIS2_PLACEMENT_3D('',#504964,#412833,#412834); #349908=AXIS2_PLACEMENT_3D('',#504970,#412838,#412839); #349909=AXIS2_PLACEMENT_3D('',#504976,#412843,#412844); #349910=AXIS2_PLACEMENT_3D('',#504982,#412848,#412849); #349911=AXIS2_PLACEMENT_3D('',#504988,#412853,#412854); #349912=AXIS2_PLACEMENT_3D('',#504994,#412858,#412859); #349913=AXIS2_PLACEMENT_3D('',#505000,#412863,#412864); #349914=AXIS2_PLACEMENT_3D('',#505006,#412868,#412869); #349915=AXIS2_PLACEMENT_3D('',#505012,#412873,#412874); #349916=AXIS2_PLACEMENT_3D('',#505018,#412878,#412879); #349917=AXIS2_PLACEMENT_3D('',#505024,#412883,#412884); #349918=AXIS2_PLACEMENT_3D('',#505030,#412888,#412889); #349919=AXIS2_PLACEMENT_3D('',#505036,#412893,#412894); #349920=AXIS2_PLACEMENT_3D('',#505042,#412898,#412899); #349921=AXIS2_PLACEMENT_3D('',#505048,#412903,#412904); #349922=AXIS2_PLACEMENT_3D('',#505054,#412908,#412909); #349923=AXIS2_PLACEMENT_3D('',#505060,#412913,#412914); #349924=AXIS2_PLACEMENT_3D('',#505066,#412918,#412919); #349925=AXIS2_PLACEMENT_3D('',#505072,#412923,#412924); #349926=AXIS2_PLACEMENT_3D('',#505078,#412928,#412929); #349927=AXIS2_PLACEMENT_3D('',#505084,#412933,#412934); #349928=AXIS2_PLACEMENT_3D('',#505090,#412938,#412939); #349929=AXIS2_PLACEMENT_3D('',#505096,#412943,#412944); #349930=AXIS2_PLACEMENT_3D('',#505102,#412948,#412949); #349931=AXIS2_PLACEMENT_3D('',#505108,#412953,#412954); #349932=AXIS2_PLACEMENT_3D('',#505114,#412958,#412959); #349933=AXIS2_PLACEMENT_3D('',#505120,#412963,#412964); #349934=AXIS2_PLACEMENT_3D('',#505126,#412968,#412969); #349935=AXIS2_PLACEMENT_3D('',#505132,#412973,#412974); #349936=AXIS2_PLACEMENT_3D('',#505138,#412978,#412979); #349937=AXIS2_PLACEMENT_3D('',#505144,#412983,#412984); #349938=AXIS2_PLACEMENT_3D('',#505150,#412988,#412989); #349939=AXIS2_PLACEMENT_3D('',#505156,#412993,#412994); #349940=AXIS2_PLACEMENT_3D('',#505162,#412998,#412999); #349941=AXIS2_PLACEMENT_3D('',#505168,#413003,#413004); #349942=AXIS2_PLACEMENT_3D('',#505174,#413008,#413009); #349943=AXIS2_PLACEMENT_3D('',#505180,#413013,#413014); #349944=AXIS2_PLACEMENT_3D('',#505186,#413018,#413019); #349945=AXIS2_PLACEMENT_3D('',#505192,#413023,#413024); #349946=AXIS2_PLACEMENT_3D('',#505198,#413028,#413029); #349947=AXIS2_PLACEMENT_3D('',#505204,#413033,#413034); #349948=AXIS2_PLACEMENT_3D('',#505210,#413038,#413039); #349949=AXIS2_PLACEMENT_3D('',#505216,#413043,#413044); #349950=AXIS2_PLACEMENT_3D('',#505222,#413048,#413049); #349951=AXIS2_PLACEMENT_3D('',#505228,#413053,#413054); #349952=AXIS2_PLACEMENT_3D('',#505234,#413058,#413059); #349953=AXIS2_PLACEMENT_3D('',#505240,#413063,#413064); #349954=AXIS2_PLACEMENT_3D('',#505246,#413068,#413069); #349955=AXIS2_PLACEMENT_3D('',#505252,#413073,#413074); #349956=AXIS2_PLACEMENT_3D('',#505258,#413078,#413079); #349957=AXIS2_PLACEMENT_3D('',#505264,#413083,#413084); #349958=AXIS2_PLACEMENT_3D('',#505270,#413088,#413089); #349959=AXIS2_PLACEMENT_3D('',#505276,#413093,#413094); #349960=AXIS2_PLACEMENT_3D('',#505282,#413098,#413099); #349961=AXIS2_PLACEMENT_3D('',#505288,#413103,#413104); #349962=AXIS2_PLACEMENT_3D('',#505294,#413108,#413109); #349963=AXIS2_PLACEMENT_3D('',#505300,#413113,#413114); #349964=AXIS2_PLACEMENT_3D('',#505306,#413118,#413119); #349965=AXIS2_PLACEMENT_3D('',#505312,#413123,#413124); #349966=AXIS2_PLACEMENT_3D('',#505318,#413128,#413129); #349967=AXIS2_PLACEMENT_3D('',#505324,#413133,#413134); #349968=AXIS2_PLACEMENT_3D('',#505330,#413138,#413139); #349969=AXIS2_PLACEMENT_3D('',#505336,#413143,#413144); #349970=AXIS2_PLACEMENT_3D('',#505342,#413148,#413149); #349971=AXIS2_PLACEMENT_3D('',#505348,#413153,#413154); #349972=AXIS2_PLACEMENT_3D('',#505354,#413158,#413159); #349973=AXIS2_PLACEMENT_3D('',#505360,#413163,#413164); #349974=AXIS2_PLACEMENT_3D('',#505366,#413168,#413169); #349975=AXIS2_PLACEMENT_3D('',#505372,#413173,#413174); #349976=AXIS2_PLACEMENT_3D('',#505378,#413178,#413179); #349977=AXIS2_PLACEMENT_3D('',#505384,#413183,#413184); #349978=AXIS2_PLACEMENT_3D('',#505390,#413188,#413189); #349979=AXIS2_PLACEMENT_3D('',#505396,#413193,#413194); #349980=AXIS2_PLACEMENT_3D('',#505402,#413198,#413199); #349981=AXIS2_PLACEMENT_3D('',#505408,#413203,#413204); #349982=AXIS2_PLACEMENT_3D('',#505414,#413208,#413209); #349983=AXIS2_PLACEMENT_3D('',#505420,#413213,#413214); #349984=AXIS2_PLACEMENT_3D('',#505426,#413218,#413219); #349985=AXIS2_PLACEMENT_3D('',#505432,#413223,#413224); #349986=AXIS2_PLACEMENT_3D('',#505438,#413228,#413229); #349987=AXIS2_PLACEMENT_3D('',#505444,#413233,#413234); #349988=AXIS2_PLACEMENT_3D('',#505450,#413238,#413239); #349989=AXIS2_PLACEMENT_3D('',#505456,#413243,#413244); #349990=AXIS2_PLACEMENT_3D('',#505462,#413248,#413249); #349991=AXIS2_PLACEMENT_3D('',#505468,#413253,#413254); #349992=AXIS2_PLACEMENT_3D('',#505474,#413258,#413259); #349993=AXIS2_PLACEMENT_3D('',#505480,#413263,#413264); #349994=AXIS2_PLACEMENT_3D('',#505486,#413268,#413269); #349995=AXIS2_PLACEMENT_3D('',#505492,#413273,#413274); #349996=AXIS2_PLACEMENT_3D('',#505498,#413278,#413279); #349997=AXIS2_PLACEMENT_3D('',#505504,#413283,#413284); #349998=AXIS2_PLACEMENT_3D('',#505510,#413288,#413289); #349999=AXIS2_PLACEMENT_3D('',#505516,#413293,#413294); #350000=AXIS2_PLACEMENT_3D('',#505522,#413298,#413299); #350001=AXIS2_PLACEMENT_3D('',#505528,#413303,#413304); #350002=AXIS2_PLACEMENT_3D('',#505534,#413308,#413309); #350003=AXIS2_PLACEMENT_3D('',#505540,#413313,#413314); #350004=AXIS2_PLACEMENT_3D('',#505546,#413318,#413319); #350005=AXIS2_PLACEMENT_3D('',#505552,#413323,#413324); #350006=AXIS2_PLACEMENT_3D('',#505558,#413328,#413329); #350007=AXIS2_PLACEMENT_3D('',#505564,#413333,#413334); #350008=AXIS2_PLACEMENT_3D('',#505570,#413338,#413339); #350009=AXIS2_PLACEMENT_3D('',#505576,#413343,#413344); #350010=AXIS2_PLACEMENT_3D('',#505582,#413348,#413349); #350011=AXIS2_PLACEMENT_3D('',#505588,#413353,#413354); #350012=AXIS2_PLACEMENT_3D('',#505594,#413358,#413359); #350013=AXIS2_PLACEMENT_3D('',#505600,#413363,#413364); #350014=AXIS2_PLACEMENT_3D('',#505606,#413368,#413369); #350015=AXIS2_PLACEMENT_3D('',#505612,#413373,#413374); #350016=AXIS2_PLACEMENT_3D('',#505618,#413378,#413379); #350017=AXIS2_PLACEMENT_3D('',#505624,#413383,#413384); #350018=AXIS2_PLACEMENT_3D('',#505630,#413388,#413389); #350019=AXIS2_PLACEMENT_3D('',#505636,#413393,#413394); #350020=AXIS2_PLACEMENT_3D('',#505642,#413398,#413399); #350021=AXIS2_PLACEMENT_3D('',#505648,#413403,#413404); #350022=AXIS2_PLACEMENT_3D('',#505654,#413408,#413409); #350023=AXIS2_PLACEMENT_3D('',#505660,#413413,#413414); #350024=AXIS2_PLACEMENT_3D('',#505666,#413418,#413419); #350025=AXIS2_PLACEMENT_3D('',#505672,#413423,#413424); #350026=AXIS2_PLACEMENT_3D('',#505678,#413428,#413429); #350027=AXIS2_PLACEMENT_3D('',#505684,#413433,#413434); #350028=AXIS2_PLACEMENT_3D('',#505690,#413438,#413439); #350029=AXIS2_PLACEMENT_3D('',#505696,#413443,#413444); #350030=AXIS2_PLACEMENT_3D('',#505702,#413448,#413449); #350031=AXIS2_PLACEMENT_3D('',#505708,#413453,#413454); #350032=AXIS2_PLACEMENT_3D('',#505714,#413458,#413459); #350033=AXIS2_PLACEMENT_3D('',#505720,#413463,#413464); #350034=AXIS2_PLACEMENT_3D('',#505726,#413468,#413469); #350035=AXIS2_PLACEMENT_3D('',#505732,#413473,#413474); #350036=AXIS2_PLACEMENT_3D('',#505738,#413478,#413479); #350037=AXIS2_PLACEMENT_3D('',#505744,#413483,#413484); #350038=AXIS2_PLACEMENT_3D('',#505750,#413488,#413489); #350039=AXIS2_PLACEMENT_3D('',#505756,#413493,#413494); #350040=AXIS2_PLACEMENT_3D('',#505762,#413498,#413499); #350041=AXIS2_PLACEMENT_3D('',#505768,#413503,#413504); #350042=AXIS2_PLACEMENT_3D('',#505774,#413508,#413509); #350043=AXIS2_PLACEMENT_3D('',#505780,#413513,#413514); #350044=AXIS2_PLACEMENT_3D('',#505786,#413518,#413519); #350045=AXIS2_PLACEMENT_3D('',#505792,#413523,#413524); #350046=AXIS2_PLACEMENT_3D('',#505798,#413528,#413529); #350047=AXIS2_PLACEMENT_3D('',#505804,#413533,#413534); #350048=AXIS2_PLACEMENT_3D('',#505810,#413538,#413539); #350049=AXIS2_PLACEMENT_3D('',#505816,#413543,#413544); #350050=AXIS2_PLACEMENT_3D('',#505822,#413548,#413549); #350051=AXIS2_PLACEMENT_3D('',#505828,#413553,#413554); #350052=AXIS2_PLACEMENT_3D('',#505834,#413558,#413559); #350053=AXIS2_PLACEMENT_3D('',#505840,#413563,#413564); #350054=AXIS2_PLACEMENT_3D('',#505846,#413568,#413569); #350055=AXIS2_PLACEMENT_3D('',#505852,#413573,#413574); #350056=AXIS2_PLACEMENT_3D('',#505858,#413578,#413579); #350057=AXIS2_PLACEMENT_3D('',#505864,#413583,#413584); #350058=AXIS2_PLACEMENT_3D('',#505870,#413588,#413589); #350059=AXIS2_PLACEMENT_3D('',#505876,#413593,#413594); #350060=AXIS2_PLACEMENT_3D('',#505882,#413598,#413599); #350061=AXIS2_PLACEMENT_3D('',#505888,#413603,#413604); #350062=AXIS2_PLACEMENT_3D('',#505894,#413608,#413609); #350063=AXIS2_PLACEMENT_3D('',#505900,#413613,#413614); #350064=AXIS2_PLACEMENT_3D('',#505906,#413618,#413619); #350065=AXIS2_PLACEMENT_3D('',#505912,#413623,#413624); #350066=AXIS2_PLACEMENT_3D('',#505918,#413628,#413629); #350067=AXIS2_PLACEMENT_3D('',#505924,#413633,#413634); #350068=AXIS2_PLACEMENT_3D('',#505930,#413638,#413639); #350069=AXIS2_PLACEMENT_3D('',#505936,#413643,#413644); #350070=AXIS2_PLACEMENT_3D('',#505942,#413648,#413649); #350071=AXIS2_PLACEMENT_3D('',#505948,#413653,#413654); #350072=AXIS2_PLACEMENT_3D('',#505954,#413658,#413659); #350073=AXIS2_PLACEMENT_3D('',#505960,#413663,#413664); #350074=AXIS2_PLACEMENT_3D('',#505966,#413668,#413669); #350075=AXIS2_PLACEMENT_3D('',#505972,#413673,#413674); #350076=AXIS2_PLACEMENT_3D('',#505978,#413678,#413679); #350077=AXIS2_PLACEMENT_3D('',#505984,#413683,#413684); #350078=AXIS2_PLACEMENT_3D('',#505990,#413688,#413689); #350079=AXIS2_PLACEMENT_3D('',#505996,#413693,#413694); #350080=AXIS2_PLACEMENT_3D('',#506002,#413698,#413699); #350081=AXIS2_PLACEMENT_3D('',#506008,#413703,#413704); #350082=AXIS2_PLACEMENT_3D('',#506014,#413708,#413709); #350083=AXIS2_PLACEMENT_3D('',#506020,#413713,#413714); #350084=AXIS2_PLACEMENT_3D('',#506026,#413718,#413719); #350085=AXIS2_PLACEMENT_3D('',#506032,#413723,#413724); #350086=AXIS2_PLACEMENT_3D('',#506038,#413728,#413729); #350087=AXIS2_PLACEMENT_3D('',#506044,#413733,#413734); #350088=AXIS2_PLACEMENT_3D('',#506050,#413738,#413739); #350089=AXIS2_PLACEMENT_3D('',#506056,#413743,#413744); #350090=AXIS2_PLACEMENT_3D('',#506062,#413748,#413749); #350091=AXIS2_PLACEMENT_3D('',#506068,#413753,#413754); #350092=AXIS2_PLACEMENT_3D('',#506074,#413758,#413759); #350093=AXIS2_PLACEMENT_3D('',#506080,#413763,#413764); #350094=AXIS2_PLACEMENT_3D('',#506086,#413768,#413769); #350095=AXIS2_PLACEMENT_3D('',#506092,#413773,#413774); #350096=AXIS2_PLACEMENT_3D('',#506098,#413778,#413779); #350097=AXIS2_PLACEMENT_3D('',#506104,#413783,#413784); #350098=AXIS2_PLACEMENT_3D('',#506110,#413788,#413789); #350099=AXIS2_PLACEMENT_3D('',#506116,#413793,#413794); #350100=AXIS2_PLACEMENT_3D('',#506122,#413798,#413799); #350101=AXIS2_PLACEMENT_3D('',#506128,#413803,#413804); #350102=AXIS2_PLACEMENT_3D('',#506134,#413808,#413809); #350103=AXIS2_PLACEMENT_3D('',#506140,#413813,#413814); #350104=AXIS2_PLACEMENT_3D('',#506146,#413818,#413819); #350105=AXIS2_PLACEMENT_3D('',#506152,#413823,#413824); #350106=AXIS2_PLACEMENT_3D('',#506158,#413828,#413829); #350107=AXIS2_PLACEMENT_3D('',#506164,#413833,#413834); #350108=AXIS2_PLACEMENT_3D('',#506170,#413838,#413839); #350109=AXIS2_PLACEMENT_3D('',#506176,#413843,#413844); #350110=AXIS2_PLACEMENT_3D('',#506182,#413848,#413849); #350111=AXIS2_PLACEMENT_3D('',#506188,#413853,#413854); #350112=AXIS2_PLACEMENT_3D('',#506194,#413858,#413859); #350113=AXIS2_PLACEMENT_3D('',#506200,#413863,#413864); #350114=AXIS2_PLACEMENT_3D('',#506206,#413868,#413869); #350115=AXIS2_PLACEMENT_3D('',#506212,#413873,#413874); #350116=AXIS2_PLACEMENT_3D('',#506218,#413878,#413879); #350117=AXIS2_PLACEMENT_3D('',#506224,#413883,#413884); #350118=AXIS2_PLACEMENT_3D('',#506230,#413888,#413889); #350119=AXIS2_PLACEMENT_3D('',#506236,#413893,#413894); #350120=AXIS2_PLACEMENT_3D('',#506242,#413898,#413899); #350121=AXIS2_PLACEMENT_3D('',#506248,#413903,#413904); #350122=AXIS2_PLACEMENT_3D('',#506254,#413908,#413909); #350123=AXIS2_PLACEMENT_3D('',#506260,#413913,#413914); #350124=AXIS2_PLACEMENT_3D('',#506266,#413918,#413919); #350125=AXIS2_PLACEMENT_3D('',#506272,#413923,#413924); #350126=AXIS2_PLACEMENT_3D('',#506278,#413928,#413929); #350127=AXIS2_PLACEMENT_3D('',#506284,#413933,#413934); #350128=AXIS2_PLACEMENT_3D('',#506290,#413938,#413939); #350129=AXIS2_PLACEMENT_3D('',#506296,#413943,#413944); #350130=AXIS2_PLACEMENT_3D('',#506302,#413948,#413949); #350131=AXIS2_PLACEMENT_3D('',#506308,#413953,#413954); #350132=AXIS2_PLACEMENT_3D('',#506314,#413958,#413959); #350133=AXIS2_PLACEMENT_3D('',#506320,#413963,#413964); #350134=AXIS2_PLACEMENT_3D('',#506326,#413968,#413969); #350135=AXIS2_PLACEMENT_3D('',#506332,#413973,#413974); #350136=AXIS2_PLACEMENT_3D('',#506338,#413978,#413979); #350137=AXIS2_PLACEMENT_3D('',#506344,#413983,#413984); #350138=AXIS2_PLACEMENT_3D('',#506350,#413988,#413989); #350139=AXIS2_PLACEMENT_3D('',#506356,#413993,#413994); #350140=AXIS2_PLACEMENT_3D('',#506362,#413998,#413999); #350141=AXIS2_PLACEMENT_3D('',#506368,#414003,#414004); #350142=AXIS2_PLACEMENT_3D('',#506374,#414008,#414009); #350143=AXIS2_PLACEMENT_3D('',#506380,#414013,#414014); #350144=AXIS2_PLACEMENT_3D('',#506386,#414018,#414019); #350145=AXIS2_PLACEMENT_3D('',#506392,#414023,#414024); #350146=AXIS2_PLACEMENT_3D('',#506398,#414028,#414029); #350147=AXIS2_PLACEMENT_3D('',#506404,#414033,#414034); #350148=AXIS2_PLACEMENT_3D('',#506410,#414038,#414039); #350149=AXIS2_PLACEMENT_3D('',#506416,#414043,#414044); #350150=AXIS2_PLACEMENT_3D('',#506422,#414048,#414049); #350151=AXIS2_PLACEMENT_3D('',#506428,#414053,#414054); #350152=AXIS2_PLACEMENT_3D('',#506434,#414058,#414059); #350153=AXIS2_PLACEMENT_3D('',#506440,#414063,#414064); #350154=AXIS2_PLACEMENT_3D('',#506446,#414068,#414069); #350155=AXIS2_PLACEMENT_3D('',#506452,#414073,#414074); #350156=AXIS2_PLACEMENT_3D('',#506458,#414078,#414079); #350157=AXIS2_PLACEMENT_3D('',#506464,#414083,#414084); #350158=AXIS2_PLACEMENT_3D('',#506470,#414088,#414089); #350159=AXIS2_PLACEMENT_3D('',#506476,#414093,#414094); #350160=AXIS2_PLACEMENT_3D('',#506482,#414098,#414099); #350161=AXIS2_PLACEMENT_3D('',#506488,#414103,#414104); #350162=AXIS2_PLACEMENT_3D('',#506494,#414108,#414109); #350163=AXIS2_PLACEMENT_3D('',#506500,#414113,#414114); #350164=AXIS2_PLACEMENT_3D('',#506506,#414118,#414119); #350165=AXIS2_PLACEMENT_3D('',#506512,#414123,#414124); #350166=AXIS2_PLACEMENT_3D('',#506518,#414128,#414129); #350167=AXIS2_PLACEMENT_3D('',#506524,#414133,#414134); #350168=AXIS2_PLACEMENT_3D('',#506530,#414138,#414139); #350169=AXIS2_PLACEMENT_3D('',#506536,#414143,#414144); #350170=AXIS2_PLACEMENT_3D('',#506542,#414148,#414149); #350171=AXIS2_PLACEMENT_3D('',#506548,#414153,#414154); #350172=AXIS2_PLACEMENT_3D('',#506554,#414158,#414159); #350173=AXIS2_PLACEMENT_3D('',#506560,#414163,#414164); #350174=AXIS2_PLACEMENT_3D('',#506566,#414168,#414169); #350175=AXIS2_PLACEMENT_3D('',#506572,#414173,#414174); #350176=AXIS2_PLACEMENT_3D('',#506578,#414178,#414179); #350177=AXIS2_PLACEMENT_3D('',#506584,#414183,#414184); #350178=AXIS2_PLACEMENT_3D('',#506590,#414188,#414189); #350179=AXIS2_PLACEMENT_3D('',#506596,#414193,#414194); #350180=AXIS2_PLACEMENT_3D('',#506602,#414198,#414199); #350181=AXIS2_PLACEMENT_3D('',#506608,#414203,#414204); #350182=AXIS2_PLACEMENT_3D('',#506614,#414208,#414209); #350183=AXIS2_PLACEMENT_3D('',#506620,#414213,#414214); #350184=AXIS2_PLACEMENT_3D('',#506626,#414218,#414219); #350185=AXIS2_PLACEMENT_3D('',#506632,#414223,#414224); #350186=AXIS2_PLACEMENT_3D('',#506638,#414228,#414229); #350187=AXIS2_PLACEMENT_3D('',#506644,#414233,#414234); #350188=AXIS2_PLACEMENT_3D('',#506650,#414238,#414239); #350189=AXIS2_PLACEMENT_3D('',#506656,#414243,#414244); #350190=AXIS2_PLACEMENT_3D('',#506662,#414248,#414249); #350191=AXIS2_PLACEMENT_3D('',#506668,#414253,#414254); #350192=AXIS2_PLACEMENT_3D('',#506674,#414258,#414259); #350193=AXIS2_PLACEMENT_3D('',#506680,#414263,#414264); #350194=AXIS2_PLACEMENT_3D('',#506686,#414268,#414269); #350195=AXIS2_PLACEMENT_3D('',#506692,#414273,#414274); #350196=AXIS2_PLACEMENT_3D('',#506698,#414278,#414279); #350197=AXIS2_PLACEMENT_3D('',#506704,#414283,#414284); #350198=AXIS2_PLACEMENT_3D('',#506710,#414288,#414289); #350199=AXIS2_PLACEMENT_3D('',#506716,#414293,#414294); #350200=AXIS2_PLACEMENT_3D('',#506722,#414298,#414299); #350201=AXIS2_PLACEMENT_3D('',#506728,#414303,#414304); #350202=AXIS2_PLACEMENT_3D('',#506734,#414308,#414309); #350203=AXIS2_PLACEMENT_3D('',#506740,#414313,#414314); #350204=AXIS2_PLACEMENT_3D('',#506746,#414318,#414319); #350205=AXIS2_PLACEMENT_3D('',#506752,#414323,#414324); #350206=AXIS2_PLACEMENT_3D('',#506758,#414328,#414329); #350207=AXIS2_PLACEMENT_3D('',#506764,#414333,#414334); #350208=AXIS2_PLACEMENT_3D('',#506770,#414338,#414339); #350209=AXIS2_PLACEMENT_3D('',#506776,#414343,#414344); #350210=AXIS2_PLACEMENT_3D('',#506782,#414348,#414349); #350211=AXIS2_PLACEMENT_3D('',#506788,#414353,#414354); #350212=AXIS2_PLACEMENT_3D('',#506794,#414358,#414359); #350213=AXIS2_PLACEMENT_3D('',#506800,#414363,#414364); #350214=AXIS2_PLACEMENT_3D('',#506806,#414368,#414369); #350215=AXIS2_PLACEMENT_3D('',#506812,#414373,#414374); #350216=AXIS2_PLACEMENT_3D('',#506818,#414378,#414379); #350217=AXIS2_PLACEMENT_3D('',#506824,#414383,#414384); #350218=AXIS2_PLACEMENT_3D('',#506830,#414388,#414389); #350219=AXIS2_PLACEMENT_3D('',#506836,#414393,#414394); #350220=AXIS2_PLACEMENT_3D('',#506842,#414398,#414399); #350221=AXIS2_PLACEMENT_3D('',#506848,#414403,#414404); #350222=AXIS2_PLACEMENT_3D('',#506854,#414408,#414409); #350223=AXIS2_PLACEMENT_3D('',#506860,#414413,#414414); #350224=AXIS2_PLACEMENT_3D('',#506866,#414418,#414419); #350225=AXIS2_PLACEMENT_3D('',#506872,#414423,#414424); #350226=AXIS2_PLACEMENT_3D('',#506878,#414428,#414429); #350227=AXIS2_PLACEMENT_3D('',#506884,#414433,#414434); #350228=AXIS2_PLACEMENT_3D('',#506890,#414438,#414439); #350229=AXIS2_PLACEMENT_3D('',#506896,#414443,#414444); #350230=AXIS2_PLACEMENT_3D('',#506902,#414448,#414449); #350231=AXIS2_PLACEMENT_3D('',#506908,#414453,#414454); #350232=AXIS2_PLACEMENT_3D('',#506914,#414458,#414459); #350233=AXIS2_PLACEMENT_3D('',#506920,#414463,#414464); #350234=AXIS2_PLACEMENT_3D('',#506926,#414468,#414469); #350235=AXIS2_PLACEMENT_3D('',#506932,#414473,#414474); #350236=AXIS2_PLACEMENT_3D('',#506938,#414478,#414479); #350237=AXIS2_PLACEMENT_3D('',#506944,#414483,#414484); #350238=AXIS2_PLACEMENT_3D('',#506950,#414488,#414489); #350239=AXIS2_PLACEMENT_3D('',#506956,#414493,#414494); #350240=AXIS2_PLACEMENT_3D('',#506962,#414498,#414499); #350241=AXIS2_PLACEMENT_3D('',#506968,#414503,#414504); #350242=AXIS2_PLACEMENT_3D('',#506974,#414508,#414509); #350243=AXIS2_PLACEMENT_3D('',#506980,#414513,#414514); #350244=AXIS2_PLACEMENT_3D('',#506986,#414518,#414519); #350245=AXIS2_PLACEMENT_3D('',#506992,#414523,#414524); #350246=AXIS2_PLACEMENT_3D('',#506998,#414528,#414529); #350247=AXIS2_PLACEMENT_3D('',#507004,#414533,#414534); #350248=AXIS2_PLACEMENT_3D('',#507010,#414538,#414539); #350249=AXIS2_PLACEMENT_3D('',#507016,#414543,#414544); #350250=AXIS2_PLACEMENT_3D('',#507022,#414548,#414549); #350251=AXIS2_PLACEMENT_3D('',#507028,#414553,#414554); #350252=AXIS2_PLACEMENT_3D('',#507034,#414558,#414559); #350253=AXIS2_PLACEMENT_3D('',#507040,#414563,#414564); #350254=AXIS2_PLACEMENT_3D('',#507046,#414568,#414569); #350255=AXIS2_PLACEMENT_3D('',#507052,#414573,#414574); #350256=AXIS2_PLACEMENT_3D('',#507058,#414578,#414579); #350257=AXIS2_PLACEMENT_3D('',#507064,#414583,#414584); #350258=AXIS2_PLACEMENT_3D('',#507070,#414588,#414589); #350259=AXIS2_PLACEMENT_3D('',#507076,#414593,#414594); #350260=AXIS2_PLACEMENT_3D('',#507082,#414598,#414599); #350261=AXIS2_PLACEMENT_3D('',#507088,#414603,#414604); #350262=AXIS2_PLACEMENT_3D('',#507094,#414608,#414609); #350263=AXIS2_PLACEMENT_3D('',#507100,#414613,#414614); #350264=AXIS2_PLACEMENT_3D('',#507106,#414618,#414619); #350265=AXIS2_PLACEMENT_3D('',#507112,#414623,#414624); #350266=AXIS2_PLACEMENT_3D('',#507118,#414628,#414629); #350267=AXIS2_PLACEMENT_3D('',#507124,#414633,#414634); #350268=AXIS2_PLACEMENT_3D('',#507130,#414638,#414639); #350269=AXIS2_PLACEMENT_3D('',#507136,#414643,#414644); #350270=AXIS2_PLACEMENT_3D('',#507142,#414648,#414649); #350271=AXIS2_PLACEMENT_3D('',#507148,#414653,#414654); #350272=AXIS2_PLACEMENT_3D('',#507154,#414658,#414659); #350273=AXIS2_PLACEMENT_3D('',#507160,#414663,#414664); #350274=AXIS2_PLACEMENT_3D('',#507166,#414668,#414669); #350275=AXIS2_PLACEMENT_3D('',#507172,#414673,#414674); #350276=AXIS2_PLACEMENT_3D('',#507178,#414678,#414679); #350277=AXIS2_PLACEMENT_3D('',#507184,#414683,#414684); #350278=AXIS2_PLACEMENT_3D('',#507190,#414688,#414689); #350279=AXIS2_PLACEMENT_3D('',#507196,#414693,#414694); #350280=AXIS2_PLACEMENT_3D('',#507202,#414698,#414699); #350281=AXIS2_PLACEMENT_3D('',#507208,#414703,#414704); #350282=AXIS2_PLACEMENT_3D('',#507214,#414708,#414709); #350283=AXIS2_PLACEMENT_3D('',#507220,#414713,#414714); #350284=AXIS2_PLACEMENT_3D('',#507226,#414718,#414719); #350285=AXIS2_PLACEMENT_3D('',#507232,#414723,#414724); #350286=AXIS2_PLACEMENT_3D('',#507238,#414728,#414729); #350287=AXIS2_PLACEMENT_3D('',#507244,#414733,#414734); #350288=AXIS2_PLACEMENT_3D('',#507250,#414738,#414739); #350289=AXIS2_PLACEMENT_3D('',#507256,#414743,#414744); #350290=AXIS2_PLACEMENT_3D('',#507262,#414748,#414749); #350291=AXIS2_PLACEMENT_3D('',#507268,#414753,#414754); #350292=AXIS2_PLACEMENT_3D('',#507274,#414758,#414759); #350293=AXIS2_PLACEMENT_3D('',#507280,#414763,#414764); #350294=AXIS2_PLACEMENT_3D('',#507286,#414768,#414769); #350295=AXIS2_PLACEMENT_3D('',#507292,#414773,#414774); #350296=AXIS2_PLACEMENT_3D('',#507298,#414778,#414779); #350297=AXIS2_PLACEMENT_3D('',#507304,#414783,#414784); #350298=AXIS2_PLACEMENT_3D('',#507310,#414788,#414789); #350299=AXIS2_PLACEMENT_3D('',#507316,#414793,#414794); #350300=AXIS2_PLACEMENT_3D('',#507322,#414798,#414799); #350301=AXIS2_PLACEMENT_3D('',#507328,#414803,#414804); #350302=AXIS2_PLACEMENT_3D('',#507334,#414808,#414809); #350303=AXIS2_PLACEMENT_3D('',#507340,#414813,#414814); #350304=AXIS2_PLACEMENT_3D('',#507346,#414818,#414819); #350305=AXIS2_PLACEMENT_3D('',#507352,#414823,#414824); #350306=AXIS2_PLACEMENT_3D('',#507358,#414828,#414829); #350307=AXIS2_PLACEMENT_3D('',#507364,#414833,#414834); #350308=AXIS2_PLACEMENT_3D('',#507370,#414838,#414839); #350309=AXIS2_PLACEMENT_3D('',#507376,#414843,#414844); #350310=AXIS2_PLACEMENT_3D('',#507382,#414848,#414849); #350311=AXIS2_PLACEMENT_3D('',#507388,#414853,#414854); #350312=AXIS2_PLACEMENT_3D('',#507394,#414858,#414859); #350313=AXIS2_PLACEMENT_3D('',#507400,#414863,#414864); #350314=AXIS2_PLACEMENT_3D('',#507406,#414868,#414869); #350315=AXIS2_PLACEMENT_3D('',#507412,#414873,#414874); #350316=AXIS2_PLACEMENT_3D('',#507418,#414878,#414879); #350317=AXIS2_PLACEMENT_3D('',#507424,#414883,#414884); #350318=AXIS2_PLACEMENT_3D('',#507430,#414888,#414889); #350319=AXIS2_PLACEMENT_3D('',#507436,#414893,#414894); #350320=AXIS2_PLACEMENT_3D('',#507442,#414898,#414899); #350321=AXIS2_PLACEMENT_3D('',#507448,#414903,#414904); #350322=AXIS2_PLACEMENT_3D('',#507454,#414908,#414909); #350323=AXIS2_PLACEMENT_3D('',#507460,#414913,#414914); #350324=AXIS2_PLACEMENT_3D('',#507466,#414918,#414919); #350325=AXIS2_PLACEMENT_3D('',#507472,#414923,#414924); #350326=AXIS2_PLACEMENT_3D('',#507478,#414928,#414929); #350327=AXIS2_PLACEMENT_3D('',#507484,#414933,#414934); #350328=AXIS2_PLACEMENT_3D('',#507490,#414938,#414939); #350329=AXIS2_PLACEMENT_3D('',#507496,#414943,#414944); #350330=AXIS2_PLACEMENT_3D('',#507502,#414948,#414949); #350331=AXIS2_PLACEMENT_3D('',#507505,#414952,#414953); #350332=AXIS2_PLACEMENT_3D('',#507514,#414958,#414959); #350333=AXIS2_PLACEMENT_3D('',#507520,#414963,#414964); #350334=AXIS2_PLACEMENT_3D('',#507526,#414968,#414969); #350335=AXIS2_PLACEMENT_3D('',#507532,#414973,#414974); #350336=AXIS2_PLACEMENT_3D('',#507538,#414978,#414979); #350337=AXIS2_PLACEMENT_3D('',#507544,#414983,#414984); #350338=AXIS2_PLACEMENT_3D('',#507550,#414988,#414989); #350339=AXIS2_PLACEMENT_3D('',#507556,#414993,#414994); #350340=AXIS2_PLACEMENT_3D('',#507562,#414998,#414999); #350341=AXIS2_PLACEMENT_3D('',#507568,#415003,#415004); #350342=AXIS2_PLACEMENT_3D('',#507574,#415008,#415009); #350343=AXIS2_PLACEMENT_3D('',#507580,#415013,#415014); #350344=AXIS2_PLACEMENT_3D('',#507586,#415018,#415019); #350345=AXIS2_PLACEMENT_3D('',#507592,#415023,#415024); #350346=AXIS2_PLACEMENT_3D('',#507598,#415028,#415029); #350347=AXIS2_PLACEMENT_3D('',#507601,#415032,#415033); #350348=AXIS2_PLACEMENT_3D('',#507610,#415038,#415039); #350349=AXIS2_PLACEMENT_3D('',#507616,#415043,#415044); #350350=AXIS2_PLACEMENT_3D('',#507622,#415048,#415049); #350351=AXIS2_PLACEMENT_3D('',#507628,#415053,#415054); #350352=AXIS2_PLACEMENT_3D('',#507634,#415058,#415059); #350353=AXIS2_PLACEMENT_3D('',#507640,#415063,#415064); #350354=AXIS2_PLACEMENT_3D('',#507646,#415068,#415069); #350355=AXIS2_PLACEMENT_3D('',#507652,#415073,#415074); #350356=AXIS2_PLACEMENT_3D('',#507658,#415078,#415079); #350357=AXIS2_PLACEMENT_3D('',#507664,#415083,#415084); #350358=AXIS2_PLACEMENT_3D('',#507670,#415088,#415089); #350359=AXIS2_PLACEMENT_3D('',#507676,#415093,#415094); #350360=AXIS2_PLACEMENT_3D('',#507682,#415098,#415099); #350361=AXIS2_PLACEMENT_3D('',#507688,#415103,#415104); #350362=AXIS2_PLACEMENT_3D('',#507694,#415108,#415109); #350363=AXIS2_PLACEMENT_3D('',#507700,#415113,#415114); #350364=AXIS2_PLACEMENT_3D('',#507706,#415118,#415119); #350365=AXIS2_PLACEMENT_3D('',#507712,#415123,#415124); #350366=AXIS2_PLACEMENT_3D('',#507718,#415128,#415129); #350367=AXIS2_PLACEMENT_3D('',#507724,#415133,#415134); #350368=AXIS2_PLACEMENT_3D('',#507730,#415138,#415139); #350369=AXIS2_PLACEMENT_3D('',#507736,#415143,#415144); #350370=AXIS2_PLACEMENT_3D('',#507742,#415148,#415149); #350371=AXIS2_PLACEMENT_3D('',#507748,#415153,#415154); #350372=AXIS2_PLACEMENT_3D('',#507754,#415158,#415159); #350373=AXIS2_PLACEMENT_3D('',#507760,#415163,#415164); #350374=AXIS2_PLACEMENT_3D('',#507766,#415168,#415169); #350375=AXIS2_PLACEMENT_3D('',#507772,#415173,#415174); #350376=AXIS2_PLACEMENT_3D('',#507778,#415178,#415179); #350377=AXIS2_PLACEMENT_3D('',#507784,#415183,#415184); #350378=AXIS2_PLACEMENT_3D('',#507790,#415188,#415189); #350379=AXIS2_PLACEMENT_3D('',#507796,#415193,#415194); #350380=AXIS2_PLACEMENT_3D('',#507802,#415198,#415199); #350381=AXIS2_PLACEMENT_3D('',#507808,#415203,#415204); #350382=AXIS2_PLACEMENT_3D('',#507814,#415208,#415209); #350383=AXIS2_PLACEMENT_3D('',#507820,#415213,#415214); #350384=AXIS2_PLACEMENT_3D('',#507826,#415218,#415219); #350385=AXIS2_PLACEMENT_3D('',#507832,#415223,#415224); #350386=AXIS2_PLACEMENT_3D('',#507838,#415228,#415229); #350387=AXIS2_PLACEMENT_3D('',#507844,#415233,#415234); #350388=AXIS2_PLACEMENT_3D('',#507850,#415238,#415239); #350389=AXIS2_PLACEMENT_3D('',#507856,#415243,#415244); #350390=AXIS2_PLACEMENT_3D('',#507862,#415248,#415249); #350391=AXIS2_PLACEMENT_3D('',#507868,#415253,#415254); #350392=AXIS2_PLACEMENT_3D('',#507874,#415258,#415259); #350393=AXIS2_PLACEMENT_3D('',#507880,#415263,#415264); #350394=AXIS2_PLACEMENT_3D('',#507886,#415268,#415269); #350395=AXIS2_PLACEMENT_3D('',#507892,#415273,#415274); #350396=AXIS2_PLACEMENT_3D('',#507898,#415278,#415279); #350397=AXIS2_PLACEMENT_3D('',#507904,#415283,#415284); #350398=AXIS2_PLACEMENT_3D('',#507910,#415288,#415289); #350399=AXIS2_PLACEMENT_3D('',#507916,#415293,#415294); #350400=AXIS2_PLACEMENT_3D('',#507922,#415298,#415299); #350401=AXIS2_PLACEMENT_3D('',#507928,#415303,#415304); #350402=AXIS2_PLACEMENT_3D('',#507934,#415308,#415309); #350403=AXIS2_PLACEMENT_3D('',#507940,#415313,#415314); #350404=AXIS2_PLACEMENT_3D('',#507946,#415318,#415319); #350405=AXIS2_PLACEMENT_3D('',#507952,#415323,#415324); #350406=AXIS2_PLACEMENT_3D('',#507958,#415328,#415329); #350407=AXIS2_PLACEMENT_3D('',#507964,#415333,#415334); #350408=AXIS2_PLACEMENT_3D('',#507970,#415338,#415339); #350409=AXIS2_PLACEMENT_3D('',#507976,#415343,#415344); #350410=AXIS2_PLACEMENT_3D('',#507982,#415348,#415349); #350411=AXIS2_PLACEMENT_3D('',#507988,#415353,#415354); #350412=AXIS2_PLACEMENT_3D('',#507994,#415358,#415359); #350413=AXIS2_PLACEMENT_3D('',#508000,#415363,#415364); #350414=AXIS2_PLACEMENT_3D('',#508006,#415368,#415369); #350415=AXIS2_PLACEMENT_3D('',#508012,#415373,#415374); #350416=AXIS2_PLACEMENT_3D('',#508018,#415378,#415379); #350417=AXIS2_PLACEMENT_3D('',#508024,#415383,#415384); #350418=AXIS2_PLACEMENT_3D('',#508030,#415388,#415389); #350419=AXIS2_PLACEMENT_3D('',#508036,#415393,#415394); #350420=AXIS2_PLACEMENT_3D('',#508042,#415398,#415399); #350421=AXIS2_PLACEMENT_3D('',#508048,#415403,#415404); #350422=AXIS2_PLACEMENT_3D('',#508054,#415408,#415409); #350423=AXIS2_PLACEMENT_3D('',#508060,#415413,#415414); #350424=AXIS2_PLACEMENT_3D('',#508066,#415418,#415419); #350425=AXIS2_PLACEMENT_3D('',#508072,#415423,#415424); #350426=AXIS2_PLACEMENT_3D('',#508078,#415428,#415429); #350427=AXIS2_PLACEMENT_3D('',#508084,#415433,#415434); #350428=AXIS2_PLACEMENT_3D('',#508090,#415438,#415439); #350429=AXIS2_PLACEMENT_3D('',#508096,#415443,#415444); #350430=AXIS2_PLACEMENT_3D('',#508102,#415448,#415449); #350431=AXIS2_PLACEMENT_3D('',#508108,#415453,#415454); #350432=AXIS2_PLACEMENT_3D('',#508114,#415458,#415459); #350433=AXIS2_PLACEMENT_3D('',#508120,#415463,#415464); #350434=AXIS2_PLACEMENT_3D('',#508126,#415468,#415469); #350435=AXIS2_PLACEMENT_3D('',#508132,#415473,#415474); #350436=AXIS2_PLACEMENT_3D('',#508138,#415478,#415479); #350437=AXIS2_PLACEMENT_3D('',#508144,#415483,#415484); #350438=AXIS2_PLACEMENT_3D('',#508150,#415488,#415489); #350439=AXIS2_PLACEMENT_3D('',#508156,#415493,#415494); #350440=AXIS2_PLACEMENT_3D('',#508162,#415498,#415499); #350441=AXIS2_PLACEMENT_3D('',#508168,#415503,#415504); #350442=AXIS2_PLACEMENT_3D('',#508174,#415508,#415509); #350443=AXIS2_PLACEMENT_3D('',#508180,#415513,#415514); #350444=AXIS2_PLACEMENT_3D('',#508186,#415518,#415519); #350445=AXIS2_PLACEMENT_3D('',#508192,#415523,#415524); #350446=AXIS2_PLACEMENT_3D('',#508198,#415528,#415529); #350447=AXIS2_PLACEMENT_3D('',#508204,#415533,#415534); #350448=AXIS2_PLACEMENT_3D('',#508210,#415538,#415539); #350449=AXIS2_PLACEMENT_3D('',#508216,#415543,#415544); #350450=AXIS2_PLACEMENT_3D('',#508222,#415548,#415549); #350451=AXIS2_PLACEMENT_3D('',#508228,#415553,#415554); #350452=AXIS2_PLACEMENT_3D('',#508234,#415558,#415559); #350453=AXIS2_PLACEMENT_3D('',#508240,#415563,#415564); #350454=AXIS2_PLACEMENT_3D('',#508246,#415568,#415569); #350455=AXIS2_PLACEMENT_3D('',#508252,#415573,#415574); #350456=AXIS2_PLACEMENT_3D('',#508258,#415578,#415579); #350457=AXIS2_PLACEMENT_3D('',#508264,#415583,#415584); #350458=AXIS2_PLACEMENT_3D('',#508270,#415588,#415589); #350459=AXIS2_PLACEMENT_3D('',#508276,#415593,#415594); #350460=AXIS2_PLACEMENT_3D('',#508282,#415598,#415599); #350461=AXIS2_PLACEMENT_3D('',#508288,#415603,#415604); #350462=AXIS2_PLACEMENT_3D('',#508294,#415608,#415609); #350463=AXIS2_PLACEMENT_3D('',#508300,#415613,#415614); #350464=AXIS2_PLACEMENT_3D('',#508306,#415618,#415619); #350465=AXIS2_PLACEMENT_3D('',#508312,#415623,#415624); #350466=AXIS2_PLACEMENT_3D('',#508318,#415628,#415629); #350467=AXIS2_PLACEMENT_3D('',#508324,#415633,#415634); #350468=AXIS2_PLACEMENT_3D('',#508330,#415638,#415639); #350469=AXIS2_PLACEMENT_3D('',#508336,#415643,#415644); #350470=AXIS2_PLACEMENT_3D('',#508342,#415648,#415649); #350471=AXIS2_PLACEMENT_3D('',#508348,#415653,#415654); #350472=AXIS2_PLACEMENT_3D('',#508354,#415658,#415659); #350473=AXIS2_PLACEMENT_3D('',#508360,#415663,#415664); #350474=AXIS2_PLACEMENT_3D('',#508366,#415668,#415669); #350475=AXIS2_PLACEMENT_3D('',#508372,#415673,#415674); #350476=AXIS2_PLACEMENT_3D('',#508378,#415678,#415679); #350477=AXIS2_PLACEMENT_3D('',#508384,#415683,#415684); #350478=AXIS2_PLACEMENT_3D('',#508390,#415688,#415689); #350479=AXIS2_PLACEMENT_3D('',#508396,#415693,#415694); #350480=AXIS2_PLACEMENT_3D('',#508402,#415698,#415699); #350481=AXIS2_PLACEMENT_3D('',#508408,#415703,#415704); #350482=AXIS2_PLACEMENT_3D('',#508414,#415708,#415709); #350483=AXIS2_PLACEMENT_3D('',#508420,#415713,#415714); #350484=AXIS2_PLACEMENT_3D('',#508426,#415718,#415719); #350485=AXIS2_PLACEMENT_3D('',#508432,#415723,#415724); #350486=AXIS2_PLACEMENT_3D('',#508438,#415728,#415729); #350487=AXIS2_PLACEMENT_3D('',#508444,#415733,#415734); #350488=AXIS2_PLACEMENT_3D('',#508450,#415738,#415739); #350489=AXIS2_PLACEMENT_3D('',#508456,#415743,#415744); #350490=AXIS2_PLACEMENT_3D('',#508462,#415748,#415749); #350491=AXIS2_PLACEMENT_3D('',#508468,#415753,#415754); #350492=AXIS2_PLACEMENT_3D('',#508474,#415758,#415759); #350493=AXIS2_PLACEMENT_3D('',#508480,#415763,#415764); #350494=AXIS2_PLACEMENT_3D('',#508486,#415768,#415769); #350495=AXIS2_PLACEMENT_3D('',#508492,#415773,#415774); #350496=AXIS2_PLACEMENT_3D('',#508498,#415778,#415779); #350497=AXIS2_PLACEMENT_3D('',#508504,#415783,#415784); #350498=AXIS2_PLACEMENT_3D('',#508510,#415788,#415789); #350499=AXIS2_PLACEMENT_3D('',#508516,#415793,#415794); #350500=AXIS2_PLACEMENT_3D('',#508522,#415798,#415799); #350501=AXIS2_PLACEMENT_3D('',#508528,#415803,#415804); #350502=AXIS2_PLACEMENT_3D('',#508534,#415808,#415809); #350503=AXIS2_PLACEMENT_3D('',#508540,#415813,#415814); #350504=AXIS2_PLACEMENT_3D('',#508546,#415818,#415819); #350505=AXIS2_PLACEMENT_3D('',#508552,#415823,#415824); #350506=AXIS2_PLACEMENT_3D('',#508558,#415828,#415829); #350507=AXIS2_PLACEMENT_3D('',#508564,#415833,#415834); #350508=AXIS2_PLACEMENT_3D('',#508570,#415838,#415839); #350509=AXIS2_PLACEMENT_3D('',#508576,#415843,#415844); #350510=AXIS2_PLACEMENT_3D('',#508582,#415848,#415849); #350511=AXIS2_PLACEMENT_3D('',#508588,#415853,#415854); #350512=AXIS2_PLACEMENT_3D('',#508594,#415858,#415859); #350513=AXIS2_PLACEMENT_3D('',#508600,#415863,#415864); #350514=AXIS2_PLACEMENT_3D('',#508606,#415868,#415869); #350515=AXIS2_PLACEMENT_3D('',#508612,#415873,#415874); #350516=AXIS2_PLACEMENT_3D('',#508618,#415878,#415879); #350517=AXIS2_PLACEMENT_3D('',#508624,#415883,#415884); #350518=AXIS2_PLACEMENT_3D('',#508630,#415888,#415889); #350519=AXIS2_PLACEMENT_3D('',#508636,#415893,#415894); #350520=AXIS2_PLACEMENT_3D('',#508642,#415898,#415899); #350521=AXIS2_PLACEMENT_3D('',#508648,#415903,#415904); #350522=AXIS2_PLACEMENT_3D('',#508654,#415908,#415909); #350523=AXIS2_PLACEMENT_3D('',#508660,#415913,#415914); #350524=AXIS2_PLACEMENT_3D('',#508666,#415918,#415919); #350525=AXIS2_PLACEMENT_3D('',#508672,#415923,#415924); #350526=AXIS2_PLACEMENT_3D('',#508678,#415928,#415929); #350527=AXIS2_PLACEMENT_3D('',#508684,#415933,#415934); #350528=AXIS2_PLACEMENT_3D('',#508690,#415938,#415939); #350529=AXIS2_PLACEMENT_3D('',#508696,#415943,#415944); #350530=AXIS2_PLACEMENT_3D('',#508702,#415948,#415949); #350531=AXIS2_PLACEMENT_3D('',#508708,#415953,#415954); #350532=AXIS2_PLACEMENT_3D('',#508714,#415958,#415959); #350533=AXIS2_PLACEMENT_3D('',#508720,#415963,#415964); #350534=AXIS2_PLACEMENT_3D('',#508726,#415968,#415969); #350535=AXIS2_PLACEMENT_3D('',#508732,#415973,#415974); #350536=AXIS2_PLACEMENT_3D('',#508738,#415978,#415979); #350537=AXIS2_PLACEMENT_3D('',#508744,#415983,#415984); #350538=AXIS2_PLACEMENT_3D('',#508750,#415988,#415989); #350539=AXIS2_PLACEMENT_3D('',#508756,#415993,#415994); #350540=AXIS2_PLACEMENT_3D('',#508762,#415998,#415999); #350541=AXIS2_PLACEMENT_3D('',#508768,#416003,#416004); #350542=AXIS2_PLACEMENT_3D('',#508774,#416008,#416009); #350543=AXIS2_PLACEMENT_3D('',#508780,#416013,#416014); #350544=AXIS2_PLACEMENT_3D('',#508786,#416018,#416019); #350545=AXIS2_PLACEMENT_3D('',#508792,#416023,#416024); #350546=AXIS2_PLACEMENT_3D('',#508798,#416028,#416029); #350547=AXIS2_PLACEMENT_3D('',#508804,#416033,#416034); #350548=AXIS2_PLACEMENT_3D('',#508810,#416038,#416039); #350549=AXIS2_PLACEMENT_3D('',#508816,#416043,#416044); #350550=AXIS2_PLACEMENT_3D('',#508822,#416048,#416049); #350551=AXIS2_PLACEMENT_3D('',#508828,#416053,#416054); #350552=AXIS2_PLACEMENT_3D('',#508831,#416057,#416058); #350553=AXIS2_PLACEMENT_3D('',#508840,#416063,#416064); #350554=AXIS2_PLACEMENT_3D('',#508846,#416068,#416069); #350555=AXIS2_PLACEMENT_3D('',#508852,#416073,#416074); #350556=AXIS2_PLACEMENT_3D('',#508858,#416078,#416079); #350557=AXIS2_PLACEMENT_3D('',#508864,#416083,#416084); #350558=AXIS2_PLACEMENT_3D('',#508870,#416088,#416089); #350559=AXIS2_PLACEMENT_3D('',#508876,#416093,#416094); #350560=AXIS2_PLACEMENT_3D('',#508882,#416098,#416099); #350561=AXIS2_PLACEMENT_3D('',#508888,#416103,#416104); #350562=AXIS2_PLACEMENT_3D('',#508894,#416108,#416109); #350563=AXIS2_PLACEMENT_3D('',#508900,#416113,#416114); #350564=AXIS2_PLACEMENT_3D('',#508906,#416118,#416119); #350565=AXIS2_PLACEMENT_3D('',#508912,#416123,#416124); #350566=AXIS2_PLACEMENT_3D('',#508918,#416128,#416129); #350567=AXIS2_PLACEMENT_3D('',#508924,#416133,#416134); #350568=AXIS2_PLACEMENT_3D('',#508930,#416138,#416139); #350569=AXIS2_PLACEMENT_3D('',#508936,#416143,#416144); #350570=AXIS2_PLACEMENT_3D('',#508942,#416148,#416149); #350571=AXIS2_PLACEMENT_3D('',#508948,#416153,#416154); #350572=AXIS2_PLACEMENT_3D('',#508954,#416158,#416159); #350573=AXIS2_PLACEMENT_3D('',#508960,#416163,#416164); #350574=AXIS2_PLACEMENT_3D('',#508966,#416168,#416169); #350575=AXIS2_PLACEMENT_3D('',#508972,#416173,#416174); #350576=AXIS2_PLACEMENT_3D('',#508978,#416178,#416179); #350577=AXIS2_PLACEMENT_3D('',#508984,#416183,#416184); #350578=AXIS2_PLACEMENT_3D('',#508990,#416188,#416189); #350579=AXIS2_PLACEMENT_3D('',#508996,#416193,#416194); #350580=AXIS2_PLACEMENT_3D('',#509002,#416198,#416199); #350581=AXIS2_PLACEMENT_3D('',#509008,#416203,#416204); #350582=AXIS2_PLACEMENT_3D('',#509014,#416208,#416209); #350583=AXIS2_PLACEMENT_3D('',#509020,#416213,#416214); #350584=AXIS2_PLACEMENT_3D('',#509026,#416218,#416219); #350585=AXIS2_PLACEMENT_3D('',#509032,#416223,#416224); #350586=AXIS2_PLACEMENT_3D('',#509038,#416228,#416229); #350587=AXIS2_PLACEMENT_3D('',#509044,#416233,#416234); #350588=AXIS2_PLACEMENT_3D('',#509050,#416238,#416239); #350589=AXIS2_PLACEMENT_3D('',#509056,#416243,#416244); #350590=AXIS2_PLACEMENT_3D('',#509062,#416248,#416249); #350591=AXIS2_PLACEMENT_3D('',#509068,#416253,#416254); #350592=AXIS2_PLACEMENT_3D('',#509074,#416258,#416259); #350593=AXIS2_PLACEMENT_3D('',#509080,#416263,#416264); #350594=AXIS2_PLACEMENT_3D('',#509086,#416268,#416269); #350595=AXIS2_PLACEMENT_3D('',#509092,#416273,#416274); #350596=AXIS2_PLACEMENT_3D('',#509098,#416278,#416279); #350597=AXIS2_PLACEMENT_3D('',#509104,#416283,#416284); #350598=AXIS2_PLACEMENT_3D('',#509110,#416288,#416289); #350599=AXIS2_PLACEMENT_3D('',#509116,#416293,#416294); #350600=AXIS2_PLACEMENT_3D('',#509122,#416298,#416299); #350601=AXIS2_PLACEMENT_3D('',#509128,#416303,#416304); #350602=AXIS2_PLACEMENT_3D('',#509134,#416308,#416309); #350603=AXIS2_PLACEMENT_3D('',#509140,#416313,#416314); #350604=AXIS2_PLACEMENT_3D('',#509146,#416318,#416319); #350605=AXIS2_PLACEMENT_3D('',#509152,#416323,#416324); #350606=AXIS2_PLACEMENT_3D('',#509158,#416328,#416329); #350607=AXIS2_PLACEMENT_3D('',#509164,#416333,#416334); #350608=AXIS2_PLACEMENT_3D('',#509170,#416338,#416339); #350609=AXIS2_PLACEMENT_3D('',#509176,#416343,#416344); #350610=AXIS2_PLACEMENT_3D('',#509182,#416348,#416349); #350611=AXIS2_PLACEMENT_3D('',#509188,#416353,#416354); #350612=AXIS2_PLACEMENT_3D('',#509194,#416358,#416359); #350613=AXIS2_PLACEMENT_3D('',#509200,#416363,#416364); #350614=AXIS2_PLACEMENT_3D('',#509206,#416368,#416369); #350615=AXIS2_PLACEMENT_3D('',#509212,#416373,#416374); #350616=AXIS2_PLACEMENT_3D('',#509218,#416378,#416379); #350617=AXIS2_PLACEMENT_3D('',#509224,#416383,#416384); #350618=AXIS2_PLACEMENT_3D('',#509230,#416388,#416389); #350619=AXIS2_PLACEMENT_3D('',#509236,#416393,#416394); #350620=AXIS2_PLACEMENT_3D('',#509242,#416398,#416399); #350621=AXIS2_PLACEMENT_3D('',#509248,#416403,#416404); #350622=AXIS2_PLACEMENT_3D('',#509254,#416408,#416409); #350623=AXIS2_PLACEMENT_3D('',#509260,#416413,#416414); #350624=AXIS2_PLACEMENT_3D('',#509266,#416418,#416419); #350625=AXIS2_PLACEMENT_3D('',#509272,#416423,#416424); #350626=AXIS2_PLACEMENT_3D('',#509278,#416428,#416429); #350627=AXIS2_PLACEMENT_3D('',#509284,#416433,#416434); #350628=AXIS2_PLACEMENT_3D('',#509290,#416438,#416439); #350629=AXIS2_PLACEMENT_3D('',#509296,#416443,#416444); #350630=AXIS2_PLACEMENT_3D('',#509302,#416448,#416449); #350631=AXIS2_PLACEMENT_3D('',#509308,#416453,#416454); #350632=AXIS2_PLACEMENT_3D('',#509314,#416458,#416459); #350633=AXIS2_PLACEMENT_3D('',#509320,#416463,#416464); #350634=AXIS2_PLACEMENT_3D('',#509326,#416468,#416469); #350635=AXIS2_PLACEMENT_3D('',#509332,#416473,#416474); #350636=AXIS2_PLACEMENT_3D('',#509338,#416478,#416479); #350637=AXIS2_PLACEMENT_3D('',#509344,#416483,#416484); #350638=AXIS2_PLACEMENT_3D('',#509350,#416488,#416489); #350639=AXIS2_PLACEMENT_3D('',#509356,#416493,#416494); #350640=AXIS2_PLACEMENT_3D('',#509362,#416498,#416499); #350641=AXIS2_PLACEMENT_3D('',#509368,#416503,#416504); #350642=AXIS2_PLACEMENT_3D('',#509374,#416508,#416509); #350643=AXIS2_PLACEMENT_3D('',#509380,#416513,#416514); #350644=AXIS2_PLACEMENT_3D('',#509386,#416518,#416519); #350645=AXIS2_PLACEMENT_3D('',#509392,#416523,#416524); #350646=AXIS2_PLACEMENT_3D('',#509398,#416528,#416529); #350647=AXIS2_PLACEMENT_3D('',#509404,#416533,#416534); #350648=AXIS2_PLACEMENT_3D('',#509410,#416538,#416539); #350649=AXIS2_PLACEMENT_3D('',#509416,#416543,#416544); #350650=AXIS2_PLACEMENT_3D('',#509422,#416548,#416549); #350651=AXIS2_PLACEMENT_3D('',#509428,#416553,#416554); #350652=AXIS2_PLACEMENT_3D('',#509431,#416557,#416558); #350653=AXIS2_PLACEMENT_3D('',#509440,#416563,#416564); #350654=AXIS2_PLACEMENT_3D('',#509446,#416568,#416569); #350655=AXIS2_PLACEMENT_3D('',#509452,#416573,#416574); #350656=AXIS2_PLACEMENT_3D('',#509458,#416578,#416579); #350657=AXIS2_PLACEMENT_3D('',#509464,#416583,#416584); #350658=AXIS2_PLACEMENT_3D('',#509470,#416588,#416589); #350659=AXIS2_PLACEMENT_3D('',#509476,#416593,#416594); #350660=AXIS2_PLACEMENT_3D('',#509482,#416598,#416599); #350661=AXIS2_PLACEMENT_3D('',#509488,#416603,#416604); #350662=AXIS2_PLACEMENT_3D('',#509494,#416608,#416609); #350663=AXIS2_PLACEMENT_3D('',#509500,#416613,#416614); #350664=AXIS2_PLACEMENT_3D('',#509506,#416618,#416619); #350665=AXIS2_PLACEMENT_3D('',#509512,#416623,#416624); #350666=AXIS2_PLACEMENT_3D('',#509518,#416628,#416629); #350667=AXIS2_PLACEMENT_3D('',#509524,#416633,#416634); #350668=AXIS2_PLACEMENT_3D('',#509530,#416638,#416639); #350669=AXIS2_PLACEMENT_3D('',#509536,#416643,#416644); #350670=AXIS2_PLACEMENT_3D('',#509542,#416648,#416649); #350671=AXIS2_PLACEMENT_3D('',#509548,#416653,#416654); #350672=AXIS2_PLACEMENT_3D('',#509554,#416658,#416659); #350673=AXIS2_PLACEMENT_3D('',#509560,#416663,#416664); #350674=AXIS2_PLACEMENT_3D('',#509566,#416668,#416669); #350675=AXIS2_PLACEMENT_3D('',#509572,#416673,#416674); #350676=AXIS2_PLACEMENT_3D('',#509575,#416677,#416678); #350677=AXIS2_PLACEMENT_3D('',#509584,#416683,#416684); #350678=AXIS2_PLACEMENT_3D('',#509590,#416688,#416689); #350679=AXIS2_PLACEMENT_3D('',#509596,#416693,#416694); #350680=AXIS2_PLACEMENT_3D('',#509602,#416698,#416699); #350681=AXIS2_PLACEMENT_3D('',#509608,#416703,#416704); #350682=AXIS2_PLACEMENT_3D('',#509614,#416708,#416709); #350683=AXIS2_PLACEMENT_3D('',#509620,#416713,#416714); #350684=AXIS2_PLACEMENT_3D('',#509626,#416718,#416719); #350685=AXIS2_PLACEMENT_3D('',#509632,#416723,#416724); #350686=AXIS2_PLACEMENT_3D('',#509638,#416728,#416729); #350687=AXIS2_PLACEMENT_3D('',#509644,#416733,#416734); #350688=AXIS2_PLACEMENT_3D('',#509650,#416738,#416739); #350689=AXIS2_PLACEMENT_3D('',#509656,#416743,#416744); #350690=AXIS2_PLACEMENT_3D('',#509662,#416748,#416749); #350691=AXIS2_PLACEMENT_3D('',#509668,#416753,#416754); #350692=AXIS2_PLACEMENT_3D('',#509674,#416758,#416759); #350693=AXIS2_PLACEMENT_3D('',#509680,#416763,#416764); #350694=AXIS2_PLACEMENT_3D('',#509686,#416768,#416769); #350695=AXIS2_PLACEMENT_3D('',#509692,#416773,#416774); #350696=AXIS2_PLACEMENT_3D('',#509698,#416778,#416779); #350697=AXIS2_PLACEMENT_3D('',#509704,#416783,#416784); #350698=AXIS2_PLACEMENT_3D('',#509710,#416788,#416789); #350699=AXIS2_PLACEMENT_3D('',#509716,#416793,#416794); #350700=AXIS2_PLACEMENT_3D('',#509722,#416798,#416799); #350701=AXIS2_PLACEMENT_3D('',#509728,#416803,#416804); #350702=AXIS2_PLACEMENT_3D('',#509734,#416808,#416809); #350703=AXIS2_PLACEMENT_3D('',#509740,#416813,#416814); #350704=AXIS2_PLACEMENT_3D('',#509746,#416818,#416819); #350705=AXIS2_PLACEMENT_3D('',#509752,#416823,#416824); #350706=AXIS2_PLACEMENT_3D('',#509755,#416827,#416828); #350707=AXIS2_PLACEMENT_3D('',#509764,#416833,#416834); #350708=AXIS2_PLACEMENT_3D('',#509770,#416838,#416839); #350709=AXIS2_PLACEMENT_3D('',#509776,#416843,#416844); #350710=AXIS2_PLACEMENT_3D('',#509782,#416848,#416849); #350711=AXIS2_PLACEMENT_3D('',#509788,#416853,#416854); #350712=AXIS2_PLACEMENT_3D('',#509794,#416858,#416859); #350713=AXIS2_PLACEMENT_3D('',#509800,#416863,#416864); #350714=AXIS2_PLACEMENT_3D('',#509806,#416868,#416869); #350715=AXIS2_PLACEMENT_3D('',#509812,#416873,#416874); #350716=AXIS2_PLACEMENT_3D('',#509818,#416878,#416879); #350717=AXIS2_PLACEMENT_3D('',#509824,#416883,#416884); #350718=AXIS2_PLACEMENT_3D('',#509830,#416888,#416889); #350719=AXIS2_PLACEMENT_3D('',#509836,#416893,#416894); #350720=AXIS2_PLACEMENT_3D('',#509842,#416898,#416899); #350721=AXIS2_PLACEMENT_3D('',#509848,#416903,#416904); #350722=AXIS2_PLACEMENT_3D('',#509854,#416908,#416909); #350723=AXIS2_PLACEMENT_3D('',#509860,#416913,#416914); #350724=AXIS2_PLACEMENT_3D('',#509866,#416918,#416919); #350725=AXIS2_PLACEMENT_3D('',#509872,#416923,#416924); #350726=AXIS2_PLACEMENT_3D('',#509878,#416928,#416929); #350727=AXIS2_PLACEMENT_3D('',#509884,#416933,#416934); #350728=AXIS2_PLACEMENT_3D('',#509890,#416938,#416939); #350729=AXIS2_PLACEMENT_3D('',#509896,#416943,#416944); #350730=AXIS2_PLACEMENT_3D('',#509902,#416948,#416949); #350731=AXIS2_PLACEMENT_3D('',#509908,#416953,#416954); #350732=AXIS2_PLACEMENT_3D('',#509914,#416958,#416959); #350733=AXIS2_PLACEMENT_3D('',#509920,#416963,#416964); #350734=AXIS2_PLACEMENT_3D('',#509926,#416968,#416969); #350735=AXIS2_PLACEMENT_3D('',#509932,#416973,#416974); #350736=AXIS2_PLACEMENT_3D('',#509935,#416977,#416978); #350737=AXIS2_PLACEMENT_3D('',#509944,#416983,#416984); #350738=AXIS2_PLACEMENT_3D('',#509950,#416988,#416989); #350739=AXIS2_PLACEMENT_3D('',#509956,#416993,#416994); #350740=AXIS2_PLACEMENT_3D('',#509962,#416998,#416999); #350741=AXIS2_PLACEMENT_3D('',#509968,#417003,#417004); #350742=AXIS2_PLACEMENT_3D('',#509974,#417008,#417009); #350743=AXIS2_PLACEMENT_3D('',#509980,#417013,#417014); #350744=AXIS2_PLACEMENT_3D('',#509986,#417018,#417019); #350745=AXIS2_PLACEMENT_3D('',#509992,#417023,#417024); #350746=AXIS2_PLACEMENT_3D('',#509998,#417028,#417029); #350747=AXIS2_PLACEMENT_3D('',#510004,#417033,#417034); #350748=AXIS2_PLACEMENT_3D('',#510010,#417038,#417039); #350749=AXIS2_PLACEMENT_3D('',#510016,#417043,#417044); #350750=AXIS2_PLACEMENT_3D('',#510022,#417048,#417049); #350751=AXIS2_PLACEMENT_3D('',#510028,#417053,#417054); #350752=AXIS2_PLACEMENT_3D('',#510034,#417058,#417059); #350753=AXIS2_PLACEMENT_3D('',#510040,#417063,#417064); #350754=AXIS2_PLACEMENT_3D('',#510046,#417068,#417069); #350755=AXIS2_PLACEMENT_3D('',#510052,#417073,#417074); #350756=AXIS2_PLACEMENT_3D('',#510058,#417078,#417079); #350757=AXIS2_PLACEMENT_3D('',#510064,#417083,#417084); #350758=AXIS2_PLACEMENT_3D('',#510070,#417088,#417089); #350759=AXIS2_PLACEMENT_3D('',#510076,#417093,#417094); #350760=AXIS2_PLACEMENT_3D('',#510082,#417098,#417099); #350761=AXIS2_PLACEMENT_3D('',#510088,#417103,#417104); #350762=AXIS2_PLACEMENT_3D('',#510094,#417108,#417109); #350763=AXIS2_PLACEMENT_3D('',#510100,#417113,#417114); #350764=AXIS2_PLACEMENT_3D('',#510106,#417118,#417119); #350765=AXIS2_PLACEMENT_3D('',#510112,#417123,#417124); #350766=AXIS2_PLACEMENT_3D('',#510115,#417127,#417128); #350767=AXIS2_PLACEMENT_3D('',#510124,#417133,#417134); #350768=AXIS2_PLACEMENT_3D('',#510130,#417138,#417139); #350769=AXIS2_PLACEMENT_3D('',#510136,#417143,#417144); #350770=AXIS2_PLACEMENT_3D('',#510142,#417148,#417149); #350771=AXIS2_PLACEMENT_3D('',#510148,#417153,#417154); #350772=AXIS2_PLACEMENT_3D('',#510154,#417158,#417159); #350773=AXIS2_PLACEMENT_3D('',#510160,#417163,#417164); #350774=AXIS2_PLACEMENT_3D('',#510166,#417168,#417169); #350775=AXIS2_PLACEMENT_3D('',#510172,#417173,#417174); #350776=AXIS2_PLACEMENT_3D('',#510178,#417178,#417179); #350777=AXIS2_PLACEMENT_3D('',#510184,#417183,#417184); #350778=AXIS2_PLACEMENT_3D('',#510190,#417188,#417189); #350779=AXIS2_PLACEMENT_3D('',#510196,#417193,#417194); #350780=AXIS2_PLACEMENT_3D('',#510202,#417198,#417199); #350781=AXIS2_PLACEMENT_3D('',#510208,#417203,#417204); #350782=AXIS2_PLACEMENT_3D('',#510214,#417208,#417209); #350783=AXIS2_PLACEMENT_3D('',#510220,#417213,#417214); #350784=AXIS2_PLACEMENT_3D('',#510226,#417218,#417219); #350785=AXIS2_PLACEMENT_3D('',#510232,#417223,#417224); #350786=AXIS2_PLACEMENT_3D('',#510238,#417228,#417229); #350787=AXIS2_PLACEMENT_3D('',#510244,#417233,#417234); #350788=AXIS2_PLACEMENT_3D('',#510250,#417238,#417239); #350789=AXIS2_PLACEMENT_3D('',#510256,#417243,#417244); #350790=AXIS2_PLACEMENT_3D('',#510262,#417248,#417249); #350791=AXIS2_PLACEMENT_3D('',#510268,#417253,#417254); #350792=AXIS2_PLACEMENT_3D('',#510274,#417258,#417259); #350793=AXIS2_PLACEMENT_3D('',#510280,#417263,#417264); #350794=AXIS2_PLACEMENT_3D('',#510286,#417268,#417269); #350795=AXIS2_PLACEMENT_3D('',#510292,#417273,#417274); #350796=AXIS2_PLACEMENT_3D('',#510295,#417277,#417278); #350797=AXIS2_PLACEMENT_3D('',#510304,#417283,#417284); #350798=AXIS2_PLACEMENT_3D('',#510310,#417288,#417289); #350799=AXIS2_PLACEMENT_3D('',#510316,#417293,#417294); #350800=AXIS2_PLACEMENT_3D('',#510322,#417298,#417299); #350801=AXIS2_PLACEMENT_3D('',#510328,#417303,#417304); #350802=AXIS2_PLACEMENT_3D('',#510334,#417308,#417309); #350803=AXIS2_PLACEMENT_3D('',#510340,#417313,#417314); #350804=AXIS2_PLACEMENT_3D('',#510346,#417318,#417319); #350805=AXIS2_PLACEMENT_3D('',#510352,#417323,#417324); #350806=AXIS2_PLACEMENT_3D('',#510358,#417328,#417329); #350807=AXIS2_PLACEMENT_3D('',#510364,#417333,#417334); #350808=AXIS2_PLACEMENT_3D('',#510370,#417338,#417339); #350809=AXIS2_PLACEMENT_3D('',#510376,#417343,#417344); #350810=AXIS2_PLACEMENT_3D('',#510382,#417348,#417349); #350811=AXIS2_PLACEMENT_3D('',#510388,#417353,#417354); #350812=AXIS2_PLACEMENT_3D('',#510394,#417358,#417359); #350813=AXIS2_PLACEMENT_3D('',#510400,#417363,#417364); #350814=AXIS2_PLACEMENT_3D('',#510406,#417368,#417369); #350815=AXIS2_PLACEMENT_3D('',#510412,#417373,#417374); #350816=AXIS2_PLACEMENT_3D('',#510418,#417378,#417379); #350817=AXIS2_PLACEMENT_3D('',#510424,#417383,#417384); #350818=AXIS2_PLACEMENT_3D('',#510430,#417388,#417389); #350819=AXIS2_PLACEMENT_3D('',#510436,#417393,#417394); #350820=AXIS2_PLACEMENT_3D('',#510442,#417398,#417399); #350821=AXIS2_PLACEMENT_3D('',#510448,#417403,#417404); #350822=AXIS2_PLACEMENT_3D('',#510454,#417408,#417409); #350823=AXIS2_PLACEMENT_3D('',#510460,#417413,#417414); #350824=AXIS2_PLACEMENT_3D('',#510466,#417418,#417419); #350825=AXIS2_PLACEMENT_3D('',#510472,#417423,#417424); #350826=AXIS2_PLACEMENT_3D('',#510478,#417428,#417429); #350827=AXIS2_PLACEMENT_3D('',#510484,#417433,#417434); #350828=AXIS2_PLACEMENT_3D('',#510490,#417438,#417439); #350829=AXIS2_PLACEMENT_3D('',#510496,#417443,#417444); #350830=AXIS2_PLACEMENT_3D('',#510502,#417448,#417449); #350831=AXIS2_PLACEMENT_3D('',#510508,#417453,#417454); #350832=AXIS2_PLACEMENT_3D('',#510514,#417458,#417459); #350833=AXIS2_PLACEMENT_3D('',#510520,#417463,#417464); #350834=AXIS2_PLACEMENT_3D('',#510526,#417468,#417469); #350835=AXIS2_PLACEMENT_3D('',#510532,#417473,#417474); #350836=AXIS2_PLACEMENT_3D('',#510538,#417478,#417479); #350837=AXIS2_PLACEMENT_3D('',#510544,#417483,#417484); #350838=AXIS2_PLACEMENT_3D('',#510550,#417488,#417489); #350839=AXIS2_PLACEMENT_3D('',#510556,#417493,#417494); #350840=AXIS2_PLACEMENT_3D('',#510562,#417498,#417499); #350841=AXIS2_PLACEMENT_3D('',#510568,#417503,#417504); #350842=AXIS2_PLACEMENT_3D('',#510574,#417508,#417509); #350843=AXIS2_PLACEMENT_3D('',#510580,#417513,#417514); #350844=AXIS2_PLACEMENT_3D('',#510586,#417518,#417519); #350845=AXIS2_PLACEMENT_3D('',#510592,#417523,#417524); #350846=AXIS2_PLACEMENT_3D('',#510598,#417528,#417529); #350847=AXIS2_PLACEMENT_3D('',#510604,#417533,#417534); #350848=AXIS2_PLACEMENT_3D('',#510610,#417538,#417539); #350849=AXIS2_PLACEMENT_3D('',#510616,#417543,#417544); #350850=AXIS2_PLACEMENT_3D('',#510622,#417548,#417549); #350851=AXIS2_PLACEMENT_3D('',#510628,#417553,#417554); #350852=AXIS2_PLACEMENT_3D('',#510634,#417558,#417559); #350853=AXIS2_PLACEMENT_3D('',#510640,#417563,#417564); #350854=AXIS2_PLACEMENT_3D('',#510646,#417568,#417569); #350855=AXIS2_PLACEMENT_3D('',#510652,#417573,#417574); #350856=AXIS2_PLACEMENT_3D('',#510658,#417578,#417579); #350857=AXIS2_PLACEMENT_3D('',#510664,#417583,#417584); #350858=AXIS2_PLACEMENT_3D('',#510670,#417588,#417589); #350859=AXIS2_PLACEMENT_3D('',#510676,#417593,#417594); #350860=AXIS2_PLACEMENT_3D('',#510682,#417598,#417599); #350861=AXIS2_PLACEMENT_3D('',#510688,#417603,#417604); #350862=AXIS2_PLACEMENT_3D('',#510694,#417608,#417609); #350863=AXIS2_PLACEMENT_3D('',#510700,#417613,#417614); #350864=AXIS2_PLACEMENT_3D('',#510706,#417618,#417619); #350865=AXIS2_PLACEMENT_3D('',#510712,#417623,#417624); #350866=AXIS2_PLACEMENT_3D('',#510718,#417628,#417629); #350867=AXIS2_PLACEMENT_3D('',#510724,#417633,#417634); #350868=AXIS2_PLACEMENT_3D('',#510730,#417638,#417639); #350869=AXIS2_PLACEMENT_3D('',#510736,#417643,#417644); #350870=AXIS2_PLACEMENT_3D('',#510742,#417648,#417649); #350871=AXIS2_PLACEMENT_3D('',#510748,#417653,#417654); #350872=AXIS2_PLACEMENT_3D('',#510754,#417658,#417659); #350873=AXIS2_PLACEMENT_3D('',#510760,#417663,#417664); #350874=AXIS2_PLACEMENT_3D('',#510766,#417668,#417669); #350875=AXIS2_PLACEMENT_3D('',#510772,#417673,#417674); #350876=AXIS2_PLACEMENT_3D('',#510778,#417678,#417679); #350877=AXIS2_PLACEMENT_3D('',#510784,#417683,#417684); #350878=AXIS2_PLACEMENT_3D('',#510790,#417688,#417689); #350879=AXIS2_PLACEMENT_3D('',#510796,#417693,#417694); #350880=AXIS2_PLACEMENT_3D('',#510802,#417698,#417699); #350881=AXIS2_PLACEMENT_3D('',#510808,#417703,#417704); #350882=AXIS2_PLACEMENT_3D('',#510814,#417708,#417709); #350883=AXIS2_PLACEMENT_3D('',#510820,#417713,#417714); #350884=AXIS2_PLACEMENT_3D('',#510826,#417718,#417719); #350885=AXIS2_PLACEMENT_3D('',#510832,#417723,#417724); #350886=AXIS2_PLACEMENT_3D('',#510838,#417728,#417729); #350887=AXIS2_PLACEMENT_3D('',#510844,#417733,#417734); #350888=AXIS2_PLACEMENT_3D('',#510850,#417738,#417739); #350889=AXIS2_PLACEMENT_3D('',#510856,#417743,#417744); #350890=AXIS2_PLACEMENT_3D('',#510862,#417748,#417749); #350891=AXIS2_PLACEMENT_3D('',#510868,#417753,#417754); #350892=AXIS2_PLACEMENT_3D('',#510874,#417758,#417759); #350893=AXIS2_PLACEMENT_3D('',#510880,#417763,#417764); #350894=AXIS2_PLACEMENT_3D('',#510886,#417768,#417769); #350895=AXIS2_PLACEMENT_3D('',#510892,#417773,#417774); #350896=AXIS2_PLACEMENT_3D('',#510898,#417778,#417779); #350897=AXIS2_PLACEMENT_3D('',#510904,#417783,#417784); #350898=AXIS2_PLACEMENT_3D('',#510910,#417788,#417789); #350899=AXIS2_PLACEMENT_3D('',#510916,#417793,#417794); #350900=AXIS2_PLACEMENT_3D('',#510922,#417798,#417799); #350901=AXIS2_PLACEMENT_3D('',#510928,#417803,#417804); #350902=AXIS2_PLACEMENT_3D('',#510934,#417808,#417809); #350903=AXIS2_PLACEMENT_3D('',#510940,#417813,#417814); #350904=AXIS2_PLACEMENT_3D('',#510946,#417818,#417819); #350905=AXIS2_PLACEMENT_3D('',#510952,#417823,#417824); #350906=AXIS2_PLACEMENT_3D('',#510958,#417828,#417829); #350907=AXIS2_PLACEMENT_3D('',#510964,#417833,#417834); #350908=AXIS2_PLACEMENT_3D('',#510970,#417838,#417839); #350909=AXIS2_PLACEMENT_3D('',#510976,#417843,#417844); #350910=AXIS2_PLACEMENT_3D('',#510982,#417848,#417849); #350911=AXIS2_PLACEMENT_3D('',#510988,#417853,#417854); #350912=AXIS2_PLACEMENT_3D('',#510994,#417858,#417859); #350913=AXIS2_PLACEMENT_3D('',#511000,#417863,#417864); #350914=AXIS2_PLACEMENT_3D('',#511006,#417868,#417869); #350915=AXIS2_PLACEMENT_3D('',#511012,#417873,#417874); #350916=AXIS2_PLACEMENT_3D('',#511015,#417877,#417878); #350917=AXIS2_PLACEMENT_3D('',#511016,#417879,#417880); #350918=AXIS2_PLACEMENT_3D('',#511017,#417881,#417882); #350919=AXIS2_PLACEMENT_3D('',#511026,#417887,#417888); #350920=AXIS2_PLACEMENT_3D('',#511032,#417892,#417893); #350921=AXIS2_PLACEMENT_3D('',#511038,#417897,#417898); #350922=AXIS2_PLACEMENT_3D('',#511044,#417902,#417903); #350923=AXIS2_PLACEMENT_3D('',#511050,#417907,#417908); #350924=AXIS2_PLACEMENT_3D('',#511056,#417912,#417913); #350925=AXIS2_PLACEMENT_3D('',#511062,#417917,#417918); #350926=AXIS2_PLACEMENT_3D('',#511068,#417922,#417923); #350927=AXIS2_PLACEMENT_3D('',#511074,#417927,#417928); #350928=AXIS2_PLACEMENT_3D('',#511080,#417932,#417933); #350929=AXIS2_PLACEMENT_3D('',#511086,#417937,#417938); #350930=AXIS2_PLACEMENT_3D('',#511092,#417942,#417943); #350931=AXIS2_PLACEMENT_3D('',#511098,#417947,#417948); #350932=AXIS2_PLACEMENT_3D('',#511104,#417952,#417953); #350933=AXIS2_PLACEMENT_3D('',#511110,#417957,#417958); #350934=AXIS2_PLACEMENT_3D('',#511116,#417962,#417963); #350935=AXIS2_PLACEMENT_3D('',#511122,#417967,#417968); #350936=AXIS2_PLACEMENT_3D('',#511128,#417972,#417973); #350937=AXIS2_PLACEMENT_3D('',#511134,#417977,#417978); #350938=AXIS2_PLACEMENT_3D('',#511140,#417982,#417983); #350939=AXIS2_PLACEMENT_3D('',#511146,#417987,#417988); #350940=AXIS2_PLACEMENT_3D('',#511152,#417992,#417993); #350941=AXIS2_PLACEMENT_3D('',#511158,#417997,#417998); #350942=AXIS2_PLACEMENT_3D('',#511164,#418002,#418003); #350943=AXIS2_PLACEMENT_3D('',#511170,#418007,#418008); #350944=AXIS2_PLACEMENT_3D('',#511176,#418012,#418013); #350945=AXIS2_PLACEMENT_3D('',#511182,#418017,#418018); #350946=AXIS2_PLACEMENT_3D('',#511188,#418022,#418023); #350947=AXIS2_PLACEMENT_3D('',#511194,#418027,#418028); #350948=AXIS2_PLACEMENT_3D('',#511200,#418032,#418033); #350949=AXIS2_PLACEMENT_3D('',#511206,#418037,#418038); #350950=AXIS2_PLACEMENT_3D('',#511209,#418041,#418042); #350951=AXIS2_PLACEMENT_3D('',#511210,#418043,#418044); #350952=AXIS2_PLACEMENT_3D('',#511211,#418045,#418046); #350953=AXIS2_PLACEMENT_3D('',#511220,#418051,#418052); #350954=AXIS2_PLACEMENT_3D('',#511226,#418056,#418057); #350955=AXIS2_PLACEMENT_3D('',#511232,#418061,#418062); #350956=AXIS2_PLACEMENT_3D('',#511238,#418066,#418067); #350957=AXIS2_PLACEMENT_3D('',#511244,#418071,#418072); #350958=AXIS2_PLACEMENT_3D('',#511250,#418076,#418077); #350959=AXIS2_PLACEMENT_3D('',#511256,#418081,#418082); #350960=AXIS2_PLACEMENT_3D('',#511262,#418086,#418087); #350961=AXIS2_PLACEMENT_3D('',#511268,#418091,#418092); #350962=AXIS2_PLACEMENT_3D('',#511274,#418096,#418097); #350963=AXIS2_PLACEMENT_3D('',#511280,#418101,#418102); #350964=AXIS2_PLACEMENT_3D('',#511286,#418106,#418107); #350965=AXIS2_PLACEMENT_3D('',#511292,#418111,#418112); #350966=AXIS2_PLACEMENT_3D('',#511298,#418116,#418117); #350967=AXIS2_PLACEMENT_3D('',#511304,#418121,#418122); #350968=AXIS2_PLACEMENT_3D('',#511310,#418126,#418127); #350969=AXIS2_PLACEMENT_3D('',#511316,#418131,#418132); #350970=AXIS2_PLACEMENT_3D('',#511322,#418136,#418137); #350971=AXIS2_PLACEMENT_3D('',#511328,#418141,#418142); #350972=AXIS2_PLACEMENT_3D('',#511334,#418146,#418147); #350973=AXIS2_PLACEMENT_3D('',#511337,#418150,#418151); #350974=AXIS2_PLACEMENT_3D('',#511338,#418152,#418153); #350975=AXIS2_PLACEMENT_3D('',#511339,#418154,#418155); #350976=AXIS2_PLACEMENT_3D('',#511348,#418160,#418161); #350977=AXIS2_PLACEMENT_3D('',#511350,#418162,#418163); #350978=AXIS2_PLACEMENT_3D('',#511352,#418164,#418165); #350979=AXIS2_PLACEMENT_3D('',#511354,#418167,#418168); #350980=AXIS2_PLACEMENT_3D('',#511360,#418172,#418173); #350981=AXIS2_PLACEMENT_3D('',#511361,#418174,#418175); #350982=AXIS2_PLACEMENT_3D('',#511362,#418176,#418177); #350983=AXIS2_PLACEMENT_3D('',#511363,#418178,#418179); #350984=AXIS2_PLACEMENT_3D('',#511372,#418184,#418185); #350985=AXIS2_PLACEMENT_3D('',#511378,#418189,#418190); #350986=AXIS2_PLACEMENT_3D('',#511384,#418194,#418195); #350987=AXIS2_PLACEMENT_3D('',#511390,#418199,#418200); #350988=AXIS2_PLACEMENT_3D('',#511396,#418204,#418205); #350989=AXIS2_PLACEMENT_3D('',#511402,#418209,#418210); #350990=AXIS2_PLACEMENT_3D('',#511408,#418214,#418215); #350991=AXIS2_PLACEMENT_3D('',#511414,#418219,#418220); #350992=AXIS2_PLACEMENT_3D('',#511420,#418224,#418225); #350993=AXIS2_PLACEMENT_3D('',#511426,#418229,#418230); #350994=AXIS2_PLACEMENT_3D('',#511432,#418234,#418235); #350995=AXIS2_PLACEMENT_3D('',#511438,#418239,#418240); #350996=AXIS2_PLACEMENT_3D('',#511444,#418244,#418245); #350997=AXIS2_PLACEMENT_3D('',#511450,#418249,#418250); #350998=AXIS2_PLACEMENT_3D('',#511456,#418254,#418255); #350999=AXIS2_PLACEMENT_3D('',#511462,#418259,#418260); #351000=AXIS2_PLACEMENT_3D('',#511468,#418264,#418265); #351001=AXIS2_PLACEMENT_3D('',#511474,#418269,#418270); #351002=AXIS2_PLACEMENT_3D('',#511480,#418274,#418275); #351003=AXIS2_PLACEMENT_3D('',#511486,#418279,#418280); #351004=AXIS2_PLACEMENT_3D('',#511492,#418284,#418285); #351005=AXIS2_PLACEMENT_3D('',#511498,#418289,#418290); #351006=AXIS2_PLACEMENT_3D('',#511504,#418294,#418295); #351007=AXIS2_PLACEMENT_3D('',#511510,#418299,#418300); #351008=AXIS2_PLACEMENT_3D('',#511516,#418304,#418305); #351009=AXIS2_PLACEMENT_3D('',#511522,#418309,#418310); #351010=AXIS2_PLACEMENT_3D('',#511528,#418314,#418315); #351011=AXIS2_PLACEMENT_3D('',#511534,#418319,#418320); #351012=AXIS2_PLACEMENT_3D('',#511540,#418324,#418325); #351013=AXIS2_PLACEMENT_3D('',#511546,#418329,#418330); #351014=AXIS2_PLACEMENT_3D('',#511552,#418334,#418335); #351015=AXIS2_PLACEMENT_3D('',#511558,#418339,#418340); #351016=AXIS2_PLACEMENT_3D('',#511564,#418344,#418345); #351017=AXIS2_PLACEMENT_3D('',#511570,#418349,#418350); #351018=AXIS2_PLACEMENT_3D('',#511576,#418354,#418355); #351019=AXIS2_PLACEMENT_3D('',#511582,#418359,#418360); #351020=AXIS2_PLACEMENT_3D('',#511588,#418364,#418365); #351021=AXIS2_PLACEMENT_3D('',#511594,#418369,#418370); #351022=AXIS2_PLACEMENT_3D('',#511600,#418374,#418375); #351023=AXIS2_PLACEMENT_3D('',#511606,#418379,#418380); #351024=AXIS2_PLACEMENT_3D('',#511612,#418384,#418385); #351025=AXIS2_PLACEMENT_3D('',#511618,#418389,#418390); #351026=AXIS2_PLACEMENT_3D('',#511624,#418394,#418395); #351027=AXIS2_PLACEMENT_3D('',#511630,#418399,#418400); #351028=AXIS2_PLACEMENT_3D('',#511636,#418404,#418405); #351029=AXIS2_PLACEMENT_3D('',#511642,#418409,#418410); #351030=AXIS2_PLACEMENT_3D('',#511648,#418414,#418415); #351031=AXIS2_PLACEMENT_3D('',#511654,#418419,#418420); #351032=AXIS2_PLACEMENT_3D('',#511660,#418424,#418425); #351033=AXIS2_PLACEMENT_3D('',#511666,#418429,#418430); #351034=AXIS2_PLACEMENT_3D('',#511672,#418434,#418435); #351035=AXIS2_PLACEMENT_3D('',#511678,#418439,#418440); #351036=AXIS2_PLACEMENT_3D('',#511684,#418444,#418445); #351037=AXIS2_PLACEMENT_3D('',#511690,#418449,#418450); #351038=AXIS2_PLACEMENT_3D('',#511696,#418454,#418455); #351039=AXIS2_PLACEMENT_3D('',#511702,#418459,#418460); #351040=AXIS2_PLACEMENT_3D('',#511708,#418464,#418465); #351041=AXIS2_PLACEMENT_3D('',#511714,#418469,#418470); #351042=AXIS2_PLACEMENT_3D('',#511720,#418474,#418475); #351043=AXIS2_PLACEMENT_3D('',#511726,#418479,#418480); #351044=AXIS2_PLACEMENT_3D('',#511732,#418484,#418485); #351045=AXIS2_PLACEMENT_3D('',#511738,#418489,#418490); #351046=AXIS2_PLACEMENT_3D('',#511744,#418494,#418495); #351047=AXIS2_PLACEMENT_3D('',#511750,#418499,#418500); #351048=AXIS2_PLACEMENT_3D('',#511756,#418504,#418505); #351049=AXIS2_PLACEMENT_3D('',#511762,#418509,#418510); #351050=AXIS2_PLACEMENT_3D('',#511768,#418514,#418515); #351051=AXIS2_PLACEMENT_3D('',#511774,#418519,#418520); #351052=AXIS2_PLACEMENT_3D('',#511780,#418524,#418525); #351053=AXIS2_PLACEMENT_3D('',#511786,#418529,#418530); #351054=AXIS2_PLACEMENT_3D('',#511792,#418534,#418535); #351055=AXIS2_PLACEMENT_3D('',#511795,#418538,#418539); #351056=AXIS2_PLACEMENT_3D('',#511796,#418540,#418541); #351057=AXIS2_PLACEMENT_3D('',#511797,#418542,#418543); #351058=AXIS2_PLACEMENT_3D('',#511806,#418548,#418549); #351059=AXIS2_PLACEMENT_3D('',#511812,#418553,#418554); #351060=AXIS2_PLACEMENT_3D('',#511818,#418558,#418559); #351061=AXIS2_PLACEMENT_3D('',#511824,#418563,#418564); #351062=AXIS2_PLACEMENT_3D('',#511830,#418568,#418569); #351063=AXIS2_PLACEMENT_3D('',#511836,#418573,#418574); #351064=AXIS2_PLACEMENT_3D('',#511842,#418578,#418579); #351065=AXIS2_PLACEMENT_3D('',#511848,#418583,#418584); #351066=AXIS2_PLACEMENT_3D('',#511854,#418588,#418589); #351067=AXIS2_PLACEMENT_3D('',#511860,#418593,#418594); #351068=AXIS2_PLACEMENT_3D('',#511866,#418598,#418599); #351069=AXIS2_PLACEMENT_3D('',#511872,#418603,#418604); #351070=AXIS2_PLACEMENT_3D('',#511878,#418608,#418609); #351071=AXIS2_PLACEMENT_3D('',#511884,#418613,#418614); #351072=AXIS2_PLACEMENT_3D('',#511890,#418618,#418619); #351073=AXIS2_PLACEMENT_3D('',#511896,#418623,#418624); #351074=AXIS2_PLACEMENT_3D('',#511902,#418628,#418629); #351075=AXIS2_PLACEMENT_3D('',#511908,#418633,#418634); #351076=AXIS2_PLACEMENT_3D('',#511914,#418638,#418639); #351077=AXIS2_PLACEMENT_3D('',#511920,#418643,#418644); #351078=AXIS2_PLACEMENT_3D('',#511926,#418648,#418649); #351079=AXIS2_PLACEMENT_3D('',#511932,#418653,#418654); #351080=AXIS2_PLACEMENT_3D('',#511938,#418658,#418659); #351081=AXIS2_PLACEMENT_3D('',#511944,#418663,#418664); #351082=AXIS2_PLACEMENT_3D('',#511950,#418668,#418669); #351083=AXIS2_PLACEMENT_3D('',#511956,#418673,#418674); #351084=AXIS2_PLACEMENT_3D('',#511959,#418677,#418678); #351085=AXIS2_PLACEMENT_3D('',#511960,#418679,#418680); #351086=AXIS2_PLACEMENT_3D('',#511961,#418681,#418682); #351087=AXIS2_PLACEMENT_3D('',#511970,#418687,#418688); #351088=AXIS2_PLACEMENT_3D('',#511976,#418692,#418693); #351089=AXIS2_PLACEMENT_3D('',#511982,#418697,#418698); #351090=AXIS2_PLACEMENT_3D('',#511988,#418702,#418703); #351091=AXIS2_PLACEMENT_3D('',#511994,#418707,#418708); #351092=AXIS2_PLACEMENT_3D('',#512000,#418712,#418713); #351093=AXIS2_PLACEMENT_3D('',#512006,#418717,#418718); #351094=AXIS2_PLACEMENT_3D('',#512012,#418722,#418723); #351095=AXIS2_PLACEMENT_3D('',#512018,#418727,#418728); #351096=AXIS2_PLACEMENT_3D('',#512024,#418732,#418733); #351097=AXIS2_PLACEMENT_3D('',#512030,#418737,#418738); #351098=AXIS2_PLACEMENT_3D('',#512036,#418742,#418743); #351099=AXIS2_PLACEMENT_3D('',#512042,#418747,#418748); #351100=AXIS2_PLACEMENT_3D('',#512048,#418752,#418753); #351101=AXIS2_PLACEMENT_3D('',#512054,#418757,#418758); #351102=AXIS2_PLACEMENT_3D('',#512060,#418762,#418763); #351103=AXIS2_PLACEMENT_3D('',#512066,#418767,#418768); #351104=AXIS2_PLACEMENT_3D('',#512072,#418772,#418773); #351105=AXIS2_PLACEMENT_3D('',#512078,#418777,#418778); #351106=AXIS2_PLACEMENT_3D('',#512084,#418782,#418783); #351107=AXIS2_PLACEMENT_3D('',#512087,#418786,#418787); #351108=AXIS2_PLACEMENT_3D('',#512088,#418788,#418789); #351109=AXIS2_PLACEMENT_3D('',#512089,#418790,#418791); #351110=AXIS2_PLACEMENT_3D('',#512098,#418796,#418797); #351111=AXIS2_PLACEMENT_3D('',#512104,#418801,#418802); #351112=AXIS2_PLACEMENT_3D('',#512110,#418806,#418807); #351113=AXIS2_PLACEMENT_3D('',#512116,#418811,#418812); #351114=AXIS2_PLACEMENT_3D('',#512122,#418816,#418817); #351115=AXIS2_PLACEMENT_3D('',#512128,#418821,#418822); #351116=AXIS2_PLACEMENT_3D('',#512134,#418826,#418827); #351117=AXIS2_PLACEMENT_3D('',#512140,#418831,#418832); #351118=AXIS2_PLACEMENT_3D('',#512146,#418836,#418837); #351119=AXIS2_PLACEMENT_3D('',#512152,#418841,#418842); #351120=AXIS2_PLACEMENT_3D('',#512158,#418846,#418847); #351121=AXIS2_PLACEMENT_3D('',#512164,#418851,#418852); #351122=AXIS2_PLACEMENT_3D('',#512170,#418856,#418857); #351123=AXIS2_PLACEMENT_3D('',#512176,#418861,#418862); #351124=AXIS2_PLACEMENT_3D('',#512182,#418866,#418867); #351125=AXIS2_PLACEMENT_3D('',#512188,#418871,#418872); #351126=AXIS2_PLACEMENT_3D('',#512194,#418876,#418877); #351127=AXIS2_PLACEMENT_3D('',#512200,#418881,#418882); #351128=AXIS2_PLACEMENT_3D('',#512206,#418886,#418887); #351129=AXIS2_PLACEMENT_3D('',#512209,#418890,#418891); #351130=AXIS2_PLACEMENT_3D('',#512210,#418892,#418893); #351131=AXIS2_PLACEMENT_3D('',#512211,#418894,#418895); #351132=AXIS2_PLACEMENT_3D('',#512213,#418896,#418897); #351133=AXIS2_PLACEMENT_3D('',#512216,#418899,#418900); #351134=AXIS2_PLACEMENT_3D('',#512217,#418901,#418902); #351135=AXIS2_PLACEMENT_3D('',#512226,#418907,#418908); #351136=AXIS2_PLACEMENT_3D('',#512232,#418912,#418913); #351137=AXIS2_PLACEMENT_3D('',#512238,#418917,#418918); #351138=AXIS2_PLACEMENT_3D('',#512244,#418922,#418923); #351139=AXIS2_PLACEMENT_3D('',#512250,#418927,#418928); #351140=AXIS2_PLACEMENT_3D('',#512256,#418932,#418933); #351141=AXIS2_PLACEMENT_3D('',#512262,#418937,#418938); #351142=AXIS2_PLACEMENT_3D('',#512265,#418941,#418942); #351143=AXIS2_PLACEMENT_3D('',#512266,#418943,#418944); #351144=AXIS2_PLACEMENT_3D('',#512267,#418945,#418946); #351145=AXIS2_PLACEMENT_3D('',#512276,#418951,#418952); #351146=AXIS2_PLACEMENT_3D('',#512282,#418956,#418957); #351147=AXIS2_PLACEMENT_3D('',#512288,#418961,#418962); #351148=AXIS2_PLACEMENT_3D('',#512294,#418966,#418967); #351149=AXIS2_PLACEMENT_3D('',#512300,#418971,#418972); #351150=AXIS2_PLACEMENT_3D('',#512306,#418976,#418977); #351151=AXIS2_PLACEMENT_3D('',#512312,#418981,#418982); #351152=AXIS2_PLACEMENT_3D('',#512318,#418986,#418987); #351153=AXIS2_PLACEMENT_3D('',#512324,#418991,#418992); #351154=AXIS2_PLACEMENT_3D('',#512330,#418996,#418997); #351155=AXIS2_PLACEMENT_3D('',#512336,#419001,#419002); #351156=AXIS2_PLACEMENT_3D('',#512342,#419006,#419007); #351157=AXIS2_PLACEMENT_3D('',#512348,#419011,#419012); #351158=AXIS2_PLACEMENT_3D('',#512354,#419016,#419017); #351159=AXIS2_PLACEMENT_3D('',#512360,#419021,#419022); #351160=AXIS2_PLACEMENT_3D('',#512366,#419026,#419027); #351161=AXIS2_PLACEMENT_3D('',#512372,#419031,#419032); #351162=AXIS2_PLACEMENT_3D('',#512378,#419036,#419037); #351163=AXIS2_PLACEMENT_3D('',#512384,#419041,#419042); #351164=AXIS2_PLACEMENT_3D('',#512390,#419046,#419047); #351165=AXIS2_PLACEMENT_3D('',#512393,#419050,#419051); #351166=AXIS2_PLACEMENT_3D('',#512394,#419052,#419053); #351167=AXIS2_PLACEMENT_3D('',#512395,#419054,#419055); #351168=AXIS2_PLACEMENT_3D('',#512404,#419060,#419061); #351169=AXIS2_PLACEMENT_3D('',#512410,#419065,#419066); #351170=AXIS2_PLACEMENT_3D('',#512416,#419070,#419071); #351171=AXIS2_PLACEMENT_3D('',#512422,#419075,#419076); #351172=AXIS2_PLACEMENT_3D('',#512428,#419080,#419081); #351173=AXIS2_PLACEMENT_3D('',#512434,#419085,#419086); #351174=AXIS2_PLACEMENT_3D('',#512440,#419090,#419091); #351175=AXIS2_PLACEMENT_3D('',#512446,#419095,#419096); #351176=AXIS2_PLACEMENT_3D('',#512452,#419100,#419101); #351177=AXIS2_PLACEMENT_3D('',#512458,#419105,#419106); #351178=AXIS2_PLACEMENT_3D('',#512464,#419110,#419111); #351179=AXIS2_PLACEMENT_3D('',#512470,#419115,#419116); #351180=AXIS2_PLACEMENT_3D('',#512476,#419120,#419121); #351181=AXIS2_PLACEMENT_3D('',#512482,#419125,#419126); #351182=AXIS2_PLACEMENT_3D('',#512488,#419130,#419131); #351183=AXIS2_PLACEMENT_3D('',#512494,#419135,#419136); #351184=AXIS2_PLACEMENT_3D('',#512500,#419140,#419141); #351185=AXIS2_PLACEMENT_3D('',#512506,#419145,#419146); #351186=AXIS2_PLACEMENT_3D('',#512512,#419150,#419151); #351187=AXIS2_PLACEMENT_3D('',#512518,#419155,#419156); #351188=AXIS2_PLACEMENT_3D('',#512524,#419160,#419161); #351189=AXIS2_PLACEMENT_3D('',#512527,#419164,#419165); #351190=AXIS2_PLACEMENT_3D('',#512528,#419166,#419167); #351191=AXIS2_PLACEMENT_3D('',#512529,#419168,#419169); #351192=AXIS2_PLACEMENT_3D('',#512538,#419174,#419175); #351193=AXIS2_PLACEMENT_3D('',#512544,#419179,#419180); #351194=AXIS2_PLACEMENT_3D('',#512550,#419184,#419185); #351195=AXIS2_PLACEMENT_3D('',#512556,#419189,#419190); #351196=AXIS2_PLACEMENT_3D('',#512562,#419194,#419195); #351197=AXIS2_PLACEMENT_3D('',#512568,#419199,#419200); #351198=AXIS2_PLACEMENT_3D('',#512574,#419204,#419205); #351199=AXIS2_PLACEMENT_3D('',#512580,#419209,#419210); #351200=AXIS2_PLACEMENT_3D('',#512586,#419214,#419215); #351201=AXIS2_PLACEMENT_3D('',#512592,#419219,#419220); #351202=AXIS2_PLACEMENT_3D('',#512598,#419224,#419225); #351203=AXIS2_PLACEMENT_3D('',#512604,#419229,#419230); #351204=AXIS2_PLACEMENT_3D('',#512610,#419234,#419235); #351205=AXIS2_PLACEMENT_3D('',#512616,#419239,#419240); #351206=AXIS2_PLACEMENT_3D('',#512622,#419244,#419245); #351207=AXIS2_PLACEMENT_3D('',#512628,#419249,#419250); #351208=AXIS2_PLACEMENT_3D('',#512634,#419254,#419255); #351209=AXIS2_PLACEMENT_3D('',#512640,#419259,#419260); #351210=AXIS2_PLACEMENT_3D('',#512646,#419264,#419265); #351211=AXIS2_PLACEMENT_3D('',#512649,#419268,#419269); #351212=AXIS2_PLACEMENT_3D('',#512650,#419270,#419271); #351213=AXIS2_PLACEMENT_3D('',#512651,#419272,#419273); #351214=AXIS2_PLACEMENT_3D('',#512660,#419278,#419279); #351215=AXIS2_PLACEMENT_3D('',#512666,#419283,#419284); #351216=AXIS2_PLACEMENT_3D('',#512672,#419288,#419289); #351217=AXIS2_PLACEMENT_3D('',#512678,#419293,#419294); #351218=AXIS2_PLACEMENT_3D('',#512684,#419298,#419299); #351219=AXIS2_PLACEMENT_3D('',#512690,#419303,#419304); #351220=AXIS2_PLACEMENT_3D('',#512696,#419308,#419309); #351221=AXIS2_PLACEMENT_3D('',#512702,#419313,#419314); #351222=AXIS2_PLACEMENT_3D('',#512708,#419318,#419319); #351223=AXIS2_PLACEMENT_3D('',#512714,#419323,#419324); #351224=AXIS2_PLACEMENT_3D('',#512720,#419328,#419329); #351225=AXIS2_PLACEMENT_3D('',#512726,#419333,#419334); #351226=AXIS2_PLACEMENT_3D('',#512732,#419338,#419339); #351227=AXIS2_PLACEMENT_3D('',#512738,#419343,#419344); #351228=AXIS2_PLACEMENT_3D('',#512744,#419348,#419349); #351229=AXIS2_PLACEMENT_3D('',#512750,#419353,#419354); #351230=AXIS2_PLACEMENT_3D('',#512756,#419358,#419359); #351231=AXIS2_PLACEMENT_3D('',#512762,#419363,#419364); #351232=AXIS2_PLACEMENT_3D('',#512768,#419368,#419369); #351233=AXIS2_PLACEMENT_3D('',#512774,#419373,#419374); #351234=AXIS2_PLACEMENT_3D('',#512780,#419378,#419379); #351235=AXIS2_PLACEMENT_3D('',#512786,#419383,#419384); #351236=AXIS2_PLACEMENT_3D('',#512792,#419388,#419389); #351237=AXIS2_PLACEMENT_3D('',#512798,#419393,#419394); #351238=AXIS2_PLACEMENT_3D('',#512804,#419398,#419399); #351239=AXIS2_PLACEMENT_3D('',#512810,#419403,#419404); #351240=AXIS2_PLACEMENT_3D('',#512816,#419408,#419409); #351241=AXIS2_PLACEMENT_3D('',#512822,#419413,#419414); #351242=AXIS2_PLACEMENT_3D('',#512828,#419418,#419419); #351243=AXIS2_PLACEMENT_3D('',#512834,#419423,#419424); #351244=AXIS2_PLACEMENT_3D('',#512840,#419428,#419429); #351245=AXIS2_PLACEMENT_3D('',#512846,#419433,#419434); #351246=AXIS2_PLACEMENT_3D('',#512852,#419438,#419439); #351247=AXIS2_PLACEMENT_3D('',#512858,#419443,#419444); #351248=AXIS2_PLACEMENT_3D('',#512864,#419448,#419449); #351249=AXIS2_PLACEMENT_3D('',#512870,#419453,#419454); #351250=AXIS2_PLACEMENT_3D('',#512876,#419458,#419459); #351251=AXIS2_PLACEMENT_3D('',#512882,#419463,#419464); #351252=AXIS2_PLACEMENT_3D('',#512888,#419468,#419469); #351253=AXIS2_PLACEMENT_3D('',#512894,#419473,#419474); #351254=AXIS2_PLACEMENT_3D('',#512900,#419478,#419479); #351255=AXIS2_PLACEMENT_3D('',#512906,#419483,#419484); #351256=AXIS2_PLACEMENT_3D('',#512912,#419488,#419489); #351257=AXIS2_PLACEMENT_3D('',#512918,#419493,#419494); #351258=AXIS2_PLACEMENT_3D('',#512924,#419498,#419499); #351259=AXIS2_PLACEMENT_3D('',#512930,#419503,#419504); #351260=AXIS2_PLACEMENT_3D('',#512933,#419507,#419508); #351261=AXIS2_PLACEMENT_3D('',#512934,#419509,#419510); #351262=AXIS2_PLACEMENT_3D('',#512935,#419511,#419512); #351263=AXIS2_PLACEMENT_3D('',#512944,#419517,#419518); #351264=AXIS2_PLACEMENT_3D('',#512950,#419522,#419523); #351265=AXIS2_PLACEMENT_3D('',#512956,#419527,#419528); #351266=AXIS2_PLACEMENT_3D('',#512962,#419532,#419533); #351267=AXIS2_PLACEMENT_3D('',#512968,#419537,#419538); #351268=AXIS2_PLACEMENT_3D('',#512974,#419542,#419543); #351269=AXIS2_PLACEMENT_3D('',#512980,#419547,#419548); #351270=AXIS2_PLACEMENT_3D('',#512986,#419552,#419553); #351271=AXIS2_PLACEMENT_3D('',#512992,#419557,#419558); #351272=AXIS2_PLACEMENT_3D('',#512998,#419562,#419563); #351273=AXIS2_PLACEMENT_3D('',#513004,#419567,#419568); #351274=AXIS2_PLACEMENT_3D('',#513010,#419572,#419573); #351275=AXIS2_PLACEMENT_3D('',#513016,#419577,#419578); #351276=AXIS2_PLACEMENT_3D('',#513022,#419582,#419583); #351277=AXIS2_PLACEMENT_3D('',#513028,#419587,#419588); #351278=AXIS2_PLACEMENT_3D('',#513034,#419592,#419593); #351279=AXIS2_PLACEMENT_3D('',#513040,#419597,#419598); #351280=AXIS2_PLACEMENT_3D('',#513046,#419602,#419603); #351281=AXIS2_PLACEMENT_3D('',#513052,#419607,#419608); #351282=AXIS2_PLACEMENT_3D('',#513058,#419612,#419613); #351283=AXIS2_PLACEMENT_3D('',#513064,#419617,#419618); #351284=AXIS2_PLACEMENT_3D('',#513070,#419622,#419623); #351285=AXIS2_PLACEMENT_3D('',#513076,#419627,#419628); #351286=AXIS2_PLACEMENT_3D('',#513082,#419632,#419633); #351287=AXIS2_PLACEMENT_3D('',#513088,#419637,#419638); #351288=AXIS2_PLACEMENT_3D('',#513094,#419642,#419643); #351289=AXIS2_PLACEMENT_3D('',#513100,#419647,#419648); #351290=AXIS2_PLACEMENT_3D('',#513103,#419651,#419652); #351291=AXIS2_PLACEMENT_3D('',#513104,#419653,#419654); #351292=AXIS2_PLACEMENT_3D('',#513105,#419655,#419656); #351293=AXIS2_PLACEMENT_3D('',#513107,#419657,#419658); #351294=AXIS2_PLACEMENT_3D('',#513110,#419660,#419661); #351295=AXIS2_PLACEMENT_3D('',#513111,#419662,#419663); #351296=AXIS2_PLACEMENT_3D('',#513113,#419664,#419665); #351297=AXIS2_PLACEMENT_3D('',#513116,#419667,#419668); #351298=AXIS2_PLACEMENT_3D('',#513117,#419669,#419670); #351299=AXIS2_PLACEMENT_3D('',#513119,#419671,#419672); #351300=AXIS2_PLACEMENT_3D('',#513122,#419674,#419675); #351301=AXIS2_PLACEMENT_3D('',#513123,#419676,#419677); #351302=AXIS2_PLACEMENT_3D('',#513125,#419678,#419679); #351303=AXIS2_PLACEMENT_3D('',#513128,#419681,#419682); #351304=AXIS2_PLACEMENT_3D('',#513129,#419683,#419684); #351305=AXIS2_PLACEMENT_3D('',#513131,#419685,#419686); #351306=AXIS2_PLACEMENT_3D('',#513134,#419688,#419689); #351307=AXIS2_PLACEMENT_3D('',#513135,#419690,#419691); #351308=AXIS2_PLACEMENT_3D('',#513137,#419692,#419693); #351309=AXIS2_PLACEMENT_3D('',#513140,#419695,#419696); #351310=AXIS2_PLACEMENT_3D('',#513141,#419697,#419698); #351311=AXIS2_PLACEMENT_3D('',#513143,#419699,#419700); #351312=AXIS2_PLACEMENT_3D('',#513146,#419702,#419703); #351313=AXIS2_PLACEMENT_3D('',#513147,#419704,#419705); #351314=AXIS2_PLACEMENT_3D('',#513149,#419706,#419707); #351315=AXIS2_PLACEMENT_3D('',#513152,#419709,#419710); #351316=AXIS2_PLACEMENT_3D('',#513153,#419711,#419712); #351317=AXIS2_PLACEMENT_3D('',#513155,#419713,#419714); #351318=AXIS2_PLACEMENT_3D('',#513158,#419716,#419717); #351319=AXIS2_PLACEMENT_3D('',#513159,#419718,#419719); #351320=AXIS2_PLACEMENT_3D('',#513161,#419720,#419721); #351321=AXIS2_PLACEMENT_3D('',#513164,#419723,#419724); #351322=AXIS2_PLACEMENT_3D('',#513165,#419725,#419726); #351323=AXIS2_PLACEMENT_3D('',#513167,#419727,#419728); #351324=AXIS2_PLACEMENT_3D('',#513170,#419730,#419731); #351325=AXIS2_PLACEMENT_3D('',#513171,#419732,#419733); #351326=AXIS2_PLACEMENT_3D('',#513173,#419734,#419735); #351327=AXIS2_PLACEMENT_3D('',#513176,#419737,#419738); #351328=AXIS2_PLACEMENT_3D('',#513177,#419739,#419740); #351329=AXIS2_PLACEMENT_3D('',#513179,#419741,#419742); #351330=AXIS2_PLACEMENT_3D('',#513182,#419744,#419745); #351331=AXIS2_PLACEMENT_3D('',#513183,#419746,#419747); #351332=AXIS2_PLACEMENT_3D('',#513185,#419748,#419749); #351333=AXIS2_PLACEMENT_3D('',#513188,#419751,#419752); #351334=AXIS2_PLACEMENT_3D('',#513189,#419753,#419754); #351335=AXIS2_PLACEMENT_3D('',#513191,#419755,#419756); #351336=AXIS2_PLACEMENT_3D('',#513194,#419758,#419759); #351337=AXIS2_PLACEMENT_3D('',#513195,#419760,#419761); #351338=AXIS2_PLACEMENT_3D('',#513197,#419762,#419763); #351339=AXIS2_PLACEMENT_3D('',#513200,#419765,#419766); #351340=AXIS2_PLACEMENT_3D('',#513201,#419767,#419768); #351341=AXIS2_PLACEMENT_3D('',#513210,#419773,#419774); #351342=AXIS2_PLACEMENT_3D('',#513216,#419778,#419779); #351343=AXIS2_PLACEMENT_3D('',#513222,#419783,#419784); #351344=AXIS2_PLACEMENT_3D('',#513228,#419788,#419789); #351345=AXIS2_PLACEMENT_3D('',#513234,#419793,#419794); #351346=AXIS2_PLACEMENT_3D('',#513240,#419798,#419799); #351347=AXIS2_PLACEMENT_3D('',#513246,#419803,#419804); #351348=AXIS2_PLACEMENT_3D('',#513252,#419808,#419809); #351349=AXIS2_PLACEMENT_3D('',#513258,#419813,#419814); #351350=AXIS2_PLACEMENT_3D('',#513264,#419818,#419819); #351351=AXIS2_PLACEMENT_3D('',#513270,#419823,#419824); #351352=AXIS2_PLACEMENT_3D('',#513276,#419828,#419829); #351353=AXIS2_PLACEMENT_3D('',#513282,#419833,#419834); #351354=AXIS2_PLACEMENT_3D('',#513288,#419838,#419839); #351355=AXIS2_PLACEMENT_3D('',#513294,#419843,#419844); #351356=AXIS2_PLACEMENT_3D('',#513300,#419848,#419849); #351357=AXIS2_PLACEMENT_3D('',#513306,#419853,#419854); #351358=AXIS2_PLACEMENT_3D('',#513312,#419858,#419859); #351359=AXIS2_PLACEMENT_3D('',#513318,#419863,#419864); #351360=AXIS2_PLACEMENT_3D('',#513324,#419868,#419869); #351361=AXIS2_PLACEMENT_3D('',#513330,#419873,#419874); #351362=AXIS2_PLACEMENT_3D('',#513336,#419878,#419879); #351363=AXIS2_PLACEMENT_3D('',#513342,#419883,#419884); #351364=AXIS2_PLACEMENT_3D('',#513348,#419888,#419889); #351365=AXIS2_PLACEMENT_3D('',#513354,#419893,#419894); #351366=AXIS2_PLACEMENT_3D('',#513360,#419898,#419899); #351367=AXIS2_PLACEMENT_3D('',#513366,#419903,#419904); #351368=AXIS2_PLACEMENT_3D('',#513372,#419908,#419909); #351369=AXIS2_PLACEMENT_3D('',#513378,#419913,#419914); #351370=AXIS2_PLACEMENT_3D('',#513384,#419918,#419919); #351371=AXIS2_PLACEMENT_3D('',#513390,#419923,#419924); #351372=AXIS2_PLACEMENT_3D('',#513396,#419928,#419929); #351373=AXIS2_PLACEMENT_3D('',#513402,#419933,#419934); #351374=AXIS2_PLACEMENT_3D('',#513408,#419938,#419939); #351375=AXIS2_PLACEMENT_3D('',#513414,#419943,#419944); #351376=AXIS2_PLACEMENT_3D('',#513420,#419948,#419949); #351377=AXIS2_PLACEMENT_3D('',#513426,#419953,#419954); #351378=AXIS2_PLACEMENT_3D('',#513432,#419958,#419959); #351379=AXIS2_PLACEMENT_3D('',#513438,#419963,#419964); #351380=AXIS2_PLACEMENT_3D('',#513444,#419968,#419969); #351381=AXIS2_PLACEMENT_3D('',#513450,#419973,#419974); #351382=AXIS2_PLACEMENT_3D('',#513456,#419978,#419979); #351383=AXIS2_PLACEMENT_3D('',#513462,#419983,#419984); #351384=AXIS2_PLACEMENT_3D('',#513468,#419988,#419989); #351385=AXIS2_PLACEMENT_3D('',#513474,#419993,#419994); #351386=AXIS2_PLACEMENT_3D('',#513480,#419998,#419999); #351387=AXIS2_PLACEMENT_3D('',#513486,#420003,#420004); #351388=AXIS2_PLACEMENT_3D('',#513492,#420008,#420009); #351389=AXIS2_PLACEMENT_3D('',#513498,#420013,#420014); #351390=AXIS2_PLACEMENT_3D('',#513504,#420018,#420019); #351391=AXIS2_PLACEMENT_3D('',#513510,#420023,#420024); #351392=AXIS2_PLACEMENT_3D('',#513516,#420028,#420029); #351393=AXIS2_PLACEMENT_3D('',#513522,#420033,#420034); #351394=AXIS2_PLACEMENT_3D('',#513528,#420038,#420039); #351395=AXIS2_PLACEMENT_3D('',#513534,#420043,#420044); #351396=AXIS2_PLACEMENT_3D('',#513540,#420048,#420049); #351397=AXIS2_PLACEMENT_3D('',#513546,#420053,#420054); #351398=AXIS2_PLACEMENT_3D('',#513552,#420058,#420059); #351399=AXIS2_PLACEMENT_3D('',#513558,#420063,#420064); #351400=AXIS2_PLACEMENT_3D('',#513564,#420068,#420069); #351401=AXIS2_PLACEMENT_3D('',#513570,#420073,#420074); #351402=AXIS2_PLACEMENT_3D('',#513576,#420078,#420079); #351403=AXIS2_PLACEMENT_3D('',#513582,#420083,#420084); #351404=AXIS2_PLACEMENT_3D('',#513588,#420088,#420089); #351405=AXIS2_PLACEMENT_3D('',#513594,#420093,#420094); #351406=AXIS2_PLACEMENT_3D('',#513600,#420098,#420099); #351407=AXIS2_PLACEMENT_3D('',#513606,#420103,#420104); #351408=AXIS2_PLACEMENT_3D('',#513612,#420108,#420109); #351409=AXIS2_PLACEMENT_3D('',#513618,#420113,#420114); #351410=AXIS2_PLACEMENT_3D('',#513624,#420118,#420119); #351411=AXIS2_PLACEMENT_3D('',#513630,#420123,#420124); #351412=AXIS2_PLACEMENT_3D('',#513636,#420128,#420129); #351413=AXIS2_PLACEMENT_3D('',#513642,#420133,#420134); #351414=AXIS2_PLACEMENT_3D('',#513645,#420137,#420138); #351415=AXIS2_PLACEMENT_3D('',#513646,#420139,#420140); #351416=AXIS2_PLACEMENT_3D('',#513647,#420141,#420142); #351417=AXIS2_PLACEMENT_3D('',#513649,#420143,#420144); #351418=AXIS2_PLACEMENT_3D('',#513652,#420146,#420147); #351419=AXIS2_PLACEMENT_3D('',#513653,#420148,#420149); #351420=AXIS2_PLACEMENT_3D('',#513655,#420150,#420151); #351421=AXIS2_PLACEMENT_3D('',#513658,#420153,#420154); #351422=AXIS2_PLACEMENT_3D('',#513659,#420155,#420156); #351423=AXIS2_PLACEMENT_3D('',#513661,#420157,#420158); #351424=AXIS2_PLACEMENT_3D('',#513664,#420160,#420161); #351425=AXIS2_PLACEMENT_3D('',#513665,#420162,#420163); #351426=AXIS2_PLACEMENT_3D('',#513667,#420164,#420165); #351427=AXIS2_PLACEMENT_3D('',#513670,#420167,#420168); #351428=AXIS2_PLACEMENT_3D('',#513671,#420169,#420170); #351429=AXIS2_PLACEMENT_3D('',#513673,#420171,#420172); #351430=AXIS2_PLACEMENT_3D('',#513676,#420174,#420175); #351431=AXIS2_PLACEMENT_3D('',#513677,#420176,#420177); #351432=AXIS2_PLACEMENT_3D('',#513679,#420178,#420179); #351433=AXIS2_PLACEMENT_3D('',#513682,#420181,#420182); #351434=AXIS2_PLACEMENT_3D('',#513683,#420183,#420184); #351435=AXIS2_PLACEMENT_3D('',#513685,#420185,#420186); #351436=AXIS2_PLACEMENT_3D('',#513688,#420188,#420189); #351437=AXIS2_PLACEMENT_3D('',#513689,#420190,#420191); #351438=AXIS2_PLACEMENT_3D('',#513691,#420192,#420193); #351439=AXIS2_PLACEMENT_3D('',#513694,#420195,#420196); #351440=AXIS2_PLACEMENT_3D('',#513695,#420197,#420198); #351441=AXIS2_PLACEMENT_3D('',#513697,#420199,#420200); #351442=AXIS2_PLACEMENT_3D('',#513700,#420202,#420203); #351443=AXIS2_PLACEMENT_3D('',#513701,#420204,#420205); #351444=AXIS2_PLACEMENT_3D('',#513703,#420206,#420207); #351445=AXIS2_PLACEMENT_3D('',#513706,#420209,#420210); #351446=AXIS2_PLACEMENT_3D('',#513707,#420211,#420212); #351447=AXIS2_PLACEMENT_3D('',#513709,#420213,#420214); #351448=AXIS2_PLACEMENT_3D('',#513712,#420216,#420217); #351449=AXIS2_PLACEMENT_3D('',#513713,#420218,#420219); #351450=AXIS2_PLACEMENT_3D('',#513715,#420220,#420221); #351451=AXIS2_PLACEMENT_3D('',#513718,#420223,#420224); #351452=AXIS2_PLACEMENT_3D('',#513719,#420225,#420226); #351453=AXIS2_PLACEMENT_3D('',#513721,#420227,#420228); #351454=AXIS2_PLACEMENT_3D('',#513724,#420230,#420231); #351455=AXIS2_PLACEMENT_3D('',#513725,#420232,#420233); #351456=AXIS2_PLACEMENT_3D('',#513727,#420234,#420235); #351457=AXIS2_PLACEMENT_3D('',#513730,#420237,#420238); #351458=AXIS2_PLACEMENT_3D('',#513731,#420239,#420240); #351459=AXIS2_PLACEMENT_3D('',#513733,#420241,#420242); #351460=AXIS2_PLACEMENT_3D('',#513736,#420244,#420245); #351461=AXIS2_PLACEMENT_3D('',#513737,#420246,#420247); #351462=AXIS2_PLACEMENT_3D('',#513739,#420248,#420249); #351463=AXIS2_PLACEMENT_3D('',#513742,#420251,#420252); #351464=AXIS2_PLACEMENT_3D('',#513743,#420253,#420254); #351465=AXIS2_PLACEMENT_3D('',#513752,#420259,#420260); #351466=AXIS2_PLACEMENT_3D('',#513758,#420264,#420265); #351467=AXIS2_PLACEMENT_3D('',#513764,#420269,#420270); #351468=AXIS2_PLACEMENT_3D('',#513770,#420274,#420275); #351469=AXIS2_PLACEMENT_3D('',#513776,#420279,#420280); #351470=AXIS2_PLACEMENT_3D('',#513782,#420284,#420285); #351471=AXIS2_PLACEMENT_3D('',#513788,#420289,#420290); #351472=AXIS2_PLACEMENT_3D('',#513794,#420294,#420295); #351473=AXIS2_PLACEMENT_3D('',#513800,#420299,#420300); #351474=AXIS2_PLACEMENT_3D('',#513806,#420304,#420305); #351475=AXIS2_PLACEMENT_3D('',#513812,#420309,#420310); #351476=AXIS2_PLACEMENT_3D('',#513818,#420314,#420315); #351477=AXIS2_PLACEMENT_3D('',#513824,#420319,#420320); #351478=AXIS2_PLACEMENT_3D('',#513830,#420324,#420325); #351479=AXIS2_PLACEMENT_3D('',#513836,#420329,#420330); #351480=AXIS2_PLACEMENT_3D('',#513842,#420334,#420335); #351481=AXIS2_PLACEMENT_3D('',#513848,#420339,#420340); #351482=AXIS2_PLACEMENT_3D('',#513854,#420344,#420345); #351483=AXIS2_PLACEMENT_3D('',#513860,#420349,#420350); #351484=AXIS2_PLACEMENT_3D('',#513866,#420354,#420355); #351485=AXIS2_PLACEMENT_3D('',#513872,#420359,#420360); #351486=AXIS2_PLACEMENT_3D('',#513878,#420364,#420365); #351487=AXIS2_PLACEMENT_3D('',#513884,#420369,#420370); #351488=AXIS2_PLACEMENT_3D('',#513890,#420374,#420375); #351489=AXIS2_PLACEMENT_3D('',#513896,#420379,#420380); #351490=AXIS2_PLACEMENT_3D('',#513902,#420384,#420385); #351491=AXIS2_PLACEMENT_3D('',#513908,#420389,#420390); #351492=AXIS2_PLACEMENT_3D('',#513914,#420394,#420395); #351493=AXIS2_PLACEMENT_3D('',#513920,#420399,#420400); #351494=AXIS2_PLACEMENT_3D('',#513926,#420404,#420405); #351495=AXIS2_PLACEMENT_3D('',#513932,#420409,#420410); #351496=AXIS2_PLACEMENT_3D('',#513938,#420414,#420415); #351497=AXIS2_PLACEMENT_3D('',#513944,#420419,#420420); #351498=AXIS2_PLACEMENT_3D('',#513950,#420424,#420425); #351499=AXIS2_PLACEMENT_3D('',#513956,#420429,#420430); #351500=AXIS2_PLACEMENT_3D('',#513962,#420434,#420435); #351501=AXIS2_PLACEMENT_3D('',#513968,#420439,#420440); #351502=AXIS2_PLACEMENT_3D('',#513974,#420444,#420445); #351503=AXIS2_PLACEMENT_3D('',#513980,#420449,#420450); #351504=AXIS2_PLACEMENT_3D('',#513986,#420454,#420455); #351505=AXIS2_PLACEMENT_3D('',#513992,#420459,#420460); #351506=AXIS2_PLACEMENT_3D('',#513998,#420464,#420465); #351507=AXIS2_PLACEMENT_3D('',#514004,#420469,#420470); #351508=AXIS2_PLACEMENT_3D('',#514010,#420474,#420475); #351509=AXIS2_PLACEMENT_3D('',#514016,#420479,#420480); #351510=AXIS2_PLACEMENT_3D('',#514022,#420484,#420485); #351511=AXIS2_PLACEMENT_3D('',#514028,#420489,#420490); #351512=AXIS2_PLACEMENT_3D('',#514034,#420494,#420495); #351513=AXIS2_PLACEMENT_3D('',#514040,#420499,#420500); #351514=AXIS2_PLACEMENT_3D('',#514046,#420504,#420505); #351515=AXIS2_PLACEMENT_3D('',#514052,#420509,#420510); #351516=AXIS2_PLACEMENT_3D('',#514058,#420514,#420515); #351517=AXIS2_PLACEMENT_3D('',#514064,#420519,#420520); #351518=AXIS2_PLACEMENT_3D('',#514070,#420524,#420525); #351519=AXIS2_PLACEMENT_3D('',#514076,#420529,#420530); #351520=AXIS2_PLACEMENT_3D('',#514082,#420534,#420535); #351521=AXIS2_PLACEMENT_3D('',#514088,#420539,#420540); #351522=AXIS2_PLACEMENT_3D('',#514094,#420544,#420545); #351523=AXIS2_PLACEMENT_3D('',#514100,#420549,#420550); #351524=AXIS2_PLACEMENT_3D('',#514106,#420554,#420555); #351525=AXIS2_PLACEMENT_3D('',#514112,#420559,#420560); #351526=AXIS2_PLACEMENT_3D('',#514118,#420564,#420565); #351527=AXIS2_PLACEMENT_3D('',#514124,#420569,#420570); #351528=AXIS2_PLACEMENT_3D('',#514130,#420574,#420575); #351529=AXIS2_PLACEMENT_3D('',#514136,#420579,#420580); #351530=AXIS2_PLACEMENT_3D('',#514142,#420584,#420585); #351531=AXIS2_PLACEMENT_3D('',#514148,#420589,#420590); #351532=AXIS2_PLACEMENT_3D('',#514154,#420594,#420595); #351533=AXIS2_PLACEMENT_3D('',#514160,#420599,#420600); #351534=AXIS2_PLACEMENT_3D('',#514163,#420603,#420604); #351535=AXIS2_PLACEMENT_3D('',#514164,#420605,#420606); #351536=AXIS2_PLACEMENT_3D('',#514165,#420607,#420608); #351537=AXIS2_PLACEMENT_3D('',#514167,#420609,#420610); #351538=AXIS2_PLACEMENT_3D('',#514170,#420612,#420613); #351539=AXIS2_PLACEMENT_3D('',#514171,#420614,#420615); #351540=AXIS2_PLACEMENT_3D('',#514173,#420616,#420617); #351541=AXIS2_PLACEMENT_3D('',#514176,#420619,#420620); #351542=AXIS2_PLACEMENT_3D('',#514177,#420621,#420622); #351543=AXIS2_PLACEMENT_3D('',#514179,#420623,#420624); #351544=AXIS2_PLACEMENT_3D('',#514182,#420626,#420627); #351545=AXIS2_PLACEMENT_3D('',#514183,#420628,#420629); #351546=AXIS2_PLACEMENT_3D('',#514185,#420630,#420631); #351547=AXIS2_PLACEMENT_3D('',#514188,#420633,#420634); #351548=AXIS2_PLACEMENT_3D('',#514189,#420635,#420636); #351549=AXIS2_PLACEMENT_3D('',#514191,#420637,#420638); #351550=AXIS2_PLACEMENT_3D('',#514194,#420640,#420641); #351551=AXIS2_PLACEMENT_3D('',#514195,#420642,#420643); #351552=AXIS2_PLACEMENT_3D('',#514197,#420644,#420645); #351553=AXIS2_PLACEMENT_3D('',#514200,#420647,#420648); #351554=AXIS2_PLACEMENT_3D('',#514201,#420649,#420650); #351555=AXIS2_PLACEMENT_3D('',#514203,#420651,#420652); #351556=AXIS2_PLACEMENT_3D('',#514206,#420654,#420655); #351557=AXIS2_PLACEMENT_3D('',#514207,#420656,#420657); #351558=AXIS2_PLACEMENT_3D('',#514209,#420658,#420659); #351559=AXIS2_PLACEMENT_3D('',#514212,#420661,#420662); #351560=AXIS2_PLACEMENT_3D('',#514213,#420663,#420664); #351561=AXIS2_PLACEMENT_3D('',#514215,#420665,#420666); #351562=AXIS2_PLACEMENT_3D('',#514218,#420668,#420669); #351563=AXIS2_PLACEMENT_3D('',#514219,#420670,#420671); #351564=AXIS2_PLACEMENT_3D('',#514221,#420672,#420673); #351565=AXIS2_PLACEMENT_3D('',#514224,#420675,#420676); #351566=AXIS2_PLACEMENT_3D('',#514225,#420677,#420678); #351567=AXIS2_PLACEMENT_3D('',#514227,#420679,#420680); #351568=AXIS2_PLACEMENT_3D('',#514230,#420682,#420683); #351569=AXIS2_PLACEMENT_3D('',#514231,#420684,#420685); #351570=AXIS2_PLACEMENT_3D('',#514233,#420686,#420687); #351571=AXIS2_PLACEMENT_3D('',#514236,#420689,#420690); #351572=AXIS2_PLACEMENT_3D('',#514237,#420691,#420692); #351573=AXIS2_PLACEMENT_3D('',#514239,#420693,#420694); #351574=AXIS2_PLACEMENT_3D('',#514242,#420696,#420697); #351575=AXIS2_PLACEMENT_3D('',#514243,#420698,#420699); #351576=AXIS2_PLACEMENT_3D('',#514245,#420700,#420701); #351577=AXIS2_PLACEMENT_3D('',#514248,#420703,#420704); #351578=AXIS2_PLACEMENT_3D('',#514249,#420705,#420706); #351579=AXIS2_PLACEMENT_3D('',#514251,#420707,#420708); #351580=AXIS2_PLACEMENT_3D('',#514254,#420710,#420711); #351581=AXIS2_PLACEMENT_3D('',#514255,#420712,#420713); #351582=AXIS2_PLACEMENT_3D('',#514257,#420714,#420715); #351583=AXIS2_PLACEMENT_3D('',#514260,#420717,#420718); #351584=AXIS2_PLACEMENT_3D('',#514261,#420719,#420720); #351585=AXIS2_PLACEMENT_3D('',#514263,#420721,#420722); #351586=AXIS2_PLACEMENT_3D('',#514266,#420724,#420725); #351587=AXIS2_PLACEMENT_3D('',#514267,#420726,#420727); #351588=AXIS2_PLACEMENT_3D('',#514269,#420728,#420729); #351589=AXIS2_PLACEMENT_3D('',#514272,#420731,#420732); #351590=AXIS2_PLACEMENT_3D('',#514273,#420733,#420734); #351591=AXIS2_PLACEMENT_3D('',#514275,#420735,#420736); #351592=AXIS2_PLACEMENT_3D('',#514278,#420738,#420739); #351593=AXIS2_PLACEMENT_3D('',#514279,#420740,#420741); #351594=AXIS2_PLACEMENT_3D('',#514281,#420742,#420743); #351595=AXIS2_PLACEMENT_3D('',#514284,#420745,#420746); #351596=AXIS2_PLACEMENT_3D('',#514285,#420747,#420748); #351597=AXIS2_PLACEMENT_3D('',#514287,#420749,#420750); #351598=AXIS2_PLACEMENT_3D('',#514290,#420752,#420753); #351599=AXIS2_PLACEMENT_3D('',#514291,#420754,#420755); #351600=AXIS2_PLACEMENT_3D('',#514293,#420756,#420757); #351601=AXIS2_PLACEMENT_3D('',#514296,#420759,#420760); #351602=AXIS2_PLACEMENT_3D('',#514297,#420761,#420762); #351603=AXIS2_PLACEMENT_3D('',#514299,#420763,#420764); #351604=AXIS2_PLACEMENT_3D('',#514302,#420766,#420767); #351605=AXIS2_PLACEMENT_3D('',#514303,#420768,#420769); #351606=AXIS2_PLACEMENT_3D('',#514305,#420770,#420771); #351607=AXIS2_PLACEMENT_3D('',#514308,#420773,#420774); #351608=AXIS2_PLACEMENT_3D('',#514309,#420775,#420776); #351609=AXIS2_PLACEMENT_3D('',#514311,#420777,#420778); #351610=AXIS2_PLACEMENT_3D('',#514314,#420780,#420781); #351611=AXIS2_PLACEMENT_3D('',#514315,#420782,#420783); #351612=AXIS2_PLACEMENT_3D('',#514317,#420784,#420785); #351613=AXIS2_PLACEMENT_3D('',#514320,#420787,#420788); #351614=AXIS2_PLACEMENT_3D('',#514321,#420789,#420790); #351615=AXIS2_PLACEMENT_3D('',#514323,#420791,#420792); #351616=AXIS2_PLACEMENT_3D('',#514326,#420794,#420795); #351617=AXIS2_PLACEMENT_3D('',#514327,#420796,#420797); #351618=AXIS2_PLACEMENT_3D('',#514329,#420798,#420799); #351619=AXIS2_PLACEMENT_3D('',#514332,#420801,#420802); #351620=AXIS2_PLACEMENT_3D('',#514333,#420803,#420804); #351621=AXIS2_PLACEMENT_3D('',#514335,#420805,#420806); #351622=AXIS2_PLACEMENT_3D('',#514338,#420808,#420809); #351623=AXIS2_PLACEMENT_3D('',#514339,#420810,#420811); #351624=AXIS2_PLACEMENT_3D('',#514341,#420812,#420813); #351625=AXIS2_PLACEMENT_3D('',#514344,#420815,#420816); #351626=AXIS2_PLACEMENT_3D('',#514345,#420817,#420818); #351627=AXIS2_PLACEMENT_3D('',#514354,#420823,#420824); #351628=AXIS2_PLACEMENT_3D('',#514360,#420828,#420829); #351629=AXIS2_PLACEMENT_3D('',#514366,#420833,#420834); #351630=AXIS2_PLACEMENT_3D('',#514372,#420838,#420839); #351631=AXIS2_PLACEMENT_3D('',#514378,#420843,#420844); #351632=AXIS2_PLACEMENT_3D('',#514384,#420848,#420849); #351633=AXIS2_PLACEMENT_3D('',#514390,#420853,#420854); #351634=AXIS2_PLACEMENT_3D('',#514396,#420858,#420859); #351635=AXIS2_PLACEMENT_3D('',#514402,#420863,#420864); #351636=AXIS2_PLACEMENT_3D('',#514408,#420868,#420869); #351637=AXIS2_PLACEMENT_3D('',#514414,#420873,#420874); #351638=AXIS2_PLACEMENT_3D('',#514420,#420878,#420879); #351639=AXIS2_PLACEMENT_3D('',#514426,#420883,#420884); #351640=AXIS2_PLACEMENT_3D('',#514432,#420888,#420889); #351641=AXIS2_PLACEMENT_3D('',#514438,#420893,#420894); #351642=AXIS2_PLACEMENT_3D('',#514444,#420898,#420899); #351643=AXIS2_PLACEMENT_3D('',#514450,#420903,#420904); #351644=AXIS2_PLACEMENT_3D('',#514456,#420908,#420909); #351645=AXIS2_PLACEMENT_3D('',#514462,#420913,#420914); #351646=AXIS2_PLACEMENT_3D('',#514468,#420918,#420919); #351647=AXIS2_PLACEMENT_3D('',#514474,#420923,#420924); #351648=AXIS2_PLACEMENT_3D('',#514480,#420928,#420929); #351649=AXIS2_PLACEMENT_3D('',#514486,#420933,#420934); #351650=AXIS2_PLACEMENT_3D('',#514492,#420938,#420939); #351651=AXIS2_PLACEMENT_3D('',#514498,#420943,#420944); #351652=AXIS2_PLACEMENT_3D('',#514504,#420948,#420949); #351653=AXIS2_PLACEMENT_3D('',#514510,#420953,#420954); #351654=AXIS2_PLACEMENT_3D('',#514516,#420958,#420959); #351655=AXIS2_PLACEMENT_3D('',#514522,#420963,#420964); #351656=AXIS2_PLACEMENT_3D('',#514528,#420968,#420969); #351657=AXIS2_PLACEMENT_3D('',#514534,#420973,#420974); #351658=AXIS2_PLACEMENT_3D('',#514540,#420978,#420979); #351659=AXIS2_PLACEMENT_3D('',#514546,#420983,#420984); #351660=AXIS2_PLACEMENT_3D('',#514552,#420988,#420989); #351661=AXIS2_PLACEMENT_3D('',#514558,#420993,#420994); #351662=AXIS2_PLACEMENT_3D('',#514564,#420998,#420999); #351663=AXIS2_PLACEMENT_3D('',#514570,#421003,#421004); #351664=AXIS2_PLACEMENT_3D('',#514576,#421008,#421009); #351665=AXIS2_PLACEMENT_3D('',#514582,#421013,#421014); #351666=AXIS2_PLACEMENT_3D('',#514588,#421018,#421019); #351667=AXIS2_PLACEMENT_3D('',#514594,#421023,#421024); #351668=AXIS2_PLACEMENT_3D('',#514600,#421028,#421029); #351669=AXIS2_PLACEMENT_3D('',#514606,#421033,#421034); #351670=AXIS2_PLACEMENT_3D('',#514612,#421038,#421039); #351671=AXIS2_PLACEMENT_3D('',#514615,#421042,#421043); #351672=AXIS2_PLACEMENT_3D('',#514616,#421044,#421045); #351673=AXIS2_PLACEMENT_3D('',#514617,#421046,#421047); #351674=AXIS2_PLACEMENT_3D('',#514619,#421048,#421049); #351675=AXIS2_PLACEMENT_3D('',#514622,#421051,#421052); #351676=AXIS2_PLACEMENT_3D('',#514623,#421053,#421054); #351677=AXIS2_PLACEMENT_3D('',#514625,#421055,#421056); #351678=AXIS2_PLACEMENT_3D('',#514628,#421058,#421059); #351679=AXIS2_PLACEMENT_3D('',#514629,#421060,#421061); #351680=AXIS2_PLACEMENT_3D('',#514638,#421066,#421067); #351681=AXIS2_PLACEMENT_3D('',#514640,#421068,#421069); #351682=AXIS2_PLACEMENT_3D('',#514642,#421070,#421071); #351683=AXIS2_PLACEMENT_3D('',#514644,#421073,#421074); #351684=AXIS2_PLACEMENT_3D('',#514650,#421078,#421079); #351685=AXIS2_PLACEMENT_3D('',#514652,#421080,#421081); #351686=AXIS2_PLACEMENT_3D('',#514654,#421082,#421083); #351687=AXIS2_PLACEMENT_3D('',#514656,#421085,#421086); #351688=AXIS2_PLACEMENT_3D('',#514658,#421087,#421088); #351689=AXIS2_PLACEMENT_3D('',#514660,#421089,#421090); #351690=AXIS2_PLACEMENT_3D('',#514662,#421092,#421093); #351691=AXIS2_PLACEMENT_3D('',#514668,#421097,#421098); #351692=AXIS2_PLACEMENT_3D('',#514669,#421099,#421100); #351693=AXIS2_PLACEMENT_3D('',#514670,#421101,#421102); #351694=AXIS2_PLACEMENT_3D('',#514671,#421103,#421104); #351695=AXIS2_PLACEMENT_3D('',#514672,#421105,#421106); #351696=AXIS2_PLACEMENT_3D('',#514673,#421107,#421108); #351697=AXIS2_PLACEMENT_3D('',#514675,#421109,#421110); #351698=AXIS2_PLACEMENT_3D('',#514678,#421112,#421113); #351699=AXIS2_PLACEMENT_3D('',#514679,#421114,#421115); #351700=AXIS2_PLACEMENT_3D('',#514681,#421116,#421117); #351701=AXIS2_PLACEMENT_3D('',#514684,#421119,#421120); #351702=AXIS2_PLACEMENT_3D('',#514685,#421121,#421122); #351703=AXIS2_PLACEMENT_3D('',#514687,#421123,#421124); #351704=AXIS2_PLACEMENT_3D('',#514690,#421126,#421127); #351705=AXIS2_PLACEMENT_3D('',#514691,#421128,#421129); #351706=AXIS2_PLACEMENT_3D('',#514693,#421130,#421131); #351707=AXIS2_PLACEMENT_3D('',#514696,#421133,#421134); #351708=AXIS2_PLACEMENT_3D('',#514697,#421135,#421136); #351709=AXIS2_PLACEMENT_3D('',#514699,#421137,#421138); #351710=AXIS2_PLACEMENT_3D('',#514702,#421140,#421141); #351711=AXIS2_PLACEMENT_3D('',#514703,#421142,#421143); #351712=AXIS2_PLACEMENT_3D('',#514705,#421144,#421145); #351713=AXIS2_PLACEMENT_3D('',#514708,#421147,#421148); #351714=AXIS2_PLACEMENT_3D('',#514709,#421149,#421150); #351715=AXIS2_PLACEMENT_3D('',#514711,#421151,#421152); #351716=AXIS2_PLACEMENT_3D('',#514714,#421154,#421155); #351717=AXIS2_PLACEMENT_3D('',#514715,#421156,#421157); #351718=AXIS2_PLACEMENT_3D('',#514717,#421158,#421159); #351719=AXIS2_PLACEMENT_3D('',#514720,#421161,#421162); #351720=AXIS2_PLACEMENT_3D('',#514721,#421163,#421164); #351721=AXIS2_PLACEMENT_3D('',#514723,#421165,#421166); #351722=AXIS2_PLACEMENT_3D('',#514726,#421168,#421169); #351723=AXIS2_PLACEMENT_3D('',#514727,#421170,#421171); #351724=AXIS2_PLACEMENT_3D('',#514729,#421172,#421173); #351725=AXIS2_PLACEMENT_3D('',#514732,#421175,#421176); #351726=AXIS2_PLACEMENT_3D('',#514733,#421177,#421178); #351727=AXIS2_PLACEMENT_3D('',#514735,#421179,#421180); #351728=AXIS2_PLACEMENT_3D('',#514738,#421182,#421183); #351729=AXIS2_PLACEMENT_3D('',#514739,#421184,#421185); #351730=AXIS2_PLACEMENT_3D('',#514741,#421186,#421187); #351731=AXIS2_PLACEMENT_3D('',#514744,#421189,#421190); #351732=AXIS2_PLACEMENT_3D('',#514745,#421191,#421192); #351733=AXIS2_PLACEMENT_3D('',#514747,#421193,#421194); #351734=AXIS2_PLACEMENT_3D('',#514750,#421196,#421197); #351735=AXIS2_PLACEMENT_3D('',#514751,#421198,#421199); #351736=AXIS2_PLACEMENT_3D('',#514753,#421200,#421201); #351737=AXIS2_PLACEMENT_3D('',#514756,#421203,#421204); #351738=AXIS2_PLACEMENT_3D('',#514757,#421205,#421206); #351739=AXIS2_PLACEMENT_3D('',#514759,#421207,#421208); #351740=AXIS2_PLACEMENT_3D('',#514762,#421210,#421211); #351741=AXIS2_PLACEMENT_3D('',#514763,#421212,#421213); #351742=AXIS2_PLACEMENT_3D('',#514765,#421214,#421215); #351743=AXIS2_PLACEMENT_3D('',#514768,#421217,#421218); #351744=AXIS2_PLACEMENT_3D('',#514769,#421219,#421220); #351745=AXIS2_PLACEMENT_3D('',#514771,#421221,#421222); #351746=AXIS2_PLACEMENT_3D('',#514774,#421224,#421225); #351747=AXIS2_PLACEMENT_3D('',#514775,#421226,#421227); #351748=AXIS2_PLACEMENT_3D('',#514777,#421228,#421229); #351749=AXIS2_PLACEMENT_3D('',#514780,#421231,#421232); #351750=AXIS2_PLACEMENT_3D('',#514781,#421233,#421234); #351751=AXIS2_PLACEMENT_3D('',#514783,#421235,#421236); #351752=AXIS2_PLACEMENT_3D('',#514786,#421238,#421239); #351753=AXIS2_PLACEMENT_3D('',#514787,#421240,#421241); #351754=AXIS2_PLACEMENT_3D('',#514789,#421242,#421243); #351755=AXIS2_PLACEMENT_3D('',#514792,#421245,#421246); #351756=AXIS2_PLACEMENT_3D('',#514793,#421247,#421248); #351757=AXIS2_PLACEMENT_3D('',#514795,#421249,#421250); #351758=AXIS2_PLACEMENT_3D('',#514798,#421252,#421253); #351759=AXIS2_PLACEMENT_3D('',#514799,#421254,#421255); #351760=AXIS2_PLACEMENT_3D('',#514801,#421256,#421257); #351761=AXIS2_PLACEMENT_3D('',#514804,#421259,#421260); #351762=AXIS2_PLACEMENT_3D('',#514805,#421261,#421262); #351763=AXIS2_PLACEMENT_3D('',#514807,#421263,#421264); #351764=AXIS2_PLACEMENT_3D('',#514810,#421266,#421267); #351765=AXIS2_PLACEMENT_3D('',#514811,#421268,#421269); #351766=AXIS2_PLACEMENT_3D('',#514813,#421270,#421271); #351767=AXIS2_PLACEMENT_3D('',#514816,#421273,#421274); #351768=AXIS2_PLACEMENT_3D('',#514817,#421275,#421276); #351769=AXIS2_PLACEMENT_3D('',#514819,#421277,#421278); #351770=AXIS2_PLACEMENT_3D('',#514822,#421280,#421281); #351771=AXIS2_PLACEMENT_3D('',#514823,#421282,#421283); #351772=AXIS2_PLACEMENT_3D('',#514825,#421284,#421285); #351773=AXIS2_PLACEMENT_3D('',#514828,#421287,#421288); #351774=AXIS2_PLACEMENT_3D('',#514829,#421289,#421290); #351775=AXIS2_PLACEMENT_3D('',#514831,#421291,#421292); #351776=AXIS2_PLACEMENT_3D('',#514834,#421294,#421295); #351777=AXIS2_PLACEMENT_3D('',#514835,#421296,#421297); #351778=AXIS2_PLACEMENT_3D('',#514837,#421298,#421299); #351779=AXIS2_PLACEMENT_3D('',#514840,#421301,#421302); #351780=AXIS2_PLACEMENT_3D('',#514841,#421303,#421304); #351781=AXIS2_PLACEMENT_3D('',#514843,#421305,#421306); #351782=AXIS2_PLACEMENT_3D('',#514846,#421308,#421309); #351783=AXIS2_PLACEMENT_3D('',#514847,#421310,#421311); #351784=AXIS2_PLACEMENT_3D('',#514849,#421312,#421313); #351785=AXIS2_PLACEMENT_3D('',#514852,#421315,#421316); #351786=AXIS2_PLACEMENT_3D('',#514853,#421317,#421318); #351787=AXIS2_PLACEMENT_3D('',#514862,#421323,#421324); #351788=AXIS2_PLACEMENT_3D('',#514868,#421328,#421329); #351789=AXIS2_PLACEMENT_3D('',#514874,#421333,#421334); #351790=AXIS2_PLACEMENT_3D('',#514880,#421338,#421339); #351791=AXIS2_PLACEMENT_3D('',#514886,#421343,#421344); #351792=AXIS2_PLACEMENT_3D('',#514892,#421348,#421349); #351793=AXIS2_PLACEMENT_3D('',#514898,#421353,#421354); #351794=AXIS2_PLACEMENT_3D('',#514904,#421358,#421359); #351795=AXIS2_PLACEMENT_3D('',#514910,#421363,#421364); #351796=AXIS2_PLACEMENT_3D('',#514916,#421368,#421369); #351797=AXIS2_PLACEMENT_3D('',#514922,#421373,#421374); #351798=AXIS2_PLACEMENT_3D('',#514928,#421378,#421379); #351799=AXIS2_PLACEMENT_3D('',#514934,#421383,#421384); #351800=AXIS2_PLACEMENT_3D('',#514940,#421388,#421389); #351801=AXIS2_PLACEMENT_3D('',#514946,#421393,#421394); #351802=AXIS2_PLACEMENT_3D('',#514952,#421398,#421399); #351803=AXIS2_PLACEMENT_3D('',#514958,#421403,#421404); #351804=AXIS2_PLACEMENT_3D('',#514964,#421408,#421409); #351805=AXIS2_PLACEMENT_3D('',#514970,#421413,#421414); #351806=AXIS2_PLACEMENT_3D('',#514976,#421418,#421419); #351807=AXIS2_PLACEMENT_3D('',#514982,#421423,#421424); #351808=AXIS2_PLACEMENT_3D('',#514988,#421428,#421429); #351809=AXIS2_PLACEMENT_3D('',#514994,#421433,#421434); #351810=AXIS2_PLACEMENT_3D('',#515000,#421438,#421439); #351811=AXIS2_PLACEMENT_3D('',#515006,#421443,#421444); #351812=AXIS2_PLACEMENT_3D('',#515012,#421448,#421449); #351813=AXIS2_PLACEMENT_3D('',#515018,#421453,#421454); #351814=AXIS2_PLACEMENT_3D('',#515024,#421458,#421459); #351815=AXIS2_PLACEMENT_3D('',#515030,#421463,#421464); #351816=AXIS2_PLACEMENT_3D('',#515036,#421468,#421469); #351817=AXIS2_PLACEMENT_3D('',#515042,#421473,#421474); #351818=AXIS2_PLACEMENT_3D('',#515048,#421478,#421479); #351819=AXIS2_PLACEMENT_3D('',#515054,#421483,#421484); #351820=AXIS2_PLACEMENT_3D('',#515060,#421488,#421489); #351821=AXIS2_PLACEMENT_3D('',#515066,#421493,#421494); #351822=AXIS2_PLACEMENT_3D('',#515072,#421498,#421499); #351823=AXIS2_PLACEMENT_3D('',#515078,#421503,#421504); #351824=AXIS2_PLACEMENT_3D('',#515084,#421508,#421509); #351825=AXIS2_PLACEMENT_3D('',#515090,#421513,#421514); #351826=AXIS2_PLACEMENT_3D('',#515096,#421518,#421519); #351827=AXIS2_PLACEMENT_3D('',#515102,#421523,#421524); #351828=AXIS2_PLACEMENT_3D('',#515108,#421528,#421529); #351829=AXIS2_PLACEMENT_3D('',#515114,#421533,#421534); #351830=AXIS2_PLACEMENT_3D('',#515120,#421538,#421539); #351831=AXIS2_PLACEMENT_3D('',#515123,#421542,#421543); #351832=AXIS2_PLACEMENT_3D('',#515124,#421544,#421545); #351833=AXIS2_PLACEMENT_3D('',#515125,#421546,#421547); #351834=AXIS2_PLACEMENT_3D('',#515127,#421548,#421549); #351835=AXIS2_PLACEMENT_3D('',#515130,#421551,#421552); #351836=AXIS2_PLACEMENT_3D('',#515131,#421553,#421554); #351837=AXIS2_PLACEMENT_3D('',#515133,#421555,#421556); #351838=AXIS2_PLACEMENT_3D('',#515136,#421558,#421559); #351839=AXIS2_PLACEMENT_3D('',#515137,#421560,#421561); #351840=AXIS2_PLACEMENT_3D('',#515146,#421566,#421567); #351841=AXIS2_PLACEMENT_3D('',#515148,#421568,#421569); #351842=AXIS2_PLACEMENT_3D('',#515150,#421570,#421571); #351843=AXIS2_PLACEMENT_3D('',#515152,#421573,#421574); #351844=AXIS2_PLACEMENT_3D('',#515158,#421578,#421579); #351845=AXIS2_PLACEMENT_3D('',#515160,#421580,#421581); #351846=AXIS2_PLACEMENT_3D('',#515162,#421582,#421583); #351847=AXIS2_PLACEMENT_3D('',#515164,#421585,#421586); #351848=AXIS2_PLACEMENT_3D('',#515166,#421587,#421588); #351849=AXIS2_PLACEMENT_3D('',#515168,#421589,#421590); #351850=AXIS2_PLACEMENT_3D('',#515170,#421592,#421593); #351851=AXIS2_PLACEMENT_3D('',#515176,#421597,#421598); #351852=AXIS2_PLACEMENT_3D('',#515177,#421599,#421600); #351853=AXIS2_PLACEMENT_3D('',#515178,#421601,#421602); #351854=AXIS2_PLACEMENT_3D('',#515179,#421603,#421604); #351855=AXIS2_PLACEMENT_3D('',#515180,#421605,#421606); #351856=AXIS2_PLACEMENT_3D('',#515181,#421607,#421608); #351857=AXIS2_PLACEMENT_3D('',#515183,#421609,#421610); #351858=AXIS2_PLACEMENT_3D('',#515186,#421612,#421613); #351859=AXIS2_PLACEMENT_3D('',#515187,#421614,#421615); #351860=AXIS2_PLACEMENT_3D('',#515189,#421616,#421617); #351861=AXIS2_PLACEMENT_3D('',#515192,#421619,#421620); #351862=AXIS2_PLACEMENT_3D('',#515193,#421621,#421622); #351863=AXIS2_PLACEMENT_3D('',#515202,#421627,#421628); #351864=AXIS2_PLACEMENT_3D('',#515208,#421632,#421633); #351865=AXIS2_PLACEMENT_3D('',#515214,#421637,#421638); #351866=AXIS2_PLACEMENT_3D('',#515220,#421642,#421643); #351867=AXIS2_PLACEMENT_3D('',#515226,#421647,#421648); #351868=AXIS2_PLACEMENT_3D('',#515232,#421652,#421653); #351869=AXIS2_PLACEMENT_3D('',#515238,#421657,#421658); #351870=AXIS2_PLACEMENT_3D('',#515241,#421661,#421662); #351871=AXIS2_PLACEMENT_3D('',#515250,#421667,#421668); #351872=AXIS2_PLACEMENT_3D('',#515256,#421672,#421673); #351873=AXIS2_PLACEMENT_3D('',#515262,#421677,#421678); #351874=AXIS2_PLACEMENT_3D('',#515268,#421682,#421683); #351875=AXIS2_PLACEMENT_3D('',#515274,#421687,#421688); #351876=AXIS2_PLACEMENT_3D('',#515280,#421692,#421693); #351877=AXIS2_PLACEMENT_3D('',#515286,#421697,#421698); #351878=AXIS2_PLACEMENT_3D('',#515292,#421702,#421703); #351879=AXIS2_PLACEMENT_3D('',#515298,#421707,#421708); #351880=AXIS2_PLACEMENT_3D('',#515304,#421712,#421713); #351881=AXIS2_PLACEMENT_3D('',#515310,#421717,#421718); #351882=AXIS2_PLACEMENT_3D('',#515316,#421722,#421723); #351883=AXIS2_PLACEMENT_3D('',#515322,#421727,#421728); #351884=AXIS2_PLACEMENT_3D('',#515328,#421732,#421733); #351885=AXIS2_PLACEMENT_3D('',#515334,#421737,#421738); #351886=AXIS2_PLACEMENT_3D('',#515340,#421742,#421743); #351887=AXIS2_PLACEMENT_3D('',#515346,#421747,#421748); #351888=AXIS2_PLACEMENT_3D('',#515352,#421752,#421753); #351889=AXIS2_PLACEMENT_3D('',#515358,#421757,#421758); #351890=AXIS2_PLACEMENT_3D('',#515364,#421762,#421763); #351891=AXIS2_PLACEMENT_3D('',#515370,#421767,#421768); #351892=AXIS2_PLACEMENT_3D('',#515376,#421772,#421773); #351893=AXIS2_PLACEMENT_3D('',#515382,#421777,#421778); #351894=AXIS2_PLACEMENT_3D('',#515388,#421782,#421783); #351895=AXIS2_PLACEMENT_3D('',#515394,#421787,#421788); #351896=AXIS2_PLACEMENT_3D('',#515400,#421792,#421793); #351897=AXIS2_PLACEMENT_3D('',#515406,#421797,#421798); #351898=AXIS2_PLACEMENT_3D('',#515412,#421802,#421803); #351899=AXIS2_PLACEMENT_3D('',#515418,#421807,#421808); #351900=AXIS2_PLACEMENT_3D('',#515424,#421812,#421813); #351901=AXIS2_PLACEMENT_3D('',#515430,#421817,#421818); #351902=AXIS2_PLACEMENT_3D('',#515436,#421822,#421823); #351903=AXIS2_PLACEMENT_3D('',#515442,#421827,#421828); #351904=AXIS2_PLACEMENT_3D('',#515448,#421832,#421833); #351905=AXIS2_PLACEMENT_3D('',#515454,#421837,#421838); #351906=AXIS2_PLACEMENT_3D('',#515460,#421842,#421843); #351907=AXIS2_PLACEMENT_3D('',#515466,#421847,#421848); #351908=AXIS2_PLACEMENT_3D('',#515472,#421852,#421853); #351909=AXIS2_PLACEMENT_3D('',#515478,#421857,#421858); #351910=AXIS2_PLACEMENT_3D('',#515484,#421862,#421863); #351911=AXIS2_PLACEMENT_3D('',#515490,#421867,#421868); #351912=AXIS2_PLACEMENT_3D('',#515496,#421872,#421873); #351913=AXIS2_PLACEMENT_3D('',#515502,#421877,#421878); #351914=AXIS2_PLACEMENT_3D('',#515508,#421882,#421883); #351915=AXIS2_PLACEMENT_3D('',#515514,#421887,#421888); #351916=AXIS2_PLACEMENT_3D('',#515520,#421892,#421893); #351917=AXIS2_PLACEMENT_3D('',#515526,#421897,#421898); #351918=AXIS2_PLACEMENT_3D('',#515532,#421902,#421903); #351919=AXIS2_PLACEMENT_3D('',#515538,#421907,#421908); #351920=AXIS2_PLACEMENT_3D('',#515544,#421912,#421913); #351921=AXIS2_PLACEMENT_3D('',#515550,#421917,#421918); #351922=AXIS2_PLACEMENT_3D('',#515556,#421922,#421923); #351923=AXIS2_PLACEMENT_3D('',#515562,#421927,#421928); #351924=AXIS2_PLACEMENT_3D('',#515568,#421932,#421933); #351925=AXIS2_PLACEMENT_3D('',#515574,#421937,#421938); #351926=AXIS2_PLACEMENT_3D('',#515580,#421942,#421943); #351927=AXIS2_PLACEMENT_3D('',#515586,#421947,#421948); #351928=AXIS2_PLACEMENT_3D('',#515592,#421952,#421953); #351929=AXIS2_PLACEMENT_3D('',#515598,#421957,#421958); #351930=AXIS2_PLACEMENT_3D('',#515604,#421962,#421963); #351931=AXIS2_PLACEMENT_3D('',#515610,#421967,#421968); #351932=AXIS2_PLACEMENT_3D('',#515616,#421972,#421973); #351933=AXIS2_PLACEMENT_3D('',#515622,#421977,#421978); #351934=AXIS2_PLACEMENT_3D('',#515628,#421982,#421983); #351935=AXIS2_PLACEMENT_3D('',#515634,#421987,#421988); #351936=AXIS2_PLACEMENT_3D('',#515640,#421992,#421993); #351937=AXIS2_PLACEMENT_3D('',#515646,#421997,#421998); #351938=AXIS2_PLACEMENT_3D('',#515652,#422002,#422003); #351939=AXIS2_PLACEMENT_3D('',#515658,#422007,#422008); #351940=AXIS2_PLACEMENT_3D('',#515664,#422012,#422013); #351941=AXIS2_PLACEMENT_3D('',#515670,#422017,#422018); #351942=AXIS2_PLACEMENT_3D('',#515676,#422022,#422023); #351943=AXIS2_PLACEMENT_3D('',#515682,#422027,#422028); #351944=AXIS2_PLACEMENT_3D('',#515688,#422032,#422033); #351945=AXIS2_PLACEMENT_3D('',#515694,#422037,#422038); #351946=AXIS2_PLACEMENT_3D('',#515700,#422042,#422043); #351947=AXIS2_PLACEMENT_3D('',#515706,#422047,#422048); #351948=AXIS2_PLACEMENT_3D('',#515712,#422052,#422053); #351949=AXIS2_PLACEMENT_3D('',#515718,#422057,#422058); #351950=AXIS2_PLACEMENT_3D('',#515724,#422062,#422063); #351951=AXIS2_PLACEMENT_3D('',#515730,#422067,#422068); #351952=AXIS2_PLACEMENT_3D('',#515736,#422072,#422073); #351953=AXIS2_PLACEMENT_3D('',#515742,#422077,#422078); #351954=AXIS2_PLACEMENT_3D('',#515748,#422082,#422083); #351955=AXIS2_PLACEMENT_3D('',#515754,#422087,#422088); #351956=AXIS2_PLACEMENT_3D('',#515760,#422092,#422093); #351957=AXIS2_PLACEMENT_3D('',#515766,#422097,#422098); #351958=AXIS2_PLACEMENT_3D('',#515772,#422102,#422103); #351959=AXIS2_PLACEMENT_3D('',#515778,#422107,#422108); #351960=AXIS2_PLACEMENT_3D('',#515784,#422112,#422113); #351961=AXIS2_PLACEMENT_3D('',#515790,#422117,#422118); #351962=AXIS2_PLACEMENT_3D('',#515796,#422122,#422123); #351963=AXIS2_PLACEMENT_3D('',#515799,#422126,#422127); #351964=AXIS2_PLACEMENT_3D('',#515800,#422128,#422129); #351965=AXIS2_PLACEMENT_3D('',#515801,#422130,#422131); #351966=AXIS2_PLACEMENT_3D('',#515803,#422132,#422133); #351967=AXIS2_PLACEMENT_3D('',#515806,#422135,#422136); #351968=AXIS2_PLACEMENT_3D('',#515807,#422137,#422138); #351969=AXIS2_PLACEMENT_3D('',#515816,#422143,#422144); #351970=AXIS2_PLACEMENT_3D('',#515818,#422145,#422146); #351971=AXIS2_PLACEMENT_3D('',#515820,#422147,#422148); #351972=AXIS2_PLACEMENT_3D('',#515822,#422150,#422151); #351973=AXIS2_PLACEMENT_3D('',#515823,#422152,#422153); #351974=AXIS2_PLACEMENT_3D('',#515824,#422154,#422155); #351975=AXIS2_PLACEMENT_3D('',#515825,#422156,#422157); #351976=AXIS2_PLACEMENT_3D('',#515826,#422158,#422159); #351977=AXIS2_PLACEMENT_3D('',#515827,#422160,#422161); #351978=AXIS2_PLACEMENT_3D('',#515829,#422162,#422163); #351979=AXIS2_PLACEMENT_3D('',#515832,#422165,#422166); #351980=AXIS2_PLACEMENT_3D('',#515833,#422167,#422168); #351981=AXIS2_PLACEMENT_3D('',#515835,#422169,#422170); #351982=AXIS2_PLACEMENT_3D('',#515838,#422172,#422173); #351983=AXIS2_PLACEMENT_3D('',#515839,#422174,#422175); #351984=AXIS2_PLACEMENT_3D('',#515841,#422176,#422177); #351985=AXIS2_PLACEMENT_3D('',#515844,#422179,#422180); #351986=AXIS2_PLACEMENT_3D('',#515845,#422181,#422182); #351987=AXIS2_PLACEMENT_3D('',#515847,#422183,#422184); #351988=AXIS2_PLACEMENT_3D('',#515850,#422186,#422187); #351989=AXIS2_PLACEMENT_3D('',#515851,#422188,#422189); #351990=AXIS2_PLACEMENT_3D('',#515853,#422190,#422191); #351991=AXIS2_PLACEMENT_3D('',#515856,#422193,#422194); #351992=AXIS2_PLACEMENT_3D('',#515857,#422195,#422196); #351993=AXIS2_PLACEMENT_3D('',#515859,#422197,#422198); #351994=AXIS2_PLACEMENT_3D('',#515862,#422200,#422201); #351995=AXIS2_PLACEMENT_3D('',#515863,#422202,#422203); #351996=AXIS2_PLACEMENT_3D('',#515865,#422204,#422205); #351997=AXIS2_PLACEMENT_3D('',#515868,#422207,#422208); #351998=AXIS2_PLACEMENT_3D('',#515869,#422209,#422210); #351999=AXIS2_PLACEMENT_3D('',#515871,#422211,#422212); #352000=AXIS2_PLACEMENT_3D('',#515874,#422214,#422215); #352001=AXIS2_PLACEMENT_3D('',#515875,#422216,#422217); #352002=AXIS2_PLACEMENT_3D('',#515877,#422218,#422219); #352003=AXIS2_PLACEMENT_3D('',#515880,#422221,#422222); #352004=AXIS2_PLACEMENT_3D('',#515881,#422223,#422224); #352005=AXIS2_PLACEMENT_3D('',#515883,#422225,#422226); #352006=AXIS2_PLACEMENT_3D('',#515886,#422228,#422229); #352007=AXIS2_PLACEMENT_3D('',#515887,#422230,#422231); #352008=AXIS2_PLACEMENT_3D('',#515889,#422232,#422233); #352009=AXIS2_PLACEMENT_3D('',#515892,#422235,#422236); #352010=AXIS2_PLACEMENT_3D('',#515893,#422237,#422238); #352011=AXIS2_PLACEMENT_3D('',#515895,#422239,#422240); #352012=AXIS2_PLACEMENT_3D('',#515898,#422242,#422243); #352013=AXIS2_PLACEMENT_3D('',#515899,#422244,#422245); #352014=AXIS2_PLACEMENT_3D('',#515901,#422246,#422247); #352015=AXIS2_PLACEMENT_3D('',#515904,#422249,#422250); #352016=AXIS2_PLACEMENT_3D('',#515905,#422251,#422252); #352017=AXIS2_PLACEMENT_3D('',#515907,#422253,#422254); #352018=AXIS2_PLACEMENT_3D('',#515910,#422256,#422257); #352019=AXIS2_PLACEMENT_3D('',#515911,#422258,#422259); #352020=AXIS2_PLACEMENT_3D('',#515913,#422260,#422261); #352021=AXIS2_PLACEMENT_3D('',#515916,#422263,#422264); #352022=AXIS2_PLACEMENT_3D('',#515917,#422265,#422266); #352023=AXIS2_PLACEMENT_3D('',#515926,#422271,#422272); #352024=AXIS2_PLACEMENT_3D('',#515932,#422276,#422277); #352025=AXIS2_PLACEMENT_3D('',#515938,#422281,#422282); #352026=AXIS2_PLACEMENT_3D('',#515944,#422286,#422287); #352027=AXIS2_PLACEMENT_3D('',#515950,#422291,#422292); #352028=AXIS2_PLACEMENT_3D('',#515956,#422296,#422297); #352029=AXIS2_PLACEMENT_3D('',#515962,#422301,#422302); #352030=AXIS2_PLACEMENT_3D('',#515968,#422306,#422307); #352031=AXIS2_PLACEMENT_3D('',#515974,#422311,#422312); #352032=AXIS2_PLACEMENT_3D('',#515980,#422316,#422317); #352033=AXIS2_PLACEMENT_3D('',#515986,#422321,#422322); #352034=AXIS2_PLACEMENT_3D('',#515992,#422326,#422327); #352035=AXIS2_PLACEMENT_3D('',#515998,#422331,#422332); #352036=AXIS2_PLACEMENT_3D('',#516004,#422336,#422337); #352037=AXIS2_PLACEMENT_3D('',#516010,#422341,#422342); #352038=AXIS2_PLACEMENT_3D('',#516016,#422346,#422347); #352039=AXIS2_PLACEMENT_3D('',#516022,#422351,#422352); #352040=AXIS2_PLACEMENT_3D('',#516028,#422356,#422357); #352041=AXIS2_PLACEMENT_3D('',#516034,#422361,#422362); #352042=AXIS2_PLACEMENT_3D('',#516040,#422366,#422367); #352043=AXIS2_PLACEMENT_3D('',#516046,#422371,#422372); #352044=AXIS2_PLACEMENT_3D('',#516052,#422376,#422377); #352045=AXIS2_PLACEMENT_3D('',#516058,#422381,#422382); #352046=AXIS2_PLACEMENT_3D('',#516064,#422386,#422387); #352047=AXIS2_PLACEMENT_3D('',#516070,#422391,#422392); #352048=AXIS2_PLACEMENT_3D('',#516076,#422396,#422397); #352049=AXIS2_PLACEMENT_3D('',#516082,#422401,#422402); #352050=AXIS2_PLACEMENT_3D('',#516088,#422406,#422407); #352051=AXIS2_PLACEMENT_3D('',#516094,#422411,#422412); #352052=AXIS2_PLACEMENT_3D('',#516100,#422416,#422417); #352053=AXIS2_PLACEMENT_3D('',#516106,#422421,#422422); #352054=AXIS2_PLACEMENT_3D('',#516112,#422426,#422427); #352055=AXIS2_PLACEMENT_3D('',#516118,#422431,#422432); #352056=AXIS2_PLACEMENT_3D('',#516124,#422436,#422437); #352057=AXIS2_PLACEMENT_3D('',#516130,#422441,#422442); #352058=AXIS2_PLACEMENT_3D('',#516136,#422446,#422447); #352059=AXIS2_PLACEMENT_3D('',#516142,#422451,#422452); #352060=AXIS2_PLACEMENT_3D('',#516148,#422456,#422457); #352061=AXIS2_PLACEMENT_3D('',#516154,#422461,#422462); #352062=AXIS2_PLACEMENT_3D('',#516160,#422466,#422467); #352063=AXIS2_PLACEMENT_3D('',#516166,#422471,#422472); #352064=AXIS2_PLACEMENT_3D('',#516172,#422476,#422477); #352065=AXIS2_PLACEMENT_3D('',#516178,#422481,#422482); #352066=AXIS2_PLACEMENT_3D('',#516184,#422486,#422487); #352067=AXIS2_PLACEMENT_3D('',#516190,#422491,#422492); #352068=AXIS2_PLACEMENT_3D('',#516196,#422496,#422497); #352069=AXIS2_PLACEMENT_3D('',#516202,#422501,#422502); #352070=AXIS2_PLACEMENT_3D('',#516208,#422506,#422507); #352071=AXIS2_PLACEMENT_3D('',#516214,#422511,#422512); #352072=AXIS2_PLACEMENT_3D('',#516220,#422516,#422517); #352073=AXIS2_PLACEMENT_3D('',#516226,#422521,#422522); #352074=AXIS2_PLACEMENT_3D('',#516232,#422526,#422527); #352075=AXIS2_PLACEMENT_3D('',#516238,#422531,#422532); #352076=AXIS2_PLACEMENT_3D('',#516244,#422536,#422537); #352077=AXIS2_PLACEMENT_3D('',#516250,#422541,#422542); #352078=AXIS2_PLACEMENT_3D('',#516256,#422546,#422547); #352079=AXIS2_PLACEMENT_3D('',#516262,#422551,#422552); #352080=AXIS2_PLACEMENT_3D('',#516268,#422556,#422557); #352081=AXIS2_PLACEMENT_3D('',#516274,#422561,#422562); #352082=AXIS2_PLACEMENT_3D('',#516280,#422566,#422567); #352083=AXIS2_PLACEMENT_3D('',#516286,#422571,#422572); #352084=AXIS2_PLACEMENT_3D('',#516292,#422576,#422577); #352085=AXIS2_PLACEMENT_3D('',#516298,#422581,#422582); #352086=AXIS2_PLACEMENT_3D('',#516304,#422586,#422587); #352087=AXIS2_PLACEMENT_3D('',#516310,#422591,#422592); #352088=AXIS2_PLACEMENT_3D('',#516316,#422596,#422597); #352089=AXIS2_PLACEMENT_3D('',#516322,#422601,#422602); #352090=AXIS2_PLACEMENT_3D('',#516328,#422606,#422607); #352091=AXIS2_PLACEMENT_3D('',#516334,#422611,#422612); #352092=AXIS2_PLACEMENT_3D('',#516340,#422616,#422617); #352093=AXIS2_PLACEMENT_3D('',#516346,#422621,#422622); #352094=AXIS2_PLACEMENT_3D('',#516349,#422625,#422626); #352095=AXIS2_PLACEMENT_3D('',#516358,#422631,#422632); #352096=AXIS2_PLACEMENT_3D('',#516364,#422636,#422637); #352097=AXIS2_PLACEMENT_3D('',#516370,#422641,#422642); #352098=AXIS2_PLACEMENT_3D('',#516376,#422646,#422647); #352099=AXIS2_PLACEMENT_3D('',#516382,#422651,#422652); #352100=AXIS2_PLACEMENT_3D('',#516388,#422656,#422657); #352101=AXIS2_PLACEMENT_3D('',#516394,#422661,#422662); #352102=AXIS2_PLACEMENT_3D('',#516400,#422666,#422667); #352103=AXIS2_PLACEMENT_3D('',#516406,#422671,#422672); #352104=AXIS2_PLACEMENT_3D('',#516412,#422676,#422677); #352105=AXIS2_PLACEMENT_3D('',#516418,#422681,#422682); #352106=AXIS2_PLACEMENT_3D('',#516424,#422686,#422687); #352107=AXIS2_PLACEMENT_3D('',#516430,#422691,#422692); #352108=AXIS2_PLACEMENT_3D('',#516436,#422696,#422697); #352109=AXIS2_PLACEMENT_3D('',#516442,#422701,#422702); #352110=AXIS2_PLACEMENT_3D('',#516448,#422706,#422707); #352111=AXIS2_PLACEMENT_3D('',#516454,#422711,#422712); #352112=AXIS2_PLACEMENT_3D('',#516460,#422716,#422717); #352113=AXIS2_PLACEMENT_3D('',#516466,#422721,#422722); #352114=AXIS2_PLACEMENT_3D('',#516472,#422726,#422727); #352115=AXIS2_PLACEMENT_3D('',#516478,#422731,#422732); #352116=AXIS2_PLACEMENT_3D('',#516484,#422736,#422737); #352117=AXIS2_PLACEMENT_3D('',#516490,#422741,#422742); #352118=AXIS2_PLACEMENT_3D('',#516496,#422746,#422747); #352119=AXIS2_PLACEMENT_3D('',#516502,#422751,#422752); #352120=AXIS2_PLACEMENT_3D('',#516508,#422756,#422757); #352121=AXIS2_PLACEMENT_3D('',#516514,#422761,#422762); #352122=AXIS2_PLACEMENT_3D('',#516520,#422766,#422767); #352123=AXIS2_PLACEMENT_3D('',#516526,#422771,#422772); #352124=AXIS2_PLACEMENT_3D('',#516532,#422776,#422777); #352125=AXIS2_PLACEMENT_3D('',#516538,#422781,#422782); #352126=AXIS2_PLACEMENT_3D('',#516544,#422786,#422787); #352127=AXIS2_PLACEMENT_3D('',#516550,#422791,#422792); #352128=AXIS2_PLACEMENT_3D('',#516556,#422796,#422797); #352129=AXIS2_PLACEMENT_3D('',#516562,#422801,#422802); #352130=AXIS2_PLACEMENT_3D('',#516568,#422806,#422807); #352131=AXIS2_PLACEMENT_3D('',#516574,#422811,#422812); #352132=AXIS2_PLACEMENT_3D('',#516580,#422816,#422817); #352133=AXIS2_PLACEMENT_3D('',#516586,#422821,#422822); #352134=AXIS2_PLACEMENT_3D('',#516592,#422826,#422827); #352135=AXIS2_PLACEMENT_3D('',#516598,#422831,#422832); #352136=AXIS2_PLACEMENT_3D('',#516604,#422836,#422837); #352137=AXIS2_PLACEMENT_3D('',#516610,#422841,#422842); #352138=AXIS2_PLACEMENT_3D('',#516616,#422846,#422847); #352139=AXIS2_PLACEMENT_3D('',#516622,#422851,#422852); #352140=AXIS2_PLACEMENT_3D('',#516628,#422856,#422857); #352141=AXIS2_PLACEMENT_3D('',#516634,#422861,#422862); #352142=AXIS2_PLACEMENT_3D('',#516640,#422866,#422867); #352143=AXIS2_PLACEMENT_3D('',#516646,#422871,#422872); #352144=AXIS2_PLACEMENT_3D('',#516652,#422876,#422877); #352145=AXIS2_PLACEMENT_3D('',#516658,#422881,#422882); #352146=AXIS2_PLACEMENT_3D('',#516664,#422886,#422887); #352147=AXIS2_PLACEMENT_3D('',#516670,#422891,#422892); #352148=AXIS2_PLACEMENT_3D('',#516676,#422896,#422897); #352149=AXIS2_PLACEMENT_3D('',#516682,#422901,#422902); #352150=AXIS2_PLACEMENT_3D('',#516688,#422906,#422907); #352151=AXIS2_PLACEMENT_3D('',#516694,#422911,#422912); #352152=AXIS2_PLACEMENT_3D('',#516700,#422916,#422917); #352153=AXIS2_PLACEMENT_3D('',#516706,#422921,#422922); #352154=AXIS2_PLACEMENT_3D('',#516712,#422926,#422927); #352155=AXIS2_PLACEMENT_3D('',#516718,#422931,#422932); #352156=AXIS2_PLACEMENT_3D('',#516724,#422936,#422937); #352157=AXIS2_PLACEMENT_3D('',#516730,#422941,#422942); #352158=AXIS2_PLACEMENT_3D('',#516736,#422946,#422947); #352159=AXIS2_PLACEMENT_3D('',#516742,#422951,#422952); #352160=AXIS2_PLACEMENT_3D('',#516748,#422956,#422957); #352161=AXIS2_PLACEMENT_3D('',#516754,#422961,#422962); #352162=AXIS2_PLACEMENT_3D('',#516760,#422966,#422967); #352163=AXIS2_PLACEMENT_3D('',#516766,#422971,#422972); #352164=AXIS2_PLACEMENT_3D('',#516772,#422976,#422977); #352165=AXIS2_PLACEMENT_3D('',#516778,#422981,#422982); #352166=AXIS2_PLACEMENT_3D('',#516784,#422986,#422987); #352167=AXIS2_PLACEMENT_3D('',#516790,#422991,#422992); #352168=AXIS2_PLACEMENT_3D('',#516796,#422996,#422997); #352169=AXIS2_PLACEMENT_3D('',#516802,#423001,#423002); #352170=AXIS2_PLACEMENT_3D('',#516808,#423006,#423007); #352171=AXIS2_PLACEMENT_3D('',#516814,#423011,#423012); #352172=AXIS2_PLACEMENT_3D('',#516820,#423016,#423017); #352173=AXIS2_PLACEMENT_3D('',#516826,#423021,#423022); #352174=AXIS2_PLACEMENT_3D('',#516832,#423026,#423027); #352175=AXIS2_PLACEMENT_3D('',#516838,#423031,#423032); #352176=AXIS2_PLACEMENT_3D('',#516844,#423036,#423037); #352177=AXIS2_PLACEMENT_3D('',#516850,#423041,#423042); #352178=AXIS2_PLACEMENT_3D('',#516856,#423046,#423047); #352179=AXIS2_PLACEMENT_3D('',#516862,#423051,#423052); #352180=AXIS2_PLACEMENT_3D('',#516868,#423056,#423057); #352181=AXIS2_PLACEMENT_3D('',#516874,#423061,#423062); #352182=AXIS2_PLACEMENT_3D('',#516880,#423066,#423067); #352183=AXIS2_PLACEMENT_3D('',#516886,#423071,#423072); #352184=AXIS2_PLACEMENT_3D('',#516892,#423076,#423077); #352185=AXIS2_PLACEMENT_3D('',#516898,#423081,#423082); #352186=AXIS2_PLACEMENT_3D('',#516904,#423086,#423087); #352187=AXIS2_PLACEMENT_3D('',#516910,#423091,#423092); #352188=AXIS2_PLACEMENT_3D('',#516916,#423096,#423097); #352189=AXIS2_PLACEMENT_3D('',#516919,#423100,#423101); #352190=AXIS2_PLACEMENT_3D('',#516920,#423102,#423103); #352191=AXIS2_PLACEMENT_3D('',#516921,#423104,#423105); #352192=AXIS2_PLACEMENT_3D('',#516923,#423106,#423107); #352193=AXIS2_PLACEMENT_3D('',#516926,#423109,#423110); #352194=AXIS2_PLACEMENT_3D('',#516927,#423111,#423112); #352195=AXIS2_PLACEMENT_3D('',#516929,#423113,#423114); #352196=AXIS2_PLACEMENT_3D('',#516932,#423116,#423117); #352197=AXIS2_PLACEMENT_3D('',#516933,#423118,#423119); #352198=AXIS2_PLACEMENT_3D('',#516942,#423124,#423125); #352199=AXIS2_PLACEMENT_3D('',#516944,#423126,#423127); #352200=AXIS2_PLACEMENT_3D('',#516946,#423128,#423129); #352201=AXIS2_PLACEMENT_3D('',#516948,#423131,#423132); #352202=AXIS2_PLACEMENT_3D('',#516954,#423136,#423137); #352203=AXIS2_PLACEMENT_3D('',#516960,#423141,#423142); #352204=AXIS2_PLACEMENT_3D('',#516962,#423143,#423144); #352205=AXIS2_PLACEMENT_3D('',#516964,#423145,#423146); #352206=AXIS2_PLACEMENT_3D('',#516966,#423148,#423149); #352207=AXIS2_PLACEMENT_3D('',#516972,#423153,#423154); #352208=AXIS2_PLACEMENT_3D('',#516978,#423158,#423159); #352209=AXIS2_PLACEMENT_3D('',#516980,#423160,#423161); #352210=AXIS2_PLACEMENT_3D('',#516982,#423162,#423163); #352211=AXIS2_PLACEMENT_3D('',#516984,#423165,#423166); #352212=AXIS2_PLACEMENT_3D('',#516990,#423170,#423171); #352213=AXIS2_PLACEMENT_3D('',#516992,#423172,#423173); #352214=AXIS2_PLACEMENT_3D('',#516994,#423174,#423175); #352215=AXIS2_PLACEMENT_3D('',#516996,#423177,#423178); #352216=AXIS2_PLACEMENT_3D('',#517002,#423182,#423183); #352217=AXIS2_PLACEMENT_3D('',#517008,#423187,#423188); #352218=AXIS2_PLACEMENT_3D('',#517014,#423192,#423193); #352219=AXIS2_PLACEMENT_3D('',#517016,#423194,#423195); #352220=AXIS2_PLACEMENT_3D('',#517018,#423196,#423197); #352221=AXIS2_PLACEMENT_3D('',#517020,#423199,#423200); #352222=AXIS2_PLACEMENT_3D('',#517026,#423204,#423205); #352223=AXIS2_PLACEMENT_3D('',#517028,#423206,#423207); #352224=AXIS2_PLACEMENT_3D('',#517030,#423208,#423209); #352225=AXIS2_PLACEMENT_3D('',#517032,#423211,#423212); #352226=AXIS2_PLACEMENT_3D('',#517038,#423216,#423217); #352227=AXIS2_PLACEMENT_3D('',#517044,#423221,#423222); #352228=AXIS2_PLACEMENT_3D('',#517046,#423223,#423224); #352229=AXIS2_PLACEMENT_3D('',#517048,#423225,#423226); #352230=AXIS2_PLACEMENT_3D('',#517050,#423228,#423229); #352231=AXIS2_PLACEMENT_3D('',#517056,#423233,#423234); #352232=AXIS2_PLACEMENT_3D('',#517058,#423235,#423236); #352233=AXIS2_PLACEMENT_3D('',#517060,#423237,#423238); #352234=AXIS2_PLACEMENT_3D('',#517062,#423240,#423241); #352235=AXIS2_PLACEMENT_3D('',#517064,#423242,#423243); #352236=AXIS2_PLACEMENT_3D('',#517066,#423244,#423245); #352237=AXIS2_PLACEMENT_3D('',#517068,#423247,#423248); #352238=AXIS2_PLACEMENT_3D('',#517074,#423252,#423253); #352239=AXIS2_PLACEMENT_3D('',#517080,#423257,#423258); #352240=AXIS2_PLACEMENT_3D('',#517082,#423259,#423260); #352241=AXIS2_PLACEMENT_3D('',#517084,#423261,#423262); #352242=AXIS2_PLACEMENT_3D('',#517086,#423264,#423265); #352243=AXIS2_PLACEMENT_3D('',#517092,#423269,#423270); #352244=AXIS2_PLACEMENT_3D('',#517098,#423274,#423275); #352245=AXIS2_PLACEMENT_3D('',#517104,#423279,#423280); #352246=AXIS2_PLACEMENT_3D('',#517106,#423281,#423282); #352247=AXIS2_PLACEMENT_3D('',#517108,#423283,#423284); #352248=AXIS2_PLACEMENT_3D('',#517110,#423286,#423287); #352249=AXIS2_PLACEMENT_3D('',#517116,#423291,#423292); #352250=AXIS2_PLACEMENT_3D('',#517118,#423293,#423294); #352251=AXIS2_PLACEMENT_3D('',#517120,#423295,#423296); #352252=AXIS2_PLACEMENT_3D('',#517122,#423298,#423299); #352253=AXIS2_PLACEMENT_3D('',#517128,#423303,#423304); #352254=AXIS2_PLACEMENT_3D('',#517134,#423308,#423309); #352255=AXIS2_PLACEMENT_3D('',#517140,#423313,#423314); #352256=AXIS2_PLACEMENT_3D('',#517142,#423315,#423316); #352257=AXIS2_PLACEMENT_3D('',#517144,#423317,#423318); #352258=AXIS2_PLACEMENT_3D('',#517146,#423320,#423321); #352259=AXIS2_PLACEMENT_3D('',#517152,#423325,#423326); #352260=AXIS2_PLACEMENT_3D('',#517158,#423330,#423331); #352261=AXIS2_PLACEMENT_3D('',#517160,#423332,#423333); #352262=AXIS2_PLACEMENT_3D('',#517162,#423334,#423335); #352263=AXIS2_PLACEMENT_3D('',#517164,#423337,#423338); #352264=AXIS2_PLACEMENT_3D('',#517170,#423342,#423343); #352265=AXIS2_PLACEMENT_3D('',#517176,#423347,#423348); #352266=AXIS2_PLACEMENT_3D('',#517177,#423349,#423350); #352267=AXIS2_PLACEMENT_3D('',#517178,#423351,#423352); #352268=AXIS2_PLACEMENT_3D('',#517179,#423353,#423354); #352269=AXIS2_PLACEMENT_3D('',#517180,#423355,#423356); #352270=AXIS2_PLACEMENT_3D('',#517181,#423357,#423358); #352271=AXIS2_PLACEMENT_3D('',#517183,#423359,#423360); #352272=AXIS2_PLACEMENT_3D('',#517186,#423362,#423363); #352273=AXIS2_PLACEMENT_3D('',#517187,#423364,#423365); #352274=AXIS2_PLACEMENT_3D('',#517196,#423370,#423371); #352275=AXIS2_PLACEMENT_3D('',#517198,#423372,#423373); #352276=AXIS2_PLACEMENT_3D('',#517200,#423374,#423375); #352277=AXIS2_PLACEMENT_3D('',#517202,#423377,#423378); #352278=AXIS2_PLACEMENT_3D('',#517203,#423379,#423380); #352279=AXIS2_PLACEMENT_3D('',#517204,#423381,#423382); #352280=AXIS2_PLACEMENT_3D('',#517205,#423383,#423384); #352281=AXIS2_PLACEMENT_3D('',#517206,#423385,#423386); #352282=AXIS2_PLACEMENT_3D('',#517207,#423387,#423388); #352283=AXIS2_PLACEMENT_3D('',#517209,#423389,#423390); #352284=AXIS2_PLACEMENT_3D('',#517212,#423392,#423393); #352285=AXIS2_PLACEMENT_3D('',#517213,#423394,#423395); #352286=AXIS2_PLACEMENT_3D('',#517215,#423396,#423397); #352287=AXIS2_PLACEMENT_3D('',#517218,#423399,#423400); #352288=AXIS2_PLACEMENT_3D('',#517219,#423401,#423402); #352289=AXIS2_PLACEMENT_3D('',#517221,#423403,#423404); #352290=AXIS2_PLACEMENT_3D('',#517224,#423406,#423407); #352291=AXIS2_PLACEMENT_3D('',#517225,#423408,#423409); #352292=AXIS2_PLACEMENT_3D('',#517227,#423410,#423411); #352293=AXIS2_PLACEMENT_3D('',#517230,#423413,#423414); #352294=AXIS2_PLACEMENT_3D('',#517231,#423415,#423416); #352295=AXIS2_PLACEMENT_3D('',#517233,#423417,#423418); #352296=AXIS2_PLACEMENT_3D('',#517236,#423420,#423421); #352297=AXIS2_PLACEMENT_3D('',#517237,#423422,#423423); #352298=AXIS2_PLACEMENT_3D('',#517239,#423424,#423425); #352299=AXIS2_PLACEMENT_3D('',#517242,#423427,#423428); #352300=AXIS2_PLACEMENT_3D('',#517243,#423429,#423430); #352301=AXIS2_PLACEMENT_3D('',#517245,#423431,#423432); #352302=AXIS2_PLACEMENT_3D('',#517248,#423434,#423435); #352303=AXIS2_PLACEMENT_3D('',#517249,#423436,#423437); #352304=AXIS2_PLACEMENT_3D('',#517251,#423438,#423439); #352305=AXIS2_PLACEMENT_3D('',#517254,#423441,#423442); #352306=AXIS2_PLACEMENT_3D('',#517255,#423443,#423444); #352307=AXIS2_PLACEMENT_3D('',#517257,#423445,#423446); #352308=AXIS2_PLACEMENT_3D('',#517260,#423448,#423449); #352309=AXIS2_PLACEMENT_3D('',#517261,#423450,#423451); #352310=AXIS2_PLACEMENT_3D('',#517263,#423452,#423453); #352311=AXIS2_PLACEMENT_3D('',#517266,#423455,#423456); #352312=AXIS2_PLACEMENT_3D('',#517267,#423457,#423458); #352313=AXIS2_PLACEMENT_3D('',#517276,#423463,#423464); #352314=AXIS2_PLACEMENT_3D('',#517282,#423468,#423469); #352315=AXIS2_PLACEMENT_3D('',#517288,#423473,#423474); #352316=AXIS2_PLACEMENT_3D('',#517294,#423478,#423479); #352317=AXIS2_PLACEMENT_3D('',#517300,#423483,#423484); #352318=AXIS2_PLACEMENT_3D('',#517306,#423488,#423489); #352319=AXIS2_PLACEMENT_3D('',#517312,#423493,#423494); #352320=AXIS2_PLACEMENT_3D('',#517318,#423498,#423499); #352321=AXIS2_PLACEMENT_3D('',#517324,#423503,#423504); #352322=AXIS2_PLACEMENT_3D('',#517330,#423508,#423509); #352323=AXIS2_PLACEMENT_3D('',#517336,#423513,#423514); #352324=AXIS2_PLACEMENT_3D('',#517342,#423518,#423519); #352325=AXIS2_PLACEMENT_3D('',#517348,#423523,#423524); #352326=AXIS2_PLACEMENT_3D('',#517354,#423528,#423529); #352327=AXIS2_PLACEMENT_3D('',#517360,#423533,#423534); #352328=AXIS2_PLACEMENT_3D('',#517366,#423538,#423539); #352329=AXIS2_PLACEMENT_3D('',#517372,#423543,#423544); #352330=AXIS2_PLACEMENT_3D('',#517378,#423548,#423549); #352331=AXIS2_PLACEMENT_3D('',#517384,#423553,#423554); #352332=AXIS2_PLACEMENT_3D('',#517390,#423558,#423559); #352333=AXIS2_PLACEMENT_3D('',#517396,#423563,#423564); #352334=AXIS2_PLACEMENT_3D('',#517402,#423568,#423569); #352335=AXIS2_PLACEMENT_3D('',#517408,#423573,#423574); #352336=AXIS2_PLACEMENT_3D('',#517411,#423577,#423578); #352337=AXIS2_PLACEMENT_3D('',#517412,#423579,#423580); #352338=AXIS2_PLACEMENT_3D('',#517413,#423581,#423582); #352339=AXIS2_PLACEMENT_3D('',#517415,#423583,#423584); #352340=AXIS2_PLACEMENT_3D('',#517418,#423586,#423587); #352341=AXIS2_PLACEMENT_3D('',#517419,#423588,#423589); #352342=AXIS2_PLACEMENT_3D('',#517428,#423594,#423595); #352343=AXIS2_PLACEMENT_3D('',#517430,#423596,#423597); #352344=AXIS2_PLACEMENT_3D('',#517432,#423598,#423599); #352345=AXIS2_PLACEMENT_3D('',#517434,#423601,#423602); #352346=AXIS2_PLACEMENT_3D('',#517435,#423603,#423604); #352347=AXIS2_PLACEMENT_3D('',#517436,#423605,#423606); #352348=AXIS2_PLACEMENT_3D('',#517437,#423607,#423608); #352349=AXIS2_PLACEMENT_3D('',#517438,#423609,#423610); #352350=AXIS2_PLACEMENT_3D('',#517439,#423611,#423612); #352351=AXIS2_PLACEMENT_3D('',#517441,#423613,#423614); #352352=AXIS2_PLACEMENT_3D('',#517444,#423616,#423617); #352353=AXIS2_PLACEMENT_3D('',#517445,#423618,#423619); #352354=AXIS2_PLACEMENT_3D('',#517454,#423624,#423625); #352355=AXIS2_PLACEMENT_3D('',#517456,#423626,#423627); #352356=AXIS2_PLACEMENT_3D('',#517458,#423628,#423629); #352357=AXIS2_PLACEMENT_3D('',#517460,#423631,#423632); #352358=AXIS2_PLACEMENT_3D('',#517461,#423633,#423634); #352359=AXIS2_PLACEMENT_3D('',#517462,#423635,#423636); #352360=AXIS2_PLACEMENT_3D('',#517463,#423637,#423638); #352361=AXIS2_PLACEMENT_3D('',#517464,#423639,#423640); #352362=AXIS2_PLACEMENT_3D('',#517465,#423641,#423642); #352363=AXIS2_PLACEMENT_3D('',#517467,#423643,#423644); #352364=AXIS2_PLACEMENT_3D('',#517470,#423646,#423647); #352365=AXIS2_PLACEMENT_3D('',#517471,#423648,#423649); #352366=AXIS2_PLACEMENT_3D('',#517480,#423654,#423655); #352367=AXIS2_PLACEMENT_3D('',#517482,#423656,#423657); #352368=AXIS2_PLACEMENT_3D('',#517484,#423658,#423659); #352369=AXIS2_PLACEMENT_3D('',#517486,#423661,#423662); #352370=AXIS2_PLACEMENT_3D('',#517487,#423663,#423664); #352371=AXIS2_PLACEMENT_3D('',#517488,#423665,#423666); #352372=AXIS2_PLACEMENT_3D('',#517489,#423667,#423668); #352373=AXIS2_PLACEMENT_3D('',#517490,#423669,#423670); #352374=AXIS2_PLACEMENT_3D('',#517491,#423671,#423672); #352375=AXIS2_PLACEMENT_3D('',#517493,#423673,#423674); #352376=AXIS2_PLACEMENT_3D('',#517496,#423676,#423677); #352377=AXIS2_PLACEMENT_3D('',#517497,#423678,#423679); #352378=AXIS2_PLACEMENT_3D('',#517506,#423684,#423685); #352379=AXIS2_PLACEMENT_3D('',#517512,#423689,#423690); #352380=AXIS2_PLACEMENT_3D('',#517518,#423694,#423695); #352381=AXIS2_PLACEMENT_3D('',#517524,#423699,#423700); #352382=AXIS2_PLACEMENT_3D('',#517530,#423704,#423705); #352383=AXIS2_PLACEMENT_3D('',#517536,#423709,#423710); #352384=AXIS2_PLACEMENT_3D('',#517542,#423714,#423715); #352385=AXIS2_PLACEMENT_3D('',#517545,#423718,#423719); #352386=AXIS2_PLACEMENT_3D('',#517546,#423720,#423721); #352387=AXIS2_PLACEMENT_3D('',#517547,#423722,#423723); #352388=AXIS2_PLACEMENT_3D('',#517549,#423724,#423725); #352389=AXIS2_PLACEMENT_3D('',#517552,#423727,#423728); #352390=AXIS2_PLACEMENT_3D('',#517553,#423729,#423730); #352391=AXIS2_PLACEMENT_3D('',#517562,#423735,#423736); #352392=AXIS2_PLACEMENT_3D('',#517564,#423737,#423738); #352393=AXIS2_PLACEMENT_3D('',#517566,#423739,#423740); #352394=AXIS2_PLACEMENT_3D('',#517568,#423742,#423743); #352395=AXIS2_PLACEMENT_3D('',#517569,#423744,#423745); #352396=AXIS2_PLACEMENT_3D('',#517570,#423746,#423747); #352397=AXIS2_PLACEMENT_3D('',#517571,#423748,#423749); #352398=AXIS2_PLACEMENT_3D('',#517572,#423750,#423751); #352399=AXIS2_PLACEMENT_3D('',#517573,#423752,#423753); #352400=AXIS2_PLACEMENT_3D('',#517575,#423754,#423755); #352401=AXIS2_PLACEMENT_3D('',#517578,#423757,#423758); #352402=AXIS2_PLACEMENT_3D('',#517579,#423759,#423760); #352403=AXIS2_PLACEMENT_3D('',#517588,#423765,#423766); #352404=AXIS2_PLACEMENT_3D('',#517594,#423770,#423771); #352405=AXIS2_PLACEMENT_3D('',#517600,#423775,#423776); #352406=AXIS2_PLACEMENT_3D('',#517603,#423779,#423780); #352407=AXIS2_PLACEMENT_3D('',#517604,#423781,#423782); #352408=AXIS2_PLACEMENT_3D('',#517605,#423783,#423784); #352409=AXIS2_PLACEMENT_3D('',#517607,#423785,#423786); #352410=AXIS2_PLACEMENT_3D('',#517610,#423788,#423789); #352411=AXIS2_PLACEMENT_3D('',#517611,#423790,#423791); #352412=AXIS2_PLACEMENT_3D('',#517620,#423796,#423797); #352413=AXIS2_PLACEMENT_3D('',#517622,#423798,#423799); #352414=AXIS2_PLACEMENT_3D('',#517624,#423800,#423801); #352415=AXIS2_PLACEMENT_3D('',#517626,#423803,#423804); #352416=AXIS2_PLACEMENT_3D('',#517627,#423805,#423806); #352417=AXIS2_PLACEMENT_3D('',#517628,#423807,#423808); #352418=AXIS2_PLACEMENT_3D('',#517629,#423809,#423810); #352419=AXIS2_PLACEMENT_3D('',#517630,#423811,#423812); #352420=AXIS2_PLACEMENT_3D('',#517631,#423813,#423814); #352421=AXIS2_PLACEMENT_3D('',#517640,#423819,#423820); #352422=AXIS2_PLACEMENT_3D('',#517642,#423821,#423822); #352423=AXIS2_PLACEMENT_3D('',#517644,#423823,#423824); #352424=AXIS2_PLACEMENT_3D('',#517646,#423826,#423827); #352425=AXIS2_PLACEMENT_3D('',#517652,#423831,#423832); #352426=AXIS2_PLACEMENT_3D('',#517653,#423833,#423834); #352427=AXIS2_PLACEMENT_3D('',#517654,#423835,#423836); #352428=AXIS2_PLACEMENT_3D('',#517655,#423837,#423838); #352429=AXIS2_PLACEMENT_3D('',#517664,#423843,#423844); #352430=AXIS2_PLACEMENT_3D('',#517670,#423848,#423849); #352431=AXIS2_PLACEMENT_3D('',#517676,#423853,#423854); #352432=AXIS2_PLACEMENT_3D('',#517682,#423858,#423859); #352433=AXIS2_PLACEMENT_3D('',#517688,#423863,#423864); #352434=AXIS2_PLACEMENT_3D('',#517694,#423868,#423869); #352435=AXIS2_PLACEMENT_3D('',#517700,#423873,#423874); #352436=AXIS2_PLACEMENT_3D('',#517706,#423878,#423879); #352437=AXIS2_PLACEMENT_3D('',#517712,#423883,#423884); #352438=AXIS2_PLACEMENT_3D('',#517718,#423888,#423889); #352439=AXIS2_PLACEMENT_3D('',#517724,#423893,#423894); #352440=AXIS2_PLACEMENT_3D('',#517730,#423898,#423899); #352441=AXIS2_PLACEMENT_3D('',#517736,#423903,#423904); #352442=AXIS2_PLACEMENT_3D('',#517742,#423908,#423909); #352443=AXIS2_PLACEMENT_3D('',#517748,#423913,#423914); #352444=AXIS2_PLACEMENT_3D('',#517754,#423918,#423919); #352445=AXIS2_PLACEMENT_3D('',#517760,#423923,#423924); #352446=AXIS2_PLACEMENT_3D('',#517766,#423928,#423929); #352447=AXIS2_PLACEMENT_3D('',#517772,#423933,#423934); #352448=AXIS2_PLACEMENT_3D('',#517778,#423938,#423939); #352449=AXIS2_PLACEMENT_3D('',#517784,#423943,#423944); #352450=AXIS2_PLACEMENT_3D('',#517790,#423948,#423949); #352451=AXIS2_PLACEMENT_3D('',#517796,#423953,#423954); #352452=AXIS2_PLACEMENT_3D('',#517802,#423958,#423959); #352453=AXIS2_PLACEMENT_3D('',#517808,#423963,#423964); #352454=AXIS2_PLACEMENT_3D('',#517814,#423968,#423969); #352455=AXIS2_PLACEMENT_3D('',#517820,#423973,#423974); #352456=AXIS2_PLACEMENT_3D('',#517826,#423978,#423979); #352457=AXIS2_PLACEMENT_3D('',#517832,#423983,#423984); #352458=AXIS2_PLACEMENT_3D('',#517838,#423988,#423989); #352459=AXIS2_PLACEMENT_3D('',#517844,#423993,#423994); #352460=AXIS2_PLACEMENT_3D('',#517850,#423998,#423999); #352461=AXIS2_PLACEMENT_3D('',#517856,#424003,#424004); #352462=AXIS2_PLACEMENT_3D('',#517862,#424008,#424009); #352463=AXIS2_PLACEMENT_3D('',#517868,#424013,#424014); #352464=AXIS2_PLACEMENT_3D('',#517874,#424018,#424019); #352465=AXIS2_PLACEMENT_3D('',#517880,#424023,#424024); #352466=AXIS2_PLACEMENT_3D('',#517886,#424028,#424029); #352467=AXIS2_PLACEMENT_3D('',#517892,#424033,#424034); #352468=AXIS2_PLACEMENT_3D('',#517898,#424038,#424039); #352469=AXIS2_PLACEMENT_3D('',#517904,#424043,#424044); #352470=AXIS2_PLACEMENT_3D('',#517910,#424048,#424049); #352471=AXIS2_PLACEMENT_3D('',#517916,#424053,#424054); #352472=AXIS2_PLACEMENT_3D('',#517922,#424058,#424059); #352473=AXIS2_PLACEMENT_3D('',#517928,#424063,#424064); #352474=AXIS2_PLACEMENT_3D('',#517934,#424068,#424069); #352475=AXIS2_PLACEMENT_3D('',#517940,#424073,#424074); #352476=AXIS2_PLACEMENT_3D('',#517946,#424078,#424079); #352477=AXIS2_PLACEMENT_3D('',#517952,#424083,#424084); #352478=AXIS2_PLACEMENT_3D('',#517958,#424088,#424089); #352479=AXIS2_PLACEMENT_3D('',#517964,#424093,#424094); #352480=AXIS2_PLACEMENT_3D('',#517970,#424098,#424099); #352481=AXIS2_PLACEMENT_3D('',#517976,#424103,#424104); #352482=AXIS2_PLACEMENT_3D('',#517982,#424108,#424109); #352483=AXIS2_PLACEMENT_3D('',#517988,#424113,#424114); #352484=AXIS2_PLACEMENT_3D('',#517991,#424117,#424118); #352485=AXIS2_PLACEMENT_3D('',#517992,#424119,#424120); #352486=AXIS2_PLACEMENT_3D('',#517993,#424121,#424122); #352487=AXIS2_PLACEMENT_3D('',#517995,#424123,#424124); #352488=AXIS2_PLACEMENT_3D('',#517998,#424126,#424127); #352489=AXIS2_PLACEMENT_3D('',#517999,#424128,#424129); #352490=AXIS2_PLACEMENT_3D('',#518008,#424134,#424135); #352491=AXIS2_PLACEMENT_3D('',#518010,#424136,#424137); #352492=AXIS2_PLACEMENT_3D('',#518012,#424138,#424139); #352493=AXIS2_PLACEMENT_3D('',#518014,#424141,#424142); #352494=AXIS2_PLACEMENT_3D('',#518015,#424143,#424144); #352495=AXIS2_PLACEMENT_3D('',#518016,#424145,#424146); #352496=AXIS2_PLACEMENT_3D('',#518017,#424147,#424148); #352497=AXIS2_PLACEMENT_3D('',#518018,#424149,#424150); #352498=AXIS2_PLACEMENT_3D('',#518019,#424151,#424152); #352499=AXIS2_PLACEMENT_3D('',#518028,#424157,#424158); #352500=AXIS2_PLACEMENT_3D('',#518030,#424159,#424160); #352501=AXIS2_PLACEMENT_3D('',#518032,#424161,#424162); #352502=AXIS2_PLACEMENT_3D('',#518034,#424164,#424165); #352503=AXIS2_PLACEMENT_3D('',#518040,#424169,#424170); #352504=AXIS2_PLACEMENT_3D('',#518041,#424171,#424172); #352505=AXIS2_PLACEMENT_3D('',#518042,#424173,#424174); #352506=AXIS2_PLACEMENT_3D('',#518043,#424175,#424176); #352507=AXIS2_PLACEMENT_3D('',#518052,#424181,#424182); #352508=AXIS2_PLACEMENT_3D('',#518058,#424186,#424187); #352509=AXIS2_PLACEMENT_3D('',#518064,#424191,#424192); #352510=AXIS2_PLACEMENT_3D('',#518070,#424196,#424197); #352511=AXIS2_PLACEMENT_3D('',#518076,#424201,#424202); #352512=AXIS2_PLACEMENT_3D('',#518082,#424206,#424207); #352513=AXIS2_PLACEMENT_3D('',#518088,#424211,#424212); #352514=AXIS2_PLACEMENT_3D('',#518094,#424216,#424217); #352515=AXIS2_PLACEMENT_3D('',#518100,#424221,#424222); #352516=AXIS2_PLACEMENT_3D('',#518106,#424226,#424227); #352517=AXIS2_PLACEMENT_3D('',#518112,#424231,#424232); #352518=AXIS2_PLACEMENT_3D('',#518118,#424236,#424237); #352519=AXIS2_PLACEMENT_3D('',#518124,#424241,#424242); #352520=AXIS2_PLACEMENT_3D('',#518130,#424246,#424247); #352521=AXIS2_PLACEMENT_3D('',#518136,#424251,#424252); #352522=AXIS2_PLACEMENT_3D('',#518142,#424256,#424257); #352523=AXIS2_PLACEMENT_3D('',#518148,#424261,#424262); #352524=AXIS2_PLACEMENT_3D('',#518154,#424266,#424267); #352525=AXIS2_PLACEMENT_3D('',#518160,#424271,#424272); #352526=AXIS2_PLACEMENT_3D('',#518166,#424276,#424277); #352527=AXIS2_PLACEMENT_3D('',#518172,#424281,#424282); #352528=AXIS2_PLACEMENT_3D('',#518178,#424286,#424287); #352529=AXIS2_PLACEMENT_3D('',#518184,#424291,#424292); #352530=AXIS2_PLACEMENT_3D('',#518190,#424296,#424297); #352531=AXIS2_PLACEMENT_3D('',#518196,#424301,#424302); #352532=AXIS2_PLACEMENT_3D('',#518202,#424306,#424307); #352533=AXIS2_PLACEMENT_3D('',#518208,#424311,#424312); #352534=AXIS2_PLACEMENT_3D('',#518214,#424316,#424317); #352535=AXIS2_PLACEMENT_3D('',#518220,#424321,#424322); #352536=AXIS2_PLACEMENT_3D('',#518226,#424326,#424327); #352537=AXIS2_PLACEMENT_3D('',#518232,#424331,#424332); #352538=AXIS2_PLACEMENT_3D('',#518238,#424336,#424337); #352539=AXIS2_PLACEMENT_3D('',#518244,#424341,#424342); #352540=AXIS2_PLACEMENT_3D('',#518250,#424346,#424347); #352541=AXIS2_PLACEMENT_3D('',#518256,#424351,#424352); #352542=AXIS2_PLACEMENT_3D('',#518262,#424356,#424357); #352543=AXIS2_PLACEMENT_3D('',#518268,#424361,#424362); #352544=AXIS2_PLACEMENT_3D('',#518274,#424366,#424367); #352545=AXIS2_PLACEMENT_3D('',#518280,#424371,#424372); #352546=AXIS2_PLACEMENT_3D('',#518286,#424376,#424377); #352547=AXIS2_PLACEMENT_3D('',#518292,#424381,#424382); #352548=AXIS2_PLACEMENT_3D('',#518298,#424386,#424387); #352549=AXIS2_PLACEMENT_3D('',#518304,#424391,#424392); #352550=AXIS2_PLACEMENT_3D('',#518310,#424396,#424397); #352551=AXIS2_PLACEMENT_3D('',#518316,#424401,#424402); #352552=AXIS2_PLACEMENT_3D('',#518322,#424406,#424407); #352553=AXIS2_PLACEMENT_3D('',#518328,#424411,#424412); #352554=AXIS2_PLACEMENT_3D('',#518334,#424416,#424417); #352555=AXIS2_PLACEMENT_3D('',#518340,#424421,#424422); #352556=AXIS2_PLACEMENT_3D('',#518346,#424426,#424427); #352557=AXIS2_PLACEMENT_3D('',#518352,#424431,#424432); #352558=AXIS2_PLACEMENT_3D('',#518358,#424436,#424437); #352559=AXIS2_PLACEMENT_3D('',#518364,#424441,#424442); #352560=AXIS2_PLACEMENT_3D('',#518370,#424446,#424447); #352561=AXIS2_PLACEMENT_3D('',#518376,#424451,#424452); #352562=AXIS2_PLACEMENT_3D('',#518379,#424455,#424456); #352563=AXIS2_PLACEMENT_3D('',#518380,#424457,#424458); #352564=AXIS2_PLACEMENT_3D('',#518381,#424459,#424460); #352565=AXIS2_PLACEMENT_3D('',#518390,#424465,#424466); #352566=AXIS2_PLACEMENT_3D('',#518392,#424467,#424468); #352567=AXIS2_PLACEMENT_3D('',#518394,#424469,#424470); #352568=AXIS2_PLACEMENT_3D('',#518396,#424472,#424473); #352569=AXIS2_PLACEMENT_3D('',#518402,#424477,#424478); #352570=AXIS2_PLACEMENT_3D('',#518403,#424479,#424480); #352571=AXIS2_PLACEMENT_3D('',#518404,#424481,#424482); #352572=AXIS2_PLACEMENT_3D('',#518405,#424483,#424484); #352573=AXIS2_PLACEMENT_3D('',#518414,#424489,#424490); #352574=AXIS2_PLACEMENT_3D('',#518420,#424494,#424495); #352575=AXIS2_PLACEMENT_3D('',#518426,#424499,#424500); #352576=AXIS2_PLACEMENT_3D('',#518432,#424504,#424505); #352577=AXIS2_PLACEMENT_3D('',#518438,#424509,#424510); #352578=AXIS2_PLACEMENT_3D('',#518444,#424514,#424515); #352579=AXIS2_PLACEMENT_3D('',#518450,#424519,#424520); #352580=AXIS2_PLACEMENT_3D('',#518456,#424524,#424525); #352581=AXIS2_PLACEMENT_3D('',#518462,#424529,#424530); #352582=AXIS2_PLACEMENT_3D('',#518468,#424534,#424535); #352583=AXIS2_PLACEMENT_3D('',#518474,#424539,#424540); #352584=AXIS2_PLACEMENT_3D('',#518480,#424544,#424545); #352585=AXIS2_PLACEMENT_3D('',#518486,#424549,#424550); #352586=AXIS2_PLACEMENT_3D('',#518492,#424554,#424555); #352587=AXIS2_PLACEMENT_3D('',#518498,#424559,#424560); #352588=AXIS2_PLACEMENT_3D('',#518504,#424564,#424565); #352589=AXIS2_PLACEMENT_3D('',#518510,#424569,#424570); #352590=AXIS2_PLACEMENT_3D('',#518516,#424574,#424575); #352591=AXIS2_PLACEMENT_3D('',#518522,#424579,#424580); #352592=AXIS2_PLACEMENT_3D('',#518528,#424584,#424585); #352593=AXIS2_PLACEMENT_3D('',#518534,#424589,#424590); #352594=AXIS2_PLACEMENT_3D('',#518540,#424594,#424595); #352595=AXIS2_PLACEMENT_3D('',#518546,#424599,#424600); #352596=AXIS2_PLACEMENT_3D('',#518552,#424604,#424605); #352597=AXIS2_PLACEMENT_3D('',#518558,#424609,#424610); #352598=AXIS2_PLACEMENT_3D('',#518564,#424614,#424615); #352599=AXIS2_PLACEMENT_3D('',#518570,#424619,#424620); #352600=AXIS2_PLACEMENT_3D('',#518576,#424624,#424625); #352601=AXIS2_PLACEMENT_3D('',#518582,#424629,#424630); #352602=AXIS2_PLACEMENT_3D('',#518588,#424634,#424635); #352603=AXIS2_PLACEMENT_3D('',#518594,#424639,#424640); #352604=AXIS2_PLACEMENT_3D('',#518600,#424644,#424645); #352605=AXIS2_PLACEMENT_3D('',#518606,#424649,#424650); #352606=AXIS2_PLACEMENT_3D('',#518612,#424654,#424655); #352607=AXIS2_PLACEMENT_3D('',#518618,#424659,#424660); #352608=AXIS2_PLACEMENT_3D('',#518624,#424664,#424665); #352609=AXIS2_PLACEMENT_3D('',#518630,#424669,#424670); #352610=AXIS2_PLACEMENT_3D('',#518636,#424674,#424675); #352611=AXIS2_PLACEMENT_3D('',#518642,#424679,#424680); #352612=AXIS2_PLACEMENT_3D('',#518648,#424684,#424685); #352613=AXIS2_PLACEMENT_3D('',#518654,#424689,#424690); #352614=AXIS2_PLACEMENT_3D('',#518660,#424694,#424695); #352615=AXIS2_PLACEMENT_3D('',#518666,#424699,#424700); #352616=AXIS2_PLACEMENT_3D('',#518672,#424704,#424705); #352617=AXIS2_PLACEMENT_3D('',#518678,#424709,#424710); #352618=AXIS2_PLACEMENT_3D('',#518684,#424714,#424715); #352619=AXIS2_PLACEMENT_3D('',#518690,#424719,#424720); #352620=AXIS2_PLACEMENT_3D('',#518696,#424724,#424725); #352621=AXIS2_PLACEMENT_3D('',#518702,#424729,#424730); #352622=AXIS2_PLACEMENT_3D('',#518708,#424734,#424735); #352623=AXIS2_PLACEMENT_3D('',#518714,#424739,#424740); #352624=AXIS2_PLACEMENT_3D('',#518720,#424744,#424745); #352625=AXIS2_PLACEMENT_3D('',#518726,#424749,#424750); #352626=AXIS2_PLACEMENT_3D('',#518732,#424754,#424755); #352627=AXIS2_PLACEMENT_3D('',#518738,#424759,#424760); #352628=AXIS2_PLACEMENT_3D('',#518744,#424764,#424765); #352629=AXIS2_PLACEMENT_3D('',#518750,#424769,#424770); #352630=AXIS2_PLACEMENT_3D('',#518756,#424774,#424775); #352631=AXIS2_PLACEMENT_3D('',#518762,#424779,#424780); #352632=AXIS2_PLACEMENT_3D('',#518768,#424784,#424785); #352633=AXIS2_PLACEMENT_3D('',#518774,#424789,#424790); #352634=AXIS2_PLACEMENT_3D('',#518780,#424794,#424795); #352635=AXIS2_PLACEMENT_3D('',#518786,#424799,#424800); #352636=AXIS2_PLACEMENT_3D('',#518792,#424804,#424805); #352637=AXIS2_PLACEMENT_3D('',#518798,#424809,#424810); #352638=AXIS2_PLACEMENT_3D('',#518804,#424814,#424815); #352639=AXIS2_PLACEMENT_3D('',#518810,#424819,#424820); #352640=AXIS2_PLACEMENT_3D('',#518816,#424824,#424825); #352641=AXIS2_PLACEMENT_3D('',#518822,#424829,#424830); #352642=AXIS2_PLACEMENT_3D('',#518828,#424834,#424835); #352643=AXIS2_PLACEMENT_3D('',#518834,#424839,#424840); #352644=AXIS2_PLACEMENT_3D('',#518837,#424843,#424844); #352645=AXIS2_PLACEMENT_3D('',#518838,#424845,#424846); #352646=AXIS2_PLACEMENT_3D('',#518839,#424847,#424848); #352647=AXIS2_PLACEMENT_3D('',#518848,#424853,#424854); #352648=AXIS2_PLACEMENT_3D('',#518850,#424855,#424856); #352649=AXIS2_PLACEMENT_3D('',#518852,#424857,#424858); #352650=AXIS2_PLACEMENT_3D('',#518854,#424860,#424861); #352651=AXIS2_PLACEMENT_3D('',#518860,#424865,#424866); #352652=AXIS2_PLACEMENT_3D('',#518861,#424867,#424868); #352653=AXIS2_PLACEMENT_3D('',#518862,#424869,#424870); #352654=AXIS2_PLACEMENT_3D('',#518863,#424871,#424872); #352655=AXIS2_PLACEMENT_3D('',#518872,#424877,#424878); #352656=AXIS2_PLACEMENT_3D('',#518878,#424882,#424883); #352657=AXIS2_PLACEMENT_3D('',#518884,#424887,#424888); #352658=AXIS2_PLACEMENT_3D('',#518890,#424892,#424893); #352659=AXIS2_PLACEMENT_3D('',#518896,#424897,#424898); #352660=AXIS2_PLACEMENT_3D('',#518902,#424902,#424903); #352661=AXIS2_PLACEMENT_3D('',#518908,#424907,#424908); #352662=AXIS2_PLACEMENT_3D('',#518914,#424912,#424913); #352663=AXIS2_PLACEMENT_3D('',#518920,#424917,#424918); #352664=AXIS2_PLACEMENT_3D('',#518926,#424922,#424923); #352665=AXIS2_PLACEMENT_3D('',#518932,#424927,#424928); #352666=AXIS2_PLACEMENT_3D('',#518938,#424932,#424933); #352667=AXIS2_PLACEMENT_3D('',#518944,#424937,#424938); #352668=AXIS2_PLACEMENT_3D('',#518950,#424942,#424943); #352669=AXIS2_PLACEMENT_3D('',#518956,#424947,#424948); #352670=AXIS2_PLACEMENT_3D('',#518962,#424952,#424953); #352671=AXIS2_PLACEMENT_3D('',#518968,#424957,#424958); #352672=AXIS2_PLACEMENT_3D('',#518974,#424962,#424963); #352673=AXIS2_PLACEMENT_3D('',#518980,#424967,#424968); #352674=AXIS2_PLACEMENT_3D('',#518986,#424972,#424973); #352675=AXIS2_PLACEMENT_3D('',#518992,#424977,#424978); #352676=AXIS2_PLACEMENT_3D('',#518998,#424982,#424983); #352677=AXIS2_PLACEMENT_3D('',#519004,#424987,#424988); #352678=AXIS2_PLACEMENT_3D('',#519010,#424992,#424993); #352679=AXIS2_PLACEMENT_3D('',#519016,#424997,#424998); #352680=AXIS2_PLACEMENT_3D('',#519022,#425002,#425003); #352681=AXIS2_PLACEMENT_3D('',#519028,#425007,#425008); #352682=AXIS2_PLACEMENT_3D('',#519034,#425012,#425013); #352683=AXIS2_PLACEMENT_3D('',#519040,#425017,#425018); #352684=AXIS2_PLACEMENT_3D('',#519046,#425022,#425023); #352685=AXIS2_PLACEMENT_3D('',#519052,#425027,#425028); #352686=AXIS2_PLACEMENT_3D('',#519058,#425032,#425033); #352687=AXIS2_PLACEMENT_3D('',#519064,#425037,#425038); #352688=AXIS2_PLACEMENT_3D('',#519070,#425042,#425043); #352689=AXIS2_PLACEMENT_3D('',#519076,#425047,#425048); #352690=AXIS2_PLACEMENT_3D('',#519082,#425052,#425053); #352691=AXIS2_PLACEMENT_3D('',#519088,#425057,#425058); #352692=AXIS2_PLACEMENT_3D('',#519094,#425062,#425063); #352693=AXIS2_PLACEMENT_3D('',#519100,#425067,#425068); #352694=AXIS2_PLACEMENT_3D('',#519106,#425072,#425073); #352695=AXIS2_PLACEMENT_3D('',#519112,#425077,#425078); #352696=AXIS2_PLACEMENT_3D('',#519118,#425082,#425083); #352697=AXIS2_PLACEMENT_3D('',#519124,#425087,#425088); #352698=AXIS2_PLACEMENT_3D('',#519130,#425092,#425093); #352699=AXIS2_PLACEMENT_3D('',#519136,#425097,#425098); #352700=AXIS2_PLACEMENT_3D('',#519142,#425102,#425103); #352701=AXIS2_PLACEMENT_3D('',#519148,#425107,#425108); #352702=AXIS2_PLACEMENT_3D('',#519154,#425112,#425113); #352703=AXIS2_PLACEMENT_3D('',#519160,#425117,#425118); #352704=AXIS2_PLACEMENT_3D('',#519166,#425122,#425123); #352705=AXIS2_PLACEMENT_3D('',#519172,#425127,#425128); #352706=AXIS2_PLACEMENT_3D('',#519178,#425132,#425133); #352707=AXIS2_PLACEMENT_3D('',#519184,#425137,#425138); #352708=AXIS2_PLACEMENT_3D('',#519190,#425142,#425143); #352709=AXIS2_PLACEMENT_3D('',#519196,#425147,#425148); #352710=AXIS2_PLACEMENT_3D('',#519199,#425151,#425152); #352711=AXIS2_PLACEMENT_3D('',#519200,#425153,#425154); #352712=AXIS2_PLACEMENT_3D('',#519201,#425155,#425156); #352713=AXIS2_PLACEMENT_3D('',#519203,#425157,#425158); #352714=AXIS2_PLACEMENT_3D('',#519206,#425160,#425161); #352715=AXIS2_PLACEMENT_3D('',#519207,#425162,#425163); #352716=AXIS2_PLACEMENT_3D('',#519216,#425168,#425169); #352717=AXIS2_PLACEMENT_3D('',#519218,#425170,#425171); #352718=AXIS2_PLACEMENT_3D('',#519220,#425172,#425173); #352719=AXIS2_PLACEMENT_3D('',#519222,#425175,#425176); #352720=AXIS2_PLACEMENT_3D('',#519223,#425177,#425178); #352721=AXIS2_PLACEMENT_3D('',#519224,#425179,#425180); #352722=AXIS2_PLACEMENT_3D('',#519225,#425181,#425182); #352723=AXIS2_PLACEMENT_3D('',#519226,#425183,#425184); #352724=AXIS2_PLACEMENT_3D('',#519227,#425185,#425186); #352725=AXIS2_PLACEMENT_3D('',#519236,#425191,#425192); #352726=AXIS2_PLACEMENT_3D('',#519238,#425193,#425194); #352727=AXIS2_PLACEMENT_3D('',#519240,#425195,#425196); #352728=AXIS2_PLACEMENT_3D('',#519242,#425198,#425199); #352729=AXIS2_PLACEMENT_3D('',#519248,#425203,#425204); #352730=AXIS2_PLACEMENT_3D('',#519249,#425205,#425206); #352731=AXIS2_PLACEMENT_3D('',#519250,#425207,#425208); #352732=AXIS2_PLACEMENT_3D('',#519251,#425209,#425210); #352733=AXIS2_PLACEMENT_3D('',#519260,#425215,#425216); #352734=AXIS2_PLACEMENT_3D('',#519266,#425220,#425221); #352735=AXIS2_PLACEMENT_3D('',#519272,#425225,#425226); #352736=AXIS2_PLACEMENT_3D('',#519278,#425230,#425231); #352737=AXIS2_PLACEMENT_3D('',#519284,#425235,#425236); #352738=AXIS2_PLACEMENT_3D('',#519290,#425240,#425241); #352739=AXIS2_PLACEMENT_3D('',#519296,#425245,#425246); #352740=AXIS2_PLACEMENT_3D('',#519302,#425250,#425251); #352741=AXIS2_PLACEMENT_3D('',#519308,#425255,#425256); #352742=AXIS2_PLACEMENT_3D('',#519314,#425260,#425261); #352743=AXIS2_PLACEMENT_3D('',#519320,#425265,#425266); #352744=AXIS2_PLACEMENT_3D('',#519326,#425270,#425271); #352745=AXIS2_PLACEMENT_3D('',#519332,#425275,#425276); #352746=AXIS2_PLACEMENT_3D('',#519338,#425280,#425281); #352747=AXIS2_PLACEMENT_3D('',#519344,#425285,#425286); #352748=AXIS2_PLACEMENT_3D('',#519350,#425290,#425291); #352749=AXIS2_PLACEMENT_3D('',#519356,#425295,#425296); #352750=AXIS2_PLACEMENT_3D('',#519362,#425300,#425301); #352751=AXIS2_PLACEMENT_3D('',#519368,#425305,#425306); #352752=AXIS2_PLACEMENT_3D('',#519374,#425310,#425311); #352753=AXIS2_PLACEMENT_3D('',#519380,#425315,#425316); #352754=AXIS2_PLACEMENT_3D('',#519386,#425320,#425321); #352755=AXIS2_PLACEMENT_3D('',#519392,#425325,#425326); #352756=AXIS2_PLACEMENT_3D('',#519398,#425330,#425331); #352757=AXIS2_PLACEMENT_3D('',#519404,#425335,#425336); #352758=AXIS2_PLACEMENT_3D('',#519410,#425340,#425341); #352759=AXIS2_PLACEMENT_3D('',#519416,#425345,#425346); #352760=AXIS2_PLACEMENT_3D('',#519422,#425350,#425351); #352761=AXIS2_PLACEMENT_3D('',#519428,#425355,#425356); #352762=AXIS2_PLACEMENT_3D('',#519434,#425360,#425361); #352763=AXIS2_PLACEMENT_3D('',#519440,#425365,#425366); #352764=AXIS2_PLACEMENT_3D('',#519446,#425370,#425371); #352765=AXIS2_PLACEMENT_3D('',#519452,#425375,#425376); #352766=AXIS2_PLACEMENT_3D('',#519458,#425380,#425381); #352767=AXIS2_PLACEMENT_3D('',#519464,#425385,#425386); #352768=AXIS2_PLACEMENT_3D('',#519470,#425390,#425391); #352769=AXIS2_PLACEMENT_3D('',#519476,#425395,#425396); #352770=AXIS2_PLACEMENT_3D('',#519482,#425400,#425401); #352771=AXIS2_PLACEMENT_3D('',#519488,#425405,#425406); #352772=AXIS2_PLACEMENT_3D('',#519494,#425410,#425411); #352773=AXIS2_PLACEMENT_3D('',#519500,#425415,#425416); #352774=AXIS2_PLACEMENT_3D('',#519506,#425420,#425421); #352775=AXIS2_PLACEMENT_3D('',#519512,#425425,#425426); #352776=AXIS2_PLACEMENT_3D('',#519518,#425430,#425431); #352777=AXIS2_PLACEMENT_3D('',#519524,#425435,#425436); #352778=AXIS2_PLACEMENT_3D('',#519530,#425440,#425441); #352779=AXIS2_PLACEMENT_3D('',#519536,#425445,#425446); #352780=AXIS2_PLACEMENT_3D('',#519542,#425450,#425451); #352781=AXIS2_PLACEMENT_3D('',#519548,#425455,#425456); #352782=AXIS2_PLACEMENT_3D('',#519554,#425460,#425461); #352783=AXIS2_PLACEMENT_3D('',#519560,#425465,#425466); #352784=AXIS2_PLACEMENT_3D('',#519566,#425470,#425471); #352785=AXIS2_PLACEMENT_3D('',#519572,#425475,#425476); #352786=AXIS2_PLACEMENT_3D('',#519578,#425480,#425481); #352787=AXIS2_PLACEMENT_3D('',#519584,#425485,#425486); #352788=AXIS2_PLACEMENT_3D('',#519587,#425489,#425490); #352789=AXIS2_PLACEMENT_3D('',#519588,#425491,#425492); #352790=AXIS2_PLACEMENT_3D('',#519589,#425493,#425494); #352791=AXIS2_PLACEMENT_3D('',#519591,#425495,#425496); #352792=AXIS2_PLACEMENT_3D('',#519594,#425498,#425499); #352793=AXIS2_PLACEMENT_3D('',#519595,#425500,#425501); #352794=AXIS2_PLACEMENT_3D('',#519604,#425506,#425507); #352795=AXIS2_PLACEMENT_3D('',#519610,#425511,#425512); #352796=AXIS2_PLACEMENT_3D('',#519616,#425516,#425517); #352797=AXIS2_PLACEMENT_3D('',#519622,#425521,#425522); #352798=AXIS2_PLACEMENT_3D('',#519628,#425526,#425527); #352799=AXIS2_PLACEMENT_3D('',#519634,#425531,#425532); #352800=AXIS2_PLACEMENT_3D('',#519640,#425536,#425537); #352801=AXIS2_PLACEMENT_3D('',#519643,#425540,#425541); #352802=AXIS2_PLACEMENT_3D('',#519644,#425542,#425543); #352803=AXIS2_PLACEMENT_3D('',#519645,#425544,#425545); #352804=AXIS2_PLACEMENT_3D('',#519647,#425546,#425547); #352805=AXIS2_PLACEMENT_3D('',#519650,#425549,#425550); #352806=AXIS2_PLACEMENT_3D('',#519651,#425551,#425552); #352807=AXIS2_PLACEMENT_3D('',#519660,#425557,#425558); #352808=AXIS2_PLACEMENT_3D('',#519662,#425559,#425560); #352809=AXIS2_PLACEMENT_3D('',#519664,#425561,#425562); #352810=AXIS2_PLACEMENT_3D('',#519666,#425564,#425565); #352811=AXIS2_PLACEMENT_3D('',#519667,#425566,#425567); #352812=AXIS2_PLACEMENT_3D('',#519668,#425568,#425569); #352813=AXIS2_PLACEMENT_3D('',#519669,#425570,#425571); #352814=AXIS2_PLACEMENT_3D('',#519670,#425572,#425573); #352815=AXIS2_PLACEMENT_3D('',#519671,#425574,#425575); #352816=AXIS2_PLACEMENT_3D('',#519680,#425580,#425581); #352817=AXIS2_PLACEMENT_3D('',#519682,#425582,#425583); #352818=AXIS2_PLACEMENT_3D('',#519684,#425584,#425585); #352819=AXIS2_PLACEMENT_3D('',#519686,#425587,#425588); #352820=AXIS2_PLACEMENT_3D('',#519692,#425592,#425593); #352821=AXIS2_PLACEMENT_3D('',#519693,#425594,#425595); #352822=AXIS2_PLACEMENT_3D('',#519694,#425596,#425597); #352823=AXIS2_PLACEMENT_3D('',#519695,#425598,#425599); #352824=AXIS2_PLACEMENT_3D('',#519704,#425604,#425605); #352825=AXIS2_PLACEMENT_3D('',#519710,#425609,#425610); #352826=AXIS2_PLACEMENT_3D('',#519716,#425614,#425615); #352827=AXIS2_PLACEMENT_3D('',#519722,#425619,#425620); #352828=AXIS2_PLACEMENT_3D('',#519728,#425624,#425625); #352829=AXIS2_PLACEMENT_3D('',#519734,#425629,#425630); #352830=AXIS2_PLACEMENT_3D('',#519740,#425634,#425635); #352831=AXIS2_PLACEMENT_3D('',#519746,#425639,#425640); #352832=AXIS2_PLACEMENT_3D('',#519752,#425644,#425645); #352833=AXIS2_PLACEMENT_3D('',#519758,#425649,#425650); #352834=AXIS2_PLACEMENT_3D('',#519764,#425654,#425655); #352835=AXIS2_PLACEMENT_3D('',#519770,#425659,#425660); #352836=AXIS2_PLACEMENT_3D('',#519776,#425664,#425665); #352837=AXIS2_PLACEMENT_3D('',#519782,#425669,#425670); #352838=AXIS2_PLACEMENT_3D('',#519788,#425674,#425675); #352839=AXIS2_PLACEMENT_3D('',#519794,#425679,#425680); #352840=AXIS2_PLACEMENT_3D('',#519800,#425684,#425685); #352841=AXIS2_PLACEMENT_3D('',#519806,#425689,#425690); #352842=AXIS2_PLACEMENT_3D('',#519812,#425694,#425695); #352843=AXIS2_PLACEMENT_3D('',#519818,#425699,#425700); #352844=AXIS2_PLACEMENT_3D('',#519824,#425704,#425705); #352845=AXIS2_PLACEMENT_3D('',#519830,#425709,#425710); #352846=AXIS2_PLACEMENT_3D('',#519836,#425714,#425715); #352847=AXIS2_PLACEMENT_3D('',#519842,#425719,#425720); #352848=AXIS2_PLACEMENT_3D('',#519848,#425724,#425725); #352849=AXIS2_PLACEMENT_3D('',#519854,#425729,#425730); #352850=AXIS2_PLACEMENT_3D('',#519860,#425734,#425735); #352851=AXIS2_PLACEMENT_3D('',#519866,#425739,#425740); #352852=AXIS2_PLACEMENT_3D('',#519872,#425744,#425745); #352853=AXIS2_PLACEMENT_3D('',#519878,#425749,#425750); #352854=AXIS2_PLACEMENT_3D('',#519884,#425754,#425755); #352855=AXIS2_PLACEMENT_3D('',#519890,#425759,#425760); #352856=AXIS2_PLACEMENT_3D('',#519896,#425764,#425765); #352857=AXIS2_PLACEMENT_3D('',#519902,#425769,#425770); #352858=AXIS2_PLACEMENT_3D('',#519908,#425774,#425775); #352859=AXIS2_PLACEMENT_3D('',#519914,#425779,#425780); #352860=AXIS2_PLACEMENT_3D('',#519920,#425784,#425785); #352861=AXIS2_PLACEMENT_3D('',#519926,#425789,#425790); #352862=AXIS2_PLACEMENT_3D('',#519932,#425794,#425795); #352863=AXIS2_PLACEMENT_3D('',#519938,#425799,#425800); #352864=AXIS2_PLACEMENT_3D('',#519944,#425804,#425805); #352865=AXIS2_PLACEMENT_3D('',#519950,#425809,#425810); #352866=AXIS2_PLACEMENT_3D('',#519956,#425814,#425815); #352867=AXIS2_PLACEMENT_3D('',#519962,#425819,#425820); #352868=AXIS2_PLACEMENT_3D('',#519968,#425824,#425825); #352869=AXIS2_PLACEMENT_3D('',#519974,#425829,#425830); #352870=AXIS2_PLACEMENT_3D('',#519980,#425834,#425835); #352871=AXIS2_PLACEMENT_3D('',#519986,#425839,#425840); #352872=AXIS2_PLACEMENT_3D('',#519992,#425844,#425845); #352873=AXIS2_PLACEMENT_3D('',#519998,#425849,#425850); #352874=AXIS2_PLACEMENT_3D('',#520004,#425854,#425855); #352875=AXIS2_PLACEMENT_3D('',#520010,#425859,#425860); #352876=AXIS2_PLACEMENT_3D('',#520016,#425864,#425865); #352877=AXIS2_PLACEMENT_3D('',#520022,#425869,#425870); #352878=AXIS2_PLACEMENT_3D('',#520028,#425874,#425875); #352879=AXIS2_PLACEMENT_3D('',#520034,#425879,#425880); #352880=AXIS2_PLACEMENT_3D('',#520040,#425884,#425885); #352881=AXIS2_PLACEMENT_3D('',#520046,#425889,#425890); #352882=AXIS2_PLACEMENT_3D('',#520052,#425894,#425895); #352883=AXIS2_PLACEMENT_3D('',#520058,#425899,#425900); #352884=AXIS2_PLACEMENT_3D('',#520064,#425904,#425905); #352885=AXIS2_PLACEMENT_3D('',#520070,#425909,#425910); #352886=AXIS2_PLACEMENT_3D('',#520076,#425914,#425915); #352887=AXIS2_PLACEMENT_3D('',#520082,#425919,#425920); #352888=AXIS2_PLACEMENT_3D('',#520088,#425924,#425925); #352889=AXIS2_PLACEMENT_3D('',#520094,#425929,#425930); #352890=AXIS2_PLACEMENT_3D('',#520100,#425934,#425935); #352891=AXIS2_PLACEMENT_3D('',#520106,#425939,#425940); #352892=AXIS2_PLACEMENT_3D('',#520112,#425944,#425945); #352893=AXIS2_PLACEMENT_3D('',#520118,#425949,#425950); #352894=AXIS2_PLACEMENT_3D('',#520124,#425954,#425955); #352895=AXIS2_PLACEMENT_3D('',#520127,#425958,#425959); #352896=AXIS2_PLACEMENT_3D('',#520128,#425960,#425961); #352897=AXIS2_PLACEMENT_3D('',#520129,#425962,#425963); #352898=AXIS2_PLACEMENT_3D('',#520131,#425964,#425965); #352899=AXIS2_PLACEMENT_3D('',#520134,#425967,#425968); #352900=AXIS2_PLACEMENT_3D('',#520135,#425969,#425970); #352901=AXIS2_PLACEMENT_3D('',#520144,#425975,#425976); #352902=AXIS2_PLACEMENT_3D('',#520150,#425980,#425981); #352903=AXIS2_PLACEMENT_3D('',#520156,#425985,#425986); #352904=AXIS2_PLACEMENT_3D('',#520162,#425990,#425991); #352905=AXIS2_PLACEMENT_3D('',#520168,#425995,#425996); #352906=AXIS2_PLACEMENT_3D('',#520174,#426000,#426001); #352907=AXIS2_PLACEMENT_3D('',#520180,#426005,#426006); #352908=AXIS2_PLACEMENT_3D('',#520183,#426009,#426010); #352909=AXIS2_PLACEMENT_3D('',#520184,#426011,#426012); #352910=AXIS2_PLACEMENT_3D('',#520185,#426013,#426014); #352911=AXIS2_PLACEMENT_3D('',#520187,#426015,#426016); #352912=AXIS2_PLACEMENT_3D('',#520190,#426018,#426019); #352913=AXIS2_PLACEMENT_3D('',#520191,#426020,#426021); #352914=AXIS2_PLACEMENT_3D('',#520200,#426026,#426027); #352915=AXIS2_PLACEMENT_3D('',#520202,#426028,#426029); #352916=AXIS2_PLACEMENT_3D('',#520204,#426030,#426031); #352917=AXIS2_PLACEMENT_3D('',#520206,#426033,#426034); #352918=AXIS2_PLACEMENT_3D('',#520207,#426035,#426036); #352919=AXIS2_PLACEMENT_3D('',#520208,#426037,#426038); #352920=AXIS2_PLACEMENT_3D('',#520209,#426039,#426040); #352921=AXIS2_PLACEMENT_3D('',#520210,#426041,#426042); #352922=AXIS2_PLACEMENT_3D('',#520211,#426043,#426044); #352923=AXIS2_PLACEMENT_3D('',#520213,#426045,#426046); #352924=AXIS2_PLACEMENT_3D('',#520216,#426048,#426049); #352925=AXIS2_PLACEMENT_3D('',#520217,#426050,#426051); #352926=AXIS2_PLACEMENT_3D('',#520226,#426056,#426057); #352927=AXIS2_PLACEMENT_3D('',#520228,#426058,#426059); #352928=AXIS2_PLACEMENT_3D('',#520230,#426060,#426061); #352929=AXIS2_PLACEMENT_3D('',#520232,#426063,#426064); #352930=AXIS2_PLACEMENT_3D('',#520233,#426065,#426066); #352931=AXIS2_PLACEMENT_3D('',#520234,#426067,#426068); #352932=AXIS2_PLACEMENT_3D('',#520235,#426069,#426070); #352933=AXIS2_PLACEMENT_3D('',#520236,#426071,#426072); #352934=AXIS2_PLACEMENT_3D('',#520237,#426073,#426074); #352935=AXIS2_PLACEMENT_3D('',#520239,#426075,#426076); #352936=AXIS2_PLACEMENT_3D('',#520242,#426078,#426079); #352937=AXIS2_PLACEMENT_3D('',#520243,#426080,#426081); #352938=AXIS2_PLACEMENT_3D('',#520252,#426086,#426087); #352939=AXIS2_PLACEMENT_3D('',#520254,#426088,#426089); #352940=AXIS2_PLACEMENT_3D('',#520256,#426090,#426091); #352941=AXIS2_PLACEMENT_3D('',#520258,#426093,#426094); #352942=AXIS2_PLACEMENT_3D('',#520259,#426095,#426096); #352943=AXIS2_PLACEMENT_3D('',#520260,#426097,#426098); #352944=AXIS2_PLACEMENT_3D('',#520261,#426099,#426100); #352945=AXIS2_PLACEMENT_3D('',#520262,#426101,#426102); #352946=AXIS2_PLACEMENT_3D('',#520263,#426103,#426104); #352947=AXIS2_PLACEMENT_3D('',#520265,#426105,#426106); #352948=AXIS2_PLACEMENT_3D('',#520268,#426108,#426109); #352949=AXIS2_PLACEMENT_3D('',#520269,#426110,#426111); #352950=AXIS2_PLACEMENT_3D('',#520278,#426116,#426117); #352951=AXIS2_PLACEMENT_3D('',#520284,#426121,#426122); #352952=AXIS2_PLACEMENT_3D('',#520290,#426126,#426127); #352953=AXIS2_PLACEMENT_3D('',#520293,#426130,#426131); #352954=AXIS2_PLACEMENT_3D('',#520294,#426132,#426133); #352955=AXIS2_PLACEMENT_3D('',#520295,#426134,#426135); #352956=AXIS2_PLACEMENT_3D('',#520297,#426136,#426137); #352957=AXIS2_PLACEMENT_3D('',#520300,#426139,#426140); #352958=AXIS2_PLACEMENT_3D('',#520301,#426141,#426142); #352959=AXIS2_PLACEMENT_3D('',#520310,#426147,#426148); #352960=AXIS2_PLACEMENT_3D('',#520316,#426152,#426153); #352961=AXIS2_PLACEMENT_3D('',#520322,#426157,#426158); #352962=AXIS2_PLACEMENT_3D('',#520328,#426162,#426163); #352963=AXIS2_PLACEMENT_3D('',#520334,#426167,#426168); #352964=AXIS2_PLACEMENT_3D('',#520340,#426172,#426173); #352965=AXIS2_PLACEMENT_3D('',#520346,#426177,#426178); #352966=AXIS2_PLACEMENT_3D('',#520349,#426181,#426182); #352967=AXIS2_PLACEMENT_3D('',#520350,#426183,#426184); #352968=AXIS2_PLACEMENT_3D('',#520351,#426185,#426186); #352969=AXIS2_PLACEMENT_3D('',#520353,#426187,#426188); #352970=AXIS2_PLACEMENT_3D('',#520356,#426190,#426191); #352971=AXIS2_PLACEMENT_3D('',#520357,#426192,#426193); #352972=AXIS2_PLACEMENT_3D('',#520366,#426198,#426199); #352973=AXIS2_PLACEMENT_3D('',#520368,#426200,#426201); #352974=AXIS2_PLACEMENT_3D('',#520370,#426202,#426203); #352975=AXIS2_PLACEMENT_3D('',#520372,#426205,#426206); #352976=AXIS2_PLACEMENT_3D('',#520373,#426207,#426208); #352977=AXIS2_PLACEMENT_3D('',#520374,#426209,#426210); #352978=AXIS2_PLACEMENT_3D('',#520375,#426211,#426212); #352979=AXIS2_PLACEMENT_3D('',#520376,#426213,#426214); #352980=AXIS2_PLACEMENT_3D('',#520377,#426215,#426216); #352981=AXIS2_PLACEMENT_3D('',#520379,#426217,#426218); #352982=AXIS2_PLACEMENT_3D('',#520382,#426220,#426221); #352983=AXIS2_PLACEMENT_3D('',#520383,#426222,#426223); #352984=AXIS2_PLACEMENT_3D('',#520392,#426228,#426229); #352985=AXIS2_PLACEMENT_3D('',#520394,#426230,#426231); #352986=AXIS2_PLACEMENT_3D('',#520396,#426232,#426233); #352987=AXIS2_PLACEMENT_3D('',#520398,#426235,#426236); #352988=AXIS2_PLACEMENT_3D('',#520399,#426237,#426238); #352989=AXIS2_PLACEMENT_3D('',#520400,#426239,#426240); #352990=AXIS2_PLACEMENT_3D('',#520401,#426241,#426242); #352991=AXIS2_PLACEMENT_3D('',#520402,#426243,#426244); #352992=AXIS2_PLACEMENT_3D('',#520403,#426245,#426246); #352993=AXIS2_PLACEMENT_3D('',#520412,#426251,#426252); #352994=AXIS2_PLACEMENT_3D('',#520418,#426256,#426257); #352995=AXIS2_PLACEMENT_3D('',#520424,#426261,#426262); #352996=AXIS2_PLACEMENT_3D('',#520427,#426265,#426266); #352997=AXIS2_PLACEMENT_3D('',#520428,#426267,#426268); #352998=AXIS2_PLACEMENT_3D('',#520429,#426269,#426270); #352999=AXIS2_PLACEMENT_3D('',#520438,#426275,#426276); #353000=AXIS2_PLACEMENT_3D('',#520444,#426280,#426281); #353001=AXIS2_PLACEMENT_3D('',#520450,#426285,#426286); #353002=AXIS2_PLACEMENT_3D('',#520453,#426289,#426290); #353003=AXIS2_PLACEMENT_3D('',#520454,#426291,#426292); #353004=AXIS2_PLACEMENT_3D('',#520455,#426293,#426294); #353005=AXIS2_PLACEMENT_3D('',#520464,#426299,#426300); #353006=AXIS2_PLACEMENT_3D('',#520470,#426304,#426305); #353007=AXIS2_PLACEMENT_3D('',#520476,#426309,#426310); #353008=AXIS2_PLACEMENT_3D('',#520479,#426313,#426314); #353009=AXIS2_PLACEMENT_3D('',#520480,#426315,#426316); #353010=AXIS2_PLACEMENT_3D('',#520481,#426317,#426318); #353011=AXIS2_PLACEMENT_3D('',#520490,#426323,#426324); #353012=AXIS2_PLACEMENT_3D('',#520496,#426328,#426329); #353013=AXIS2_PLACEMENT_3D('',#520502,#426333,#426334); #353014=AXIS2_PLACEMENT_3D('',#520505,#426337,#426338); #353015=AXIS2_PLACEMENT_3D('',#520506,#426339,#426340); #353016=AXIS2_PLACEMENT_3D('',#520507,#426341,#426342); #353017=AXIS2_PLACEMENT_3D('',#520516,#426347,#426348); #353018=AXIS2_PLACEMENT_3D('',#520522,#426352,#426353); #353019=AXIS2_PLACEMENT_3D('',#520528,#426357,#426358); #353020=AXIS2_PLACEMENT_3D('',#520531,#426361,#426362); #353021=AXIS2_PLACEMENT_3D('',#520532,#426363,#426364); #353022=AXIS2_PLACEMENT_3D('',#520533,#426365,#426366); #353023=AXIS2_PLACEMENT_3D('',#520542,#426371,#426372); #353024=AXIS2_PLACEMENT_3D('',#520548,#426376,#426377); #353025=AXIS2_PLACEMENT_3D('',#520554,#426381,#426382); #353026=AXIS2_PLACEMENT_3D('',#520557,#426385,#426386); #353027=AXIS2_PLACEMENT_3D('',#520558,#426387,#426388); #353028=AXIS2_PLACEMENT_3D('',#520559,#426389,#426390); #353029=AXIS2_PLACEMENT_3D('',#520568,#426395,#426396); #353030=AXIS2_PLACEMENT_3D('',#520574,#426400,#426401); #353031=AXIS2_PLACEMENT_3D('',#520580,#426405,#426406); #353032=AXIS2_PLACEMENT_3D('',#520583,#426409,#426410); #353033=AXIS2_PLACEMENT_3D('',#520584,#426411,#426412); #353034=AXIS2_PLACEMENT_3D('',#520585,#426413,#426414); #353035=AXIS2_PLACEMENT_3D('',#520594,#426419,#426420); #353036=AXIS2_PLACEMENT_3D('',#520600,#426424,#426425); #353037=AXIS2_PLACEMENT_3D('',#520606,#426429,#426430); #353038=AXIS2_PLACEMENT_3D('',#520609,#426433,#426434); #353039=AXIS2_PLACEMENT_3D('',#520610,#426435,#426436); #353040=AXIS2_PLACEMENT_3D('',#520611,#426437,#426438); #353041=AXIS2_PLACEMENT_3D('',#520620,#426443,#426444); #353042=AXIS2_PLACEMENT_3D('',#520626,#426448,#426449); #353043=AXIS2_PLACEMENT_3D('',#520632,#426453,#426454); #353044=AXIS2_PLACEMENT_3D('',#520635,#426457,#426458); #353045=AXIS2_PLACEMENT_3D('',#520636,#426459,#426460); #353046=AXIS2_PLACEMENT_3D('',#520637,#426461,#426462); #353047=AXIS2_PLACEMENT_3D('',#520646,#426467,#426468); #353048=AXIS2_PLACEMENT_3D('',#520652,#426472,#426473); #353049=AXIS2_PLACEMENT_3D('',#520658,#426477,#426478); #353050=AXIS2_PLACEMENT_3D('',#520661,#426481,#426482); #353051=AXIS2_PLACEMENT_3D('',#520662,#426483,#426484); #353052=AXIS2_PLACEMENT_3D('',#520663,#426485,#426486); #353053=AXIS2_PLACEMENT_3D('',#520672,#426491,#426492); #353054=AXIS2_PLACEMENT_3D('',#520678,#426496,#426497); #353055=AXIS2_PLACEMENT_3D('',#520684,#426501,#426502); #353056=AXIS2_PLACEMENT_3D('',#520687,#426505,#426506); #353057=AXIS2_PLACEMENT_3D('',#520688,#426507,#426508); #353058=AXIS2_PLACEMENT_3D('',#520689,#426509,#426510); #353059=AXIS2_PLACEMENT_3D('',#520698,#426515,#426516); #353060=AXIS2_PLACEMENT_3D('',#520704,#426520,#426521); #353061=AXIS2_PLACEMENT_3D('',#520710,#426525,#426526); #353062=AXIS2_PLACEMENT_3D('',#520713,#426529,#426530); #353063=AXIS2_PLACEMENT_3D('',#520714,#426531,#426532); #353064=AXIS2_PLACEMENT_3D('',#520715,#426533,#426534); #353065=AXIS2_PLACEMENT_3D('',#520724,#426539,#426540); #353066=AXIS2_PLACEMENT_3D('',#520730,#426544,#426545); #353067=AXIS2_PLACEMENT_3D('',#520736,#426549,#426550); #353068=AXIS2_PLACEMENT_3D('',#520739,#426553,#426554); #353069=AXIS2_PLACEMENT_3D('',#520740,#426555,#426556); #353070=AXIS2_PLACEMENT_3D('',#520741,#426557,#426558); #353071=AXIS2_PLACEMENT_3D('',#520750,#426563,#426564); #353072=AXIS2_PLACEMENT_3D('',#520756,#426568,#426569); #353073=AXIS2_PLACEMENT_3D('',#520762,#426573,#426574); #353074=AXIS2_PLACEMENT_3D('',#520765,#426577,#426578); #353075=AXIS2_PLACEMENT_3D('',#520766,#426579,#426580); #353076=AXIS2_PLACEMENT_3D('',#520767,#426581,#426582); #353077=AXIS2_PLACEMENT_3D('',#520776,#426587,#426588); #353078=AXIS2_PLACEMENT_3D('',#520782,#426592,#426593); #353079=AXIS2_PLACEMENT_3D('',#520788,#426597,#426598); #353080=AXIS2_PLACEMENT_3D('',#520791,#426601,#426602); #353081=AXIS2_PLACEMENT_3D('',#520792,#426603,#426604); #353082=AXIS2_PLACEMENT_3D('',#520793,#426605,#426606); #353083=AXIS2_PLACEMENT_3D('',#520802,#426611,#426612); #353084=AXIS2_PLACEMENT_3D('',#520808,#426616,#426617); #353085=AXIS2_PLACEMENT_3D('',#520814,#426621,#426622); #353086=AXIS2_PLACEMENT_3D('',#520817,#426625,#426626); #353087=AXIS2_PLACEMENT_3D('',#520818,#426627,#426628); #353088=AXIS2_PLACEMENT_3D('',#520819,#426629,#426630); #353089=AXIS2_PLACEMENT_3D('',#520828,#426635,#426636); #353090=AXIS2_PLACEMENT_3D('',#520834,#426640,#426641); #353091=AXIS2_PLACEMENT_3D('',#520840,#426645,#426646); #353092=AXIS2_PLACEMENT_3D('',#520843,#426649,#426650); #353093=AXIS2_PLACEMENT_3D('',#520844,#426651,#426652); #353094=AXIS2_PLACEMENT_3D('',#520845,#426653,#426654); #353095=AXIS2_PLACEMENT_3D('',#520854,#426659,#426660); #353096=AXIS2_PLACEMENT_3D('',#520860,#426664,#426665); #353097=AXIS2_PLACEMENT_3D('',#520866,#426669,#426670); #353098=AXIS2_PLACEMENT_3D('',#520869,#426673,#426674); #353099=AXIS2_PLACEMENT_3D('',#520870,#426675,#426676); #353100=AXIS2_PLACEMENT_3D('',#520871,#426677,#426678); #353101=AXIS2_PLACEMENT_3D('',#520880,#426683,#426684); #353102=AXIS2_PLACEMENT_3D('',#520886,#426688,#426689); #353103=AXIS2_PLACEMENT_3D('',#520892,#426693,#426694); #353104=AXIS2_PLACEMENT_3D('',#520895,#426697,#426698); #353105=AXIS2_PLACEMENT_3D('',#520896,#426699,#426700); #353106=AXIS2_PLACEMENT_3D('',#520897,#426701,#426702); #353107=AXIS2_PLACEMENT_3D('',#520906,#426707,#426708); #353108=AXIS2_PLACEMENT_3D('',#520912,#426712,#426713); #353109=AXIS2_PLACEMENT_3D('',#520918,#426717,#426718); #353110=AXIS2_PLACEMENT_3D('',#520921,#426721,#426722); #353111=AXIS2_PLACEMENT_3D('',#520922,#426723,#426724); #353112=AXIS2_PLACEMENT_3D('',#520923,#426725,#426726); #353113=AXIS2_PLACEMENT_3D('',#520932,#426731,#426732); #353114=AXIS2_PLACEMENT_3D('',#520938,#426736,#426737); #353115=AXIS2_PLACEMENT_3D('',#520944,#426741,#426742); #353116=AXIS2_PLACEMENT_3D('',#520947,#426745,#426746); #353117=AXIS2_PLACEMENT_3D('',#520948,#426747,#426748); #353118=AXIS2_PLACEMENT_3D('',#520949,#426749,#426750); #353119=AXIS2_PLACEMENT_3D('',#520958,#426755,#426756); #353120=AXIS2_PLACEMENT_3D('',#520964,#426760,#426761); #353121=AXIS2_PLACEMENT_3D('',#520970,#426765,#426766); #353122=AXIS2_PLACEMENT_3D('',#520973,#426769,#426770); #353123=AXIS2_PLACEMENT_3D('',#520974,#426771,#426772); #353124=AXIS2_PLACEMENT_3D('',#520975,#426773,#426774); #353125=AXIS2_PLACEMENT_3D('',#520984,#426779,#426780); #353126=AXIS2_PLACEMENT_3D('',#520990,#426784,#426785); #353127=AXIS2_PLACEMENT_3D('',#520996,#426789,#426790); #353128=AXIS2_PLACEMENT_3D('',#520999,#426793,#426794); #353129=AXIS2_PLACEMENT_3D('',#521000,#426795,#426796); #353130=AXIS2_PLACEMENT_3D('',#521001,#426797,#426798); #353131=AXIS2_PLACEMENT_3D('',#521003,#426799,#426800); #353132=AXIS2_PLACEMENT_3D('',#521006,#426802,#426803); #353133=AXIS2_PLACEMENT_3D('',#521007,#426804,#426805); #353134=AXIS2_PLACEMENT_3D('',#521009,#426806,#426807); #353135=AXIS2_PLACEMENT_3D('',#521012,#426809,#426810); #353136=AXIS2_PLACEMENT_3D('',#521013,#426811,#426812); #353137=AXIS2_PLACEMENT_3D('',#521022,#426817,#426818); #353138=AXIS2_PLACEMENT_3D('',#521028,#426822,#426823); #353139=AXIS2_PLACEMENT_3D('',#521034,#426827,#426828); #353140=AXIS2_PLACEMENT_3D('',#521037,#426831,#426832); #353141=AXIS2_PLACEMENT_3D('',#521038,#426833,#426834); #353142=AXIS2_PLACEMENT_3D('',#521039,#426835,#426836); #353143=AXIS2_PLACEMENT_3D('',#521048,#426841,#426842); #353144=AXIS2_PLACEMENT_3D('',#521054,#426846,#426847); #353145=AXIS2_PLACEMENT_3D('',#521060,#426851,#426852); #353146=AXIS2_PLACEMENT_3D('',#521063,#426855,#426856); #353147=AXIS2_PLACEMENT_3D('',#521064,#426857,#426858); #353148=AXIS2_PLACEMENT_3D('',#521065,#426859,#426860); #353149=AXIS2_PLACEMENT_3D('',#521074,#426865,#426866); #353150=AXIS2_PLACEMENT_3D('',#521080,#426870,#426871); #353151=AXIS2_PLACEMENT_3D('',#521086,#426875,#426876); #353152=AXIS2_PLACEMENT_3D('',#521089,#426879,#426880); #353153=AXIS2_PLACEMENT_3D('',#521090,#426881,#426882); #353154=AXIS2_PLACEMENT_3D('',#521091,#426883,#426884); #353155=AXIS2_PLACEMENT_3D('',#521100,#426889,#426890); #353156=AXIS2_PLACEMENT_3D('',#521106,#426894,#426895); #353157=AXIS2_PLACEMENT_3D('',#521112,#426899,#426900); #353158=AXIS2_PLACEMENT_3D('',#521115,#426903,#426904); #353159=AXIS2_PLACEMENT_3D('',#521116,#426905,#426906); #353160=AXIS2_PLACEMENT_3D('',#521117,#426907,#426908); #353161=AXIS2_PLACEMENT_3D('',#521126,#426913,#426914); #353162=AXIS2_PLACEMENT_3D('',#521132,#426918,#426919); #353163=AXIS2_PLACEMENT_3D('',#521138,#426923,#426924); #353164=AXIS2_PLACEMENT_3D('',#521141,#426927,#426928); #353165=AXIS2_PLACEMENT_3D('',#521142,#426929,#426930); #353166=AXIS2_PLACEMENT_3D('',#521143,#426931,#426932); #353167=AXIS2_PLACEMENT_3D('',#521152,#426937,#426938); #353168=AXIS2_PLACEMENT_3D('',#521158,#426942,#426943); #353169=AXIS2_PLACEMENT_3D('',#521164,#426947,#426948); #353170=AXIS2_PLACEMENT_3D('',#521167,#426951,#426952); #353171=AXIS2_PLACEMENT_3D('',#521168,#426953,#426954); #353172=AXIS2_PLACEMENT_3D('',#521169,#426955,#426956); #353173=AXIS2_PLACEMENT_3D('',#521178,#426961,#426962); #353174=AXIS2_PLACEMENT_3D('',#521184,#426966,#426967); #353175=AXIS2_PLACEMENT_3D('',#521190,#426971,#426972); #353176=AXIS2_PLACEMENT_3D('',#521193,#426975,#426976); #353177=AXIS2_PLACEMENT_3D('',#521194,#426977,#426978); #353178=AXIS2_PLACEMENT_3D('',#521195,#426979,#426980); #353179=AXIS2_PLACEMENT_3D('',#521204,#426985,#426986); #353180=AXIS2_PLACEMENT_3D('',#521210,#426990,#426991); #353181=AXIS2_PLACEMENT_3D('',#521216,#426995,#426996); #353182=AXIS2_PLACEMENT_3D('',#521219,#426999,#427000); #353183=AXIS2_PLACEMENT_3D('',#521220,#427001,#427002); #353184=AXIS2_PLACEMENT_3D('',#521221,#427003,#427004); #353185=AXIS2_PLACEMENT_3D('',#521230,#427009,#427010); #353186=AXIS2_PLACEMENT_3D('',#521236,#427014,#427015); #353187=AXIS2_PLACEMENT_3D('',#521242,#427019,#427020); #353188=AXIS2_PLACEMENT_3D('',#521245,#427023,#427024); #353189=AXIS2_PLACEMENT_3D('',#521246,#427025,#427026); #353190=AXIS2_PLACEMENT_3D('',#521247,#427027,#427028); #353191=AXIS2_PLACEMENT_3D('',#521249,#427029,#427030); #353192=AXIS2_PLACEMENT_3D('',#521252,#427032,#427033); #353193=AXIS2_PLACEMENT_3D('',#521253,#427034,#427035); #353194=AXIS2_PLACEMENT_3D('',#521255,#427036,#427037); #353195=AXIS2_PLACEMENT_3D('',#521258,#427039,#427040); #353196=AXIS2_PLACEMENT_3D('',#521259,#427041,#427042); #353197=AXIS2_PLACEMENT_3D('',#521268,#427047,#427048); #353198=AXIS2_PLACEMENT_3D('',#521274,#427052,#427053); #353199=AXIS2_PLACEMENT_3D('',#521280,#427057,#427058); #353200=AXIS2_PLACEMENT_3D('',#521283,#427061,#427062); #353201=AXIS2_PLACEMENT_3D('',#521284,#427063,#427064); #353202=AXIS2_PLACEMENT_3D('',#521285,#427065,#427066); #353203=AXIS2_PLACEMENT_3D('',#521294,#427071,#427072); #353204=AXIS2_PLACEMENT_3D('',#521300,#427076,#427077); #353205=AXIS2_PLACEMENT_3D('',#521306,#427081,#427082); #353206=AXIS2_PLACEMENT_3D('',#521309,#427085,#427086); #353207=AXIS2_PLACEMENT_3D('',#521310,#427087,#427088); #353208=AXIS2_PLACEMENT_3D('',#521311,#427089,#427090); #353209=AXIS2_PLACEMENT_3D('',#521320,#427095,#427096); #353210=AXIS2_PLACEMENT_3D('',#521326,#427100,#427101); #353211=AXIS2_PLACEMENT_3D('',#521332,#427105,#427106); #353212=AXIS2_PLACEMENT_3D('',#521335,#427109,#427110); #353213=AXIS2_PLACEMENT_3D('',#521336,#427111,#427112); #353214=AXIS2_PLACEMENT_3D('',#521337,#427113,#427114); #353215=AXIS2_PLACEMENT_3D('',#521346,#427119,#427120); #353216=AXIS2_PLACEMENT_3D('',#521352,#427124,#427125); #353217=AXIS2_PLACEMENT_3D('',#521358,#427129,#427130); #353218=AXIS2_PLACEMENT_3D('',#521361,#427133,#427134); #353219=AXIS2_PLACEMENT_3D('',#521362,#427135,#427136); #353220=AXIS2_PLACEMENT_3D('',#521363,#427137,#427138); #353221=AXIS2_PLACEMENT_3D('',#521372,#427143,#427144); #353222=AXIS2_PLACEMENT_3D('',#521378,#427148,#427149); #353223=AXIS2_PLACEMENT_3D('',#521384,#427153,#427154); #353224=AXIS2_PLACEMENT_3D('',#521387,#427157,#427158); #353225=AXIS2_PLACEMENT_3D('',#521388,#427159,#427160); #353226=AXIS2_PLACEMENT_3D('',#521389,#427161,#427162); #353227=AXIS2_PLACEMENT_3D('',#521398,#427167,#427168); #353228=AXIS2_PLACEMENT_3D('',#521404,#427172,#427173); #353229=AXIS2_PLACEMENT_3D('',#521410,#427177,#427178); #353230=AXIS2_PLACEMENT_3D('',#521413,#427181,#427182); #353231=AXIS2_PLACEMENT_3D('',#521414,#427183,#427184); #353232=AXIS2_PLACEMENT_3D('',#521415,#427185,#427186); #353233=AXIS2_PLACEMENT_3D('',#521424,#427191,#427192); #353234=AXIS2_PLACEMENT_3D('',#521430,#427196,#427197); #353235=AXIS2_PLACEMENT_3D('',#521436,#427201,#427202); #353236=AXIS2_PLACEMENT_3D('',#521439,#427205,#427206); #353237=AXIS2_PLACEMENT_3D('',#521440,#427207,#427208); #353238=AXIS2_PLACEMENT_3D('',#521441,#427209,#427210); #353239=AXIS2_PLACEMENT_3D('',#521450,#427215,#427216); #353240=AXIS2_PLACEMENT_3D('',#521456,#427220,#427221); #353241=AXIS2_PLACEMENT_3D('',#521462,#427225,#427226); #353242=AXIS2_PLACEMENT_3D('',#521465,#427229,#427230); #353243=AXIS2_PLACEMENT_3D('',#521466,#427231,#427232); #353244=AXIS2_PLACEMENT_3D('',#521467,#427233,#427234); #353245=AXIS2_PLACEMENT_3D('',#521476,#427239,#427240); #353246=AXIS2_PLACEMENT_3D('',#521482,#427244,#427245); #353247=AXIS2_PLACEMENT_3D('',#521488,#427249,#427250); #353248=AXIS2_PLACEMENT_3D('',#521491,#427253,#427254); #353249=AXIS2_PLACEMENT_3D('',#521492,#427255,#427256); #353250=AXIS2_PLACEMENT_3D('',#521493,#427257,#427258); #353251=AXIS2_PLACEMENT_3D('',#521495,#427259,#427260); #353252=AXIS2_PLACEMENT_3D('',#521498,#427262,#427263); #353253=AXIS2_PLACEMENT_3D('',#521499,#427264,#427265); #353254=AXIS2_PLACEMENT_3D('',#521508,#427270,#427271); #353255=AXIS2_PLACEMENT_3D('',#521514,#427275,#427276); #353256=AXIS2_PLACEMENT_3D('',#521520,#427280,#427281); #353257=AXIS2_PLACEMENT_3D('',#521523,#427284,#427285); #353258=AXIS2_PLACEMENT_3D('',#521524,#427286,#427287); #353259=AXIS2_PLACEMENT_3D('',#521525,#427288,#427289); #353260=AXIS2_PLACEMENT_3D('',#521534,#427294,#427295); #353261=AXIS2_PLACEMENT_3D('',#521540,#427299,#427300); #353262=AXIS2_PLACEMENT_3D('',#521546,#427304,#427305); #353263=AXIS2_PLACEMENT_3D('',#521549,#427308,#427309); #353264=AXIS2_PLACEMENT_3D('',#521550,#427310,#427311); #353265=AXIS2_PLACEMENT_3D('',#521551,#427312,#427313); #353266=AXIS2_PLACEMENT_3D('',#521560,#427318,#427319); #353267=AXIS2_PLACEMENT_3D('',#521566,#427323,#427324); #353268=AXIS2_PLACEMENT_3D('',#521572,#427328,#427329); #353269=AXIS2_PLACEMENT_3D('',#521575,#427332,#427333); #353270=AXIS2_PLACEMENT_3D('',#521576,#427334,#427335); #353271=AXIS2_PLACEMENT_3D('',#521577,#427336,#427337); #353272=AXIS2_PLACEMENT_3D('',#521586,#427342,#427343); #353273=AXIS2_PLACEMENT_3D('',#521592,#427347,#427348); #353274=AXIS2_PLACEMENT_3D('',#521598,#427352,#427353); #353275=AXIS2_PLACEMENT_3D('',#521601,#427356,#427357); #353276=AXIS2_PLACEMENT_3D('',#521602,#427358,#427359); #353277=AXIS2_PLACEMENT_3D('',#521603,#427360,#427361); #353278=AXIS2_PLACEMENT_3D('',#521612,#427366,#427367); #353279=AXIS2_PLACEMENT_3D('',#521618,#427371,#427372); #353280=AXIS2_PLACEMENT_3D('',#521624,#427376,#427377); #353281=AXIS2_PLACEMENT_3D('',#521627,#427380,#427381); #353282=AXIS2_PLACEMENT_3D('',#521628,#427382,#427383); #353283=AXIS2_PLACEMENT_3D('',#521629,#427384,#427385); #353284=AXIS2_PLACEMENT_3D('',#521631,#427386,#427387); #353285=AXIS2_PLACEMENT_3D('',#521634,#427389,#427390); #353286=AXIS2_PLACEMENT_3D('',#521635,#427391,#427392); #353287=AXIS2_PLACEMENT_3D('',#521637,#427393,#427394); #353288=AXIS2_PLACEMENT_3D('',#521640,#427396,#427397); #353289=AXIS2_PLACEMENT_3D('',#521641,#427398,#427399); #353290=AXIS2_PLACEMENT_3D('',#521643,#427400,#427401); #353291=AXIS2_PLACEMENT_3D('',#521646,#427403,#427404); #353292=AXIS2_PLACEMENT_3D('',#521647,#427405,#427406); #353293=AXIS2_PLACEMENT_3D('',#521649,#427407,#427408); #353294=AXIS2_PLACEMENT_3D('',#521652,#427410,#427411); #353295=AXIS2_PLACEMENT_3D('',#521653,#427412,#427413); #353296=AXIS2_PLACEMENT_3D('',#521655,#427414,#427415); #353297=AXIS2_PLACEMENT_3D('',#521658,#427417,#427418); #353298=AXIS2_PLACEMENT_3D('',#521659,#427419,#427420); #353299=AXIS2_PLACEMENT_3D('',#521661,#427421,#427422); #353300=AXIS2_PLACEMENT_3D('',#521664,#427424,#427425); #353301=AXIS2_PLACEMENT_3D('',#521665,#427426,#427427); #353302=AXIS2_PLACEMENT_3D('',#521667,#427428,#427429); #353303=AXIS2_PLACEMENT_3D('',#521670,#427431,#427432); #353304=AXIS2_PLACEMENT_3D('',#521671,#427433,#427434); #353305=AXIS2_PLACEMENT_3D('',#521673,#427435,#427436); #353306=AXIS2_PLACEMENT_3D('',#521676,#427438,#427439); #353307=AXIS2_PLACEMENT_3D('',#521677,#427440,#427441); #353308=AXIS2_PLACEMENT_3D('',#521679,#427442,#427443); #353309=AXIS2_PLACEMENT_3D('',#521682,#427445,#427446); #353310=AXIS2_PLACEMENT_3D('',#521683,#427447,#427448); #353311=AXIS2_PLACEMENT_3D('',#521685,#427449,#427450); #353312=AXIS2_PLACEMENT_3D('',#521688,#427452,#427453); #353313=AXIS2_PLACEMENT_3D('',#521689,#427454,#427455); #353314=AXIS2_PLACEMENT_3D('',#521691,#427456,#427457); #353315=AXIS2_PLACEMENT_3D('',#521694,#427459,#427460); #353316=AXIS2_PLACEMENT_3D('',#521695,#427461,#427462); #353317=AXIS2_PLACEMENT_3D('',#521697,#427463,#427464); #353318=AXIS2_PLACEMENT_3D('',#521700,#427466,#427467); #353319=AXIS2_PLACEMENT_3D('',#521701,#427468,#427469); #353320=AXIS2_PLACEMENT_3D('',#521703,#427470,#427471); #353321=AXIS2_PLACEMENT_3D('',#521706,#427473,#427474); #353322=AXIS2_PLACEMENT_3D('',#521707,#427475,#427476); #353323=AXIS2_PLACEMENT_3D('',#521709,#427477,#427478); #353324=AXIS2_PLACEMENT_3D('',#521712,#427480,#427481); #353325=AXIS2_PLACEMENT_3D('',#521713,#427482,#427483); #353326=AXIS2_PLACEMENT_3D('',#521715,#427484,#427485); #353327=AXIS2_PLACEMENT_3D('',#521718,#427487,#427488); #353328=AXIS2_PLACEMENT_3D('',#521719,#427489,#427490); #353329=AXIS2_PLACEMENT_3D('',#521728,#427495,#427496); #353330=AXIS2_PLACEMENT_3D('',#521734,#427500,#427501); #353331=AXIS2_PLACEMENT_3D('',#521740,#427505,#427506); #353332=AXIS2_PLACEMENT_3D('',#521743,#427509,#427510); #353333=AXIS2_PLACEMENT_3D('',#521744,#427511,#427512); #353334=AXIS2_PLACEMENT_3D('',#521745,#427513,#427514); #353335=AXIS2_PLACEMENT_3D('',#521754,#427519,#427520); #353336=AXIS2_PLACEMENT_3D('',#521760,#427524,#427525); #353337=AXIS2_PLACEMENT_3D('',#521766,#427529,#427530); #353338=AXIS2_PLACEMENT_3D('',#521769,#427533,#427534); #353339=AXIS2_PLACEMENT_3D('',#521770,#427535,#427536); #353340=AXIS2_PLACEMENT_3D('',#521771,#427537,#427538); #353341=AXIS2_PLACEMENT_3D('',#521780,#427543,#427544); #353342=AXIS2_PLACEMENT_3D('',#521786,#427548,#427549); #353343=AXIS2_PLACEMENT_3D('',#521792,#427553,#427554); #353344=AXIS2_PLACEMENT_3D('',#521795,#427557,#427558); #353345=AXIS2_PLACEMENT_3D('',#521796,#427559,#427560); #353346=AXIS2_PLACEMENT_3D('',#521797,#427561,#427562); #353347=AXIS2_PLACEMENT_3D('',#521806,#427567,#427568); #353348=AXIS2_PLACEMENT_3D('',#521812,#427572,#427573); #353349=AXIS2_PLACEMENT_3D('',#521818,#427577,#427578); #353350=AXIS2_PLACEMENT_3D('',#521821,#427581,#427582); #353351=AXIS2_PLACEMENT_3D('',#521822,#427583,#427584); #353352=AXIS2_PLACEMENT_3D('',#521823,#427585,#427586); #353353=AXIS2_PLACEMENT_3D('',#521825,#427587,#427588); #353354=AXIS2_PLACEMENT_3D('',#521828,#427590,#427591); #353355=AXIS2_PLACEMENT_3D('',#521829,#427592,#427593); #353356=AXIS2_PLACEMENT_3D('',#521838,#427598,#427599); #353357=AXIS2_PLACEMENT_3D('',#521844,#427603,#427604); #353358=AXIS2_PLACEMENT_3D('',#521850,#427608,#427609); #353359=AXIS2_PLACEMENT_3D('',#521853,#427612,#427613); #353360=AXIS2_PLACEMENT_3D('',#521854,#427614,#427615); #353361=AXIS2_PLACEMENT_3D('',#521855,#427616,#427617); #353362=AXIS2_PLACEMENT_3D('',#521864,#427622,#427623); #353363=AXIS2_PLACEMENT_3D('',#521870,#427627,#427628); #353364=AXIS2_PLACEMENT_3D('',#521876,#427632,#427633); #353365=AXIS2_PLACEMENT_3D('',#521879,#427636,#427637); #353366=AXIS2_PLACEMENT_3D('',#521880,#427638,#427639); #353367=AXIS2_PLACEMENT_3D('',#521881,#427640,#427641); #353368=AXIS2_PLACEMENT_3D('',#521890,#427646,#427647); #353369=AXIS2_PLACEMENT_3D('',#521896,#427651,#427652); #353370=AXIS2_PLACEMENT_3D('',#521902,#427656,#427657); #353371=AXIS2_PLACEMENT_3D('',#521905,#427660,#427661); #353372=AXIS2_PLACEMENT_3D('',#521906,#427662,#427663); #353373=AXIS2_PLACEMENT_3D('',#521907,#427664,#427665); #353374=AXIS2_PLACEMENT_3D('',#521916,#427670,#427671); #353375=AXIS2_PLACEMENT_3D('',#521922,#427675,#427676); #353376=AXIS2_PLACEMENT_3D('',#521928,#427680,#427681); #353377=AXIS2_PLACEMENT_3D('',#521931,#427684,#427685); #353378=AXIS2_PLACEMENT_3D('',#521932,#427686,#427687); #353379=AXIS2_PLACEMENT_3D('',#521933,#427688,#427689); #353380=AXIS2_PLACEMENT_3D('',#521942,#427694,#427695); #353381=AXIS2_PLACEMENT_3D('',#521948,#427699,#427700); #353382=AXIS2_PLACEMENT_3D('',#521954,#427704,#427705); #353383=AXIS2_PLACEMENT_3D('',#521957,#427708,#427709); #353384=AXIS2_PLACEMENT_3D('',#521958,#427710,#427711); #353385=AXIS2_PLACEMENT_3D('',#521959,#427712,#427713); #353386=AXIS2_PLACEMENT_3D('',#521961,#427714,#427715); #353387=AXIS2_PLACEMENT_3D('',#521964,#427717,#427718); #353388=AXIS2_PLACEMENT_3D('',#521965,#427719,#427720); #353389=AXIS2_PLACEMENT_3D('',#521967,#427721,#427722); #353390=AXIS2_PLACEMENT_3D('',#521970,#427724,#427725); #353391=AXIS2_PLACEMENT_3D('',#521971,#427726,#427727); #353392=AXIS2_PLACEMENT_3D('',#521973,#427728,#427729); #353393=AXIS2_PLACEMENT_3D('',#521976,#427731,#427732); #353394=AXIS2_PLACEMENT_3D('',#521977,#427733,#427734); #353395=AXIS2_PLACEMENT_3D('',#521979,#427735,#427736); #353396=AXIS2_PLACEMENT_3D('',#521982,#427738,#427739); #353397=AXIS2_PLACEMENT_3D('',#521983,#427740,#427741); #353398=AXIS2_PLACEMENT_3D('',#521985,#427742,#427743); #353399=AXIS2_PLACEMENT_3D('',#521988,#427745,#427746); #353400=AXIS2_PLACEMENT_3D('',#521989,#427747,#427748); #353401=AXIS2_PLACEMENT_3D('',#521991,#427749,#427750); #353402=AXIS2_PLACEMENT_3D('',#521994,#427752,#427753); #353403=AXIS2_PLACEMENT_3D('',#521995,#427754,#427755); #353404=AXIS2_PLACEMENT_3D('',#521997,#427756,#427757); #353405=AXIS2_PLACEMENT_3D('',#522000,#427759,#427760); #353406=AXIS2_PLACEMENT_3D('',#522001,#427761,#427762); #353407=AXIS2_PLACEMENT_3D('',#522003,#427763,#427764); #353408=AXIS2_PLACEMENT_3D('',#522006,#427766,#427767); #353409=AXIS2_PLACEMENT_3D('',#522007,#427768,#427769); #353410=AXIS2_PLACEMENT_3D('',#522009,#427770,#427771); #353411=AXIS2_PLACEMENT_3D('',#522012,#427773,#427774); #353412=AXIS2_PLACEMENT_3D('',#522013,#427775,#427776); #353413=AXIS2_PLACEMENT_3D('',#522015,#427777,#427778); #353414=AXIS2_PLACEMENT_3D('',#522018,#427780,#427781); #353415=AXIS2_PLACEMENT_3D('',#522019,#427782,#427783); #353416=AXIS2_PLACEMENT_3D('',#522021,#427784,#427785); #353417=AXIS2_PLACEMENT_3D('',#522024,#427787,#427788); #353418=AXIS2_PLACEMENT_3D('',#522025,#427789,#427790); #353419=AXIS2_PLACEMENT_3D('',#522027,#427791,#427792); #353420=AXIS2_PLACEMENT_3D('',#522030,#427794,#427795); #353421=AXIS2_PLACEMENT_3D('',#522031,#427796,#427797); #353422=AXIS2_PLACEMENT_3D('',#522033,#427798,#427799); #353423=AXIS2_PLACEMENT_3D('',#522036,#427801,#427802); #353424=AXIS2_PLACEMENT_3D('',#522037,#427803,#427804); #353425=AXIS2_PLACEMENT_3D('',#522039,#427805,#427806); #353426=AXIS2_PLACEMENT_3D('',#522042,#427808,#427809); #353427=AXIS2_PLACEMENT_3D('',#522043,#427810,#427811); #353428=AXIS2_PLACEMENT_3D('',#522045,#427812,#427813); #353429=AXIS2_PLACEMENT_3D('',#522048,#427815,#427816); #353430=AXIS2_PLACEMENT_3D('',#522049,#427817,#427818); #353431=AXIS2_PLACEMENT_3D('',#522058,#427823,#427824); #353432=AXIS2_PLACEMENT_3D('',#522064,#427828,#427829); #353433=AXIS2_PLACEMENT_3D('',#522070,#427833,#427834); #353434=AXIS2_PLACEMENT_3D('',#522073,#427837,#427838); #353435=AXIS2_PLACEMENT_3D('',#522074,#427839,#427840); #353436=AXIS2_PLACEMENT_3D('',#522075,#427841,#427842); #353437=AXIS2_PLACEMENT_3D('',#522084,#427847,#427848); #353438=AXIS2_PLACEMENT_3D('',#522090,#427852,#427853); #353439=AXIS2_PLACEMENT_3D('',#522096,#427857,#427858); #353440=AXIS2_PLACEMENT_3D('',#522099,#427861,#427862); #353441=AXIS2_PLACEMENT_3D('',#522100,#427863,#427864); #353442=AXIS2_PLACEMENT_3D('',#522101,#427865,#427866); #353443=AXIS2_PLACEMENT_3D('',#522110,#427871,#427872); #353444=AXIS2_PLACEMENT_3D('',#522116,#427876,#427877); #353445=AXIS2_PLACEMENT_3D('',#522122,#427881,#427882); #353446=AXIS2_PLACEMENT_3D('',#522125,#427885,#427886); #353447=AXIS2_PLACEMENT_3D('',#522126,#427887,#427888); #353448=AXIS2_PLACEMENT_3D('',#522127,#427889,#427890); #353449=AXIS2_PLACEMENT_3D('',#522136,#427895,#427896); #353450=AXIS2_PLACEMENT_3D('',#522142,#427900,#427901); #353451=AXIS2_PLACEMENT_3D('',#522148,#427905,#427906); #353452=AXIS2_PLACEMENT_3D('',#522151,#427909,#427910); #353453=AXIS2_PLACEMENT_3D('',#522152,#427911,#427912); #353454=AXIS2_PLACEMENT_3D('',#522153,#427913,#427914); #353455=AXIS2_PLACEMENT_3D('',#522155,#427915,#427916); #353456=AXIS2_PLACEMENT_3D('',#522158,#427918,#427919); #353457=AXIS2_PLACEMENT_3D('',#522159,#427920,#427921); #353458=AXIS2_PLACEMENT_3D('',#522168,#427926,#427927); #353459=AXIS2_PLACEMENT_3D('',#522174,#427931,#427932); #353460=AXIS2_PLACEMENT_3D('',#522180,#427936,#427937); #353461=AXIS2_PLACEMENT_3D('',#522183,#427940,#427941); #353462=AXIS2_PLACEMENT_3D('',#522184,#427942,#427943); #353463=AXIS2_PLACEMENT_3D('',#522185,#427944,#427945); #353464=AXIS2_PLACEMENT_3D('',#522187,#427946,#427947); #353465=AXIS2_PLACEMENT_3D('',#522190,#427949,#427950); #353466=AXIS2_PLACEMENT_3D('',#522191,#427951,#427952); #353467=AXIS2_PLACEMENT_3D('',#522200,#427957,#427958); #353468=AXIS2_PLACEMENT_3D('',#522206,#427962,#427963); #353469=AXIS2_PLACEMENT_3D('',#522212,#427967,#427968); #353470=AXIS2_PLACEMENT_3D('',#522215,#427971,#427972); #353471=AXIS2_PLACEMENT_3D('',#522216,#427973,#427974); #353472=AXIS2_PLACEMENT_3D('',#522217,#427975,#427976); #353473=AXIS2_PLACEMENT_3D('',#522219,#427977,#427978); #353474=AXIS2_PLACEMENT_3D('',#522222,#427980,#427981); #353475=AXIS2_PLACEMENT_3D('',#522223,#427982,#427983); #353476=AXIS2_PLACEMENT_3D('',#522232,#427988,#427989); #353477=AXIS2_PLACEMENT_3D('',#522238,#427993,#427994); #353478=AXIS2_PLACEMENT_3D('',#522244,#427998,#427999); #353479=AXIS2_PLACEMENT_3D('',#522247,#428002,#428003); #353480=AXIS2_PLACEMENT_3D('',#522248,#428004,#428005); #353481=AXIS2_PLACEMENT_3D('',#522249,#428006,#428007); #353482=AXIS2_PLACEMENT_3D('',#522251,#428008,#428009); #353483=AXIS2_PLACEMENT_3D('',#522254,#428011,#428012); #353484=AXIS2_PLACEMENT_3D('',#522255,#428013,#428014); #353485=AXIS2_PLACEMENT_3D('',#522257,#428015,#428016); #353486=AXIS2_PLACEMENT_3D('',#522260,#428018,#428019); #353487=AXIS2_PLACEMENT_3D('',#522261,#428020,#428021); #353488=AXIS2_PLACEMENT_3D('',#522263,#428022,#428023); #353489=AXIS2_PLACEMENT_3D('',#522266,#428025,#428026); #353490=AXIS2_PLACEMENT_3D('',#522267,#428027,#428028); #353491=AXIS2_PLACEMENT_3D('',#522269,#428029,#428030); #353492=AXIS2_PLACEMENT_3D('',#522272,#428032,#428033); #353493=AXIS2_PLACEMENT_3D('',#522273,#428034,#428035); #353494=AXIS2_PLACEMENT_3D('',#522275,#428036,#428037); #353495=AXIS2_PLACEMENT_3D('',#522278,#428039,#428040); #353496=AXIS2_PLACEMENT_3D('',#522279,#428041,#428042); #353497=AXIS2_PLACEMENT_3D('',#522281,#428043,#428044); #353498=AXIS2_PLACEMENT_3D('',#522284,#428046,#428047); #353499=AXIS2_PLACEMENT_3D('',#522285,#428048,#428049); #353500=AXIS2_PLACEMENT_3D('',#522287,#428050,#428051); #353501=AXIS2_PLACEMENT_3D('',#522290,#428053,#428054); #353502=AXIS2_PLACEMENT_3D('',#522291,#428055,#428056); #353503=AXIS2_PLACEMENT_3D('',#522293,#428057,#428058); #353504=AXIS2_PLACEMENT_3D('',#522296,#428060,#428061); #353505=AXIS2_PLACEMENT_3D('',#522297,#428062,#428063); #353506=AXIS2_PLACEMENT_3D('',#522299,#428064,#428065); #353507=AXIS2_PLACEMENT_3D('',#522302,#428067,#428068); #353508=AXIS2_PLACEMENT_3D('',#522303,#428069,#428070); #353509=AXIS2_PLACEMENT_3D('',#522305,#428071,#428072); #353510=AXIS2_PLACEMENT_3D('',#522308,#428074,#428075); #353511=AXIS2_PLACEMENT_3D('',#522309,#428076,#428077); #353512=AXIS2_PLACEMENT_3D('',#522311,#428078,#428079); #353513=AXIS2_PLACEMENT_3D('',#522314,#428081,#428082); #353514=AXIS2_PLACEMENT_3D('',#522315,#428083,#428084); #353515=AXIS2_PLACEMENT_3D('',#522317,#428085,#428086); #353516=AXIS2_PLACEMENT_3D('',#522320,#428088,#428089); #353517=AXIS2_PLACEMENT_3D('',#522321,#428090,#428091); #353518=AXIS2_PLACEMENT_3D('',#522323,#428092,#428093); #353519=AXIS2_PLACEMENT_3D('',#522326,#428095,#428096); #353520=AXIS2_PLACEMENT_3D('',#522327,#428097,#428098); #353521=AXIS2_PLACEMENT_3D('',#522329,#428099,#428100); #353522=AXIS2_PLACEMENT_3D('',#522332,#428102,#428103); #353523=AXIS2_PLACEMENT_3D('',#522333,#428104,#428105); #353524=AXIS2_PLACEMENT_3D('',#522335,#428106,#428107); #353525=AXIS2_PLACEMENT_3D('',#522338,#428109,#428110); #353526=AXIS2_PLACEMENT_3D('',#522339,#428111,#428112); #353527=AXIS2_PLACEMENT_3D('',#522348,#428117,#428118); #353528=AXIS2_PLACEMENT_3D('',#522354,#428122,#428123); #353529=AXIS2_PLACEMENT_3D('',#522360,#428127,#428128); #353530=AXIS2_PLACEMENT_3D('',#522363,#428131,#428132); #353531=AXIS2_PLACEMENT_3D('',#522364,#428133,#428134); #353532=AXIS2_PLACEMENT_3D('',#522365,#428135,#428136); #353533=AXIS2_PLACEMENT_3D('',#522374,#428141,#428142); #353534=AXIS2_PLACEMENT_3D('',#522380,#428146,#428147); #353535=AXIS2_PLACEMENT_3D('',#522386,#428151,#428152); #353536=AXIS2_PLACEMENT_3D('',#522389,#428155,#428156); #353537=AXIS2_PLACEMENT_3D('',#522390,#428157,#428158); #353538=AXIS2_PLACEMENT_3D('',#522391,#428159,#428160); #353539=AXIS2_PLACEMENT_3D('',#522400,#428165,#428166); #353540=AXIS2_PLACEMENT_3D('',#522406,#428170,#428171); #353541=AXIS2_PLACEMENT_3D('',#522412,#428175,#428176); #353542=AXIS2_PLACEMENT_3D('',#522415,#428179,#428180); #353543=AXIS2_PLACEMENT_3D('',#522416,#428181,#428182); #353544=AXIS2_PLACEMENT_3D('',#522417,#428183,#428184); #353545=AXIS2_PLACEMENT_3D('',#522426,#428189,#428190); #353546=AXIS2_PLACEMENT_3D('',#522432,#428194,#428195); #353547=AXIS2_PLACEMENT_3D('',#522438,#428199,#428200); #353548=AXIS2_PLACEMENT_3D('',#522441,#428203,#428204); #353549=AXIS2_PLACEMENT_3D('',#522442,#428205,#428206); #353550=AXIS2_PLACEMENT_3D('',#522443,#428207,#428208); #353551=AXIS2_PLACEMENT_3D('',#522452,#428213,#428214); #353552=AXIS2_PLACEMENT_3D('',#522458,#428218,#428219); #353553=AXIS2_PLACEMENT_3D('',#522464,#428223,#428224); #353554=AXIS2_PLACEMENT_3D('',#522467,#428227,#428228); #353555=AXIS2_PLACEMENT_3D('',#522468,#428229,#428230); #353556=AXIS2_PLACEMENT_3D('',#522469,#428231,#428232); #353557=AXIS2_PLACEMENT_3D('',#522478,#428237,#428238); #353558=AXIS2_PLACEMENT_3D('',#522484,#428242,#428243); #353559=AXIS2_PLACEMENT_3D('',#522490,#428247,#428248); #353560=AXIS2_PLACEMENT_3D('',#522493,#428251,#428252); #353561=AXIS2_PLACEMENT_3D('',#522494,#428253,#428254); #353562=AXIS2_PLACEMENT_3D('',#522495,#428255,#428256); #353563=AXIS2_PLACEMENT_3D('',#522504,#428261,#428262); #353564=AXIS2_PLACEMENT_3D('',#522510,#428266,#428267); #353565=AXIS2_PLACEMENT_3D('',#522516,#428271,#428272); #353566=AXIS2_PLACEMENT_3D('',#522519,#428275,#428276); #353567=AXIS2_PLACEMENT_3D('',#522520,#428277,#428278); #353568=AXIS2_PLACEMENT_3D('',#522521,#428279,#428280); #353569=AXIS2_PLACEMENT_3D('',#522530,#428285,#428286); #353570=AXIS2_PLACEMENT_3D('',#522536,#428290,#428291); #353571=AXIS2_PLACEMENT_3D('',#522542,#428295,#428296); #353572=AXIS2_PLACEMENT_3D('',#522545,#428299,#428300); #353573=AXIS2_PLACEMENT_3D('',#522546,#428301,#428302); #353574=AXIS2_PLACEMENT_3D('',#522547,#428303,#428304); #353575=AXIS2_PLACEMENT_3D('',#522556,#428309,#428310); #353576=AXIS2_PLACEMENT_3D('',#522562,#428314,#428315); #353577=AXIS2_PLACEMENT_3D('',#522568,#428319,#428320); #353578=AXIS2_PLACEMENT_3D('',#522571,#428323,#428324); #353579=AXIS2_PLACEMENT_3D('',#522572,#428325,#428326); #353580=AXIS2_PLACEMENT_3D('',#522573,#428327,#428328); #353581=AXIS2_PLACEMENT_3D('',#522582,#428333,#428334); #353582=AXIS2_PLACEMENT_3D('',#522588,#428338,#428339); #353583=AXIS2_PLACEMENT_3D('',#522594,#428343,#428344); #353584=AXIS2_PLACEMENT_3D('',#522597,#428347,#428348); #353585=AXIS2_PLACEMENT_3D('',#522598,#428349,#428350); #353586=AXIS2_PLACEMENT_3D('',#522599,#428351,#428352); #353587=AXIS2_PLACEMENT_3D('',#522608,#428357,#428358); #353588=AXIS2_PLACEMENT_3D('',#522614,#428362,#428363); #353589=AXIS2_PLACEMENT_3D('',#522620,#428367,#428368); #353590=AXIS2_PLACEMENT_3D('',#522623,#428371,#428372); #353591=AXIS2_PLACEMENT_3D('',#522624,#428373,#428374); #353592=AXIS2_PLACEMENT_3D('',#522625,#428375,#428376); #353593=AXIS2_PLACEMENT_3D('',#522634,#428381,#428382); #353594=AXIS2_PLACEMENT_3D('',#522640,#428386,#428387); #353595=AXIS2_PLACEMENT_3D('',#522646,#428391,#428392); #353596=AXIS2_PLACEMENT_3D('',#522649,#428395,#428396); #353597=AXIS2_PLACEMENT_3D('',#522650,#428397,#428398); #353598=AXIS2_PLACEMENT_3D('',#522651,#428399,#428400); #353599=AXIS2_PLACEMENT_3D('',#522660,#428405,#428406); #353600=AXIS2_PLACEMENT_3D('',#522666,#428410,#428411); #353601=AXIS2_PLACEMENT_3D('',#522672,#428415,#428416); #353602=AXIS2_PLACEMENT_3D('',#522675,#428419,#428420); #353603=AXIS2_PLACEMENT_3D('',#522676,#428421,#428422); #353604=AXIS2_PLACEMENT_3D('',#522677,#428423,#428424); #353605=AXIS2_PLACEMENT_3D('',#522686,#428429,#428430); #353606=AXIS2_PLACEMENT_3D('',#522692,#428434,#428435); #353607=AXIS2_PLACEMENT_3D('',#522698,#428439,#428440); #353608=AXIS2_PLACEMENT_3D('',#522701,#428443,#428444); #353609=AXIS2_PLACEMENT_3D('',#522702,#428445,#428446); #353610=AXIS2_PLACEMENT_3D('',#522703,#428447,#428448); #353611=AXIS2_PLACEMENT_3D('',#522712,#428453,#428454); #353612=AXIS2_PLACEMENT_3D('',#522718,#428458,#428459); #353613=AXIS2_PLACEMENT_3D('',#522724,#428463,#428464); #353614=AXIS2_PLACEMENT_3D('',#522727,#428467,#428468); #353615=AXIS2_PLACEMENT_3D('',#522728,#428469,#428470); #353616=AXIS2_PLACEMENT_3D('',#522729,#428471,#428472); #353617=AXIS2_PLACEMENT_3D('',#522738,#428477,#428478); #353618=AXIS2_PLACEMENT_3D('',#522744,#428482,#428483); #353619=AXIS2_PLACEMENT_3D('',#522750,#428487,#428488); #353620=AXIS2_PLACEMENT_3D('',#522753,#428491,#428492); #353621=AXIS2_PLACEMENT_3D('',#522754,#428493,#428494); #353622=AXIS2_PLACEMENT_3D('',#522755,#428495,#428496); #353623=AXIS2_PLACEMENT_3D('',#522764,#428501,#428502); #353624=AXIS2_PLACEMENT_3D('',#522770,#428506,#428507); #353625=AXIS2_PLACEMENT_3D('',#522776,#428511,#428512); #353626=AXIS2_PLACEMENT_3D('',#522779,#428515,#428516); #353627=AXIS2_PLACEMENT_3D('',#522780,#428517,#428518); #353628=AXIS2_PLACEMENT_3D('',#522781,#428519,#428520); #353629=AXIS2_PLACEMENT_3D('',#522790,#428525,#428526); #353630=AXIS2_PLACEMENT_3D('',#522796,#428530,#428531); #353631=AXIS2_PLACEMENT_3D('',#522802,#428535,#428536); #353632=AXIS2_PLACEMENT_3D('',#522805,#428539,#428540); #353633=AXIS2_PLACEMENT_3D('',#522806,#428541,#428542); #353634=AXIS2_PLACEMENT_3D('',#522807,#428543,#428544); #353635=AXIS2_PLACEMENT_3D('',#522816,#428549,#428550); #353636=AXIS2_PLACEMENT_3D('',#522822,#428554,#428555); #353637=AXIS2_PLACEMENT_3D('',#522828,#428559,#428560); #353638=AXIS2_PLACEMENT_3D('',#522831,#428563,#428564); #353639=AXIS2_PLACEMENT_3D('',#522832,#428565,#428566); #353640=AXIS2_PLACEMENT_3D('',#522833,#428567,#428568); #353641=AXIS2_PLACEMENT_3D('',#522842,#428573,#428574); #353642=AXIS2_PLACEMENT_3D('',#522848,#428578,#428579); #353643=AXIS2_PLACEMENT_3D('',#522854,#428583,#428584); #353644=AXIS2_PLACEMENT_3D('',#522857,#428587,#428588); #353645=AXIS2_PLACEMENT_3D('',#522858,#428589,#428590); #353646=AXIS2_PLACEMENT_3D('',#522859,#428591,#428592); #353647=AXIS2_PLACEMENT_3D('',#522868,#428597,#428598); #353648=AXIS2_PLACEMENT_3D('',#522874,#428602,#428603); #353649=AXIS2_PLACEMENT_3D('',#522880,#428607,#428608); #353650=AXIS2_PLACEMENT_3D('',#522883,#428611,#428612); #353651=AXIS2_PLACEMENT_3D('',#522884,#428613,#428614); #353652=AXIS2_PLACEMENT_3D('',#522885,#428615,#428616); #353653=AXIS2_PLACEMENT_3D('',#522887,#428617,#428618); #353654=AXIS2_PLACEMENT_3D('',#522890,#428620,#428621); #353655=AXIS2_PLACEMENT_3D('',#522891,#428622,#428623); #353656=AXIS2_PLACEMENT_3D('',#522893,#428624,#428625); #353657=AXIS2_PLACEMENT_3D('',#522896,#428627,#428628); #353658=AXIS2_PLACEMENT_3D('',#522897,#428629,#428630); #353659=AXIS2_PLACEMENT_3D('',#522899,#428631,#428632); #353660=AXIS2_PLACEMENT_3D('',#522902,#428634,#428635); #353661=AXIS2_PLACEMENT_3D('',#522903,#428636,#428637); #353662=AXIS2_PLACEMENT_3D('',#522905,#428638,#428639); #353663=AXIS2_PLACEMENT_3D('',#522908,#428641,#428642); #353664=AXIS2_PLACEMENT_3D('',#522909,#428643,#428644); #353665=AXIS2_PLACEMENT_3D('',#522911,#428645,#428646); #353666=AXIS2_PLACEMENT_3D('',#522914,#428648,#428649); #353667=AXIS2_PLACEMENT_3D('',#522915,#428650,#428651); #353668=AXIS2_PLACEMENT_3D('',#522917,#428652,#428653); #353669=AXIS2_PLACEMENT_3D('',#522920,#428655,#428656); #353670=AXIS2_PLACEMENT_3D('',#522921,#428657,#428658); #353671=AXIS2_PLACEMENT_3D('',#522923,#428659,#428660); #353672=AXIS2_PLACEMENT_3D('',#522926,#428662,#428663); #353673=AXIS2_PLACEMENT_3D('',#522927,#428664,#428665); #353674=AXIS2_PLACEMENT_3D('',#522929,#428666,#428667); #353675=AXIS2_PLACEMENT_3D('',#522932,#428669,#428670); #353676=AXIS2_PLACEMENT_3D('',#522933,#428671,#428672); #353677=AXIS2_PLACEMENT_3D('',#522935,#428673,#428674); #353678=AXIS2_PLACEMENT_3D('',#522938,#428676,#428677); #353679=AXIS2_PLACEMENT_3D('',#522939,#428678,#428679); #353680=AXIS2_PLACEMENT_3D('',#522941,#428680,#428681); #353681=AXIS2_PLACEMENT_3D('',#522944,#428683,#428684); #353682=AXIS2_PLACEMENT_3D('',#522945,#428685,#428686); #353683=AXIS2_PLACEMENT_3D('',#522947,#428687,#428688); #353684=AXIS2_PLACEMENT_3D('',#522950,#428690,#428691); #353685=AXIS2_PLACEMENT_3D('',#522951,#428692,#428693); #353686=AXIS2_PLACEMENT_3D('',#522953,#428694,#428695); #353687=AXIS2_PLACEMENT_3D('',#522956,#428697,#428698); #353688=AXIS2_PLACEMENT_3D('',#522957,#428699,#428700); #353689=AXIS2_PLACEMENT_3D('',#522959,#428701,#428702); #353690=AXIS2_PLACEMENT_3D('',#522962,#428704,#428705); #353691=AXIS2_PLACEMENT_3D('',#522963,#428706,#428707); #353692=AXIS2_PLACEMENT_3D('',#522965,#428708,#428709); #353693=AXIS2_PLACEMENT_3D('',#522968,#428711,#428712); #353694=AXIS2_PLACEMENT_3D('',#522969,#428713,#428714); #353695=AXIS2_PLACEMENT_3D('',#522971,#428715,#428716); #353696=AXIS2_PLACEMENT_3D('',#522974,#428718,#428719); #353697=AXIS2_PLACEMENT_3D('',#522975,#428720,#428721); #353698=AXIS2_PLACEMENT_3D('',#522984,#428726,#428727); #353699=AXIS2_PLACEMENT_3D('',#522990,#428731,#428732); #353700=AXIS2_PLACEMENT_3D('',#522996,#428736,#428737); #353701=AXIS2_PLACEMENT_3D('',#522999,#428740,#428741); #353702=AXIS2_PLACEMENT_3D('',#523000,#428742,#428743); #353703=AXIS2_PLACEMENT_3D('',#523001,#428744,#428745); #353704=AXIS2_PLACEMENT_3D('',#523010,#428750,#428751); #353705=AXIS2_PLACEMENT_3D('',#523016,#428755,#428756); #353706=AXIS2_PLACEMENT_3D('',#523022,#428760,#428761); #353707=AXIS2_PLACEMENT_3D('',#523025,#428764,#428765); #353708=AXIS2_PLACEMENT_3D('',#523026,#428766,#428767); #353709=AXIS2_PLACEMENT_3D('',#523027,#428768,#428769); #353710=AXIS2_PLACEMENT_3D('',#523036,#428774,#428775); #353711=AXIS2_PLACEMENT_3D('',#523042,#428779,#428780); #353712=AXIS2_PLACEMENT_3D('',#523048,#428784,#428785); #353713=AXIS2_PLACEMENT_3D('',#523051,#428788,#428789); #353714=AXIS2_PLACEMENT_3D('',#523052,#428790,#428791); #353715=AXIS2_PLACEMENT_3D('',#523053,#428792,#428793); #353716=AXIS2_PLACEMENT_3D('',#523062,#428798,#428799); #353717=AXIS2_PLACEMENT_3D('',#523068,#428803,#428804); #353718=AXIS2_PLACEMENT_3D('',#523074,#428808,#428809); #353719=AXIS2_PLACEMENT_3D('',#523077,#428812,#428813); #353720=AXIS2_PLACEMENT_3D('',#523078,#428814,#428815); #353721=AXIS2_PLACEMENT_3D('',#523079,#428816,#428817); #353722=AXIS2_PLACEMENT_3D('',#523088,#428822,#428823); #353723=AXIS2_PLACEMENT_3D('',#523094,#428827,#428828); #353724=AXIS2_PLACEMENT_3D('',#523100,#428832,#428833); #353725=AXIS2_PLACEMENT_3D('',#523103,#428836,#428837); #353726=AXIS2_PLACEMENT_3D('',#523104,#428838,#428839); #353727=AXIS2_PLACEMENT_3D('',#523105,#428840,#428841); #353728=AXIS2_PLACEMENT_3D('',#523114,#428846,#428847); #353729=AXIS2_PLACEMENT_3D('',#523120,#428851,#428852); #353730=AXIS2_PLACEMENT_3D('',#523126,#428856,#428857); #353731=AXIS2_PLACEMENT_3D('',#523129,#428860,#428861); #353732=AXIS2_PLACEMENT_3D('',#523130,#428862,#428863); #353733=AXIS2_PLACEMENT_3D('',#523131,#428864,#428865); #353734=AXIS2_PLACEMENT_3D('',#523140,#428870,#428871); #353735=AXIS2_PLACEMENT_3D('',#523146,#428875,#428876); #353736=AXIS2_PLACEMENT_3D('',#523152,#428880,#428881); #353737=AXIS2_PLACEMENT_3D('',#523155,#428884,#428885); #353738=AXIS2_PLACEMENT_3D('',#523156,#428886,#428887); #353739=AXIS2_PLACEMENT_3D('',#523157,#428888,#428889); #353740=AXIS2_PLACEMENT_3D('',#523159,#428890,#428891); #353741=AXIS2_PLACEMENT_3D('',#523162,#428893,#428894); #353742=AXIS2_PLACEMENT_3D('',#523163,#428895,#428896); #353743=AXIS2_PLACEMENT_3D('',#523172,#428901,#428902); #353744=AXIS2_PLACEMENT_3D('',#523178,#428906,#428907); #353745=AXIS2_PLACEMENT_3D('',#523184,#428911,#428912); #353746=AXIS2_PLACEMENT_3D('',#523187,#428915,#428916); #353747=AXIS2_PLACEMENT_3D('',#523188,#428917,#428918); #353748=AXIS2_PLACEMENT_3D('',#523189,#428919,#428920); #353749=AXIS2_PLACEMENT_3D('',#523191,#428921,#428922); #353750=AXIS2_PLACEMENT_3D('',#523194,#428924,#428925); #353751=AXIS2_PLACEMENT_3D('',#523195,#428926,#428927); #353752=AXIS2_PLACEMENT_3D('',#523197,#428928,#428929); #353753=AXIS2_PLACEMENT_3D('',#523200,#428931,#428932); #353754=AXIS2_PLACEMENT_3D('',#523201,#428933,#428934); #353755=AXIS2_PLACEMENT_3D('',#523210,#428939,#428940); #353756=AXIS2_PLACEMENT_3D('',#523216,#428944,#428945); #353757=AXIS2_PLACEMENT_3D('',#523222,#428949,#428950); #353758=AXIS2_PLACEMENT_3D('',#523225,#428953,#428954); #353759=AXIS2_PLACEMENT_3D('',#523226,#428955,#428956); #353760=AXIS2_PLACEMENT_3D('',#523227,#428957,#428958); #353761=AXIS2_PLACEMENT_3D('',#523229,#428959,#428960); #353762=AXIS2_PLACEMENT_3D('',#523232,#428962,#428963); #353763=AXIS2_PLACEMENT_3D('',#523233,#428964,#428965); #353764=AXIS2_PLACEMENT_3D('',#523235,#428966,#428967); #353765=AXIS2_PLACEMENT_3D('',#523238,#428969,#428970); #353766=AXIS2_PLACEMENT_3D('',#523239,#428971,#428972); #353767=AXIS2_PLACEMENT_3D('',#523248,#428977,#428978); #353768=AXIS2_PLACEMENT_3D('',#523254,#428982,#428983); #353769=AXIS2_PLACEMENT_3D('',#523260,#428987,#428988); #353770=AXIS2_PLACEMENT_3D('',#523263,#428991,#428992); #353771=AXIS2_PLACEMENT_3D('',#523264,#428993,#428994); #353772=AXIS2_PLACEMENT_3D('',#523265,#428995,#428996); #353773=AXIS2_PLACEMENT_3D('',#523267,#428997,#428998); #353774=AXIS2_PLACEMENT_3D('',#523270,#429000,#429001); #353775=AXIS2_PLACEMENT_3D('',#523271,#429002,#429003); #353776=AXIS2_PLACEMENT_3D('',#523280,#429008,#429009); #353777=AXIS2_PLACEMENT_3D('',#523286,#429013,#429014); #353778=AXIS2_PLACEMENT_3D('',#523292,#429018,#429019); #353779=AXIS2_PLACEMENT_3D('',#523295,#429022,#429023); #353780=AXIS2_PLACEMENT_3D('',#523296,#429024,#429025); #353781=AXIS2_PLACEMENT_3D('',#523297,#429026,#429027); #353782=AXIS2_PLACEMENT_3D('',#523306,#429032,#429033); #353783=AXIS2_PLACEMENT_3D('',#523312,#429037,#429038); #353784=AXIS2_PLACEMENT_3D('',#523318,#429042,#429043); #353785=AXIS2_PLACEMENT_3D('',#523321,#429046,#429047); #353786=AXIS2_PLACEMENT_3D('',#523322,#429048,#429049); #353787=AXIS2_PLACEMENT_3D('',#523323,#429050,#429051); #353788=AXIS2_PLACEMENT_3D('',#523324,#429052,#429053); #353789=AXIS2_PLACEMENT_3D('',#523326,#429054,#429055); #353790=AXIS2_PLACEMENT_3D('',#523329,#429057,#429058); #353791=AXIS2_PLACEMENT_3D('',#523330,#429059,#429060); #353792=AXIS2_PLACEMENT_3D('',#523332,#429061,#429062); #353793=AXIS2_PLACEMENT_3D('',#523335,#429064,#429065); #353794=AXIS2_PLACEMENT_3D('',#523336,#429066,#429067); #353795=AXIS2_PLACEMENT_3D('',#523338,#429068,#429069); #353796=AXIS2_PLACEMENT_3D('',#523341,#429071,#429072); #353797=AXIS2_PLACEMENT_3D('',#523342,#429073,#429074); #353798=AXIS2_PLACEMENT_3D('',#523344,#429075,#429076); #353799=AXIS2_PLACEMENT_3D('',#523347,#429078,#429079); #353800=AXIS2_PLACEMENT_3D('',#523348,#429080,#429081); #353801=AXIS2_PLACEMENT_3D('',#523350,#429082,#429083); #353802=AXIS2_PLACEMENT_3D('',#523353,#429085,#429086); #353803=AXIS2_PLACEMENT_3D('',#523354,#429087,#429088); #353804=AXIS2_PLACEMENT_3D('',#523356,#429089,#429090); #353805=AXIS2_PLACEMENT_3D('',#523359,#429092,#429093); #353806=AXIS2_PLACEMENT_3D('',#523360,#429094,#429095); #353807=AXIS2_PLACEMENT_3D('',#523362,#429096,#429097); #353808=AXIS2_PLACEMENT_3D('',#523365,#429099,#429100); #353809=AXIS2_PLACEMENT_3D('',#523366,#429101,#429102); #353810=AXIS2_PLACEMENT_3D('',#523368,#429103,#429104); #353811=AXIS2_PLACEMENT_3D('',#523371,#429106,#429107); #353812=AXIS2_PLACEMENT_3D('',#523372,#429108,#429109); #353813=AXIS2_PLACEMENT_3D('',#523374,#429110,#429111); #353814=AXIS2_PLACEMENT_3D('',#523377,#429113,#429114); #353815=AXIS2_PLACEMENT_3D('',#523378,#429115,#429116); #353816=AXIS2_PLACEMENT_3D('',#523380,#429117,#429118); #353817=AXIS2_PLACEMENT_3D('',#523383,#429120,#429121); #353818=AXIS2_PLACEMENT_3D('',#523384,#429122,#429123); #353819=AXIS2_PLACEMENT_3D('',#523386,#429124,#429125); #353820=AXIS2_PLACEMENT_3D('',#523389,#429127,#429128); #353821=AXIS2_PLACEMENT_3D('',#523390,#429129,#429130); #353822=AXIS2_PLACEMENT_3D('',#523392,#429131,#429132); #353823=AXIS2_PLACEMENT_3D('',#523395,#429134,#429135); #353824=AXIS2_PLACEMENT_3D('',#523396,#429136,#429137); #353825=AXIS2_PLACEMENT_3D('',#523398,#429138,#429139); #353826=AXIS2_PLACEMENT_3D('',#523401,#429141,#429142); #353827=AXIS2_PLACEMENT_3D('',#523402,#429143,#429144); #353828=AXIS2_PLACEMENT_3D('',#523404,#429145,#429146); #353829=AXIS2_PLACEMENT_3D('',#523407,#429148,#429149); #353830=AXIS2_PLACEMENT_3D('',#523408,#429150,#429151); #353831=AXIS2_PLACEMENT_3D('',#523410,#429152,#429153); #353832=AXIS2_PLACEMENT_3D('',#523413,#429155,#429156); #353833=AXIS2_PLACEMENT_3D('',#523414,#429157,#429158); #353834=AXIS2_PLACEMENT_3D('',#523416,#429159,#429160); #353835=AXIS2_PLACEMENT_3D('',#523419,#429162,#429163); #353836=AXIS2_PLACEMENT_3D('',#523420,#429164,#429165); #353837=AXIS2_PLACEMENT_3D('',#523422,#429166,#429167); #353838=AXIS2_PLACEMENT_3D('',#523425,#429169,#429170); #353839=AXIS2_PLACEMENT_3D('',#523426,#429171,#429172); #353840=AXIS2_PLACEMENT_3D('',#523428,#429173,#429174); #353841=AXIS2_PLACEMENT_3D('',#523431,#429176,#429177); #353842=AXIS2_PLACEMENT_3D('',#523432,#429178,#429179); #353843=AXIS2_PLACEMENT_3D('',#523434,#429180,#429181); #353844=AXIS2_PLACEMENT_3D('',#523437,#429183,#429184); #353845=AXIS2_PLACEMENT_3D('',#523438,#429185,#429186); #353846=AXIS2_PLACEMENT_3D('',#523440,#429187,#429188); #353847=AXIS2_PLACEMENT_3D('',#523443,#429190,#429191); #353848=AXIS2_PLACEMENT_3D('',#523444,#429192,#429193); #353849=AXIS2_PLACEMENT_3D('',#523446,#429194,#429195); #353850=AXIS2_PLACEMENT_3D('',#523449,#429197,#429198); #353851=AXIS2_PLACEMENT_3D('',#523450,#429199,#429200); #353852=AXIS2_PLACEMENT_3D('',#523452,#429201,#429202); #353853=AXIS2_PLACEMENT_3D('',#523455,#429204,#429205); #353854=AXIS2_PLACEMENT_3D('',#523456,#429206,#429207); #353855=AXIS2_PLACEMENT_3D('',#523458,#429208,#429209); #353856=AXIS2_PLACEMENT_3D('',#523461,#429211,#429212); #353857=AXIS2_PLACEMENT_3D('',#523462,#429213,#429214); #353858=AXIS2_PLACEMENT_3D('',#523464,#429215,#429216); #353859=AXIS2_PLACEMENT_3D('',#523467,#429218,#429219); #353860=AXIS2_PLACEMENT_3D('',#523468,#429220,#429221); #353861=AXIS2_PLACEMENT_3D('',#523470,#429222,#429223); #353862=AXIS2_PLACEMENT_3D('',#523473,#429225,#429226); #353863=AXIS2_PLACEMENT_3D('',#523474,#429227,#429228); #353864=AXIS2_PLACEMENT_3D('',#523476,#429229,#429230); #353865=AXIS2_PLACEMENT_3D('',#523479,#429232,#429233); #353866=AXIS2_PLACEMENT_3D('',#523480,#429234,#429235); #353867=AXIS2_PLACEMENT_3D('',#523482,#429236,#429237); #353868=AXIS2_PLACEMENT_3D('',#523485,#429239,#429240); #353869=AXIS2_PLACEMENT_3D('',#523486,#429241,#429242); #353870=AXIS2_PLACEMENT_3D('',#523488,#429243,#429244); #353871=AXIS2_PLACEMENT_3D('',#523491,#429246,#429247); #353872=AXIS2_PLACEMENT_3D('',#523492,#429248,#429249); #353873=AXIS2_PLACEMENT_3D('',#523494,#429250,#429251); #353874=AXIS2_PLACEMENT_3D('',#523497,#429253,#429254); #353875=AXIS2_PLACEMENT_3D('',#523498,#429255,#429256); #353876=AXIS2_PLACEMENT_3D('',#523500,#429257,#429258); #353877=AXIS2_PLACEMENT_3D('',#523503,#429260,#429261); #353878=AXIS2_PLACEMENT_3D('',#523504,#429262,#429263); #353879=AXIS2_PLACEMENT_3D('',#523506,#429264,#429265); #353880=AXIS2_PLACEMENT_3D('',#523509,#429267,#429268); #353881=AXIS2_PLACEMENT_3D('',#523510,#429269,#429270); #353882=AXIS2_PLACEMENT_3D('',#523512,#429271,#429272); #353883=AXIS2_PLACEMENT_3D('',#523515,#429274,#429275); #353884=AXIS2_PLACEMENT_3D('',#523516,#429276,#429277); #353885=AXIS2_PLACEMENT_3D('',#523518,#429278,#429279); #353886=AXIS2_PLACEMENT_3D('',#523521,#429281,#429282); #353887=AXIS2_PLACEMENT_3D('',#523522,#429283,#429284); #353888=AXIS2_PLACEMENT_3D('',#523524,#429285,#429286); #353889=AXIS2_PLACEMENT_3D('',#523527,#429288,#429289); #353890=AXIS2_PLACEMENT_3D('',#523528,#429290,#429291); #353891=AXIS2_PLACEMENT_3D('',#523530,#429292,#429293); #353892=AXIS2_PLACEMENT_3D('',#523533,#429295,#429296); #353893=AXIS2_PLACEMENT_3D('',#523534,#429297,#429298); #353894=AXIS2_PLACEMENT_3D('',#523536,#429299,#429300); #353895=AXIS2_PLACEMENT_3D('',#523539,#429302,#429303); #353896=AXIS2_PLACEMENT_3D('',#523540,#429304,#429305); #353897=AXIS2_PLACEMENT_3D('',#523542,#429306,#429307); #353898=AXIS2_PLACEMENT_3D('',#523545,#429309,#429310); #353899=AXIS2_PLACEMENT_3D('',#523546,#429311,#429312); #353900=AXIS2_PLACEMENT_3D('',#523548,#429313,#429314); #353901=AXIS2_PLACEMENT_3D('',#523551,#429316,#429317); #353902=AXIS2_PLACEMENT_3D('',#523552,#429318,#429319); #353903=AXIS2_PLACEMENT_3D('',#523554,#429320,#429321); #353904=AXIS2_PLACEMENT_3D('',#523557,#429323,#429324); #353905=AXIS2_PLACEMENT_3D('',#523558,#429325,#429326); #353906=AXIS2_PLACEMENT_3D('',#523567,#429331,#429332); #353907=AXIS2_PLACEMENT_3D('',#523569,#429333,#429334); #353908=AXIS2_PLACEMENT_3D('',#523571,#429335,#429336); #353909=AXIS2_PLACEMENT_3D('',#523573,#429338,#429339); #353910=AXIS2_PLACEMENT_3D('',#523579,#429343,#429344); #353911=AXIS2_PLACEMENT_3D('',#523580,#429345,#429346); #353912=AXIS2_PLACEMENT_3D('',#523581,#429347,#429348); #353913=AXIS2_PLACEMENT_3D('',#523582,#429349,#429350); #353914=AXIS2_PLACEMENT_3D('',#523584,#429351,#429352); #353915=AXIS2_PLACEMENT_3D('',#523587,#429354,#429355); #353916=AXIS2_PLACEMENT_3D('',#523588,#429356,#429357); #353917=AXIS2_PLACEMENT_3D('',#523597,#429362,#429363); #353918=AXIS2_PLACEMENT_3D('',#523599,#429364,#429365); #353919=AXIS2_PLACEMENT_3D('',#523601,#429366,#429367); #353920=AXIS2_PLACEMENT_3D('',#523603,#429369,#429370); #353921=AXIS2_PLACEMENT_3D('',#523609,#429374,#429375); #353922=AXIS2_PLACEMENT_3D('',#523610,#429376,#429377); #353923=AXIS2_PLACEMENT_3D('',#523611,#429378,#429379); #353924=AXIS2_PLACEMENT_3D('',#523612,#429380,#429381); #353925=AXIS2_PLACEMENT_3D('',#523614,#429382,#429383); #353926=AXIS2_PLACEMENT_3D('',#523617,#429385,#429386); #353927=AXIS2_PLACEMENT_3D('',#523618,#429387,#429388); #353928=AXIS2_PLACEMENT_3D('',#523620,#429389,#429390); #353929=AXIS2_PLACEMENT_3D('',#523623,#429392,#429393); #353930=AXIS2_PLACEMENT_3D('',#523624,#429394,#429395); #353931=AXIS2_PLACEMENT_3D('',#523626,#429396,#429397); #353932=AXIS2_PLACEMENT_3D('',#523629,#429399,#429400); #353933=AXIS2_PLACEMENT_3D('',#523630,#429401,#429402); #353934=AXIS2_PLACEMENT_3D('',#523632,#429403,#429404); #353935=AXIS2_PLACEMENT_3D('',#523635,#429406,#429407); #353936=AXIS2_PLACEMENT_3D('',#523636,#429408,#429409); #353937=AXIS2_PLACEMENT_3D('',#523638,#429410,#429411); #353938=AXIS2_PLACEMENT_3D('',#523641,#429413,#429414); #353939=AXIS2_PLACEMENT_3D('',#523642,#429415,#429416); #353940=AXIS2_PLACEMENT_3D('',#523644,#429417,#429418); #353941=AXIS2_PLACEMENT_3D('',#523647,#429420,#429421); #353942=AXIS2_PLACEMENT_3D('',#523648,#429422,#429423); #353943=AXIS2_PLACEMENT_3D('',#523650,#429424,#429425); #353944=AXIS2_PLACEMENT_3D('',#523653,#429427,#429428); #353945=AXIS2_PLACEMENT_3D('',#523654,#429429,#429430); #353946=AXIS2_PLACEMENT_3D('',#523656,#429431,#429432); #353947=AXIS2_PLACEMENT_3D('',#523659,#429434,#429435); #353948=AXIS2_PLACEMENT_3D('',#523660,#429436,#429437); #353949=AXIS2_PLACEMENT_3D('',#523662,#429438,#429439); #353950=AXIS2_PLACEMENT_3D('',#523665,#429441,#429442); #353951=AXIS2_PLACEMENT_3D('',#523666,#429443,#429444); #353952=AXIS2_PLACEMENT_3D('',#523668,#429445,#429446); #353953=AXIS2_PLACEMENT_3D('',#523671,#429448,#429449); #353954=AXIS2_PLACEMENT_3D('',#523672,#429450,#429451); #353955=AXIS2_PLACEMENT_3D('',#523674,#429452,#429453); #353956=AXIS2_PLACEMENT_3D('',#523677,#429455,#429456); #353957=AXIS2_PLACEMENT_3D('',#523678,#429457,#429458); #353958=AXIS2_PLACEMENT_3D('',#523687,#429463,#429464); #353959=AXIS2_PLACEMENT_3D('',#523689,#429465,#429466); #353960=AXIS2_PLACEMENT_3D('',#523691,#429467,#429468); #353961=AXIS2_PLACEMENT_3D('',#523693,#429470,#429471); #353962=AXIS2_PLACEMENT_3D('',#523699,#429475,#429476); #353963=AXIS2_PLACEMENT_3D('',#523700,#429477,#429478); #353964=AXIS2_PLACEMENT_3D('',#523701,#429479,#429480); #353965=AXIS2_PLACEMENT_3D('',#523702,#429481,#429482); #353966=AXIS2_PLACEMENT_3D('',#523704,#429483,#429484); #353967=AXIS2_PLACEMENT_3D('',#523707,#429486,#429487); #353968=AXIS2_PLACEMENT_3D('',#523708,#429488,#429489); #353969=AXIS2_PLACEMENT_3D('',#523717,#429494,#429495); #353970=AXIS2_PLACEMENT_3D('',#523719,#429496,#429497); #353971=AXIS2_PLACEMENT_3D('',#523721,#429498,#429499); #353972=AXIS2_PLACEMENT_3D('',#523723,#429501,#429502); #353973=AXIS2_PLACEMENT_3D('',#523729,#429506,#429507); #353974=AXIS2_PLACEMENT_3D('',#523730,#429508,#429509); #353975=AXIS2_PLACEMENT_3D('',#523731,#429510,#429511); #353976=AXIS2_PLACEMENT_3D('',#523732,#429512,#429513); #353977=AXIS2_PLACEMENT_3D('',#523734,#429514,#429515); #353978=AXIS2_PLACEMENT_3D('',#523737,#429517,#429518); #353979=AXIS2_PLACEMENT_3D('',#523738,#429519,#429520); #353980=AXIS2_PLACEMENT_3D('',#523747,#429525,#429526); #353981=AXIS2_PLACEMENT_3D('',#523749,#429527,#429528); #353982=AXIS2_PLACEMENT_3D('',#523751,#429529,#429530); #353983=AXIS2_PLACEMENT_3D('',#523753,#429532,#429533); #353984=AXIS2_PLACEMENT_3D('',#523759,#429537,#429538); #353985=AXIS2_PLACEMENT_3D('',#523760,#429539,#429540); #353986=AXIS2_PLACEMENT_3D('',#523761,#429541,#429542); #353987=AXIS2_PLACEMENT_3D('',#523762,#429543,#429544); #353988=AXIS2_PLACEMENT_3D('',#523764,#429545,#429546); #353989=AXIS2_PLACEMENT_3D('',#523767,#429548,#429549); #353990=AXIS2_PLACEMENT_3D('',#523768,#429550,#429551); #353991=AXIS2_PLACEMENT_3D('',#523777,#429556,#429557); #353992=AXIS2_PLACEMENT_3D('',#523779,#429558,#429559); #353993=AXIS2_PLACEMENT_3D('',#523781,#429560,#429561); #353994=AXIS2_PLACEMENT_3D('',#523783,#429563,#429564); #353995=AXIS2_PLACEMENT_3D('',#523789,#429568,#429569); #353996=AXIS2_PLACEMENT_3D('',#523790,#429570,#429571); #353997=AXIS2_PLACEMENT_3D('',#523791,#429572,#429573); #353998=AXIS2_PLACEMENT_3D('',#523792,#429574,#429575); #353999=AXIS2_PLACEMENT_3D('',#523794,#429576,#429577); #354000=AXIS2_PLACEMENT_3D('',#523797,#429579,#429580); #354001=AXIS2_PLACEMENT_3D('',#523798,#429581,#429582); #354002=AXIS2_PLACEMENT_3D('',#523800,#429583,#429584); #354003=AXIS2_PLACEMENT_3D('',#523803,#429586,#429587); #354004=AXIS2_PLACEMENT_3D('',#523804,#429588,#429589); #354005=AXIS2_PLACEMENT_3D('',#523806,#429590,#429591); #354006=AXIS2_PLACEMENT_3D('',#523809,#429593,#429594); #354007=AXIS2_PLACEMENT_3D('',#523810,#429595,#429596); #354008=AXIS2_PLACEMENT_3D('',#523812,#429597,#429598); #354009=AXIS2_PLACEMENT_3D('',#523815,#429600,#429601); #354010=AXIS2_PLACEMENT_3D('',#523816,#429602,#429603); #354011=AXIS2_PLACEMENT_3D('',#523818,#429604,#429605); #354012=AXIS2_PLACEMENT_3D('',#523821,#429607,#429608); #354013=AXIS2_PLACEMENT_3D('',#523822,#429609,#429610); #354014=AXIS2_PLACEMENT_3D('',#523824,#429611,#429612); #354015=AXIS2_PLACEMENT_3D('',#523827,#429614,#429615); #354016=AXIS2_PLACEMENT_3D('',#523828,#429616,#429617); #354017=AXIS2_PLACEMENT_3D('',#523830,#429618,#429619); #354018=AXIS2_PLACEMENT_3D('',#523833,#429621,#429622); #354019=AXIS2_PLACEMENT_3D('',#523834,#429623,#429624); #354020=AXIS2_PLACEMENT_3D('',#523836,#429625,#429626); #354021=AXIS2_PLACEMENT_3D('',#523839,#429628,#429629); #354022=AXIS2_PLACEMENT_3D('',#523840,#429630,#429631); #354023=AXIS2_PLACEMENT_3D('',#523842,#429632,#429633); #354024=AXIS2_PLACEMENT_3D('',#523845,#429635,#429636); #354025=AXIS2_PLACEMENT_3D('',#523846,#429637,#429638); #354026=AXIS2_PLACEMENT_3D('',#523848,#429639,#429640); #354027=AXIS2_PLACEMENT_3D('',#523851,#429642,#429643); #354028=AXIS2_PLACEMENT_3D('',#523852,#429644,#429645); #354029=AXIS2_PLACEMENT_3D('',#523854,#429646,#429647); #354030=AXIS2_PLACEMENT_3D('',#523857,#429649,#429650); #354031=AXIS2_PLACEMENT_3D('',#523858,#429651,#429652); #354032=AXIS2_PLACEMENT_3D('',#523860,#429653,#429654); #354033=AXIS2_PLACEMENT_3D('',#523863,#429656,#429657); #354034=AXIS2_PLACEMENT_3D('',#523864,#429658,#429659); #354035=AXIS2_PLACEMENT_3D('',#523866,#429660,#429661); #354036=AXIS2_PLACEMENT_3D('',#523869,#429663,#429664); #354037=AXIS2_PLACEMENT_3D('',#523870,#429665,#429666); #354038=AXIS2_PLACEMENT_3D('',#523872,#429667,#429668); #354039=AXIS2_PLACEMENT_3D('',#523875,#429670,#429671); #354040=AXIS2_PLACEMENT_3D('',#523876,#429672,#429673); #354041=AXIS2_PLACEMENT_3D('',#523878,#429674,#429675); #354042=AXIS2_PLACEMENT_3D('',#523881,#429677,#429678); #354043=AXIS2_PLACEMENT_3D('',#523882,#429679,#429680); #354044=AXIS2_PLACEMENT_3D('',#523884,#429681,#429682); #354045=AXIS2_PLACEMENT_3D('',#523887,#429684,#429685); #354046=AXIS2_PLACEMENT_3D('',#523888,#429686,#429687); #354047=AXIS2_PLACEMENT_3D('',#523890,#429688,#429689); #354048=AXIS2_PLACEMENT_3D('',#523893,#429691,#429692); #354049=AXIS2_PLACEMENT_3D('',#523894,#429693,#429694); #354050=AXIS2_PLACEMENT_3D('',#523896,#429695,#429696); #354051=AXIS2_PLACEMENT_3D('',#523899,#429698,#429699); #354052=AXIS2_PLACEMENT_3D('',#523900,#429700,#429701); #354053=AXIS2_PLACEMENT_3D('',#523902,#429702,#429703); #354054=AXIS2_PLACEMENT_3D('',#523905,#429705,#429706); #354055=AXIS2_PLACEMENT_3D('',#523906,#429707,#429708); #354056=AXIS2_PLACEMENT_3D('',#523908,#429709,#429710); #354057=AXIS2_PLACEMENT_3D('',#523911,#429712,#429713); #354058=AXIS2_PLACEMENT_3D('',#523912,#429714,#429715); #354059=AXIS2_PLACEMENT_3D('',#523914,#429716,#429717); #354060=AXIS2_PLACEMENT_3D('',#523917,#429719,#429720); #354061=AXIS2_PLACEMENT_3D('',#523918,#429721,#429722); #354062=AXIS2_PLACEMENT_3D('',#523920,#429723,#429724); #354063=AXIS2_PLACEMENT_3D('',#523923,#429726,#429727); #354064=AXIS2_PLACEMENT_3D('',#523924,#429728,#429729); #354065=AXIS2_PLACEMENT_3D('',#523926,#429730,#429731); #354066=AXIS2_PLACEMENT_3D('',#523929,#429733,#429734); #354067=AXIS2_PLACEMENT_3D('',#523930,#429735,#429736); #354068=AXIS2_PLACEMENT_3D('',#523932,#429737,#429738); #354069=AXIS2_PLACEMENT_3D('',#523935,#429740,#429741); #354070=AXIS2_PLACEMENT_3D('',#523936,#429742,#429743); #354071=AXIS2_PLACEMENT_3D('',#523938,#429744,#429745); #354072=AXIS2_PLACEMENT_3D('',#523941,#429747,#429748); #354073=AXIS2_PLACEMENT_3D('',#523942,#429749,#429750); #354074=AXIS2_PLACEMENT_3D('',#523944,#429751,#429752); #354075=AXIS2_PLACEMENT_3D('',#523947,#429754,#429755); #354076=AXIS2_PLACEMENT_3D('',#523948,#429756,#429757); #354077=AXIS2_PLACEMENT_3D('',#523950,#429758,#429759); #354078=AXIS2_PLACEMENT_3D('',#523953,#429761,#429762); #354079=AXIS2_PLACEMENT_3D('',#523954,#429763,#429764); #354080=AXIS2_PLACEMENT_3D('',#523956,#429765,#429766); #354081=AXIS2_PLACEMENT_3D('',#523959,#429768,#429769); #354082=AXIS2_PLACEMENT_3D('',#523960,#429770,#429771); #354083=AXIS2_PLACEMENT_3D('',#523962,#429772,#429773); #354084=AXIS2_PLACEMENT_3D('',#523965,#429775,#429776); #354085=AXIS2_PLACEMENT_3D('',#523966,#429777,#429778); #354086=AXIS2_PLACEMENT_3D('',#523968,#429779,#429780); #354087=AXIS2_PLACEMENT_3D('',#523971,#429782,#429783); #354088=AXIS2_PLACEMENT_3D('',#523972,#429784,#429785); #354089=AXIS2_PLACEMENT_3D('',#523974,#429786,#429787); #354090=AXIS2_PLACEMENT_3D('',#523977,#429789,#429790); #354091=AXIS2_PLACEMENT_3D('',#523978,#429791,#429792); #354092=AXIS2_PLACEMENT_3D('',#523980,#429793,#429794); #354093=AXIS2_PLACEMENT_3D('',#523983,#429796,#429797); #354094=AXIS2_PLACEMENT_3D('',#523984,#429798,#429799); #354095=AXIS2_PLACEMENT_3D('',#523986,#429800,#429801); #354096=AXIS2_PLACEMENT_3D('',#523989,#429803,#429804); #354097=AXIS2_PLACEMENT_3D('',#523990,#429805,#429806); #354098=AXIS2_PLACEMENT_3D('',#523992,#429807,#429808); #354099=AXIS2_PLACEMENT_3D('',#523995,#429810,#429811); #354100=AXIS2_PLACEMENT_3D('',#523996,#429812,#429813); #354101=AXIS2_PLACEMENT_3D('',#523998,#429814,#429815); #354102=AXIS2_PLACEMENT_3D('',#524001,#429817,#429818); #354103=AXIS2_PLACEMENT_3D('',#524002,#429819,#429820); #354104=AXIS2_PLACEMENT_3D('',#524004,#429821,#429822); #354105=AXIS2_PLACEMENT_3D('',#524007,#429824,#429825); #354106=AXIS2_PLACEMENT_3D('',#524008,#429826,#429827); #354107=AXIS2_PLACEMENT_3D('',#524010,#429828,#429829); #354108=AXIS2_PLACEMENT_3D('',#524013,#429831,#429832); #354109=AXIS2_PLACEMENT_3D('',#524014,#429833,#429834); #354110=AXIS2_PLACEMENT_3D('',#524016,#429835,#429836); #354111=AXIS2_PLACEMENT_3D('',#524019,#429838,#429839); #354112=AXIS2_PLACEMENT_3D('',#524020,#429840,#429841); #354113=AXIS2_PLACEMENT_3D('',#524022,#429842,#429843); #354114=AXIS2_PLACEMENT_3D('',#524025,#429845,#429846); #354115=AXIS2_PLACEMENT_3D('',#524026,#429847,#429848); #354116=AXIS2_PLACEMENT_3D('',#524028,#429849,#429850); #354117=AXIS2_PLACEMENT_3D('',#524031,#429852,#429853); #354118=AXIS2_PLACEMENT_3D('',#524032,#429854,#429855); #354119=AXIS2_PLACEMENT_3D('',#524034,#429856,#429857); #354120=AXIS2_PLACEMENT_3D('',#524037,#429859,#429860); #354121=AXIS2_PLACEMENT_3D('',#524038,#429861,#429862); #354122=AXIS2_PLACEMENT_3D('',#524040,#429863,#429864); #354123=AXIS2_PLACEMENT_3D('',#524043,#429866,#429867); #354124=AXIS2_PLACEMENT_3D('',#524044,#429868,#429869); #354125=AXIS2_PLACEMENT_3D('',#524046,#429870,#429871); #354126=AXIS2_PLACEMENT_3D('',#524049,#429873,#429874); #354127=AXIS2_PLACEMENT_3D('',#524050,#429875,#429876); #354128=AXIS2_PLACEMENT_3D('',#524052,#429877,#429878); #354129=AXIS2_PLACEMENT_3D('',#524055,#429880,#429881); #354130=AXIS2_PLACEMENT_3D('',#524056,#429882,#429883); #354131=AXIS2_PLACEMENT_3D('',#524058,#429884,#429885); #354132=AXIS2_PLACEMENT_3D('',#524061,#429887,#429888); #354133=AXIS2_PLACEMENT_3D('',#524062,#429889,#429890); #354134=AXIS2_PLACEMENT_3D('',#524064,#429891,#429892); #354135=AXIS2_PLACEMENT_3D('',#524067,#429894,#429895); #354136=AXIS2_PLACEMENT_3D('',#524068,#429896,#429897); #354137=AXIS2_PLACEMENT_3D('',#524070,#429898,#429899); #354138=AXIS2_PLACEMENT_3D('',#524073,#429901,#429902); #354139=AXIS2_PLACEMENT_3D('',#524074,#429903,#429904); #354140=AXIS2_PLACEMENT_3D('',#524076,#429905,#429906); #354141=AXIS2_PLACEMENT_3D('',#524079,#429908,#429909); #354142=AXIS2_PLACEMENT_3D('',#524080,#429910,#429911); #354143=AXIS2_PLACEMENT_3D('',#524082,#429912,#429913); #354144=AXIS2_PLACEMENT_3D('',#524085,#429915,#429916); #354145=AXIS2_PLACEMENT_3D('',#524086,#429917,#429918); #354146=AXIS2_PLACEMENT_3D('',#524088,#429919,#429920); #354147=AXIS2_PLACEMENT_3D('',#524091,#429922,#429923); #354148=AXIS2_PLACEMENT_3D('',#524092,#429924,#429925); #354149=AXIS2_PLACEMENT_3D('',#524094,#429926,#429927); #354150=AXIS2_PLACEMENT_3D('',#524097,#429929,#429930); #354151=AXIS2_PLACEMENT_3D('',#524098,#429931,#429932); #354152=AXIS2_PLACEMENT_3D('',#524100,#429933,#429934); #354153=AXIS2_PLACEMENT_3D('',#524103,#429936,#429937); #354154=AXIS2_PLACEMENT_3D('',#524104,#429938,#429939); #354155=AXIS2_PLACEMENT_3D('',#524106,#429940,#429941); #354156=AXIS2_PLACEMENT_3D('',#524109,#429943,#429944); #354157=AXIS2_PLACEMENT_3D('',#524110,#429945,#429946); #354158=AXIS2_PLACEMENT_3D('',#524112,#429947,#429948); #354159=AXIS2_PLACEMENT_3D('',#524115,#429950,#429951); #354160=AXIS2_PLACEMENT_3D('',#524116,#429952,#429953); #354161=AXIS2_PLACEMENT_3D('',#524118,#429954,#429955); #354162=AXIS2_PLACEMENT_3D('',#524121,#429957,#429958); #354163=AXIS2_PLACEMENT_3D('',#524122,#429959,#429960); #354164=AXIS2_PLACEMENT_3D('',#524124,#429961,#429962); #354165=AXIS2_PLACEMENT_3D('',#524127,#429964,#429965); #354166=AXIS2_PLACEMENT_3D('',#524128,#429966,#429967); #354167=AXIS2_PLACEMENT_3D('',#524130,#429968,#429969); #354168=AXIS2_PLACEMENT_3D('',#524133,#429971,#429972); #354169=AXIS2_PLACEMENT_3D('',#524134,#429973,#429974); #354170=AXIS2_PLACEMENT_3D('',#524136,#429975,#429976); #354171=AXIS2_PLACEMENT_3D('',#524139,#429978,#429979); #354172=AXIS2_PLACEMENT_3D('',#524140,#429980,#429981); #354173=AXIS2_PLACEMENT_3D('',#524142,#429982,#429983); #354174=AXIS2_PLACEMENT_3D('',#524145,#429985,#429986); #354175=AXIS2_PLACEMENT_3D('',#524146,#429987,#429988); #354176=AXIS2_PLACEMENT_3D('',#524148,#429989,#429990); #354177=AXIS2_PLACEMENT_3D('',#524151,#429992,#429993); #354178=AXIS2_PLACEMENT_3D('',#524152,#429994,#429995); #354179=AXIS2_PLACEMENT_3D('',#524154,#429996,#429997); #354180=AXIS2_PLACEMENT_3D('',#524157,#429999,#430000); #354181=AXIS2_PLACEMENT_3D('',#524158,#430001,#430002); #354182=AXIS2_PLACEMENT_3D('',#524160,#430003,#430004); #354183=AXIS2_PLACEMENT_3D('',#524163,#430006,#430007); #354184=AXIS2_PLACEMENT_3D('',#524164,#430008,#430009); #354185=AXIS2_PLACEMENT_3D('',#524166,#430010,#430011); #354186=AXIS2_PLACEMENT_3D('',#524169,#430013,#430014); #354187=AXIS2_PLACEMENT_3D('',#524170,#430015,#430016); #354188=AXIS2_PLACEMENT_3D('',#524172,#430017,#430018); #354189=AXIS2_PLACEMENT_3D('',#524175,#430020,#430021); #354190=AXIS2_PLACEMENT_3D('',#524176,#430022,#430023); #354191=AXIS2_PLACEMENT_3D('',#524178,#430024,#430025); #354192=AXIS2_PLACEMENT_3D('',#524181,#430027,#430028); #354193=AXIS2_PLACEMENT_3D('',#524182,#430029,#430030); #354194=AXIS2_PLACEMENT_3D('',#524184,#430031,#430032); #354195=AXIS2_PLACEMENT_3D('',#524187,#430034,#430035); #354196=AXIS2_PLACEMENT_3D('',#524188,#430036,#430037); #354197=AXIS2_PLACEMENT_3D('',#524190,#430038,#430039); #354198=AXIS2_PLACEMENT_3D('',#524193,#430041,#430042); #354199=AXIS2_PLACEMENT_3D('',#524194,#430043,#430044); #354200=AXIS2_PLACEMENT_3D('',#524196,#430045,#430046); #354201=AXIS2_PLACEMENT_3D('',#524199,#430048,#430049); #354202=AXIS2_PLACEMENT_3D('',#524200,#430050,#430051); #354203=AXIS2_PLACEMENT_3D('',#524202,#430052,#430053); #354204=AXIS2_PLACEMENT_3D('',#524205,#430055,#430056); #354205=AXIS2_PLACEMENT_3D('',#524206,#430057,#430058); #354206=AXIS2_PLACEMENT_3D('',#524208,#430059,#430060); #354207=AXIS2_PLACEMENT_3D('',#524211,#430062,#430063); #354208=AXIS2_PLACEMENT_3D('',#524212,#430064,#430065); #354209=AXIS2_PLACEMENT_3D('',#524214,#430066,#430067); #354210=AXIS2_PLACEMENT_3D('',#524217,#430069,#430070); #354211=AXIS2_PLACEMENT_3D('',#524218,#430071,#430072); #354212=AXIS2_PLACEMENT_3D('',#524220,#430073,#430074); #354213=AXIS2_PLACEMENT_3D('',#524223,#430076,#430077); #354214=AXIS2_PLACEMENT_3D('',#524224,#430078,#430079); #354215=AXIS2_PLACEMENT_3D('',#524226,#430080,#430081); #354216=AXIS2_PLACEMENT_3D('',#524229,#430083,#430084); #354217=AXIS2_PLACEMENT_3D('',#524230,#430085,#430086); #354218=AXIS2_PLACEMENT_3D('',#524232,#430087,#430088); #354219=AXIS2_PLACEMENT_3D('',#524235,#430090,#430091); #354220=AXIS2_PLACEMENT_3D('',#524236,#430092,#430093); #354221=AXIS2_PLACEMENT_3D('',#524238,#430094,#430095); #354222=AXIS2_PLACEMENT_3D('',#524241,#430097,#430098); #354223=AXIS2_PLACEMENT_3D('',#524242,#430099,#430100); #354224=AXIS2_PLACEMENT_3D('',#524244,#430101,#430102); #354225=AXIS2_PLACEMENT_3D('',#524247,#430104,#430105); #354226=AXIS2_PLACEMENT_3D('',#524248,#430106,#430107); #354227=AXIS2_PLACEMENT_3D('',#524250,#430108,#430109); #354228=AXIS2_PLACEMENT_3D('',#524253,#430111,#430112); #354229=AXIS2_PLACEMENT_3D('',#524254,#430113,#430114); #354230=AXIS2_PLACEMENT_3D('',#524256,#430115,#430116); #354231=AXIS2_PLACEMENT_3D('',#524259,#430118,#430119); #354232=AXIS2_PLACEMENT_3D('',#524260,#430120,#430121); #354233=AXIS2_PLACEMENT_3D('',#524262,#430122,#430123); #354234=AXIS2_PLACEMENT_3D('',#524265,#430125,#430126); #354235=AXIS2_PLACEMENT_3D('',#524266,#430127,#430128); #354236=AXIS2_PLACEMENT_3D('',#524268,#430129,#430130); #354237=AXIS2_PLACEMENT_3D('',#524271,#430132,#430133); #354238=AXIS2_PLACEMENT_3D('',#524272,#430134,#430135); #354239=AXIS2_PLACEMENT_3D('',#524274,#430136,#430137); #354240=AXIS2_PLACEMENT_3D('',#524277,#430139,#430140); #354241=AXIS2_PLACEMENT_3D('',#524278,#430141,#430142); #354242=AXIS2_PLACEMENT_3D('',#524280,#430143,#430144); #354243=AXIS2_PLACEMENT_3D('',#524283,#430146,#430147); #354244=AXIS2_PLACEMENT_3D('',#524284,#430148,#430149); #354245=AXIS2_PLACEMENT_3D('',#524286,#430150,#430151); #354246=AXIS2_PLACEMENT_3D('',#524289,#430153,#430154); #354247=AXIS2_PLACEMENT_3D('',#524290,#430155,#430156); #354248=AXIS2_PLACEMENT_3D('',#524292,#430157,#430158); #354249=AXIS2_PLACEMENT_3D('',#524295,#430160,#430161); #354250=AXIS2_PLACEMENT_3D('',#524296,#430162,#430163); #354251=AXIS2_PLACEMENT_3D('',#524298,#430164,#430165); #354252=AXIS2_PLACEMENT_3D('',#524301,#430167,#430168); #354253=AXIS2_PLACEMENT_3D('',#524302,#430169,#430170); #354254=AXIS2_PLACEMENT_3D('',#524304,#430171,#430172); #354255=AXIS2_PLACEMENT_3D('',#524307,#430174,#430175); #354256=AXIS2_PLACEMENT_3D('',#524308,#430176,#430177); #354257=AXIS2_PLACEMENT_3D('',#524310,#430178,#430179); #354258=AXIS2_PLACEMENT_3D('',#524313,#430181,#430182); #354259=AXIS2_PLACEMENT_3D('',#524314,#430183,#430184); #354260=AXIS2_PLACEMENT_3D('',#524316,#430185,#430186); #354261=AXIS2_PLACEMENT_3D('',#524319,#430188,#430189); #354262=AXIS2_PLACEMENT_3D('',#524320,#430190,#430191); #354263=AXIS2_PLACEMENT_3D('',#524322,#430192,#430193); #354264=AXIS2_PLACEMENT_3D('',#524325,#430195,#430196); #354265=AXIS2_PLACEMENT_3D('',#524326,#430197,#430198); #354266=AXIS2_PLACEMENT_3D('',#524328,#430199,#430200); #354267=AXIS2_PLACEMENT_3D('',#524331,#430202,#430203); #354268=AXIS2_PLACEMENT_3D('',#524332,#430204,#430205); #354269=AXIS2_PLACEMENT_3D('',#524334,#430206,#430207); #354270=AXIS2_PLACEMENT_3D('',#524337,#430209,#430210); #354271=AXIS2_PLACEMENT_3D('',#524338,#430211,#430212); #354272=AXIS2_PLACEMENT_3D('',#524340,#430213,#430214); #354273=AXIS2_PLACEMENT_3D('',#524343,#430216,#430217); #354274=AXIS2_PLACEMENT_3D('',#524344,#430218,#430219); #354275=AXIS2_PLACEMENT_3D('',#524346,#430220,#430221); #354276=AXIS2_PLACEMENT_3D('',#524349,#430223,#430224); #354277=AXIS2_PLACEMENT_3D('',#524350,#430225,#430226); #354278=AXIS2_PLACEMENT_3D('',#524352,#430227,#430228); #354279=AXIS2_PLACEMENT_3D('',#524355,#430230,#430231); #354280=AXIS2_PLACEMENT_3D('',#524356,#430232,#430233); #354281=AXIS2_PLACEMENT_3D('',#524358,#430234,#430235); #354282=AXIS2_PLACEMENT_3D('',#524361,#430237,#430238); #354283=AXIS2_PLACEMENT_3D('',#524362,#430239,#430240); #354284=AXIS2_PLACEMENT_3D('',#524364,#430241,#430242); #354285=AXIS2_PLACEMENT_3D('',#524367,#430244,#430245); #354286=AXIS2_PLACEMENT_3D('',#524368,#430246,#430247); #354287=AXIS2_PLACEMENT_3D('',#524370,#430248,#430249); #354288=AXIS2_PLACEMENT_3D('',#524373,#430251,#430252); #354289=AXIS2_PLACEMENT_3D('',#524374,#430253,#430254); #354290=AXIS2_PLACEMENT_3D('',#524376,#430255,#430256); #354291=AXIS2_PLACEMENT_3D('',#524379,#430258,#430259); #354292=AXIS2_PLACEMENT_3D('',#524380,#430260,#430261); #354293=AXIS2_PLACEMENT_3D('',#524382,#430262,#430263); #354294=AXIS2_PLACEMENT_3D('',#524385,#430265,#430266); #354295=AXIS2_PLACEMENT_3D('',#524386,#430267,#430268); #354296=AXIS2_PLACEMENT_3D('',#524388,#430269,#430270); #354297=AXIS2_PLACEMENT_3D('',#524391,#430272,#430273); #354298=AXIS2_PLACEMENT_3D('',#524392,#430274,#430275); #354299=AXIS2_PLACEMENT_3D('',#524394,#430276,#430277); #354300=AXIS2_PLACEMENT_3D('',#524397,#430279,#430280); #354301=AXIS2_PLACEMENT_3D('',#524398,#430281,#430282); #354302=AXIS2_PLACEMENT_3D('',#524400,#430283,#430284); #354303=AXIS2_PLACEMENT_3D('',#524403,#430286,#430287); #354304=AXIS2_PLACEMENT_3D('',#524404,#430288,#430289); #354305=AXIS2_PLACEMENT_3D('',#524406,#430290,#430291); #354306=AXIS2_PLACEMENT_3D('',#524409,#430293,#430294); #354307=AXIS2_PLACEMENT_3D('',#524410,#430295,#430296); #354308=AXIS2_PLACEMENT_3D('',#524412,#430297,#430298); #354309=AXIS2_PLACEMENT_3D('',#524415,#430300,#430301); #354310=AXIS2_PLACEMENT_3D('',#524416,#430302,#430303); #354311=AXIS2_PLACEMENT_3D('',#524418,#430304,#430305); #354312=AXIS2_PLACEMENT_3D('',#524421,#430307,#430308); #354313=AXIS2_PLACEMENT_3D('',#524422,#430309,#430310); #354314=AXIS2_PLACEMENT_3D('',#524424,#430311,#430312); #354315=AXIS2_PLACEMENT_3D('',#524427,#430314,#430315); #354316=AXIS2_PLACEMENT_3D('',#524428,#430316,#430317); #354317=AXIS2_PLACEMENT_3D('',#524430,#430318,#430319); #354318=AXIS2_PLACEMENT_3D('',#524433,#430321,#430322); #354319=AXIS2_PLACEMENT_3D('',#524434,#430323,#430324); #354320=AXIS2_PLACEMENT_3D('',#524436,#430325,#430326); #354321=AXIS2_PLACEMENT_3D('',#524439,#430328,#430329); #354322=AXIS2_PLACEMENT_3D('',#524440,#430330,#430331); #354323=AXIS2_PLACEMENT_3D('',#524442,#430332,#430333); #354324=AXIS2_PLACEMENT_3D('',#524445,#430335,#430336); #354325=AXIS2_PLACEMENT_3D('',#524446,#430337,#430338); #354326=AXIS2_PLACEMENT_3D('',#524448,#430339,#430340); #354327=AXIS2_PLACEMENT_3D('',#524451,#430342,#430343); #354328=AXIS2_PLACEMENT_3D('',#524452,#430344,#430345); #354329=AXIS2_PLACEMENT_3D('',#524454,#430346,#430347); #354330=AXIS2_PLACEMENT_3D('',#524457,#430349,#430350); #354331=AXIS2_PLACEMENT_3D('',#524458,#430351,#430352); #354332=AXIS2_PLACEMENT_3D('',#524460,#430353,#430354); #354333=AXIS2_PLACEMENT_3D('',#524463,#430356,#430357); #354334=AXIS2_PLACEMENT_3D('',#524464,#430358,#430359); #354335=AXIS2_PLACEMENT_3D('',#524466,#430360,#430361); #354336=AXIS2_PLACEMENT_3D('',#524469,#430363,#430364); #354337=AXIS2_PLACEMENT_3D('',#524470,#430365,#430366); #354338=AXIS2_PLACEMENT_3D('',#524472,#430367,#430368); #354339=AXIS2_PLACEMENT_3D('',#524475,#430370,#430371); #354340=AXIS2_PLACEMENT_3D('',#524476,#430372,#430373); #354341=AXIS2_PLACEMENT_3D('',#524478,#430374,#430375); #354342=AXIS2_PLACEMENT_3D('',#524481,#430377,#430378); #354343=AXIS2_PLACEMENT_3D('',#524482,#430379,#430380); #354344=AXIS2_PLACEMENT_3D('',#524484,#430381,#430382); #354345=AXIS2_PLACEMENT_3D('',#524487,#430384,#430385); #354346=AXIS2_PLACEMENT_3D('',#524488,#430386,#430387); #354347=AXIS2_PLACEMENT_3D('',#524490,#430388,#430389); #354348=AXIS2_PLACEMENT_3D('',#524493,#430391,#430392); #354349=AXIS2_PLACEMENT_3D('',#524494,#430393,#430394); #354350=AXIS2_PLACEMENT_3D('',#524496,#430395,#430396); #354351=AXIS2_PLACEMENT_3D('',#524499,#430398,#430399); #354352=AXIS2_PLACEMENT_3D('',#524500,#430400,#430401); #354353=AXIS2_PLACEMENT_3D('',#524502,#430402,#430403); #354354=AXIS2_PLACEMENT_3D('',#524505,#430405,#430406); #354355=AXIS2_PLACEMENT_3D('',#524506,#430407,#430408); #354356=AXIS2_PLACEMENT_3D('',#524508,#430409,#430410); #354357=AXIS2_PLACEMENT_3D('',#524511,#430412,#430413); #354358=AXIS2_PLACEMENT_3D('',#524512,#430414,#430415); #354359=AXIS2_PLACEMENT_3D('',#524514,#430416,#430417); #354360=AXIS2_PLACEMENT_3D('',#524517,#430419,#430420); #354361=AXIS2_PLACEMENT_3D('',#524518,#430421,#430422); #354362=AXIS2_PLACEMENT_3D('',#524520,#430423,#430424); #354363=AXIS2_PLACEMENT_3D('',#524523,#430426,#430427); #354364=AXIS2_PLACEMENT_3D('',#524524,#430428,#430429); #354365=AXIS2_PLACEMENT_3D('',#524526,#430430,#430431); #354366=AXIS2_PLACEMENT_3D('',#524529,#430433,#430434); #354367=AXIS2_PLACEMENT_3D('',#524530,#430435,#430436); #354368=AXIS2_PLACEMENT_3D('',#524532,#430437,#430438); #354369=AXIS2_PLACEMENT_3D('',#524535,#430440,#430441); #354370=AXIS2_PLACEMENT_3D('',#524536,#430442,#430443); #354371=AXIS2_PLACEMENT_3D('',#524538,#430444,#430445); #354372=AXIS2_PLACEMENT_3D('',#524541,#430447,#430448); #354373=AXIS2_PLACEMENT_3D('',#524542,#430449,#430450); #354374=AXIS2_PLACEMENT_3D('',#524544,#430451,#430452); #354375=AXIS2_PLACEMENT_3D('',#524547,#430454,#430455); #354376=AXIS2_PLACEMENT_3D('',#524548,#430456,#430457); #354377=AXIS2_PLACEMENT_3D('',#524550,#430458,#430459); #354378=AXIS2_PLACEMENT_3D('',#524553,#430461,#430462); #354379=AXIS2_PLACEMENT_3D('',#524554,#430463,#430464); #354380=AXIS2_PLACEMENT_3D('',#524556,#430465,#430466); #354381=AXIS2_PLACEMENT_3D('',#524559,#430468,#430469); #354382=AXIS2_PLACEMENT_3D('',#524560,#430470,#430471); #354383=AXIS2_PLACEMENT_3D('',#524562,#430472,#430473); #354384=AXIS2_PLACEMENT_3D('',#524565,#430475,#430476); #354385=AXIS2_PLACEMENT_3D('',#524566,#430477,#430478); #354386=AXIS2_PLACEMENT_3D('',#524568,#430479,#430480); #354387=AXIS2_PLACEMENT_3D('',#524571,#430482,#430483); #354388=AXIS2_PLACEMENT_3D('',#524572,#430484,#430485); #354389=AXIS2_PLACEMENT_3D('',#524574,#430486,#430487); #354390=AXIS2_PLACEMENT_3D('',#524577,#430489,#430490); #354391=AXIS2_PLACEMENT_3D('',#524578,#430491,#430492); #354392=AXIS2_PLACEMENT_3D('',#524580,#430493,#430494); #354393=AXIS2_PLACEMENT_3D('',#524583,#430496,#430497); #354394=AXIS2_PLACEMENT_3D('',#524584,#430498,#430499); #354395=AXIS2_PLACEMENT_3D('',#524586,#430500,#430501); #354396=AXIS2_PLACEMENT_3D('',#524589,#430503,#430504); #354397=AXIS2_PLACEMENT_3D('',#524590,#430505,#430506); #354398=AXIS2_PLACEMENT_3D('',#524592,#430507,#430508); #354399=AXIS2_PLACEMENT_3D('',#524595,#430510,#430511); #354400=AXIS2_PLACEMENT_3D('',#524596,#430512,#430513); #354401=AXIS2_PLACEMENT_3D('',#524598,#430514,#430515); #354402=AXIS2_PLACEMENT_3D('',#524601,#430517,#430518); #354403=AXIS2_PLACEMENT_3D('',#524602,#430519,#430520); #354404=AXIS2_PLACEMENT_3D('',#524604,#430521,#430522); #354405=AXIS2_PLACEMENT_3D('',#524607,#430524,#430525); #354406=AXIS2_PLACEMENT_3D('',#524608,#430526,#430527); #354407=AXIS2_PLACEMENT_3D('',#524610,#430528,#430529); #354408=AXIS2_PLACEMENT_3D('',#524613,#430531,#430532); #354409=AXIS2_PLACEMENT_3D('',#524614,#430533,#430534); #354410=AXIS2_PLACEMENT_3D('',#524616,#430535,#430536); #354411=AXIS2_PLACEMENT_3D('',#524619,#430538,#430539); #354412=AXIS2_PLACEMENT_3D('',#524620,#430540,#430541); #354413=AXIS2_PLACEMENT_3D('',#524622,#430542,#430543); #354414=AXIS2_PLACEMENT_3D('',#524625,#430545,#430546); #354415=AXIS2_PLACEMENT_3D('',#524626,#430547,#430548); #354416=AXIS2_PLACEMENT_3D('',#524628,#430549,#430550); #354417=AXIS2_PLACEMENT_3D('',#524631,#430552,#430553); #354418=AXIS2_PLACEMENT_3D('',#524632,#430554,#430555); #354419=AXIS2_PLACEMENT_3D('',#524634,#430556,#430557); #354420=AXIS2_PLACEMENT_3D('',#524637,#430559,#430560); #354421=AXIS2_PLACEMENT_3D('',#524638,#430561,#430562); #354422=AXIS2_PLACEMENT_3D('',#524640,#430563,#430564); #354423=AXIS2_PLACEMENT_3D('',#524643,#430566,#430567); #354424=AXIS2_PLACEMENT_3D('',#524644,#430568,#430569); #354425=AXIS2_PLACEMENT_3D('',#524646,#430570,#430571); #354426=AXIS2_PLACEMENT_3D('',#524649,#430573,#430574); #354427=AXIS2_PLACEMENT_3D('',#524650,#430575,#430576); #354428=AXIS2_PLACEMENT_3D('',#524652,#430577,#430578); #354429=AXIS2_PLACEMENT_3D('',#524655,#430580,#430581); #354430=AXIS2_PLACEMENT_3D('',#524656,#430582,#430583); #354431=AXIS2_PLACEMENT_3D('',#524658,#430584,#430585); #354432=AXIS2_PLACEMENT_3D('',#524661,#430587,#430588); #354433=AXIS2_PLACEMENT_3D('',#524662,#430589,#430590); #354434=AXIS2_PLACEMENT_3D('',#524664,#430591,#430592); #354435=AXIS2_PLACEMENT_3D('',#524667,#430594,#430595); #354436=AXIS2_PLACEMENT_3D('',#524668,#430596,#430597); #354437=AXIS2_PLACEMENT_3D('',#524670,#430598,#430599); #354438=AXIS2_PLACEMENT_3D('',#524673,#430601,#430602); #354439=AXIS2_PLACEMENT_3D('',#524674,#430603,#430604); #354440=AXIS2_PLACEMENT_3D('',#524676,#430605,#430606); #354441=AXIS2_PLACEMENT_3D('',#524679,#430608,#430609); #354442=AXIS2_PLACEMENT_3D('',#524680,#430610,#430611); #354443=AXIS2_PLACEMENT_3D('',#524682,#430612,#430613); #354444=AXIS2_PLACEMENT_3D('',#524685,#430615,#430616); #354445=AXIS2_PLACEMENT_3D('',#524686,#430617,#430618); #354446=AXIS2_PLACEMENT_3D('',#524688,#430619,#430620); #354447=AXIS2_PLACEMENT_3D('',#524691,#430622,#430623); #354448=AXIS2_PLACEMENT_3D('',#524692,#430624,#430625); #354449=AXIS2_PLACEMENT_3D('',#524694,#430626,#430627); #354450=AXIS2_PLACEMENT_3D('',#524697,#430629,#430630); #354451=AXIS2_PLACEMENT_3D('',#524698,#430631,#430632); #354452=AXIS2_PLACEMENT_3D('',#524700,#430633,#430634); #354453=AXIS2_PLACEMENT_3D('',#524703,#430636,#430637); #354454=AXIS2_PLACEMENT_3D('',#524704,#430638,#430639); #354455=AXIS2_PLACEMENT_3D('',#524706,#430640,#430641); #354456=AXIS2_PLACEMENT_3D('',#524709,#430643,#430644); #354457=AXIS2_PLACEMENT_3D('',#524710,#430645,#430646); #354458=AXIS2_PLACEMENT_3D('',#524712,#430647,#430648); #354459=AXIS2_PLACEMENT_3D('',#524715,#430650,#430651); #354460=AXIS2_PLACEMENT_3D('',#524716,#430652,#430653); #354461=AXIS2_PLACEMENT_3D('',#524718,#430654,#430655); #354462=AXIS2_PLACEMENT_3D('',#524721,#430657,#430658); #354463=AXIS2_PLACEMENT_3D('',#524722,#430659,#430660); #354464=AXIS2_PLACEMENT_3D('',#524724,#430661,#430662); #354465=AXIS2_PLACEMENT_3D('',#524727,#430664,#430665); #354466=AXIS2_PLACEMENT_3D('',#524728,#430666,#430667); #354467=AXIS2_PLACEMENT_3D('',#524730,#430668,#430669); #354468=AXIS2_PLACEMENT_3D('',#524733,#430671,#430672); #354469=AXIS2_PLACEMENT_3D('',#524734,#430673,#430674); #354470=AXIS2_PLACEMENT_3D('',#524736,#430675,#430676); #354471=AXIS2_PLACEMENT_3D('',#524739,#430678,#430679); #354472=AXIS2_PLACEMENT_3D('',#524740,#430680,#430681); #354473=AXIS2_PLACEMENT_3D('',#524742,#430682,#430683); #354474=AXIS2_PLACEMENT_3D('',#524745,#430685,#430686); #354475=AXIS2_PLACEMENT_3D('',#524746,#430687,#430688); #354476=AXIS2_PLACEMENT_3D('',#524748,#430689,#430690); #354477=AXIS2_PLACEMENT_3D('',#524751,#430692,#430693); #354478=AXIS2_PLACEMENT_3D('',#524752,#430694,#430695); #354479=AXIS2_PLACEMENT_3D('',#524754,#430696,#430697); #354480=AXIS2_PLACEMENT_3D('',#524757,#430699,#430700); #354481=AXIS2_PLACEMENT_3D('',#524758,#430701,#430702); #354482=AXIS2_PLACEMENT_3D('',#524760,#430703,#430704); #354483=AXIS2_PLACEMENT_3D('',#524763,#430706,#430707); #354484=AXIS2_PLACEMENT_3D('',#524764,#430708,#430709); #354485=AXIS2_PLACEMENT_3D('',#524766,#430710,#430711); #354486=AXIS2_PLACEMENT_3D('',#524769,#430713,#430714); #354487=AXIS2_PLACEMENT_3D('',#524770,#430715,#430716); #354488=AXIS2_PLACEMENT_3D('',#524772,#430717,#430718); #354489=AXIS2_PLACEMENT_3D('',#524775,#430720,#430721); #354490=AXIS2_PLACEMENT_3D('',#524776,#430722,#430723); #354491=AXIS2_PLACEMENT_3D('',#524778,#430724,#430725); #354492=AXIS2_PLACEMENT_3D('',#524781,#430727,#430728); #354493=AXIS2_PLACEMENT_3D('',#524782,#430729,#430730); #354494=AXIS2_PLACEMENT_3D('',#524784,#430731,#430732); #354495=AXIS2_PLACEMENT_3D('',#524787,#430734,#430735); #354496=AXIS2_PLACEMENT_3D('',#524788,#430736,#430737); #354497=AXIS2_PLACEMENT_3D('',#524790,#430738,#430739); #354498=AXIS2_PLACEMENT_3D('',#524793,#430741,#430742); #354499=AXIS2_PLACEMENT_3D('',#524794,#430743,#430744); #354500=AXIS2_PLACEMENT_3D('',#524796,#430745,#430746); #354501=AXIS2_PLACEMENT_3D('',#524799,#430748,#430749); #354502=AXIS2_PLACEMENT_3D('',#524800,#430750,#430751); #354503=AXIS2_PLACEMENT_3D('',#524802,#430752,#430753); #354504=AXIS2_PLACEMENT_3D('',#524805,#430755,#430756); #354505=AXIS2_PLACEMENT_3D('',#524806,#430757,#430758); #354506=AXIS2_PLACEMENT_3D('',#524808,#430759,#430760); #354507=AXIS2_PLACEMENT_3D('',#524811,#430762,#430763); #354508=AXIS2_PLACEMENT_3D('',#524812,#430764,#430765); #354509=AXIS2_PLACEMENT_3D('',#524814,#430766,#430767); #354510=AXIS2_PLACEMENT_3D('',#524817,#430769,#430770); #354511=AXIS2_PLACEMENT_3D('',#524818,#430771,#430772); #354512=AXIS2_PLACEMENT_3D('',#524820,#430773,#430774); #354513=AXIS2_PLACEMENT_3D('',#524823,#430776,#430777); #354514=AXIS2_PLACEMENT_3D('',#524824,#430778,#430779); #354515=AXIS2_PLACEMENT_3D('',#524826,#430780,#430781); #354516=AXIS2_PLACEMENT_3D('',#524829,#430783,#430784); #354517=AXIS2_PLACEMENT_3D('',#524830,#430785,#430786); #354518=AXIS2_PLACEMENT_3D('',#524832,#430787,#430788); #354519=AXIS2_PLACEMENT_3D('',#524835,#430790,#430791); #354520=AXIS2_PLACEMENT_3D('',#524836,#430792,#430793); #354521=AXIS2_PLACEMENT_3D('',#524838,#430794,#430795); #354522=AXIS2_PLACEMENT_3D('',#524841,#430797,#430798); #354523=AXIS2_PLACEMENT_3D('',#524842,#430799,#430800); #354524=AXIS2_PLACEMENT_3D('',#524844,#430801,#430802); #354525=AXIS2_PLACEMENT_3D('',#524847,#430804,#430805); #354526=AXIS2_PLACEMENT_3D('',#524848,#430806,#430807); #354527=AXIS2_PLACEMENT_3D('',#524850,#430808,#430809); #354528=AXIS2_PLACEMENT_3D('',#524853,#430811,#430812); #354529=AXIS2_PLACEMENT_3D('',#524854,#430813,#430814); #354530=AXIS2_PLACEMENT_3D('',#524856,#430815,#430816); #354531=AXIS2_PLACEMENT_3D('',#524859,#430818,#430819); #354532=AXIS2_PLACEMENT_3D('',#524860,#430820,#430821); #354533=AXIS2_PLACEMENT_3D('',#524862,#430822,#430823); #354534=AXIS2_PLACEMENT_3D('',#524865,#430825,#430826); #354535=AXIS2_PLACEMENT_3D('',#524866,#430827,#430828); #354536=AXIS2_PLACEMENT_3D('',#524868,#430829,#430830); #354537=AXIS2_PLACEMENT_3D('',#524871,#430832,#430833); #354538=AXIS2_PLACEMENT_3D('',#524872,#430834,#430835); #354539=AXIS2_PLACEMENT_3D('',#524874,#430836,#430837); #354540=AXIS2_PLACEMENT_3D('',#524877,#430839,#430840); #354541=AXIS2_PLACEMENT_3D('',#524878,#430841,#430842); #354542=AXIS2_PLACEMENT_3D('',#524880,#430843,#430844); #354543=AXIS2_PLACEMENT_3D('',#524883,#430846,#430847); #354544=AXIS2_PLACEMENT_3D('',#524884,#430848,#430849); #354545=AXIS2_PLACEMENT_3D('',#524886,#430850,#430851); #354546=AXIS2_PLACEMENT_3D('',#524889,#430853,#430854); #354547=AXIS2_PLACEMENT_3D('',#524890,#430855,#430856); #354548=AXIS2_PLACEMENT_3D('',#524892,#430857,#430858); #354549=AXIS2_PLACEMENT_3D('',#524895,#430860,#430861); #354550=AXIS2_PLACEMENT_3D('',#524896,#430862,#430863); #354551=AXIS2_PLACEMENT_3D('',#524898,#430864,#430865); #354552=AXIS2_PLACEMENT_3D('',#524901,#430867,#430868); #354553=AXIS2_PLACEMENT_3D('',#524902,#430869,#430870); #354554=AXIS2_PLACEMENT_3D('',#524904,#430871,#430872); #354555=AXIS2_PLACEMENT_3D('',#524907,#430874,#430875); #354556=AXIS2_PLACEMENT_3D('',#524908,#430876,#430877); #354557=AXIS2_PLACEMENT_3D('',#524910,#430878,#430879); #354558=AXIS2_PLACEMENT_3D('',#524913,#430881,#430882); #354559=AXIS2_PLACEMENT_3D('',#524914,#430883,#430884); #354560=AXIS2_PLACEMENT_3D('',#524916,#430885,#430886); #354561=AXIS2_PLACEMENT_3D('',#524919,#430888,#430889); #354562=AXIS2_PLACEMENT_3D('',#524920,#430890,#430891); #354563=AXIS2_PLACEMENT_3D('',#524922,#430892,#430893); #354564=AXIS2_PLACEMENT_3D('',#524925,#430895,#430896); #354565=AXIS2_PLACEMENT_3D('',#524926,#430897,#430898); #354566=AXIS2_PLACEMENT_3D('',#524928,#430899,#430900); #354567=AXIS2_PLACEMENT_3D('',#524931,#430902,#430903); #354568=AXIS2_PLACEMENT_3D('',#524932,#430904,#430905); #354569=AXIS2_PLACEMENT_3D('',#524934,#430906,#430907); #354570=AXIS2_PLACEMENT_3D('',#524937,#430909,#430910); #354571=AXIS2_PLACEMENT_3D('',#524938,#430911,#430912); #354572=AXIS2_PLACEMENT_3D('',#524940,#430913,#430914); #354573=AXIS2_PLACEMENT_3D('',#524943,#430916,#430917); #354574=AXIS2_PLACEMENT_3D('',#524944,#430918,#430919); #354575=AXIS2_PLACEMENT_3D('',#524946,#430920,#430921); #354576=AXIS2_PLACEMENT_3D('',#524949,#430923,#430924); #354577=AXIS2_PLACEMENT_3D('',#524950,#430925,#430926); #354578=AXIS2_PLACEMENT_3D('',#524952,#430927,#430928); #354579=AXIS2_PLACEMENT_3D('',#524955,#430930,#430931); #354580=AXIS2_PLACEMENT_3D('',#524956,#430932,#430933); #354581=AXIS2_PLACEMENT_3D('',#524958,#430934,#430935); #354582=AXIS2_PLACEMENT_3D('',#524961,#430937,#430938); #354583=AXIS2_PLACEMENT_3D('',#524962,#430939,#430940); #354584=AXIS2_PLACEMENT_3D('',#524964,#430941,#430942); #354585=AXIS2_PLACEMENT_3D('',#524967,#430944,#430945); #354586=AXIS2_PLACEMENT_3D('',#524968,#430946,#430947); #354587=AXIS2_PLACEMENT_3D('',#524970,#430948,#430949); #354588=AXIS2_PLACEMENT_3D('',#524973,#430951,#430952); #354589=AXIS2_PLACEMENT_3D('',#524974,#430953,#430954); #354590=AXIS2_PLACEMENT_3D('',#524976,#430955,#430956); #354591=AXIS2_PLACEMENT_3D('',#524979,#430958,#430959); #354592=AXIS2_PLACEMENT_3D('',#524980,#430960,#430961); #354593=AXIS2_PLACEMENT_3D('',#524982,#430962,#430963); #354594=AXIS2_PLACEMENT_3D('',#524985,#430965,#430966); #354595=AXIS2_PLACEMENT_3D('',#524986,#430967,#430968); #354596=AXIS2_PLACEMENT_3D('',#524988,#430969,#430970); #354597=AXIS2_PLACEMENT_3D('',#524991,#430972,#430973); #354598=AXIS2_PLACEMENT_3D('',#524992,#430974,#430975); #354599=AXIS2_PLACEMENT_3D('',#524994,#430976,#430977); #354600=AXIS2_PLACEMENT_3D('',#524997,#430979,#430980); #354601=AXIS2_PLACEMENT_3D('',#524998,#430981,#430982); #354602=AXIS2_PLACEMENT_3D('',#525000,#430983,#430984); #354603=AXIS2_PLACEMENT_3D('',#525003,#430986,#430987); #354604=AXIS2_PLACEMENT_3D('',#525004,#430988,#430989); #354605=AXIS2_PLACEMENT_3D('',#525006,#430990,#430991); #354606=AXIS2_PLACEMENT_3D('',#525009,#430993,#430994); #354607=AXIS2_PLACEMENT_3D('',#525010,#430995,#430996); #354608=AXIS2_PLACEMENT_3D('',#525012,#430997,#430998); #354609=AXIS2_PLACEMENT_3D('',#525015,#431000,#431001); #354610=AXIS2_PLACEMENT_3D('',#525016,#431002,#431003); #354611=AXIS2_PLACEMENT_3D('',#525018,#431004,#431005); #354612=AXIS2_PLACEMENT_3D('',#525021,#431007,#431008); #354613=AXIS2_PLACEMENT_3D('',#525022,#431009,#431010); #354614=AXIS2_PLACEMENT_3D('',#525024,#431011,#431012); #354615=AXIS2_PLACEMENT_3D('',#525027,#431014,#431015); #354616=AXIS2_PLACEMENT_3D('',#525028,#431016,#431017); #354617=AXIS2_PLACEMENT_3D('',#525030,#431018,#431019); #354618=AXIS2_PLACEMENT_3D('',#525033,#431021,#431022); #354619=AXIS2_PLACEMENT_3D('',#525034,#431023,#431024); #354620=AXIS2_PLACEMENT_3D('',#525036,#431025,#431026); #354621=AXIS2_PLACEMENT_3D('',#525039,#431028,#431029); #354622=AXIS2_PLACEMENT_3D('',#525040,#431030,#431031); #354623=AXIS2_PLACEMENT_3D('',#525042,#431032,#431033); #354624=AXIS2_PLACEMENT_3D('',#525045,#431035,#431036); #354625=AXIS2_PLACEMENT_3D('',#525046,#431037,#431038); #354626=AXIS2_PLACEMENT_3D('',#525048,#431039,#431040); #354627=AXIS2_PLACEMENT_3D('',#525051,#431042,#431043); #354628=AXIS2_PLACEMENT_3D('',#525052,#431044,#431045); #354629=AXIS2_PLACEMENT_3D('',#525054,#431046,#431047); #354630=AXIS2_PLACEMENT_3D('',#525057,#431049,#431050); #354631=AXIS2_PLACEMENT_3D('',#525058,#431051,#431052); #354632=AXIS2_PLACEMENT_3D('',#525060,#431053,#431054); #354633=AXIS2_PLACEMENT_3D('',#525063,#431056,#431057); #354634=AXIS2_PLACEMENT_3D('',#525064,#431058,#431059); #354635=AXIS2_PLACEMENT_3D('',#525066,#431060,#431061); #354636=AXIS2_PLACEMENT_3D('',#525069,#431063,#431064); #354637=AXIS2_PLACEMENT_3D('',#525070,#431065,#431066); #354638=AXIS2_PLACEMENT_3D('',#525072,#431067,#431068); #354639=AXIS2_PLACEMENT_3D('',#525075,#431070,#431071); #354640=AXIS2_PLACEMENT_3D('',#525076,#431072,#431073); #354641=AXIS2_PLACEMENT_3D('',#525078,#431074,#431075); #354642=AXIS2_PLACEMENT_3D('',#525081,#431077,#431078); #354643=AXIS2_PLACEMENT_3D('',#525082,#431079,#431080); #354644=AXIS2_PLACEMENT_3D('',#525084,#431081,#431082); #354645=AXIS2_PLACEMENT_3D('',#525087,#431084,#431085); #354646=AXIS2_PLACEMENT_3D('',#525088,#431086,#431087); #354647=AXIS2_PLACEMENT_3D('',#525090,#431088,#431089); #354648=AXIS2_PLACEMENT_3D('',#525093,#431091,#431092); #354649=AXIS2_PLACEMENT_3D('',#525094,#431093,#431094); #354650=AXIS2_PLACEMENT_3D('',#525096,#431095,#431096); #354651=AXIS2_PLACEMENT_3D('',#525099,#431098,#431099); #354652=AXIS2_PLACEMENT_3D('',#525100,#431100,#431101); #354653=AXIS2_PLACEMENT_3D('',#525102,#431102,#431103); #354654=AXIS2_PLACEMENT_3D('',#525105,#431105,#431106); #354655=AXIS2_PLACEMENT_3D('',#525106,#431107,#431108); #354656=AXIS2_PLACEMENT_3D('',#525108,#431109,#431110); #354657=AXIS2_PLACEMENT_3D('',#525111,#431112,#431113); #354658=AXIS2_PLACEMENT_3D('',#525112,#431114,#431115); #354659=AXIS2_PLACEMENT_3D('',#525114,#431116,#431117); #354660=AXIS2_PLACEMENT_3D('',#525117,#431119,#431120); #354661=AXIS2_PLACEMENT_3D('',#525118,#431121,#431122); #354662=AXIS2_PLACEMENT_3D('',#525120,#431123,#431124); #354663=AXIS2_PLACEMENT_3D('',#525123,#431126,#431127); #354664=AXIS2_PLACEMENT_3D('',#525124,#431128,#431129); #354665=AXIS2_PLACEMENT_3D('',#525126,#431130,#431131); #354666=AXIS2_PLACEMENT_3D('',#525129,#431133,#431134); #354667=AXIS2_PLACEMENT_3D('',#525130,#431135,#431136); #354668=AXIS2_PLACEMENT_3D('',#525132,#431137,#431138); #354669=AXIS2_PLACEMENT_3D('',#525135,#431140,#431141); #354670=AXIS2_PLACEMENT_3D('',#525136,#431142,#431143); #354671=AXIS2_PLACEMENT_3D('',#525138,#431144,#431145); #354672=AXIS2_PLACEMENT_3D('',#525141,#431147,#431148); #354673=AXIS2_PLACEMENT_3D('',#525142,#431149,#431150); #354674=AXIS2_PLACEMENT_3D('',#525144,#431151,#431152); #354675=AXIS2_PLACEMENT_3D('',#525147,#431154,#431155); #354676=AXIS2_PLACEMENT_3D('',#525148,#431156,#431157); #354677=AXIS2_PLACEMENT_3D('',#525150,#431158,#431159); #354678=AXIS2_PLACEMENT_3D('',#525153,#431161,#431162); #354679=AXIS2_PLACEMENT_3D('',#525154,#431163,#431164); #354680=AXIS2_PLACEMENT_3D('',#525156,#431165,#431166); #354681=AXIS2_PLACEMENT_3D('',#525159,#431168,#431169); #354682=AXIS2_PLACEMENT_3D('',#525160,#431170,#431171); #354683=AXIS2_PLACEMENT_3D('',#525162,#431172,#431173); #354684=AXIS2_PLACEMENT_3D('',#525165,#431175,#431176); #354685=AXIS2_PLACEMENT_3D('',#525166,#431177,#431178); #354686=AXIS2_PLACEMENT_3D('',#525168,#431179,#431180); #354687=AXIS2_PLACEMENT_3D('',#525171,#431182,#431183); #354688=AXIS2_PLACEMENT_3D('',#525172,#431184,#431185); #354689=AXIS2_PLACEMENT_3D('',#525174,#431186,#431187); #354690=AXIS2_PLACEMENT_3D('',#525177,#431189,#431190); #354691=AXIS2_PLACEMENT_3D('',#525178,#431191,#431192); #354692=AXIS2_PLACEMENT_3D('',#525180,#431193,#431194); #354693=AXIS2_PLACEMENT_3D('',#525183,#431196,#431197); #354694=AXIS2_PLACEMENT_3D('',#525184,#431198,#431199); #354695=AXIS2_PLACEMENT_3D('',#525186,#431200,#431201); #354696=AXIS2_PLACEMENT_3D('',#525189,#431203,#431204); #354697=AXIS2_PLACEMENT_3D('',#525190,#431205,#431206); #354698=AXIS2_PLACEMENT_3D('',#525192,#431207,#431208); #354699=AXIS2_PLACEMENT_3D('',#525195,#431210,#431211); #354700=AXIS2_PLACEMENT_3D('',#525196,#431212,#431213); #354701=AXIS2_PLACEMENT_3D('',#525198,#431214,#431215); #354702=AXIS2_PLACEMENT_3D('',#525201,#431217,#431218); #354703=AXIS2_PLACEMENT_3D('',#525202,#431219,#431220); #354704=AXIS2_PLACEMENT_3D('',#525204,#431221,#431222); #354705=AXIS2_PLACEMENT_3D('',#525207,#431224,#431225); #354706=AXIS2_PLACEMENT_3D('',#525208,#431226,#431227); #354707=AXIS2_PLACEMENT_3D('',#525210,#431228,#431229); #354708=AXIS2_PLACEMENT_3D('',#525213,#431231,#431232); #354709=AXIS2_PLACEMENT_3D('',#525214,#431233,#431234); #354710=AXIS2_PLACEMENT_3D('',#525216,#431235,#431236); #354711=AXIS2_PLACEMENT_3D('',#525219,#431238,#431239); #354712=AXIS2_PLACEMENT_3D('',#525220,#431240,#431241); #354713=AXIS2_PLACEMENT_3D('',#525222,#431242,#431243); #354714=AXIS2_PLACEMENT_3D('',#525225,#431245,#431246); #354715=AXIS2_PLACEMENT_3D('',#525226,#431247,#431248); #354716=AXIS2_PLACEMENT_3D('',#525228,#431249,#431250); #354717=AXIS2_PLACEMENT_3D('',#525231,#431252,#431253); #354718=AXIS2_PLACEMENT_3D('',#525232,#431254,#431255); #354719=AXIS2_PLACEMENT_3D('',#525234,#431256,#431257); #354720=AXIS2_PLACEMENT_3D('',#525237,#431259,#431260); #354721=AXIS2_PLACEMENT_3D('',#525238,#431261,#431262); #354722=AXIS2_PLACEMENT_3D('',#525240,#431263,#431264); #354723=AXIS2_PLACEMENT_3D('',#525243,#431266,#431267); #354724=AXIS2_PLACEMENT_3D('',#525244,#431268,#431269); #354725=AXIS2_PLACEMENT_3D('',#525246,#431270,#431271); #354726=AXIS2_PLACEMENT_3D('',#525249,#431273,#431274); #354727=AXIS2_PLACEMENT_3D('',#525250,#431275,#431276); #354728=AXIS2_PLACEMENT_3D('',#525252,#431277,#431278); #354729=AXIS2_PLACEMENT_3D('',#525255,#431280,#431281); #354730=AXIS2_PLACEMENT_3D('',#525256,#431282,#431283); #354731=AXIS2_PLACEMENT_3D('',#525258,#431284,#431285); #354732=AXIS2_PLACEMENT_3D('',#525261,#431287,#431288); #354733=AXIS2_PLACEMENT_3D('',#525262,#431289,#431290); #354734=AXIS2_PLACEMENT_3D('',#525264,#431291,#431292); #354735=AXIS2_PLACEMENT_3D('',#525267,#431294,#431295); #354736=AXIS2_PLACEMENT_3D('',#525268,#431296,#431297); #354737=AXIS2_PLACEMENT_3D('',#525270,#431298,#431299); #354738=AXIS2_PLACEMENT_3D('',#525273,#431301,#431302); #354739=AXIS2_PLACEMENT_3D('',#525274,#431303,#431304); #354740=AXIS2_PLACEMENT_3D('',#525276,#431305,#431306); #354741=AXIS2_PLACEMENT_3D('',#525279,#431308,#431309); #354742=AXIS2_PLACEMENT_3D('',#525280,#431310,#431311); #354743=AXIS2_PLACEMENT_3D('',#525282,#431312,#431313); #354744=AXIS2_PLACEMENT_3D('',#525285,#431315,#431316); #354745=AXIS2_PLACEMENT_3D('',#525286,#431317,#431318); #354746=AXIS2_PLACEMENT_3D('',#525288,#431319,#431320); #354747=AXIS2_PLACEMENT_3D('',#525291,#431322,#431323); #354748=AXIS2_PLACEMENT_3D('',#525292,#431324,#431325); #354749=AXIS2_PLACEMENT_3D('',#525294,#431326,#431327); #354750=AXIS2_PLACEMENT_3D('',#525297,#431329,#431330); #354751=AXIS2_PLACEMENT_3D('',#525298,#431331,#431332); #354752=AXIS2_PLACEMENT_3D('',#525300,#431333,#431334); #354753=AXIS2_PLACEMENT_3D('',#525303,#431336,#431337); #354754=AXIS2_PLACEMENT_3D('',#525304,#431338,#431339); #354755=AXIS2_PLACEMENT_3D('',#525306,#431340,#431341); #354756=AXIS2_PLACEMENT_3D('',#525309,#431343,#431344); #354757=AXIS2_PLACEMENT_3D('',#525310,#431345,#431346); #354758=AXIS2_PLACEMENT_3D('',#525312,#431347,#431348); #354759=AXIS2_PLACEMENT_3D('',#525315,#431350,#431351); #354760=AXIS2_PLACEMENT_3D('',#525316,#431352,#431353); #354761=AXIS2_PLACEMENT_3D('',#525318,#431354,#431355); #354762=AXIS2_PLACEMENT_3D('',#525321,#431357,#431358); #354763=AXIS2_PLACEMENT_3D('',#525322,#431359,#431360); #354764=AXIS2_PLACEMENT_3D('',#525324,#431361,#431362); #354765=AXIS2_PLACEMENT_3D('',#525327,#431364,#431365); #354766=AXIS2_PLACEMENT_3D('',#525328,#431366,#431367); #354767=AXIS2_PLACEMENT_3D('',#525330,#431368,#431369); #354768=AXIS2_PLACEMENT_3D('',#525333,#431371,#431372); #354769=AXIS2_PLACEMENT_3D('',#525334,#431373,#431374); #354770=AXIS2_PLACEMENT_3D('',#525336,#431375,#431376); #354771=AXIS2_PLACEMENT_3D('',#525339,#431378,#431379); #354772=AXIS2_PLACEMENT_3D('',#525340,#431380,#431381); #354773=AXIS2_PLACEMENT_3D('',#525342,#431382,#431383); #354774=AXIS2_PLACEMENT_3D('',#525345,#431385,#431386); #354775=AXIS2_PLACEMENT_3D('',#525346,#431387,#431388); #354776=AXIS2_PLACEMENT_3D('',#525348,#431389,#431390); #354777=AXIS2_PLACEMENT_3D('',#525351,#431392,#431393); #354778=AXIS2_PLACEMENT_3D('',#525352,#431394,#431395); #354779=AXIS2_PLACEMENT_3D('',#525354,#431396,#431397); #354780=AXIS2_PLACEMENT_3D('',#525357,#431399,#431400); #354781=AXIS2_PLACEMENT_3D('',#525358,#431401,#431402); #354782=AXIS2_PLACEMENT_3D('',#525360,#431403,#431404); #354783=AXIS2_PLACEMENT_3D('',#525363,#431406,#431407); #354784=AXIS2_PLACEMENT_3D('',#525364,#431408,#431409); #354785=AXIS2_PLACEMENT_3D('',#525366,#431410,#431411); #354786=AXIS2_PLACEMENT_3D('',#525369,#431413,#431414); #354787=AXIS2_PLACEMENT_3D('',#525370,#431415,#431416); #354788=AXIS2_PLACEMENT_3D('',#525372,#431417,#431418); #354789=AXIS2_PLACEMENT_3D('',#525375,#431420,#431421); #354790=AXIS2_PLACEMENT_3D('',#525376,#431422,#431423); #354791=AXIS2_PLACEMENT_3D('',#525378,#431424,#431425); #354792=AXIS2_PLACEMENT_3D('',#525381,#431427,#431428); #354793=AXIS2_PLACEMENT_3D('',#525382,#431429,#431430); #354794=AXIS2_PLACEMENT_3D('',#525384,#431431,#431432); #354795=AXIS2_PLACEMENT_3D('',#525387,#431434,#431435); #354796=AXIS2_PLACEMENT_3D('',#525388,#431436,#431437); #354797=AXIS2_PLACEMENT_3D('',#525390,#431438,#431439); #354798=AXIS2_PLACEMENT_3D('',#525393,#431441,#431442); #354799=AXIS2_PLACEMENT_3D('',#525394,#431443,#431444); #354800=AXIS2_PLACEMENT_3D('',#525396,#431445,#431446); #354801=AXIS2_PLACEMENT_3D('',#525399,#431448,#431449); #354802=AXIS2_PLACEMENT_3D('',#525400,#431450,#431451); #354803=AXIS2_PLACEMENT_3D('',#525402,#431452,#431453); #354804=AXIS2_PLACEMENT_3D('',#525405,#431455,#431456); #354805=AXIS2_PLACEMENT_3D('',#525406,#431457,#431458); #354806=AXIS2_PLACEMENT_3D('',#525408,#431459,#431460); #354807=AXIS2_PLACEMENT_3D('',#525411,#431462,#431463); #354808=AXIS2_PLACEMENT_3D('',#525412,#431464,#431465); #354809=AXIS2_PLACEMENT_3D('',#525414,#431466,#431467); #354810=AXIS2_PLACEMENT_3D('',#525417,#431469,#431470); #354811=AXIS2_PLACEMENT_3D('',#525418,#431471,#431472); #354812=AXIS2_PLACEMENT_3D('',#525420,#431473,#431474); #354813=AXIS2_PLACEMENT_3D('',#525423,#431476,#431477); #354814=AXIS2_PLACEMENT_3D('',#525424,#431478,#431479); #354815=AXIS2_PLACEMENT_3D('',#525426,#431480,#431481); #354816=AXIS2_PLACEMENT_3D('',#525429,#431483,#431484); #354817=AXIS2_PLACEMENT_3D('',#525430,#431485,#431486); #354818=AXIS2_PLACEMENT_3D('',#525431,#431487,#431488); #354819=AXIS2_PLACEMENT_3D('',#525432,#431489,#431490); #354820=AXIS2_PLACEMENT_3D('',#525433,#431491,#431492); #354821=AXIS2_PLACEMENT_3D('',#525435,#431493,#431494); #354822=AXIS2_PLACEMENT_3D('',#525438,#431496,#431497); #354823=AXIS2_PLACEMENT_3D('',#525439,#431498,#431499); #354824=AXIS2_PLACEMENT_3D('',#525441,#431500,#431501); #354825=AXIS2_PLACEMENT_3D('',#525444,#431503,#431504); #354826=AXIS2_PLACEMENT_3D('',#525445,#431505,#431506); #354827=AXIS2_PLACEMENT_3D('',#525447,#431507,#431508); #354828=AXIS2_PLACEMENT_3D('',#525450,#431510,#431511); #354829=AXIS2_PLACEMENT_3D('',#525451,#431512,#431513); #354830=AXIS2_PLACEMENT_3D('',#525453,#431514,#431515); #354831=AXIS2_PLACEMENT_3D('',#525456,#431517,#431518); #354832=AXIS2_PLACEMENT_3D('',#525457,#431519,#431520); #354833=AXIS2_PLACEMENT_3D('',#525459,#431521,#431522); #354834=AXIS2_PLACEMENT_3D('',#525462,#431524,#431525); #354835=AXIS2_PLACEMENT_3D('',#525463,#431526,#431527); #354836=AXIS2_PLACEMENT_3D('',#525465,#431528,#431529); #354837=AXIS2_PLACEMENT_3D('',#525468,#431531,#431532); #354838=AXIS2_PLACEMENT_3D('',#525469,#431533,#431534); #354839=AXIS2_PLACEMENT_3D('',#525471,#431535,#431536); #354840=AXIS2_PLACEMENT_3D('',#525474,#431538,#431539); #354841=AXIS2_PLACEMENT_3D('',#525475,#431540,#431541); #354842=AXIS2_PLACEMENT_3D('',#525477,#431542,#431543); #354843=AXIS2_PLACEMENT_3D('',#525480,#431545,#431546); #354844=AXIS2_PLACEMENT_3D('',#525481,#431547,#431548); #354845=AXIS2_PLACEMENT_3D('',#525483,#431549,#431550); #354846=AXIS2_PLACEMENT_3D('',#525486,#431552,#431553); #354847=AXIS2_PLACEMENT_3D('',#525487,#431554,#431555); #354848=AXIS2_PLACEMENT_3D('',#525489,#431556,#431557); #354849=AXIS2_PLACEMENT_3D('',#525492,#431559,#431560); #354850=AXIS2_PLACEMENT_3D('',#525493,#431561,#431562); #354851=AXIS2_PLACEMENT_3D('',#525495,#431563,#431564); #354852=AXIS2_PLACEMENT_3D('',#525498,#431566,#431567); #354853=AXIS2_PLACEMENT_3D('',#525499,#431568,#431569); #354854=AXIS2_PLACEMENT_3D('',#525501,#431570,#431571); #354855=AXIS2_PLACEMENT_3D('',#525504,#431573,#431574); #354856=AXIS2_PLACEMENT_3D('',#525505,#431575,#431576); #354857=AXIS2_PLACEMENT_3D('',#525507,#431577,#431578); #354858=AXIS2_PLACEMENT_3D('',#525510,#431580,#431581); #354859=AXIS2_PLACEMENT_3D('',#525511,#431582,#431583); #354860=AXIS2_PLACEMENT_3D('',#525513,#431584,#431585); #354861=AXIS2_PLACEMENT_3D('',#525516,#431587,#431588); #354862=AXIS2_PLACEMENT_3D('',#525517,#431589,#431590); #354863=AXIS2_PLACEMENT_3D('',#525519,#431591,#431592); #354864=AXIS2_PLACEMENT_3D('',#525522,#431594,#431595); #354865=AXIS2_PLACEMENT_3D('',#525523,#431596,#431597); #354866=AXIS2_PLACEMENT_3D('',#525525,#431598,#431599); #354867=AXIS2_PLACEMENT_3D('',#525528,#431601,#431602); #354868=AXIS2_PLACEMENT_3D('',#525529,#431603,#431604); #354869=AXIS2_PLACEMENT_3D('',#525531,#431605,#431606); #354870=AXIS2_PLACEMENT_3D('',#525534,#431608,#431609); #354871=AXIS2_PLACEMENT_3D('',#525535,#431610,#431611); #354872=AXIS2_PLACEMENT_3D('',#525537,#431612,#431613); #354873=AXIS2_PLACEMENT_3D('',#525540,#431615,#431616); #354874=AXIS2_PLACEMENT_3D('',#525541,#431617,#431618); #354875=AXIS2_PLACEMENT_3D('',#525543,#431619,#431620); #354876=AXIS2_PLACEMENT_3D('',#525546,#431622,#431623); #354877=AXIS2_PLACEMENT_3D('',#525547,#431624,#431625); #354878=AXIS2_PLACEMENT_3D('',#525549,#431626,#431627); #354879=AXIS2_PLACEMENT_3D('',#525552,#431629,#431630); #354880=AXIS2_PLACEMENT_3D('',#525553,#431631,#431632); #354881=AXIS2_PLACEMENT_3D('',#525555,#431633,#431634); #354882=AXIS2_PLACEMENT_3D('',#525558,#431636,#431637); #354883=AXIS2_PLACEMENT_3D('',#525559,#431638,#431639); #354884=AXIS2_PLACEMENT_3D('',#525561,#431640,#431641); #354885=AXIS2_PLACEMENT_3D('',#525564,#431643,#431644); #354886=AXIS2_PLACEMENT_3D('',#525565,#431645,#431646); #354887=AXIS2_PLACEMENT_3D('',#525567,#431647,#431648); #354888=AXIS2_PLACEMENT_3D('',#525570,#431650,#431651); #354889=AXIS2_PLACEMENT_3D('',#525571,#431652,#431653); #354890=AXIS2_PLACEMENT_3D('',#525573,#431654,#431655); #354891=AXIS2_PLACEMENT_3D('',#525576,#431657,#431658); #354892=AXIS2_PLACEMENT_3D('',#525577,#431659,#431660); #354893=AXIS2_PLACEMENT_3D('',#525579,#431661,#431662); #354894=AXIS2_PLACEMENT_3D('',#525582,#431664,#431665); #354895=AXIS2_PLACEMENT_3D('',#525583,#431666,#431667); #354896=AXIS2_PLACEMENT_3D('',#525585,#431668,#431669); #354897=AXIS2_PLACEMENT_3D('',#525588,#431671,#431672); #354898=AXIS2_PLACEMENT_3D('',#525589,#431673,#431674); #354899=AXIS2_PLACEMENT_3D('',#525591,#431675,#431676); #354900=AXIS2_PLACEMENT_3D('',#525594,#431678,#431679); #354901=AXIS2_PLACEMENT_3D('',#525595,#431680,#431681); #354902=AXIS2_PLACEMENT_3D('',#525597,#431682,#431683); #354903=AXIS2_PLACEMENT_3D('',#525600,#431685,#431686); #354904=AXIS2_PLACEMENT_3D('',#525601,#431687,#431688); #354905=AXIS2_PLACEMENT_3D('',#525603,#431689,#431690); #354906=AXIS2_PLACEMENT_3D('',#525606,#431692,#431693); #354907=AXIS2_PLACEMENT_3D('',#525607,#431694,#431695); #354908=AXIS2_PLACEMENT_3D('',#525609,#431696,#431697); #354909=AXIS2_PLACEMENT_3D('',#525612,#431699,#431700); #354910=AXIS2_PLACEMENT_3D('',#525613,#431701,#431702); #354911=AXIS2_PLACEMENT_3D('',#525615,#431703,#431704); #354912=AXIS2_PLACEMENT_3D('',#525618,#431706,#431707); #354913=AXIS2_PLACEMENT_3D('',#525619,#431708,#431709); #354914=AXIS2_PLACEMENT_3D('',#525621,#431710,#431711); #354915=AXIS2_PLACEMENT_3D('',#525624,#431713,#431714); #354916=AXIS2_PLACEMENT_3D('',#525625,#431715,#431716); #354917=AXIS2_PLACEMENT_3D('',#525627,#431717,#431718); #354918=AXIS2_PLACEMENT_3D('',#525630,#431720,#431721); #354919=AXIS2_PLACEMENT_3D('',#525631,#431722,#431723); #354920=AXIS2_PLACEMENT_3D('',#525633,#431724,#431725); #354921=AXIS2_PLACEMENT_3D('',#525636,#431727,#431728); #354922=AXIS2_PLACEMENT_3D('',#525637,#431729,#431730); #354923=AXIS2_PLACEMENT_3D('',#525639,#431731,#431732); #354924=AXIS2_PLACEMENT_3D('',#525642,#431734,#431735); #354925=AXIS2_PLACEMENT_3D('',#525643,#431736,#431737); #354926=AXIS2_PLACEMENT_3D('',#525645,#431738,#431739); #354927=AXIS2_PLACEMENT_3D('',#525648,#431741,#431742); #354928=AXIS2_PLACEMENT_3D('',#525649,#431743,#431744); #354929=AXIS2_PLACEMENT_3D('',#525651,#431745,#431746); #354930=AXIS2_PLACEMENT_3D('',#525654,#431748,#431749); #354931=AXIS2_PLACEMENT_3D('',#525655,#431750,#431751); #354932=AXIS2_PLACEMENT_3D('',#525657,#431752,#431753); #354933=AXIS2_PLACEMENT_3D('',#525660,#431755,#431756); #354934=AXIS2_PLACEMENT_3D('',#525661,#431757,#431758); #354935=AXIS2_PLACEMENT_3D('',#525663,#431759,#431760); #354936=AXIS2_PLACEMENT_3D('',#525666,#431762,#431763); #354937=AXIS2_PLACEMENT_3D('',#525667,#431764,#431765); #354938=AXIS2_PLACEMENT_3D('',#525676,#431770,#431771); #354939=AXIS2_PLACEMENT_3D('',#525678,#431772,#431773); #354940=AXIS2_PLACEMENT_3D('',#525680,#431774,#431775); #354941=AXIS2_PLACEMENT_3D('',#525682,#431777,#431778); #354942=AXIS2_PLACEMENT_3D('',#525688,#431782,#431783); #354943=AXIS2_PLACEMENT_3D('',#525689,#431784,#431785); #354944=AXIS2_PLACEMENT_3D('',#525690,#431786,#431787); #354945=AXIS2_PLACEMENT_3D('',#525691,#431788,#431789); #354946=AXIS2_PLACEMENT_3D('',#525693,#431790,#431791); #354947=AXIS2_PLACEMENT_3D('',#525696,#431793,#431794); #354948=AXIS2_PLACEMENT_3D('',#525697,#431795,#431796); #354949=AXIS2_PLACEMENT_3D('',#525706,#431801,#431802); #354950=AXIS2_PLACEMENT_3D('',#525708,#431803,#431804); #354951=AXIS2_PLACEMENT_3D('',#525710,#431805,#431806); #354952=AXIS2_PLACEMENT_3D('',#525712,#431808,#431809); #354953=AXIS2_PLACEMENT_3D('',#525718,#431813,#431814); #354954=AXIS2_PLACEMENT_3D('',#525719,#431815,#431816); #354955=AXIS2_PLACEMENT_3D('',#525720,#431817,#431818); #354956=AXIS2_PLACEMENT_3D('',#525721,#431819,#431820); #354957=AXIS2_PLACEMENT_3D('',#525723,#431821,#431822); #354958=AXIS2_PLACEMENT_3D('',#525726,#431824,#431825); #354959=AXIS2_PLACEMENT_3D('',#525727,#431826,#431827); #354960=AXIS2_PLACEMENT_3D('',#525729,#431828,#431829); #354961=AXIS2_PLACEMENT_3D('',#525732,#431831,#431832); #354962=AXIS2_PLACEMENT_3D('',#525733,#431833,#431834); #354963=AXIS2_PLACEMENT_3D('',#525735,#431835,#431836); #354964=AXIS2_PLACEMENT_3D('',#525738,#431838,#431839); #354965=AXIS2_PLACEMENT_3D('',#525739,#431840,#431841); #354966=AXIS2_PLACEMENT_3D('',#525741,#431842,#431843); #354967=AXIS2_PLACEMENT_3D('',#525744,#431845,#431846); #354968=AXIS2_PLACEMENT_3D('',#525745,#431847,#431848); #354969=AXIS2_PLACEMENT_3D('',#525747,#431849,#431850); #354970=AXIS2_PLACEMENT_3D('',#525750,#431852,#431853); #354971=AXIS2_PLACEMENT_3D('',#525751,#431854,#431855); #354972=AXIS2_PLACEMENT_3D('',#525753,#431856,#431857); #354973=AXIS2_PLACEMENT_3D('',#525756,#431859,#431860); #354974=AXIS2_PLACEMENT_3D('',#525757,#431861,#431862); #354975=AXIS2_PLACEMENT_3D('',#525759,#431863,#431864); #354976=AXIS2_PLACEMENT_3D('',#525762,#431866,#431867); #354977=AXIS2_PLACEMENT_3D('',#525763,#431868,#431869); #354978=AXIS2_PLACEMENT_3D('',#525765,#431870,#431871); #354979=AXIS2_PLACEMENT_3D('',#525768,#431873,#431874); #354980=AXIS2_PLACEMENT_3D('',#525769,#431875,#431876); #354981=AXIS2_PLACEMENT_3D('',#525771,#431877,#431878); #354982=AXIS2_PLACEMENT_3D('',#525774,#431880,#431881); #354983=AXIS2_PLACEMENT_3D('',#525775,#431882,#431883); #354984=AXIS2_PLACEMENT_3D('',#525777,#431884,#431885); #354985=AXIS2_PLACEMENT_3D('',#525780,#431887,#431888); #354986=AXIS2_PLACEMENT_3D('',#525781,#431889,#431890); #354987=AXIS2_PLACEMENT_3D('',#525783,#431891,#431892); #354988=AXIS2_PLACEMENT_3D('',#525786,#431894,#431895); #354989=AXIS2_PLACEMENT_3D('',#525787,#431896,#431897); #354990=AXIS2_PLACEMENT_3D('',#525796,#431902,#431903); #354991=AXIS2_PLACEMENT_3D('',#525798,#431904,#431905); #354992=AXIS2_PLACEMENT_3D('',#525800,#431906,#431907); #354993=AXIS2_PLACEMENT_3D('',#525802,#431909,#431910); #354994=AXIS2_PLACEMENT_3D('',#525808,#431914,#431915); #354995=AXIS2_PLACEMENT_3D('',#525809,#431916,#431917); #354996=AXIS2_PLACEMENT_3D('',#525810,#431918,#431919); #354997=AXIS2_PLACEMENT_3D('',#525811,#431920,#431921); #354998=AXIS2_PLACEMENT_3D('',#525813,#431922,#431923); #354999=AXIS2_PLACEMENT_3D('',#525816,#431925,#431926); #355000=AXIS2_PLACEMENT_3D('',#525817,#431927,#431928); #355001=AXIS2_PLACEMENT_3D('',#525826,#431933,#431934); #355002=AXIS2_PLACEMENT_3D('',#525828,#431935,#431936); #355003=AXIS2_PLACEMENT_3D('',#525830,#431937,#431938); #355004=AXIS2_PLACEMENT_3D('',#525832,#431940,#431941); #355005=AXIS2_PLACEMENT_3D('',#525838,#431945,#431946); #355006=AXIS2_PLACEMENT_3D('',#525839,#431947,#431948); #355007=AXIS2_PLACEMENT_3D('',#525840,#431949,#431950); #355008=AXIS2_PLACEMENT_3D('',#525841,#431951,#431952); #355009=AXIS2_PLACEMENT_3D('',#525843,#431953,#431954); #355010=AXIS2_PLACEMENT_3D('',#525846,#431956,#431957); #355011=AXIS2_PLACEMENT_3D('',#525847,#431958,#431959); #355012=AXIS2_PLACEMENT_3D('',#525856,#431964,#431965); #355013=AXIS2_PLACEMENT_3D('',#525858,#431966,#431967); #355014=AXIS2_PLACEMENT_3D('',#525860,#431968,#431969); #355015=AXIS2_PLACEMENT_3D('',#525862,#431971,#431972); #355016=AXIS2_PLACEMENT_3D('',#525868,#431976,#431977); #355017=AXIS2_PLACEMENT_3D('',#525869,#431978,#431979); #355018=AXIS2_PLACEMENT_3D('',#525870,#431980,#431981); #355019=AXIS2_PLACEMENT_3D('',#525871,#431982,#431983); #355020=AXIS2_PLACEMENT_3D('',#525873,#431984,#431985); #355021=AXIS2_PLACEMENT_3D('',#525876,#431987,#431988); #355022=AXIS2_PLACEMENT_3D('',#525877,#431989,#431990); #355023=AXIS2_PLACEMENT_3D('',#525886,#431995,#431996); #355024=AXIS2_PLACEMENT_3D('',#525888,#431997,#431998); #355025=AXIS2_PLACEMENT_3D('',#525890,#431999,#432000); #355026=AXIS2_PLACEMENT_3D('',#525892,#432002,#432003); #355027=AXIS2_PLACEMENT_3D('',#525898,#432007,#432008); #355028=AXIS2_PLACEMENT_3D('',#525899,#432009,#432010); #355029=AXIS2_PLACEMENT_3D('',#525900,#432011,#432012); #355030=AXIS2_PLACEMENT_3D('',#525901,#432013,#432014); #355031=AXIS2_PLACEMENT_3D('',#525903,#432015,#432016); #355032=AXIS2_PLACEMENT_3D('',#525906,#432018,#432019); #355033=AXIS2_PLACEMENT_3D('',#525907,#432020,#432021); #355034=AXIS2_PLACEMENT_3D('',#525909,#432022,#432023); #355035=AXIS2_PLACEMENT_3D('',#525912,#432025,#432026); #355036=AXIS2_PLACEMENT_3D('',#525913,#432027,#432028); #355037=AXIS2_PLACEMENT_3D('',#525915,#432029,#432030); #355038=AXIS2_PLACEMENT_3D('',#525918,#432032,#432033); #355039=AXIS2_PLACEMENT_3D('',#525919,#432034,#432035); #355040=AXIS2_PLACEMENT_3D('',#525921,#432036,#432037); #355041=AXIS2_PLACEMENT_3D('',#525924,#432039,#432040); #355042=AXIS2_PLACEMENT_3D('',#525925,#432041,#432042); #355043=AXIS2_PLACEMENT_3D('',#525927,#432043,#432044); #355044=AXIS2_PLACEMENT_3D('',#525930,#432046,#432047); #355045=AXIS2_PLACEMENT_3D('',#525931,#432048,#432049); #355046=AXIS2_PLACEMENT_3D('',#525933,#432050,#432051); #355047=AXIS2_PLACEMENT_3D('',#525936,#432053,#432054); #355048=AXIS2_PLACEMENT_3D('',#525937,#432055,#432056); #355049=AXIS2_PLACEMENT_3D('',#525939,#432057,#432058); #355050=AXIS2_PLACEMENT_3D('',#525942,#432060,#432061); #355051=AXIS2_PLACEMENT_3D('',#525943,#432062,#432063); #355052=AXIS2_PLACEMENT_3D('',#525945,#432064,#432065); #355053=AXIS2_PLACEMENT_3D('',#525948,#432067,#432068); #355054=AXIS2_PLACEMENT_3D('',#525949,#432069,#432070); #355055=AXIS2_PLACEMENT_3D('',#525951,#432071,#432072); #355056=AXIS2_PLACEMENT_3D('',#525954,#432074,#432075); #355057=AXIS2_PLACEMENT_3D('',#525955,#432076,#432077); #355058=AXIS2_PLACEMENT_3D('',#525957,#432078,#432079); #355059=AXIS2_PLACEMENT_3D('',#525960,#432081,#432082); #355060=AXIS2_PLACEMENT_3D('',#525961,#432083,#432084); #355061=AXIS2_PLACEMENT_3D('',#525963,#432085,#432086); #355062=AXIS2_PLACEMENT_3D('',#525966,#432088,#432089); #355063=AXIS2_PLACEMENT_3D('',#525967,#432090,#432091); #355064=AXIS2_PLACEMENT_3D('',#525969,#432092,#432093); #355065=AXIS2_PLACEMENT_3D('',#525972,#432095,#432096); #355066=AXIS2_PLACEMENT_3D('',#525973,#432097,#432098); #355067=AXIS2_PLACEMENT_3D('',#525975,#432099,#432100); #355068=AXIS2_PLACEMENT_3D('',#525978,#432102,#432103); #355069=AXIS2_PLACEMENT_3D('',#525979,#432104,#432105); #355070=AXIS2_PLACEMENT_3D('',#525981,#432106,#432107); #355071=AXIS2_PLACEMENT_3D('',#525984,#432109,#432110); #355072=AXIS2_PLACEMENT_3D('',#525985,#432111,#432112); #355073=AXIS2_PLACEMENT_3D('',#525987,#432113,#432114); #355074=AXIS2_PLACEMENT_3D('',#525990,#432116,#432117); #355075=AXIS2_PLACEMENT_3D('',#525991,#432118,#432119); #355076=AXIS2_PLACEMENT_3D('',#525993,#432120,#432121); #355077=AXIS2_PLACEMENT_3D('',#525996,#432123,#432124); #355078=AXIS2_PLACEMENT_3D('',#525997,#432125,#432126); #355079=AXIS2_PLACEMENT_3D('',#525999,#432127,#432128); #355080=AXIS2_PLACEMENT_3D('',#526002,#432130,#432131); #355081=AXIS2_PLACEMENT_3D('',#526003,#432132,#432133); #355082=AXIS2_PLACEMENT_3D('',#526005,#432134,#432135); #355083=AXIS2_PLACEMENT_3D('',#526008,#432137,#432138); #355084=AXIS2_PLACEMENT_3D('',#526009,#432139,#432140); #355085=AXIS2_PLACEMENT_3D('',#526011,#432141,#432142); #355086=AXIS2_PLACEMENT_3D('',#526014,#432144,#432145); #355087=AXIS2_PLACEMENT_3D('',#526015,#432146,#432147); #355088=AXIS2_PLACEMENT_3D('',#526017,#432148,#432149); #355089=AXIS2_PLACEMENT_3D('',#526020,#432151,#432152); #355090=AXIS2_PLACEMENT_3D('',#526021,#432153,#432154); #355091=AXIS2_PLACEMENT_3D('',#526023,#432155,#432156); #355092=AXIS2_PLACEMENT_3D('',#526026,#432158,#432159); #355093=AXIS2_PLACEMENT_3D('',#526027,#432160,#432161); #355094=AXIS2_PLACEMENT_3D('',#526029,#432162,#432163); #355095=AXIS2_PLACEMENT_3D('',#526032,#432165,#432166); #355096=AXIS2_PLACEMENT_3D('',#526033,#432167,#432168); #355097=AXIS2_PLACEMENT_3D('',#526035,#432169,#432170); #355098=AXIS2_PLACEMENT_3D('',#526038,#432172,#432173); #355099=AXIS2_PLACEMENT_3D('',#526039,#432174,#432175); #355100=AXIS2_PLACEMENT_3D('',#526041,#432176,#432177); #355101=AXIS2_PLACEMENT_3D('',#526044,#432179,#432180); #355102=AXIS2_PLACEMENT_3D('',#526045,#432181,#432182); #355103=AXIS2_PLACEMENT_3D('',#526047,#432183,#432184); #355104=AXIS2_PLACEMENT_3D('',#526050,#432186,#432187); #355105=AXIS2_PLACEMENT_3D('',#526051,#432188,#432189); #355106=AXIS2_PLACEMENT_3D('',#526053,#432190,#432191); #355107=AXIS2_PLACEMENT_3D('',#526056,#432193,#432194); #355108=AXIS2_PLACEMENT_3D('',#526057,#432195,#432196); #355109=AXIS2_PLACEMENT_3D('',#526059,#432197,#432198); #355110=AXIS2_PLACEMENT_3D('',#526062,#432200,#432201); #355111=AXIS2_PLACEMENT_3D('',#526063,#432202,#432203); #355112=AXIS2_PLACEMENT_3D('',#526065,#432204,#432205); #355113=AXIS2_PLACEMENT_3D('',#526068,#432207,#432208); #355114=AXIS2_PLACEMENT_3D('',#526069,#432209,#432210); #355115=AXIS2_PLACEMENT_3D('',#526071,#432211,#432212); #355116=AXIS2_PLACEMENT_3D('',#526074,#432214,#432215); #355117=AXIS2_PLACEMENT_3D('',#526075,#432216,#432217); #355118=AXIS2_PLACEMENT_3D('',#526077,#432218,#432219); #355119=AXIS2_PLACEMENT_3D('',#526080,#432221,#432222); #355120=AXIS2_PLACEMENT_3D('',#526081,#432223,#432224); #355121=AXIS2_PLACEMENT_3D('',#526083,#432225,#432226); #355122=AXIS2_PLACEMENT_3D('',#526086,#432228,#432229); #355123=AXIS2_PLACEMENT_3D('',#526087,#432230,#432231); #355124=AXIS2_PLACEMENT_3D('',#526089,#432232,#432233); #355125=AXIS2_PLACEMENT_3D('',#526092,#432235,#432236); #355126=AXIS2_PLACEMENT_3D('',#526093,#432237,#432238); #355127=AXIS2_PLACEMENT_3D('',#526095,#432239,#432240); #355128=AXIS2_PLACEMENT_3D('',#526098,#432242,#432243); #355129=AXIS2_PLACEMENT_3D('',#526099,#432244,#432245); #355130=AXIS2_PLACEMENT_3D('',#526101,#432246,#432247); #355131=AXIS2_PLACEMENT_3D('',#526104,#432249,#432250); #355132=AXIS2_PLACEMENT_3D('',#526105,#432251,#432252); #355133=AXIS2_PLACEMENT_3D('',#526107,#432253,#432254); #355134=AXIS2_PLACEMENT_3D('',#526110,#432256,#432257); #355135=AXIS2_PLACEMENT_3D('',#526111,#432258,#432259); #355136=AXIS2_PLACEMENT_3D('',#526113,#432260,#432261); #355137=AXIS2_PLACEMENT_3D('',#526116,#432263,#432264); #355138=AXIS2_PLACEMENT_3D('',#526117,#432265,#432266); #355139=AXIS2_PLACEMENT_3D('',#526119,#432267,#432268); #355140=AXIS2_PLACEMENT_3D('',#526122,#432270,#432271); #355141=AXIS2_PLACEMENT_3D('',#526123,#432272,#432273); #355142=AXIS2_PLACEMENT_3D('',#526125,#432274,#432275); #355143=AXIS2_PLACEMENT_3D('',#526128,#432277,#432278); #355144=AXIS2_PLACEMENT_3D('',#526129,#432279,#432280); #355145=AXIS2_PLACEMENT_3D('',#526131,#432281,#432282); #355146=AXIS2_PLACEMENT_3D('',#526134,#432284,#432285); #355147=AXIS2_PLACEMENT_3D('',#526135,#432286,#432287); #355148=AXIS2_PLACEMENT_3D('',#526137,#432288,#432289); #355149=AXIS2_PLACEMENT_3D('',#526140,#432291,#432292); #355150=AXIS2_PLACEMENT_3D('',#526141,#432293,#432294); #355151=AXIS2_PLACEMENT_3D('',#526143,#432295,#432296); #355152=AXIS2_PLACEMENT_3D('',#526146,#432298,#432299); #355153=AXIS2_PLACEMENT_3D('',#526147,#432300,#432301); #355154=AXIS2_PLACEMENT_3D('',#526149,#432302,#432303); #355155=AXIS2_PLACEMENT_3D('',#526152,#432305,#432306); #355156=AXIS2_PLACEMENT_3D('',#526153,#432307,#432308); #355157=AXIS2_PLACEMENT_3D('',#526155,#432309,#432310); #355158=AXIS2_PLACEMENT_3D('',#526158,#432312,#432313); #355159=AXIS2_PLACEMENT_3D('',#526159,#432314,#432315); #355160=AXIS2_PLACEMENT_3D('',#526161,#432316,#432317); #355161=AXIS2_PLACEMENT_3D('',#526164,#432319,#432320); #355162=AXIS2_PLACEMENT_3D('',#526165,#432321,#432322); #355163=AXIS2_PLACEMENT_3D('',#526167,#432323,#432324); #355164=AXIS2_PLACEMENT_3D('',#526170,#432326,#432327); #355165=AXIS2_PLACEMENT_3D('',#526171,#432328,#432329); #355166=AXIS2_PLACEMENT_3D('',#526173,#432330,#432331); #355167=AXIS2_PLACEMENT_3D('',#526176,#432333,#432334); #355168=AXIS2_PLACEMENT_3D('',#526177,#432335,#432336); #355169=AXIS2_PLACEMENT_3D('',#526179,#432337,#432338); #355170=AXIS2_PLACEMENT_3D('',#526182,#432340,#432341); #355171=AXIS2_PLACEMENT_3D('',#526183,#432342,#432343); #355172=AXIS2_PLACEMENT_3D('',#526185,#432344,#432345); #355173=AXIS2_PLACEMENT_3D('',#526188,#432347,#432348); #355174=AXIS2_PLACEMENT_3D('',#526189,#432349,#432350); #355175=AXIS2_PLACEMENT_3D('',#526191,#432351,#432352); #355176=AXIS2_PLACEMENT_3D('',#526194,#432354,#432355); #355177=AXIS2_PLACEMENT_3D('',#526195,#432356,#432357); #355178=AXIS2_PLACEMENT_3D('',#526197,#432358,#432359); #355179=AXIS2_PLACEMENT_3D('',#526200,#432361,#432362); #355180=AXIS2_PLACEMENT_3D('',#526201,#432363,#432364); #355181=AXIS2_PLACEMENT_3D('',#526203,#432365,#432366); #355182=AXIS2_PLACEMENT_3D('',#526206,#432368,#432369); #355183=AXIS2_PLACEMENT_3D('',#526207,#432370,#432371); #355184=AXIS2_PLACEMENT_3D('',#526209,#432372,#432373); #355185=AXIS2_PLACEMENT_3D('',#526212,#432375,#432376); #355186=AXIS2_PLACEMENT_3D('',#526213,#432377,#432378); #355187=AXIS2_PLACEMENT_3D('',#526215,#432379,#432380); #355188=AXIS2_PLACEMENT_3D('',#526218,#432382,#432383); #355189=AXIS2_PLACEMENT_3D('',#526219,#432384,#432385); #355190=AXIS2_PLACEMENT_3D('',#526221,#432386,#432387); #355191=AXIS2_PLACEMENT_3D('',#526224,#432389,#432390); #355192=AXIS2_PLACEMENT_3D('',#526225,#432391,#432392); #355193=AXIS2_PLACEMENT_3D('',#526227,#432393,#432394); #355194=AXIS2_PLACEMENT_3D('',#526230,#432396,#432397); #355195=AXIS2_PLACEMENT_3D('',#526231,#432398,#432399); #355196=AXIS2_PLACEMENT_3D('',#526233,#432400,#432401); #355197=AXIS2_PLACEMENT_3D('',#526236,#432403,#432404); #355198=AXIS2_PLACEMENT_3D('',#526237,#432405,#432406); #355199=AXIS2_PLACEMENT_3D('',#526239,#432407,#432408); #355200=AXIS2_PLACEMENT_3D('',#526242,#432410,#432411); #355201=AXIS2_PLACEMENT_3D('',#526243,#432412,#432413); #355202=AXIS2_PLACEMENT_3D('',#526245,#432414,#432415); #355203=AXIS2_PLACEMENT_3D('',#526248,#432417,#432418); #355204=AXIS2_PLACEMENT_3D('',#526249,#432419,#432420); #355205=AXIS2_PLACEMENT_3D('',#526251,#432421,#432422); #355206=AXIS2_PLACEMENT_3D('',#526254,#432424,#432425); #355207=AXIS2_PLACEMENT_3D('',#526255,#432426,#432427); #355208=AXIS2_PLACEMENT_3D('',#526257,#432428,#432429); #355209=AXIS2_PLACEMENT_3D('',#526260,#432431,#432432); #355210=AXIS2_PLACEMENT_3D('',#526261,#432433,#432434); #355211=AXIS2_PLACEMENT_3D('',#526263,#432435,#432436); #355212=AXIS2_PLACEMENT_3D('',#526266,#432438,#432439); #355213=AXIS2_PLACEMENT_3D('',#526267,#432440,#432441); #355214=AXIS2_PLACEMENT_3D('',#526269,#432442,#432443); #355215=AXIS2_PLACEMENT_3D('',#526272,#432445,#432446); #355216=AXIS2_PLACEMENT_3D('',#526273,#432447,#432448); #355217=AXIS2_PLACEMENT_3D('',#526275,#432449,#432450); #355218=AXIS2_PLACEMENT_3D('',#526278,#432452,#432453); #355219=AXIS2_PLACEMENT_3D('',#526279,#432454,#432455); #355220=AXIS2_PLACEMENT_3D('',#526281,#432456,#432457); #355221=AXIS2_PLACEMENT_3D('',#526284,#432459,#432460); #355222=AXIS2_PLACEMENT_3D('',#526285,#432461,#432462); #355223=AXIS2_PLACEMENT_3D('',#526287,#432463,#432464); #355224=AXIS2_PLACEMENT_3D('',#526290,#432466,#432467); #355225=AXIS2_PLACEMENT_3D('',#526291,#432468,#432469); #355226=AXIS2_PLACEMENT_3D('',#526293,#432470,#432471); #355227=AXIS2_PLACEMENT_3D('',#526296,#432473,#432474); #355228=AXIS2_PLACEMENT_3D('',#526297,#432475,#432476); #355229=AXIS2_PLACEMENT_3D('',#526299,#432477,#432478); #355230=AXIS2_PLACEMENT_3D('',#526302,#432480,#432481); #355231=AXIS2_PLACEMENT_3D('',#526303,#432482,#432483); #355232=AXIS2_PLACEMENT_3D('',#526305,#432484,#432485); #355233=AXIS2_PLACEMENT_3D('',#526308,#432487,#432488); #355234=AXIS2_PLACEMENT_3D('',#526309,#432489,#432490); #355235=AXIS2_PLACEMENT_3D('',#526311,#432491,#432492); #355236=AXIS2_PLACEMENT_3D('',#526314,#432494,#432495); #355237=AXIS2_PLACEMENT_3D('',#526315,#432496,#432497); #355238=AXIS2_PLACEMENT_3D('',#526317,#432498,#432499); #355239=AXIS2_PLACEMENT_3D('',#526320,#432501,#432502); #355240=AXIS2_PLACEMENT_3D('',#526321,#432503,#432504); #355241=AXIS2_PLACEMENT_3D('',#526323,#432505,#432506); #355242=AXIS2_PLACEMENT_3D('',#526326,#432508,#432509); #355243=AXIS2_PLACEMENT_3D('',#526327,#432510,#432511); #355244=AXIS2_PLACEMENT_3D('',#526329,#432512,#432513); #355245=AXIS2_PLACEMENT_3D('',#526332,#432515,#432516); #355246=AXIS2_PLACEMENT_3D('',#526333,#432517,#432518); #355247=AXIS2_PLACEMENT_3D('',#526335,#432519,#432520); #355248=AXIS2_PLACEMENT_3D('',#526338,#432522,#432523); #355249=AXIS2_PLACEMENT_3D('',#526339,#432524,#432525); #355250=AXIS2_PLACEMENT_3D('',#526341,#432526,#432527); #355251=AXIS2_PLACEMENT_3D('',#526344,#432529,#432530); #355252=AXIS2_PLACEMENT_3D('',#526345,#432531,#432532); #355253=AXIS2_PLACEMENT_3D('',#526347,#432533,#432534); #355254=AXIS2_PLACEMENT_3D('',#526350,#432536,#432537); #355255=AXIS2_PLACEMENT_3D('',#526351,#432538,#432539); #355256=AXIS2_PLACEMENT_3D('',#526353,#432540,#432541); #355257=AXIS2_PLACEMENT_3D('',#526356,#432543,#432544); #355258=AXIS2_PLACEMENT_3D('',#526357,#432545,#432546); #355259=AXIS2_PLACEMENT_3D('',#526359,#432547,#432548); #355260=AXIS2_PLACEMENT_3D('',#526362,#432550,#432551); #355261=AXIS2_PLACEMENT_3D('',#526363,#432552,#432553); #355262=AXIS2_PLACEMENT_3D('',#526365,#432554,#432555); #355263=AXIS2_PLACEMENT_3D('',#526368,#432557,#432558); #355264=AXIS2_PLACEMENT_3D('',#526369,#432559,#432560); #355265=AXIS2_PLACEMENT_3D('',#526371,#432561,#432562); #355266=AXIS2_PLACEMENT_3D('',#526374,#432564,#432565); #355267=AXIS2_PLACEMENT_3D('',#526375,#432566,#432567); #355268=AXIS2_PLACEMENT_3D('',#526377,#432568,#432569); #355269=AXIS2_PLACEMENT_3D('',#526380,#432571,#432572); #355270=AXIS2_PLACEMENT_3D('',#526381,#432573,#432574); #355271=AXIS2_PLACEMENT_3D('',#526383,#432575,#432576); #355272=AXIS2_PLACEMENT_3D('',#526386,#432578,#432579); #355273=AXIS2_PLACEMENT_3D('',#526387,#432580,#432581); #355274=AXIS2_PLACEMENT_3D('',#526389,#432582,#432583); #355275=AXIS2_PLACEMENT_3D('',#526392,#432585,#432586); #355276=AXIS2_PLACEMENT_3D('',#526393,#432587,#432588); #355277=AXIS2_PLACEMENT_3D('',#526395,#432589,#432590); #355278=AXIS2_PLACEMENT_3D('',#526398,#432592,#432593); #355279=AXIS2_PLACEMENT_3D('',#526399,#432594,#432595); #355280=AXIS2_PLACEMENT_3D('',#526401,#432596,#432597); #355281=AXIS2_PLACEMENT_3D('',#526404,#432599,#432600); #355282=AXIS2_PLACEMENT_3D('',#526405,#432601,#432602); #355283=AXIS2_PLACEMENT_3D('',#526407,#432603,#432604); #355284=AXIS2_PLACEMENT_3D('',#526410,#432606,#432607); #355285=AXIS2_PLACEMENT_3D('',#526411,#432608,#432609); #355286=AXIS2_PLACEMENT_3D('',#526413,#432610,#432611); #355287=AXIS2_PLACEMENT_3D('',#526416,#432613,#432614); #355288=AXIS2_PLACEMENT_3D('',#526417,#432615,#432616); #355289=AXIS2_PLACEMENT_3D('',#526419,#432617,#432618); #355290=AXIS2_PLACEMENT_3D('',#526422,#432620,#432621); #355291=AXIS2_PLACEMENT_3D('',#526423,#432622,#432623); #355292=AXIS2_PLACEMENT_3D('',#526425,#432624,#432625); #355293=AXIS2_PLACEMENT_3D('',#526428,#432627,#432628); #355294=AXIS2_PLACEMENT_3D('',#526429,#432629,#432630); #355295=AXIS2_PLACEMENT_3D('',#526431,#432631,#432632); #355296=AXIS2_PLACEMENT_3D('',#526434,#432634,#432635); #355297=AXIS2_PLACEMENT_3D('',#526435,#432636,#432637); #355298=AXIS2_PLACEMENT_3D('',#526437,#432638,#432639); #355299=AXIS2_PLACEMENT_3D('',#526440,#432641,#432642); #355300=AXIS2_PLACEMENT_3D('',#526441,#432643,#432644); #355301=AXIS2_PLACEMENT_3D('',#526443,#432645,#432646); #355302=AXIS2_PLACEMENT_3D('',#526446,#432648,#432649); #355303=AXIS2_PLACEMENT_3D('',#526447,#432650,#432651); #355304=AXIS2_PLACEMENT_3D('',#526449,#432652,#432653); #355305=AXIS2_PLACEMENT_3D('',#526452,#432655,#432656); #355306=AXIS2_PLACEMENT_3D('',#526453,#432657,#432658); #355307=AXIS2_PLACEMENT_3D('',#526455,#432659,#432660); #355308=AXIS2_PLACEMENT_3D('',#526458,#432662,#432663); #355309=AXIS2_PLACEMENT_3D('',#526459,#432664,#432665); #355310=AXIS2_PLACEMENT_3D('',#526461,#432666,#432667); #355311=AXIS2_PLACEMENT_3D('',#526464,#432669,#432670); #355312=AXIS2_PLACEMENT_3D('',#526465,#432671,#432672); #355313=AXIS2_PLACEMENT_3D('',#526467,#432673,#432674); #355314=AXIS2_PLACEMENT_3D('',#526470,#432676,#432677); #355315=AXIS2_PLACEMENT_3D('',#526471,#432678,#432679); #355316=AXIS2_PLACEMENT_3D('',#526473,#432680,#432681); #355317=AXIS2_PLACEMENT_3D('',#526476,#432683,#432684); #355318=AXIS2_PLACEMENT_3D('',#526477,#432685,#432686); #355319=AXIS2_PLACEMENT_3D('',#526479,#432687,#432688); #355320=AXIS2_PLACEMENT_3D('',#526482,#432690,#432691); #355321=AXIS2_PLACEMENT_3D('',#526483,#432692,#432693); #355322=AXIS2_PLACEMENT_3D('',#526485,#432694,#432695); #355323=AXIS2_PLACEMENT_3D('',#526488,#432697,#432698); #355324=AXIS2_PLACEMENT_3D('',#526489,#432699,#432700); #355325=AXIS2_PLACEMENT_3D('',#526491,#432701,#432702); #355326=AXIS2_PLACEMENT_3D('',#526494,#432704,#432705); #355327=AXIS2_PLACEMENT_3D('',#526495,#432706,#432707); #355328=AXIS2_PLACEMENT_3D('',#526497,#432708,#432709); #355329=AXIS2_PLACEMENT_3D('',#526500,#432711,#432712); #355330=AXIS2_PLACEMENT_3D('',#526501,#432713,#432714); #355331=AXIS2_PLACEMENT_3D('',#526503,#432715,#432716); #355332=AXIS2_PLACEMENT_3D('',#526506,#432718,#432719); #355333=AXIS2_PLACEMENT_3D('',#526507,#432720,#432721); #355334=AXIS2_PLACEMENT_3D('',#526509,#432722,#432723); #355335=AXIS2_PLACEMENT_3D('',#526512,#432725,#432726); #355336=AXIS2_PLACEMENT_3D('',#526513,#432727,#432728); #355337=AXIS2_PLACEMENT_3D('',#526515,#432729,#432730); #355338=AXIS2_PLACEMENT_3D('',#526518,#432732,#432733); #355339=AXIS2_PLACEMENT_3D('',#526519,#432734,#432735); #355340=AXIS2_PLACEMENT_3D('',#526521,#432736,#432737); #355341=AXIS2_PLACEMENT_3D('',#526524,#432739,#432740); #355342=AXIS2_PLACEMENT_3D('',#526525,#432741,#432742); #355343=AXIS2_PLACEMENT_3D('',#526527,#432743,#432744); #355344=AXIS2_PLACEMENT_3D('',#526530,#432746,#432747); #355345=AXIS2_PLACEMENT_3D('',#526531,#432748,#432749); #355346=AXIS2_PLACEMENT_3D('',#526533,#432750,#432751); #355347=AXIS2_PLACEMENT_3D('',#526536,#432753,#432754); #355348=AXIS2_PLACEMENT_3D('',#526537,#432755,#432756); #355349=AXIS2_PLACEMENT_3D('',#526539,#432757,#432758); #355350=AXIS2_PLACEMENT_3D('',#526542,#432760,#432761); #355351=AXIS2_PLACEMENT_3D('',#526543,#432762,#432763); #355352=AXIS2_PLACEMENT_3D('',#526545,#432764,#432765); #355353=AXIS2_PLACEMENT_3D('',#526548,#432767,#432768); #355354=AXIS2_PLACEMENT_3D('',#526549,#432769,#432770); #355355=AXIS2_PLACEMENT_3D('',#526551,#432771,#432772); #355356=AXIS2_PLACEMENT_3D('',#526554,#432774,#432775); #355357=AXIS2_PLACEMENT_3D('',#526555,#432776,#432777); #355358=AXIS2_PLACEMENT_3D('',#526557,#432778,#432779); #355359=AXIS2_PLACEMENT_3D('',#526560,#432781,#432782); #355360=AXIS2_PLACEMENT_3D('',#526561,#432783,#432784); #355361=AXIS2_PLACEMENT_3D('',#526563,#432785,#432786); #355362=AXIS2_PLACEMENT_3D('',#526566,#432788,#432789); #355363=AXIS2_PLACEMENT_3D('',#526567,#432790,#432791); #355364=AXIS2_PLACEMENT_3D('',#526569,#432792,#432793); #355365=AXIS2_PLACEMENT_3D('',#526572,#432795,#432796); #355366=AXIS2_PLACEMENT_3D('',#526573,#432797,#432798); #355367=AXIS2_PLACEMENT_3D('',#526575,#432799,#432800); #355368=AXIS2_PLACEMENT_3D('',#526578,#432802,#432803); #355369=AXIS2_PLACEMENT_3D('',#526579,#432804,#432805); #355370=AXIS2_PLACEMENT_3D('',#526581,#432806,#432807); #355371=AXIS2_PLACEMENT_3D('',#526584,#432809,#432810); #355372=AXIS2_PLACEMENT_3D('',#526585,#432811,#432812); #355373=AXIS2_PLACEMENT_3D('',#526587,#432813,#432814); #355374=AXIS2_PLACEMENT_3D('',#526590,#432816,#432817); #355375=AXIS2_PLACEMENT_3D('',#526591,#432818,#432819); #355376=AXIS2_PLACEMENT_3D('',#526593,#432820,#432821); #355377=AXIS2_PLACEMENT_3D('',#526596,#432823,#432824); #355378=AXIS2_PLACEMENT_3D('',#526597,#432825,#432826); #355379=AXIS2_PLACEMENT_3D('',#526599,#432827,#432828); #355380=AXIS2_PLACEMENT_3D('',#526602,#432830,#432831); #355381=AXIS2_PLACEMENT_3D('',#526603,#432832,#432833); #355382=AXIS2_PLACEMENT_3D('',#526605,#432834,#432835); #355383=AXIS2_PLACEMENT_3D('',#526608,#432837,#432838); #355384=AXIS2_PLACEMENT_3D('',#526609,#432839,#432840); #355385=AXIS2_PLACEMENT_3D('',#526611,#432841,#432842); #355386=AXIS2_PLACEMENT_3D('',#526614,#432844,#432845); #355387=AXIS2_PLACEMENT_3D('',#526615,#432846,#432847); #355388=AXIS2_PLACEMENT_3D('',#526617,#432848,#432849); #355389=AXIS2_PLACEMENT_3D('',#526620,#432851,#432852); #355390=AXIS2_PLACEMENT_3D('',#526621,#432853,#432854); #355391=AXIS2_PLACEMENT_3D('',#526623,#432855,#432856); #355392=AXIS2_PLACEMENT_3D('',#526626,#432858,#432859); #355393=AXIS2_PLACEMENT_3D('',#526627,#432860,#432861); #355394=AXIS2_PLACEMENT_3D('',#526629,#432862,#432863); #355395=AXIS2_PLACEMENT_3D('',#526632,#432865,#432866); #355396=AXIS2_PLACEMENT_3D('',#526633,#432867,#432868); #355397=AXIS2_PLACEMENT_3D('',#526635,#432869,#432870); #355398=AXIS2_PLACEMENT_3D('',#526638,#432872,#432873); #355399=AXIS2_PLACEMENT_3D('',#526639,#432874,#432875); #355400=AXIS2_PLACEMENT_3D('',#526641,#432876,#432877); #355401=AXIS2_PLACEMENT_3D('',#526644,#432879,#432880); #355402=AXIS2_PLACEMENT_3D('',#526645,#432881,#432882); #355403=AXIS2_PLACEMENT_3D('',#526647,#432883,#432884); #355404=AXIS2_PLACEMENT_3D('',#526650,#432886,#432887); #355405=AXIS2_PLACEMENT_3D('',#526651,#432888,#432889); #355406=AXIS2_PLACEMENT_3D('',#526653,#432890,#432891); #355407=AXIS2_PLACEMENT_3D('',#526656,#432893,#432894); #355408=AXIS2_PLACEMENT_3D('',#526657,#432895,#432896); #355409=AXIS2_PLACEMENT_3D('',#526659,#432897,#432898); #355410=AXIS2_PLACEMENT_3D('',#526662,#432900,#432901); #355411=AXIS2_PLACEMENT_3D('',#526663,#432902,#432903); #355412=AXIS2_PLACEMENT_3D('',#526665,#432904,#432905); #355413=AXIS2_PLACEMENT_3D('',#526668,#432907,#432908); #355414=AXIS2_PLACEMENT_3D('',#526669,#432909,#432910); #355415=AXIS2_PLACEMENT_3D('',#526671,#432911,#432912); #355416=AXIS2_PLACEMENT_3D('',#526674,#432914,#432915); #355417=AXIS2_PLACEMENT_3D('',#526675,#432916,#432917); #355418=AXIS2_PLACEMENT_3D('',#526677,#432918,#432919); #355419=AXIS2_PLACEMENT_3D('',#526680,#432921,#432922); #355420=AXIS2_PLACEMENT_3D('',#526681,#432923,#432924); #355421=AXIS2_PLACEMENT_3D('',#526683,#432925,#432926); #355422=AXIS2_PLACEMENT_3D('',#526686,#432928,#432929); #355423=AXIS2_PLACEMENT_3D('',#526687,#432930,#432931); #355424=AXIS2_PLACEMENT_3D('',#526689,#432932,#432933); #355425=AXIS2_PLACEMENT_3D('',#526692,#432935,#432936); #355426=AXIS2_PLACEMENT_3D('',#526693,#432937,#432938); #355427=AXIS2_PLACEMENT_3D('',#526695,#432939,#432940); #355428=AXIS2_PLACEMENT_3D('',#526698,#432942,#432943); #355429=AXIS2_PLACEMENT_3D('',#526699,#432944,#432945); #355430=AXIS2_PLACEMENT_3D('',#526701,#432946,#432947); #355431=AXIS2_PLACEMENT_3D('',#526704,#432949,#432950); #355432=AXIS2_PLACEMENT_3D('',#526705,#432951,#432952); #355433=AXIS2_PLACEMENT_3D('',#526707,#432953,#432954); #355434=AXIS2_PLACEMENT_3D('',#526710,#432956,#432957); #355435=AXIS2_PLACEMENT_3D('',#526711,#432958,#432959); #355436=AXIS2_PLACEMENT_3D('',#526713,#432960,#432961); #355437=AXIS2_PLACEMENT_3D('',#526716,#432963,#432964); #355438=AXIS2_PLACEMENT_3D('',#526717,#432965,#432966); #355439=AXIS2_PLACEMENT_3D('',#526719,#432967,#432968); #355440=AXIS2_PLACEMENT_3D('',#526722,#432970,#432971); #355441=AXIS2_PLACEMENT_3D('',#526723,#432972,#432973); #355442=AXIS2_PLACEMENT_3D('',#526725,#432974,#432975); #355443=AXIS2_PLACEMENT_3D('',#526728,#432977,#432978); #355444=AXIS2_PLACEMENT_3D('',#526729,#432979,#432980); #355445=AXIS2_PLACEMENT_3D('',#526731,#432981,#432982); #355446=AXIS2_PLACEMENT_3D('',#526734,#432984,#432985); #355447=AXIS2_PLACEMENT_3D('',#526735,#432986,#432987); #355448=AXIS2_PLACEMENT_3D('',#526737,#432988,#432989); #355449=AXIS2_PLACEMENT_3D('',#526740,#432991,#432992); #355450=AXIS2_PLACEMENT_3D('',#526741,#432993,#432994); #355451=AXIS2_PLACEMENT_3D('',#526743,#432995,#432996); #355452=AXIS2_PLACEMENT_3D('',#526746,#432998,#432999); #355453=AXIS2_PLACEMENT_3D('',#526747,#433000,#433001); #355454=AXIS2_PLACEMENT_3D('',#526749,#433002,#433003); #355455=AXIS2_PLACEMENT_3D('',#526752,#433005,#433006); #355456=AXIS2_PLACEMENT_3D('',#526753,#433007,#433008); #355457=AXIS2_PLACEMENT_3D('',#526755,#433009,#433010); #355458=AXIS2_PLACEMENT_3D('',#526758,#433012,#433013); #355459=AXIS2_PLACEMENT_3D('',#526759,#433014,#433015); #355460=AXIS2_PLACEMENT_3D('',#526761,#433016,#433017); #355461=AXIS2_PLACEMENT_3D('',#526764,#433019,#433020); #355462=AXIS2_PLACEMENT_3D('',#526765,#433021,#433022); #355463=AXIS2_PLACEMENT_3D('',#526767,#433023,#433024); #355464=AXIS2_PLACEMENT_3D('',#526770,#433026,#433027); #355465=AXIS2_PLACEMENT_3D('',#526771,#433028,#433029); #355466=AXIS2_PLACEMENT_3D('',#526773,#433030,#433031); #355467=AXIS2_PLACEMENT_3D('',#526776,#433033,#433034); #355468=AXIS2_PLACEMENT_3D('',#526777,#433035,#433036); #355469=AXIS2_PLACEMENT_3D('',#526779,#433037,#433038); #355470=AXIS2_PLACEMENT_3D('',#526782,#433040,#433041); #355471=AXIS2_PLACEMENT_3D('',#526783,#433042,#433043); #355472=AXIS2_PLACEMENT_3D('',#526785,#433044,#433045); #355473=AXIS2_PLACEMENT_3D('',#526788,#433047,#433048); #355474=AXIS2_PLACEMENT_3D('',#526789,#433049,#433050); #355475=AXIS2_PLACEMENT_3D('',#526791,#433051,#433052); #355476=AXIS2_PLACEMENT_3D('',#526794,#433054,#433055); #355477=AXIS2_PLACEMENT_3D('',#526795,#433056,#433057); #355478=AXIS2_PLACEMENT_3D('',#526797,#433058,#433059); #355479=AXIS2_PLACEMENT_3D('',#526800,#433061,#433062); #355480=AXIS2_PLACEMENT_3D('',#526801,#433063,#433064); #355481=AXIS2_PLACEMENT_3D('',#526803,#433065,#433066); #355482=AXIS2_PLACEMENT_3D('',#526806,#433068,#433069); #355483=AXIS2_PLACEMENT_3D('',#526807,#433070,#433071); #355484=AXIS2_PLACEMENT_3D('',#526809,#433072,#433073); #355485=AXIS2_PLACEMENT_3D('',#526812,#433075,#433076); #355486=AXIS2_PLACEMENT_3D('',#526813,#433077,#433078); #355487=AXIS2_PLACEMENT_3D('',#526815,#433079,#433080); #355488=AXIS2_PLACEMENT_3D('',#526818,#433082,#433083); #355489=AXIS2_PLACEMENT_3D('',#526819,#433084,#433085); #355490=AXIS2_PLACEMENT_3D('',#526821,#433086,#433087); #355491=AXIS2_PLACEMENT_3D('',#526824,#433089,#433090); #355492=AXIS2_PLACEMENT_3D('',#526825,#433091,#433092); #355493=AXIS2_PLACEMENT_3D('',#526827,#433093,#433094); #355494=AXIS2_PLACEMENT_3D('',#526830,#433096,#433097); #355495=AXIS2_PLACEMENT_3D('',#526831,#433098,#433099); #355496=AXIS2_PLACEMENT_3D('',#526833,#433100,#433101); #355497=AXIS2_PLACEMENT_3D('',#526836,#433103,#433104); #355498=AXIS2_PLACEMENT_3D('',#526837,#433105,#433106); #355499=AXIS2_PLACEMENT_3D('',#526839,#433107,#433108); #355500=AXIS2_PLACEMENT_3D('',#526842,#433110,#433111); #355501=AXIS2_PLACEMENT_3D('',#526843,#433112,#433113); #355502=AXIS2_PLACEMENT_3D('',#526845,#433114,#433115); #355503=AXIS2_PLACEMENT_3D('',#526848,#433117,#433118); #355504=AXIS2_PLACEMENT_3D('',#526849,#433119,#433120); #355505=AXIS2_PLACEMENT_3D('',#526851,#433121,#433122); #355506=AXIS2_PLACEMENT_3D('',#526854,#433124,#433125); #355507=AXIS2_PLACEMENT_3D('',#526855,#433126,#433127); #355508=AXIS2_PLACEMENT_3D('',#526857,#433128,#433129); #355509=AXIS2_PLACEMENT_3D('',#526860,#433131,#433132); #355510=AXIS2_PLACEMENT_3D('',#526861,#433133,#433134); #355511=AXIS2_PLACEMENT_3D('',#526863,#433135,#433136); #355512=AXIS2_PLACEMENT_3D('',#526866,#433138,#433139); #355513=AXIS2_PLACEMENT_3D('',#526867,#433140,#433141); #355514=AXIS2_PLACEMENT_3D('',#526869,#433142,#433143); #355515=AXIS2_PLACEMENT_3D('',#526872,#433145,#433146); #355516=AXIS2_PLACEMENT_3D('',#526873,#433147,#433148); #355517=AXIS2_PLACEMENT_3D('',#526875,#433149,#433150); #355518=AXIS2_PLACEMENT_3D('',#526878,#433152,#433153); #355519=AXIS2_PLACEMENT_3D('',#526879,#433154,#433155); #355520=AXIS2_PLACEMENT_3D('',#526881,#433156,#433157); #355521=AXIS2_PLACEMENT_3D('',#526884,#433159,#433160); #355522=AXIS2_PLACEMENT_3D('',#526885,#433161,#433162); #355523=AXIS2_PLACEMENT_3D('',#526887,#433163,#433164); #355524=AXIS2_PLACEMENT_3D('',#526890,#433166,#433167); #355525=AXIS2_PLACEMENT_3D('',#526891,#433168,#433169); #355526=AXIS2_PLACEMENT_3D('',#526893,#433170,#433171); #355527=AXIS2_PLACEMENT_3D('',#526896,#433173,#433174); #355528=AXIS2_PLACEMENT_3D('',#526897,#433175,#433176); #355529=AXIS2_PLACEMENT_3D('',#526899,#433177,#433178); #355530=AXIS2_PLACEMENT_3D('',#526902,#433180,#433181); #355531=AXIS2_PLACEMENT_3D('',#526903,#433182,#433183); #355532=AXIS2_PLACEMENT_3D('',#526905,#433184,#433185); #355533=AXIS2_PLACEMENT_3D('',#526908,#433187,#433188); #355534=AXIS2_PLACEMENT_3D('',#526909,#433189,#433190); #355535=AXIS2_PLACEMENT_3D('',#526911,#433191,#433192); #355536=AXIS2_PLACEMENT_3D('',#526914,#433194,#433195); #355537=AXIS2_PLACEMENT_3D('',#526915,#433196,#433197); #355538=AXIS2_PLACEMENT_3D('',#526917,#433198,#433199); #355539=AXIS2_PLACEMENT_3D('',#526920,#433201,#433202); #355540=AXIS2_PLACEMENT_3D('',#526921,#433203,#433204); #355541=AXIS2_PLACEMENT_3D('',#526923,#433205,#433206); #355542=AXIS2_PLACEMENT_3D('',#526926,#433208,#433209); #355543=AXIS2_PLACEMENT_3D('',#526927,#433210,#433211); #355544=AXIS2_PLACEMENT_3D('',#526929,#433212,#433213); #355545=AXIS2_PLACEMENT_3D('',#526932,#433215,#433216); #355546=AXIS2_PLACEMENT_3D('',#526933,#433217,#433218); #355547=AXIS2_PLACEMENT_3D('',#526935,#433219,#433220); #355548=AXIS2_PLACEMENT_3D('',#526938,#433222,#433223); #355549=AXIS2_PLACEMENT_3D('',#526939,#433224,#433225); #355550=AXIS2_PLACEMENT_3D('',#526941,#433226,#433227); #355551=AXIS2_PLACEMENT_3D('',#526944,#433229,#433230); #355552=AXIS2_PLACEMENT_3D('',#526945,#433231,#433232); #355553=AXIS2_PLACEMENT_3D('',#526947,#433233,#433234); #355554=AXIS2_PLACEMENT_3D('',#526950,#433236,#433237); #355555=AXIS2_PLACEMENT_3D('',#526951,#433238,#433239); #355556=AXIS2_PLACEMENT_3D('',#526953,#433240,#433241); #355557=AXIS2_PLACEMENT_3D('',#526956,#433243,#433244); #355558=AXIS2_PLACEMENT_3D('',#526957,#433245,#433246); #355559=AXIS2_PLACEMENT_3D('',#526959,#433247,#433248); #355560=AXIS2_PLACEMENT_3D('',#526962,#433250,#433251); #355561=AXIS2_PLACEMENT_3D('',#526963,#433252,#433253); #355562=AXIS2_PLACEMENT_3D('',#526965,#433254,#433255); #355563=AXIS2_PLACEMENT_3D('',#526968,#433257,#433258); #355564=AXIS2_PLACEMENT_3D('',#526969,#433259,#433260); #355565=AXIS2_PLACEMENT_3D('',#526971,#433261,#433262); #355566=AXIS2_PLACEMENT_3D('',#526974,#433264,#433265); #355567=AXIS2_PLACEMENT_3D('',#526975,#433266,#433267); #355568=AXIS2_PLACEMENT_3D('',#526977,#433268,#433269); #355569=AXIS2_PLACEMENT_3D('',#526980,#433271,#433272); #355570=AXIS2_PLACEMENT_3D('',#526981,#433273,#433274); #355571=AXIS2_PLACEMENT_3D('',#526983,#433275,#433276); #355572=AXIS2_PLACEMENT_3D('',#526986,#433278,#433279); #355573=AXIS2_PLACEMENT_3D('',#526987,#433280,#433281); #355574=AXIS2_PLACEMENT_3D('',#526989,#433282,#433283); #355575=AXIS2_PLACEMENT_3D('',#526992,#433285,#433286); #355576=AXIS2_PLACEMENT_3D('',#526993,#433287,#433288); #355577=AXIS2_PLACEMENT_3D('',#526995,#433289,#433290); #355578=AXIS2_PLACEMENT_3D('',#526998,#433292,#433293); #355579=AXIS2_PLACEMENT_3D('',#526999,#433294,#433295); #355580=AXIS2_PLACEMENT_3D('',#527001,#433296,#433297); #355581=AXIS2_PLACEMENT_3D('',#527004,#433299,#433300); #355582=AXIS2_PLACEMENT_3D('',#527005,#433301,#433302); #355583=AXIS2_PLACEMENT_3D('',#527007,#433303,#433304); #355584=AXIS2_PLACEMENT_3D('',#527010,#433306,#433307); #355585=AXIS2_PLACEMENT_3D('',#527011,#433308,#433309); #355586=AXIS2_PLACEMENT_3D('',#527013,#433310,#433311); #355587=AXIS2_PLACEMENT_3D('',#527016,#433313,#433314); #355588=AXIS2_PLACEMENT_3D('',#527017,#433315,#433316); #355589=AXIS2_PLACEMENT_3D('',#527019,#433317,#433318); #355590=AXIS2_PLACEMENT_3D('',#527022,#433320,#433321); #355591=AXIS2_PLACEMENT_3D('',#527023,#433322,#433323); #355592=AXIS2_PLACEMENT_3D('',#527025,#433324,#433325); #355593=AXIS2_PLACEMENT_3D('',#527028,#433327,#433328); #355594=AXIS2_PLACEMENT_3D('',#527029,#433329,#433330); #355595=AXIS2_PLACEMENT_3D('',#527031,#433331,#433332); #355596=AXIS2_PLACEMENT_3D('',#527034,#433334,#433335); #355597=AXIS2_PLACEMENT_3D('',#527035,#433336,#433337); #355598=AXIS2_PLACEMENT_3D('',#527037,#433338,#433339); #355599=AXIS2_PLACEMENT_3D('',#527040,#433341,#433342); #355600=AXIS2_PLACEMENT_3D('',#527041,#433343,#433344); #355601=AXIS2_PLACEMENT_3D('',#527043,#433345,#433346); #355602=AXIS2_PLACEMENT_3D('',#527046,#433348,#433349); #355603=AXIS2_PLACEMENT_3D('',#527047,#433350,#433351); #355604=AXIS2_PLACEMENT_3D('',#527049,#433352,#433353); #355605=AXIS2_PLACEMENT_3D('',#527052,#433355,#433356); #355606=AXIS2_PLACEMENT_3D('',#527053,#433357,#433358); #355607=AXIS2_PLACEMENT_3D('',#527055,#433359,#433360); #355608=AXIS2_PLACEMENT_3D('',#527058,#433362,#433363); #355609=AXIS2_PLACEMENT_3D('',#527059,#433364,#433365); #355610=AXIS2_PLACEMENT_3D('',#527061,#433366,#433367); #355611=AXIS2_PLACEMENT_3D('',#527064,#433369,#433370); #355612=AXIS2_PLACEMENT_3D('',#527065,#433371,#433372); #355613=AXIS2_PLACEMENT_3D('',#527067,#433373,#433374); #355614=AXIS2_PLACEMENT_3D('',#527070,#433376,#433377); #355615=AXIS2_PLACEMENT_3D('',#527071,#433378,#433379); #355616=AXIS2_PLACEMENT_3D('',#527073,#433380,#433381); #355617=AXIS2_PLACEMENT_3D('',#527076,#433383,#433384); #355618=AXIS2_PLACEMENT_3D('',#527077,#433385,#433386); #355619=AXIS2_PLACEMENT_3D('',#527079,#433387,#433388); #355620=AXIS2_PLACEMENT_3D('',#527082,#433390,#433391); #355621=AXIS2_PLACEMENT_3D('',#527083,#433392,#433393); #355622=AXIS2_PLACEMENT_3D('',#527085,#433394,#433395); #355623=AXIS2_PLACEMENT_3D('',#527088,#433397,#433398); #355624=AXIS2_PLACEMENT_3D('',#527089,#433399,#433400); #355625=AXIS2_PLACEMENT_3D('',#527091,#433401,#433402); #355626=AXIS2_PLACEMENT_3D('',#527094,#433404,#433405); #355627=AXIS2_PLACEMENT_3D('',#527095,#433406,#433407); #355628=AXIS2_PLACEMENT_3D('',#527097,#433408,#433409); #355629=AXIS2_PLACEMENT_3D('',#527100,#433411,#433412); #355630=AXIS2_PLACEMENT_3D('',#527101,#433413,#433414); #355631=AXIS2_PLACEMENT_3D('',#527103,#433415,#433416); #355632=AXIS2_PLACEMENT_3D('',#527106,#433418,#433419); #355633=AXIS2_PLACEMENT_3D('',#527107,#433420,#433421); #355634=AXIS2_PLACEMENT_3D('',#527109,#433422,#433423); #355635=AXIS2_PLACEMENT_3D('',#527112,#433425,#433426); #355636=AXIS2_PLACEMENT_3D('',#527113,#433427,#433428); #355637=AXIS2_PLACEMENT_3D('',#527115,#433429,#433430); #355638=AXIS2_PLACEMENT_3D('',#527118,#433432,#433433); #355639=AXIS2_PLACEMENT_3D('',#527119,#433434,#433435); #355640=AXIS2_PLACEMENT_3D('',#527121,#433436,#433437); #355641=AXIS2_PLACEMENT_3D('',#527124,#433439,#433440); #355642=AXIS2_PLACEMENT_3D('',#527125,#433441,#433442); #355643=AXIS2_PLACEMENT_3D('',#527127,#433443,#433444); #355644=AXIS2_PLACEMENT_3D('',#527130,#433446,#433447); #355645=AXIS2_PLACEMENT_3D('',#527131,#433448,#433449); #355646=AXIS2_PLACEMENT_3D('',#527133,#433450,#433451); #355647=AXIS2_PLACEMENT_3D('',#527136,#433453,#433454); #355648=AXIS2_PLACEMENT_3D('',#527137,#433455,#433456); #355649=AXIS2_PLACEMENT_3D('',#527139,#433457,#433458); #355650=AXIS2_PLACEMENT_3D('',#527142,#433460,#433461); #355651=AXIS2_PLACEMENT_3D('',#527143,#433462,#433463); #355652=AXIS2_PLACEMENT_3D('',#527145,#433464,#433465); #355653=AXIS2_PLACEMENT_3D('',#527148,#433467,#433468); #355654=AXIS2_PLACEMENT_3D('',#527149,#433469,#433470); #355655=AXIS2_PLACEMENT_3D('',#527151,#433471,#433472); #355656=AXIS2_PLACEMENT_3D('',#527154,#433474,#433475); #355657=AXIS2_PLACEMENT_3D('',#527155,#433476,#433477); #355658=AXIS2_PLACEMENT_3D('',#527157,#433478,#433479); #355659=AXIS2_PLACEMENT_3D('',#527160,#433481,#433482); #355660=AXIS2_PLACEMENT_3D('',#527161,#433483,#433484); #355661=AXIS2_PLACEMENT_3D('',#527163,#433485,#433486); #355662=AXIS2_PLACEMENT_3D('',#527166,#433488,#433489); #355663=AXIS2_PLACEMENT_3D('',#527167,#433490,#433491); #355664=AXIS2_PLACEMENT_3D('',#527169,#433492,#433493); #355665=AXIS2_PLACEMENT_3D('',#527172,#433495,#433496); #355666=AXIS2_PLACEMENT_3D('',#527173,#433497,#433498); #355667=AXIS2_PLACEMENT_3D('',#527175,#433499,#433500); #355668=AXIS2_PLACEMENT_3D('',#527178,#433502,#433503); #355669=AXIS2_PLACEMENT_3D('',#527179,#433504,#433505); #355670=AXIS2_PLACEMENT_3D('',#527181,#433506,#433507); #355671=AXIS2_PLACEMENT_3D('',#527184,#433509,#433510); #355672=AXIS2_PLACEMENT_3D('',#527185,#433511,#433512); #355673=AXIS2_PLACEMENT_3D('',#527187,#433513,#433514); #355674=AXIS2_PLACEMENT_3D('',#527190,#433516,#433517); #355675=AXIS2_PLACEMENT_3D('',#527191,#433518,#433519); #355676=AXIS2_PLACEMENT_3D('',#527193,#433520,#433521); #355677=AXIS2_PLACEMENT_3D('',#527196,#433523,#433524); #355678=AXIS2_PLACEMENT_3D('',#527197,#433525,#433526); #355679=AXIS2_PLACEMENT_3D('',#527199,#433527,#433528); #355680=AXIS2_PLACEMENT_3D('',#527202,#433530,#433531); #355681=AXIS2_PLACEMENT_3D('',#527203,#433532,#433533); #355682=AXIS2_PLACEMENT_3D('',#527205,#433534,#433535); #355683=AXIS2_PLACEMENT_3D('',#527208,#433537,#433538); #355684=AXIS2_PLACEMENT_3D('',#527209,#433539,#433540); #355685=AXIS2_PLACEMENT_3D('',#527211,#433541,#433542); #355686=AXIS2_PLACEMENT_3D('',#527214,#433544,#433545); #355687=AXIS2_PLACEMENT_3D('',#527215,#433546,#433547); #355688=AXIS2_PLACEMENT_3D('',#527217,#433548,#433549); #355689=AXIS2_PLACEMENT_3D('',#527220,#433551,#433552); #355690=AXIS2_PLACEMENT_3D('',#527221,#433553,#433554); #355691=AXIS2_PLACEMENT_3D('',#527223,#433555,#433556); #355692=AXIS2_PLACEMENT_3D('',#527226,#433558,#433559); #355693=AXIS2_PLACEMENT_3D('',#527227,#433560,#433561); #355694=AXIS2_PLACEMENT_3D('',#527229,#433562,#433563); #355695=AXIS2_PLACEMENT_3D('',#527232,#433565,#433566); #355696=AXIS2_PLACEMENT_3D('',#527233,#433567,#433568); #355697=AXIS2_PLACEMENT_3D('',#527235,#433569,#433570); #355698=AXIS2_PLACEMENT_3D('',#527238,#433572,#433573); #355699=AXIS2_PLACEMENT_3D('',#527239,#433574,#433575); #355700=AXIS2_PLACEMENT_3D('',#527241,#433576,#433577); #355701=AXIS2_PLACEMENT_3D('',#527244,#433579,#433580); #355702=AXIS2_PLACEMENT_3D('',#527245,#433581,#433582); #355703=AXIS2_PLACEMENT_3D('',#527247,#433583,#433584); #355704=AXIS2_PLACEMENT_3D('',#527250,#433586,#433587); #355705=AXIS2_PLACEMENT_3D('',#527251,#433588,#433589); #355706=AXIS2_PLACEMENT_3D('',#527253,#433590,#433591); #355707=AXIS2_PLACEMENT_3D('',#527256,#433593,#433594); #355708=AXIS2_PLACEMENT_3D('',#527257,#433595,#433596); #355709=AXIS2_PLACEMENT_3D('',#527259,#433597,#433598); #355710=AXIS2_PLACEMENT_3D('',#527262,#433600,#433601); #355711=AXIS2_PLACEMENT_3D('',#527263,#433602,#433603); #355712=AXIS2_PLACEMENT_3D('',#527265,#433604,#433605); #355713=AXIS2_PLACEMENT_3D('',#527268,#433607,#433608); #355714=AXIS2_PLACEMENT_3D('',#527269,#433609,#433610); #355715=AXIS2_PLACEMENT_3D('',#527271,#433611,#433612); #355716=AXIS2_PLACEMENT_3D('',#527274,#433614,#433615); #355717=AXIS2_PLACEMENT_3D('',#527275,#433616,#433617); #355718=AXIS2_PLACEMENT_3D('',#527277,#433618,#433619); #355719=AXIS2_PLACEMENT_3D('',#527280,#433621,#433622); #355720=AXIS2_PLACEMENT_3D('',#527281,#433623,#433624); #355721=AXIS2_PLACEMENT_3D('',#527283,#433625,#433626); #355722=AXIS2_PLACEMENT_3D('',#527286,#433628,#433629); #355723=AXIS2_PLACEMENT_3D('',#527287,#433630,#433631); #355724=AXIS2_PLACEMENT_3D('',#527289,#433632,#433633); #355725=AXIS2_PLACEMENT_3D('',#527292,#433635,#433636); #355726=AXIS2_PLACEMENT_3D('',#527293,#433637,#433638); #355727=AXIS2_PLACEMENT_3D('',#527295,#433639,#433640); #355728=AXIS2_PLACEMENT_3D('',#527298,#433642,#433643); #355729=AXIS2_PLACEMENT_3D('',#527299,#433644,#433645); #355730=AXIS2_PLACEMENT_3D('',#527301,#433646,#433647); #355731=AXIS2_PLACEMENT_3D('',#527304,#433649,#433650); #355732=AXIS2_PLACEMENT_3D('',#527305,#433651,#433652); #355733=AXIS2_PLACEMENT_3D('',#527307,#433653,#433654); #355734=AXIS2_PLACEMENT_3D('',#527310,#433656,#433657); #355735=AXIS2_PLACEMENT_3D('',#527311,#433658,#433659); #355736=AXIS2_PLACEMENT_3D('',#527313,#433660,#433661); #355737=AXIS2_PLACEMENT_3D('',#527316,#433663,#433664); #355738=AXIS2_PLACEMENT_3D('',#527317,#433665,#433666); #355739=AXIS2_PLACEMENT_3D('',#527319,#433667,#433668); #355740=AXIS2_PLACEMENT_3D('',#527322,#433670,#433671); #355741=AXIS2_PLACEMENT_3D('',#527323,#433672,#433673); #355742=AXIS2_PLACEMENT_3D('',#527325,#433674,#433675); #355743=AXIS2_PLACEMENT_3D('',#527328,#433677,#433678); #355744=AXIS2_PLACEMENT_3D('',#527329,#433679,#433680); #355745=AXIS2_PLACEMENT_3D('',#527331,#433681,#433682); #355746=AXIS2_PLACEMENT_3D('',#527334,#433684,#433685); #355747=AXIS2_PLACEMENT_3D('',#527335,#433686,#433687); #355748=AXIS2_PLACEMENT_3D('',#527337,#433688,#433689); #355749=AXIS2_PLACEMENT_3D('',#527340,#433691,#433692); #355750=AXIS2_PLACEMENT_3D('',#527341,#433693,#433694); #355751=AXIS2_PLACEMENT_3D('',#527343,#433695,#433696); #355752=AXIS2_PLACEMENT_3D('',#527346,#433698,#433699); #355753=AXIS2_PLACEMENT_3D('',#527347,#433700,#433701); #355754=AXIS2_PLACEMENT_3D('',#527349,#433702,#433703); #355755=AXIS2_PLACEMENT_3D('',#527352,#433705,#433706); #355756=AXIS2_PLACEMENT_3D('',#527353,#433707,#433708); #355757=AXIS2_PLACEMENT_3D('',#527355,#433709,#433710); #355758=AXIS2_PLACEMENT_3D('',#527358,#433712,#433713); #355759=AXIS2_PLACEMENT_3D('',#527359,#433714,#433715); #355760=AXIS2_PLACEMENT_3D('',#527361,#433716,#433717); #355761=AXIS2_PLACEMENT_3D('',#527364,#433719,#433720); #355762=AXIS2_PLACEMENT_3D('',#527365,#433721,#433722); #355763=AXIS2_PLACEMENT_3D('',#527367,#433723,#433724); #355764=AXIS2_PLACEMENT_3D('',#527370,#433726,#433727); #355765=AXIS2_PLACEMENT_3D('',#527371,#433728,#433729); #355766=AXIS2_PLACEMENT_3D('',#527373,#433730,#433731); #355767=AXIS2_PLACEMENT_3D('',#527376,#433733,#433734); #355768=AXIS2_PLACEMENT_3D('',#527377,#433735,#433736); #355769=AXIS2_PLACEMENT_3D('',#527379,#433737,#433738); #355770=AXIS2_PLACEMENT_3D('',#527382,#433740,#433741); #355771=AXIS2_PLACEMENT_3D('',#527383,#433742,#433743); #355772=AXIS2_PLACEMENT_3D('',#527385,#433744,#433745); #355773=AXIS2_PLACEMENT_3D('',#527388,#433747,#433748); #355774=AXIS2_PLACEMENT_3D('',#527389,#433749,#433750); #355775=AXIS2_PLACEMENT_3D('',#527391,#433751,#433752); #355776=AXIS2_PLACEMENT_3D('',#527394,#433754,#433755); #355777=AXIS2_PLACEMENT_3D('',#527395,#433756,#433757); #355778=AXIS2_PLACEMENT_3D('',#527397,#433758,#433759); #355779=AXIS2_PLACEMENT_3D('',#527400,#433761,#433762); #355780=AXIS2_PLACEMENT_3D('',#527401,#433763,#433764); #355781=AXIS2_PLACEMENT_3D('',#527403,#433765,#433766); #355782=AXIS2_PLACEMENT_3D('',#527406,#433768,#433769); #355783=AXIS2_PLACEMENT_3D('',#527407,#433770,#433771); #355784=AXIS2_PLACEMENT_3D('',#527409,#433772,#433773); #355785=AXIS2_PLACEMENT_3D('',#527412,#433775,#433776); #355786=AXIS2_PLACEMENT_3D('',#527413,#433777,#433778); #355787=AXIS2_PLACEMENT_3D('',#527415,#433779,#433780); #355788=AXIS2_PLACEMENT_3D('',#527418,#433782,#433783); #355789=AXIS2_PLACEMENT_3D('',#527419,#433784,#433785); #355790=AXIS2_PLACEMENT_3D('',#527421,#433786,#433787); #355791=AXIS2_PLACEMENT_3D('',#527424,#433789,#433790); #355792=AXIS2_PLACEMENT_3D('',#527425,#433791,#433792); #355793=AXIS2_PLACEMENT_3D('',#527427,#433793,#433794); #355794=AXIS2_PLACEMENT_3D('',#527430,#433796,#433797); #355795=AXIS2_PLACEMENT_3D('',#527431,#433798,#433799); #355796=AXIS2_PLACEMENT_3D('',#527433,#433800,#433801); #355797=AXIS2_PLACEMENT_3D('',#527436,#433803,#433804); #355798=AXIS2_PLACEMENT_3D('',#527437,#433805,#433806); #355799=AXIS2_PLACEMENT_3D('',#527439,#433807,#433808); #355800=AXIS2_PLACEMENT_3D('',#527442,#433810,#433811); #355801=AXIS2_PLACEMENT_3D('',#527443,#433812,#433813); #355802=AXIS2_PLACEMENT_3D('',#527445,#433814,#433815); #355803=AXIS2_PLACEMENT_3D('',#527448,#433817,#433818); #355804=AXIS2_PLACEMENT_3D('',#527449,#433819,#433820); #355805=AXIS2_PLACEMENT_3D('',#527451,#433821,#433822); #355806=AXIS2_PLACEMENT_3D('',#527454,#433824,#433825); #355807=AXIS2_PLACEMENT_3D('',#527455,#433826,#433827); #355808=AXIS2_PLACEMENT_3D('',#527457,#433828,#433829); #355809=AXIS2_PLACEMENT_3D('',#527460,#433831,#433832); #355810=AXIS2_PLACEMENT_3D('',#527461,#433833,#433834); #355811=AXIS2_PLACEMENT_3D('',#527463,#433835,#433836); #355812=AXIS2_PLACEMENT_3D('',#527466,#433838,#433839); #355813=AXIS2_PLACEMENT_3D('',#527467,#433840,#433841); #355814=AXIS2_PLACEMENT_3D('',#527469,#433842,#433843); #355815=AXIS2_PLACEMENT_3D('',#527472,#433845,#433846); #355816=AXIS2_PLACEMENT_3D('',#527473,#433847,#433848); #355817=AXIS2_PLACEMENT_3D('',#527475,#433849,#433850); #355818=AXIS2_PLACEMENT_3D('',#527478,#433852,#433853); #355819=AXIS2_PLACEMENT_3D('',#527479,#433854,#433855); #355820=AXIS2_PLACEMENT_3D('',#527481,#433856,#433857); #355821=AXIS2_PLACEMENT_3D('',#527484,#433859,#433860); #355822=AXIS2_PLACEMENT_3D('',#527485,#433861,#433862); #355823=AXIS2_PLACEMENT_3D('',#527487,#433863,#433864); #355824=AXIS2_PLACEMENT_3D('',#527490,#433866,#433867); #355825=AXIS2_PLACEMENT_3D('',#527491,#433868,#433869); #355826=AXIS2_PLACEMENT_3D('',#527493,#433870,#433871); #355827=AXIS2_PLACEMENT_3D('',#527496,#433873,#433874); #355828=AXIS2_PLACEMENT_3D('',#527497,#433875,#433876); #355829=AXIS2_PLACEMENT_3D('',#527499,#433877,#433878); #355830=AXIS2_PLACEMENT_3D('',#527502,#433880,#433881); #355831=AXIS2_PLACEMENT_3D('',#527503,#433882,#433883); #355832=AXIS2_PLACEMENT_3D('',#527505,#433884,#433885); #355833=AXIS2_PLACEMENT_3D('',#527508,#433887,#433888); #355834=AXIS2_PLACEMENT_3D('',#527509,#433889,#433890); #355835=AXIS2_PLACEMENT_3D('',#527511,#433891,#433892); #355836=AXIS2_PLACEMENT_3D('',#527514,#433894,#433895); #355837=AXIS2_PLACEMENT_3D('',#527515,#433896,#433897); #355838=AXIS2_PLACEMENT_3D('',#527517,#433898,#433899); #355839=AXIS2_PLACEMENT_3D('',#527520,#433901,#433902); #355840=AXIS2_PLACEMENT_3D('',#527521,#433903,#433904); #355841=AXIS2_PLACEMENT_3D('',#527523,#433905,#433906); #355842=AXIS2_PLACEMENT_3D('',#527526,#433908,#433909); #355843=AXIS2_PLACEMENT_3D('',#527527,#433910,#433911); #355844=AXIS2_PLACEMENT_3D('',#527529,#433912,#433913); #355845=AXIS2_PLACEMENT_3D('',#527532,#433915,#433916); #355846=AXIS2_PLACEMENT_3D('',#527533,#433917,#433918); #355847=AXIS2_PLACEMENT_3D('',#527535,#433919,#433920); #355848=AXIS2_PLACEMENT_3D('',#527538,#433922,#433923); #355849=AXIS2_PLACEMENT_3D('',#527539,#433924,#433925); #355850=AXIS2_PLACEMENT_3D('',#527540,#433926,#433927); #355851=AXIS2_PLACEMENT_3D('',#527541,#433928,#433929); #355852=AXIS2_PLACEMENT_3D('',#527542,#433930,#433931); #355853=AXIS2_PLACEMENT_3D('',#527543,#433932,#433933); #355854=AXIS2_PLACEMENT_3D('',#527544,#433934,#433935); #355855=AXIS2_PLACEMENT_3D('',#527553,#433940,#433941); #355856=AXIS2_PLACEMENT_3D('',#527559,#433945,#433946); #355857=AXIS2_PLACEMENT_3D('',#527607,#433971,#433972); #355858=AXIS2_PLACEMENT_3D('',#527611,#433975,#433976); #355859=AXIS2_PLACEMENT_3D('',#527617,#433980,#433981); #355860=AXIS2_PLACEMENT_3D('',#527627,#433987,#433988); #355861=AXIS2_PLACEMENT_3D('',#527668,#434010,#434011); #355862=AXIS2_PLACEMENT_3D('',#527682,#434019,#434020); #355863=AXIS2_PLACEMENT_3D('',#527696,#434028,#434029); #355864=AXIS2_PLACEMENT_3D('',#527710,#434037,#434038); #355865=AXIS2_PLACEMENT_3D('',#527716,#434042,#434043); #355866=AXIS2_PLACEMENT_3D('',#527722,#434047,#434048); #355867=AXIS2_PLACEMENT_3D('',#527736,#434056,#434057); #355868=AXIS2_PLACEMENT_3D('',#527742,#434061,#434062); #355869=AXIS2_PLACEMENT_3D('',#527759,#434075,#434076); #355870=AXIS2_PLACEMENT_3D('',#527762,#434079,#434080); #355871=AXIS2_PLACEMENT_3D('',#527763,#434081,#434082); #355872=AXIS2_PLACEMENT_3D('',#527764,#434083,#434084); #355873=AXIS2_PLACEMENT_3D('',#527772,#434089,#434090); #355874=AXIS2_PLACEMENT_3D('',#527774,#434092,#434093); #355875=AXIS2_PLACEMENT_3D('',#527776,#434095,#434096); #355876=AXIS2_PLACEMENT_3D('',#527778,#434098,#434099); #355877=AXIS2_PLACEMENT_3D('',#527779,#434100,#434101); #355878=AXIS2_PLACEMENT_3D('',#527780,#434102,#434103); #355879=AXIS2_PLACEMENT_3D('',#527781,#434104,#434105); #355880=AXIS2_PLACEMENT_3D('',#527790,#434110,#434111); #355881=AXIS2_PLACEMENT_3D('',#527796,#434115,#434116); #355882=AXIS2_PLACEMENT_3D('',#527802,#434120,#434121); #355883=AXIS2_PLACEMENT_3D('',#527804,#434123,#434124); #355884=AXIS2_PLACEMENT_3D('',#527806,#434126,#434127); #355885=AXIS2_PLACEMENT_3D('',#527812,#434131,#434132); #355886=AXIS2_PLACEMENT_3D('',#527818,#434136,#434137); #355887=AXIS2_PLACEMENT_3D('',#527820,#434139,#434140); #355888=AXIS2_PLACEMENT_3D('',#527822,#434142,#434143); #355889=AXIS2_PLACEMENT_3D('',#527831,#434148,#434149); #355890=AXIS2_PLACEMENT_3D('',#527834,#434152,#434153); #355891=AXIS2_PLACEMENT_3D('',#527836,#434155,#434156); #355892=AXIS2_PLACEMENT_3D('',#527838,#434158,#434159); #355893=AXIS2_PLACEMENT_3D('',#527839,#434160,#434161); #355894=AXIS2_PLACEMENT_3D('',#527842,#434164,#434165); #355895=AXIS2_PLACEMENT_3D('',#527844,#434167,#434168); #355896=AXIS2_PLACEMENT_3D('',#527846,#434170,#434171); #355897=AXIS2_PLACEMENT_3D('',#527847,#434172,#434173); #355898=AXIS2_PLACEMENT_3D('',#527848,#434174,#434175); #355899=AXIS2_PLACEMENT_3D('',#527849,#434176,#434177); #355900=AXIS2_PLACEMENT_3D('',#527850,#434178,#434179); #355901=AXIS2_PLACEMENT_3D('',#527852,#434181,#434182); #355902=AXIS2_PLACEMENT_3D('',#527854,#434184,#434185); #355903=AXIS2_PLACEMENT_3D('',#527855,#434186,#434187); #355904=AXIS2_PLACEMENT_3D('',#527864,#434192,#434193); #355905=AXIS2_PLACEMENT_3D('',#527870,#434197,#434198); #355906=AXIS2_PLACEMENT_3D('',#527876,#434202,#434203); #355907=AXIS2_PLACEMENT_3D('',#527878,#434205,#434206); #355908=AXIS2_PLACEMENT_3D('',#527880,#434208,#434209); #355909=AXIS2_PLACEMENT_3D('',#527886,#434213,#434214); #355910=AXIS2_PLACEMENT_3D('',#527892,#434218,#434219); #355911=AXIS2_PLACEMENT_3D('',#527894,#434221,#434222); #355912=AXIS2_PLACEMENT_3D('',#527896,#434224,#434225); #355913=AXIS2_PLACEMENT_3D('',#527905,#434230,#434231); #355914=AXIS2_PLACEMENT_3D('',#527914,#434236,#434237); #355915=AXIS2_PLACEMENT_3D('',#527920,#434241,#434242); #355916=AXIS2_PLACEMENT_3D('',#527926,#434246,#434247); #355917=AXIS2_PLACEMENT_3D('',#527928,#434249,#434250); #355918=AXIS2_PLACEMENT_3D('',#527930,#434252,#434253); #355919=AXIS2_PLACEMENT_3D('',#527936,#434257,#434258); #355920=AXIS2_PLACEMENT_3D('',#527942,#434262,#434263); #355921=AXIS2_PLACEMENT_3D('',#527944,#434265,#434266); #355922=AXIS2_PLACEMENT_3D('',#527946,#434268,#434269); #355923=AXIS2_PLACEMENT_3D('',#527955,#434274,#434275); #355924=AXIS2_PLACEMENT_3D('',#527964,#434280,#434281); #355925=AXIS2_PLACEMENT_3D('',#527970,#434285,#434286); #355926=AXIS2_PLACEMENT_3D('',#527976,#434290,#434291); #355927=AXIS2_PLACEMENT_3D('',#527978,#434293,#434294); #355928=AXIS2_PLACEMENT_3D('',#527980,#434296,#434297); #355929=AXIS2_PLACEMENT_3D('',#527986,#434301,#434302); #355930=AXIS2_PLACEMENT_3D('',#527992,#434306,#434307); #355931=AXIS2_PLACEMENT_3D('',#527994,#434309,#434310); #355932=AXIS2_PLACEMENT_3D('',#527996,#434312,#434313); #355933=AXIS2_PLACEMENT_3D('',#528005,#434318,#434319); #355934=AXIS2_PLACEMENT_3D('',#528008,#434322,#434323); #355935=AXIS2_PLACEMENT_3D('',#528010,#434325,#434326); #355936=AXIS2_PLACEMENT_3D('',#528012,#434328,#434329); #355937=AXIS2_PLACEMENT_3D('',#528013,#434330,#434331); #355938=AXIS2_PLACEMENT_3D('',#528016,#434334,#434335); #355939=AXIS2_PLACEMENT_3D('',#528018,#434337,#434338); #355940=AXIS2_PLACEMENT_3D('',#528020,#434340,#434341); #355941=AXIS2_PLACEMENT_3D('',#528021,#434342,#434343); #355942=AXIS2_PLACEMENT_3D('',#528024,#434346,#434347); #355943=AXIS2_PLACEMENT_3D('',#528026,#434349,#434350); #355944=AXIS2_PLACEMENT_3D('',#528028,#434352,#434353); #355945=AXIS2_PLACEMENT_3D('',#528029,#434354,#434355); #355946=AXIS2_PLACEMENT_3D('',#528032,#434358,#434359); #355947=AXIS2_PLACEMENT_3D('',#528034,#434361,#434362); #355948=AXIS2_PLACEMENT_3D('',#528036,#434364,#434365); #355949=AXIS2_PLACEMENT_3D('',#528037,#434366,#434367); #355950=AXIS2_PLACEMENT_3D('',#528040,#434370,#434371); #355951=AXIS2_PLACEMENT_3D('',#528042,#434373,#434374); #355952=AXIS2_PLACEMENT_3D('',#528044,#434376,#434377); #355953=AXIS2_PLACEMENT_3D('',#528045,#434378,#434379); #355954=AXIS2_PLACEMENT_3D('',#528048,#434382,#434383); #355955=AXIS2_PLACEMENT_3D('',#528050,#434385,#434386); #355956=AXIS2_PLACEMENT_3D('',#528052,#434388,#434389); #355957=AXIS2_PLACEMENT_3D('',#528053,#434390,#434391); #355958=AXIS2_PLACEMENT_3D('',#528054,#434392,#434393); #355959=AXIS2_PLACEMENT_3D('',#528055,#434394,#434395); #355960=AXIS2_PLACEMENT_3D('',#528056,#434396,#434397); #355961=AXIS2_PLACEMENT_3D('',#528057,#434398,#434399); #355962=AXIS2_PLACEMENT_3D('',#528060,#434400,#434401); #355963=AXIS2_PLACEMENT_3D('',#528062,#434402,#434403); #355964=AXIS2_PLACEMENT_3D('',#528063,#434404,#434405); #355965=AXIS2_PLACEMENT_3D('',#528064,#434406,#434407); #355966=AXIS2_PLACEMENT_3D('',#528067,#434408,#434409); #355967=AXIS2_PLACEMENT_3D('',#528069,#434410,#434411); #355968=AXIS2_PLACEMENT_3D('',#528070,#434412,#434413); #355969=AXIS2_PLACEMENT_3D('',#528071,#434414,#434415); #355970=AXIS2_PLACEMENT_3D('',#528074,#434416,#434417); #355971=AXIS2_PLACEMENT_3D('',#528076,#434418,#434419); #355972=AXIS2_PLACEMENT_3D('',#528077,#434420,#434421); #355973=AXIS2_PLACEMENT_3D('',#528078,#434422,#434423); #355974=AXIS2_PLACEMENT_3D('',#528081,#434424,#434425); #355975=AXIS2_PLACEMENT_3D('',#528083,#434426,#434427); #355976=AXIS2_PLACEMENT_3D('',#528084,#434428,#434429); #355977=AXIS2_PLACEMENT_3D('',#528085,#434430,#434431); #355978=AXIS2_PLACEMENT_3D('',#528088,#434432,#434433); #355979=AXIS2_PLACEMENT_3D('',#528090,#434434,#434435); #355980=AXIS2_PLACEMENT_3D('',#528091,#434436,#434437); #355981=AXIS2_PLACEMENT_3D('',#528092,#434438,#434439); #355982=AXIS2_PLACEMENT_3D('',#528095,#434442,#434443); #355983=AXIS2_PLACEMENT_3D('',#528098,#434444,#434445); #355984=AXIS2_PLACEMENT_3D('',#528100,#434446,#434447); #355985=AXIS2_PLACEMENT_3D('',#528101,#434448,#434449); #355986=AXIS2_PLACEMENT_3D('',#528102,#434450,#434451); #355987=AXIS2_PLACEMENT_3D('',#528105,#434452,#434453); #355988=AXIS2_PLACEMENT_3D('',#528107,#434454,#434455); #355989=AXIS2_PLACEMENT_3D('',#528108,#434456,#434457); #355990=AXIS2_PLACEMENT_3D('',#528109,#434458,#434459); #355991=AXIS2_PLACEMENT_3D('',#528112,#434462,#434463); #355992=AXIS2_PLACEMENT_3D('',#528115,#434466,#434467); #355993=AXIS2_PLACEMENT_3D('',#528118,#434468,#434469); #355994=AXIS2_PLACEMENT_3D('',#528120,#434470,#434471); #355995=AXIS2_PLACEMENT_3D('',#528121,#434472,#434473); #355996=AXIS2_PLACEMENT_3D('',#528122,#434474,#434475); #355997=AXIS2_PLACEMENT_3D('',#528125,#434478,#434479); #355998=AXIS2_PLACEMENT_3D('',#528128,#434482,#434483); #355999=AXIS2_PLACEMENT_3D('',#528131,#434486,#434487); #356000=AXIS2_PLACEMENT_3D('',#528134,#434490,#434491); #356001=AXIS2_PLACEMENT_3D('',#528137,#434494,#434495); #356002=AXIS2_PLACEMENT_3D('',#528140,#434498,#434499); #356003=AXIS2_PLACEMENT_3D('',#528143,#434502,#434503); #356004=AXIS2_PLACEMENT_3D('',#528146,#434506,#434507); #356005=AXIS2_PLACEMENT_3D('',#528149,#434510,#434511); #356006=AXIS2_PLACEMENT_3D('',#528150,#434512,#434513); #356007=AXIS2_PLACEMENT_3D('',#528151,#434514,#434515); #356008=AXIS2_PLACEMENT_3D('',#528152,#434516,#434517); #356009=AXIS2_PLACEMENT_3D('',#528153,#434518,#434519); #356010=AXIS2_PLACEMENT_3D('',#528154,#434520,#434521); #356011=AXIS2_PLACEMENT_3D('',#528155,#434522,#434523); #356012=AXIS2_PLACEMENT_3D('',#528158,#434524,#434525); #356013=AXIS2_PLACEMENT_3D('',#528160,#434526,#434527); #356014=AXIS2_PLACEMENT_3D('',#528161,#434528,#434529); #356015=AXIS2_PLACEMENT_3D('',#528162,#434530,#434531); #356016=AXIS2_PLACEMENT_3D('',#528165,#434532,#434533); #356017=AXIS2_PLACEMENT_3D('',#528167,#434534,#434535); #356018=AXIS2_PLACEMENT_3D('',#528168,#434536,#434537); #356019=AXIS2_PLACEMENT_3D('',#528169,#434538,#434539); #356020=AXIS2_PLACEMENT_3D('',#528172,#434540,#434541); #356021=AXIS2_PLACEMENT_3D('',#528174,#434542,#434543); #356022=AXIS2_PLACEMENT_3D('',#528175,#434544,#434545); #356023=AXIS2_PLACEMENT_3D('',#528176,#434546,#434547); #356024=AXIS2_PLACEMENT_3D('',#528179,#434548,#434549); #356025=AXIS2_PLACEMENT_3D('',#528181,#434550,#434551); #356026=AXIS2_PLACEMENT_3D('',#528182,#434552,#434553); #356027=AXIS2_PLACEMENT_3D('',#528183,#434554,#434555); #356028=AXIS2_PLACEMENT_3D('',#528186,#434556,#434557); #356029=AXIS2_PLACEMENT_3D('',#528188,#434558,#434559); #356030=AXIS2_PLACEMENT_3D('',#528189,#434560,#434561); #356031=AXIS2_PLACEMENT_3D('',#528190,#434562,#434563); #356032=AXIS2_PLACEMENT_3D('',#528193,#434566,#434567); #356033=AXIS2_PLACEMENT_3D('',#528196,#434568,#434569); #356034=AXIS2_PLACEMENT_3D('',#528198,#434570,#434571); #356035=AXIS2_PLACEMENT_3D('',#528199,#434572,#434573); #356036=AXIS2_PLACEMENT_3D('',#528200,#434574,#434575); #356037=AXIS2_PLACEMENT_3D('',#528203,#434576,#434577); #356038=AXIS2_PLACEMENT_3D('',#528205,#434578,#434579); #356039=AXIS2_PLACEMENT_3D('',#528206,#434580,#434581); #356040=AXIS2_PLACEMENT_3D('',#528207,#434582,#434583); #356041=AXIS2_PLACEMENT_3D('',#528210,#434586,#434587); #356042=AXIS2_PLACEMENT_3D('',#528213,#434590,#434591); #356043=AXIS2_PLACEMENT_3D('',#528216,#434592,#434593); #356044=AXIS2_PLACEMENT_3D('',#528218,#434594,#434595); #356045=AXIS2_PLACEMENT_3D('',#528219,#434596,#434597); #356046=AXIS2_PLACEMENT_3D('',#528220,#434598,#434599); #356047=AXIS2_PLACEMENT_3D('',#528223,#434602,#434603); #356048=AXIS2_PLACEMENT_3D('',#528226,#434606,#434607); #356049=AXIS2_PLACEMENT_3D('',#528229,#434610,#434611); #356050=AXIS2_PLACEMENT_3D('',#528232,#434614,#434615); #356051=AXIS2_PLACEMENT_3D('',#528235,#434618,#434619); #356052=AXIS2_PLACEMENT_3D('',#528238,#434622,#434623); #356053=AXIS2_PLACEMENT_3D('',#528241,#434626,#434627); #356054=AXIS2_PLACEMENT_3D('',#528244,#434630,#434631); #356055=AXIS2_PLACEMENT_3D('',#528247,#434634,#434635); #356056=AXIS2_PLACEMENT_3D('',#528248,#434636,#434637); #356057=AXIS2_PLACEMENT_3D('',#528249,#434638,#434639); #356058=AXIS2_PLACEMENT_3D('',#528250,#434640,#434641); #356059=AXIS2_PLACEMENT_3D('',#528251,#434642,#434643); #356060=AXIS2_PLACEMENT_3D('',#528252,#434644,#434645); #356061=AXIS2_PLACEMENT_3D('',#528253,#434646,#434647); #356062=AXIS2_PLACEMENT_3D('',#528262,#434652,#434653); #356063=AXIS2_PLACEMENT_3D('',#528268,#434657,#434658); #356064=AXIS2_PLACEMENT_3D('',#528274,#434662,#434663); #356065=AXIS2_PLACEMENT_3D('',#528277,#434666,#434667); #356066=AXIS2_PLACEMENT_3D('',#528278,#434668,#434669); #356067=AXIS2_PLACEMENT_3D('',#528279,#434670,#434671); #356068=AXIS2_PLACEMENT_3D('',#528288,#434676,#434677); #356069=AXIS2_PLACEMENT_3D('',#528297,#434682,#434683); #356070=AXIS2_PLACEMENT_3D('',#528300,#434686,#434687); #356071=AXIS2_PLACEMENT_3D('',#528302,#434689,#434690); #356072=AXIS2_PLACEMENT_3D('',#528304,#434692,#434693); #356073=AXIS2_PLACEMENT_3D('',#528305,#434694,#434695); #356074=AXIS2_PLACEMENT_3D('',#528314,#434700,#434701); #356075=AXIS2_PLACEMENT_3D('',#528320,#434705,#434706); #356076=AXIS2_PLACEMENT_3D('',#528326,#434710,#434711); #356077=AXIS2_PLACEMENT_3D('',#528329,#434714,#434715); #356078=AXIS2_PLACEMENT_3D('',#528330,#434716,#434717); #356079=AXIS2_PLACEMENT_3D('',#528331,#434718,#434719); #356080=AXIS2_PLACEMENT_3D('',#528332,#434720,#434721); #356081=AXIS2_PLACEMENT_3D('',#528333,#434722,#434723); #356082=AXIS2_PLACEMENT_3D('',#528334,#434724,#434725); #356083=AXIS2_PLACEMENT_3D('',#528335,#434726,#434727); #356084=AXIS2_PLACEMENT_3D('',#528336,#434728,#434729); #356085=AXIS2_PLACEMENT_3D('',#528369,#434746,#434747); #356086=AXIS2_PLACEMENT_3D('',#528378,#434752,#434753); #356087=AXIS2_PLACEMENT_3D('',#528381,#434754,#434755); #356088=AXIS2_PLACEMENT_3D('',#528385,#434757,#434758); #356089=AXIS2_PLACEMENT_3D('',#528389,#434760,#434761); #356090=AXIS2_PLACEMENT_3D('',#528393,#434763,#434764); #356091=AXIS2_PLACEMENT_3D('',#528395,#434766,#434767); #356092=AXIS2_PLACEMENT_3D('',#528398,#434768,#434769); #356093=AXIS2_PLACEMENT_3D('',#528402,#434771,#434772); #356094=AXIS2_PLACEMENT_3D('',#528406,#434774,#434775); #356095=AXIS2_PLACEMENT_3D('',#528410,#434777,#434778); #356096=AXIS2_PLACEMENT_3D('',#528412,#434780,#434781); #356097=AXIS2_PLACEMENT_3D('',#528415,#434784,#434785); #356098=AXIS2_PLACEMENT_3D('',#528418,#434788,#434789); #356099=AXIS2_PLACEMENT_3D('',#528451,#434806,#434807); #356100=AXIS2_PLACEMENT_3D('',#528457,#434811,#434812); #356101=AXIS2_PLACEMENT_3D('',#528496,#434832,#434833); #356102=AXIS2_PLACEMENT_3D('',#528500,#434835,#434836); #356103=AXIS2_PLACEMENT_3D('',#528506,#434840,#434841); #356104=AXIS2_PLACEMENT_3D('',#528509,#434842,#434843); #356105=AXIS2_PLACEMENT_3D('',#528516,#434848,#434849); #356106=AXIS2_PLACEMENT_3D('',#528519,#434850,#434851); #356107=AXIS2_PLACEMENT_3D('',#528526,#434853,#434854); #356108=AXIS2_PLACEMENT_3D('',#528530,#434856,#434857); #356109=AXIS2_PLACEMENT_3D('',#528535,#434858,#434859); #356110=AXIS2_PLACEMENT_3D('',#528544,#434864,#434865); #356111=AXIS2_PLACEMENT_3D('',#528553,#434870,#434871); #356112=AXIS2_PLACEMENT_3D('',#528562,#434876,#434877); #356113=AXIS2_PLACEMENT_3D('',#528571,#434882,#434883); #356114=AXIS2_PLACEMENT_3D('',#528580,#434888,#434889); #356115=AXIS2_PLACEMENT_3D('',#528589,#434894,#434895); #356116=AXIS2_PLACEMENT_3D('',#528598,#434900,#434901); #356117=AXIS2_PLACEMENT_3D('',#528607,#434906,#434907); #356118=AXIS2_PLACEMENT_3D('',#528616,#434912,#434913); #356119=AXIS2_PLACEMENT_3D('',#528625,#434918,#434919); #356120=AXIS2_PLACEMENT_3D('',#528634,#434924,#434925); #356121=AXIS2_PLACEMENT_3D('',#528643,#434930,#434931); #356122=AXIS2_PLACEMENT_3D('',#528649,#434935,#434936); #356123=AXIS2_PLACEMENT_3D('',#528655,#434940,#434941); #356124=AXIS2_PLACEMENT_3D('',#528664,#434946,#434947); #356125=AXIS2_PLACEMENT_3D('',#528667,#434950,#434951); #356126=AXIS2_PLACEMENT_3D('',#528673,#434955,#434956); #356127=AXIS2_PLACEMENT_3D('',#528679,#434960,#434961); #356128=AXIS2_PLACEMENT_3D('',#528688,#434966,#434967); #356129=AXIS2_PLACEMENT_3D('',#528691,#434970,#434971); #356130=AXIS2_PLACEMENT_3D('',#528697,#434975,#434976); #356131=AXIS2_PLACEMENT_3D('',#528703,#434980,#434981); #356132=AXIS2_PLACEMENT_3D('',#528712,#434986,#434987); #356133=AXIS2_PLACEMENT_3D('',#528715,#434990,#434991); #356134=AXIS2_PLACEMENT_3D('',#528721,#434995,#434996); #356135=AXIS2_PLACEMENT_3D('',#528727,#435000,#435001); #356136=AXIS2_PLACEMENT_3D('',#528736,#435006,#435007); #356137=AXIS2_PLACEMENT_3D('',#528739,#435010,#435011); #356138=AXIS2_PLACEMENT_3D('',#528745,#435015,#435016); #356139=AXIS2_PLACEMENT_3D('',#528751,#435020,#435021); #356140=AXIS2_PLACEMENT_3D('',#528760,#435026,#435027); #356141=AXIS2_PLACEMENT_3D('',#528763,#435030,#435031); #356142=AXIS2_PLACEMENT_3D('',#528769,#435035,#435036); #356143=AXIS2_PLACEMENT_3D('',#528775,#435040,#435041); #356144=AXIS2_PLACEMENT_3D('',#528784,#435046,#435047); #356145=AXIS2_PLACEMENT_3D('',#528787,#435050,#435051); #356146=AXIS2_PLACEMENT_3D('',#528793,#435055,#435056); #356147=AXIS2_PLACEMENT_3D('',#528799,#435060,#435061); #356148=AXIS2_PLACEMENT_3D('',#528805,#435065,#435066); #356149=AXIS2_PLACEMENT_3D('',#528811,#435070,#435071); #356150=AXIS2_PLACEMENT_3D('',#528820,#435076,#435077); #356151=AXIS2_PLACEMENT_3D('',#528823,#435080,#435081); #356152=AXIS2_PLACEMENT_3D('',#528829,#435085,#435086); #356153=AXIS2_PLACEMENT_3D('',#528835,#435090,#435091); #356154=AXIS2_PLACEMENT_3D('',#528844,#435096,#435097); #356155=AXIS2_PLACEMENT_3D('',#528847,#435100,#435101); #356156=AXIS2_PLACEMENT_3D('',#528853,#435105,#435106); #356157=AXIS2_PLACEMENT_3D('',#528859,#435110,#435111); #356158=AXIS2_PLACEMENT_3D('',#528868,#435116,#435117); #356159=AXIS2_PLACEMENT_3D('',#528871,#435120,#435121); #356160=AXIS2_PLACEMENT_3D('',#528877,#435125,#435126); #356161=AXIS2_PLACEMENT_3D('',#528883,#435130,#435131); #356162=AXIS2_PLACEMENT_3D('',#528892,#435136,#435137); #356163=AXIS2_PLACEMENT_3D('',#528895,#435140,#435141); #356164=AXIS2_PLACEMENT_3D('',#528901,#435145,#435146); #356165=AXIS2_PLACEMENT_3D('',#528907,#435150,#435151); #356166=AXIS2_PLACEMENT_3D('',#528916,#435156,#435157); #356167=AXIS2_PLACEMENT_3D('',#528919,#435160,#435161); #356168=AXIS2_PLACEMENT_3D('',#528925,#435165,#435166); #356169=AXIS2_PLACEMENT_3D('',#528931,#435170,#435171); #356170=AXIS2_PLACEMENT_3D('',#528939,#435176,#435177); #356171=AXIS2_PLACEMENT_3D('',#528949,#435183,#435184); #356172=AXIS2_PLACEMENT_3D('',#528954,#435188,#435189); #356173=AXIS2_PLACEMENT_3D('',#528968,#435203,#435204); #356174=AXIS2_PLACEMENT_3D('',#528978,#435210,#435211); #356175=AXIS2_PLACEMENT_3D('',#528988,#435217,#435218); #356176=AXIS2_PLACEMENT_3D('',#528993,#435220,#435221); #356177=AXIS2_PLACEMENT_3D('',#528996,#435223,#435224); #356178=AXIS2_PLACEMENT_3D('',#528997,#435225,#435226); #356179=AXIS2_PLACEMENT_3D('',#529003,#435230,#435231); #356180=AXIS2_PLACEMENT_3D('',#529012,#435236,#435237); #356181=AXIS2_PLACEMENT_3D('',#529041,#435252,#435253); #356182=AXIS2_PLACEMENT_3D('',#529050,#435258,#435259); #356183=AXIS2_PLACEMENT_3D('',#529056,#435263,#435264); #356184=AXIS2_PLACEMENT_3D('',#529065,#435268,#435269); #356185=AXIS2_PLACEMENT_3D('',#529069,#435271,#435272); #356186=AXIS2_PLACEMENT_3D('',#529076,#435276,#435277); #356187=AXIS2_PLACEMENT_3D('',#529077,#435278,#435279); #356188=AXIS2_PLACEMENT_3D('',#529078,#435280,#435281); #356189=AXIS2_PLACEMENT_3D('',#529081,#435284,#435285); #356190=AXIS2_PLACEMENT_3D('',#529084,#435288,#435289); #356191=AXIS2_PLACEMENT_3D('',#529095,#435295,#435296); #356192=AXIS2_PLACEMENT_3D('',#529098,#435298,#435299); #356193=AXIS2_PLACEMENT_3D('',#529099,#435300,#435301); #356194=AXIS2_PLACEMENT_3D('',#529108,#435306,#435307); #356195=AXIS2_PLACEMENT_3D('',#529111,#435310,#435311); #356196=AXIS2_PLACEMENT_3D('',#529114,#435314,#435315); #356197=AXIS2_PLACEMENT_3D('',#529117,#435318,#435319); #356198=AXIS2_PLACEMENT_3D('',#529119,#435320,#435321); #356199=AXIS2_PLACEMENT_3D('',#529122,#435323,#435324); #356200=AXIS2_PLACEMENT_3D('',#529123,#435325,#435326); #356201=AXIS2_PLACEMENT_3D('',#529130,#435331,#435332); #356202=AXIS2_PLACEMENT_3D('',#529131,#435333,#435334); #356203=AXIS2_PLACEMENT_3D('',#529132,#435335,#435336); #356204=AXIS2_PLACEMENT_3D('',#529133,#435337,#435338); #356205=AXIS2_PLACEMENT_3D('',#529136,#435340,#435341); #356206=AXIS2_PLACEMENT_3D('',#529137,#435342,#435343); #356207=AXIS2_PLACEMENT_3D('',#529141,#435347,#435348); #356208=AXIS2_PLACEMENT_3D('',#529159,#435359,#435360); #356209=AXIS2_PLACEMENT_3D('',#529161,#435361,#435362); #356210=AXIS2_PLACEMENT_3D('',#529165,#435364,#435365); #356211=AXIS2_PLACEMENT_3D('',#529168,#435367,#435368); #356212=AXIS2_PLACEMENT_3D('',#529169,#435369,#435370); #356213=AXIS2_PLACEMENT_3D('',#529175,#435374,#435375); #356214=AXIS2_PLACEMENT_3D('',#529179,#435377,#435378); #356215=AXIS2_PLACEMENT_3D('',#529182,#435380,#435381); #356216=AXIS2_PLACEMENT_3D('',#529183,#435382,#435383); #356217=AXIS2_PLACEMENT_3D('',#529184,#435384,#435385); #356218=AXIS2_PLACEMENT_3D('',#529196,#435393,#435394); #356219=AXIS2_PLACEMENT_3D('',#529201,#435396,#435397); #356220=AXIS2_PLACEMENT_3D('',#529205,#435399,#435400); #356221=AXIS2_PLACEMENT_3D('',#529209,#435402,#435403); #356222=AXIS2_PLACEMENT_3D('',#529212,#435405,#435406); #356223=AXIS2_PLACEMENT_3D('',#529221,#435411,#435412); #356224=AXIS2_PLACEMENT_3D('',#529227,#435416,#435417); #356225=AXIS2_PLACEMENT_3D('',#529233,#435421,#435422); #356226=AXIS2_PLACEMENT_3D('',#529235,#435423,#435424); #356227=AXIS2_PLACEMENT_3D('',#529237,#435425,#435426); #356228=AXIS2_PLACEMENT_3D('',#529239,#435428,#435429); #356229=AXIS2_PLACEMENT_3D('',#529245,#435433,#435434); #356230=AXIS2_PLACEMENT_3D('',#529251,#435438,#435439); #356231=AXIS2_PLACEMENT_3D('',#529260,#435444,#435445); #356232=AXIS2_PLACEMENT_3D('',#529269,#435450,#435451); #356233=AXIS2_PLACEMENT_3D('',#529275,#435455,#435456); #356234=AXIS2_PLACEMENT_3D('',#529276,#435457,#435458); #356235=AXIS2_PLACEMENT_3D('',#529277,#435459,#435460); #356236=AXIS2_PLACEMENT_3D('',#529278,#435461,#435462); #356237=AXIS2_PLACEMENT_3D('',#529284,#435466,#435467); #356238=AXIS2_PLACEMENT_3D('',#529290,#435471,#435472); #356239=AXIS2_PLACEMENT_3D('',#529293,#435475,#435476); #356240=AXIS2_PLACEMENT_3D('',#529295,#435478,#435479); #356241=AXIS2_PLACEMENT_3D('',#529297,#435481,#435482); #356242=AXIS2_PLACEMENT_3D('',#529299,#435484,#435485); #356243=AXIS2_PLACEMENT_3D('',#529301,#435487,#435488); #356244=AXIS2_PLACEMENT_3D('',#529303,#435490,#435491); #356245=AXIS2_PLACEMENT_3D('',#529305,#435493,#435494); #356246=AXIS2_PLACEMENT_3D('',#529306,#435495,#435496); #356247=AXIS2_PLACEMENT_3D('',#529308,#435498,#435499); #356248=AXIS2_PLACEMENT_3D('',#529310,#435501,#435502); #356249=AXIS2_PLACEMENT_3D('',#529312,#435504,#435505); #356250=AXIS2_PLACEMENT_3D('',#529313,#435506,#435507); #356251=AXIS2_PLACEMENT_3D('',#529315,#435509,#435510); #356252=AXIS2_PLACEMENT_3D('',#529316,#435511,#435512); #356253=AXIS2_PLACEMENT_3D('',#529317,#435513,#435514); #356254=AXIS2_PLACEMENT_3D('',#529318,#435515,#435516); #356255=AXIS2_PLACEMENT_3D('',#529319,#435517,#435518); #356256=AXIS2_PLACEMENT_3D('',#529320,#435519,#435520); #356257=AXIS2_PLACEMENT_3D('',#529321,#435521,#435522); #356258=AXIS2_PLACEMENT_3D('',#529322,#435523,#435524); #356259=AXIS2_PLACEMENT_3D('',#529323,#435525,#435526); #356260=AXIS2_PLACEMENT_3D('',#529324,#435527,#435528); #356261=AXIS2_PLACEMENT_3D('',#529326,#435530,#435531); #356262=AXIS2_PLACEMENT_3D('',#529328,#435533,#435534); #356263=AXIS2_PLACEMENT_3D('',#529329,#435535,#435536); #356264=AXIS2_PLACEMENT_3D('',#529331,#435538,#435539); #356265=AXIS2_PLACEMENT_3D('',#529333,#435541,#435542); #356266=AXIS2_PLACEMENT_3D('',#529334,#435543,#435544); #356267=AXIS2_PLACEMENT_3D('',#529336,#435546,#435547); #356268=AXIS2_PLACEMENT_3D('',#529343,#435552,#435553); #356269=AXIS2_PLACEMENT_3D('',#529345,#435555,#435556); #356270=AXIS2_PLACEMENT_3D('',#529346,#435557,#435558); #356271=AXIS2_PLACEMENT_3D('',#529357,#435565,#435566); #356272=AXIS2_PLACEMENT_3D('',#529366,#435571,#435572); #356273=AXIS2_PLACEMENT_3D('',#529369,#435575,#435576); #356274=AXIS2_PLACEMENT_3D('',#529371,#435578,#435579); #356275=AXIS2_PLACEMENT_3D('',#529378,#435584,#435585); #356276=AXIS2_PLACEMENT_3D('',#529380,#435587,#435588); #356277=AXIS2_PLACEMENT_3D('',#529381,#435589,#435590); #356278=AXIS2_PLACEMENT_3D('',#529383,#435592,#435593); #356279=AXIS2_PLACEMENT_3D('',#529385,#435595,#435596); #356280=AXIS2_PLACEMENT_3D('',#529386,#435597,#435598); #356281=AXIS2_PLACEMENT_3D('',#529388,#435600,#435601); #356282=AXIS2_PLACEMENT_3D('',#529392,#435604,#435605); #356283=AXIS2_PLACEMENT_3D('',#529401,#435611,#435612); #356284=AXIS2_PLACEMENT_3D('',#529403,#435614,#435615); #356285=AXIS2_PLACEMENT_3D('',#529405,#435617,#435618); #356286=AXIS2_PLACEMENT_3D('',#529407,#435619,#435620); #356287=AXIS2_PLACEMENT_3D('',#529409,#435621,#435622); #356288=AXIS2_PLACEMENT_3D('',#529410,#435623,#435624); #356289=AXIS2_PLACEMENT_3D('',#529413,#435626,#435627); #356290=AXIS2_PLACEMENT_3D('',#529414,#435628,#435629); #356291=AXIS2_PLACEMENT_3D('',#529416,#435630,#435631); #356292=AXIS2_PLACEMENT_3D('',#529417,#435632,#435633); #356293=AXIS2_PLACEMENT_3D('',#529420,#435635,#435636); #356294=AXIS2_PLACEMENT_3D('',#529421,#435637,#435638); #356295=AXIS2_PLACEMENT_3D('',#529423,#435639,#435640); #356296=AXIS2_PLACEMENT_3D('',#529424,#435641,#435642); #356297=AXIS2_PLACEMENT_3D('',#529426,#435644,#435645); #356298=AXIS2_PLACEMENT_3D('',#529428,#435647,#435648); #356299=AXIS2_PLACEMENT_3D('',#529429,#435649,#435650); #356300=AXIS2_PLACEMENT_3D('',#529433,#435653,#435654); #356301=AXIS2_PLACEMENT_3D('',#529440,#435655,#435656); #356302=AXIS2_PLACEMENT_3D('',#529446,#435657,#435658); #356303=AXIS2_PLACEMENT_3D('',#529448,#435660,#435661); #356304=AXIS2_PLACEMENT_3D('',#529449,#435662,#435663); #356305=AXIS2_PLACEMENT_3D('',#529451,#435665,#435666); #356306=AXIS2_PLACEMENT_3D('',#529453,#435668,#435669); #356307=AXIS2_PLACEMENT_3D('',#529454,#435670,#435671); #356308=AXIS2_PLACEMENT_3D('',#529467,#435684,#435685); #356309=AXIS2_PLACEMENT_3D('',#529469,#435687,#435688); #356310=AXIS2_PLACEMENT_3D('',#529470,#435689,#435690); #356311=AXIS2_PLACEMENT_3D('',#529472,#435692,#435693); #356312=AXIS2_PLACEMENT_3D('',#529473,#435694,#435695); #356313=AXIS2_PLACEMENT_3D('',#529475,#435697,#435698); #356314=AXIS2_PLACEMENT_3D('',#529476,#435699,#435700); #356315=AXIS2_PLACEMENT_3D('',#529478,#435702,#435703); #356316=AXIS2_PLACEMENT_3D('',#529479,#435704,#435705); #356317=AXIS2_PLACEMENT_3D('',#529481,#435707,#435708); #356318=AXIS2_PLACEMENT_3D('',#529482,#435709,#435710); #356319=AXIS2_PLACEMENT_3D('',#529484,#435712,#435713); #356320=AXIS2_PLACEMENT_3D('',#529485,#435714,#435715); #356321=AXIS2_PLACEMENT_3D('',#529487,#435717,#435718); #356322=AXIS2_PLACEMENT_3D('',#529488,#435719,#435720); #356323=AXIS2_PLACEMENT_3D('',#529490,#435722,#435723); #356324=AXIS2_PLACEMENT_3D('',#529491,#435724,#435725); #356325=AXIS2_PLACEMENT_3D('',#529493,#435727,#435728); #356326=AXIS2_PLACEMENT_3D('',#529494,#435729,#435730); #356327=AXIS2_PLACEMENT_3D('',#529496,#435732,#435733); #356328=AXIS2_PLACEMENT_3D('',#529497,#435734,#435735); #356329=AXIS2_PLACEMENT_3D('',#529499,#435737,#435738); #356330=AXIS2_PLACEMENT_3D('',#529500,#435739,#435740); #356331=AXIS2_PLACEMENT_3D('',#529502,#435742,#435743); #356332=AXIS2_PLACEMENT_3D('',#529503,#435744,#435745); #356333=AXIS2_PLACEMENT_3D('',#529513,#435751,#435752); #356334=AXIS2_PLACEMENT_3D('',#529517,#435755,#435756); #356335=AXIS2_PLACEMENT_3D('',#529524,#435761,#435762); #356336=AXIS2_PLACEMENT_3D('',#529530,#435767,#435768); #356337=AXIS2_PLACEMENT_3D('',#529532,#435770,#435771); #356338=AXIS2_PLACEMENT_3D('',#529538,#435775,#435776); #356339=AXIS2_PLACEMENT_3D('',#529547,#435782,#435783); #356340=AXIS2_PLACEMENT_3D('',#529577,#435799,#435800); #356341=AXIS2_PLACEMENT_3D('',#529581,#435803,#435804); #356342=AXIS2_PLACEMENT_3D('',#529585,#435807,#435808); #356343=AXIS2_PLACEMENT_3D('',#529588,#435811,#435812); #356344=AXIS2_PLACEMENT_3D('',#529589,#435813,#435814); #356345=AXIS2_PLACEMENT_3D('',#529593,#435817,#435818); #356346=AXIS2_PLACEMENT_3D('',#529597,#435821,#435822); #356347=AXIS2_PLACEMENT_3D('',#529600,#435825,#435826); #356348=AXIS2_PLACEMENT_3D('',#529601,#435827,#435828); #356349=AXIS2_PLACEMENT_3D('',#529605,#435831,#435832); #356350=AXIS2_PLACEMENT_3D('',#529609,#435835,#435836); #356351=AXIS2_PLACEMENT_3D('',#529613,#435839,#435840); #356352=AXIS2_PLACEMENT_3D('',#529617,#435843,#435844); #356353=AXIS2_PLACEMENT_3D('',#529621,#435847,#435848); #356354=AXIS2_PLACEMENT_3D('',#529625,#435851,#435852); #356355=AXIS2_PLACEMENT_3D('',#529629,#435855,#435856); #356356=AXIS2_PLACEMENT_3D('',#529633,#435859,#435860); #356357=AXIS2_PLACEMENT_3D('',#529637,#435863,#435864); #356358=AXIS2_PLACEMENT_3D('',#529641,#435867,#435868); #356359=AXIS2_PLACEMENT_3D('',#529645,#435871,#435872); #356360=AXIS2_PLACEMENT_3D('',#529649,#435875,#435876); #356361=AXIS2_PLACEMENT_3D('',#529653,#435879,#435880); #356362=AXIS2_PLACEMENT_3D('',#529657,#435883,#435884); #356363=AXIS2_PLACEMENT_3D('',#529659,#435886,#435887); #356364=AXIS2_PLACEMENT_3D('',#529663,#435890,#435891); #356365=AXIS2_PLACEMENT_3D('',#529667,#435894,#435895); #356366=AXIS2_PLACEMENT_3D('',#529671,#435898,#435899); #356367=AXIS2_PLACEMENT_3D('',#529675,#435902,#435903); #356368=AXIS2_PLACEMENT_3D('',#529679,#435906,#435907); #356369=AXIS2_PLACEMENT_3D('',#529683,#435910,#435911); #356370=AXIS2_PLACEMENT_3D('',#529687,#435914,#435915); #356371=AXIS2_PLACEMENT_3D('',#529691,#435918,#435919); #356372=AXIS2_PLACEMENT_3D('',#529695,#435922,#435923); #356373=AXIS2_PLACEMENT_3D('',#529699,#435926,#435927); #356374=AXIS2_PLACEMENT_3D('',#529703,#435930,#435931); #356375=AXIS2_PLACEMENT_3D('',#529707,#435934,#435935); #356376=AXIS2_PLACEMENT_3D('',#529711,#435938,#435939); #356377=AXIS2_PLACEMENT_3D('',#529715,#435942,#435943); #356378=AXIS2_PLACEMENT_3D('',#529717,#435945,#435946); #356379=AXIS2_PLACEMENT_3D('',#529718,#435947,#435948); #356380=AXIS2_PLACEMENT_3D('',#529720,#435950,#435951); #356381=AXIS2_PLACEMENT_3D('',#529722,#435953,#435954); #356382=AXIS2_PLACEMENT_3D('',#529723,#435955,#435956); #356383=AXIS2_PLACEMENT_3D('',#529725,#435958,#435959); #356384=AXIS2_PLACEMENT_3D('',#529727,#435961,#435962); #356385=AXIS2_PLACEMENT_3D('',#529728,#435963,#435964); #356386=AXIS2_PLACEMENT_3D('',#529729,#435965,#435966); #356387=AXIS2_PLACEMENT_3D('',#529731,#435968,#435969); #356388=AXIS2_PLACEMENT_3D('',#529732,#435970,#435971); #356389=AXIS2_PLACEMENT_3D('',#529733,#435972,#435973); #356390=AXIS2_PLACEMENT_3D('',#529734,#435974,#435975); #356391=AXIS2_PLACEMENT_3D('',#529743,#435980,#435981); #356392=AXIS2_PLACEMENT_3D('',#529747,#435983,#435984); #356393=AXIS2_PLACEMENT_3D('',#529751,#435986,#435987); #356394=AXIS2_PLACEMENT_3D('',#529759,#435991,#435992); #356395=AXIS2_PLACEMENT_3D('',#529763,#435994,#435995); #356396=AXIS2_PLACEMENT_3D('',#529765,#435997,#435998); #356397=AXIS2_PLACEMENT_3D('',#529774,#436002,#436003); #356398=AXIS2_PLACEMENT_3D('',#529778,#436005,#436006); #356399=AXIS2_PLACEMENT_3D('',#529786,#436010,#436011); #356400=AXIS2_PLACEMENT_3D('',#529789,#436013,#436014); #356401=AXIS2_PLACEMENT_3D('',#529790,#436015,#436016); #356402=AXIS2_PLACEMENT_3D('',#529796,#436020,#436021); #356403=AXIS2_PLACEMENT_3D('',#529806,#436027,#436028); #356404=AXIS2_PLACEMENT_3D('',#529812,#436032,#436033); #356405=AXIS2_PLACEMENT_3D('',#529819,#436038,#436039); #356406=AXIS2_PLACEMENT_3D('',#529822,#436042,#436043); #356407=AXIS2_PLACEMENT_3D('',#529825,#436046,#436047); #356408=AXIS2_PLACEMENT_3D('',#529828,#436050,#436051); #356409=AXIS2_PLACEMENT_3D('',#529830,#436053,#436054); #356410=AXIS2_PLACEMENT_3D('',#529832,#436056,#436057); #356411=AXIS2_PLACEMENT_3D('',#529834,#436059,#436060); #356412=AXIS2_PLACEMENT_3D('',#529835,#436061,#436062); #356413=AXIS2_PLACEMENT_3D('',#529837,#436064,#436065); #356414=AXIS2_PLACEMENT_3D('',#529839,#436067,#436068); #356415=AXIS2_PLACEMENT_3D('',#529841,#436070,#436071); #356416=AXIS2_PLACEMENT_3D('',#529842,#436072,#436073); #356417=AXIS2_PLACEMENT_3D('',#529843,#436074,#436075); #356418=AXIS2_PLACEMENT_3D('',#529844,#436076,#436077); #356419=AXIS2_PLACEMENT_3D('',#529845,#436078,#436079); #356420=AXIS2_PLACEMENT_3D('',#529854,#436084,#436085); #356421=AXIS2_PLACEMENT_3D('',#529858,#436087,#436088); #356422=AXIS2_PLACEMENT_3D('',#529862,#436090,#436091); #356423=AXIS2_PLACEMENT_3D('',#529870,#436095,#436096); #356424=AXIS2_PLACEMENT_3D('',#529874,#436098,#436099); #356425=AXIS2_PLACEMENT_3D('',#529876,#436101,#436102); #356426=AXIS2_PLACEMENT_3D('',#529885,#436106,#436107); #356427=AXIS2_PLACEMENT_3D('',#529889,#436109,#436110); #356428=AXIS2_PLACEMENT_3D('',#529897,#436114,#436115); #356429=AXIS2_PLACEMENT_3D('',#529900,#436117,#436118); #356430=AXIS2_PLACEMENT_3D('',#529901,#436119,#436120); #356431=AXIS2_PLACEMENT_3D('',#529907,#436124,#436125); #356432=AXIS2_PLACEMENT_3D('',#529917,#436131,#436132); #356433=AXIS2_PLACEMENT_3D('',#529923,#436136,#436137); #356434=AXIS2_PLACEMENT_3D('',#529930,#436142,#436143); #356435=AXIS2_PLACEMENT_3D('',#529933,#436146,#436147); #356436=AXIS2_PLACEMENT_3D('',#529936,#436150,#436151); #356437=AXIS2_PLACEMENT_3D('',#529939,#436154,#436155); #356438=AXIS2_PLACEMENT_3D('',#529941,#436157,#436158); #356439=AXIS2_PLACEMENT_3D('',#529943,#436160,#436161); #356440=AXIS2_PLACEMENT_3D('',#529945,#436163,#436164); #356441=AXIS2_PLACEMENT_3D('',#529946,#436165,#436166); #356442=AXIS2_PLACEMENT_3D('',#529948,#436168,#436169); #356443=AXIS2_PLACEMENT_3D('',#529950,#436171,#436172); #356444=AXIS2_PLACEMENT_3D('',#529952,#436174,#436175); #356445=AXIS2_PLACEMENT_3D('',#529953,#436176,#436177); #356446=AXIS2_PLACEMENT_3D('',#529954,#436178,#436179); #356447=AXIS2_PLACEMENT_3D('',#529955,#436180,#436181); #356448=AXIS2_PLACEMENT_3D('',#529956,#436182,#436183); #356449=AXIS2_PLACEMENT_3D('',#529965,#436188,#436189); #356450=AXIS2_PLACEMENT_3D('',#529969,#436191,#436192); #356451=AXIS2_PLACEMENT_3D('',#529973,#436194,#436195); #356452=AXIS2_PLACEMENT_3D('',#529981,#436199,#436200); #356453=AXIS2_PLACEMENT_3D('',#529985,#436202,#436203); #356454=AXIS2_PLACEMENT_3D('',#529987,#436205,#436206); #356455=AXIS2_PLACEMENT_3D('',#529996,#436210,#436211); #356456=AXIS2_PLACEMENT_3D('',#530000,#436213,#436214); #356457=AXIS2_PLACEMENT_3D('',#530008,#436218,#436219); #356458=AXIS2_PLACEMENT_3D('',#530011,#436221,#436222); #356459=AXIS2_PLACEMENT_3D('',#530012,#436223,#436224); #356460=AXIS2_PLACEMENT_3D('',#530018,#436228,#436229); #356461=AXIS2_PLACEMENT_3D('',#530028,#436235,#436236); #356462=AXIS2_PLACEMENT_3D('',#530034,#436240,#436241); #356463=AXIS2_PLACEMENT_3D('',#530041,#436246,#436247); #356464=AXIS2_PLACEMENT_3D('',#530044,#436250,#436251); #356465=AXIS2_PLACEMENT_3D('',#530047,#436254,#436255); #356466=AXIS2_PLACEMENT_3D('',#530050,#436258,#436259); #356467=AXIS2_PLACEMENT_3D('',#530052,#436261,#436262); #356468=AXIS2_PLACEMENT_3D('',#530054,#436264,#436265); #356469=AXIS2_PLACEMENT_3D('',#530056,#436267,#436268); #356470=AXIS2_PLACEMENT_3D('',#530057,#436269,#436270); #356471=AXIS2_PLACEMENT_3D('',#530059,#436272,#436273); #356472=AXIS2_PLACEMENT_3D('',#530061,#436275,#436276); #356473=AXIS2_PLACEMENT_3D('',#530063,#436278,#436279); #356474=AXIS2_PLACEMENT_3D('',#530064,#436280,#436281); #356475=AXIS2_PLACEMENT_3D('',#530065,#436282,#436283); #356476=AXIS2_PLACEMENT_3D('',#530066,#436284,#436285); #356477=AXIS2_PLACEMENT_3D('',#530067,#436286,#436287); #356478=AXIS2_PLACEMENT_3D('',#530076,#436292,#436293); #356479=AXIS2_PLACEMENT_3D('',#530080,#436295,#436296); #356480=AXIS2_PLACEMENT_3D('',#530084,#436298,#436299); #356481=AXIS2_PLACEMENT_3D('',#530092,#436303,#436304); #356482=AXIS2_PLACEMENT_3D('',#530096,#436306,#436307); #356483=AXIS2_PLACEMENT_3D('',#530098,#436309,#436310); #356484=AXIS2_PLACEMENT_3D('',#530107,#436314,#436315); #356485=AXIS2_PLACEMENT_3D('',#530111,#436317,#436318); #356486=AXIS2_PLACEMENT_3D('',#530119,#436322,#436323); #356487=AXIS2_PLACEMENT_3D('',#530122,#436325,#436326); #356488=AXIS2_PLACEMENT_3D('',#530123,#436327,#436328); #356489=AXIS2_PLACEMENT_3D('',#530129,#436332,#436333); #356490=AXIS2_PLACEMENT_3D('',#530139,#436339,#436340); #356491=AXIS2_PLACEMENT_3D('',#530145,#436344,#436345); #356492=AXIS2_PLACEMENT_3D('',#530152,#436350,#436351); #356493=AXIS2_PLACEMENT_3D('',#530155,#436354,#436355); #356494=AXIS2_PLACEMENT_3D('',#530158,#436358,#436359); #356495=AXIS2_PLACEMENT_3D('',#530161,#436362,#436363); #356496=AXIS2_PLACEMENT_3D('',#530163,#436365,#436366); #356497=AXIS2_PLACEMENT_3D('',#530165,#436368,#436369); #356498=AXIS2_PLACEMENT_3D('',#530167,#436371,#436372); #356499=AXIS2_PLACEMENT_3D('',#530168,#436373,#436374); #356500=AXIS2_PLACEMENT_3D('',#530170,#436376,#436377); #356501=AXIS2_PLACEMENT_3D('',#530172,#436379,#436380); #356502=AXIS2_PLACEMENT_3D('',#530174,#436382,#436383); #356503=AXIS2_PLACEMENT_3D('',#530175,#436384,#436385); #356504=AXIS2_PLACEMENT_3D('',#530176,#436386,#436387); #356505=AXIS2_PLACEMENT_3D('',#530177,#436388,#436389); #356506=AXIS2_PLACEMENT_3D('',#530178,#436390,#436391); #356507=AXIS2_PLACEMENT_3D('',#530187,#436396,#436397); #356508=AXIS2_PLACEMENT_3D('',#530191,#436399,#436400); #356509=AXIS2_PLACEMENT_3D('',#530195,#436402,#436403); #356510=AXIS2_PLACEMENT_3D('',#530203,#436407,#436408); #356511=AXIS2_PLACEMENT_3D('',#530207,#436410,#436411); #356512=AXIS2_PLACEMENT_3D('',#530209,#436413,#436414); #356513=AXIS2_PLACEMENT_3D('',#530218,#436418,#436419); #356514=AXIS2_PLACEMENT_3D('',#530222,#436421,#436422); #356515=AXIS2_PLACEMENT_3D('',#530230,#436426,#436427); #356516=AXIS2_PLACEMENT_3D('',#530233,#436429,#436430); #356517=AXIS2_PLACEMENT_3D('',#530234,#436431,#436432); #356518=AXIS2_PLACEMENT_3D('',#530240,#436436,#436437); #356519=AXIS2_PLACEMENT_3D('',#530250,#436443,#436444); #356520=AXIS2_PLACEMENT_3D('',#530256,#436448,#436449); #356521=AXIS2_PLACEMENT_3D('',#530263,#436454,#436455); #356522=AXIS2_PLACEMENT_3D('',#530266,#436458,#436459); #356523=AXIS2_PLACEMENT_3D('',#530269,#436462,#436463); #356524=AXIS2_PLACEMENT_3D('',#530272,#436466,#436467); #356525=AXIS2_PLACEMENT_3D('',#530274,#436469,#436470); #356526=AXIS2_PLACEMENT_3D('',#530276,#436472,#436473); #356527=AXIS2_PLACEMENT_3D('',#530278,#436475,#436476); #356528=AXIS2_PLACEMENT_3D('',#530279,#436477,#436478); #356529=AXIS2_PLACEMENT_3D('',#530281,#436480,#436481); #356530=AXIS2_PLACEMENT_3D('',#530283,#436483,#436484); #356531=AXIS2_PLACEMENT_3D('',#530285,#436486,#436487); #356532=AXIS2_PLACEMENT_3D('',#530286,#436488,#436489); #356533=AXIS2_PLACEMENT_3D('',#530287,#436490,#436491); #356534=AXIS2_PLACEMENT_3D('',#530288,#436492,#436493); #356535=AXIS2_PLACEMENT_3D('',#530289,#436494,#436495); #356536=AXIS2_PLACEMENT_3D('',#530298,#436500,#436501); #356537=AXIS2_PLACEMENT_3D('',#530302,#436503,#436504); #356538=AXIS2_PLACEMENT_3D('',#530306,#436506,#436507); #356539=AXIS2_PLACEMENT_3D('',#530314,#436511,#436512); #356540=AXIS2_PLACEMENT_3D('',#530318,#436514,#436515); #356541=AXIS2_PLACEMENT_3D('',#530320,#436517,#436518); #356542=AXIS2_PLACEMENT_3D('',#530329,#436522,#436523); #356543=AXIS2_PLACEMENT_3D('',#530333,#436525,#436526); #356544=AXIS2_PLACEMENT_3D('',#530341,#436530,#436531); #356545=AXIS2_PLACEMENT_3D('',#530344,#436533,#436534); #356546=AXIS2_PLACEMENT_3D('',#530345,#436535,#436536); #356547=AXIS2_PLACEMENT_3D('',#530351,#436540,#436541); #356548=AXIS2_PLACEMENT_3D('',#530361,#436547,#436548); #356549=AXIS2_PLACEMENT_3D('',#530367,#436552,#436553); #356550=AXIS2_PLACEMENT_3D('',#530374,#436558,#436559); #356551=AXIS2_PLACEMENT_3D('',#530377,#436562,#436563); #356552=AXIS2_PLACEMENT_3D('',#530380,#436566,#436567); #356553=AXIS2_PLACEMENT_3D('',#530383,#436570,#436571); #356554=AXIS2_PLACEMENT_3D('',#530385,#436573,#436574); #356555=AXIS2_PLACEMENT_3D('',#530387,#436576,#436577); #356556=AXIS2_PLACEMENT_3D('',#530389,#436579,#436580); #356557=AXIS2_PLACEMENT_3D('',#530390,#436581,#436582); #356558=AXIS2_PLACEMENT_3D('',#530392,#436584,#436585); #356559=AXIS2_PLACEMENT_3D('',#530394,#436587,#436588); #356560=AXIS2_PLACEMENT_3D('',#530396,#436590,#436591); #356561=AXIS2_PLACEMENT_3D('',#530397,#436592,#436593); #356562=AXIS2_PLACEMENT_3D('',#530398,#436594,#436595); #356563=AXIS2_PLACEMENT_3D('',#530399,#436596,#436597); #356564=AXIS2_PLACEMENT_3D('',#530400,#436598,#436599); #356565=AXIS2_PLACEMENT_3D('',#530409,#436604,#436605); #356566=AXIS2_PLACEMENT_3D('',#530413,#436607,#436608); #356567=AXIS2_PLACEMENT_3D('',#530417,#436610,#436611); #356568=AXIS2_PLACEMENT_3D('',#530425,#436615,#436616); #356569=AXIS2_PLACEMENT_3D('',#530429,#436618,#436619); #356570=AXIS2_PLACEMENT_3D('',#530431,#436621,#436622); #356571=AXIS2_PLACEMENT_3D('',#530440,#436626,#436627); #356572=AXIS2_PLACEMENT_3D('',#530444,#436629,#436630); #356573=AXIS2_PLACEMENT_3D('',#530452,#436634,#436635); #356574=AXIS2_PLACEMENT_3D('',#530455,#436637,#436638); #356575=AXIS2_PLACEMENT_3D('',#530456,#436639,#436640); #356576=AXIS2_PLACEMENT_3D('',#530462,#436644,#436645); #356577=AXIS2_PLACEMENT_3D('',#530472,#436651,#436652); #356578=AXIS2_PLACEMENT_3D('',#530478,#436656,#436657); #356579=AXIS2_PLACEMENT_3D('',#530485,#436662,#436663); #356580=AXIS2_PLACEMENT_3D('',#530488,#436666,#436667); #356581=AXIS2_PLACEMENT_3D('',#530491,#436670,#436671); #356582=AXIS2_PLACEMENT_3D('',#530494,#436674,#436675); #356583=AXIS2_PLACEMENT_3D('',#530496,#436677,#436678); #356584=AXIS2_PLACEMENT_3D('',#530498,#436680,#436681); #356585=AXIS2_PLACEMENT_3D('',#530500,#436683,#436684); #356586=AXIS2_PLACEMENT_3D('',#530501,#436685,#436686); #356587=AXIS2_PLACEMENT_3D('',#530503,#436688,#436689); #356588=AXIS2_PLACEMENT_3D('',#530505,#436691,#436692); #356589=AXIS2_PLACEMENT_3D('',#530507,#436694,#436695); #356590=AXIS2_PLACEMENT_3D('',#530508,#436696,#436697); #356591=AXIS2_PLACEMENT_3D('',#530509,#436698,#436699); #356592=AXIS2_PLACEMENT_3D('',#530510,#436700,#436701); #356593=AXIS2_PLACEMENT_3D('',#530511,#436702,#436703); #356594=AXIS2_PLACEMENT_3D('',#530520,#436708,#436709); #356595=AXIS2_PLACEMENT_3D('',#530526,#436712,#436713); #356596=AXIS2_PLACEMENT_3D('',#530530,#436715,#436716); #356597=AXIS2_PLACEMENT_3D('',#530538,#436720,#436721); #356598=AXIS2_PLACEMENT_3D('',#530541,#436723,#436724); #356599=AXIS2_PLACEMENT_3D('',#530542,#436725,#436726); #356600=AXIS2_PLACEMENT_3D('',#530547,#436728,#436729); #356601=AXIS2_PLACEMENT_3D('',#530551,#436731,#436732); #356602=AXIS2_PLACEMENT_3D('',#530559,#436736,#436737); #356603=AXIS2_PLACEMENT_3D('',#530563,#436739,#436740); #356604=AXIS2_PLACEMENT_3D('',#530567,#436743,#436744); #356605=AXIS2_PLACEMENT_3D('',#530573,#436748,#436749); #356606=AXIS2_PLACEMENT_3D('',#530583,#436755,#436756); #356607=AXIS2_PLACEMENT_3D('',#530589,#436760,#436761); #356608=AXIS2_PLACEMENT_3D('',#530596,#436766,#436767); #356609=AXIS2_PLACEMENT_3D('',#530599,#436770,#436771); #356610=AXIS2_PLACEMENT_3D('',#530602,#436774,#436775); #356611=AXIS2_PLACEMENT_3D('',#530605,#436778,#436779); #356612=AXIS2_PLACEMENT_3D('',#530607,#436781,#436782); #356613=AXIS2_PLACEMENT_3D('',#530609,#436784,#436785); #356614=AXIS2_PLACEMENT_3D('',#530611,#436787,#436788); #356615=AXIS2_PLACEMENT_3D('',#530612,#436789,#436790); #356616=AXIS2_PLACEMENT_3D('',#530614,#436792,#436793); #356617=AXIS2_PLACEMENT_3D('',#530616,#436795,#436796); #356618=AXIS2_PLACEMENT_3D('',#530618,#436798,#436799); #356619=AXIS2_PLACEMENT_3D('',#530619,#436800,#436801); #356620=AXIS2_PLACEMENT_3D('',#530620,#436802,#436803); #356621=AXIS2_PLACEMENT_3D('',#530621,#436804,#436805); #356622=AXIS2_PLACEMENT_3D('',#530622,#436806,#436807); #356623=AXIS2_PLACEMENT_3D('',#530631,#436812,#436813); #356624=AXIS2_PLACEMENT_3D('',#530637,#436816,#436817); #356625=AXIS2_PLACEMENT_3D('',#530641,#436819,#436820); #356626=AXIS2_PLACEMENT_3D('',#530649,#436824,#436825); #356627=AXIS2_PLACEMENT_3D('',#530652,#436827,#436828); #356628=AXIS2_PLACEMENT_3D('',#530653,#436829,#436830); #356629=AXIS2_PLACEMENT_3D('',#530658,#436832,#436833); #356630=AXIS2_PLACEMENT_3D('',#530662,#436835,#436836); #356631=AXIS2_PLACEMENT_3D('',#530670,#436840,#436841); #356632=AXIS2_PLACEMENT_3D('',#530674,#436843,#436844); #356633=AXIS2_PLACEMENT_3D('',#530678,#436847,#436848); #356634=AXIS2_PLACEMENT_3D('',#530684,#436852,#436853); #356635=AXIS2_PLACEMENT_3D('',#530694,#436859,#436860); #356636=AXIS2_PLACEMENT_3D('',#530700,#436864,#436865); #356637=AXIS2_PLACEMENT_3D('',#530707,#436870,#436871); #356638=AXIS2_PLACEMENT_3D('',#530710,#436874,#436875); #356639=AXIS2_PLACEMENT_3D('',#530713,#436878,#436879); #356640=AXIS2_PLACEMENT_3D('',#530716,#436882,#436883); #356641=AXIS2_PLACEMENT_3D('',#530718,#436885,#436886); #356642=AXIS2_PLACEMENT_3D('',#530720,#436888,#436889); #356643=AXIS2_PLACEMENT_3D('',#530722,#436891,#436892); #356644=AXIS2_PLACEMENT_3D('',#530723,#436893,#436894); #356645=AXIS2_PLACEMENT_3D('',#530725,#436896,#436897); #356646=AXIS2_PLACEMENT_3D('',#530727,#436899,#436900); #356647=AXIS2_PLACEMENT_3D('',#530729,#436902,#436903); #356648=AXIS2_PLACEMENT_3D('',#530730,#436904,#436905); #356649=AXIS2_PLACEMENT_3D('',#530731,#436906,#436907); #356650=AXIS2_PLACEMENT_3D('',#530732,#436908,#436909); #356651=AXIS2_PLACEMENT_3D('',#530733,#436910,#436911); #356652=AXIS2_PLACEMENT_3D('',#530742,#436916,#436917); #356653=AXIS2_PLACEMENT_3D('',#530748,#436920,#436921); #356654=AXIS2_PLACEMENT_3D('',#530752,#436923,#436924); #356655=AXIS2_PLACEMENT_3D('',#530760,#436928,#436929); #356656=AXIS2_PLACEMENT_3D('',#530763,#436931,#436932); #356657=AXIS2_PLACEMENT_3D('',#530764,#436933,#436934); #356658=AXIS2_PLACEMENT_3D('',#530769,#436936,#436937); #356659=AXIS2_PLACEMENT_3D('',#530773,#436939,#436940); #356660=AXIS2_PLACEMENT_3D('',#530781,#436944,#436945); #356661=AXIS2_PLACEMENT_3D('',#530785,#436947,#436948); #356662=AXIS2_PLACEMENT_3D('',#530789,#436951,#436952); #356663=AXIS2_PLACEMENT_3D('',#530795,#436956,#436957); #356664=AXIS2_PLACEMENT_3D('',#530805,#436963,#436964); #356665=AXIS2_PLACEMENT_3D('',#530811,#436968,#436969); #356666=AXIS2_PLACEMENT_3D('',#530818,#436974,#436975); #356667=AXIS2_PLACEMENT_3D('',#530821,#436978,#436979); #356668=AXIS2_PLACEMENT_3D('',#530824,#436982,#436983); #356669=AXIS2_PLACEMENT_3D('',#530827,#436986,#436987); #356670=AXIS2_PLACEMENT_3D('',#530829,#436989,#436990); #356671=AXIS2_PLACEMENT_3D('',#530831,#436992,#436993); #356672=AXIS2_PLACEMENT_3D('',#530833,#436995,#436996); #356673=AXIS2_PLACEMENT_3D('',#530834,#436997,#436998); #356674=AXIS2_PLACEMENT_3D('',#530836,#437000,#437001); #356675=AXIS2_PLACEMENT_3D('',#530838,#437003,#437004); #356676=AXIS2_PLACEMENT_3D('',#530840,#437006,#437007); #356677=AXIS2_PLACEMENT_3D('',#530841,#437008,#437009); #356678=AXIS2_PLACEMENT_3D('',#530842,#437010,#437011); #356679=AXIS2_PLACEMENT_3D('',#530843,#437012,#437013); #356680=AXIS2_PLACEMENT_3D('',#530844,#437014,#437015); #356681=AXIS2_PLACEMENT_3D('',#530853,#437020,#437021); #356682=AXIS2_PLACEMENT_3D('',#530859,#437024,#437025); #356683=AXIS2_PLACEMENT_3D('',#530863,#437027,#437028); #356684=AXIS2_PLACEMENT_3D('',#530871,#437032,#437033); #356685=AXIS2_PLACEMENT_3D('',#530874,#437035,#437036); #356686=AXIS2_PLACEMENT_3D('',#530875,#437037,#437038); #356687=AXIS2_PLACEMENT_3D('',#530880,#437040,#437041); #356688=AXIS2_PLACEMENT_3D('',#530884,#437043,#437044); #356689=AXIS2_PLACEMENT_3D('',#530892,#437048,#437049); #356690=AXIS2_PLACEMENT_3D('',#530896,#437051,#437052); #356691=AXIS2_PLACEMENT_3D('',#530900,#437055,#437056); #356692=AXIS2_PLACEMENT_3D('',#530906,#437060,#437061); #356693=AXIS2_PLACEMENT_3D('',#530916,#437067,#437068); #356694=AXIS2_PLACEMENT_3D('',#530922,#437072,#437073); #356695=AXIS2_PLACEMENT_3D('',#530929,#437078,#437079); #356696=AXIS2_PLACEMENT_3D('',#530932,#437082,#437083); #356697=AXIS2_PLACEMENT_3D('',#530935,#437086,#437087); #356698=AXIS2_PLACEMENT_3D('',#530938,#437090,#437091); #356699=AXIS2_PLACEMENT_3D('',#530940,#437093,#437094); #356700=AXIS2_PLACEMENT_3D('',#530942,#437096,#437097); #356701=AXIS2_PLACEMENT_3D('',#530944,#437099,#437100); #356702=AXIS2_PLACEMENT_3D('',#530945,#437101,#437102); #356703=AXIS2_PLACEMENT_3D('',#530947,#437104,#437105); #356704=AXIS2_PLACEMENT_3D('',#530949,#437107,#437108); #356705=AXIS2_PLACEMENT_3D('',#530951,#437110,#437111); #356706=AXIS2_PLACEMENT_3D('',#530952,#437112,#437113); #356707=AXIS2_PLACEMENT_3D('',#530953,#437114,#437115); #356708=AXIS2_PLACEMENT_3D('',#530954,#437116,#437117); #356709=AXIS2_PLACEMENT_3D('',#530955,#437118,#437119); #356710=AXIS2_PLACEMENT_3D('',#530964,#437124,#437125); #356711=AXIS2_PLACEMENT_3D('',#530970,#437128,#437129); #356712=AXIS2_PLACEMENT_3D('',#530974,#437131,#437132); #356713=AXIS2_PLACEMENT_3D('',#530982,#437136,#437137); #356714=AXIS2_PLACEMENT_3D('',#530985,#437139,#437140); #356715=AXIS2_PLACEMENT_3D('',#530986,#437141,#437142); #356716=AXIS2_PLACEMENT_3D('',#530991,#437144,#437145); #356717=AXIS2_PLACEMENT_3D('',#530995,#437147,#437148); #356718=AXIS2_PLACEMENT_3D('',#531003,#437152,#437153); #356719=AXIS2_PLACEMENT_3D('',#531007,#437155,#437156); #356720=AXIS2_PLACEMENT_3D('',#531011,#437159,#437160); #356721=AXIS2_PLACEMENT_3D('',#531017,#437164,#437165); #356722=AXIS2_PLACEMENT_3D('',#531027,#437171,#437172); #356723=AXIS2_PLACEMENT_3D('',#531033,#437176,#437177); #356724=AXIS2_PLACEMENT_3D('',#531040,#437182,#437183); #356725=AXIS2_PLACEMENT_3D('',#531043,#437186,#437187); #356726=AXIS2_PLACEMENT_3D('',#531046,#437190,#437191); #356727=AXIS2_PLACEMENT_3D('',#531049,#437194,#437195); #356728=AXIS2_PLACEMENT_3D('',#531051,#437197,#437198); #356729=AXIS2_PLACEMENT_3D('',#531053,#437200,#437201); #356730=AXIS2_PLACEMENT_3D('',#531055,#437203,#437204); #356731=AXIS2_PLACEMENT_3D('',#531056,#437205,#437206); #356732=AXIS2_PLACEMENT_3D('',#531058,#437208,#437209); #356733=AXIS2_PLACEMENT_3D('',#531060,#437211,#437212); #356734=AXIS2_PLACEMENT_3D('',#531062,#437214,#437215); #356735=AXIS2_PLACEMENT_3D('',#531063,#437216,#437217); #356736=AXIS2_PLACEMENT_3D('',#531064,#437218,#437219); #356737=AXIS2_PLACEMENT_3D('',#531065,#437220,#437221); #356738=AXIS2_PLACEMENT_3D('',#531066,#437222,#437223); #356739=AXIS2_PLACEMENT_3D('',#531075,#437228,#437229); #356740=AXIS2_PLACEMENT_3D('',#531081,#437232,#437233); #356741=AXIS2_PLACEMENT_3D('',#531085,#437235,#437236); #356742=AXIS2_PLACEMENT_3D('',#531093,#437240,#437241); #356743=AXIS2_PLACEMENT_3D('',#531096,#437243,#437244); #356744=AXIS2_PLACEMENT_3D('',#531097,#437245,#437246); #356745=AXIS2_PLACEMENT_3D('',#531102,#437248,#437249); #356746=AXIS2_PLACEMENT_3D('',#531106,#437251,#437252); #356747=AXIS2_PLACEMENT_3D('',#531114,#437256,#437257); #356748=AXIS2_PLACEMENT_3D('',#531118,#437259,#437260); #356749=AXIS2_PLACEMENT_3D('',#531122,#437263,#437264); #356750=AXIS2_PLACEMENT_3D('',#531128,#437268,#437269); #356751=AXIS2_PLACEMENT_3D('',#531138,#437275,#437276); #356752=AXIS2_PLACEMENT_3D('',#531144,#437280,#437281); #356753=AXIS2_PLACEMENT_3D('',#531151,#437286,#437287); #356754=AXIS2_PLACEMENT_3D('',#531154,#437290,#437291); #356755=AXIS2_PLACEMENT_3D('',#531157,#437294,#437295); #356756=AXIS2_PLACEMENT_3D('',#531160,#437298,#437299); #356757=AXIS2_PLACEMENT_3D('',#531162,#437301,#437302); #356758=AXIS2_PLACEMENT_3D('',#531164,#437304,#437305); #356759=AXIS2_PLACEMENT_3D('',#531166,#437307,#437308); #356760=AXIS2_PLACEMENT_3D('',#531167,#437309,#437310); #356761=AXIS2_PLACEMENT_3D('',#531169,#437312,#437313); #356762=AXIS2_PLACEMENT_3D('',#531171,#437315,#437316); #356763=AXIS2_PLACEMENT_3D('',#531173,#437318,#437319); #356764=AXIS2_PLACEMENT_3D('',#531174,#437320,#437321); #356765=AXIS2_PLACEMENT_3D('',#531175,#437322,#437323); #356766=AXIS2_PLACEMENT_3D('',#531176,#437324,#437325); #356767=AXIS2_PLACEMENT_3D('',#531177,#437326,#437327); #356768=AXIS2_PLACEMENT_3D('',#531186,#437332,#437333); #356769=AXIS2_PLACEMENT_3D('',#531192,#437336,#437337); #356770=AXIS2_PLACEMENT_3D('',#531196,#437339,#437340); #356771=AXIS2_PLACEMENT_3D('',#531204,#437344,#437345); #356772=AXIS2_PLACEMENT_3D('',#531207,#437347,#437348); #356773=AXIS2_PLACEMENT_3D('',#531208,#437349,#437350); #356774=AXIS2_PLACEMENT_3D('',#531213,#437352,#437353); #356775=AXIS2_PLACEMENT_3D('',#531217,#437355,#437356); #356776=AXIS2_PLACEMENT_3D('',#531225,#437360,#437361); #356777=AXIS2_PLACEMENT_3D('',#531229,#437363,#437364); #356778=AXIS2_PLACEMENT_3D('',#531233,#437367,#437368); #356779=AXIS2_PLACEMENT_3D('',#531239,#437372,#437373); #356780=AXIS2_PLACEMENT_3D('',#531249,#437379,#437380); #356781=AXIS2_PLACEMENT_3D('',#531255,#437384,#437385); #356782=AXIS2_PLACEMENT_3D('',#531262,#437390,#437391); #356783=AXIS2_PLACEMENT_3D('',#531265,#437394,#437395); #356784=AXIS2_PLACEMENT_3D('',#531268,#437398,#437399); #356785=AXIS2_PLACEMENT_3D('',#531271,#437402,#437403); #356786=AXIS2_PLACEMENT_3D('',#531273,#437405,#437406); #356787=AXIS2_PLACEMENT_3D('',#531275,#437408,#437409); #356788=AXIS2_PLACEMENT_3D('',#531277,#437411,#437412); #356789=AXIS2_PLACEMENT_3D('',#531278,#437413,#437414); #356790=AXIS2_PLACEMENT_3D('',#531280,#437416,#437417); #356791=AXIS2_PLACEMENT_3D('',#531282,#437419,#437420); #356792=AXIS2_PLACEMENT_3D('',#531284,#437422,#437423); #356793=AXIS2_PLACEMENT_3D('',#531285,#437424,#437425); #356794=AXIS2_PLACEMENT_3D('',#531286,#437426,#437427); #356795=AXIS2_PLACEMENT_3D('',#531287,#437428,#437429); #356796=AXIS2_PLACEMENT_3D('',#531288,#437430,#437431); #356797=AXIS2_PLACEMENT_3D('',#531297,#437436,#437437); #356798=AXIS2_PLACEMENT_3D('',#531303,#437441,#437442); #356799=AXIS2_PLACEMENT_3D('',#531309,#437446,#437447); #356800=AXIS2_PLACEMENT_3D('',#531315,#437451,#437452); #356801=AXIS2_PLACEMENT_3D('',#531317,#437453,#437454); #356802=AXIS2_PLACEMENT_3D('',#531320,#437456,#437457); #356803=AXIS2_PLACEMENT_3D('',#531321,#437458,#437459); #356804=AXIS2_PLACEMENT_3D('',#531327,#437463,#437464); #356805=AXIS2_PLACEMENT_3D('',#531333,#437468,#437469); #356806=AXIS2_PLACEMENT_3D('',#531339,#437473,#437474); #356807=AXIS2_PLACEMENT_3D('',#531341,#437475,#437476); #356808=AXIS2_PLACEMENT_3D('',#531344,#437478,#437479); #356809=AXIS2_PLACEMENT_3D('',#531345,#437480,#437481); #356810=AXIS2_PLACEMENT_3D('',#531351,#437485,#437486); #356811=AXIS2_PLACEMENT_3D('',#531353,#437487,#437488); #356812=AXIS2_PLACEMENT_3D('',#531356,#437490,#437491); #356813=AXIS2_PLACEMENT_3D('',#531357,#437492,#437493); #356814=AXIS2_PLACEMENT_3D('',#531359,#437494,#437495); #356815=AXIS2_PLACEMENT_3D('',#531362,#437497,#437498); #356816=AXIS2_PLACEMENT_3D('',#531363,#437499,#437500); #356817=AXIS2_PLACEMENT_3D('',#531369,#437504,#437505); #356818=AXIS2_PLACEMENT_3D('',#531371,#437506,#437507); #356819=AXIS2_PLACEMENT_3D('',#531374,#437509,#437510); #356820=AXIS2_PLACEMENT_3D('',#531375,#437511,#437512); #356821=AXIS2_PLACEMENT_3D('',#531381,#437516,#437517); #356822=AXIS2_PLACEMENT_3D('',#531383,#437518,#437519); #356823=AXIS2_PLACEMENT_3D('',#531386,#437521,#437522); #356824=AXIS2_PLACEMENT_3D('',#531387,#437523,#437524); #356825=AXIS2_PLACEMENT_3D('',#531393,#437528,#437529); #356826=AXIS2_PLACEMENT_3D('',#531399,#437533,#437534); #356827=AXIS2_PLACEMENT_3D('',#531405,#437538,#437539); #356828=AXIS2_PLACEMENT_3D('',#531411,#437543,#437544); #356829=AXIS2_PLACEMENT_3D('',#531417,#437548,#437549); #356830=AXIS2_PLACEMENT_3D('',#531423,#437553,#437554); #356831=AXIS2_PLACEMENT_3D('',#531429,#437558,#437559); #356832=AXIS2_PLACEMENT_3D('',#531431,#437560,#437561); #356833=AXIS2_PLACEMENT_3D('',#531434,#437563,#437564); #356834=AXIS2_PLACEMENT_3D('',#531435,#437565,#437566); #356835=AXIS2_PLACEMENT_3D('',#531441,#437570,#437571); #356836=AXIS2_PLACEMENT_3D('',#531443,#437572,#437573); #356837=AXIS2_PLACEMENT_3D('',#531446,#437575,#437576); #356838=AXIS2_PLACEMENT_3D('',#531447,#437577,#437578); #356839=AXIS2_PLACEMENT_3D('',#531453,#437582,#437583); #356840=AXIS2_PLACEMENT_3D('',#531455,#437584,#437585); #356841=AXIS2_PLACEMENT_3D('',#531458,#437587,#437588); #356842=AXIS2_PLACEMENT_3D('',#531459,#437589,#437590); #356843=AXIS2_PLACEMENT_3D('',#531461,#437591,#437592); #356844=AXIS2_PLACEMENT_3D('',#531464,#437594,#437595); #356845=AXIS2_PLACEMENT_3D('',#531465,#437596,#437597); #356846=AXIS2_PLACEMENT_3D('',#531471,#437601,#437602); #356847=AXIS2_PLACEMENT_3D('',#531473,#437603,#437604); #356848=AXIS2_PLACEMENT_3D('',#531476,#437606,#437607); #356849=AXIS2_PLACEMENT_3D('',#531477,#437608,#437609); #356850=AXIS2_PLACEMENT_3D('',#531483,#437613,#437614); #356851=AXIS2_PLACEMENT_3D('',#531489,#437618,#437619); #356852=AXIS2_PLACEMENT_3D('',#531495,#437623,#437624); #356853=AXIS2_PLACEMENT_3D('',#531497,#437625,#437626); #356854=AXIS2_PLACEMENT_3D('',#531500,#437628,#437629); #356855=AXIS2_PLACEMENT_3D('',#531501,#437630,#437631); #356856=AXIS2_PLACEMENT_3D('',#531504,#437634,#437635); #356857=AXIS2_PLACEMENT_3D('',#531505,#437636,#437637); #356858=AXIS2_PLACEMENT_3D('',#531506,#437638,#437639); #356859=AXIS2_PLACEMENT_3D('',#531507,#437640,#437641); #356860=AXIS2_PLACEMENT_3D('',#531516,#437646,#437647); #356861=AXIS2_PLACEMENT_3D('',#531525,#437652,#437653); #356862=AXIS2_PLACEMENT_3D('',#531528,#437654,#437655); #356863=AXIS2_PLACEMENT_3D('',#531532,#437657,#437658); #356864=AXIS2_PLACEMENT_3D('',#531536,#437660,#437661); #356865=AXIS2_PLACEMENT_3D('',#531540,#437663,#437664); #356866=AXIS2_PLACEMENT_3D('',#531544,#437666,#437667); #356867=AXIS2_PLACEMENT_3D('',#531548,#437669,#437670); #356868=AXIS2_PLACEMENT_3D('',#531552,#437672,#437673); #356869=AXIS2_PLACEMENT_3D('',#531556,#437675,#437676); #356870=AXIS2_PLACEMENT_3D('',#531566,#437681,#437682); #356871=AXIS2_PLACEMENT_3D('',#531572,#437685,#437686); #356872=AXIS2_PLACEMENT_3D('',#531576,#437688,#437689); #356873=AXIS2_PLACEMENT_3D('',#531580,#437691,#437692); #356874=AXIS2_PLACEMENT_3D('',#531582,#437693,#437694); #356875=AXIS2_PLACEMENT_3D('',#531586,#437696,#437697); #356876=AXIS2_PLACEMENT_3D('',#531590,#437699,#437700); #356877=AXIS2_PLACEMENT_3D('',#531594,#437702,#437703); #356878=AXIS2_PLACEMENT_3D('',#531600,#437706,#437707); #356879=AXIS2_PLACEMENT_3D('',#531620,#437718,#437719); #356880=AXIS2_PLACEMENT_3D('',#531625,#437721,#437722); #356881=AXIS2_PLACEMENT_3D('',#531631,#437725,#437726); #356882=AXIS2_PLACEMENT_3D('',#531637,#437729,#437730); #356883=AXIS2_PLACEMENT_3D('',#531641,#437732,#437733); #356884=AXIS2_PLACEMENT_3D('',#531645,#437735,#437736); #356885=AXIS2_PLACEMENT_3D('',#531649,#437738,#437739); #356886=AXIS2_PLACEMENT_3D('',#531651,#437740,#437741); #356887=AXIS2_PLACEMENT_3D('',#531655,#437743,#437744); #356888=AXIS2_PLACEMENT_3D('',#531659,#437746,#437747); #356889=AXIS2_PLACEMENT_3D('',#531665,#437750,#437751); #356890=AXIS2_PLACEMENT_3D('',#531675,#437756,#437757); #356891=AXIS2_PLACEMENT_3D('',#531679,#437759,#437760); #356892=AXIS2_PLACEMENT_3D('',#531683,#437762,#437763); #356893=AXIS2_PLACEMENT_3D('',#531687,#437765,#437766); #356894=AXIS2_PLACEMENT_3D('',#531691,#437768,#437769); #356895=AXIS2_PLACEMENT_3D('',#531695,#437771,#437772); #356896=AXIS2_PLACEMENT_3D('',#531698,#437774,#437775); #356897=AXIS2_PLACEMENT_3D('',#531715,#437784,#437785); #356898=AXIS2_PLACEMENT_3D('',#531718,#437788,#437789); #356899=AXIS2_PLACEMENT_3D('',#531723,#437793,#437794); #356900=AXIS2_PLACEMENT_3D('',#531726,#437795,#437796); #356901=AXIS2_PLACEMENT_3D('',#531732,#437799,#437800); #356902=AXIS2_PLACEMENT_3D('',#531734,#437802,#437803); #356903=AXIS2_PLACEMENT_3D('',#531737,#437804,#437805); #356904=AXIS2_PLACEMENT_3D('',#531743,#437808,#437809); #356905=AXIS2_PLACEMENT_3D('',#531745,#437811,#437812); #356906=AXIS2_PLACEMENT_3D('',#531748,#437813,#437814); #356907=AXIS2_PLACEMENT_3D('',#531753,#437817,#437818); #356908=AXIS2_PLACEMENT_3D('',#531796,#437841,#437842); #356909=AXIS2_PLACEMENT_3D('',#531801,#437844,#437845); #356910=AXIS2_PLACEMENT_3D('',#531805,#437847,#437848); #356911=AXIS2_PLACEMENT_3D('',#531807,#437849,#437850); #356912=AXIS2_PLACEMENT_3D('',#531811,#437852,#437853); #356913=AXIS2_PLACEMENT_3D('',#531815,#437855,#437856); #356914=AXIS2_PLACEMENT_3D('',#531819,#437858,#437859); #356915=AXIS2_PLACEMENT_3D('',#531823,#437861,#437862); #356916=AXIS2_PLACEMENT_3D('',#531831,#437866,#437867); #356917=AXIS2_PLACEMENT_3D('',#531833,#437868,#437869); #356918=AXIS2_PLACEMENT_3D('',#531845,#437875,#437876); #356919=AXIS2_PLACEMENT_3D('',#531849,#437878,#437879); #356920=AXIS2_PLACEMENT_3D('',#531853,#437881,#437882); #356921=AXIS2_PLACEMENT_3D('',#531857,#437884,#437885); #356922=AXIS2_PLACEMENT_3D('',#531861,#437887,#437888); #356923=AXIS2_PLACEMENT_3D('',#531865,#437890,#437891); #356924=AXIS2_PLACEMENT_3D('',#531867,#437892,#437893); #356925=AXIS2_PLACEMENT_3D('',#531869,#437894,#437895); #356926=AXIS2_PLACEMENT_3D('',#531873,#437897,#437898); #356927=AXIS2_PLACEMENT_3D('',#531877,#437900,#437901); #356928=AXIS2_PLACEMENT_3D('',#531889,#437908,#437909); #356929=AXIS2_PLACEMENT_3D('',#531894,#437911,#437912); #356930=AXIS2_PLACEMENT_3D('',#531902,#437916,#437917); #356931=AXIS2_PLACEMENT_3D('',#531906,#437920,#437921); #356932=AXIS2_PLACEMENT_3D('',#531909,#437922,#437923); #356933=AXIS2_PLACEMENT_3D('',#531912,#437925,#437926); #356934=AXIS2_PLACEMENT_3D('',#531916,#437928,#437929); #356935=AXIS2_PLACEMENT_3D('',#531920,#437931,#437932); #356936=AXIS2_PLACEMENT_3D('',#531924,#437934,#437935); #356937=AXIS2_PLACEMENT_3D('',#531926,#437936,#437937); #356938=AXIS2_PLACEMENT_3D('',#531930,#437939,#437940); #356939=AXIS2_PLACEMENT_3D('',#531934,#437942,#437943); #356940=AXIS2_PLACEMENT_3D('',#531940,#437946,#437947); #356941=AXIS2_PLACEMENT_3D('',#531950,#437952,#437953); #356942=AXIS2_PLACEMENT_3D('',#531954,#437955,#437956); #356943=AXIS2_PLACEMENT_3D('',#531958,#437958,#437959); #356944=AXIS2_PLACEMENT_3D('',#531961,#437961,#437962); #356945=AXIS2_PLACEMENT_3D('',#531963,#437963,#437964); #356946=AXIS2_PLACEMENT_3D('',#531967,#437966,#437967); #356947=AXIS2_PLACEMENT_3D('',#531971,#437969,#437970); #356948=AXIS2_PLACEMENT_3D('',#531974,#437972,#437973); #356949=AXIS2_PLACEMENT_3D('',#531992,#437983,#437984); #356950=AXIS2_PLACEMENT_3D('',#531998,#437988,#437989); #356951=AXIS2_PLACEMENT_3D('',#532004,#437993,#437994); #356952=AXIS2_PLACEMENT_3D('',#532010,#437998,#437999); #356953=AXIS2_PLACEMENT_3D('',#532016,#438003,#438004); #356954=AXIS2_PLACEMENT_3D('',#532019,#438005,#438006); #356955=AXIS2_PLACEMENT_3D('',#532021,#438007,#438008); #356956=AXIS2_PLACEMENT_3D('',#532023,#438009,#438010); #356957=AXIS2_PLACEMENT_3D('',#532029,#438014,#438015); #356958=AXIS2_PLACEMENT_3D('',#532033,#438017,#438018); #356959=AXIS2_PLACEMENT_3D('',#532037,#438021,#438022); #356960=AXIS2_PLACEMENT_3D('',#532038,#438023,#438024); #356961=AXIS2_PLACEMENT_3D('',#532049,#438029,#438030); #356962=AXIS2_PLACEMENT_3D('',#532051,#438031,#438032); #356963=AXIS2_PLACEMENT_3D('',#532059,#438036,#438037); #356964=AXIS2_PLACEMENT_3D('',#532063,#438039,#438040); #356965=AXIS2_PLACEMENT_3D('',#532067,#438042,#438043); #356966=AXIS2_PLACEMENT_3D('',#532071,#438045,#438046); #356967=AXIS2_PLACEMENT_3D('',#532075,#438048,#438049); #356968=AXIS2_PLACEMENT_3D('',#532077,#438050,#438051); #356969=AXIS2_PLACEMENT_3D('',#532081,#438053,#438054); #356970=AXIS2_PLACEMENT_3D('',#532089,#438058,#438059); #356971=AXIS2_PLACEMENT_3D('',#532093,#438061,#438062); #356972=AXIS2_PLACEMENT_3D('',#532097,#438064,#438065); #356973=AXIS2_PLACEMENT_3D('',#532099,#438066,#438067); #356974=AXIS2_PLACEMENT_3D('',#532101,#438068,#438069); #356975=AXIS2_PLACEMENT_3D('',#532105,#438071,#438072); #356976=AXIS2_PLACEMENT_3D('',#532109,#438074,#438075); #356977=AXIS2_PLACEMENT_3D('',#532113,#438077,#438078); #356978=AXIS2_PLACEMENT_3D('',#532117,#438080,#438081); #356979=AXIS2_PLACEMENT_3D('',#532121,#438083,#438084); #356980=AXIS2_PLACEMENT_3D('',#532131,#438090,#438091); #356981=AXIS2_PLACEMENT_3D('',#532136,#438095,#438096); #356982=AXIS2_PLACEMENT_3D('',#532139,#438099,#438100); #356983=AXIS2_PLACEMENT_3D('',#532142,#438103,#438104); #356984=AXIS2_PLACEMENT_3D('',#532148,#438108,#438109); #356985=AXIS2_PLACEMENT_3D('',#532154,#438113,#438114); #356986=AXIS2_PLACEMENT_3D('',#532167,#438120,#438121); #356987=AXIS2_PLACEMENT_3D('',#532171,#438123,#438124); #356988=AXIS2_PLACEMENT_3D('',#532175,#438126,#438127); #356989=AXIS2_PLACEMENT_3D('',#532179,#438129,#438130); #356990=AXIS2_PLACEMENT_3D('',#532183,#438132,#438133); #356991=AXIS2_PLACEMENT_3D('',#532187,#438135,#438136); #356992=AXIS2_PLACEMENT_3D('',#532189,#438137,#438138); #356993=AXIS2_PLACEMENT_3D('',#532191,#438139,#438140); #356994=AXIS2_PLACEMENT_3D('',#532195,#438142,#438143); #356995=AXIS2_PLACEMENT_3D('',#532199,#438145,#438146); #356996=AXIS2_PLACEMENT_3D('',#532207,#438150,#438151); #356997=AXIS2_PLACEMENT_3D('',#532211,#438153,#438154); #356998=AXIS2_PLACEMENT_3D('',#532213,#438155,#438156); #356999=AXIS2_PLACEMENT_3D('',#532217,#438158,#438159); #357000=AXIS2_PLACEMENT_3D('',#532221,#438161,#438162); #357001=AXIS2_PLACEMENT_3D('',#532225,#438164,#438165); #357002=AXIS2_PLACEMENT_3D('',#532229,#438167,#438168); #357003=AXIS2_PLACEMENT_3D('',#532241,#438174,#438175); #357004=AXIS2_PLACEMENT_3D('',#532244,#438177,#438178); #357005=AXIS2_PLACEMENT_3D('',#532245,#438179,#438180); #357006=AXIS2_PLACEMENT_3D('',#532247,#438181,#438182); #357007=AXIS2_PLACEMENT_3D('',#532253,#438185,#438186); #357008=AXIS2_PLACEMENT_3D('',#532254,#438187,#438188); #357009=AXIS2_PLACEMENT_3D('',#532255,#438189,#438190); #357010=AXIS2_PLACEMENT_3D('',#532259,#438192,#438193); #357011=AXIS2_PLACEMENT_3D('',#532262,#438195,#438196); #357012=AXIS2_PLACEMENT_3D('',#532266,#438198,#438199); #357013=AXIS2_PLACEMENT_3D('',#532270,#438201,#438202); #357014=AXIS2_PLACEMENT_3D('',#532273,#438204,#438205); #357015=AXIS2_PLACEMENT_3D('',#532275,#438206,#438207); #357016=AXIS2_PLACEMENT_3D('',#532279,#438209,#438210); #357017=AXIS2_PLACEMENT_3D('',#532283,#438212,#438213); #357018=AXIS2_PLACEMENT_3D('',#532287,#438215,#438216); #357019=AXIS2_PLACEMENT_3D('',#532297,#438221,#438222); #357020=AXIS2_PLACEMENT_3D('',#532303,#438225,#438226); #357021=AXIS2_PLACEMENT_3D('',#532307,#438228,#438229); #357022=AXIS2_PLACEMENT_3D('',#532311,#438231,#438232); #357023=AXIS2_PLACEMENT_3D('',#532313,#438233,#438234); #357024=AXIS2_PLACEMENT_3D('',#532317,#438236,#438237); #357025=AXIS2_PLACEMENT_3D('',#532321,#438239,#438240); #357026=AXIS2_PLACEMENT_3D('',#532324,#438242,#438243); #357027=AXIS2_PLACEMENT_3D('',#532335,#438250,#438251); #357028=AXIS2_PLACEMENT_3D('',#532336,#438252,#438253); #357029=AXIS2_PLACEMENT_3D('',#532337,#438254,#438255); #357030=AXIS2_PLACEMENT_3D('',#532338,#438256,#438257); #357031=AXIS2_PLACEMENT_3D('',#532340,#438258,#438259); #357032=AXIS2_PLACEMENT_3D('',#532356,#438260,#438261); #357033=AXIS2_PLACEMENT_3D('',#532357,#438262,#438263); #357034=AXIS2_PLACEMENT_3D('',#532359,#438264,#438265); #357035=AXIS2_PLACEMENT_3D('',#532361,#438266,#438267); #357036=AXIS2_PLACEMENT_3D('',#532363,#438269,#438270); #357037=AXIS2_PLACEMENT_3D('',#532370,#438271,#438272); #357038=AXIS2_PLACEMENT_3D('',#532374,#438274,#438275); #357039=AXIS2_PLACEMENT_3D('',#532385,#438276,#438277); #357040=AXIS2_PLACEMENT_3D('',#532386,#438278,#438279); #357041=AXIS2_PLACEMENT_3D('',#532387,#438280,#438281); #357042=AXIS2_PLACEMENT_3D('',#532388,#438282,#438283); #357043=AXIS2_PLACEMENT_3D('',#532389,#438284,#438285); #357044=AXIS2_PLACEMENT_3D('',#532390,#438286,#438287); #357045=AXIS2_PLACEMENT_3D('',#532391,#438288,#438289); #357046=AXIS2_PLACEMENT_3D('',#532394,#438292,#438293); #357047=AXIS2_PLACEMENT_3D('',#532399,#438297,#438298); #357048=AXIS2_PLACEMENT_3D('',#532402,#438300,#438301); #357049=AXIS2_PLACEMENT_3D('',#532405,#438303,#438304); #357050=AXIS2_PLACEMENT_3D('',#532406,#438305,#438306); #357051=AXIS2_PLACEMENT_3D('',#532410,#438309,#438310); #357052=AXIS2_PLACEMENT_3D('',#532414,#438312,#438313); #357053=AXIS2_PLACEMENT_3D('',#532418,#438315,#438316); #357054=AXIS2_PLACEMENT_3D('',#532422,#438318,#438319); #357055=AXIS2_PLACEMENT_3D('',#532426,#438321,#438322); #357056=AXIS2_PLACEMENT_3D('',#532429,#438324,#438325); #357057=AXIS2_PLACEMENT_3D('',#532433,#438327,#438328); #357058=AXIS2_PLACEMENT_3D('',#532435,#438330,#438331); #357059=AXIS2_PLACEMENT_3D('',#532436,#438332,#438333); #357060=AXIS2_PLACEMENT_3D('',#532437,#438334,#438335); #357061=AXIS2_PLACEMENT_3D('',#532438,#438336,#438337); #357062=AXIS2_PLACEMENT_3D('',#532439,#438338,#438339); #357063=AXIS2_PLACEMENT_3D('',#532445,#438340,#438341); #357064=AXIS2_PLACEMENT_3D('',#532447,#438342,#438343); #357065=AXIS2_PLACEMENT_3D('',#532458,#438344,#438345); #357066=AXIS2_PLACEMENT_3D('',#532465,#438349,#438350); #357067=AXIS2_PLACEMENT_3D('',#532469,#438352,#438353); #357068=AXIS2_PLACEMENT_3D('',#532473,#438355,#438356); #357069=AXIS2_PLACEMENT_3D('',#532477,#438358,#438359); #357070=AXIS2_PLACEMENT_3D('',#532481,#438361,#438362); #357071=AXIS2_PLACEMENT_3D('',#532483,#438363,#438364); #357072=AXIS2_PLACEMENT_3D('',#532487,#438366,#438367); #357073=AXIS2_PLACEMENT_3D('',#532492,#438370,#438371); #357074=AXIS2_PLACEMENT_3D('',#532496,#438373,#438374); #357075=AXIS2_PLACEMENT_3D('',#532500,#438376,#438377); #357076=AXIS2_PLACEMENT_3D('',#532502,#438378,#438379); #357077=AXIS2_PLACEMENT_3D('',#532504,#438380,#438381); #357078=AXIS2_PLACEMENT_3D('',#532508,#438383,#438384); #357079=AXIS2_PLACEMENT_3D('',#532512,#438386,#438387); #357080=AXIS2_PLACEMENT_3D('',#532516,#438389,#438390); #357081=AXIS2_PLACEMENT_3D('',#532520,#438392,#438393); #357082=AXIS2_PLACEMENT_3D('',#532524,#438395,#438396); #357083=AXIS2_PLACEMENT_3D('',#532534,#438401,#438402); #357084=AXIS2_PLACEMENT_3D('',#532537,#438404,#438405); #357085=AXIS2_PLACEMENT_3D('',#532538,#438406,#438407); #357086=AXIS2_PLACEMENT_3D('',#532546,#438409,#438410); #357087=AXIS2_PLACEMENT_3D('',#532549,#438412,#438413); #357088=AXIS2_PLACEMENT_3D('',#532553,#438416,#438417); #357089=AXIS2_PLACEMENT_3D('',#532574,#438430,#438431); #357090=AXIS2_PLACEMENT_3D('',#532581,#438432,#438433); #357091=AXIS2_PLACEMENT_3D('',#532583,#438434,#438435); #357092=AXIS2_PLACEMENT_3D('',#532599,#438436,#438437); #357093=AXIS2_PLACEMENT_3D('',#532600,#438438,#438439); #357094=AXIS2_PLACEMENT_3D('',#532604,#438442,#438443); #357095=AXIS2_PLACEMENT_3D('',#532608,#438446,#438447); #357096=AXIS2_PLACEMENT_3D('',#532611,#438450,#438451); #357097=AXIS2_PLACEMENT_3D('',#532613,#438453,#438454); #357098=AXIS2_PLACEMENT_3D('',#532615,#438455,#438456); #357099=AXIS2_PLACEMENT_3D('',#532622,#438460,#438461); #357100=AXIS2_PLACEMENT_3D('',#532624,#438463,#438464); #357101=AXIS2_PLACEMENT_3D('',#532626,#438466,#438467); #357102=AXIS2_PLACEMENT_3D('',#532628,#438469,#438470); #357103=AXIS2_PLACEMENT_3D('',#532630,#438472,#438473); #357104=AXIS2_PLACEMENT_3D('',#532632,#438475,#438476); #357105=AXIS2_PLACEMENT_3D('',#532633,#438477,#438478); #357106=AXIS2_PLACEMENT_3D('',#532634,#438479,#438480); #357107=AXIS2_PLACEMENT_3D('',#532637,#438483,#438484); #357108=AXIS2_PLACEMENT_3D('',#532639,#438486,#438487); #357109=AXIS2_PLACEMENT_3D('',#532641,#438489,#438490); #357110=AXIS2_PLACEMENT_3D('',#532642,#438491,#438492); #357111=AXIS2_PLACEMENT_3D('',#532645,#438495,#438496); #357112=AXIS2_PLACEMENT_3D('',#532647,#438498,#438499); #357113=AXIS2_PLACEMENT_3D('',#532648,#438500,#438501); #357114=AXIS2_PLACEMENT_3D('',#532650,#438503,#438504); #357115=AXIS2_PLACEMENT_3D('',#532654,#438507,#438508); #357116=AXIS2_PLACEMENT_3D('',#532657,#438511,#438512); #357117=AXIS2_PLACEMENT_3D('',#532660,#438515,#438516); #357118=AXIS2_PLACEMENT_3D('',#532662,#438518,#438519); #357119=AXIS2_PLACEMENT_3D('',#532664,#438521,#438522); #357120=AXIS2_PLACEMENT_3D('',#532666,#438524,#438525); #357121=AXIS2_PLACEMENT_3D('',#532668,#438527,#438528); #357122=AXIS2_PLACEMENT_3D('',#532670,#438530,#438531); #357123=AXIS2_PLACEMENT_3D('',#532672,#438533,#438534); #357124=AXIS2_PLACEMENT_3D('',#532674,#438536,#438537); #357125=AXIS2_PLACEMENT_3D('',#532676,#438539,#438540); #357126=AXIS2_PLACEMENT_3D('',#532677,#438541,#438542); #357127=AXIS2_PLACEMENT_3D('',#532680,#438545,#438546); #357128=AXIS2_PLACEMENT_3D('',#532683,#438549,#438550); #357129=AXIS2_PLACEMENT_3D('',#532685,#438552,#438553); #357130=AXIS2_PLACEMENT_3D('',#532687,#438555,#438556); #357131=AXIS2_PLACEMENT_3D('',#532689,#438558,#438559); #357132=AXIS2_PLACEMENT_3D('',#532691,#438561,#438562); #357133=AXIS2_PLACEMENT_3D('',#532693,#438564,#438565); #357134=AXIS2_PLACEMENT_3D('',#532695,#438567,#438568); #357135=AXIS2_PLACEMENT_3D('',#532697,#438570,#438571); #357136=AXIS2_PLACEMENT_3D('',#532699,#438573,#438574); #357137=AXIS2_PLACEMENT_3D('',#532700,#438575,#438576); #357138=AXIS2_PLACEMENT_3D('',#532703,#438579,#438580); #357139=AXIS2_PLACEMENT_3D('',#532705,#438582,#438583); #357140=AXIS2_PLACEMENT_3D('',#532707,#438585,#438586); #357141=AXIS2_PLACEMENT_3D('',#532709,#438588,#438589); #357142=AXIS2_PLACEMENT_3D('',#532711,#438591,#438592); #357143=AXIS2_PLACEMENT_3D('',#532713,#438594,#438595); #357144=AXIS2_PLACEMENT_3D('',#532715,#438597,#438598); #357145=AXIS2_PLACEMENT_3D('',#532717,#438600,#438601); #357146=AXIS2_PLACEMENT_3D('',#532719,#438603,#438604); #357147=AXIS2_PLACEMENT_3D('',#532721,#438606,#438607); #357148=AXIS2_PLACEMENT_3D('',#532723,#438609,#438610); #357149=AXIS2_PLACEMENT_3D('',#532725,#438612,#438613); #357150=AXIS2_PLACEMENT_3D('',#532727,#438615,#438616); #357151=AXIS2_PLACEMENT_3D('',#532729,#438618,#438619); #357152=AXIS2_PLACEMENT_3D('',#532731,#438621,#438622); #357153=AXIS2_PLACEMENT_3D('',#532733,#438624,#438625); #357154=AXIS2_PLACEMENT_3D('',#532735,#438627,#438628); #357155=AXIS2_PLACEMENT_3D('',#532737,#438630,#438631); #357156=AXIS2_PLACEMENT_3D('',#532741,#438634,#438635); #357157=AXIS2_PLACEMENT_3D('',#532744,#438638,#438639); #357158=AXIS2_PLACEMENT_3D('',#532746,#438641,#438642); #357159=AXIS2_PLACEMENT_3D('',#532748,#438644,#438645); #357160=AXIS2_PLACEMENT_3D('',#532750,#438647,#438648); #357161=AXIS2_PLACEMENT_3D('',#532752,#438650,#438651); #357162=AXIS2_PLACEMENT_3D('',#532754,#438653,#438654); #357163=AXIS2_PLACEMENT_3D('',#532756,#438656,#438657); #357164=AXIS2_PLACEMENT_3D('',#532758,#438659,#438660); #357165=AXIS2_PLACEMENT_3D('',#532760,#438662,#438663); #357166=AXIS2_PLACEMENT_3D('',#532762,#438665,#438666); #357167=AXIS2_PLACEMENT_3D('',#532764,#438668,#438669); #357168=AXIS2_PLACEMENT_3D('',#532766,#438671,#438672); #357169=AXIS2_PLACEMENT_3D('',#532767,#438673,#438674); #357170=AXIS2_PLACEMENT_3D('',#532768,#438675,#438676); #357171=AXIS2_PLACEMENT_3D('',#532769,#438677,#438678); #357172=AXIS2_PLACEMENT_3D('',#532770,#438679,#438680); #357173=AXIS2_PLACEMENT_3D('',#532772,#438682,#438683); #357174=AXIS2_PLACEMENT_3D('',#532774,#438685,#438686); #357175=AXIS2_PLACEMENT_3D('',#532776,#438688,#438689); #357176=AXIS2_PLACEMENT_3D('',#532778,#438691,#438692); #357177=AXIS2_PLACEMENT_3D('',#532780,#438694,#438695); #357178=AXIS2_PLACEMENT_3D('',#532782,#438697,#438698); #357179=AXIS2_PLACEMENT_3D('',#532784,#438700,#438701); #357180=AXIS2_PLACEMENT_3D('',#532786,#438703,#438704); #357181=AXIS2_PLACEMENT_3D('',#532787,#438705,#438706); #357182=AXIS2_PLACEMENT_3D('',#532790,#438709,#438710); #357183=AXIS2_PLACEMENT_3D('',#532791,#438711,#438712); #357184=AXIS2_PLACEMENT_3D('',#532794,#438715,#438716); #357185=AXIS2_PLACEMENT_3D('',#532795,#438717,#438718); #357186=AXIS2_PLACEMENT_3D('',#532797,#438719,#438720); #357187=AXIS2_PLACEMENT_3D('',#532801,#438722,#438723); #357188=AXIS2_PLACEMENT_3D('',#532805,#438725,#438726); #357189=AXIS2_PLACEMENT_3D('',#532808,#438728,#438729); #357190=AXIS2_PLACEMENT_3D('',#532810,#438730,#438731); #357191=AXIS2_PLACEMENT_3D('',#532814,#438733,#438734); #357192=AXIS2_PLACEMENT_3D('',#532818,#438736,#438737); #357193=AXIS2_PLACEMENT_3D('',#532821,#438739,#438740); #357194=AXIS2_PLACEMENT_3D('',#532822,#438741,#438742); #357195=AXIS2_PLACEMENT_3D('',#532827,#438744,#438745); #357196=AXIS2_PLACEMENT_3D('',#532831,#438747,#438748); #357197=AXIS2_PLACEMENT_3D('',#532834,#438750,#438751); #357198=AXIS2_PLACEMENT_3D('',#532836,#438752,#438753); #357199=AXIS2_PLACEMENT_3D('',#532840,#438755,#438756); #357200=AXIS2_PLACEMENT_3D('',#532844,#438758,#438759); #357201=AXIS2_PLACEMENT_3D('',#532847,#438761,#438762); #357202=AXIS2_PLACEMENT_3D('',#532848,#438763,#438764); #357203=AXIS2_PLACEMENT_3D('',#532849,#438765,#438766); #357204=AXIS2_PLACEMENT_3D('',#532851,#438768,#438769); #357205=AXIS2_PLACEMENT_3D('',#532853,#438771,#438772); #357206=AXIS2_PLACEMENT_3D('',#532855,#438774,#438775); #357207=AXIS2_PLACEMENT_3D('',#532857,#438777,#438778); #357208=AXIS2_PLACEMENT_3D('',#532859,#438780,#438781); #357209=AXIS2_PLACEMENT_3D('',#532861,#438783,#438784); #357210=AXIS2_PLACEMENT_3D('',#532862,#438785,#438786); #357211=AXIS2_PLACEMENT_3D('',#532864,#438788,#438789); #357212=AXIS2_PLACEMENT_3D('',#532866,#438791,#438792); #357213=AXIS2_PLACEMENT_3D('',#532868,#438794,#438795); #357214=AXIS2_PLACEMENT_3D('',#532870,#438797,#438798); #357215=AXIS2_PLACEMENT_3D('',#532872,#438800,#438801); #357216=AXIS2_PLACEMENT_3D('',#532874,#438803,#438804); #357217=AXIS2_PLACEMENT_3D('',#532875,#438805,#438806); #357218=AXIS2_PLACEMENT_3D('',#532877,#438808,#438809); #357219=AXIS2_PLACEMENT_3D('',#532880,#438812,#438813); #357220=AXIS2_PLACEMENT_3D('',#532881,#438814,#438815); #357221=AXIS2_PLACEMENT_3D('',#532883,#438817,#438818); #357222=AXIS2_PLACEMENT_3D('',#532885,#438819,#438820); #357223=AXIS2_PLACEMENT_3D('',#532889,#438822,#438823); #357224=AXIS2_PLACEMENT_3D('',#532893,#438825,#438826); #357225=AXIS2_PLACEMENT_3D('',#532896,#438828,#438829); #357226=AXIS2_PLACEMENT_3D('',#532898,#438830,#438831); #357227=AXIS2_PLACEMENT_3D('',#532902,#438833,#438834); #357228=AXIS2_PLACEMENT_3D('',#532906,#438836,#438837); #357229=AXIS2_PLACEMENT_3D('',#532909,#438839,#438840); #357230=AXIS2_PLACEMENT_3D('',#532910,#438841,#438842); #357231=AXIS2_PLACEMENT_3D('',#532915,#438844,#438845); #357232=AXIS2_PLACEMENT_3D('',#532919,#438847,#438848); #357233=AXIS2_PLACEMENT_3D('',#532922,#438850,#438851); #357234=AXIS2_PLACEMENT_3D('',#532924,#438852,#438853); #357235=AXIS2_PLACEMENT_3D('',#532928,#438855,#438856); #357236=AXIS2_PLACEMENT_3D('',#532932,#438858,#438859); #357237=AXIS2_PLACEMENT_3D('',#532935,#438861,#438862); #357238=AXIS2_PLACEMENT_3D('',#532936,#438863,#438864); #357239=AXIS2_PLACEMENT_3D('',#532937,#438865,#438866); #357240=AXIS2_PLACEMENT_3D('',#532939,#438868,#438869); #357241=AXIS2_PLACEMENT_3D('',#532941,#438871,#438872); #357242=AXIS2_PLACEMENT_3D('',#532943,#438874,#438875); #357243=AXIS2_PLACEMENT_3D('',#532945,#438877,#438878); #357244=AXIS2_PLACEMENT_3D('',#532947,#438880,#438881); #357245=AXIS2_PLACEMENT_3D('',#532949,#438883,#438884); #357246=AXIS2_PLACEMENT_3D('',#532950,#438885,#438886); #357247=AXIS2_PLACEMENT_3D('',#532952,#438888,#438889); #357248=AXIS2_PLACEMENT_3D('',#532954,#438891,#438892); #357249=AXIS2_PLACEMENT_3D('',#532956,#438894,#438895); #357250=AXIS2_PLACEMENT_3D('',#532958,#438897,#438898); #357251=AXIS2_PLACEMENT_3D('',#532960,#438900,#438901); #357252=AXIS2_PLACEMENT_3D('',#532962,#438903,#438904); #357253=AXIS2_PLACEMENT_3D('',#532963,#438905,#438906); #357254=AXIS2_PLACEMENT_3D('',#532972,#438911,#438912); #357255=AXIS2_PLACEMENT_3D('',#532974,#438913,#438914); #357256=AXIS2_PLACEMENT_3D('',#532976,#438915,#438916); #357257=AXIS2_PLACEMENT_3D('',#532978,#438918,#438919); #357258=AXIS2_PLACEMENT_3D('',#532984,#438923,#438924); #357259=AXIS2_PLACEMENT_3D('',#532985,#438925,#438926); #357260=AXIS2_PLACEMENT_3D('',#532986,#438927,#438928); #357261=AXIS2_PLACEMENT_3D('',#532987,#438929,#438930); #357262=AXIS2_PLACEMENT_3D('',#532990,#438932,#438933); #357263=AXIS2_PLACEMENT_3D('',#532991,#438934,#438935); #357264=AXIS2_PLACEMENT_3D('',#532995,#438937,#438938); #357265=AXIS2_PLACEMENT_3D('',#532997,#438940,#438941); #357266=AXIS2_PLACEMENT_3D('',#533049,#438943,#438944); #357267=AXIS2_PLACEMENT_3D('',#533050,#438945,#438946); #357268=AXIS2_PLACEMENT_3D('',#533051,#438947,#438948); #357269=AXIS2_PLACEMENT_3D('',#533055,#438950,#438951); #357270=AXIS2_PLACEMENT_3D('',#533056,#438952,#438953); #357271=AXIS2_PLACEMENT_3D('',#533057,#438954,#438955); #357272=AXIS2_PLACEMENT_3D('',#533063,#438959,#438960); #357273=AXIS2_PLACEMENT_3D('',#533064,#438961,#438962); #357274=AXIS2_PLACEMENT_3D('',#533065,#438963,#438964); #357275=AXIS2_PLACEMENT_3D('',#533066,#438965,#438966); #357276=AXIS2_PLACEMENT_3D('',#533067,#438967,#438968); #357277=AXIS2_PLACEMENT_3D('',#533068,#438969,#438970); #357278=AXIS2_PLACEMENT_3D('',#533069,#438971,#438972); #357279=AXIS2_PLACEMENT_3D('',#533070,#438973,#438974); #357280=AXIS2_PLACEMENT_3D('',#533071,#438975,#438976); #357281=AXIS2_PLACEMENT_3D('',#533072,#438977,#438978); #357282=AXIS2_PLACEMENT_3D('',#533078,#438982,#438983); #357283=AXIS2_PLACEMENT_3D('',#533084,#438987,#438988); #357284=AXIS2_PLACEMENT_3D('',#533087,#438991,#438992); #357285=AXIS2_PLACEMENT_3D('',#533088,#438993,#438994); #357286=AXIS2_PLACEMENT_3D('',#533089,#438995,#438996); #357287=AXIS2_PLACEMENT_3D('',#533092,#438999,#439000); #357288=AXIS2_PLACEMENT_3D('',#533095,#439003,#439004); #357289=AXIS2_PLACEMENT_3D('',#533097,#439006,#439007); #357290=AXIS2_PLACEMENT_3D('',#533099,#439009,#439010); #357291=AXIS2_PLACEMENT_3D('',#533101,#439012,#439013); #357292=AXIS2_PLACEMENT_3D('',#533103,#439015,#439016); #357293=AXIS2_PLACEMENT_3D('',#533105,#439018,#439019); #357294=AXIS2_PLACEMENT_3D('',#533107,#439021,#439022); #357295=AXIS2_PLACEMENT_3D('',#533109,#439024,#439025); #357296=AXIS2_PLACEMENT_3D('',#533111,#439027,#439028); #357297=AXIS2_PLACEMENT_3D('',#533113,#439030,#439031); #357298=AXIS2_PLACEMENT_3D('',#533115,#439033,#439034); #357299=AXIS2_PLACEMENT_3D('',#533117,#439036,#439037); #357300=AXIS2_PLACEMENT_3D('',#533119,#439039,#439040); #357301=AXIS2_PLACEMENT_3D('',#533120,#439041,#439042); #357302=AXIS2_PLACEMENT_3D('',#533123,#439045,#439046); #357303=AXIS2_PLACEMENT_3D('',#533125,#439048,#439049); #357304=AXIS2_PLACEMENT_3D('',#533127,#439051,#439052); #357305=AXIS2_PLACEMENT_3D('',#533129,#439054,#439055); #357306=AXIS2_PLACEMENT_3D('',#533131,#439057,#439058); #357307=AXIS2_PLACEMENT_3D('',#533133,#439060,#439061); #357308=AXIS2_PLACEMENT_3D('',#533135,#439063,#439064); #357309=AXIS2_PLACEMENT_3D('',#533137,#439066,#439067); #357310=AXIS2_PLACEMENT_3D('',#533139,#439069,#439070); #357311=AXIS2_PLACEMENT_3D('',#533141,#439072,#439073); #357312=AXIS2_PLACEMENT_3D('',#533143,#439075,#439076); #357313=AXIS2_PLACEMENT_3D('',#533147,#439079,#439080); #357314=AXIS2_PLACEMENT_3D('',#533150,#439083,#439084); #357315=AXIS2_PLACEMENT_3D('',#533152,#439086,#439087); #357316=AXIS2_PLACEMENT_3D('',#533154,#439089,#439090); #357317=AXIS2_PLACEMENT_3D('',#533155,#439091,#439092); #357318=AXIS2_PLACEMENT_3D('',#533156,#439093,#439094); #357319=AXIS2_PLACEMENT_3D('',#533157,#439095,#439096); #357320=AXIS2_PLACEMENT_3D('',#533158,#439097,#439098); #357321=AXIS2_PLACEMENT_3D('',#533159,#439099,#439100); #357322=AXIS2_PLACEMENT_3D('',#533161,#439102,#439103); #357323=AXIS2_PLACEMENT_3D('',#533164,#439106,#439107); #357324=AXIS2_PLACEMENT_3D('',#533165,#439108,#439109); #357325=AXIS2_PLACEMENT_3D('',#533167,#439111,#439112); #357326=AXIS2_PLACEMENT_3D('',#533169,#439113,#439114); #357327=AXIS2_PLACEMENT_3D('',#533173,#439116,#439117); #357328=AXIS2_PLACEMENT_3D('',#533177,#439119,#439120); #357329=AXIS2_PLACEMENT_3D('',#533180,#439122,#439123); #357330=AXIS2_PLACEMENT_3D('',#533182,#439124,#439125); #357331=AXIS2_PLACEMENT_3D('',#533186,#439127,#439128); #357332=AXIS2_PLACEMENT_3D('',#533190,#439130,#439131); #357333=AXIS2_PLACEMENT_3D('',#533193,#439133,#439134); #357334=AXIS2_PLACEMENT_3D('',#533194,#439135,#439136); #357335=AXIS2_PLACEMENT_3D('',#533199,#439138,#439139); #357336=AXIS2_PLACEMENT_3D('',#533203,#439141,#439142); #357337=AXIS2_PLACEMENT_3D('',#533206,#439144,#439145); #357338=AXIS2_PLACEMENT_3D('',#533208,#439146,#439147); #357339=AXIS2_PLACEMENT_3D('',#533212,#439149,#439150); #357340=AXIS2_PLACEMENT_3D('',#533216,#439152,#439153); #357341=AXIS2_PLACEMENT_3D('',#533219,#439155,#439156); #357342=AXIS2_PLACEMENT_3D('',#533220,#439157,#439158); #357343=AXIS2_PLACEMENT_3D('',#533221,#439159,#439160); #357344=AXIS2_PLACEMENT_3D('',#533223,#439162,#439163); #357345=AXIS2_PLACEMENT_3D('',#533225,#439165,#439166); #357346=AXIS2_PLACEMENT_3D('',#533227,#439168,#439169); #357347=AXIS2_PLACEMENT_3D('',#533229,#439171,#439172); #357348=AXIS2_PLACEMENT_3D('',#533231,#439174,#439175); #357349=AXIS2_PLACEMENT_3D('',#533233,#439177,#439178); #357350=AXIS2_PLACEMENT_3D('',#533234,#439179,#439180); #357351=AXIS2_PLACEMENT_3D('',#533236,#439182,#439183); #357352=AXIS2_PLACEMENT_3D('',#533238,#439185,#439186); #357353=AXIS2_PLACEMENT_3D('',#533240,#439188,#439189); #357354=AXIS2_PLACEMENT_3D('',#533242,#439191,#439192); #357355=AXIS2_PLACEMENT_3D('',#533244,#439194,#439195); #357356=AXIS2_PLACEMENT_3D('',#533246,#439197,#439198); #357357=AXIS2_PLACEMENT_3D('',#533247,#439199,#439200); #357358=AXIS2_PLACEMENT_3D('',#533250,#439203,#439204); #357359=AXIS2_PLACEMENT_3D('',#533251,#439205,#439206); #357360=AXIS2_PLACEMENT_3D('',#533254,#439209,#439210); #357361=AXIS2_PLACEMENT_3D('',#533255,#439211,#439212); #357362=AXIS2_PLACEMENT_3D('',#533257,#439213,#439214); #357363=AXIS2_PLACEMENT_3D('',#533261,#439216,#439217); #357364=AXIS2_PLACEMENT_3D('',#533265,#439219,#439220); #357365=AXIS2_PLACEMENT_3D('',#533268,#439222,#439223); #357366=AXIS2_PLACEMENT_3D('',#533270,#439224,#439225); #357367=AXIS2_PLACEMENT_3D('',#533274,#439227,#439228); #357368=AXIS2_PLACEMENT_3D('',#533278,#439230,#439231); #357369=AXIS2_PLACEMENT_3D('',#533281,#439233,#439234); #357370=AXIS2_PLACEMENT_3D('',#533282,#439235,#439236); #357371=AXIS2_PLACEMENT_3D('',#533287,#439238,#439239); #357372=AXIS2_PLACEMENT_3D('',#533291,#439241,#439242); #357373=AXIS2_PLACEMENT_3D('',#533294,#439244,#439245); #357374=AXIS2_PLACEMENT_3D('',#533296,#439246,#439247); #357375=AXIS2_PLACEMENT_3D('',#533300,#439249,#439250); #357376=AXIS2_PLACEMENT_3D('',#533304,#439252,#439253); #357377=AXIS2_PLACEMENT_3D('',#533307,#439255,#439256); #357378=AXIS2_PLACEMENT_3D('',#533308,#439257,#439258); #357379=AXIS2_PLACEMENT_3D('',#533309,#439259,#439260); #357380=AXIS2_PLACEMENT_3D('',#533311,#439262,#439263); #357381=AXIS2_PLACEMENT_3D('',#533313,#439265,#439266); #357382=AXIS2_PLACEMENT_3D('',#533315,#439268,#439269); #357383=AXIS2_PLACEMENT_3D('',#533317,#439271,#439272); #357384=AXIS2_PLACEMENT_3D('',#533319,#439274,#439275); #357385=AXIS2_PLACEMENT_3D('',#533321,#439277,#439278); #357386=AXIS2_PLACEMENT_3D('',#533322,#439279,#439280); #357387=AXIS2_PLACEMENT_3D('',#533324,#439282,#439283); #357388=AXIS2_PLACEMENT_3D('',#533326,#439285,#439286); #357389=AXIS2_PLACEMENT_3D('',#533328,#439288,#439289); #357390=AXIS2_PLACEMENT_3D('',#533330,#439291,#439292); #357391=AXIS2_PLACEMENT_3D('',#533332,#439294,#439295); #357392=AXIS2_PLACEMENT_3D('',#533334,#439297,#439298); #357393=AXIS2_PLACEMENT_3D('',#533335,#439299,#439300); #357394=AXIS2_PLACEMENT_3D('',#533338,#439301,#439302); #357395=AXIS2_PLACEMENT_3D('',#533342,#439304,#439305); #357396=AXIS2_PLACEMENT_3D('',#533344,#439307,#439308); #357397=AXIS2_PLACEMENT_3D('',#533350,#439312,#439313); #357398=AXIS2_PLACEMENT_3D('',#533351,#439314,#439315); #357399=AXIS2_PLACEMENT_3D('',#533352,#439316,#439317); #357400=AXIS2_PLACEMENT_3D('',#533353,#439318,#439319); #357401=AXIS2_PLACEMENT_3D('',#533359,#439323,#439324); #357402=AXIS2_PLACEMENT_3D('',#533361,#439325,#439326); #357403=AXIS2_PLACEMENT_3D('',#533366,#439329,#439330); #357404=AXIS2_PLACEMENT_3D('',#533367,#439331,#439332); #357405=AXIS2_PLACEMENT_3D('',#533369,#439334,#439335); #357406=AXIS2_PLACEMENT_3D('',#533421,#439337,#439338); #357407=AXIS2_PLACEMENT_3D('',#533422,#439339,#439340); #357408=AXIS2_PLACEMENT_3D('',#533423,#439341,#439342); #357409=AXIS2_PLACEMENT_3D('',#533425,#439343,#439344); #357410=AXIS2_PLACEMENT_3D('',#533428,#439346,#439347); #357411=AXIS2_PLACEMENT_3D('',#533429,#439348,#439349); #357412=AXIS2_PLACEMENT_3D('',#533433,#439351,#439352); #357413=AXIS2_PLACEMENT_3D('',#533434,#439353,#439354); #357414=AXIS2_PLACEMENT_3D('',#533435,#439355,#439356); #357415=AXIS2_PLACEMENT_3D('',#533438,#439359,#439360); #357416=AXIS2_PLACEMENT_3D('',#533439,#439361,#439362); #357417=AXIS2_PLACEMENT_3D('',#533440,#439363,#439364); #357418=AXIS2_PLACEMENT_3D('',#533441,#439365,#439366); #357419=AXIS2_PLACEMENT_3D('',#533442,#439367,#439368); #357420=AXIS2_PLACEMENT_3D('',#533443,#439369,#439370); #357421=AXIS2_PLACEMENT_3D('',#533444,#439371,#439372); #357422=AXIS2_PLACEMENT_3D('',#533450,#439376,#439377); #357423=AXIS2_PLACEMENT_3D('',#533456,#439381,#439382); #357424=AXIS2_PLACEMENT_3D('',#533459,#439385,#439386); #357425=AXIS2_PLACEMENT_3D('',#533460,#439387,#439388); #357426=AXIS2_PLACEMENT_3D('',#533461,#439389,#439390); #357427=AXIS2_PLACEMENT_3D('',#533470,#439395,#439396); #357428=AXIS2_PLACEMENT_3D('',#533472,#439397,#439398); #357429=AXIS2_PLACEMENT_3D('',#533475,#439400,#439401); #357430=AXIS2_PLACEMENT_3D('',#533476,#439402,#439403); #357431=AXIS2_PLACEMENT_3D('',#533485,#439408,#439409); #357432=AXIS2_PLACEMENT_3D('',#533487,#439410,#439411); #357433=AXIS2_PLACEMENT_3D('',#533489,#439412,#439413); #357434=AXIS2_PLACEMENT_3D('',#533491,#439415,#439416); #357435=AXIS2_PLACEMENT_3D('',#533493,#439417,#439418); #357436=AXIS2_PLACEMENT_3D('',#533495,#439419,#439420); #357437=AXIS2_PLACEMENT_3D('',#533497,#439422,#439423); #357438=AXIS2_PLACEMENT_3D('',#533499,#439424,#439425); #357439=AXIS2_PLACEMENT_3D('',#533501,#439426,#439427); #357440=AXIS2_PLACEMENT_3D('',#533503,#439429,#439430); #357441=AXIS2_PLACEMENT_3D('',#533509,#439434,#439435); #357442=AXIS2_PLACEMENT_3D('',#533511,#439436,#439437); #357443=AXIS2_PLACEMENT_3D('',#533513,#439438,#439439); #357444=AXIS2_PLACEMENT_3D('',#533515,#439441,#439442); #357445=AXIS2_PLACEMENT_3D('',#533517,#439443,#439444); #357446=AXIS2_PLACEMENT_3D('',#533519,#439445,#439446); #357447=AXIS2_PLACEMENT_3D('',#533521,#439448,#439449); #357448=AXIS2_PLACEMENT_3D('',#533523,#439450,#439451); #357449=AXIS2_PLACEMENT_3D('',#533525,#439452,#439453); #357450=AXIS2_PLACEMENT_3D('',#533527,#439455,#439456); #357451=AXIS2_PLACEMENT_3D('',#533533,#439460,#439461); #357452=AXIS2_PLACEMENT_3D('',#533535,#439462,#439463); #357453=AXIS2_PLACEMENT_3D('',#533537,#439464,#439465); #357454=AXIS2_PLACEMENT_3D('',#533539,#439467,#439468); #357455=AXIS2_PLACEMENT_3D('',#533545,#439472,#439473); #357456=AXIS2_PLACEMENT_3D('',#533546,#439474,#439475); #357457=AXIS2_PLACEMENT_3D('',#533547,#439476,#439477); #357458=AXIS2_PLACEMENT_3D('',#533548,#439478,#439479); #357459=AXIS2_PLACEMENT_3D('',#533557,#439484,#439485); #357460=AXIS2_PLACEMENT_3D('',#533559,#439486,#439487); #357461=AXIS2_PLACEMENT_3D('',#533562,#439489,#439490); #357462=AXIS2_PLACEMENT_3D('',#533563,#439491,#439492); #357463=AXIS2_PLACEMENT_3D('',#533565,#439493,#439494); #357464=AXIS2_PLACEMENT_3D('',#533568,#439496,#439497); #357465=AXIS2_PLACEMENT_3D('',#533569,#439498,#439499); #357466=AXIS2_PLACEMENT_3D('',#533571,#439500,#439501); #357467=AXIS2_PLACEMENT_3D('',#533574,#439503,#439504); #357468=AXIS2_PLACEMENT_3D('',#533575,#439505,#439506); #357469=AXIS2_PLACEMENT_3D('',#533581,#439510,#439511); #357470=AXIS2_PLACEMENT_3D('',#533583,#439512,#439513); #357471=AXIS2_PLACEMENT_3D('',#533586,#439515,#439516); #357472=AXIS2_PLACEMENT_3D('',#533587,#439517,#439518); #357473=AXIS2_PLACEMENT_3D('',#533589,#439519,#439520); #357474=AXIS2_PLACEMENT_3D('',#533592,#439522,#439523); #357475=AXIS2_PLACEMENT_3D('',#533593,#439524,#439525); #357476=AXIS2_PLACEMENT_3D('',#533595,#439526,#439527); #357477=AXIS2_PLACEMENT_3D('',#533598,#439529,#439530); #357478=AXIS2_PLACEMENT_3D('',#533599,#439531,#439532); #357479=AXIS2_PLACEMENT_3D('',#533605,#439536,#439537); #357480=AXIS2_PLACEMENT_3D('',#533607,#439538,#439539); #357481=AXIS2_PLACEMENT_3D('',#533610,#439541,#439542); #357482=AXIS2_PLACEMENT_3D('',#533611,#439543,#439544); #357483=AXIS2_PLACEMENT_3D('',#533617,#439548,#439549); #357484=AXIS2_PLACEMENT_3D('',#533618,#439550,#439551); #357485=AXIS2_PLACEMENT_3D('',#533619,#439552,#439553); #357486=AXIS2_PLACEMENT_3D('',#533620,#439554,#439555); #357487=AXIS2_PLACEMENT_3D('',#533629,#439560,#439561); #357488=AXIS2_PLACEMENT_3D('',#533633,#439563,#439564); #357489=AXIS2_PLACEMENT_3D('',#533634,#439565,#439566); #357490=AXIS2_PLACEMENT_3D('',#533730,#439571,#439572); #357491=AXIS2_PLACEMENT_3D('',#533735,#439575,#439576); #357492=AXIS2_PLACEMENT_3D('',#533737,#439577,#439578); #357493=AXIS2_PLACEMENT_3D('',#533739,#439580,#439581); #357494=AXIS2_PLACEMENT_3D('',#533744,#439584,#439585); #357495=AXIS2_PLACEMENT_3D('',#533745,#439586,#439587); #357496=AXIS2_PLACEMENT_3D('',#533748,#439589,#439590); #357497=AXIS2_PLACEMENT_3D('',#533749,#439591,#439592); #357498=AXIS2_PLACEMENT_3D('',#533753,#439595,#439596); #357499=AXIS2_PLACEMENT_3D('',#533757,#439599,#439600); #357500=AXIS2_PLACEMENT_3D('',#533766,#439605,#439606); #357501=AXIS2_PLACEMENT_3D('',#533770,#439609,#439610); #357502=AXIS2_PLACEMENT_3D('',#533771,#439611,#439612); #357503=AXIS2_PLACEMENT_3D('',#533774,#439614,#439615); #357504=AXIS2_PLACEMENT_3D('',#533840,#439618,#439619); #357505=AXIS2_PLACEMENT_3D('',#533846,#439623,#439624); #357506=AXIS2_PLACEMENT_3D('',#533847,#439625,#439626); #357507=AXIS2_PLACEMENT_3D('',#533848,#439627,#439628); #357508=AXIS2_PLACEMENT_3D('',#533879,#439631,#439632); #357509=AXIS2_PLACEMENT_3D('',#533882,#439634,#439635); #357510=AXIS2_PLACEMENT_3D('',#533883,#439636,#439637); #357511=AXIS2_PLACEMENT_3D('',#533892,#439642,#439643); #357512=AXIS2_PLACEMENT_3D('',#533901,#439648,#439649); #357513=AXIS2_PLACEMENT_3D('',#533903,#439650,#439651); #357514=AXIS2_PLACEMENT_3D('',#533905,#439653,#439654); #357515=AXIS2_PLACEMENT_3D('',#533914,#439659,#439660); #357516=AXIS2_PLACEMENT_3D('',#533916,#439661,#439662); #357517=AXIS2_PLACEMENT_3D('',#533919,#439664,#439665); #357518=AXIS2_PLACEMENT_3D('',#533920,#439666,#439667); #357519=AXIS2_PLACEMENT_3D('',#533922,#439668,#439669); #357520=AXIS2_PLACEMENT_3D('',#533925,#439671,#439672); #357521=AXIS2_PLACEMENT_3D('',#533926,#439673,#439674); #357522=AXIS2_PLACEMENT_3D('',#533927,#439675,#439676); #357523=AXIS2_PLACEMENT_3D('',#533928,#439677,#439678); #357524=AXIS2_PLACEMENT_3D('',#533929,#439679,#439680); #357525=AXIS2_PLACEMENT_3D('',#533931,#439681,#439682); #357526=AXIS2_PLACEMENT_3D('',#533933,#439684,#439685); #357527=AXIS2_PLACEMENT_3D('',#533939,#439689,#439690); #357528=AXIS2_PLACEMENT_3D('',#533941,#439691,#439692); #357529=AXIS2_PLACEMENT_3D('',#533944,#439694,#439695); #357530=AXIS2_PLACEMENT_3D('',#533945,#439696,#439697); #357531=AXIS2_PLACEMENT_3D('',#533951,#439701,#439702); #357532=AXIS2_PLACEMENT_3D('',#533953,#439703,#439704); #357533=AXIS2_PLACEMENT_3D('',#533956,#439706,#439707); #357534=AXIS2_PLACEMENT_3D('',#533957,#439708,#439709); #357535=AXIS2_PLACEMENT_3D('',#533963,#439713,#439714); #357536=AXIS2_PLACEMENT_3D('',#533965,#439715,#439716); #357537=AXIS2_PLACEMENT_3D('',#533968,#439718,#439719); #357538=AXIS2_PLACEMENT_3D('',#533969,#439720,#439721); #357539=AXIS2_PLACEMENT_3D('',#533971,#439722,#439723); #357540=AXIS2_PLACEMENT_3D('',#533972,#439724,#439725); #357541=AXIS2_PLACEMENT_3D('',#533973,#439726,#439727); #357542=AXIS2_PLACEMENT_3D('',#533974,#439728,#439729); #357543=AXIS2_PLACEMENT_3D('',#533976,#439730,#439731); #357544=AXIS2_PLACEMENT_3D('',#533978,#439733,#439734); #357545=AXIS2_PLACEMENT_3D('',#533980,#439735,#439736); #357546=AXIS2_PLACEMENT_3D('',#533983,#439738,#439739); #357547=AXIS2_PLACEMENT_3D('',#533984,#439740,#439741); #357548=AXIS2_PLACEMENT_3D('',#533986,#439742,#439743); #357549=AXIS2_PLACEMENT_3D('',#533989,#439745,#439746); #357550=AXIS2_PLACEMENT_3D('',#533990,#439747,#439748); #357551=AXIS2_PLACEMENT_3D('',#533996,#439752,#439753); #357552=AXIS2_PLACEMENT_3D('',#533998,#439754,#439755); #357553=AXIS2_PLACEMENT_3D('',#534001,#439757,#439758); #357554=AXIS2_PLACEMENT_3D('',#534002,#439759,#439760); #357555=AXIS2_PLACEMENT_3D('',#534004,#439761,#439762); #357556=AXIS2_PLACEMENT_3D('',#534005,#439763,#439764); #357557=AXIS2_PLACEMENT_3D('',#534006,#439765,#439766); #357558=AXIS2_PLACEMENT_3D('',#534007,#439767,#439768); #357559=AXIS2_PLACEMENT_3D('',#534009,#439769,#439770); #357560=AXIS2_PLACEMENT_3D('',#534011,#439772,#439773); #357561=AXIS2_PLACEMENT_3D('',#534013,#439774,#439775); #357562=AXIS2_PLACEMENT_3D('',#534016,#439777,#439778); #357563=AXIS2_PLACEMENT_3D('',#534017,#439779,#439780); #357564=AXIS2_PLACEMENT_3D('',#534019,#439781,#439782); #357565=AXIS2_PLACEMENT_3D('',#534022,#439784,#439785); #357566=AXIS2_PLACEMENT_3D('',#534023,#439786,#439787); #357567=AXIS2_PLACEMENT_3D('',#534029,#439791,#439792); #357568=AXIS2_PLACEMENT_3D('',#534031,#439793,#439794); #357569=AXIS2_PLACEMENT_3D('',#534034,#439796,#439797); #357570=AXIS2_PLACEMENT_3D('',#534035,#439798,#439799); #357571=AXIS2_PLACEMENT_3D('',#534041,#439803,#439804); #357572=AXIS2_PLACEMENT_3D('',#534043,#439805,#439806); #357573=AXIS2_PLACEMENT_3D('',#534046,#439808,#439809); #357574=AXIS2_PLACEMENT_3D('',#534047,#439810,#439811); #357575=AXIS2_PLACEMENT_3D('',#534051,#439814,#439815); #357576=AXIS2_PLACEMENT_3D('',#534052,#439816,#439817); #357577=AXIS2_PLACEMENT_3D('',#534054,#439819,#439820); #357578=AXIS2_PLACEMENT_3D('',#534056,#439821,#439822); #357579=AXIS2_PLACEMENT_3D('',#534058,#439823,#439824); #357580=AXIS2_PLACEMENT_3D('',#534060,#439826,#439827); #357581=AXIS2_PLACEMENT_3D('',#534062,#439828,#439829); #357582=AXIS2_PLACEMENT_3D('',#534065,#439831,#439832); #357583=AXIS2_PLACEMENT_3D('',#534066,#439833,#439834); #357584=AXIS2_PLACEMENT_3D('',#534068,#439835,#439836); #357585=AXIS2_PLACEMENT_3D('',#534071,#439838,#439839); #357586=AXIS2_PLACEMENT_3D('',#534072,#439840,#439841); #357587=AXIS2_PLACEMENT_3D('',#534078,#439845,#439846); #357588=AXIS2_PLACEMENT_3D('',#534087,#439851,#439852); #357589=AXIS2_PLACEMENT_3D('',#534089,#439853,#439854); #357590=AXIS2_PLACEMENT_3D('',#534092,#439856,#439857); #357591=AXIS2_PLACEMENT_3D('',#534093,#439858,#439859); #357592=AXIS2_PLACEMENT_3D('',#534095,#439860,#439861); #357593=AXIS2_PLACEMENT_3D('',#534097,#439862,#439863); #357594=AXIS2_PLACEMENT_3D('',#534099,#439865,#439866); #357595=AXIS2_PLACEMENT_3D('',#534103,#439868,#439869); #357596=AXIS2_PLACEMENT_3D('',#534107,#439871,#439872); #357597=AXIS2_PLACEMENT_3D('',#534109,#439874,#439875); #357598=AXIS2_PLACEMENT_3D('',#534111,#439876,#439877); #357599=AXIS2_PLACEMENT_3D('',#534117,#439881,#439882); #357600=AXIS2_PLACEMENT_3D('',#534118,#439883,#439884); #357601=AXIS2_PLACEMENT_3D('',#534122,#439886,#439887); #357602=AXIS2_PLACEMENT_3D('',#534124,#439889,#439890); #357603=AXIS2_PLACEMENT_3D('',#534128,#439892,#439893); #357604=AXIS2_PLACEMENT_3D('',#534131,#439896,#439897); #357605=AXIS2_PLACEMENT_3D('',#534135,#439900,#439901); #357606=AXIS2_PLACEMENT_3D('',#534137,#439902,#439903); #357607=AXIS2_PLACEMENT_3D('',#534140,#439905,#439906); #357608=AXIS2_PLACEMENT_3D('',#534154,#439907,#439908); #357609=AXIS2_PLACEMENT_3D('',#534157,#439910,#439911); #357610=AXIS2_PLACEMENT_3D('',#534158,#439912,#439913); #357611=AXIS2_PLACEMENT_3D('',#534160,#439914,#439915); #357612=AXIS2_PLACEMENT_3D('',#534163,#439917,#439918); #357613=AXIS2_PLACEMENT_3D('',#534208,#439919,#439920); #357614=AXIS2_PLACEMENT_3D('',#534209,#439921,#439922); #357615=AXIS2_PLACEMENT_3D('',#534257,#439924,#439925); #357616=AXIS2_PLACEMENT_3D('',#534259,#439926,#439927); #357617=AXIS2_PLACEMENT_3D('',#534262,#439929,#439930); #357618=AXIS2_PLACEMENT_3D('',#534275,#439931,#439932); #357619=AXIS2_PLACEMENT_3D('',#534276,#439933,#439934); #357620=AXIS2_PLACEMENT_3D('',#534277,#439935,#439936); #357621=AXIS2_PLACEMENT_3D('',#534278,#439937,#439938); #357622=AXIS2_PLACEMENT_3D('',#534279,#439939,#439940); #357623=AXIS2_PLACEMENT_3D('',#534281,#439942,#439943); #357624=AXIS2_PLACEMENT_3D('',#534283,#439945,#439946); #357625=AXIS2_PLACEMENT_3D('',#534285,#439948,#439949); #357626=AXIS2_PLACEMENT_3D('',#534287,#439951,#439952); #357627=AXIS2_PLACEMENT_3D('',#534289,#439954,#439955); #357628=AXIS2_PLACEMENT_3D('',#534291,#439957,#439958); #357629=AXIS2_PLACEMENT_3D('',#534292,#439959,#439960); #357630=AXIS2_PLACEMENT_3D('',#534294,#439962,#439963); #357631=AXIS2_PLACEMENT_3D('',#534296,#439965,#439966); #357632=AXIS2_PLACEMENT_3D('',#534298,#439968,#439969); #357633=AXIS2_PLACEMENT_3D('',#534300,#439971,#439972); #357634=AXIS2_PLACEMENT_3D('',#534302,#439974,#439975); #357635=AXIS2_PLACEMENT_3D('',#534304,#439977,#439978); #357636=AXIS2_PLACEMENT_3D('',#534305,#439979,#439980); #357637=AXIS2_PLACEMENT_3D('',#534307,#439982,#439983); #357638=AXIS2_PLACEMENT_3D('',#534309,#439985,#439986); #357639=AXIS2_PLACEMENT_3D('',#534311,#439988,#439989); #357640=AXIS2_PLACEMENT_3D('',#534313,#439991,#439992); #357641=AXIS2_PLACEMENT_3D('',#534315,#439994,#439995); #357642=AXIS2_PLACEMENT_3D('',#534317,#439997,#439998); #357643=AXIS2_PLACEMENT_3D('',#534318,#439999,#440000); #357644=AXIS2_PLACEMENT_3D('',#534320,#440002,#440003); #357645=AXIS2_PLACEMENT_3D('',#534322,#440005,#440006); #357646=AXIS2_PLACEMENT_3D('',#534324,#440008,#440009); #357647=AXIS2_PLACEMENT_3D('',#534326,#440011,#440012); #357648=AXIS2_PLACEMENT_3D('',#534328,#440014,#440015); #357649=AXIS2_PLACEMENT_3D('',#534330,#440017,#440018); #357650=AXIS2_PLACEMENT_3D('',#534331,#440019,#440020); #357651=AXIS2_PLACEMENT_3D('',#534332,#440021,#440022); #357652=AXIS2_PLACEMENT_3D('',#534341,#440027,#440028); #357653=AXIS2_PLACEMENT_3D('',#534345,#440030,#440031); #357654=AXIS2_PLACEMENT_3D('',#534349,#440033,#440034); #357655=AXIS2_PLACEMENT_3D('',#534357,#440038,#440039); #357656=AXIS2_PLACEMENT_3D('',#534361,#440041,#440042); #357657=AXIS2_PLACEMENT_3D('',#534363,#440044,#440045); #357658=AXIS2_PLACEMENT_3D('',#534372,#440049,#440050); #357659=AXIS2_PLACEMENT_3D('',#534376,#440052,#440053); #357660=AXIS2_PLACEMENT_3D('',#534384,#440057,#440058); #357661=AXIS2_PLACEMENT_3D('',#534387,#440060,#440061); #357662=AXIS2_PLACEMENT_3D('',#534388,#440062,#440063); #357663=AXIS2_PLACEMENT_3D('',#534394,#440067,#440068); #357664=AXIS2_PLACEMENT_3D('',#534404,#440074,#440075); #357665=AXIS2_PLACEMENT_3D('',#534410,#440079,#440080); #357666=AXIS2_PLACEMENT_3D('',#534417,#440085,#440086); #357667=AXIS2_PLACEMENT_3D('',#534420,#440089,#440090); #357668=AXIS2_PLACEMENT_3D('',#534423,#440093,#440094); #357669=AXIS2_PLACEMENT_3D('',#534426,#440097,#440098); #357670=AXIS2_PLACEMENT_3D('',#534428,#440100,#440101); #357671=AXIS2_PLACEMENT_3D('',#534430,#440103,#440104); #357672=AXIS2_PLACEMENT_3D('',#534432,#440106,#440107); #357673=AXIS2_PLACEMENT_3D('',#534433,#440108,#440109); #357674=AXIS2_PLACEMENT_3D('',#534435,#440111,#440112); #357675=AXIS2_PLACEMENT_3D('',#534437,#440114,#440115); #357676=AXIS2_PLACEMENT_3D('',#534439,#440117,#440118); #357677=AXIS2_PLACEMENT_3D('',#534440,#440119,#440120); #357678=AXIS2_PLACEMENT_3D('',#534441,#440121,#440122); #357679=AXIS2_PLACEMENT_3D('',#534442,#440123,#440124); #357680=AXIS2_PLACEMENT_3D('',#534443,#440125,#440126); #357681=AXIS2_PLACEMENT_3D('',#534444,#440127,#440128); #357682=AXIS2_PLACEMENT_3D('',#534445,#440129,#440130); #357683=AXIS2_PLACEMENT_3D('',#534446,#440131,#440132); #357684=AXIS2_PLACEMENT_3D('',#534447,#440133,#440134); #357685=AXIS2_PLACEMENT_3D('',#534448,#440135,#440136); #357686=AXIS2_PLACEMENT_3D('',#534449,#440137,#440138); #357687=AXIS2_PLACEMENT_3D('',#534450,#440139,#440140); #357688=AXIS2_PLACEMENT_3D('',#534451,#440141,#440142); #357689=AXIS2_PLACEMENT_3D('',#534452,#440143,#440144); #357690=AXIS2_PLACEMENT_3D('',#534453,#440145,#440146); #357691=AXIS2_PLACEMENT_3D('',#534454,#440147,#440148); #357692=AXIS2_PLACEMENT_3D('',#534455,#440149,#440150); #357693=AXIS2_PLACEMENT_3D('',#534456,#440151,#440152); #357694=AXIS2_PLACEMENT_3D('',#534457,#440153,#440154); #357695=AXIS2_PLACEMENT_3D('',#534458,#440155,#440156); #357696=AXIS2_PLACEMENT_3D('',#534459,#440157,#440158); #357697=AXIS2_PLACEMENT_3D('',#534460,#440159,#440160); #357698=AXIS2_PLACEMENT_3D('',#534461,#440161,#440162); #357699=AXIS2_PLACEMENT_3D('',#534462,#440163,#440164); #357700=AXIS2_PLACEMENT_3D('',#534463,#440165,#440166); #357701=AXIS2_PLACEMENT_3D('',#534464,#440167,#440168); #357702=AXIS2_PLACEMENT_3D('',#534465,#440169,#440170); #357703=AXIS2_PLACEMENT_3D('',#534490,#440183,#440184); #357704=AXIS2_PLACEMENT_3D('',#534499,#440189,#440190); #357705=AXIS2_PLACEMENT_3D('',#534508,#440195,#440196); #357706=AXIS2_PLACEMENT_3D('',#534525,#440205,#440206); #357707=AXIS2_PLACEMENT_3D('',#534542,#440215,#440216); #357708=AXIS2_PLACEMENT_3D('',#534567,#440229,#440230); #357709=AXIS2_PLACEMENT_3D('',#534574,#440235,#440236); #357710=AXIS2_PLACEMENT_3D('',#534581,#440241,#440242); #357711=AXIS2_PLACEMENT_3D('',#534585,#440245,#440246); #357712=AXIS2_PLACEMENT_3D('',#534592,#440251,#440252); #357713=AXIS2_PLACEMENT_3D('',#534597,#440256,#440257); #357714=AXIS2_PLACEMENT_3D('',#534601,#440260,#440261); #357715=AXIS2_PLACEMENT_3D('',#534615,#440269,#440270); #357716=AXIS2_PLACEMENT_3D('',#534620,#440272,#440273); #357717=AXIS2_PLACEMENT_3D('',#534624,#440277,#440278); #357718=AXIS2_PLACEMENT_3D('',#534628,#440280,#440281); #357719=AXIS2_PLACEMENT_3D('',#534635,#440286,#440287); #357720=AXIS2_PLACEMENT_3D('',#534638,#440288,#440289); #357721=AXIS2_PLACEMENT_3D('',#534642,#440291,#440292); #357722=AXIS2_PLACEMENT_3D('',#534660,#440304,#440305); #357723=AXIS2_PLACEMENT_3D('',#534661,#440306,#440307); #357724=AXIS2_PLACEMENT_3D('',#534664,#440308,#440309); #357725=AXIS2_PLACEMENT_3D('',#534668,#440311,#440312); #357726=AXIS2_PLACEMENT_3D('',#534689,#440325,#440326); #357727=AXIS2_PLACEMENT_3D('',#534698,#440331,#440332); #357728=AXIS2_PLACEMENT_3D('',#534699,#440333,#440334); #357729=AXIS2_PLACEMENT_3D('',#534703,#440337,#440338); #357730=AXIS2_PLACEMENT_3D('',#534709,#440341,#440342); #357731=AXIS2_PLACEMENT_3D('',#534711,#440344,#440345); #357732=AXIS2_PLACEMENT_3D('',#534713,#440347,#440348); #357733=AXIS2_PLACEMENT_3D('',#534715,#440350,#440351); #357734=AXIS2_PLACEMENT_3D('',#534717,#440353,#440354); #357735=AXIS2_PLACEMENT_3D('',#534719,#440356,#440357); #357736=AXIS2_PLACEMENT_3D('',#534721,#440359,#440360); #357737=AXIS2_PLACEMENT_3D('',#534723,#440362,#440363); #357738=AXIS2_PLACEMENT_3D('',#534725,#440365,#440366); #357739=AXIS2_PLACEMENT_3D('',#534727,#440368,#440369); #357740=AXIS2_PLACEMENT_3D('',#534729,#440371,#440372); #357741=AXIS2_PLACEMENT_3D('',#534731,#440374,#440375); #357742=AXIS2_PLACEMENT_3D('',#534732,#440376,#440377); #357743=AXIS2_PLACEMENT_3D('',#534733,#440378,#440379); #357744=AXIS2_PLACEMENT_3D('',#534734,#440380,#440381); #357745=AXIS2_PLACEMENT_3D('',#534735,#440382,#440383); #357746=AXIS2_PLACEMENT_3D('',#534736,#440384,#440385); #357747=AXIS2_PLACEMENT_3D('',#534742,#440389,#440390); #357748=AXIS2_PLACEMENT_3D('',#534746,#440393,#440394); #357749=AXIS2_PLACEMENT_3D('',#534750,#440397,#440398); #357750=AXIS2_PLACEMENT_3D('',#534752,#440400,#440401); #357751=AXIS2_PLACEMENT_3D('',#534761,#440406,#440407); #357752=AXIS2_PLACEMENT_3D('',#534764,#440410,#440411); #357753=AXIS2_PLACEMENT_3D('',#534766,#440413,#440414); #357754=AXIS2_PLACEMENT_3D('',#534768,#440416,#440417); #357755=AXIS2_PLACEMENT_3D('',#534769,#440418,#440419); #357756=AXIS2_PLACEMENT_3D('',#534775,#440423,#440424); #357757=AXIS2_PLACEMENT_3D('',#534779,#440427,#440428); #357758=AXIS2_PLACEMENT_3D('',#534783,#440431,#440432); #357759=AXIS2_PLACEMENT_3D('',#534785,#440434,#440435); #357760=AXIS2_PLACEMENT_3D('',#534794,#440440,#440441); #357761=AXIS2_PLACEMENT_3D('',#534797,#440444,#440445); #357762=AXIS2_PLACEMENT_3D('',#534799,#440447,#440448); #357763=AXIS2_PLACEMENT_3D('',#534801,#440450,#440451); #357764=AXIS2_PLACEMENT_3D('',#534802,#440452,#440453); #357765=AXIS2_PLACEMENT_3D('',#534808,#440457,#440458); #357766=AXIS2_PLACEMENT_3D('',#534817,#440463,#440464); #357767=AXIS2_PLACEMENT_3D('',#534823,#440468,#440469); #357768=AXIS2_PLACEMENT_3D('',#534825,#440471,#440472); #357769=AXIS2_PLACEMENT_3D('',#534827,#440474,#440475); #357770=AXIS2_PLACEMENT_3D('',#534830,#440478,#440479); #357771=AXIS2_PLACEMENT_3D('',#534832,#440481,#440482); #357772=AXIS2_PLACEMENT_3D('',#534834,#440484,#440485); #357773=AXIS2_PLACEMENT_3D('',#534835,#440486,#440487); #357774=AXIS2_PLACEMENT_3D('',#534841,#440491,#440492); #357775=AXIS2_PLACEMENT_3D('',#534850,#440497,#440498); #357776=AXIS2_PLACEMENT_3D('',#534856,#440502,#440503); #357777=AXIS2_PLACEMENT_3D('',#534858,#440505,#440506); #357778=AXIS2_PLACEMENT_3D('',#534860,#440508,#440509); #357779=AXIS2_PLACEMENT_3D('',#534863,#440512,#440513); #357780=AXIS2_PLACEMENT_3D('',#534865,#440515,#440516); #357781=AXIS2_PLACEMENT_3D('',#534867,#440518,#440519); #357782=AXIS2_PLACEMENT_3D('',#534868,#440520,#440521); #357783=AXIS2_PLACEMENT_3D('',#534871,#440524,#440525); #357784=AXIS2_PLACEMENT_3D('',#534873,#440527,#440528); #357785=AXIS2_PLACEMENT_3D('',#534876,#440531,#440532); #357786=AXIS2_PLACEMENT_3D('',#534878,#440534,#440535); #357787=AXIS2_PLACEMENT_3D('',#534879,#440536,#440537); #357788=AXIS2_PLACEMENT_3D('',#534880,#440538,#440539); #357789=AXIS2_PLACEMENT_3D('',#534882,#440541,#440542); #357790=AXIS2_PLACEMENT_3D('',#534884,#440544,#440545); #357791=AXIS2_PLACEMENT_3D('',#534885,#440546,#440547); #357792=AXIS2_PLACEMENT_3D('',#534886,#440548,#440549); #357793=AXIS2_PLACEMENT_3D('',#534887,#440550,#440551); #357794=AXIS2_PLACEMENT_3D('',#534888,#440552,#440553); #357795=AXIS2_PLACEMENT_3D('',#534891,#440554,#440555); #357796=AXIS2_PLACEMENT_3D('',#534893,#440556,#440557); #357797=AXIS2_PLACEMENT_3D('',#534894,#440558,#440559); #357798=AXIS2_PLACEMENT_3D('',#534895,#440560,#440561); #357799=AXIS2_PLACEMENT_3D('',#534898,#440562,#440563); #357800=AXIS2_PLACEMENT_3D('',#534900,#440564,#440565); #357801=AXIS2_PLACEMENT_3D('',#534901,#440566,#440567); #357802=AXIS2_PLACEMENT_3D('',#534902,#440568,#440569); #357803=AXIS2_PLACEMENT_3D('',#534905,#440570,#440571); #357804=AXIS2_PLACEMENT_3D('',#534907,#440572,#440573); #357805=AXIS2_PLACEMENT_3D('',#534908,#440574,#440575); #357806=AXIS2_PLACEMENT_3D('',#534909,#440576,#440577); #357807=AXIS2_PLACEMENT_3D('',#534912,#440578,#440579); #357808=AXIS2_PLACEMENT_3D('',#534914,#440580,#440581); #357809=AXIS2_PLACEMENT_3D('',#534915,#440582,#440583); #357810=AXIS2_PLACEMENT_3D('',#534916,#440584,#440585); #357811=AXIS2_PLACEMENT_3D('',#534919,#440586,#440587); #357812=AXIS2_PLACEMENT_3D('',#534921,#440588,#440589); #357813=AXIS2_PLACEMENT_3D('',#534922,#440590,#440591); #357814=AXIS2_PLACEMENT_3D('',#534923,#440592,#440593); #357815=AXIS2_PLACEMENT_3D('',#534926,#440596,#440597); #357816=AXIS2_PLACEMENT_3D('',#534929,#440598,#440599); #357817=AXIS2_PLACEMENT_3D('',#534931,#440600,#440601); #357818=AXIS2_PLACEMENT_3D('',#534932,#440602,#440603); #357819=AXIS2_PLACEMENT_3D('',#534933,#440604,#440605); #357820=AXIS2_PLACEMENT_3D('',#534936,#440606,#440607); #357821=AXIS2_PLACEMENT_3D('',#534938,#440608,#440609); #357822=AXIS2_PLACEMENT_3D('',#534939,#440610,#440611); #357823=AXIS2_PLACEMENT_3D('',#534940,#440612,#440613); #357824=AXIS2_PLACEMENT_3D('',#534943,#440616,#440617); #357825=AXIS2_PLACEMENT_3D('',#534946,#440620,#440621); #357826=AXIS2_PLACEMENT_3D('',#534949,#440622,#440623); #357827=AXIS2_PLACEMENT_3D('',#534951,#440624,#440625); #357828=AXIS2_PLACEMENT_3D('',#534952,#440626,#440627); #357829=AXIS2_PLACEMENT_3D('',#534953,#440628,#440629); #357830=AXIS2_PLACEMENT_3D('',#534956,#440632,#440633); #357831=AXIS2_PLACEMENT_3D('',#534959,#440636,#440637); #357832=AXIS2_PLACEMENT_3D('',#534962,#440640,#440641); #357833=AXIS2_PLACEMENT_3D('',#534965,#440644,#440645); #357834=AXIS2_PLACEMENT_3D('',#534968,#440648,#440649); #357835=AXIS2_PLACEMENT_3D('',#534971,#440652,#440653); #357836=AXIS2_PLACEMENT_3D('',#534974,#440656,#440657); #357837=AXIS2_PLACEMENT_3D('',#534977,#440660,#440661); #357838=AXIS2_PLACEMENT_3D('',#534980,#440664,#440665); #357839=AXIS2_PLACEMENT_3D('',#534981,#440666,#440667); #357840=AXIS2_PLACEMENT_3D('',#534982,#440668,#440669); #357841=AXIS2_PLACEMENT_3D('',#534983,#440670,#440671); #357842=AXIS2_PLACEMENT_3D('',#534984,#440672,#440673); #357843=AXIS2_PLACEMENT_3D('',#534985,#440674,#440675); #357844=AXIS2_PLACEMENT_3D('',#534986,#440676,#440677); #357845=AXIS2_PLACEMENT_3D('',#534989,#440678,#440679); #357846=AXIS2_PLACEMENT_3D('',#534991,#440680,#440681); #357847=AXIS2_PLACEMENT_3D('',#534992,#440682,#440683); #357848=AXIS2_PLACEMENT_3D('',#534993,#440684,#440685); #357849=AXIS2_PLACEMENT_3D('',#534996,#440686,#440687); #357850=AXIS2_PLACEMENT_3D('',#534998,#440688,#440689); #357851=AXIS2_PLACEMENT_3D('',#534999,#440690,#440691); #357852=AXIS2_PLACEMENT_3D('',#535000,#440692,#440693); #357853=AXIS2_PLACEMENT_3D('',#535003,#440694,#440695); #357854=AXIS2_PLACEMENT_3D('',#535005,#440696,#440697); #357855=AXIS2_PLACEMENT_3D('',#535006,#440698,#440699); #357856=AXIS2_PLACEMENT_3D('',#535007,#440700,#440701); #357857=AXIS2_PLACEMENT_3D('',#535010,#440702,#440703); #357858=AXIS2_PLACEMENT_3D('',#535012,#440704,#440705); #357859=AXIS2_PLACEMENT_3D('',#535013,#440706,#440707); #357860=AXIS2_PLACEMENT_3D('',#535014,#440708,#440709); #357861=AXIS2_PLACEMENT_3D('',#535017,#440710,#440711); #357862=AXIS2_PLACEMENT_3D('',#535019,#440712,#440713); #357863=AXIS2_PLACEMENT_3D('',#535020,#440714,#440715); #357864=AXIS2_PLACEMENT_3D('',#535021,#440716,#440717); #357865=AXIS2_PLACEMENT_3D('',#535024,#440720,#440721); #357866=AXIS2_PLACEMENT_3D('',#535027,#440722,#440723); #357867=AXIS2_PLACEMENT_3D('',#535029,#440724,#440725); #357868=AXIS2_PLACEMENT_3D('',#535030,#440726,#440727); #357869=AXIS2_PLACEMENT_3D('',#535031,#440728,#440729); #357870=AXIS2_PLACEMENT_3D('',#535034,#440730,#440731); #357871=AXIS2_PLACEMENT_3D('',#535036,#440732,#440733); #357872=AXIS2_PLACEMENT_3D('',#535037,#440734,#440735); #357873=AXIS2_PLACEMENT_3D('',#535038,#440736,#440737); #357874=AXIS2_PLACEMENT_3D('',#535041,#440740,#440741); #357875=AXIS2_PLACEMENT_3D('',#535044,#440744,#440745); #357876=AXIS2_PLACEMENT_3D('',#535047,#440746,#440747); #357877=AXIS2_PLACEMENT_3D('',#535049,#440748,#440749); #357878=AXIS2_PLACEMENT_3D('',#535050,#440750,#440751); #357879=AXIS2_PLACEMENT_3D('',#535051,#440752,#440753); #357880=AXIS2_PLACEMENT_3D('',#535054,#440756,#440757); #357881=AXIS2_PLACEMENT_3D('',#535057,#440760,#440761); #357882=AXIS2_PLACEMENT_3D('',#535060,#440764,#440765); #357883=AXIS2_PLACEMENT_3D('',#535063,#440768,#440769); #357884=AXIS2_PLACEMENT_3D('',#535066,#440772,#440773); #357885=AXIS2_PLACEMENT_3D('',#535069,#440776,#440777); #357886=AXIS2_PLACEMENT_3D('',#535072,#440780,#440781); #357887=AXIS2_PLACEMENT_3D('',#535075,#440784,#440785); #357888=AXIS2_PLACEMENT_3D('',#535078,#440788,#440789); #357889=AXIS2_PLACEMENT_3D('',#535079,#440790,#440791); #357890=AXIS2_PLACEMENT_3D('',#535080,#440792,#440793); #357891=AXIS2_PLACEMENT_3D('',#535081,#440794,#440795); #357892=AXIS2_PLACEMENT_3D('',#535082,#440796,#440797); #357893=AXIS2_PLACEMENT_3D('',#535083,#440798,#440799); #357894=AXIS2_PLACEMENT_3D('',#535084,#440800,#440801); #357895=AXIS2_PLACEMENT_3D('',#535093,#440806,#440807); #357896=AXIS2_PLACEMENT_3D('',#535099,#440811,#440812); #357897=AXIS2_PLACEMENT_3D('',#535105,#440816,#440817); #357898=AXIS2_PLACEMENT_3D('',#535108,#440820,#440821); #357899=AXIS2_PLACEMENT_3D('',#535109,#440822,#440823); #357900=AXIS2_PLACEMENT_3D('',#535110,#440824,#440825); #357901=AXIS2_PLACEMENT_3D('',#535111,#440826,#440827); #357902=AXIS2_PLACEMENT_3D('',#535112,#440828,#440829); #357903=AXIS2_PLACEMENT_3D('',#535113,#440830,#440831); #357904=AXIS2_PLACEMENT_3D('',#535116,#440832,#440833); #357905=AXIS2_PLACEMENT_3D('',#535118,#440834,#440835); #357906=AXIS2_PLACEMENT_3D('',#535119,#440836,#440837); #357907=AXIS2_PLACEMENT_3D('',#535120,#440838,#440839); #357908=AXIS2_PLACEMENT_3D('',#535123,#440840,#440841); #357909=AXIS2_PLACEMENT_3D('',#535125,#440842,#440843); #357910=AXIS2_PLACEMENT_3D('',#535126,#440844,#440845); #357911=AXIS2_PLACEMENT_3D('',#535127,#440846,#440847); #357912=AXIS2_PLACEMENT_3D('',#535130,#440848,#440849); #357913=AXIS2_PLACEMENT_3D('',#535132,#440850,#440851); #357914=AXIS2_PLACEMENT_3D('',#535133,#440852,#440853); #357915=AXIS2_PLACEMENT_3D('',#535134,#440854,#440855); #357916=AXIS2_PLACEMENT_3D('',#535137,#440856,#440857); #357917=AXIS2_PLACEMENT_3D('',#535139,#440858,#440859); #357918=AXIS2_PLACEMENT_3D('',#535140,#440860,#440861); #357919=AXIS2_PLACEMENT_3D('',#535141,#440862,#440863); #357920=AXIS2_PLACEMENT_3D('',#535144,#440864,#440865); #357921=AXIS2_PLACEMENT_3D('',#535146,#440866,#440867); #357922=AXIS2_PLACEMENT_3D('',#535147,#440868,#440869); #357923=AXIS2_PLACEMENT_3D('',#535148,#440870,#440871); #357924=AXIS2_PLACEMENT_3D('',#535151,#440874,#440875); #357925=AXIS2_PLACEMENT_3D('',#535154,#440876,#440877); #357926=AXIS2_PLACEMENT_3D('',#535156,#440878,#440879); #357927=AXIS2_PLACEMENT_3D('',#535157,#440880,#440881); #357928=AXIS2_PLACEMENT_3D('',#535158,#440882,#440883); #357929=AXIS2_PLACEMENT_3D('',#535161,#440884,#440885); #357930=AXIS2_PLACEMENT_3D('',#535163,#440886,#440887); #357931=AXIS2_PLACEMENT_3D('',#535164,#440888,#440889); #357932=AXIS2_PLACEMENT_3D('',#535165,#440890,#440891); #357933=AXIS2_PLACEMENT_3D('',#535168,#440894,#440895); #357934=AXIS2_PLACEMENT_3D('',#535171,#440898,#440899); #357935=AXIS2_PLACEMENT_3D('',#535174,#440900,#440901); #357936=AXIS2_PLACEMENT_3D('',#535176,#440902,#440903); #357937=AXIS2_PLACEMENT_3D('',#535177,#440904,#440905); #357938=AXIS2_PLACEMENT_3D('',#535178,#440906,#440907); #357939=AXIS2_PLACEMENT_3D('',#535181,#440910,#440911); #357940=AXIS2_PLACEMENT_3D('',#535184,#440914,#440915); #357941=AXIS2_PLACEMENT_3D('',#535187,#440918,#440919); #357942=AXIS2_PLACEMENT_3D('',#535190,#440922,#440923); #357943=AXIS2_PLACEMENT_3D('',#535193,#440926,#440927); #357944=AXIS2_PLACEMENT_3D('',#535196,#440930,#440931); #357945=AXIS2_PLACEMENT_3D('',#535199,#440934,#440935); #357946=AXIS2_PLACEMENT_3D('',#535202,#440938,#440939); #357947=AXIS2_PLACEMENT_3D('',#535205,#440942,#440943); #357948=AXIS2_PLACEMENT_3D('',#535206,#440944,#440945); #357949=AXIS2_PLACEMENT_3D('',#535207,#440946,#440947); #357950=AXIS2_PLACEMENT_3D('',#535208,#440948,#440949); #357951=AXIS2_PLACEMENT_3D('',#535209,#440950,#440951); #357952=AXIS2_PLACEMENT_3D('',#535210,#440952,#440953); #357953=AXIS2_PLACEMENT_3D('',#535211,#440954,#440955); #357954=AXIS2_PLACEMENT_3D('',#535214,#440956,#440957); #357955=AXIS2_PLACEMENT_3D('',#535216,#440958,#440959); #357956=AXIS2_PLACEMENT_3D('',#535217,#440960,#440961); #357957=AXIS2_PLACEMENT_3D('',#535218,#440962,#440963); #357958=AXIS2_PLACEMENT_3D('',#535221,#440964,#440965); #357959=AXIS2_PLACEMENT_3D('',#535223,#440966,#440967); #357960=AXIS2_PLACEMENT_3D('',#535224,#440968,#440969); #357961=AXIS2_PLACEMENT_3D('',#535225,#440970,#440971); #357962=AXIS2_PLACEMENT_3D('',#535228,#440972,#440973); #357963=AXIS2_PLACEMENT_3D('',#535230,#440974,#440975); #357964=AXIS2_PLACEMENT_3D('',#535231,#440976,#440977); #357965=AXIS2_PLACEMENT_3D('',#535232,#440978,#440979); #357966=AXIS2_PLACEMENT_3D('',#535235,#440980,#440981); #357967=AXIS2_PLACEMENT_3D('',#535237,#440982,#440983); #357968=AXIS2_PLACEMENT_3D('',#535238,#440984,#440985); #357969=AXIS2_PLACEMENT_3D('',#535239,#440986,#440987); #357970=AXIS2_PLACEMENT_3D('',#535242,#440988,#440989); #357971=AXIS2_PLACEMENT_3D('',#535244,#440990,#440991); #357972=AXIS2_PLACEMENT_3D('',#535245,#440992,#440993); #357973=AXIS2_PLACEMENT_3D('',#535246,#440994,#440995); #357974=AXIS2_PLACEMENT_3D('',#535249,#440998,#440999); #357975=AXIS2_PLACEMENT_3D('',#535252,#441000,#441001); #357976=AXIS2_PLACEMENT_3D('',#535254,#441002,#441003); #357977=AXIS2_PLACEMENT_3D('',#535255,#441004,#441005); #357978=AXIS2_PLACEMENT_3D('',#535256,#441006,#441007); #357979=AXIS2_PLACEMENT_3D('',#535259,#441008,#441009); #357980=AXIS2_PLACEMENT_3D('',#535261,#441010,#441011); #357981=AXIS2_PLACEMENT_3D('',#535262,#441012,#441013); #357982=AXIS2_PLACEMENT_3D('',#535263,#441014,#441015); #357983=AXIS2_PLACEMENT_3D('',#535266,#441018,#441019); #357984=AXIS2_PLACEMENT_3D('',#535269,#441022,#441023); #357985=AXIS2_PLACEMENT_3D('',#535272,#441024,#441025); #357986=AXIS2_PLACEMENT_3D('',#535274,#441026,#441027); #357987=AXIS2_PLACEMENT_3D('',#535275,#441028,#441029); #357988=AXIS2_PLACEMENT_3D('',#535276,#441030,#441031); #357989=AXIS2_PLACEMENT_3D('',#535279,#441034,#441035); #357990=AXIS2_PLACEMENT_3D('',#535282,#441038,#441039); #357991=AXIS2_PLACEMENT_3D('',#535285,#441042,#441043); #357992=AXIS2_PLACEMENT_3D('',#535288,#441046,#441047); #357993=AXIS2_PLACEMENT_3D('',#535291,#441050,#441051); #357994=AXIS2_PLACEMENT_3D('',#535294,#441054,#441055); #357995=AXIS2_PLACEMENT_3D('',#535297,#441058,#441059); #357996=AXIS2_PLACEMENT_3D('',#535300,#441062,#441063); #357997=AXIS2_PLACEMENT_3D('',#535303,#441066,#441067); #357998=AXIS2_PLACEMENT_3D('',#535304,#441068,#441069); #357999=AXIS2_PLACEMENT_3D('',#535305,#441070,#441071); #358000=AXIS2_PLACEMENT_3D('',#535306,#441072,#441073); #358001=AXIS2_PLACEMENT_3D('',#535307,#441074,#441075); #358002=AXIS2_PLACEMENT_3D('',#535308,#441076,#441077); #358003=AXIS2_PLACEMENT_3D('',#535309,#441078,#441079); #358004=AXIS2_PLACEMENT_3D('',#535318,#441084,#441085); #358005=AXIS2_PLACEMENT_3D('',#535324,#441089,#441090); #358006=AXIS2_PLACEMENT_3D('',#535330,#441094,#441095); #358007=AXIS2_PLACEMENT_3D('',#535333,#441098,#441099); #358008=AXIS2_PLACEMENT_3D('',#535334,#441100,#441101); #358009=AXIS2_PLACEMENT_3D('',#535335,#441102,#441103); #358010=AXIS2_PLACEMENT_3D('',#535336,#441104,#441105); #358011=AXIS2_PLACEMENT_3D('',#535337,#441106,#441107); #358012=AXIS2_PLACEMENT_3D('',#535338,#441108,#441109); #358013=AXIS2_PLACEMENT_3D('',#535341,#441110,#441111); #358014=AXIS2_PLACEMENT_3D('',#535343,#441112,#441113); #358015=AXIS2_PLACEMENT_3D('',#535344,#441114,#441115); #358016=AXIS2_PLACEMENT_3D('',#535345,#441116,#441117); #358017=AXIS2_PLACEMENT_3D('',#535348,#441118,#441119); #358018=AXIS2_PLACEMENT_3D('',#535350,#441120,#441121); #358019=AXIS2_PLACEMENT_3D('',#535351,#441122,#441123); #358020=AXIS2_PLACEMENT_3D('',#535352,#441124,#441125); #358021=AXIS2_PLACEMENT_3D('',#535355,#441126,#441127); #358022=AXIS2_PLACEMENT_3D('',#535357,#441128,#441129); #358023=AXIS2_PLACEMENT_3D('',#535358,#441130,#441131); #358024=AXIS2_PLACEMENT_3D('',#535359,#441132,#441133); #358025=AXIS2_PLACEMENT_3D('',#535362,#441134,#441135); #358026=AXIS2_PLACEMENT_3D('',#535364,#441136,#441137); #358027=AXIS2_PLACEMENT_3D('',#535365,#441138,#441139); #358028=AXIS2_PLACEMENT_3D('',#535366,#441140,#441141); #358029=AXIS2_PLACEMENT_3D('',#535369,#441142,#441143); #358030=AXIS2_PLACEMENT_3D('',#535371,#441144,#441145); #358031=AXIS2_PLACEMENT_3D('',#535372,#441146,#441147); #358032=AXIS2_PLACEMENT_3D('',#535373,#441148,#441149); #358033=AXIS2_PLACEMENT_3D('',#535376,#441152,#441153); #358034=AXIS2_PLACEMENT_3D('',#535379,#441154,#441155); #358035=AXIS2_PLACEMENT_3D('',#535381,#441156,#441157); #358036=AXIS2_PLACEMENT_3D('',#535382,#441158,#441159); #358037=AXIS2_PLACEMENT_3D('',#535383,#441160,#441161); #358038=AXIS2_PLACEMENT_3D('',#535386,#441162,#441163); #358039=AXIS2_PLACEMENT_3D('',#535388,#441164,#441165); #358040=AXIS2_PLACEMENT_3D('',#535389,#441166,#441167); #358041=AXIS2_PLACEMENT_3D('',#535390,#441168,#441169); #358042=AXIS2_PLACEMENT_3D('',#535393,#441172,#441173); #358043=AXIS2_PLACEMENT_3D('',#535396,#441176,#441177); #358044=AXIS2_PLACEMENT_3D('',#535399,#441178,#441179); #358045=AXIS2_PLACEMENT_3D('',#535401,#441180,#441181); #358046=AXIS2_PLACEMENT_3D('',#535402,#441182,#441183); #358047=AXIS2_PLACEMENT_3D('',#535403,#441184,#441185); #358048=AXIS2_PLACEMENT_3D('',#535406,#441188,#441189); #358049=AXIS2_PLACEMENT_3D('',#535409,#441192,#441193); #358050=AXIS2_PLACEMENT_3D('',#535412,#441196,#441197); #358051=AXIS2_PLACEMENT_3D('',#535415,#441200,#441201); #358052=AXIS2_PLACEMENT_3D('',#535418,#441204,#441205); #358053=AXIS2_PLACEMENT_3D('',#535421,#441208,#441209); #358054=AXIS2_PLACEMENT_3D('',#535424,#441212,#441213); #358055=AXIS2_PLACEMENT_3D('',#535427,#441216,#441217); #358056=AXIS2_PLACEMENT_3D('',#535430,#441220,#441221); #358057=AXIS2_PLACEMENT_3D('',#535431,#441222,#441223); #358058=AXIS2_PLACEMENT_3D('',#535432,#441224,#441225); #358059=AXIS2_PLACEMENT_3D('',#535433,#441226,#441227); #358060=AXIS2_PLACEMENT_3D('',#535434,#441228,#441229); #358061=AXIS2_PLACEMENT_3D('',#535435,#441230,#441231); #358062=AXIS2_PLACEMENT_3D('',#535436,#441232,#441233); #358063=AXIS2_PLACEMENT_3D('',#535439,#441234,#441235); #358064=AXIS2_PLACEMENT_3D('',#535441,#441236,#441237); #358065=AXIS2_PLACEMENT_3D('',#535442,#441238,#441239); #358066=AXIS2_PLACEMENT_3D('',#535443,#441240,#441241); #358067=AXIS2_PLACEMENT_3D('',#535446,#441242,#441243); #358068=AXIS2_PLACEMENT_3D('',#535448,#441244,#441245); #358069=AXIS2_PLACEMENT_3D('',#535449,#441246,#441247); #358070=AXIS2_PLACEMENT_3D('',#535450,#441248,#441249); #358071=AXIS2_PLACEMENT_3D('',#535453,#441250,#441251); #358072=AXIS2_PLACEMENT_3D('',#535455,#441252,#441253); #358073=AXIS2_PLACEMENT_3D('',#535456,#441254,#441255); #358074=AXIS2_PLACEMENT_3D('',#535457,#441256,#441257); #358075=AXIS2_PLACEMENT_3D('',#535460,#441258,#441259); #358076=AXIS2_PLACEMENT_3D('',#535462,#441260,#441261); #358077=AXIS2_PLACEMENT_3D('',#535463,#441262,#441263); #358078=AXIS2_PLACEMENT_3D('',#535464,#441264,#441265); #358079=AXIS2_PLACEMENT_3D('',#535467,#441266,#441267); #358080=AXIS2_PLACEMENT_3D('',#535469,#441268,#441269); #358081=AXIS2_PLACEMENT_3D('',#535470,#441270,#441271); #358082=AXIS2_PLACEMENT_3D('',#535471,#441272,#441273); #358083=AXIS2_PLACEMENT_3D('',#535474,#441276,#441277); #358084=AXIS2_PLACEMENT_3D('',#535477,#441278,#441279); #358085=AXIS2_PLACEMENT_3D('',#535479,#441280,#441281); #358086=AXIS2_PLACEMENT_3D('',#535480,#441282,#441283); #358087=AXIS2_PLACEMENT_3D('',#535481,#441284,#441285); #358088=AXIS2_PLACEMENT_3D('',#535484,#441286,#441287); #358089=AXIS2_PLACEMENT_3D('',#535486,#441288,#441289); #358090=AXIS2_PLACEMENT_3D('',#535487,#441290,#441291); #358091=AXIS2_PLACEMENT_3D('',#535488,#441292,#441293); #358092=AXIS2_PLACEMENT_3D('',#535491,#441296,#441297); #358093=AXIS2_PLACEMENT_3D('',#535494,#441300,#441301); #358094=AXIS2_PLACEMENT_3D('',#535497,#441302,#441303); #358095=AXIS2_PLACEMENT_3D('',#535499,#441304,#441305); #358096=AXIS2_PLACEMENT_3D('',#535500,#441306,#441307); #358097=AXIS2_PLACEMENT_3D('',#535501,#441308,#441309); #358098=AXIS2_PLACEMENT_3D('',#535504,#441312,#441313); #358099=AXIS2_PLACEMENT_3D('',#535507,#441316,#441317); #358100=AXIS2_PLACEMENT_3D('',#535510,#441320,#441321); #358101=AXIS2_PLACEMENT_3D('',#535513,#441324,#441325); #358102=AXIS2_PLACEMENT_3D('',#535516,#441328,#441329); #358103=AXIS2_PLACEMENT_3D('',#535519,#441332,#441333); #358104=AXIS2_PLACEMENT_3D('',#535522,#441336,#441337); #358105=AXIS2_PLACEMENT_3D('',#535525,#441340,#441341); #358106=AXIS2_PLACEMENT_3D('',#535528,#441344,#441345); #358107=AXIS2_PLACEMENT_3D('',#535529,#441346,#441347); #358108=AXIS2_PLACEMENT_3D('',#535530,#441348,#441349); #358109=AXIS2_PLACEMENT_3D('',#535531,#441350,#441351); #358110=AXIS2_PLACEMENT_3D('',#535532,#441352,#441353); #358111=AXIS2_PLACEMENT_3D('',#535533,#441354,#441355); #358112=AXIS2_PLACEMENT_3D('',#535534,#441356,#441357); #358113=AXIS2_PLACEMENT_3D('',#535543,#441362,#441363); #358114=AXIS2_PLACEMENT_3D('',#535549,#441367,#441368); #358115=AXIS2_PLACEMENT_3D('',#535555,#441372,#441373); #358116=AXIS2_PLACEMENT_3D('',#535558,#441376,#441377); #358117=AXIS2_PLACEMENT_3D('',#535559,#441378,#441379); #358118=AXIS2_PLACEMENT_3D('',#535560,#441380,#441381); #358119=AXIS2_PLACEMENT_3D('',#535561,#441382,#441383); #358120=AXIS2_PLACEMENT_3D('',#535562,#441384,#441385); #358121=AXIS2_PLACEMENT_3D('',#535563,#441386,#441387); #358122=AXIS2_PLACEMENT_3D('',#535565,#441388,#441389); #358123=AXIS2_PLACEMENT_3D('',#535568,#441391,#441392); #358124=AXIS2_PLACEMENT_3D('',#535569,#441393,#441394); #358125=AXIS2_PLACEMENT_3D('',#535570,#441395,#441396); #358126=AXIS2_PLACEMENT_3D('',#535572,#441397,#441398); #358127=AXIS2_PLACEMENT_3D('',#535573,#441399,#441400); #358128=AXIS2_PLACEMENT_3D('',#535575,#441401,#441402); #358129=AXIS2_PLACEMENT_3D('',#535576,#441403,#441404); #358130=AXIS2_PLACEMENT_3D('',#535577,#441405,#441406); #358131=AXIS2_PLACEMENT_3D('',#535579,#441407,#441408); #358132=AXIS2_PLACEMENT_3D('',#535581,#441410,#441411); #358133=AXIS2_PLACEMENT_3D('',#535583,#441412,#441413); #358134=AXIS2_PLACEMENT_3D('',#535584,#441414,#441415); #358135=AXIS2_PLACEMENT_3D('',#535585,#441416,#441417); #358136=AXIS2_PLACEMENT_3D('',#535587,#441418,#441419); #358137=AXIS2_PLACEMENT_3D('',#535588,#441420,#441421); #358138=AXIS2_PLACEMENT_3D('',#535589,#441422,#441423); #358139=AXIS2_PLACEMENT_3D('',#535591,#441424,#441425); #358140=AXIS2_PLACEMENT_3D('',#535592,#441426,#441427); #358141=AXIS2_PLACEMENT_3D('',#535593,#441428,#441429); #358142=AXIS2_PLACEMENT_3D('',#535595,#441430,#441431); #358143=AXIS2_PLACEMENT_3D('',#535597,#441433,#441434); #358144=AXIS2_PLACEMENT_3D('',#535599,#441435,#441436); #358145=AXIS2_PLACEMENT_3D('',#535600,#441437,#441438); #358146=AXIS2_PLACEMENT_3D('',#535601,#441439,#441440); #358147=AXIS2_PLACEMENT_3D('',#535602,#441441,#441442); #358148=AXIS2_PLACEMENT_3D('',#535605,#441443,#441444); #358149=AXIS2_PLACEMENT_3D('',#535607,#441445,#441446); #358150=AXIS2_PLACEMENT_3D('',#535609,#441447,#441448); #358151=AXIS2_PLACEMENT_3D('',#535613,#441450,#441451); #358152=AXIS2_PLACEMENT_3D('',#535617,#441453,#441454); #358153=AXIS2_PLACEMENT_3D('',#535621,#441456,#441457); #358154=AXIS2_PLACEMENT_3D('',#535623,#441458,#441459); #358155=AXIS2_PLACEMENT_3D('',#535625,#441460,#441461); #358156=AXIS2_PLACEMENT_3D('',#535629,#441463,#441464); #358157=AXIS2_PLACEMENT_3D('',#535633,#441466,#441467); #358158=AXIS2_PLACEMENT_3D('',#535635,#441469,#441470); #358159=AXIS2_PLACEMENT_3D('',#535637,#441471,#441472); #358160=AXIS2_PLACEMENT_3D('',#535639,#441473,#441474); #358161=AXIS2_PLACEMENT_3D('',#535640,#441475,#441476); #358162=AXIS2_PLACEMENT_3D('',#535641,#441477,#441478); #358163=AXIS2_PLACEMENT_3D('',#535643,#441479,#441480); #358164=AXIS2_PLACEMENT_3D('',#535644,#441481,#441482); #358165=AXIS2_PLACEMENT_3D('',#535645,#441483,#441484); #358166=AXIS2_PLACEMENT_3D('',#535648,#441486,#441487); #358167=AXIS2_PLACEMENT_3D('',#535649,#441488,#441489); #358168=AXIS2_PLACEMENT_3D('',#535651,#441490,#441491); #358169=AXIS2_PLACEMENT_3D('',#535652,#441492,#441493); #358170=AXIS2_PLACEMENT_3D('',#535653,#441494,#441495); #358171=AXIS2_PLACEMENT_3D('',#535656,#441497,#441498); #358172=AXIS2_PLACEMENT_3D('',#535657,#441499,#441500); #358173=AXIS2_PLACEMENT_3D('',#535659,#441501,#441502); #358174=AXIS2_PLACEMENT_3D('',#535660,#441503,#441504); #358175=AXIS2_PLACEMENT_3D('',#535661,#441505,#441506); #358176=AXIS2_PLACEMENT_3D('',#535664,#441508,#441509); #358177=AXIS2_PLACEMENT_3D('',#535665,#441510,#441511); #358178=AXIS2_PLACEMENT_3D('',#535667,#441512,#441513); #358179=AXIS2_PLACEMENT_3D('',#535668,#441514,#441515); #358180=AXIS2_PLACEMENT_3D('',#535669,#441516,#441517); #358181=AXIS2_PLACEMENT_3D('',#535671,#441518,#441519); #358182=AXIS2_PLACEMENT_3D('',#535672,#441520,#441521); #358183=AXIS2_PLACEMENT_3D('',#535673,#441522,#441523); #358184=AXIS2_PLACEMENT_3D('',#535675,#441524,#441525); #358185=AXIS2_PLACEMENT_3D('',#535676,#441526,#441527); #358186=AXIS2_PLACEMENT_3D('',#535677,#441528,#441529); #358187=AXIS2_PLACEMENT_3D('',#535680,#441531,#441532); #358188=AXIS2_PLACEMENT_3D('',#535681,#441533,#441534); #358189=AXIS2_PLACEMENT_3D('',#535683,#441535,#441536); #358190=AXIS2_PLACEMENT_3D('',#535684,#441537,#441538); #358191=AXIS2_PLACEMENT_3D('',#535685,#441539,#441540); #358192=AXIS2_PLACEMENT_3D('',#535688,#441542,#441543); #358193=AXIS2_PLACEMENT_3D('',#535689,#441544,#441545); #358194=AXIS2_PLACEMENT_3D('',#535691,#441546,#441547); #358195=AXIS2_PLACEMENT_3D('',#535692,#441548,#441549); #358196=AXIS2_PLACEMENT_3D('',#535693,#441550,#441551); #358197=AXIS2_PLACEMENT_3D('',#535696,#441553,#441554); #358198=AXIS2_PLACEMENT_3D('',#535697,#441555,#441556); #358199=AXIS2_PLACEMENT_3D('',#535698,#441557,#441558); #358200=AXIS2_PLACEMENT_3D('',#535699,#441559,#441560); #358201=AXIS2_PLACEMENT_3D('',#535700,#441561,#441562); #358202=AXIS2_PLACEMENT_3D('',#535702,#441563,#441564); #358203=AXIS2_PLACEMENT_3D('',#535705,#441566,#441567); #358204=AXIS2_PLACEMENT_3D('',#535706,#441568,#441569); #358205=AXIS2_PLACEMENT_3D('',#535707,#441570,#441571); #358206=AXIS2_PLACEMENT_3D('',#535708,#441572,#441573); #358207=AXIS2_PLACEMENT_3D('',#535710,#441574,#441575); #358208=AXIS2_PLACEMENT_3D('',#535713,#441577,#441578); #358209=AXIS2_PLACEMENT_3D('',#535714,#441579,#441580); #358210=AXIS2_PLACEMENT_3D('',#535715,#441581,#441582); #358211=AXIS2_PLACEMENT_3D('',#535716,#441583,#441584); #358212=AXIS2_PLACEMENT_3D('',#535725,#441589,#441590); #358213=AXIS2_PLACEMENT_3D('',#535727,#441591,#441592); #358214=AXIS2_PLACEMENT_3D('',#535730,#441594,#441595); #358215=AXIS2_PLACEMENT_3D('',#535731,#441596,#441597); #358216=AXIS2_PLACEMENT_3D('',#535734,#441599,#441600); #358217=AXIS2_PLACEMENT_3D('',#535735,#441601,#441602); #358218=AXIS2_PLACEMENT_3D('',#535738,#441604,#441605); #358219=AXIS2_PLACEMENT_3D('',#535739,#441606,#441607); #358220=AXIS2_PLACEMENT_3D('',#535741,#441609,#441610); #358221=AXIS2_PLACEMENT_3D('',#535742,#441611,#441612); #358222=AXIS2_PLACEMENT_3D('',#535751,#441617,#441618); #358223=AXIS2_PLACEMENT_3D('',#535753,#441619,#441620); #358224=AXIS2_PLACEMENT_3D('',#535756,#441622,#441623); #358225=AXIS2_PLACEMENT_3D('',#535757,#441624,#441625); #358226=AXIS2_PLACEMENT_3D('',#535760,#441627,#441628); #358227=AXIS2_PLACEMENT_3D('',#535761,#441629,#441630); #358228=AXIS2_PLACEMENT_3D('',#535764,#441632,#441633); #358229=AXIS2_PLACEMENT_3D('',#535765,#441634,#441635); #358230=AXIS2_PLACEMENT_3D('',#535767,#441637,#441638); #358231=AXIS2_PLACEMENT_3D('',#535768,#441639,#441640); #358232=AXIS2_PLACEMENT_3D('',#535777,#441645,#441646); #358233=AXIS2_PLACEMENT_3D('',#535779,#441647,#441648); #358234=AXIS2_PLACEMENT_3D('',#535782,#441650,#441651); #358235=AXIS2_PLACEMENT_3D('',#535783,#441652,#441653); #358236=AXIS2_PLACEMENT_3D('',#535786,#441655,#441656); #358237=AXIS2_PLACEMENT_3D('',#535787,#441657,#441658); #358238=AXIS2_PLACEMENT_3D('',#535790,#441660,#441661); #358239=AXIS2_PLACEMENT_3D('',#535791,#441662,#441663); #358240=AXIS2_PLACEMENT_3D('',#535793,#441665,#441666); #358241=AXIS2_PLACEMENT_3D('',#535794,#441667,#441668); #358242=AXIS2_PLACEMENT_3D('',#535803,#441673,#441674); #358243=AXIS2_PLACEMENT_3D('',#535805,#441675,#441676); #358244=AXIS2_PLACEMENT_3D('',#535808,#441678,#441679); #358245=AXIS2_PLACEMENT_3D('',#535809,#441680,#441681); #358246=AXIS2_PLACEMENT_3D('',#535812,#441683,#441684); #358247=AXIS2_PLACEMENT_3D('',#535813,#441685,#441686); #358248=AXIS2_PLACEMENT_3D('',#535816,#441688,#441689); #358249=AXIS2_PLACEMENT_3D('',#535817,#441690,#441691); #358250=AXIS2_PLACEMENT_3D('',#535819,#441693,#441694); #358251=AXIS2_PLACEMENT_3D('',#535820,#441695,#441696); #358252=AXIS2_PLACEMENT_3D('',#535829,#441701,#441702); #358253=AXIS2_PLACEMENT_3D('',#535831,#441703,#441704); #358254=AXIS2_PLACEMENT_3D('',#535834,#441706,#441707); #358255=AXIS2_PLACEMENT_3D('',#535835,#441708,#441709); #358256=AXIS2_PLACEMENT_3D('',#535838,#441711,#441712); #358257=AXIS2_PLACEMENT_3D('',#535839,#441713,#441714); #358258=AXIS2_PLACEMENT_3D('',#535842,#441716,#441717); #358259=AXIS2_PLACEMENT_3D('',#535843,#441718,#441719); #358260=AXIS2_PLACEMENT_3D('',#535845,#441721,#441722); #358261=AXIS2_PLACEMENT_3D('',#535846,#441723,#441724); #358262=AXIS2_PLACEMENT_3D('',#535855,#441729,#441730); #358263=AXIS2_PLACEMENT_3D('',#535857,#441731,#441732); #358264=AXIS2_PLACEMENT_3D('',#535860,#441734,#441735); #358265=AXIS2_PLACEMENT_3D('',#535861,#441736,#441737); #358266=AXIS2_PLACEMENT_3D('',#535864,#441739,#441740); #358267=AXIS2_PLACEMENT_3D('',#535865,#441741,#441742); #358268=AXIS2_PLACEMENT_3D('',#535868,#441744,#441745); #358269=AXIS2_PLACEMENT_3D('',#535869,#441746,#441747); #358270=AXIS2_PLACEMENT_3D('',#535871,#441749,#441750); #358271=AXIS2_PLACEMENT_3D('',#535872,#441751,#441752); #358272=AXIS2_PLACEMENT_3D('',#535881,#441757,#441758); #358273=AXIS2_PLACEMENT_3D('',#535883,#441759,#441760); #358274=AXIS2_PLACEMENT_3D('',#535886,#441762,#441763); #358275=AXIS2_PLACEMENT_3D('',#535887,#441764,#441765); #358276=AXIS2_PLACEMENT_3D('',#535890,#441767,#441768); #358277=AXIS2_PLACEMENT_3D('',#535891,#441769,#441770); #358278=AXIS2_PLACEMENT_3D('',#535894,#441772,#441773); #358279=AXIS2_PLACEMENT_3D('',#535895,#441774,#441775); #358280=AXIS2_PLACEMENT_3D('',#535897,#441777,#441778); #358281=AXIS2_PLACEMENT_3D('',#535898,#441779,#441780); #358282=AXIS2_PLACEMENT_3D('',#535907,#441785,#441786); #358283=AXIS2_PLACEMENT_3D('',#535909,#441787,#441788); #358284=AXIS2_PLACEMENT_3D('',#535912,#441790,#441791); #358285=AXIS2_PLACEMENT_3D('',#535913,#441792,#441793); #358286=AXIS2_PLACEMENT_3D('',#535916,#441795,#441796); #358287=AXIS2_PLACEMENT_3D('',#535917,#441797,#441798); #358288=AXIS2_PLACEMENT_3D('',#535920,#441800,#441801); #358289=AXIS2_PLACEMENT_3D('',#535921,#441802,#441803); #358290=AXIS2_PLACEMENT_3D('',#535923,#441805,#441806); #358291=AXIS2_PLACEMENT_3D('',#535924,#441807,#441808); #358292=AXIS2_PLACEMENT_3D('',#535933,#441813,#441814); #358293=AXIS2_PLACEMENT_3D('',#535935,#441815,#441816); #358294=AXIS2_PLACEMENT_3D('',#535938,#441818,#441819); #358295=AXIS2_PLACEMENT_3D('',#535939,#441820,#441821); #358296=AXIS2_PLACEMENT_3D('',#535942,#441823,#441824); #358297=AXIS2_PLACEMENT_3D('',#535943,#441825,#441826); #358298=AXIS2_PLACEMENT_3D('',#535946,#441828,#441829); #358299=AXIS2_PLACEMENT_3D('',#535947,#441830,#441831); #358300=AXIS2_PLACEMENT_3D('',#535949,#441833,#441834); #358301=AXIS2_PLACEMENT_3D('',#535950,#441835,#441836); #358302=AXIS2_PLACEMENT_3D('',#535951,#441837,#441838); #358303=AXIS2_PLACEMENT_3D('',#535952,#441839,#441840); #358304=AXIS2_PLACEMENT_3D('',#535953,#441841,#441842); #358305=AXIS2_PLACEMENT_3D('',#535955,#441843,#441844); #358306=AXIS2_PLACEMENT_3D('',#535958,#441846,#441847); #358307=AXIS2_PLACEMENT_3D('',#535959,#441848,#441849); #358308=AXIS2_PLACEMENT_3D('',#535960,#441850,#441851); #358309=AXIS2_PLACEMENT_3D('',#535969,#441856,#441857); #358310=AXIS2_PLACEMENT_3D('',#535975,#441861,#441862); #358311=AXIS2_PLACEMENT_3D('',#535981,#441866,#441867); #358312=AXIS2_PLACEMENT_3D('',#535984,#441870,#441871); #358313=AXIS2_PLACEMENT_3D('',#535990,#441875,#441876); #358314=AXIS2_PLACEMENT_3D('',#535994,#441879,#441880); #358315=AXIS2_PLACEMENT_3D('',#535995,#441881,#441882); #358316=AXIS2_PLACEMENT_3D('',#535999,#441885,#441886); #358317=AXIS2_PLACEMENT_3D('',#536001,#441888,#441889); #358318=AXIS2_PLACEMENT_3D('',#536002,#441890,#441891); #358319=AXIS2_PLACEMENT_3D('',#536011,#441896,#441897); #358320=AXIS2_PLACEMENT_3D('',#536017,#441901,#441902); #358321=AXIS2_PLACEMENT_3D('',#536023,#441906,#441907); #358322=AXIS2_PLACEMENT_3D('',#536026,#441910,#441911); #358323=AXIS2_PLACEMENT_3D('',#536027,#441912,#441913); #358324=AXIS2_PLACEMENT_3D('',#536028,#441914,#441915); #358325=AXIS2_PLACEMENT_3D('',#536037,#441920,#441921); #358326=AXIS2_PLACEMENT_3D('',#536043,#441925,#441926); #358327=AXIS2_PLACEMENT_3D('',#536045,#441927,#441928); #358328=AXIS2_PLACEMENT_3D('',#536047,#441929,#441930); #358329=AXIS2_PLACEMENT_3D('',#536049,#441932,#441933); #358330=AXIS2_PLACEMENT_3D('',#536055,#441937,#441938); #358331=AXIS2_PLACEMENT_3D('',#536057,#441939,#441940); #358332=AXIS2_PLACEMENT_3D('',#536059,#441941,#441942); #358333=AXIS2_PLACEMENT_3D('',#536061,#441944,#441945); #358334=AXIS2_PLACEMENT_3D('',#536064,#441948,#441949); #358335=AXIS2_PLACEMENT_3D('',#536065,#441950,#441951); #358336=AXIS2_PLACEMENT_3D('',#536066,#441952,#441953); #358337=AXIS2_PLACEMENT_3D('',#536075,#441958,#441959); #358338=AXIS2_PLACEMENT_3D('',#536081,#441963,#441964); #358339=AXIS2_PLACEMENT_3D('',#536083,#441965,#441966); #358340=AXIS2_PLACEMENT_3D('',#536086,#441968,#441969); #358341=AXIS2_PLACEMENT_3D('',#536087,#441970,#441971); #358342=AXIS2_PLACEMENT_3D('',#536093,#441975,#441976); #358343=AXIS2_PLACEMENT_3D('',#536095,#441977,#441978); #358344=AXIS2_PLACEMENT_3D('',#536098,#441980,#441981); #358345=AXIS2_PLACEMENT_3D('',#536099,#441982,#441983); #358346=AXIS2_PLACEMENT_3D('',#536102,#441986,#441987); #358347=AXIS2_PLACEMENT_3D('',#536103,#441988,#441989); #358348=AXIS2_PLACEMENT_3D('',#536104,#441990,#441991); #358349=AXIS2_PLACEMENT_3D('',#536113,#441996,#441997); #358350=AXIS2_PLACEMENT_3D('',#536119,#442001,#442002); #358351=AXIS2_PLACEMENT_3D('',#536121,#442003,#442004); #358352=AXIS2_PLACEMENT_3D('',#536123,#442005,#442006); #358353=AXIS2_PLACEMENT_3D('',#536125,#442008,#442009); #358354=AXIS2_PLACEMENT_3D('',#536131,#442013,#442014); #358355=AXIS2_PLACEMENT_3D('',#536133,#442015,#442016); #358356=AXIS2_PLACEMENT_3D('',#536135,#442017,#442018); #358357=AXIS2_PLACEMENT_3D('',#536137,#442020,#442021); #358358=AXIS2_PLACEMENT_3D('',#536140,#442024,#442025); #358359=AXIS2_PLACEMENT_3D('',#536141,#442026,#442027); #358360=AXIS2_PLACEMENT_3D('',#536142,#442028,#442029); #358361=AXIS2_PLACEMENT_3D('',#536151,#442034,#442035); #358362=AXIS2_PLACEMENT_3D('',#536157,#442039,#442040); #358363=AXIS2_PLACEMENT_3D('',#536159,#442041,#442042); #358364=AXIS2_PLACEMENT_3D('',#536161,#442043,#442044); #358365=AXIS2_PLACEMENT_3D('',#536163,#442046,#442047); #358366=AXIS2_PLACEMENT_3D('',#536169,#442051,#442052); #358367=AXIS2_PLACEMENT_3D('',#536171,#442053,#442054); #358368=AXIS2_PLACEMENT_3D('',#536173,#442055,#442056); #358369=AXIS2_PLACEMENT_3D('',#536175,#442058,#442059); #358370=AXIS2_PLACEMENT_3D('',#536178,#442062,#442063); #358371=AXIS2_PLACEMENT_3D('',#536179,#442064,#442065); #358372=AXIS2_PLACEMENT_3D('',#536180,#442066,#442067); #358373=AXIS2_PLACEMENT_3D('',#536189,#442072,#442073); #358374=AXIS2_PLACEMENT_3D('',#536195,#442077,#442078); #358375=AXIS2_PLACEMENT_3D('',#536197,#442079,#442080); #358376=AXIS2_PLACEMENT_3D('',#536199,#442081,#442082); #358377=AXIS2_PLACEMENT_3D('',#536201,#442084,#442085); #358378=AXIS2_PLACEMENT_3D('',#536207,#442089,#442090); #358379=AXIS2_PLACEMENT_3D('',#536209,#442091,#442092); #358380=AXIS2_PLACEMENT_3D('',#536211,#442093,#442094); #358381=AXIS2_PLACEMENT_3D('',#536213,#442096,#442097); #358382=AXIS2_PLACEMENT_3D('',#536216,#442100,#442101); #358383=AXIS2_PLACEMENT_3D('',#536217,#442102,#442103); #358384=AXIS2_PLACEMENT_3D('',#536218,#442104,#442105); #358385=AXIS2_PLACEMENT_3D('',#536227,#442110,#442111); #358386=AXIS2_PLACEMENT_3D('',#536233,#442115,#442116); #358387=AXIS2_PLACEMENT_3D('',#536235,#442117,#442118); #358388=AXIS2_PLACEMENT_3D('',#536238,#442120,#442121); #358389=AXIS2_PLACEMENT_3D('',#536239,#442122,#442123); #358390=AXIS2_PLACEMENT_3D('',#536245,#442127,#442128); #358391=AXIS2_PLACEMENT_3D('',#536247,#442129,#442130); #358392=AXIS2_PLACEMENT_3D('',#536250,#442132,#442133); #358393=AXIS2_PLACEMENT_3D('',#536251,#442134,#442135); #358394=AXIS2_PLACEMENT_3D('',#536254,#442138,#442139); #358395=AXIS2_PLACEMENT_3D('',#536255,#442140,#442141); #358396=AXIS2_PLACEMENT_3D('',#536256,#442142,#442143); #358397=AXIS2_PLACEMENT_3D('',#536265,#442148,#442149); #358398=AXIS2_PLACEMENT_3D('',#536271,#442153,#442154); #358399=AXIS2_PLACEMENT_3D('',#536273,#442155,#442156); #358400=AXIS2_PLACEMENT_3D('',#536276,#442158,#442159); #358401=AXIS2_PLACEMENT_3D('',#536277,#442160,#442161); #358402=AXIS2_PLACEMENT_3D('',#536283,#442165,#442166); #358403=AXIS2_PLACEMENT_3D('',#536285,#442167,#442168); #358404=AXIS2_PLACEMENT_3D('',#536288,#442170,#442171); #358405=AXIS2_PLACEMENT_3D('',#536289,#442172,#442173); #358406=AXIS2_PLACEMENT_3D('',#536292,#442176,#442177); #358407=AXIS2_PLACEMENT_3D('',#536293,#442178,#442179); #358408=AXIS2_PLACEMENT_3D('',#536294,#442180,#442181); #358409=AXIS2_PLACEMENT_3D('',#536303,#442186,#442187); #358410=AXIS2_PLACEMENT_3D('',#536309,#442191,#442192); #358411=AXIS2_PLACEMENT_3D('',#536311,#442193,#442194); #358412=AXIS2_PLACEMENT_3D('',#536314,#442196,#442197); #358413=AXIS2_PLACEMENT_3D('',#536315,#442198,#442199); #358414=AXIS2_PLACEMENT_3D('',#536321,#442203,#442204); #358415=AXIS2_PLACEMENT_3D('',#536323,#442205,#442206); #358416=AXIS2_PLACEMENT_3D('',#536326,#442208,#442209); #358417=AXIS2_PLACEMENT_3D('',#536327,#442210,#442211); #358418=AXIS2_PLACEMENT_3D('',#536330,#442214,#442215); #358419=AXIS2_PLACEMENT_3D('',#536331,#442216,#442217); #358420=AXIS2_PLACEMENT_3D('',#536332,#442218,#442219); #358421=AXIS2_PLACEMENT_3D('',#536341,#442224,#442225); #358422=AXIS2_PLACEMENT_3D('',#536347,#442229,#442230); #358423=AXIS2_PLACEMENT_3D('',#536353,#442234,#442235); #358424=AXIS2_PLACEMENT_3D('',#536356,#442238,#442239); #358425=AXIS2_PLACEMENT_3D('',#536357,#442240,#442241); #358426=AXIS2_PLACEMENT_3D('',#536358,#442242,#442243); #358427=AXIS2_PLACEMENT_3D('',#536367,#442248,#442249); #358428=AXIS2_PLACEMENT_3D('',#536373,#442253,#442254); #358429=AXIS2_PLACEMENT_3D('',#536379,#442258,#442259); #358430=AXIS2_PLACEMENT_3D('',#536382,#442262,#442263); #358431=AXIS2_PLACEMENT_3D('',#536383,#442264,#442265); #358432=AXIS2_PLACEMENT_3D('',#536384,#442266,#442267); #358433=AXIS2_PLACEMENT_3D('',#536393,#442272,#442273); #358434=AXIS2_PLACEMENT_3D('',#536399,#442277,#442278); #358435=AXIS2_PLACEMENT_3D('',#536405,#442282,#442283); #358436=AXIS2_PLACEMENT_3D('',#536408,#442286,#442287); #358437=AXIS2_PLACEMENT_3D('',#536409,#442288,#442289); #358438=AXIS2_PLACEMENT_3D('',#536410,#442290,#442291); #358439=AXIS2_PLACEMENT_3D('',#536419,#442296,#442297); #358440=AXIS2_PLACEMENT_3D('',#536425,#442301,#442302); #358441=AXIS2_PLACEMENT_3D('',#536431,#442306,#442307); #358442=AXIS2_PLACEMENT_3D('',#536434,#442310,#442311); #358443=AXIS2_PLACEMENT_3D('',#536435,#442312,#442313); #358444=AXIS2_PLACEMENT_3D('',#536436,#442314,#442315); #358445=AXIS2_PLACEMENT_3D('',#536445,#442320,#442321); #358446=AXIS2_PLACEMENT_3D('',#536451,#442325,#442326); #358447=AXIS2_PLACEMENT_3D('',#536457,#442330,#442331); #358448=AXIS2_PLACEMENT_3D('',#536460,#442334,#442335); #358449=AXIS2_PLACEMENT_3D('',#536461,#442336,#442337); #358450=AXIS2_PLACEMENT_3D('',#536462,#442338,#442339); #358451=AXIS2_PLACEMENT_3D('',#536471,#442344,#442345); #358452=AXIS2_PLACEMENT_3D('',#536477,#442349,#442350); #358453=AXIS2_PLACEMENT_3D('',#536483,#442354,#442355); #358454=AXIS2_PLACEMENT_3D('',#536486,#442358,#442359); #358455=AXIS2_PLACEMENT_3D('',#536487,#442360,#442361); #358456=AXIS2_PLACEMENT_3D('',#536488,#442362,#442363); #358457=AXIS2_PLACEMENT_3D('',#536497,#442368,#442369); #358458=AXIS2_PLACEMENT_3D('',#536503,#442373,#442374); #358459=AXIS2_PLACEMENT_3D('',#536509,#442378,#442379); #358460=AXIS2_PLACEMENT_3D('',#536512,#442382,#442383); #358461=AXIS2_PLACEMENT_3D('',#536513,#442384,#442385); #358462=AXIS2_PLACEMENT_3D('',#536514,#442386,#442387); #358463=AXIS2_PLACEMENT_3D('',#536523,#442392,#442393); #358464=AXIS2_PLACEMENT_3D('',#536529,#442397,#442398); #358465=AXIS2_PLACEMENT_3D('',#536535,#442402,#442403); #358466=AXIS2_PLACEMENT_3D('',#536538,#442406,#442407); #358467=AXIS2_PLACEMENT_3D('',#536539,#442408,#442409); #358468=AXIS2_PLACEMENT_3D('',#536540,#442410,#442411); #358469=AXIS2_PLACEMENT_3D('',#536541,#442412,#442413); #358470=AXIS2_PLACEMENT_3D('',#536542,#442414,#442415); #358471=AXIS2_PLACEMENT_3D('',#536543,#442416,#442417); #358472=AXIS2_PLACEMENT_3D('',#536545,#442418,#442419); #358473=AXIS2_PLACEMENT_3D('',#536548,#442421,#442422); #358474=AXIS2_PLACEMENT_3D('',#536549,#442423,#442424); #358475=AXIS2_PLACEMENT_3D('',#536550,#442425,#442426); #358476=AXIS2_PLACEMENT_3D('',#536559,#442431,#442432); #358477=AXIS2_PLACEMENT_3D('',#536565,#442436,#442437); #358478=AXIS2_PLACEMENT_3D('',#536571,#442441,#442442); #358479=AXIS2_PLACEMENT_3D('',#536574,#442445,#442446); #358480=AXIS2_PLACEMENT_3D('',#536580,#442450,#442451); #358481=AXIS2_PLACEMENT_3D('',#536584,#442454,#442455); #358482=AXIS2_PLACEMENT_3D('',#536585,#442456,#442457); #358483=AXIS2_PLACEMENT_3D('',#536589,#442460,#442461); #358484=AXIS2_PLACEMENT_3D('',#536591,#442463,#442464); #358485=AXIS2_PLACEMENT_3D('',#536592,#442465,#442466); #358486=AXIS2_PLACEMENT_3D('',#536601,#442471,#442472); #358487=AXIS2_PLACEMENT_3D('',#536607,#442476,#442477); #358488=AXIS2_PLACEMENT_3D('',#536613,#442481,#442482); #358489=AXIS2_PLACEMENT_3D('',#536616,#442485,#442486); #358490=AXIS2_PLACEMENT_3D('',#536617,#442487,#442488); #358491=AXIS2_PLACEMENT_3D('',#536618,#442489,#442490); #358492=AXIS2_PLACEMENT_3D('',#536627,#442495,#442496); #358493=AXIS2_PLACEMENT_3D('',#536633,#442500,#442501); #358494=AXIS2_PLACEMENT_3D('',#536635,#442502,#442503); #358495=AXIS2_PLACEMENT_3D('',#536637,#442504,#442505); #358496=AXIS2_PLACEMENT_3D('',#536639,#442507,#442508); #358497=AXIS2_PLACEMENT_3D('',#536645,#442512,#442513); #358498=AXIS2_PLACEMENT_3D('',#536647,#442514,#442515); #358499=AXIS2_PLACEMENT_3D('',#536649,#442516,#442517); #358500=AXIS2_PLACEMENT_3D('',#536651,#442519,#442520); #358501=AXIS2_PLACEMENT_3D('',#536654,#442523,#442524); #358502=AXIS2_PLACEMENT_3D('',#536655,#442525,#442526); #358503=AXIS2_PLACEMENT_3D('',#536656,#442527,#442528); #358504=AXIS2_PLACEMENT_3D('',#536665,#442533,#442534); #358505=AXIS2_PLACEMENT_3D('',#536671,#442538,#442539); #358506=AXIS2_PLACEMENT_3D('',#536673,#442540,#442541); #358507=AXIS2_PLACEMENT_3D('',#536676,#442543,#442544); #358508=AXIS2_PLACEMENT_3D('',#536677,#442545,#442546); #358509=AXIS2_PLACEMENT_3D('',#536683,#442550,#442551); #358510=AXIS2_PLACEMENT_3D('',#536685,#442552,#442553); #358511=AXIS2_PLACEMENT_3D('',#536688,#442555,#442556); #358512=AXIS2_PLACEMENT_3D('',#536689,#442557,#442558); #358513=AXIS2_PLACEMENT_3D('',#536692,#442561,#442562); #358514=AXIS2_PLACEMENT_3D('',#536693,#442563,#442564); #358515=AXIS2_PLACEMENT_3D('',#536694,#442565,#442566); #358516=AXIS2_PLACEMENT_3D('',#536703,#442571,#442572); #358517=AXIS2_PLACEMENT_3D('',#536709,#442576,#442577); #358518=AXIS2_PLACEMENT_3D('',#536711,#442578,#442579); #358519=AXIS2_PLACEMENT_3D('',#536713,#442580,#442581); #358520=AXIS2_PLACEMENT_3D('',#536715,#442583,#442584); #358521=AXIS2_PLACEMENT_3D('',#536721,#442588,#442589); #358522=AXIS2_PLACEMENT_3D('',#536723,#442590,#442591); #358523=AXIS2_PLACEMENT_3D('',#536725,#442592,#442593); #358524=AXIS2_PLACEMENT_3D('',#536727,#442595,#442596); #358525=AXIS2_PLACEMENT_3D('',#536730,#442599,#442600); #358526=AXIS2_PLACEMENT_3D('',#536731,#442601,#442602); #358527=AXIS2_PLACEMENT_3D('',#536732,#442603,#442604); #358528=AXIS2_PLACEMENT_3D('',#536741,#442609,#442610); #358529=AXIS2_PLACEMENT_3D('',#536747,#442614,#442615); #358530=AXIS2_PLACEMENT_3D('',#536749,#442616,#442617); #358531=AXIS2_PLACEMENT_3D('',#536751,#442618,#442619); #358532=AXIS2_PLACEMENT_3D('',#536753,#442621,#442622); #358533=AXIS2_PLACEMENT_3D('',#536759,#442626,#442627); #358534=AXIS2_PLACEMENT_3D('',#536761,#442628,#442629); #358535=AXIS2_PLACEMENT_3D('',#536763,#442630,#442631); #358536=AXIS2_PLACEMENT_3D('',#536765,#442633,#442634); #358537=AXIS2_PLACEMENT_3D('',#536768,#442637,#442638); #358538=AXIS2_PLACEMENT_3D('',#536769,#442639,#442640); #358539=AXIS2_PLACEMENT_3D('',#536770,#442641,#442642); #358540=AXIS2_PLACEMENT_3D('',#536779,#442647,#442648); #358541=AXIS2_PLACEMENT_3D('',#536785,#442652,#442653); #358542=AXIS2_PLACEMENT_3D('',#536787,#442654,#442655); #358543=AXIS2_PLACEMENT_3D('',#536789,#442656,#442657); #358544=AXIS2_PLACEMENT_3D('',#536791,#442659,#442660); #358545=AXIS2_PLACEMENT_3D('',#536797,#442664,#442665); #358546=AXIS2_PLACEMENT_3D('',#536799,#442666,#442667); #358547=AXIS2_PLACEMENT_3D('',#536801,#442668,#442669); #358548=AXIS2_PLACEMENT_3D('',#536803,#442671,#442672); #358549=AXIS2_PLACEMENT_3D('',#536806,#442675,#442676); #358550=AXIS2_PLACEMENT_3D('',#536807,#442677,#442678); #358551=AXIS2_PLACEMENT_3D('',#536808,#442679,#442680); #358552=AXIS2_PLACEMENT_3D('',#536817,#442685,#442686); #358553=AXIS2_PLACEMENT_3D('',#536823,#442690,#442691); #358554=AXIS2_PLACEMENT_3D('',#536825,#442692,#442693); #358555=AXIS2_PLACEMENT_3D('',#536828,#442695,#442696); #358556=AXIS2_PLACEMENT_3D('',#536829,#442697,#442698); #358557=AXIS2_PLACEMENT_3D('',#536835,#442702,#442703); #358558=AXIS2_PLACEMENT_3D('',#536837,#442704,#442705); #358559=AXIS2_PLACEMENT_3D('',#536840,#442707,#442708); #358560=AXIS2_PLACEMENT_3D('',#536841,#442709,#442710); #358561=AXIS2_PLACEMENT_3D('',#536844,#442713,#442714); #358562=AXIS2_PLACEMENT_3D('',#536845,#442715,#442716); #358563=AXIS2_PLACEMENT_3D('',#536846,#442717,#442718); #358564=AXIS2_PLACEMENT_3D('',#536855,#442723,#442724); #358565=AXIS2_PLACEMENT_3D('',#536861,#442728,#442729); #358566=AXIS2_PLACEMENT_3D('',#536863,#442730,#442731); #358567=AXIS2_PLACEMENT_3D('',#536866,#442733,#442734); #358568=AXIS2_PLACEMENT_3D('',#536867,#442735,#442736); #358569=AXIS2_PLACEMENT_3D('',#536873,#442740,#442741); #358570=AXIS2_PLACEMENT_3D('',#536875,#442742,#442743); #358571=AXIS2_PLACEMENT_3D('',#536878,#442745,#442746); #358572=AXIS2_PLACEMENT_3D('',#536879,#442747,#442748); #358573=AXIS2_PLACEMENT_3D('',#536882,#442751,#442752); #358574=AXIS2_PLACEMENT_3D('',#536883,#442753,#442754); #358575=AXIS2_PLACEMENT_3D('',#536884,#442755,#442756); #358576=AXIS2_PLACEMENT_3D('',#536893,#442761,#442762); #358577=AXIS2_PLACEMENT_3D('',#536899,#442766,#442767); #358578=AXIS2_PLACEMENT_3D('',#536901,#442768,#442769); #358579=AXIS2_PLACEMENT_3D('',#536904,#442771,#442772); #358580=AXIS2_PLACEMENT_3D('',#536905,#442773,#442774); #358581=AXIS2_PLACEMENT_3D('',#536911,#442778,#442779); #358582=AXIS2_PLACEMENT_3D('',#536913,#442780,#442781); #358583=AXIS2_PLACEMENT_3D('',#536916,#442783,#442784); #358584=AXIS2_PLACEMENT_3D('',#536917,#442785,#442786); #358585=AXIS2_PLACEMENT_3D('',#536920,#442789,#442790); #358586=AXIS2_PLACEMENT_3D('',#536921,#442791,#442792); #358587=AXIS2_PLACEMENT_3D('',#536922,#442793,#442794); #358588=AXIS2_PLACEMENT_3D('',#536931,#442799,#442800); #358589=AXIS2_PLACEMENT_3D('',#536937,#442804,#442805); #358590=AXIS2_PLACEMENT_3D('',#536943,#442809,#442810); #358591=AXIS2_PLACEMENT_3D('',#536946,#442813,#442814); #358592=AXIS2_PLACEMENT_3D('',#536947,#442815,#442816); #358593=AXIS2_PLACEMENT_3D('',#536948,#442817,#442818); #358594=AXIS2_PLACEMENT_3D('',#536957,#442823,#442824); #358595=AXIS2_PLACEMENT_3D('',#536963,#442828,#442829); #358596=AXIS2_PLACEMENT_3D('',#536969,#442833,#442834); #358597=AXIS2_PLACEMENT_3D('',#536972,#442837,#442838); #358598=AXIS2_PLACEMENT_3D('',#536973,#442839,#442840); #358599=AXIS2_PLACEMENT_3D('',#536974,#442841,#442842); #358600=AXIS2_PLACEMENT_3D('',#536983,#442847,#442848); #358601=AXIS2_PLACEMENT_3D('',#536989,#442852,#442853); #358602=AXIS2_PLACEMENT_3D('',#536995,#442857,#442858); #358603=AXIS2_PLACEMENT_3D('',#536998,#442861,#442862); #358604=AXIS2_PLACEMENT_3D('',#536999,#442863,#442864); #358605=AXIS2_PLACEMENT_3D('',#537000,#442865,#442866); #358606=AXIS2_PLACEMENT_3D('',#537009,#442871,#442872); #358607=AXIS2_PLACEMENT_3D('',#537015,#442876,#442877); #358608=AXIS2_PLACEMENT_3D('',#537021,#442881,#442882); #358609=AXIS2_PLACEMENT_3D('',#537024,#442885,#442886); #358610=AXIS2_PLACEMENT_3D('',#537025,#442887,#442888); #358611=AXIS2_PLACEMENT_3D('',#537026,#442889,#442890); #358612=AXIS2_PLACEMENT_3D('',#537035,#442895,#442896); #358613=AXIS2_PLACEMENT_3D('',#537041,#442900,#442901); #358614=AXIS2_PLACEMENT_3D('',#537047,#442905,#442906); #358615=AXIS2_PLACEMENT_3D('',#537050,#442909,#442910); #358616=AXIS2_PLACEMENT_3D('',#537051,#442911,#442912); #358617=AXIS2_PLACEMENT_3D('',#537052,#442913,#442914); #358618=AXIS2_PLACEMENT_3D('',#537061,#442919,#442920); #358619=AXIS2_PLACEMENT_3D('',#537067,#442924,#442925); #358620=AXIS2_PLACEMENT_3D('',#537073,#442929,#442930); #358621=AXIS2_PLACEMENT_3D('',#537076,#442933,#442934); #358622=AXIS2_PLACEMENT_3D('',#537077,#442935,#442936); #358623=AXIS2_PLACEMENT_3D('',#537078,#442937,#442938); #358624=AXIS2_PLACEMENT_3D('',#537087,#442943,#442944); #358625=AXIS2_PLACEMENT_3D('',#537093,#442948,#442949); #358626=AXIS2_PLACEMENT_3D('',#537099,#442953,#442954); #358627=AXIS2_PLACEMENT_3D('',#537102,#442957,#442958); #358628=AXIS2_PLACEMENT_3D('',#537103,#442959,#442960); #358629=AXIS2_PLACEMENT_3D('',#537104,#442961,#442962); #358630=AXIS2_PLACEMENT_3D('',#537113,#442967,#442968); #358631=AXIS2_PLACEMENT_3D('',#537119,#442972,#442973); #358632=AXIS2_PLACEMENT_3D('',#537125,#442977,#442978); #358633=AXIS2_PLACEMENT_3D('',#537128,#442981,#442982); #358634=AXIS2_PLACEMENT_3D('',#537129,#442983,#442984); #358635=AXIS2_PLACEMENT_3D('',#537130,#442985,#442986); #358636=AXIS2_PLACEMENT_3D('',#537131,#442987,#442988); #358637=AXIS2_PLACEMENT_3D('',#537132,#442989,#442990); #358638=AXIS2_PLACEMENT_3D('',#537133,#442991,#442992); #358639=AXIS2_PLACEMENT_3D('',#537142,#442997,#442998); #358640=AXIS2_PLACEMENT_3D('',#537148,#443002,#443003); #358641=AXIS2_PLACEMENT_3D('',#537154,#443007,#443008); #358642=AXIS2_PLACEMENT_3D('',#537157,#443011,#443012); #358643=AXIS2_PLACEMENT_3D('',#537163,#443016,#443017); #358644=AXIS2_PLACEMENT_3D('',#537167,#443020,#443021); #358645=AXIS2_PLACEMENT_3D('',#537168,#443022,#443023); #358646=AXIS2_PLACEMENT_3D('',#537172,#443026,#443027); #358647=AXIS2_PLACEMENT_3D('',#537174,#443029,#443030); #358648=AXIS2_PLACEMENT_3D('',#537175,#443031,#443032); #358649=AXIS2_PLACEMENT_3D('',#537184,#443037,#443038); #358650=AXIS2_PLACEMENT_3D('',#537190,#443042,#443043); #358651=AXIS2_PLACEMENT_3D('',#537196,#443047,#443048); #358652=AXIS2_PLACEMENT_3D('',#537199,#443051,#443052); #358653=AXIS2_PLACEMENT_3D('',#537200,#443053,#443054); #358654=AXIS2_PLACEMENT_3D('',#537201,#443055,#443056); #358655=AXIS2_PLACEMENT_3D('',#537210,#443061,#443062); #358656=AXIS2_PLACEMENT_3D('',#537216,#443066,#443067); #358657=AXIS2_PLACEMENT_3D('',#537222,#443071,#443072); #358658=AXIS2_PLACEMENT_3D('',#537225,#443075,#443076); #358659=AXIS2_PLACEMENT_3D('',#537226,#443077,#443078); #358660=AXIS2_PLACEMENT_3D('',#537227,#443079,#443080); #358661=AXIS2_PLACEMENT_3D('',#537236,#443085,#443086); #358662=AXIS2_PLACEMENT_3D('',#537242,#443090,#443091); #358663=AXIS2_PLACEMENT_3D('',#537248,#443095,#443096); #358664=AXIS2_PLACEMENT_3D('',#537251,#443099,#443100); #358665=AXIS2_PLACEMENT_3D('',#537252,#443101,#443102); #358666=AXIS2_PLACEMENT_3D('',#537253,#443103,#443104); #358667=AXIS2_PLACEMENT_3D('',#537262,#443109,#443110); #358668=AXIS2_PLACEMENT_3D('',#537268,#443114,#443115); #358669=AXIS2_PLACEMENT_3D('',#537274,#443119,#443120); #358670=AXIS2_PLACEMENT_3D('',#537277,#443123,#443124); #358671=AXIS2_PLACEMENT_3D('',#537278,#443125,#443126); #358672=AXIS2_PLACEMENT_3D('',#537279,#443127,#443128); #358673=AXIS2_PLACEMENT_3D('',#537280,#443129,#443130); #358674=AXIS2_PLACEMENT_3D('',#537281,#443131,#443132); #358675=AXIS2_PLACEMENT_3D('',#537282,#443133,#443134); #358676=AXIS2_PLACEMENT_3D('',#537284,#443135,#443136); #358677=AXIS2_PLACEMENT_3D('',#537287,#443138,#443139); #358678=AXIS2_PLACEMENT_3D('',#537288,#443140,#443141); #358679=AXIS2_PLACEMENT_3D('',#537290,#443142,#443143); #358680=AXIS2_PLACEMENT_3D('',#537293,#443145,#443146); #358681=AXIS2_PLACEMENT_3D('',#537294,#443147,#443148); #358682=AXIS2_PLACEMENT_3D('',#537295,#443149,#443150); #358683=AXIS2_PLACEMENT_3D('',#537296,#443151,#443152); #358684=AXIS2_PLACEMENT_3D('',#537349,#443154,#443155); #358685=AXIS2_PLACEMENT_3D('',#537403,#443157,#443158); #358686=AXIS2_PLACEMENT_3D('',#537416,#443160,#443161); #358687=AXIS2_PLACEMENT_3D('',#537430,#443162,#443163); #358688=AXIS2_PLACEMENT_3D('',#537452,#443165,#443166); #358689=AXIS2_PLACEMENT_3D('',#537475,#443167,#443168); #358690=AXIS2_PLACEMENT_3D('',#537526,#443170,#443171); #358691=AXIS2_PLACEMENT_3D('',#538325,#443173,#443174); #358692=AXIS2_PLACEMENT_3D('',#538326,#443175,#443176); #358693=AXIS2_PLACEMENT_3D('',#538327,#443177,#443178); #358694=AXIS2_PLACEMENT_3D('',#538340,#443185,#443186); #358695=AXIS2_PLACEMENT_3D('',#538343,#443189,#443190); #358696=AXIS2_PLACEMENT_3D('',#538345,#443192,#443193); #358697=AXIS2_PLACEMENT_3D('',#538347,#443195,#443196); #358698=AXIS2_PLACEMENT_3D('',#538349,#443198,#443199); #358699=AXIS2_PLACEMENT_3D('',#538351,#443201,#443202); #358700=AXIS2_PLACEMENT_3D('',#538352,#443203,#443204); #358701=AXIS2_PLACEMENT_3D('',#538368,#443206,#443207); #358702=AXIS2_PLACEMENT_3D('',#538376,#443208,#443209); #358703=AXIS2_PLACEMENT_3D('',#538424,#443211,#443212); #358704=AXIS2_PLACEMENT_3D('',#538472,#443214,#443215); #358705=AXIS2_PLACEMENT_3D('',#538520,#443217,#443218); #358706=AXIS2_PLACEMENT_3D('',#538574,#443220,#443221); #358707=AXIS2_PLACEMENT_3D('',#538622,#443223,#443224); #358708=AXIS2_PLACEMENT_3D('',#538670,#443226,#443227); #358709=AXIS2_PLACEMENT_3D('',#538679,#443229,#443230); #358710=AXIS2_PLACEMENT_3D('',#538680,#443231,#443232); #358711=AXIS2_PLACEMENT_3D('',#538695,#443234,#443235); #358712=AXIS2_PLACEMENT_3D('',#538705,#443236,#443237); #358713=AXIS2_PLACEMENT_3D('',#538729,#443239,#443240); #358714=AXIS2_PLACEMENT_3D('',#538754,#443242,#443243); #358715=AXIS2_PLACEMENT_3D('',#538779,#443245,#443246); #358716=AXIS2_PLACEMENT_3D('',#538803,#443248,#443249); #358717=AXIS2_PLACEMENT_3D('',#538827,#443251,#443252); #358718=AXIS2_PLACEMENT_3D('',#538836,#443257,#443258); #358719=AXIS2_PLACEMENT_3D('',#538845,#443263,#443264); #358720=AXIS2_PLACEMENT_3D('',#538854,#443269,#443270); #358721=AXIS2_PLACEMENT_3D('',#538863,#443275,#443276); #358722=AXIS2_PLACEMENT_3D('',#538872,#443281,#443282); #358723=AXIS2_PLACEMENT_3D('',#538881,#443287,#443288); #358724=AXIS2_PLACEMENT_3D('',#538931,#443296,#443297); #358725=AXIS2_PLACEMENT_3D('',#538937,#443301,#443302); #358726=AXIS2_PLACEMENT_3D('',#538940,#443305,#443306); #358727=AXIS2_PLACEMENT_3D('',#538944,#443308,#443309); #358728=AXIS2_PLACEMENT_3D('',#538946,#443310,#443311); #358729=AXIS2_PLACEMENT_3D('',#538958,#443317,#443318); #358730=AXIS2_PLACEMENT_3D('',#538960,#443320,#443321); #358731=AXIS2_PLACEMENT_3D('',#539007,#443328,#443329); #358732=AXIS2_PLACEMENT_3D('',#539010,#443332,#443333); #358733=AXIS2_PLACEMENT_3D('',#539012,#443335,#443336); #358734=AXIS2_PLACEMENT_3D('',#539023,#443340,#443341); #358735=AXIS2_PLACEMENT_3D('',#539031,#443344,#443345); #358736=AXIS2_PLACEMENT_3D('',#539042,#443349,#443350); #358737=AXIS2_PLACEMENT_3D('',#539050,#443353,#443354); #358738=AXIS2_PLACEMENT_3D('',#539061,#443358,#443359); #358739=AXIS2_PLACEMENT_3D('',#539069,#443362,#443363); #358740=AXIS2_PLACEMENT_3D('',#539075,#443365,#443366); #358741=AXIS2_PLACEMENT_3D('',#539081,#443368,#443369); #358742=AXIS2_PLACEMENT_3D('',#539092,#443373,#443374); #358743=AXIS2_PLACEMENT_3D('',#539100,#443377,#443378); #358744=AXIS2_PLACEMENT_3D('',#539111,#443382,#443383); #358745=AXIS2_PLACEMENT_3D('',#539119,#443386,#443387); #358746=AXIS2_PLACEMENT_3D('',#539130,#443391,#443392); #358747=AXIS2_PLACEMENT_3D('',#539138,#443395,#443396); #358748=AXIS2_PLACEMENT_3D('',#539149,#443400,#443401); #358749=AXIS2_PLACEMENT_3D('',#539157,#443404,#443405); #358750=AXIS2_PLACEMENT_3D('',#539158,#443406,#443407); #358751=AXIS2_PLACEMENT_3D('',#539159,#443408,#443409); #358752=AXIS2_PLACEMENT_3D('',#539160,#443410,#443411); #358753=AXIS2_PLACEMENT_3D('',#539161,#443412,#443413); #358754=AXIS2_PLACEMENT_3D('',#539162,#443414,#443415); #358755=AXIS2_PLACEMENT_3D('',#539163,#443416,#443417); #358756=AXIS2_PLACEMENT_3D('',#539164,#443418,#443419); #358757=AXIS2_PLACEMENT_3D('',#539165,#443420,#443421); #358758=AXIS2_PLACEMENT_3D('',#539166,#443422,#443423); #358759=AXIS2_PLACEMENT_3D('',#539167,#443424,#443425); #358760=AXIS2_PLACEMENT_3D('',#539168,#443426,#443427); #358761=AXIS2_PLACEMENT_3D('',#539169,#443428,#443429); #358762=AXIS2_PLACEMENT_3D('',#539170,#443430,#443431); #358763=AXIS2_PLACEMENT_3D('',#539171,#443432,#443433); #358764=AXIS2_PLACEMENT_3D('',#539172,#443434,#443435); #358765=AXIS2_PLACEMENT_3D('',#539173,#443436,#443437); #358766=AXIS2_PLACEMENT_3D('',#539174,#443438,#443439); #358767=AXIS2_PLACEMENT_3D('',#539175,#443440,#443441); #358768=AXIS2_PLACEMENT_3D('',#539176,#443442,#443443); #358769=AXIS2_PLACEMENT_3D('',#539177,#443444,#443445); #358770=AXIS2_PLACEMENT_3D('',#539178,#443446,#443447); #358771=AXIS2_PLACEMENT_3D('',#539179,#443448,#443449); #358772=AXIS2_PLACEMENT_3D('',#539180,#443450,#443451); #358773=AXIS2_PLACEMENT_3D('',#539181,#443452,#443453); #358774=AXIS2_PLACEMENT_3D('',#539182,#443454,#443455); #358775=AXIS2_PLACEMENT_3D('',#539183,#443456,#443457); #358776=AXIS2_PLACEMENT_3D('',#539184,#443458,#443459); #358777=AXIS2_PLACEMENT_3D('',#539185,#443460,#443461); #358778=AXIS2_PLACEMENT_3D('',#539186,#443462,#443463); #358779=AXIS2_PLACEMENT_3D('',#539187,#443464,#443465); #358780=AXIS2_PLACEMENT_3D('',#539188,#443466,#443467); #358781=AXIS2_PLACEMENT_3D('',#539189,#443468,#443469); #358782=AXIS2_PLACEMENT_3D('',#539190,#443470,#443471); #358783=AXIS2_PLACEMENT_3D('',#539191,#443472,#443473); #358784=AXIS2_PLACEMENT_3D('',#539192,#443474,#443475); #358785=AXIS2_PLACEMENT_3D('',#539193,#443476,#443477); #358786=AXIS2_PLACEMENT_3D('',#539194,#443478,#443479); #358787=AXIS2_PLACEMENT_3D('',#539205,#443483,#443484); #358788=AXIS2_PLACEMENT_3D('',#539213,#443487,#443488); #358789=AXIS2_PLACEMENT_3D('',#539224,#443492,#443493); #358790=AXIS2_PLACEMENT_3D('',#539232,#443496,#443497); #358791=AXIS2_PLACEMENT_3D('',#539243,#443501,#443502); #358792=AXIS2_PLACEMENT_3D('',#539251,#443505,#443506); #358793=AXIS2_PLACEMENT_3D('',#539262,#443510,#443511); #358794=AXIS2_PLACEMENT_3D('',#539270,#443514,#443515); #358795=AXIS2_PLACEMENT_3D('',#539275,#443516,#443517); #358796=AXIS2_PLACEMENT_3D('',#539276,#443518,#443519); #358797=AXIS2_PLACEMENT_3D('',#539277,#443520,#443521); #358798=AXIS2_PLACEMENT_3D('',#539278,#443522,#443523); #358799=AXIS2_PLACEMENT_3D('',#539279,#443524,#443525); #358800=AXIS2_PLACEMENT_3D('',#539280,#443526,#443527); #358801=AXIS2_PLACEMENT_3D('',#539281,#443528,#443529); #358802=AXIS2_PLACEMENT_3D('',#539282,#443530,#443531); #358803=AXIS2_PLACEMENT_3D('',#539283,#443532,#443533); #358804=AXIS2_PLACEMENT_3D('',#539289,#443534,#443535); #358805=AXIS2_PLACEMENT_3D('',#539290,#443536,#443537); #358806=AXIS2_PLACEMENT_3D('',#539291,#443538,#443539); #358807=AXIS2_PLACEMENT_3D('',#539297,#443540,#443541); #358808=AXIS2_PLACEMENT_3D('',#539302,#443542,#443543); #358809=AXIS2_PLACEMENT_3D('',#539303,#443544,#443545); #358810=AXIS2_PLACEMENT_3D('',#539309,#443546,#443547); #358811=AXIS2_PLACEMENT_3D('',#539314,#443548,#443549); #358812=AXIS2_PLACEMENT_3D('',#539315,#443550,#443551); #358813=AXIS2_PLACEMENT_3D('',#539316,#443552,#443553); #358814=AXIS2_PLACEMENT_3D('',#539317,#443554,#443555); #358815=AXIS2_PLACEMENT_3D('',#539318,#443556,#443557); #358816=AXIS2_PLACEMENT_3D('',#539319,#443558,#443559); #358817=AXIS2_PLACEMENT_3D('',#539320,#443560,#443561); #358818=AXIS2_PLACEMENT_3D('',#539329,#443562,#443563); #358819=AXIS2_PLACEMENT_3D('',#539330,#443564,#443565); #358820=AXIS2_PLACEMENT_3D('',#539332,#443567,#443568); #358821=AXIS2_PLACEMENT_3D('',#539334,#443570,#443571); #358822=AXIS2_PLACEMENT_3D('',#539340,#443575,#443576); #358823=AXIS2_PLACEMENT_3D('',#539344,#443579,#443580); #358824=AXIS2_PLACEMENT_3D('',#539350,#443584,#443585); #358825=AXIS2_PLACEMENT_3D('',#539354,#443588,#443589); #358826=AXIS2_PLACEMENT_3D('',#539360,#443593,#443594); #358827=AXIS2_PLACEMENT_3D('',#539364,#443597,#443598); #358828=AXIS2_PLACEMENT_3D('',#539365,#443599,#443600); #358829=AXIS2_PLACEMENT_3D('',#539366,#443601,#443602); #358830=AXIS2_PLACEMENT_3D('',#539367,#443603,#443604); #358831=AXIS2_PLACEMENT_3D('',#539368,#443605,#443606); #358832=AXIS2_PLACEMENT_3D('',#539369,#443607,#443608); #358833=AXIS2_PLACEMENT_3D('',#539370,#443609,#443610); #358834=AXIS2_PLACEMENT_3D('',#539371,#443611,#443612); #358835=AXIS2_PLACEMENT_3D('',#539372,#443613,#443614); #358836=AXIS2_PLACEMENT_3D('',#539373,#443615,#443616); #358837=AXIS2_PLACEMENT_3D('',#539374,#443617,#443618); #358838=AXIS2_PLACEMENT_3D('',#539375,#443619,#443620); #358839=AXIS2_PLACEMENT_3D('',#539376,#443621,#443622); #358840=AXIS2_PLACEMENT_3D('',#539377,#443623,#443624); #358841=AXIS2_PLACEMENT_3D('',#539378,#443625,#443626); #358842=AXIS2_PLACEMENT_3D('',#539379,#443627,#443628); #358843=AXIS2_PLACEMENT_3D('',#539380,#443629,#443630); #358844=AXIS2_PLACEMENT_3D('',#539382,#443631,#443632); #358845=AXIS2_PLACEMENT_3D('',#539384,#443634,#443635); #358846=AXIS2_PLACEMENT_3D('',#539393,#443640,#443641); #358847=AXIS2_PLACEMENT_3D('',#539402,#443646,#443647); #358848=AXIS2_PLACEMENT_3D('',#539404,#443648,#443649); #358849=AXIS2_PLACEMENT_3D('',#539407,#443651,#443652); #358850=AXIS2_PLACEMENT_3D('',#539408,#443653,#443654); #358851=AXIS2_PLACEMENT_3D('',#539410,#443655,#443656); #358852=AXIS2_PLACEMENT_3D('',#539412,#443657,#443658); #358853=AXIS2_PLACEMENT_3D('',#539414,#443660,#443661); #358854=AXIS2_PLACEMENT_3D('',#539418,#443663,#443664); #358855=AXIS2_PLACEMENT_3D('',#539424,#443667,#443668); #358856=AXIS2_PLACEMENT_3D('',#539428,#443670,#443671); #358857=AXIS2_PLACEMENT_3D('',#539434,#443674,#443675); #358858=AXIS2_PLACEMENT_3D('',#539436,#443677,#443678); #358859=AXIS2_PLACEMENT_3D('',#539441,#443682,#443683); #358860=AXIS2_PLACEMENT_3D('',#539447,#443687,#443688); #358861=AXIS2_PLACEMENT_3D('',#539453,#443692,#443693); #358862=AXIS2_PLACEMENT_3D('',#539457,#443696,#443697); #358863=AXIS2_PLACEMENT_3D('',#539459,#443698,#443699); #358864=AXIS2_PLACEMENT_3D('',#539461,#443701,#443702); #358865=AXIS2_PLACEMENT_3D('',#539465,#443705,#443706); #358866=AXIS2_PLACEMENT_3D('',#539466,#443707,#443708); #358867=AXIS2_PLACEMENT_3D('',#539467,#443709,#443710); #358868=AXIS2_PLACEMENT_3D('',#539469,#443711,#443712); #358869=AXIS2_PLACEMENT_3D('',#539471,#443714,#443715); #358870=AXIS2_PLACEMENT_3D('',#539475,#443718,#443719); #358871=AXIS2_PLACEMENT_3D('',#539477,#443721,#443722); #358872=AXIS2_PLACEMENT_3D('',#539478,#443723,#443724); #358873=AXIS2_PLACEMENT_3D('',#539479,#443725,#443726); #358874=AXIS2_PLACEMENT_3D('',#539481,#443728,#443729); #358875=AXIS2_PLACEMENT_3D('',#539488,#443732,#443733); #358876=AXIS2_PLACEMENT_3D('',#539494,#443736,#443737); #358877=AXIS2_PLACEMENT_3D('',#539498,#443739,#443740); #358878=AXIS2_PLACEMENT_3D('',#539504,#443743,#443744); #358879=AXIS2_PLACEMENT_3D('',#539506,#443746,#443747); #358880=AXIS2_PLACEMENT_3D('',#539512,#443751,#443752); #358881=AXIS2_PLACEMENT_3D('',#539518,#443755,#443756); #358882=AXIS2_PLACEMENT_3D('',#539524,#443759,#443760); #358883=AXIS2_PLACEMENT_3D('',#539528,#443762,#443763); #358884=AXIS2_PLACEMENT_3D('',#539533,#443766,#443767); #358885=AXIS2_PLACEMENT_3D('',#539534,#443768,#443769); #358886=AXIS2_PLACEMENT_3D('',#539537,#443772,#443773); #358887=AXIS2_PLACEMENT_3D('',#539539,#443775,#443776); #358888=AXIS2_PLACEMENT_3D('',#539541,#443778,#443779); #358889=AXIS2_PLACEMENT_3D('',#539544,#443782,#443783); #358890=AXIS2_PLACEMENT_3D('',#539546,#443785,#443786); #358891=AXIS2_PLACEMENT_3D('',#539548,#443788,#443789); #358892=AXIS2_PLACEMENT_3D('',#539550,#443791,#443792); #358893=AXIS2_PLACEMENT_3D('',#539551,#443793,#443794); #358894=AXIS2_PLACEMENT_3D('',#539552,#443795,#443796); #358895=AXIS2_PLACEMENT_3D('',#539554,#443798,#443799); #358896=AXIS2_PLACEMENT_3D('',#539563,#443804,#443805); #358897=AXIS2_PLACEMENT_3D('',#539565,#443806,#443807); #358898=AXIS2_PLACEMENT_3D('',#539567,#443808,#443809); #358899=AXIS2_PLACEMENT_3D('',#539569,#443811,#443812); #358900=AXIS2_PLACEMENT_3D('',#539574,#443814,#443815); #358901=AXIS2_PLACEMENT_3D('',#539577,#443817,#443818); #358902=AXIS2_PLACEMENT_3D('',#539578,#443819,#443820); #358903=AXIS2_PLACEMENT_3D('',#539584,#443824,#443825); #358904=AXIS2_PLACEMENT_3D('',#539588,#443827,#443828); #358905=AXIS2_PLACEMENT_3D('',#539594,#443831,#443832); #358906=AXIS2_PLACEMENT_3D('',#539598,#443834,#443835); #358907=AXIS2_PLACEMENT_3D('',#539604,#443838,#443839); #358908=AXIS2_PLACEMENT_3D('',#539606,#443841,#443842); #358909=AXIS2_PLACEMENT_3D('',#539611,#443846,#443847); #358910=AXIS2_PLACEMENT_3D('',#539614,#443848,#443849); #358911=AXIS2_PLACEMENT_3D('',#539617,#443852,#443853); #358912=AXIS2_PLACEMENT_3D('',#539621,#443855,#443856); #358913=AXIS2_PLACEMENT_3D('',#539628,#443860,#443861); #358914=AXIS2_PLACEMENT_3D('',#539633,#443864,#443865); #358915=AXIS2_PLACEMENT_3D('',#539634,#443866,#443867); #358916=AXIS2_PLACEMENT_3D('',#539636,#443869,#443870); #358917=AXIS2_PLACEMENT_3D('',#539638,#443872,#443873); #358918=AXIS2_PLACEMENT_3D('',#539640,#443875,#443876); #358919=AXIS2_PLACEMENT_3D('',#539642,#443878,#443879); #358920=AXIS2_PLACEMENT_3D('',#539645,#443882,#443883); #358921=AXIS2_PLACEMENT_3D('',#539647,#443885,#443886); #358922=AXIS2_PLACEMENT_3D('',#539649,#443888,#443889); #358923=AXIS2_PLACEMENT_3D('',#539650,#443890,#443891); #358924=AXIS2_PLACEMENT_3D('',#539651,#443892,#443893); #358925=AXIS2_PLACEMENT_3D('',#539660,#443898,#443899); #358926=AXIS2_PLACEMENT_3D('',#539669,#443904,#443905); #358927=AXIS2_PLACEMENT_3D('',#539671,#443906,#443907); #358928=AXIS2_PLACEMENT_3D('',#539674,#443909,#443910); #358929=AXIS2_PLACEMENT_3D('',#539675,#443911,#443912); #358930=AXIS2_PLACEMENT_3D('',#539677,#443913,#443914); #358931=AXIS2_PLACEMENT_3D('',#539679,#443915,#443916); #358932=AXIS2_PLACEMENT_3D('',#539681,#443918,#443919); #358933=AXIS2_PLACEMENT_3D('',#539685,#443921,#443922); #358934=AXIS2_PLACEMENT_3D('',#539691,#443925,#443926); #358935=AXIS2_PLACEMENT_3D('',#539695,#443928,#443929); #358936=AXIS2_PLACEMENT_3D('',#539701,#443932,#443933); #358937=AXIS2_PLACEMENT_3D('',#539703,#443935,#443936); #358938=AXIS2_PLACEMENT_3D('',#539708,#443940,#443941); #358939=AXIS2_PLACEMENT_3D('',#539714,#443945,#443946); #358940=AXIS2_PLACEMENT_3D('',#539720,#443950,#443951); #358941=AXIS2_PLACEMENT_3D('',#539724,#443954,#443955); #358942=AXIS2_PLACEMENT_3D('',#539726,#443956,#443957); #358943=AXIS2_PLACEMENT_3D('',#539728,#443959,#443960); #358944=AXIS2_PLACEMENT_3D('',#539732,#443963,#443964); #358945=AXIS2_PLACEMENT_3D('',#539733,#443965,#443966); #358946=AXIS2_PLACEMENT_3D('',#539734,#443967,#443968); #358947=AXIS2_PLACEMENT_3D('',#539736,#443969,#443970); #358948=AXIS2_PLACEMENT_3D('',#539738,#443972,#443973); #358949=AXIS2_PLACEMENT_3D('',#539742,#443976,#443977); #358950=AXIS2_PLACEMENT_3D('',#539744,#443979,#443980); #358951=AXIS2_PLACEMENT_3D('',#539745,#443981,#443982); #358952=AXIS2_PLACEMENT_3D('',#539746,#443983,#443984); #358953=AXIS2_PLACEMENT_3D('',#539748,#443986,#443987); #358954=AXIS2_PLACEMENT_3D('',#539755,#443990,#443991); #358955=AXIS2_PLACEMENT_3D('',#539761,#443994,#443995); #358956=AXIS2_PLACEMENT_3D('',#539765,#443997,#443998); #358957=AXIS2_PLACEMENT_3D('',#539771,#444001,#444002); #358958=AXIS2_PLACEMENT_3D('',#539773,#444004,#444005); #358959=AXIS2_PLACEMENT_3D('',#539779,#444009,#444010); #358960=AXIS2_PLACEMENT_3D('',#539785,#444013,#444014); #358961=AXIS2_PLACEMENT_3D('',#539791,#444017,#444018); #358962=AXIS2_PLACEMENT_3D('',#539795,#444020,#444021); #358963=AXIS2_PLACEMENT_3D('',#539800,#444024,#444025); #358964=AXIS2_PLACEMENT_3D('',#539801,#444026,#444027); #358965=AXIS2_PLACEMENT_3D('',#539804,#444030,#444031); #358966=AXIS2_PLACEMENT_3D('',#539807,#444034,#444035); #358967=AXIS2_PLACEMENT_3D('',#539810,#444038,#444039); #358968=AXIS2_PLACEMENT_3D('',#539812,#444041,#444042); #358969=AXIS2_PLACEMENT_3D('',#539813,#444043,#444044); #358970=AXIS2_PLACEMENT_3D('',#539815,#444046,#444047); #358971=AXIS2_PLACEMENT_3D('',#539816,#444048,#444049); #358972=AXIS2_PLACEMENT_3D('',#539818,#444051,#444052); #358973=AXIS2_PLACEMENT_3D('',#539820,#444054,#444055); #358974=AXIS2_PLACEMENT_3D('',#539821,#444056,#444057); #358975=AXIS2_PLACEMENT_3D('',#539836,#444059,#444060); #358976=AXIS2_PLACEMENT_3D('',#539840,#444062,#444063); #358977=AXIS2_PLACEMENT_3D('',#539844,#444066,#444067); #358978=AXIS2_PLACEMENT_3D('',#539859,#444076,#444077); #358979=AXIS2_PLACEMENT_3D('',#539867,#444081,#444082); #358980=AXIS2_PLACEMENT_3D('',#539881,#444084,#444085); #358981=AXIS2_PLACEMENT_3D('',#539882,#444086,#444087); #358982=AXIS2_PLACEMENT_3D('',#539887,#444089,#444090); #358983=AXIS2_PLACEMENT_3D('',#539891,#444092,#444093); #358984=AXIS2_PLACEMENT_3D('',#539894,#444096,#444097); #358985=AXIS2_PLACEMENT_3D('',#539896,#444098,#444099); #358986=AXIS2_PLACEMENT_3D('',#539900,#444101,#444102); #358987=AXIS2_PLACEMENT_3D('',#539904,#444104,#444105); #358988=AXIS2_PLACEMENT_3D('',#539907,#444107,#444108); #358989=AXIS2_PLACEMENT_3D('',#540322,#444118,#444119); #358990=AXIS2_PLACEMENT_3D('',#540324,#444120,#444121); #358991=AXIS2_PLACEMENT_3D('',#540717,#444122,#444123); #358992=AXIS2_PLACEMENT_3D('',#540718,#444124,#444125); #358993=AXIS2_PLACEMENT_3D('',#540719,#444126,#444127); #358994=AXIS2_PLACEMENT_3D('',#540732,#444134,#444135); #358995=AXIS2_PLACEMENT_3D('',#540738,#444139,#444140); #358996=AXIS2_PLACEMENT_3D('',#540744,#444144,#444145); #358997=AXIS2_PLACEMENT_3D('',#540748,#444148,#444149); #358998=AXIS2_PLACEMENT_3D('',#540753,#444153,#444154); #358999=AXIS2_PLACEMENT_3D('',#540754,#444155,#444156); #359000=AXIS2_PLACEMENT_3D('',#540772,#444166,#444167); #359001=AXIS2_PLACEMENT_3D('',#540773,#444168,#444169); #359002=AXIS2_PLACEMENT_3D('',#540782,#444174,#444175); #359003=AXIS2_PLACEMENT_3D('',#540788,#444179,#444180); #359004=AXIS2_PLACEMENT_3D('',#540797,#444185,#444186); #359005=AXIS2_PLACEMENT_3D('',#540806,#444191,#444192); #359006=AXIS2_PLACEMENT_3D('',#540812,#444196,#444197); #359007=AXIS2_PLACEMENT_3D('',#540821,#444202,#444203); #359008=AXIS2_PLACEMENT_3D('',#540823,#444204,#444205); #359009=AXIS2_PLACEMENT_3D('',#540826,#444207,#444208); #359010=AXIS2_PLACEMENT_3D('',#540827,#444209,#444210); #359011=AXIS2_PLACEMENT_3D('',#540833,#444214,#444215); #359012=AXIS2_PLACEMENT_3D('',#540835,#444216,#444217); #359013=AXIS2_PLACEMENT_3D('',#540841,#444220,#444221); #359014=AXIS2_PLACEMENT_3D('',#540845,#444224,#444225); #359015=AXIS2_PLACEMENT_3D('',#540851,#444229,#444230); #359016=AXIS2_PLACEMENT_3D('',#540855,#444233,#444234); #359017=AXIS2_PLACEMENT_3D('',#540859,#444237,#444238); #359018=AXIS2_PLACEMENT_3D('',#540860,#444239,#444240); #359019=AXIS2_PLACEMENT_3D('',#540861,#444241,#444242); #359020=AXIS2_PLACEMENT_3D('',#540870,#444247,#444248); #359021=AXIS2_PLACEMENT_3D('',#540872,#444249,#444250); #359022=AXIS2_PLACEMENT_3D('',#540875,#444252,#444253); #359023=AXIS2_PLACEMENT_3D('',#540876,#444254,#444255); #359024=AXIS2_PLACEMENT_3D('',#540882,#444259,#444260); #359025=AXIS2_PLACEMENT_3D('',#540891,#444265,#444266); #359026=AXIS2_PLACEMENT_3D('',#540894,#444269,#444270); #359027=AXIS2_PLACEMENT_3D('',#540903,#444275,#444276); #359028=AXIS2_PLACEMENT_3D('',#540904,#444277,#444278); #359029=AXIS2_PLACEMENT_3D('',#540905,#444279,#444280); #359030=AXIS2_PLACEMENT_3D('',#540906,#444281,#444282); #359031=AXIS2_PLACEMENT_3D('',#540910,#444286,#444287); #359032=AXIS2_PLACEMENT_3D('',#540913,#444288,#444289); #359033=AXIS2_PLACEMENT_3D('',#540917,#444291,#444292); #359034=AXIS2_PLACEMENT_3D('',#540919,#444294,#444295); #359035=AXIS2_PLACEMENT_3D('',#540925,#444298,#444299); #359036=AXIS2_PLACEMENT_3D('',#540929,#444301,#444302); #359037=AXIS2_PLACEMENT_3D('',#540942,#444310,#444311); #359038=AXIS2_PLACEMENT_3D('',#540948,#444315,#444316); #359039=AXIS2_PLACEMENT_3D('',#540953,#444320,#444321); #359040=AXIS2_PLACEMENT_3D('',#540956,#444322,#444323); #359041=AXIS2_PLACEMENT_3D('',#540960,#444325,#444326); #359042=AXIS2_PLACEMENT_3D('',#540967,#444331,#444332); #359043=AXIS2_PLACEMENT_3D('',#540970,#444335,#444336); #359044=AXIS2_PLACEMENT_3D('',#540973,#444337,#444338); #359045=AXIS2_PLACEMENT_3D('',#540983,#444344,#444345); #359046=AXIS2_PLACEMENT_3D('',#540985,#444347,#444348); #359047=AXIS2_PLACEMENT_3D('',#540987,#444350,#444351); #359048=AXIS2_PLACEMENT_3D('',#540996,#444356,#444357); #359049=AXIS2_PLACEMENT_3D('',#540998,#444358,#444359); #359050=AXIS2_PLACEMENT_3D('',#541000,#444360,#444361); #359051=AXIS2_PLACEMENT_3D('',#541002,#444363,#444364); #359052=AXIS2_PLACEMENT_3D('',#541008,#444368,#444369); #359053=AXIS2_PLACEMENT_3D('',#541010,#444370,#444371); #359054=AXIS2_PLACEMENT_3D('',#541012,#444372,#444373); #359055=AXIS2_PLACEMENT_3D('',#541014,#444375,#444376); #359056=AXIS2_PLACEMENT_3D('',#541020,#444380,#444381); #359057=AXIS2_PLACEMENT_3D('',#541025,#444385,#444386); #359058=AXIS2_PLACEMENT_3D('',#541029,#444389,#444390); #359059=AXIS2_PLACEMENT_3D('',#541035,#444394,#444395); #359060=AXIS2_PLACEMENT_3D('',#541039,#444398,#444399); #359061=AXIS2_PLACEMENT_3D('',#541043,#444402,#444403); #359062=AXIS2_PLACEMENT_3D('',#541045,#444404,#444405); #359063=AXIS2_PLACEMENT_3D('',#541047,#444407,#444408); #359064=AXIS2_PLACEMENT_3D('',#541051,#444411,#444412); #359065=AXIS2_PLACEMENT_3D('',#541052,#444413,#444414); #359066=AXIS2_PLACEMENT_3D('',#541053,#444415,#444416); #359067=AXIS2_PLACEMENT_3D('',#541057,#444419,#444420); #359068=AXIS2_PLACEMENT_3D('',#541059,#444422,#444423); #359069=AXIS2_PLACEMENT_3D('',#541062,#444424,#444425); #359070=AXIS2_PLACEMENT_3D('',#541065,#444428,#444429); #359071=AXIS2_PLACEMENT_3D('',#541068,#444432,#444433); #359072=AXIS2_PLACEMENT_3D('',#541079,#444440,#444441); #359073=AXIS2_PLACEMENT_3D('',#541080,#444442,#444443); #359074=AXIS2_PLACEMENT_3D('',#541081,#444444,#444445); #359075=AXIS2_PLACEMENT_3D('',#541082,#444446,#444447); #359076=AXIS2_PLACEMENT_3D('',#541083,#444448,#444449); #359077=AXIS2_PLACEMENT_3D('',#541085,#444450,#444451); #359078=AXIS2_PLACEMENT_3D('',#541087,#444453,#444454); #359079=AXIS2_PLACEMENT_3D('',#541089,#444455,#444456); #359080=AXIS2_PLACEMENT_3D('',#541091,#444458,#444459); #359081=AXIS2_PLACEMENT_3D('',#541093,#444461,#444462); #359082=AXIS2_PLACEMENT_3D('',#541095,#444464,#444465); #359083=AXIS2_PLACEMENT_3D('',#541097,#444467,#444468); #359084=AXIS2_PLACEMENT_3D('',#541099,#444470,#444471); #359085=AXIS2_PLACEMENT_3D('',#541106,#444476,#444477); #359086=AXIS2_PLACEMENT_3D('',#541107,#444478,#444479); #359087=AXIS2_PLACEMENT_3D('',#541108,#444480,#444481); #359088=AXIS2_PLACEMENT_3D('',#541109,#444482,#444483); #359089=AXIS2_PLACEMENT_3D('',#541113,#444486,#444487); #359090=AXIS2_PLACEMENT_3D('',#541114,#444488,#444489); #359091=AXIS2_PLACEMENT_3D('',#541115,#444490,#444491); #359092=AXIS2_PLACEMENT_3D('',#541116,#444492,#444493); #359093=AXIS2_PLACEMENT_3D('',#541124,#444497,#444498); #359094=AXIS2_PLACEMENT_3D('',#541127,#444500,#444501); #359095=AXIS2_PLACEMENT_3D('',#541128,#444502,#444503); #359096=AXIS2_PLACEMENT_3D('',#541129,#444504,#444505); #359097=AXIS2_PLACEMENT_3D('',#541132,#444508,#444509); #359098=AXIS2_PLACEMENT_3D('',#541139,#444514,#444515); #359099=AXIS2_PLACEMENT_3D('',#541140,#444516,#444517); #359100=AXIS2_PLACEMENT_3D('',#541141,#444518,#444519); #359101=AXIS2_PLACEMENT_3D('',#541143,#444520,#444521); #359102=AXIS2_PLACEMENT_3D('',#541162,#444531,#444532); #359103=AXIS2_PLACEMENT_3D('',#541166,#444534,#444535); #359104=AXIS2_PLACEMENT_3D('',#541181,#444537,#444538); #359105=AXIS2_PLACEMENT_3D('',#541189,#444542,#444543); #359106=AXIS2_PLACEMENT_3D('',#541193,#444545,#444546); #359107=AXIS2_PLACEMENT_3D('',#541219,#444555,#444556); #359108=AXIS2_PLACEMENT_3D('',#541223,#444558,#444559); #359109=AXIS2_PLACEMENT_3D('',#541227,#444561,#444562); #359110=AXIS2_PLACEMENT_3D('',#541235,#444566,#444567); #359111=AXIS2_PLACEMENT_3D('',#541239,#444569,#444570); #359112=AXIS2_PLACEMENT_3D('',#541241,#444572,#444573); #359113=AXIS2_PLACEMENT_3D('',#541243,#444575,#444576); #359114=AXIS2_PLACEMENT_3D('',#541245,#444578,#444579); #359115=AXIS2_PLACEMENT_3D('',#541246,#444580,#444581); #359116=AXIS2_PLACEMENT_3D('',#541247,#444582,#444583); #359117=AXIS2_PLACEMENT_3D('',#541249,#444585,#444586); #359118=AXIS2_PLACEMENT_3D('',#541251,#444588,#444589); #359119=AXIS2_PLACEMENT_3D('',#541253,#444591,#444592); #359120=AXIS2_PLACEMENT_3D('',#541255,#444594,#444595); #359121=AXIS2_PLACEMENT_3D('',#541257,#444597,#444598); #359122=AXIS2_PLACEMENT_3D('',#541259,#444600,#444601); #359123=AXIS2_PLACEMENT_3D('',#541261,#444603,#444604); #359124=AXIS2_PLACEMENT_3D('',#541263,#444606,#444607); #359125=AXIS2_PLACEMENT_3D('',#541265,#444609,#444610); #359126=AXIS2_PLACEMENT_3D('',#541274,#444615,#444616); #359127=AXIS2_PLACEMENT_3D('',#541283,#444621,#444622); #359128=AXIS2_PLACEMENT_3D('',#541284,#444623,#444624); #359129=AXIS2_PLACEMENT_3D('',#541285,#444625,#444626); #359130=AXIS2_PLACEMENT_3D('',#541287,#444628,#444629); #359131=AXIS2_PLACEMENT_3D('',#541288,#444630,#444631); #359132=AXIS2_PLACEMENT_3D('',#541290,#444633,#444634); #359133=AXIS2_PLACEMENT_3D('',#541291,#444635,#444636); #359134=AXIS2_PLACEMENT_3D('',#541293,#444638,#444639); #359135=AXIS2_PLACEMENT_3D('',#541294,#444640,#444641); #359136=AXIS2_PLACEMENT_3D('',#541296,#444643,#444644); #359137=AXIS2_PLACEMENT_3D('',#541298,#444645,#444646); #359138=AXIS2_PLACEMENT_3D('',#541301,#444648,#444649); #359139=AXIS2_PLACEMENT_3D('',#541302,#444650,#444651); #359140=AXIS2_PLACEMENT_3D('',#541304,#444652,#444653); #359141=AXIS2_PLACEMENT_3D('',#541306,#444655,#444656); #359142=AXIS2_PLACEMENT_3D('',#541308,#444657,#444658); #359143=AXIS2_PLACEMENT_3D('',#541310,#444660,#444661); #359144=AXIS2_PLACEMENT_3D('',#541312,#444663,#444664); #359145=AXIS2_PLACEMENT_3D('',#541313,#444665,#444666); #359146=AXIS2_PLACEMENT_3D('',#541315,#444668,#444669); #359147=AXIS2_PLACEMENT_3D('',#541318,#444672,#444673); #359148=AXIS2_PLACEMENT_3D('',#541327,#444678,#444679); #359149=AXIS2_PLACEMENT_3D('',#541336,#444684,#444685); #359150=AXIS2_PLACEMENT_3D('',#541337,#444686,#444687); #359151=AXIS2_PLACEMENT_3D('',#541338,#444688,#444689); #359152=AXIS2_PLACEMENT_3D('',#541340,#444691,#444692); #359153=AXIS2_PLACEMENT_3D('',#541341,#444693,#444694); #359154=AXIS2_PLACEMENT_3D('',#541343,#444696,#444697); #359155=AXIS2_PLACEMENT_3D('',#541344,#444698,#444699); #359156=AXIS2_PLACEMENT_3D('',#541345,#444700,#444701); #359157=AXIS2_PLACEMENT_3D('',#541347,#444703,#444704); #359158=AXIS2_PLACEMENT_3D('',#541348,#444705,#444706); #359159=AXIS2_PLACEMENT_3D('',#541350,#444707,#444708); #359160=AXIS2_PLACEMENT_3D('',#541353,#444710,#444711); #359161=AXIS2_PLACEMENT_3D('',#541354,#444712,#444713); #359162=AXIS2_PLACEMENT_3D('',#541356,#444714,#444715); #359163=AXIS2_PLACEMENT_3D('',#541358,#444717,#444718); #359164=AXIS2_PLACEMENT_3D('',#541360,#444719,#444720); #359165=AXIS2_PLACEMENT_3D('',#541362,#444722,#444723); #359166=AXIS2_PLACEMENT_3D('',#541364,#444725,#444726); #359167=AXIS2_PLACEMENT_3D('',#541365,#444727,#444728); #359168=AXIS2_PLACEMENT_3D('',#541366,#444729,#444730); #359169=AXIS2_PLACEMENT_3D('',#541367,#444731,#444732); #359170=AXIS2_PLACEMENT_3D('',#541369,#444734,#444735); #359171=AXIS2_PLACEMENT_3D('',#541370,#444736,#444737); #359172=AXIS2_PLACEMENT_3D('',#541372,#444739,#444740); #359173=AXIS2_PLACEMENT_3D('',#541375,#444743,#444744); #359174=AXIS2_PLACEMENT_3D('',#541377,#444745,#444746); #359175=AXIS2_PLACEMENT_3D('',#541384,#444750,#444751); #359176=AXIS2_PLACEMENT_3D('',#541385,#444752,#444753); #359177=AXIS2_PLACEMENT_3D('',#541387,#444754,#444755); #359178=AXIS2_PLACEMENT_3D('',#541390,#444757,#444758); #359179=AXIS2_PLACEMENT_3D('',#541391,#444759,#444760); #359180=AXIS2_PLACEMENT_3D('',#541397,#444764,#444765); #359181=AXIS2_PLACEMENT_3D('',#541403,#444768,#444769); #359182=AXIS2_PLACEMENT_3D('',#541407,#444772,#444773); #359183=AXIS2_PLACEMENT_3D('',#541410,#444776,#444777); #359184=AXIS2_PLACEMENT_3D('',#541412,#444778,#444779); #359185=AXIS2_PLACEMENT_3D('',#541416,#444782,#444783); #359186=AXIS2_PLACEMENT_3D('',#541417,#444784,#444785); #359187=AXIS2_PLACEMENT_3D('',#541419,#444786,#444787); #359188=AXIS2_PLACEMENT_3D('',#541421,#444789,#444790); #359189=AXIS2_PLACEMENT_3D('',#541426,#444794,#444795); #359190=AXIS2_PLACEMENT_3D('',#541429,#444796,#444797); #359191=AXIS2_PLACEMENT_3D('',#541433,#444799,#444800); #359192=AXIS2_PLACEMENT_3D('',#541435,#444802,#444803); #359193=AXIS2_PLACEMENT_3D('',#541443,#444807,#444808); #359194=AXIS2_PLACEMENT_3D('',#541449,#444812,#444813); #359195=AXIS2_PLACEMENT_3D('',#541453,#444815,#444816); #359196=AXIS2_PLACEMENT_3D('',#541456,#444819,#444820); #359197=AXIS2_PLACEMENT_3D('',#541457,#444821,#444822); #359198=AXIS2_PLACEMENT_3D('',#541459,#444824,#444825); #359199=AXIS2_PLACEMENT_3D('',#541462,#444826,#444827); #359200=AXIS2_PLACEMENT_3D('',#541465,#444830,#444831); #359201=AXIS2_PLACEMENT_3D('',#541474,#444837,#444838); #359202=AXIS2_PLACEMENT_3D('',#541475,#444839,#444840); #359203=AXIS2_PLACEMENT_3D('',#541479,#444843,#444844); #359204=AXIS2_PLACEMENT_3D('',#541480,#444845,#444846); #359205=AXIS2_PLACEMENT_3D('',#541482,#444848,#444849); #359206=AXIS2_PLACEMENT_3D('',#541483,#444850,#444851); #359207=AXIS2_PLACEMENT_3D('',#541485,#444853,#444854); #359208=AXIS2_PLACEMENT_3D('',#541486,#444855,#444856); #359209=AXIS2_PLACEMENT_3D('',#541488,#444858,#444859); #359210=AXIS2_PLACEMENT_3D('',#541490,#444861,#444862); #359211=AXIS2_PLACEMENT_3D('',#541492,#444864,#444865); #359212=AXIS2_PLACEMENT_3D('',#541493,#444866,#444867); #359213=AXIS2_PLACEMENT_3D('',#541495,#444869,#444870); #359214=AXIS2_PLACEMENT_3D('',#541496,#444871,#444872); #359215=AXIS2_PLACEMENT_3D('',#541498,#444874,#444875); #359216=AXIS2_PLACEMENT_3D('',#541500,#444877,#444878); #359217=AXIS2_PLACEMENT_3D('',#541502,#444880,#444881); #359218=AXIS2_PLACEMENT_3D('',#541504,#444883,#444884); #359219=AXIS2_PLACEMENT_3D('',#541519,#444887,#444888); #359220=AXIS2_PLACEMENT_3D('',#541533,#444890,#444891); #359221=AXIS2_PLACEMENT_3D('',#541534,#444892,#444893); #359222=AXIS2_PLACEMENT_3D('',#541535,#444894,#444895); #359223=AXIS2_PLACEMENT_3D('',#541536,#444896,#444897); #359224=AXIS2_PLACEMENT_3D('',#541539,#444898,#444899); #359225=AXIS2_PLACEMENT_3D('',#541543,#444901,#444902); #359226=AXIS2_PLACEMENT_3D('',#541545,#444904,#444905); #359227=AXIS2_PLACEMENT_3D('',#541548,#444906,#444907); #359228=AXIS2_PLACEMENT_3D('',#541552,#444909,#444910); #359229=AXIS2_PLACEMENT_3D('',#541554,#444912,#444913); #359230=AXIS2_PLACEMENT_3D('',#541559,#444915,#444916); #359231=AXIS2_PLACEMENT_3D('',#541562,#444918,#444919); #359232=AXIS2_PLACEMENT_3D('',#541563,#444920,#444921); #359233=AXIS2_PLACEMENT_3D('',#541568,#444923,#444924); #359234=AXIS2_PLACEMENT_3D('',#541571,#444926,#444927); #359235=AXIS2_PLACEMENT_3D('',#541572,#444928,#444929); #359236=AXIS2_PLACEMENT_3D('',#541576,#444931,#444932); #359237=AXIS2_PLACEMENT_3D('',#541577,#444933,#444934); #359238=AXIS2_PLACEMENT_3D('',#541578,#444935,#444936); #359239=AXIS2_PLACEMENT_3D('',#541580,#444937,#444938); #359240=AXIS2_PLACEMENT_3D('',#541583,#444940,#444941); #359241=AXIS2_PLACEMENT_3D('',#541584,#444942,#444943); #359242=AXIS2_PLACEMENT_3D('',#541589,#444948,#444949); #359243=AXIS2_PLACEMENT_3D('',#541591,#444951,#444952); #359244=AXIS2_PLACEMENT_3D('',#541597,#444956,#444957); #359245=AXIS2_PLACEMENT_3D('',#541599,#444958,#444959); #359246=AXIS2_PLACEMENT_3D('',#541602,#444961,#444962); #359247=AXIS2_PLACEMENT_3D('',#541603,#444963,#444964); #359248=AXIS2_PLACEMENT_3D('',#541606,#444967,#444968); #359249=AXIS2_PLACEMENT_3D('',#541608,#444970,#444971); #359250=AXIS2_PLACEMENT_3D('',#541610,#444973,#444974); #359251=AXIS2_PLACEMENT_3D('',#541612,#444976,#444977); #359252=AXIS2_PLACEMENT_3D('',#541614,#444979,#444980); #359253=AXIS2_PLACEMENT_3D('',#541616,#444982,#444983); #359254=AXIS2_PLACEMENT_3D('',#541622,#444987,#444988); #359255=AXIS2_PLACEMENT_3D('',#541626,#444990,#444991); #359256=AXIS2_PLACEMENT_3D('',#541627,#444992,#444993); #359257=AXIS2_PLACEMENT_3D('',#541628,#444994,#444995); #359258=AXIS2_PLACEMENT_3D('',#541631,#444998,#444999); #359259=AXIS2_PLACEMENT_3D('',#541633,#445001,#445002); #359260=AXIS2_PLACEMENT_3D('',#541635,#445004,#445005); #359261=AXIS2_PLACEMENT_3D('',#541637,#445007,#445008); #359262=AXIS2_PLACEMENT_3D('',#541638,#445009,#445010); #359263=AXIS2_PLACEMENT_3D('',#541640,#445012,#445013); #359264=AXIS2_PLACEMENT_3D('',#541642,#445015,#445016); #359265=AXIS2_PLACEMENT_3D('',#541644,#445018,#445019); #359266=AXIS2_PLACEMENT_3D('',#541645,#445020,#445021); #359267=AXIS2_PLACEMENT_3D('',#541648,#445022,#445023); #359268=AXIS2_PLACEMENT_3D('',#541652,#445025,#445026); #359269=AXIS2_PLACEMENT_3D('',#541654,#445028,#445029); #359270=AXIS2_PLACEMENT_3D('',#541657,#445030,#445031); #359271=AXIS2_PLACEMENT_3D('',#541661,#445033,#445034); #359272=AXIS2_PLACEMENT_3D('',#541663,#445036,#445037); #359273=AXIS2_PLACEMENT_3D('',#541668,#445039,#445040); #359274=AXIS2_PLACEMENT_3D('',#541671,#445042,#445043); #359275=AXIS2_PLACEMENT_3D('',#541672,#445044,#445045); #359276=AXIS2_PLACEMENT_3D('',#541677,#445047,#445048); #359277=AXIS2_PLACEMENT_3D('',#541680,#445050,#445051); #359278=AXIS2_PLACEMENT_3D('',#541681,#445052,#445053); #359279=AXIS2_PLACEMENT_3D('',#541683,#445054,#445055); #359280=AXIS2_PLACEMENT_3D('',#541686,#445057,#445058); #359281=AXIS2_PLACEMENT_3D('',#541687,#445059,#445060); #359282=AXIS2_PLACEMENT_3D('',#541689,#445061,#445062); #359283=AXIS2_PLACEMENT_3D('',#541692,#445064,#445065); #359284=AXIS2_PLACEMENT_3D('',#541693,#445066,#445067); #359285=AXIS2_PLACEMENT_3D('',#541698,#445072,#445073); #359286=AXIS2_PLACEMENT_3D('',#541700,#445075,#445076); #359287=AXIS2_PLACEMENT_3D('',#541706,#445080,#445081); #359288=AXIS2_PLACEMENT_3D('',#541712,#445085,#445086); #359289=AXIS2_PLACEMENT_3D('',#541714,#445088,#445089); #359290=AXIS2_PLACEMENT_3D('',#541716,#445091,#445092); #359291=AXIS2_PLACEMENT_3D('',#541718,#445094,#445095); #359292=AXIS2_PLACEMENT_3D('',#541720,#445097,#445098); #359293=AXIS2_PLACEMENT_3D('',#541722,#445100,#445101); #359294=AXIS2_PLACEMENT_3D('',#541728,#445105,#445106); #359295=AXIS2_PLACEMENT_3D('',#541734,#445110,#445111); #359296=AXIS2_PLACEMENT_3D('',#541736,#445113,#445114); #359297=AXIS2_PLACEMENT_3D('',#541738,#445116,#445117); #359298=AXIS2_PLACEMENT_3D('',#541739,#445118,#445119); #359299=AXIS2_PLACEMENT_3D('',#541740,#445120,#445121); #359300=AXIS2_PLACEMENT_3D('',#541742,#445123,#445124); #359301=AXIS2_PLACEMENT_3D('',#541743,#445125,#445126); #359302=AXIS2_PLACEMENT_3D('',#541745,#445128,#445129); #359303=AXIS2_PLACEMENT_3D('',#541747,#445131,#445132); #359304=AXIS2_PLACEMENT_3D('',#541749,#445134,#445135); #359305=AXIS2_PLACEMENT_3D('',#541750,#445136,#445137); #359306=AXIS2_PLACEMENT_3D('',#541751,#445138,#445139); #359307=AXIS2_PLACEMENT_3D('',#541752,#445140,#445141); #359308=AXIS2_PLACEMENT_3D('',#541753,#445142,#445143); #359309=AXIS2_PLACEMENT_3D('',#541754,#445144,#445145); #359310=AXIS2_PLACEMENT_3D('',#541755,#445146,#445147); #359311=AXIS2_PLACEMENT_3D('',#541756,#445148,#445149); #359312=AXIS2_PLACEMENT_3D('',#541757,#445150,#445151); #359313=AXIS2_PLACEMENT_3D('',#541759,#445152,#445153); #359314=AXIS2_PLACEMENT_3D('',#541762,#445155,#445156); #359315=AXIS2_PLACEMENT_3D('',#541763,#445157,#445158); #359316=AXIS2_PLACEMENT_3D('',#541764,#445159,#445160); #359317=AXIS2_PLACEMENT_3D('',#541773,#445165,#445166); #359318=AXIS2_PLACEMENT_3D('',#541779,#445170,#445171); #359319=AXIS2_PLACEMENT_3D('',#541785,#445175,#445176); #359320=AXIS2_PLACEMENT_3D('',#541788,#445179,#445180); #359321=AXIS2_PLACEMENT_3D('',#541794,#445184,#445185); #359322=AXIS2_PLACEMENT_3D('',#541798,#445188,#445189); #359323=AXIS2_PLACEMENT_3D('',#541799,#445190,#445191); #359324=AXIS2_PLACEMENT_3D('',#541803,#445194,#445195); #359325=AXIS2_PLACEMENT_3D('',#541805,#445197,#445198); #359326=AXIS2_PLACEMENT_3D('',#541806,#445199,#445200); #359327=AXIS2_PLACEMENT_3D('',#541809,#445201,#445202); #359328=AXIS2_PLACEMENT_3D('',#541815,#445206,#445207); #359329=AXIS2_PLACEMENT_3D('',#541819,#445209,#445210); #359330=AXIS2_PLACEMENT_3D('',#541821,#445212,#445213); #359331=AXIS2_PLACEMENT_3D('',#541830,#445218,#445219); #359332=AXIS2_PLACEMENT_3D('',#541833,#445222,#445223); #359333=AXIS2_PLACEMENT_3D('',#541839,#445227,#445228); #359334=AXIS2_PLACEMENT_3D('',#541842,#445231,#445232); #359335=AXIS2_PLACEMENT_3D('',#541843,#445233,#445234); #359336=AXIS2_PLACEMENT_3D('',#541844,#445235,#445236); #359337=AXIS2_PLACEMENT_3D('',#541847,#445237,#445238); #359338=AXIS2_PLACEMENT_3D('',#541853,#445242,#445243); #359339=AXIS2_PLACEMENT_3D('',#541857,#445245,#445246); #359340=AXIS2_PLACEMENT_3D('',#541859,#445248,#445249); #359341=AXIS2_PLACEMENT_3D('',#541868,#445254,#445255); #359342=AXIS2_PLACEMENT_3D('',#541871,#445258,#445259); #359343=AXIS2_PLACEMENT_3D('',#541877,#445263,#445264); #359344=AXIS2_PLACEMENT_3D('',#541880,#445267,#445268); #359345=AXIS2_PLACEMENT_3D('',#541881,#445269,#445270); #359346=AXIS2_PLACEMENT_3D('',#541882,#445271,#445272); #359347=AXIS2_PLACEMENT_3D('',#541885,#445273,#445274); #359348=AXIS2_PLACEMENT_3D('',#541891,#445278,#445279); #359349=AXIS2_PLACEMENT_3D('',#541895,#445281,#445282); #359350=AXIS2_PLACEMENT_3D('',#541897,#445284,#445285); #359351=AXIS2_PLACEMENT_3D('',#541906,#445290,#445291); #359352=AXIS2_PLACEMENT_3D('',#541909,#445294,#445295); #359353=AXIS2_PLACEMENT_3D('',#541915,#445299,#445300); #359354=AXIS2_PLACEMENT_3D('',#541918,#445303,#445304); #359355=AXIS2_PLACEMENT_3D('',#541919,#445305,#445306); #359356=AXIS2_PLACEMENT_3D('',#541920,#445307,#445308); #359357=AXIS2_PLACEMENT_3D('',#541923,#445309,#445310); #359358=AXIS2_PLACEMENT_3D('',#541929,#445314,#445315); #359359=AXIS2_PLACEMENT_3D('',#541933,#445317,#445318); #359360=AXIS2_PLACEMENT_3D('',#541935,#445320,#445321); #359361=AXIS2_PLACEMENT_3D('',#541944,#445326,#445327); #359362=AXIS2_PLACEMENT_3D('',#541947,#445330,#445331); #359363=AXIS2_PLACEMENT_3D('',#541953,#445335,#445336); #359364=AXIS2_PLACEMENT_3D('',#541956,#445339,#445340); #359365=AXIS2_PLACEMENT_3D('',#541957,#445341,#445342); #359366=AXIS2_PLACEMENT_3D('',#541958,#445343,#445344); #359367=AXIS2_PLACEMENT_3D('',#541961,#445345,#445346); #359368=AXIS2_PLACEMENT_3D('',#541967,#445350,#445351); #359369=AXIS2_PLACEMENT_3D('',#541971,#445353,#445354); #359370=AXIS2_PLACEMENT_3D('',#541973,#445356,#445357); #359371=AXIS2_PLACEMENT_3D('',#541982,#445362,#445363); #359372=AXIS2_PLACEMENT_3D('',#541985,#445366,#445367); #359373=AXIS2_PLACEMENT_3D('',#541991,#445371,#445372); #359374=AXIS2_PLACEMENT_3D('',#541994,#445375,#445376); #359375=AXIS2_PLACEMENT_3D('',#541995,#445377,#445378); #359376=AXIS2_PLACEMENT_3D('',#541996,#445379,#445380); #359377=AXIS2_PLACEMENT_3D('',#541999,#445381,#445382); #359378=AXIS2_PLACEMENT_3D('',#542005,#445386,#445387); #359379=AXIS2_PLACEMENT_3D('',#542009,#445389,#445390); #359380=AXIS2_PLACEMENT_3D('',#542011,#445392,#445393); #359381=AXIS2_PLACEMENT_3D('',#542020,#445398,#445399); #359382=AXIS2_PLACEMENT_3D('',#542023,#445402,#445403); #359383=AXIS2_PLACEMENT_3D('',#542029,#445407,#445408); #359384=AXIS2_PLACEMENT_3D('',#542032,#445411,#445412); #359385=AXIS2_PLACEMENT_3D('',#542033,#445413,#445414); #359386=AXIS2_PLACEMENT_3D('',#542034,#445415,#445416); #359387=AXIS2_PLACEMENT_3D('',#542037,#445417,#445418); #359388=AXIS2_PLACEMENT_3D('',#542043,#445422,#445423); #359389=AXIS2_PLACEMENT_3D('',#542047,#445425,#445426); #359390=AXIS2_PLACEMENT_3D('',#542049,#445428,#445429); #359391=AXIS2_PLACEMENT_3D('',#542058,#445434,#445435); #359392=AXIS2_PLACEMENT_3D('',#542061,#445438,#445439); #359393=AXIS2_PLACEMENT_3D('',#542067,#445443,#445444); #359394=AXIS2_PLACEMENT_3D('',#542070,#445447,#445448); #359395=AXIS2_PLACEMENT_3D('',#542071,#445449,#445450); #359396=AXIS2_PLACEMENT_3D('',#542072,#445451,#445452); #359397=AXIS2_PLACEMENT_3D('',#542075,#445453,#445454); #359398=AXIS2_PLACEMENT_3D('',#542081,#445458,#445459); #359399=AXIS2_PLACEMENT_3D('',#542085,#445461,#445462); #359400=AXIS2_PLACEMENT_3D('',#542087,#445464,#445465); #359401=AXIS2_PLACEMENT_3D('',#542096,#445470,#445471); #359402=AXIS2_PLACEMENT_3D('',#542099,#445474,#445475); #359403=AXIS2_PLACEMENT_3D('',#542105,#445479,#445480); #359404=AXIS2_PLACEMENT_3D('',#542108,#445483,#445484); #359405=AXIS2_PLACEMENT_3D('',#542109,#445485,#445486); #359406=AXIS2_PLACEMENT_3D('',#542110,#445487,#445488); #359407=AXIS2_PLACEMENT_3D('',#542113,#445489,#445490); #359408=AXIS2_PLACEMENT_3D('',#542119,#445494,#445495); #359409=AXIS2_PLACEMENT_3D('',#542123,#445497,#445498); #359410=AXIS2_PLACEMENT_3D('',#542125,#445500,#445501); #359411=AXIS2_PLACEMENT_3D('',#542134,#445506,#445507); #359412=AXIS2_PLACEMENT_3D('',#542137,#445510,#445511); #359413=AXIS2_PLACEMENT_3D('',#542143,#445515,#445516); #359414=AXIS2_PLACEMENT_3D('',#542146,#445519,#445520); #359415=AXIS2_PLACEMENT_3D('',#542147,#445521,#445522); #359416=AXIS2_PLACEMENT_3D('',#542148,#445523,#445524); #359417=AXIS2_PLACEMENT_3D('',#542151,#445525,#445526); #359418=AXIS2_PLACEMENT_3D('',#542157,#445530,#445531); #359419=AXIS2_PLACEMENT_3D('',#542161,#445533,#445534); #359420=AXIS2_PLACEMENT_3D('',#542163,#445536,#445537); #359421=AXIS2_PLACEMENT_3D('',#542172,#445542,#445543); #359422=AXIS2_PLACEMENT_3D('',#542175,#445546,#445547); #359423=AXIS2_PLACEMENT_3D('',#542181,#445551,#445552); #359424=AXIS2_PLACEMENT_3D('',#542184,#445555,#445556); #359425=AXIS2_PLACEMENT_3D('',#542185,#445557,#445558); #359426=AXIS2_PLACEMENT_3D('',#542186,#445559,#445560); #359427=AXIS2_PLACEMENT_3D('',#542189,#445561,#445562); #359428=AXIS2_PLACEMENT_3D('',#542195,#445566,#445567); #359429=AXIS2_PLACEMENT_3D('',#542199,#445569,#445570); #359430=AXIS2_PLACEMENT_3D('',#542201,#445572,#445573); #359431=AXIS2_PLACEMENT_3D('',#542210,#445578,#445579); #359432=AXIS2_PLACEMENT_3D('',#542213,#445582,#445583); #359433=AXIS2_PLACEMENT_3D('',#542219,#445587,#445588); #359434=AXIS2_PLACEMENT_3D('',#542222,#445591,#445592); #359435=AXIS2_PLACEMENT_3D('',#542223,#445593,#445594); #359436=AXIS2_PLACEMENT_3D('',#542224,#445595,#445596); #359437=AXIS2_PLACEMENT_3D('',#542227,#445597,#445598); #359438=AXIS2_PLACEMENT_3D('',#542233,#445602,#445603); #359439=AXIS2_PLACEMENT_3D('',#542237,#445605,#445606); #359440=AXIS2_PLACEMENT_3D('',#542239,#445608,#445609); #359441=AXIS2_PLACEMENT_3D('',#542248,#445614,#445615); #359442=AXIS2_PLACEMENT_3D('',#542251,#445618,#445619); #359443=AXIS2_PLACEMENT_3D('',#542257,#445623,#445624); #359444=AXIS2_PLACEMENT_3D('',#542260,#445627,#445628); #359445=AXIS2_PLACEMENT_3D('',#542261,#445629,#445630); #359446=AXIS2_PLACEMENT_3D('',#542262,#445631,#445632); #359447=AXIS2_PLACEMENT_3D('',#542265,#445633,#445634); #359448=AXIS2_PLACEMENT_3D('',#542271,#445638,#445639); #359449=AXIS2_PLACEMENT_3D('',#542275,#445641,#445642); #359450=AXIS2_PLACEMENT_3D('',#542277,#445644,#445645); #359451=AXIS2_PLACEMENT_3D('',#542286,#445650,#445651); #359452=AXIS2_PLACEMENT_3D('',#542289,#445654,#445655); #359453=AXIS2_PLACEMENT_3D('',#542295,#445659,#445660); #359454=AXIS2_PLACEMENT_3D('',#542298,#445663,#445664); #359455=AXIS2_PLACEMENT_3D('',#542299,#445665,#445666); #359456=AXIS2_PLACEMENT_3D('',#542300,#445667,#445668); #359457=AXIS2_PLACEMENT_3D('',#542303,#445669,#445670); #359458=AXIS2_PLACEMENT_3D('',#542309,#445674,#445675); #359459=AXIS2_PLACEMENT_3D('',#542313,#445677,#445678); #359460=AXIS2_PLACEMENT_3D('',#542315,#445680,#445681); #359461=AXIS2_PLACEMENT_3D('',#542324,#445686,#445687); #359462=AXIS2_PLACEMENT_3D('',#542327,#445690,#445691); #359463=AXIS2_PLACEMENT_3D('',#542333,#445695,#445696); #359464=AXIS2_PLACEMENT_3D('',#542336,#445699,#445700); #359465=AXIS2_PLACEMENT_3D('',#542337,#445701,#445702); #359466=AXIS2_PLACEMENT_3D('',#542338,#445703,#445704); #359467=AXIS2_PLACEMENT_3D('',#542341,#445705,#445706); #359468=AXIS2_PLACEMENT_3D('',#542347,#445710,#445711); #359469=AXIS2_PLACEMENT_3D('',#542351,#445713,#445714); #359470=AXIS2_PLACEMENT_3D('',#542353,#445716,#445717); #359471=AXIS2_PLACEMENT_3D('',#542362,#445722,#445723); #359472=AXIS2_PLACEMENT_3D('',#542365,#445726,#445727); #359473=AXIS2_PLACEMENT_3D('',#542371,#445731,#445732); #359474=AXIS2_PLACEMENT_3D('',#542374,#445735,#445736); #359475=AXIS2_PLACEMENT_3D('',#542375,#445737,#445738); #359476=AXIS2_PLACEMENT_3D('',#542376,#445739,#445740); #359477=AXIS2_PLACEMENT_3D('',#542379,#445741,#445742); #359478=AXIS2_PLACEMENT_3D('',#542385,#445746,#445747); #359479=AXIS2_PLACEMENT_3D('',#542389,#445749,#445750); #359480=AXIS2_PLACEMENT_3D('',#542391,#445752,#445753); #359481=AXIS2_PLACEMENT_3D('',#542400,#445758,#445759); #359482=AXIS2_PLACEMENT_3D('',#542403,#445762,#445763); #359483=AXIS2_PLACEMENT_3D('',#542409,#445767,#445768); #359484=AXIS2_PLACEMENT_3D('',#542412,#445771,#445772); #359485=AXIS2_PLACEMENT_3D('',#542413,#445773,#445774); #359486=AXIS2_PLACEMENT_3D('',#542414,#445775,#445776); #359487=AXIS2_PLACEMENT_3D('',#542417,#445777,#445778); #359488=AXIS2_PLACEMENT_3D('',#542423,#445782,#445783); #359489=AXIS2_PLACEMENT_3D('',#542427,#445785,#445786); #359490=AXIS2_PLACEMENT_3D('',#542429,#445788,#445789); #359491=AXIS2_PLACEMENT_3D('',#542438,#445794,#445795); #359492=AXIS2_PLACEMENT_3D('',#542441,#445798,#445799); #359493=AXIS2_PLACEMENT_3D('',#542447,#445803,#445804); #359494=AXIS2_PLACEMENT_3D('',#542450,#445807,#445808); #359495=AXIS2_PLACEMENT_3D('',#542451,#445809,#445810); #359496=AXIS2_PLACEMENT_3D('',#542452,#445811,#445812); #359497=AXIS2_PLACEMENT_3D('',#542455,#445813,#445814); #359498=AXIS2_PLACEMENT_3D('',#542461,#445818,#445819); #359499=AXIS2_PLACEMENT_3D('',#542465,#445821,#445822); #359500=AXIS2_PLACEMENT_3D('',#542467,#445824,#445825); #359501=AXIS2_PLACEMENT_3D('',#542476,#445830,#445831); #359502=AXIS2_PLACEMENT_3D('',#542479,#445834,#445835); #359503=AXIS2_PLACEMENT_3D('',#542485,#445839,#445840); #359504=AXIS2_PLACEMENT_3D('',#542488,#445843,#445844); #359505=AXIS2_PLACEMENT_3D('',#542489,#445845,#445846); #359506=AXIS2_PLACEMENT_3D('',#542490,#445847,#445848); #359507=AXIS2_PLACEMENT_3D('',#542493,#445849,#445850); #359508=AXIS2_PLACEMENT_3D('',#542499,#445854,#445855); #359509=AXIS2_PLACEMENT_3D('',#542503,#445857,#445858); #359510=AXIS2_PLACEMENT_3D('',#542505,#445860,#445861); #359511=AXIS2_PLACEMENT_3D('',#542514,#445866,#445867); #359512=AXIS2_PLACEMENT_3D('',#542517,#445870,#445871); #359513=AXIS2_PLACEMENT_3D('',#542523,#445875,#445876); #359514=AXIS2_PLACEMENT_3D('',#542526,#445879,#445880); #359515=AXIS2_PLACEMENT_3D('',#542527,#445881,#445882); #359516=AXIS2_PLACEMENT_3D('',#542528,#445883,#445884); #359517=AXIS2_PLACEMENT_3D('',#542531,#445885,#445886); #359518=AXIS2_PLACEMENT_3D('',#542537,#445890,#445891); #359519=AXIS2_PLACEMENT_3D('',#542541,#445893,#445894); #359520=AXIS2_PLACEMENT_3D('',#542543,#445896,#445897); #359521=AXIS2_PLACEMENT_3D('',#542552,#445902,#445903); #359522=AXIS2_PLACEMENT_3D('',#542555,#445906,#445907); #359523=AXIS2_PLACEMENT_3D('',#542561,#445911,#445912); #359524=AXIS2_PLACEMENT_3D('',#542564,#445915,#445916); #359525=AXIS2_PLACEMENT_3D('',#542565,#445917,#445918); #359526=AXIS2_PLACEMENT_3D('',#542566,#445919,#445920); #359527=AXIS2_PLACEMENT_3D('',#542569,#445921,#445922); #359528=AXIS2_PLACEMENT_3D('',#542575,#445926,#445927); #359529=AXIS2_PLACEMENT_3D('',#542579,#445929,#445930); #359530=AXIS2_PLACEMENT_3D('',#542581,#445932,#445933); #359531=AXIS2_PLACEMENT_3D('',#542590,#445938,#445939); #359532=AXIS2_PLACEMENT_3D('',#542593,#445942,#445943); #359533=AXIS2_PLACEMENT_3D('',#542599,#445947,#445948); #359534=AXIS2_PLACEMENT_3D('',#542602,#445951,#445952); #359535=AXIS2_PLACEMENT_3D('',#542603,#445953,#445954); #359536=AXIS2_PLACEMENT_3D('',#542604,#445955,#445956); #359537=AXIS2_PLACEMENT_3D('',#542607,#445957,#445958); #359538=AXIS2_PLACEMENT_3D('',#542613,#445962,#445963); #359539=AXIS2_PLACEMENT_3D('',#542617,#445965,#445966); #359540=AXIS2_PLACEMENT_3D('',#542619,#445968,#445969); #359541=AXIS2_PLACEMENT_3D('',#542628,#445974,#445975); #359542=AXIS2_PLACEMENT_3D('',#542631,#445978,#445979); #359543=AXIS2_PLACEMENT_3D('',#542637,#445983,#445984); #359544=AXIS2_PLACEMENT_3D('',#542640,#445987,#445988); #359545=AXIS2_PLACEMENT_3D('',#542641,#445989,#445990); #359546=AXIS2_PLACEMENT_3D('',#542642,#445991,#445992); #359547=AXIS2_PLACEMENT_3D('',#542645,#445993,#445994); #359548=AXIS2_PLACEMENT_3D('',#542651,#445998,#445999); #359549=AXIS2_PLACEMENT_3D('',#542655,#446001,#446002); #359550=AXIS2_PLACEMENT_3D('',#542657,#446004,#446005); #359551=AXIS2_PLACEMENT_3D('',#542666,#446010,#446011); #359552=AXIS2_PLACEMENT_3D('',#542669,#446014,#446015); #359553=AXIS2_PLACEMENT_3D('',#542675,#446019,#446020); #359554=AXIS2_PLACEMENT_3D('',#542678,#446023,#446024); #359555=AXIS2_PLACEMENT_3D('',#542679,#446025,#446026); #359556=AXIS2_PLACEMENT_3D('',#542680,#446027,#446028); #359557=AXIS2_PLACEMENT_3D('',#542683,#446029,#446030); #359558=AXIS2_PLACEMENT_3D('',#542689,#446034,#446035); #359559=AXIS2_PLACEMENT_3D('',#542693,#446037,#446038); #359560=AXIS2_PLACEMENT_3D('',#542695,#446040,#446041); #359561=AXIS2_PLACEMENT_3D('',#542704,#446046,#446047); #359562=AXIS2_PLACEMENT_3D('',#542707,#446050,#446051); #359563=AXIS2_PLACEMENT_3D('',#542713,#446055,#446056); #359564=AXIS2_PLACEMENT_3D('',#542716,#446059,#446060); #359565=AXIS2_PLACEMENT_3D('',#542717,#446061,#446062); #359566=AXIS2_PLACEMENT_3D('',#542718,#446063,#446064); #359567=AXIS2_PLACEMENT_3D('',#542721,#446065,#446066); #359568=AXIS2_PLACEMENT_3D('',#542727,#446070,#446071); #359569=AXIS2_PLACEMENT_3D('',#542730,#446072,#446073); #359570=AXIS2_PLACEMENT_3D('',#542733,#446076,#446077); #359571=AXIS2_PLACEMENT_3D('',#542739,#446081,#446082); #359572=AXIS2_PLACEMENT_3D('',#542745,#446086,#446087); #359573=AXIS2_PLACEMENT_3D('',#542751,#446091,#446092); #359574=AXIS2_PLACEMENT_3D('',#542754,#446095,#446096); #359575=AXIS2_PLACEMENT_3D('',#542755,#446097,#446098); #359576=AXIS2_PLACEMENT_3D('',#542756,#446099,#446100); #359577=AXIS2_PLACEMENT_3D('',#542759,#446101,#446102); #359578=AXIS2_PLACEMENT_3D('',#542765,#446106,#446107); #359579=AXIS2_PLACEMENT_3D('',#542768,#446108,#446109); #359580=AXIS2_PLACEMENT_3D('',#542771,#446112,#446113); #359581=AXIS2_PLACEMENT_3D('',#542777,#446117,#446118); #359582=AXIS2_PLACEMENT_3D('',#542783,#446122,#446123); #359583=AXIS2_PLACEMENT_3D('',#542789,#446127,#446128); #359584=AXIS2_PLACEMENT_3D('',#542792,#446131,#446132); #359585=AXIS2_PLACEMENT_3D('',#542793,#446133,#446134); #359586=AXIS2_PLACEMENT_3D('',#542794,#446135,#446136); #359587=AXIS2_PLACEMENT_3D('',#542797,#446137,#446138); #359588=AXIS2_PLACEMENT_3D('',#542803,#446142,#446143); #359589=AXIS2_PLACEMENT_3D('',#542806,#446144,#446145); #359590=AXIS2_PLACEMENT_3D('',#542809,#446148,#446149); #359591=AXIS2_PLACEMENT_3D('',#542815,#446153,#446154); #359592=AXIS2_PLACEMENT_3D('',#542821,#446158,#446159); #359593=AXIS2_PLACEMENT_3D('',#542827,#446163,#446164); #359594=AXIS2_PLACEMENT_3D('',#542830,#446167,#446168); #359595=AXIS2_PLACEMENT_3D('',#542831,#446169,#446170); #359596=AXIS2_PLACEMENT_3D('',#542832,#446171,#446172); #359597=AXIS2_PLACEMENT_3D('',#542835,#446173,#446174); #359598=AXIS2_PLACEMENT_3D('',#542841,#446178,#446179); #359599=AXIS2_PLACEMENT_3D('',#542844,#446180,#446181); #359600=AXIS2_PLACEMENT_3D('',#542847,#446184,#446185); #359601=AXIS2_PLACEMENT_3D('',#542853,#446189,#446190); #359602=AXIS2_PLACEMENT_3D('',#542859,#446194,#446195); #359603=AXIS2_PLACEMENT_3D('',#542865,#446199,#446200); #359604=AXIS2_PLACEMENT_3D('',#542868,#446203,#446204); #359605=AXIS2_PLACEMENT_3D('',#542869,#446205,#446206); #359606=AXIS2_PLACEMENT_3D('',#542870,#446207,#446208); #359607=AXIS2_PLACEMENT_3D('',#542873,#446209,#446210); #359608=AXIS2_PLACEMENT_3D('',#542879,#446214,#446215); #359609=AXIS2_PLACEMENT_3D('',#542882,#446216,#446217); #359610=AXIS2_PLACEMENT_3D('',#542885,#446220,#446221); #359611=AXIS2_PLACEMENT_3D('',#542891,#446225,#446226); #359612=AXIS2_PLACEMENT_3D('',#542897,#446230,#446231); #359613=AXIS2_PLACEMENT_3D('',#542903,#446235,#446236); #359614=AXIS2_PLACEMENT_3D('',#542906,#446239,#446240); #359615=AXIS2_PLACEMENT_3D('',#542907,#446241,#446242); #359616=AXIS2_PLACEMENT_3D('',#542908,#446243,#446244); #359617=AXIS2_PLACEMENT_3D('',#542911,#446245,#446246); #359618=AXIS2_PLACEMENT_3D('',#542917,#446250,#446251); #359619=AXIS2_PLACEMENT_3D('',#542920,#446252,#446253); #359620=AXIS2_PLACEMENT_3D('',#542923,#446256,#446257); #359621=AXIS2_PLACEMENT_3D('',#542929,#446261,#446262); #359622=AXIS2_PLACEMENT_3D('',#542935,#446266,#446267); #359623=AXIS2_PLACEMENT_3D('',#542941,#446271,#446272); #359624=AXIS2_PLACEMENT_3D('',#542944,#446275,#446276); #359625=AXIS2_PLACEMENT_3D('',#542945,#446277,#446278); #359626=AXIS2_PLACEMENT_3D('',#542946,#446279,#446280); #359627=AXIS2_PLACEMENT_3D('',#542949,#446281,#446282); #359628=AXIS2_PLACEMENT_3D('',#542955,#446286,#446287); #359629=AXIS2_PLACEMENT_3D('',#542958,#446288,#446289); #359630=AXIS2_PLACEMENT_3D('',#542961,#446292,#446293); #359631=AXIS2_PLACEMENT_3D('',#542967,#446297,#446298); #359632=AXIS2_PLACEMENT_3D('',#542973,#446302,#446303); #359633=AXIS2_PLACEMENT_3D('',#542979,#446307,#446308); #359634=AXIS2_PLACEMENT_3D('',#542982,#446311,#446312); #359635=AXIS2_PLACEMENT_3D('',#542983,#446313,#446314); #359636=AXIS2_PLACEMENT_3D('',#542984,#446315,#446316); #359637=AXIS2_PLACEMENT_3D('',#542987,#446317,#446318); #359638=AXIS2_PLACEMENT_3D('',#542993,#446322,#446323); #359639=AXIS2_PLACEMENT_3D('',#542996,#446324,#446325); #359640=AXIS2_PLACEMENT_3D('',#542999,#446328,#446329); #359641=AXIS2_PLACEMENT_3D('',#543005,#446333,#446334); #359642=AXIS2_PLACEMENT_3D('',#543011,#446338,#446339); #359643=AXIS2_PLACEMENT_3D('',#543017,#446343,#446344); #359644=AXIS2_PLACEMENT_3D('',#543020,#446347,#446348); #359645=AXIS2_PLACEMENT_3D('',#543021,#446349,#446350); #359646=AXIS2_PLACEMENT_3D('',#543022,#446351,#446352); #359647=AXIS2_PLACEMENT_3D('',#543025,#446353,#446354); #359648=AXIS2_PLACEMENT_3D('',#543031,#446358,#446359); #359649=AXIS2_PLACEMENT_3D('',#543034,#446360,#446361); #359650=AXIS2_PLACEMENT_3D('',#543037,#446364,#446365); #359651=AXIS2_PLACEMENT_3D('',#543043,#446369,#446370); #359652=AXIS2_PLACEMENT_3D('',#543049,#446374,#446375); #359653=AXIS2_PLACEMENT_3D('',#543055,#446379,#446380); #359654=AXIS2_PLACEMENT_3D('',#543058,#446383,#446384); #359655=AXIS2_PLACEMENT_3D('',#543059,#446385,#446386); #359656=AXIS2_PLACEMENT_3D('',#543060,#446387,#446388); #359657=AXIS2_PLACEMENT_3D('',#543063,#446389,#446390); #359658=AXIS2_PLACEMENT_3D('',#543069,#446394,#446395); #359659=AXIS2_PLACEMENT_3D('',#543072,#446396,#446397); #359660=AXIS2_PLACEMENT_3D('',#543075,#446400,#446401); #359661=AXIS2_PLACEMENT_3D('',#543081,#446405,#446406); #359662=AXIS2_PLACEMENT_3D('',#543087,#446410,#446411); #359663=AXIS2_PLACEMENT_3D('',#543093,#446415,#446416); #359664=AXIS2_PLACEMENT_3D('',#543096,#446419,#446420); #359665=AXIS2_PLACEMENT_3D('',#543097,#446421,#446422); #359666=AXIS2_PLACEMENT_3D('',#543098,#446423,#446424); #359667=AXIS2_PLACEMENT_3D('',#543101,#446425,#446426); #359668=AXIS2_PLACEMENT_3D('',#543107,#446430,#446431); #359669=AXIS2_PLACEMENT_3D('',#543110,#446432,#446433); #359670=AXIS2_PLACEMENT_3D('',#543113,#446436,#446437); #359671=AXIS2_PLACEMENT_3D('',#543119,#446441,#446442); #359672=AXIS2_PLACEMENT_3D('',#543125,#446446,#446447); #359673=AXIS2_PLACEMENT_3D('',#543131,#446451,#446452); #359674=AXIS2_PLACEMENT_3D('',#543134,#446455,#446456); #359675=AXIS2_PLACEMENT_3D('',#543135,#446457,#446458); #359676=AXIS2_PLACEMENT_3D('',#543136,#446459,#446460); #359677=AXIS2_PLACEMENT_3D('',#543139,#446461,#446462); #359678=AXIS2_PLACEMENT_3D('',#543145,#446466,#446467); #359679=AXIS2_PLACEMENT_3D('',#543148,#446468,#446469); #359680=AXIS2_PLACEMENT_3D('',#543151,#446472,#446473); #359681=AXIS2_PLACEMENT_3D('',#543157,#446477,#446478); #359682=AXIS2_PLACEMENT_3D('',#543163,#446482,#446483); #359683=AXIS2_PLACEMENT_3D('',#543169,#446487,#446488); #359684=AXIS2_PLACEMENT_3D('',#543172,#446491,#446492); #359685=AXIS2_PLACEMENT_3D('',#543173,#446493,#446494); #359686=AXIS2_PLACEMENT_3D('',#543174,#446495,#446496); #359687=AXIS2_PLACEMENT_3D('',#543177,#446497,#446498); #359688=AXIS2_PLACEMENT_3D('',#543183,#446502,#446503); #359689=AXIS2_PLACEMENT_3D('',#543186,#446504,#446505); #359690=AXIS2_PLACEMENT_3D('',#543189,#446508,#446509); #359691=AXIS2_PLACEMENT_3D('',#543195,#446513,#446514); #359692=AXIS2_PLACEMENT_3D('',#543201,#446518,#446519); #359693=AXIS2_PLACEMENT_3D('',#543207,#446523,#446524); #359694=AXIS2_PLACEMENT_3D('',#543210,#446527,#446528); #359695=AXIS2_PLACEMENT_3D('',#543211,#446529,#446530); #359696=AXIS2_PLACEMENT_3D('',#543212,#446531,#446532); #359697=AXIS2_PLACEMENT_3D('',#543215,#446533,#446534); #359698=AXIS2_PLACEMENT_3D('',#543221,#446538,#446539); #359699=AXIS2_PLACEMENT_3D('',#543224,#446540,#446541); #359700=AXIS2_PLACEMENT_3D('',#543227,#446544,#446545); #359701=AXIS2_PLACEMENT_3D('',#543233,#446549,#446550); #359702=AXIS2_PLACEMENT_3D('',#543239,#446554,#446555); #359703=AXIS2_PLACEMENT_3D('',#543245,#446559,#446560); #359704=AXIS2_PLACEMENT_3D('',#543248,#446563,#446564); #359705=AXIS2_PLACEMENT_3D('',#543249,#446565,#446566); #359706=AXIS2_PLACEMENT_3D('',#543250,#446567,#446568); #359707=AXIS2_PLACEMENT_3D('',#543253,#446569,#446570); #359708=AXIS2_PLACEMENT_3D('',#543259,#446574,#446575); #359709=AXIS2_PLACEMENT_3D('',#543262,#446576,#446577); #359710=AXIS2_PLACEMENT_3D('',#543265,#446580,#446581); #359711=AXIS2_PLACEMENT_3D('',#543271,#446585,#446586); #359712=AXIS2_PLACEMENT_3D('',#543277,#446590,#446591); #359713=AXIS2_PLACEMENT_3D('',#543283,#446595,#446596); #359714=AXIS2_PLACEMENT_3D('',#543286,#446599,#446600); #359715=AXIS2_PLACEMENT_3D('',#543287,#446601,#446602); #359716=AXIS2_PLACEMENT_3D('',#543288,#446603,#446604); #359717=AXIS2_PLACEMENT_3D('',#543291,#446605,#446606); #359718=AXIS2_PLACEMENT_3D('',#543297,#446610,#446611); #359719=AXIS2_PLACEMENT_3D('',#543300,#446612,#446613); #359720=AXIS2_PLACEMENT_3D('',#543303,#446616,#446617); #359721=AXIS2_PLACEMENT_3D('',#543309,#446621,#446622); #359722=AXIS2_PLACEMENT_3D('',#543315,#446626,#446627); #359723=AXIS2_PLACEMENT_3D('',#543321,#446631,#446632); #359724=AXIS2_PLACEMENT_3D('',#543324,#446635,#446636); #359725=AXIS2_PLACEMENT_3D('',#543325,#446637,#446638); #359726=AXIS2_PLACEMENT_3D('',#543326,#446639,#446640); #359727=AXIS2_PLACEMENT_3D('',#543329,#446641,#446642); #359728=AXIS2_PLACEMENT_3D('',#543335,#446646,#446647); #359729=AXIS2_PLACEMENT_3D('',#543338,#446648,#446649); #359730=AXIS2_PLACEMENT_3D('',#543341,#446652,#446653); #359731=AXIS2_PLACEMENT_3D('',#543347,#446657,#446658); #359732=AXIS2_PLACEMENT_3D('',#543353,#446662,#446663); #359733=AXIS2_PLACEMENT_3D('',#543359,#446667,#446668); #359734=AXIS2_PLACEMENT_3D('',#543362,#446671,#446672); #359735=AXIS2_PLACEMENT_3D('',#543363,#446673,#446674); #359736=AXIS2_PLACEMENT_3D('',#543364,#446675,#446676); #359737=AXIS2_PLACEMENT_3D('',#543367,#446677,#446678); #359738=AXIS2_PLACEMENT_3D('',#543373,#446682,#446683); #359739=AXIS2_PLACEMENT_3D('',#543376,#446684,#446685); #359740=AXIS2_PLACEMENT_3D('',#543379,#446688,#446689); #359741=AXIS2_PLACEMENT_3D('',#543385,#446693,#446694); #359742=AXIS2_PLACEMENT_3D('',#543391,#446698,#446699); #359743=AXIS2_PLACEMENT_3D('',#543397,#446703,#446704); #359744=AXIS2_PLACEMENT_3D('',#543400,#446707,#446708); #359745=AXIS2_PLACEMENT_3D('',#543401,#446709,#446710); #359746=AXIS2_PLACEMENT_3D('',#543402,#446711,#446712); #359747=AXIS2_PLACEMENT_3D('',#543405,#446713,#446714); #359748=AXIS2_PLACEMENT_3D('',#543411,#446718,#446719); #359749=AXIS2_PLACEMENT_3D('',#543414,#446720,#446721); #359750=AXIS2_PLACEMENT_3D('',#543417,#446724,#446725); #359751=AXIS2_PLACEMENT_3D('',#543423,#446729,#446730); #359752=AXIS2_PLACEMENT_3D('',#543429,#446734,#446735); #359753=AXIS2_PLACEMENT_3D('',#543435,#446739,#446740); #359754=AXIS2_PLACEMENT_3D('',#543438,#446743,#446744); #359755=AXIS2_PLACEMENT_3D('',#543439,#446745,#446746); #359756=AXIS2_PLACEMENT_3D('',#543440,#446747,#446748); #359757=AXIS2_PLACEMENT_3D('',#543443,#446749,#446750); #359758=AXIS2_PLACEMENT_3D('',#543449,#446754,#446755); #359759=AXIS2_PLACEMENT_3D('',#543452,#446756,#446757); #359760=AXIS2_PLACEMENT_3D('',#543455,#446760,#446761); #359761=AXIS2_PLACEMENT_3D('',#543461,#446765,#446766); #359762=AXIS2_PLACEMENT_3D('',#543467,#446770,#446771); #359763=AXIS2_PLACEMENT_3D('',#543473,#446775,#446776); #359764=AXIS2_PLACEMENT_3D('',#543476,#446779,#446780); #359765=AXIS2_PLACEMENT_3D('',#543477,#446781,#446782); #359766=AXIS2_PLACEMENT_3D('',#543478,#446783,#446784); #359767=AXIS2_PLACEMENT_3D('',#543481,#446785,#446786); #359768=AXIS2_PLACEMENT_3D('',#543487,#446790,#446791); #359769=AXIS2_PLACEMENT_3D('',#543490,#446792,#446793); #359770=AXIS2_PLACEMENT_3D('',#543493,#446796,#446797); #359771=AXIS2_PLACEMENT_3D('',#543499,#446801,#446802); #359772=AXIS2_PLACEMENT_3D('',#543505,#446806,#446807); #359773=AXIS2_PLACEMENT_3D('',#543511,#446811,#446812); #359774=AXIS2_PLACEMENT_3D('',#543514,#446815,#446816); #359775=AXIS2_PLACEMENT_3D('',#543515,#446817,#446818); #359776=AXIS2_PLACEMENT_3D('',#543516,#446819,#446820); #359777=AXIS2_PLACEMENT_3D('',#543519,#446821,#446822); #359778=AXIS2_PLACEMENT_3D('',#543525,#446826,#446827); #359779=AXIS2_PLACEMENT_3D('',#543528,#446828,#446829); #359780=AXIS2_PLACEMENT_3D('',#543531,#446832,#446833); #359781=AXIS2_PLACEMENT_3D('',#543537,#446837,#446838); #359782=AXIS2_PLACEMENT_3D('',#543543,#446842,#446843); #359783=AXIS2_PLACEMENT_3D('',#543549,#446847,#446848); #359784=AXIS2_PLACEMENT_3D('',#543552,#446851,#446852); #359785=AXIS2_PLACEMENT_3D('',#543553,#446853,#446854); #359786=AXIS2_PLACEMENT_3D('',#543554,#446855,#446856); #359787=AXIS2_PLACEMENT_3D('',#543557,#446857,#446858); #359788=AXIS2_PLACEMENT_3D('',#543563,#446862,#446863); #359789=AXIS2_PLACEMENT_3D('',#543566,#446864,#446865); #359790=AXIS2_PLACEMENT_3D('',#543569,#446868,#446869); #359791=AXIS2_PLACEMENT_3D('',#543575,#446873,#446874); #359792=AXIS2_PLACEMENT_3D('',#543581,#446878,#446879); #359793=AXIS2_PLACEMENT_3D('',#543587,#446883,#446884); #359794=AXIS2_PLACEMENT_3D('',#543590,#446887,#446888); #359795=AXIS2_PLACEMENT_3D('',#543591,#446889,#446890); #359796=AXIS2_PLACEMENT_3D('',#543592,#446891,#446892); #359797=AXIS2_PLACEMENT_3D('',#543595,#446893,#446894); #359798=AXIS2_PLACEMENT_3D('',#543601,#446898,#446899); #359799=AXIS2_PLACEMENT_3D('',#543604,#446900,#446901); #359800=AXIS2_PLACEMENT_3D('',#543607,#446904,#446905); #359801=AXIS2_PLACEMENT_3D('',#543613,#446909,#446910); #359802=AXIS2_PLACEMENT_3D('',#543619,#446914,#446915); #359803=AXIS2_PLACEMENT_3D('',#543625,#446919,#446920); #359804=AXIS2_PLACEMENT_3D('',#543628,#446923,#446924); #359805=AXIS2_PLACEMENT_3D('',#543629,#446925,#446926); #359806=AXIS2_PLACEMENT_3D('',#543630,#446927,#446928); #359807=AXIS2_PLACEMENT_3D('',#543639,#446933,#446934); #359808=AXIS2_PLACEMENT_3D('',#543645,#446938,#446939); #359809=AXIS2_PLACEMENT_3D('',#543651,#446943,#446944); #359810=AXIS2_PLACEMENT_3D('',#543654,#446947,#446948); #359811=AXIS2_PLACEMENT_3D('',#543655,#446949,#446950); #359812=AXIS2_PLACEMENT_3D('',#543656,#446951,#446952); #359813=AXIS2_PLACEMENT_3D('',#543657,#446953,#446954); #359814=AXIS2_PLACEMENT_3D('',#543658,#446955,#446956); #359815=AXIS2_PLACEMENT_3D('',#543659,#446957,#446958); #359816=AXIS2_PLACEMENT_3D('',#543662,#446959,#446960); #359817=AXIS2_PLACEMENT_3D('',#543664,#446961,#446962); #359818=AXIS2_PLACEMENT_3D('',#543665,#446963,#446964); #359819=AXIS2_PLACEMENT_3D('',#543666,#446965,#446966); #359820=AXIS2_PLACEMENT_3D('',#543669,#446967,#446968); #359821=AXIS2_PLACEMENT_3D('',#543671,#446969,#446970); #359822=AXIS2_PLACEMENT_3D('',#543672,#446971,#446972); #359823=AXIS2_PLACEMENT_3D('',#543673,#446973,#446974); #359824=AXIS2_PLACEMENT_3D('',#543676,#446975,#446976); #359825=AXIS2_PLACEMENT_3D('',#543678,#446977,#446978); #359826=AXIS2_PLACEMENT_3D('',#543679,#446979,#446980); #359827=AXIS2_PLACEMENT_3D('',#543680,#446981,#446982); #359828=AXIS2_PLACEMENT_3D('',#543683,#446983,#446984); #359829=AXIS2_PLACEMENT_3D('',#543685,#446985,#446986); #359830=AXIS2_PLACEMENT_3D('',#543686,#446987,#446988); #359831=AXIS2_PLACEMENT_3D('',#543687,#446989,#446990); #359832=AXIS2_PLACEMENT_3D('',#543690,#446991,#446992); #359833=AXIS2_PLACEMENT_3D('',#543692,#446993,#446994); #359834=AXIS2_PLACEMENT_3D('',#543693,#446995,#446996); #359835=AXIS2_PLACEMENT_3D('',#543694,#446997,#446998); #359836=AXIS2_PLACEMENT_3D('',#543697,#447001,#447002); #359837=AXIS2_PLACEMENT_3D('',#543700,#447003,#447004); #359838=AXIS2_PLACEMENT_3D('',#543702,#447005,#447006); #359839=AXIS2_PLACEMENT_3D('',#543703,#447007,#447008); #359840=AXIS2_PLACEMENT_3D('',#543704,#447009,#447010); #359841=AXIS2_PLACEMENT_3D('',#543707,#447011,#447012); #359842=AXIS2_PLACEMENT_3D('',#543709,#447013,#447014); #359843=AXIS2_PLACEMENT_3D('',#543710,#447015,#447016); #359844=AXIS2_PLACEMENT_3D('',#543711,#447017,#447018); #359845=AXIS2_PLACEMENT_3D('',#543714,#447021,#447022); #359846=AXIS2_PLACEMENT_3D('',#543717,#447025,#447026); #359847=AXIS2_PLACEMENT_3D('',#543720,#447027,#447028); #359848=AXIS2_PLACEMENT_3D('',#543722,#447029,#447030); #359849=AXIS2_PLACEMENT_3D('',#543723,#447031,#447032); #359850=AXIS2_PLACEMENT_3D('',#543724,#447033,#447034); #359851=AXIS2_PLACEMENT_3D('',#543727,#447037,#447038); #359852=AXIS2_PLACEMENT_3D('',#543730,#447041,#447042); #359853=AXIS2_PLACEMENT_3D('',#543733,#447045,#447046); #359854=AXIS2_PLACEMENT_3D('',#543736,#447049,#447050); #359855=AXIS2_PLACEMENT_3D('',#543739,#447053,#447054); #359856=AXIS2_PLACEMENT_3D('',#543742,#447057,#447058); #359857=AXIS2_PLACEMENT_3D('',#543745,#447061,#447062); #359858=AXIS2_PLACEMENT_3D('',#543748,#447065,#447066); #359859=AXIS2_PLACEMENT_3D('',#543751,#447069,#447070); #359860=AXIS2_PLACEMENT_3D('',#543752,#447071,#447072); #359861=AXIS2_PLACEMENT_3D('',#543753,#447073,#447074); #359862=AXIS2_PLACEMENT_3D('',#543754,#447075,#447076); #359863=AXIS2_PLACEMENT_3D('',#543755,#447077,#447078); #359864=AXIS2_PLACEMENT_3D('',#543756,#447079,#447080); #359865=AXIS2_PLACEMENT_3D('',#543757,#447081,#447082); #359866=AXIS2_PLACEMENT_3D('',#543760,#447083,#447084); #359867=AXIS2_PLACEMENT_3D('',#543762,#447085,#447086); #359868=AXIS2_PLACEMENT_3D('',#543763,#447087,#447088); #359869=AXIS2_PLACEMENT_3D('',#543764,#447089,#447090); #359870=AXIS2_PLACEMENT_3D('',#543767,#447091,#447092); #359871=AXIS2_PLACEMENT_3D('',#543769,#447093,#447094); #359872=AXIS2_PLACEMENT_3D('',#543770,#447095,#447096); #359873=AXIS2_PLACEMENT_3D('',#543771,#447097,#447098); #359874=AXIS2_PLACEMENT_3D('',#543774,#447099,#447100); #359875=AXIS2_PLACEMENT_3D('',#543776,#447101,#447102); #359876=AXIS2_PLACEMENT_3D('',#543777,#447103,#447104); #359877=AXIS2_PLACEMENT_3D('',#543778,#447105,#447106); #359878=AXIS2_PLACEMENT_3D('',#543781,#447107,#447108); #359879=AXIS2_PLACEMENT_3D('',#543783,#447109,#447110); #359880=AXIS2_PLACEMENT_3D('',#543784,#447111,#447112); #359881=AXIS2_PLACEMENT_3D('',#543785,#447113,#447114); #359882=AXIS2_PLACEMENT_3D('',#543788,#447115,#447116); #359883=AXIS2_PLACEMENT_3D('',#543790,#447117,#447118); #359884=AXIS2_PLACEMENT_3D('',#543791,#447119,#447120); #359885=AXIS2_PLACEMENT_3D('',#543792,#447121,#447122); #359886=AXIS2_PLACEMENT_3D('',#543795,#447125,#447126); #359887=AXIS2_PLACEMENT_3D('',#543798,#447127,#447128); #359888=AXIS2_PLACEMENT_3D('',#543800,#447129,#447130); #359889=AXIS2_PLACEMENT_3D('',#543801,#447131,#447132); #359890=AXIS2_PLACEMENT_3D('',#543802,#447133,#447134); #359891=AXIS2_PLACEMENT_3D('',#543805,#447135,#447136); #359892=AXIS2_PLACEMENT_3D('',#543807,#447137,#447138); #359893=AXIS2_PLACEMENT_3D('',#543808,#447139,#447140); #359894=AXIS2_PLACEMENT_3D('',#543809,#447141,#447142); #359895=AXIS2_PLACEMENT_3D('',#543812,#447145,#447146); #359896=AXIS2_PLACEMENT_3D('',#543815,#447149,#447150); #359897=AXIS2_PLACEMENT_3D('',#543818,#447151,#447152); #359898=AXIS2_PLACEMENT_3D('',#543820,#447153,#447154); #359899=AXIS2_PLACEMENT_3D('',#543821,#447155,#447156); #359900=AXIS2_PLACEMENT_3D('',#543822,#447157,#447158); #359901=AXIS2_PLACEMENT_3D('',#543825,#447161,#447162); #359902=AXIS2_PLACEMENT_3D('',#543828,#447165,#447166); #359903=AXIS2_PLACEMENT_3D('',#543831,#447169,#447170); #359904=AXIS2_PLACEMENT_3D('',#543834,#447173,#447174); #359905=AXIS2_PLACEMENT_3D('',#543837,#447177,#447178); #359906=AXIS2_PLACEMENT_3D('',#543840,#447181,#447182); #359907=AXIS2_PLACEMENT_3D('',#543843,#447185,#447186); #359908=AXIS2_PLACEMENT_3D('',#543846,#447189,#447190); #359909=AXIS2_PLACEMENT_3D('',#543849,#447193,#447194); #359910=AXIS2_PLACEMENT_3D('',#543850,#447195,#447196); #359911=AXIS2_PLACEMENT_3D('',#543851,#447197,#447198); #359912=AXIS2_PLACEMENT_3D('',#543852,#447199,#447200); #359913=AXIS2_PLACEMENT_3D('',#543853,#447201,#447202); #359914=AXIS2_PLACEMENT_3D('',#543854,#447203,#447204); #359915=AXIS2_PLACEMENT_3D('',#543855,#447205,#447206); #359916=AXIS2_PLACEMENT_3D('',#543864,#447211,#447212); #359917=AXIS2_PLACEMENT_3D('',#543870,#447216,#447217); #359918=AXIS2_PLACEMENT_3D('',#543876,#447221,#447222); #359919=AXIS2_PLACEMENT_3D('',#543879,#447225,#447226); #359920=AXIS2_PLACEMENT_3D('',#543880,#447227,#447228); #359921=AXIS2_PLACEMENT_3D('',#543881,#447229,#447230); #359922=AXIS2_PLACEMENT_3D('',#543882,#447231,#447232); #359923=AXIS2_PLACEMENT_3D('',#543883,#447233,#447234); #359924=AXIS2_PLACEMENT_3D('',#543884,#447235,#447236); #359925=AXIS2_PLACEMENT_3D('',#543893,#447241,#447242); #359926=AXIS2_PLACEMENT_3D('',#543899,#447246,#447247); #359927=AXIS2_PLACEMENT_3D('',#543905,#447251,#447252); #359928=AXIS2_PLACEMENT_3D('',#543908,#447255,#447256); #359929=AXIS2_PLACEMENT_3D('',#543914,#447260,#447261); #359930=AXIS2_PLACEMENT_3D('',#543918,#447264,#447265); #359931=AXIS2_PLACEMENT_3D('',#543919,#447266,#447267); #359932=AXIS2_PLACEMENT_3D('',#543923,#447270,#447271); #359933=AXIS2_PLACEMENT_3D('',#543925,#447273,#447274); #359934=AXIS2_PLACEMENT_3D('',#543926,#447275,#447276); #359935=AXIS2_PLACEMENT_3D('',#543935,#447281,#447282); #359936=AXIS2_PLACEMENT_3D('',#543941,#447286,#447287); #359937=AXIS2_PLACEMENT_3D('',#543947,#447291,#447292); #359938=AXIS2_PLACEMENT_3D('',#543950,#447295,#447296); #359939=AXIS2_PLACEMENT_3D('',#543951,#447297,#447298); #359940=AXIS2_PLACEMENT_3D('',#543952,#447299,#447300); #359941=AXIS2_PLACEMENT_3D('',#543961,#447305,#447306); #359942=AXIS2_PLACEMENT_3D('',#543967,#447310,#447311); #359943=AXIS2_PLACEMENT_3D('',#543973,#447315,#447316); #359944=AXIS2_PLACEMENT_3D('',#543976,#447319,#447320); #359945=AXIS2_PLACEMENT_3D('',#543977,#447321,#447322); #359946=AXIS2_PLACEMENT_3D('',#543978,#447323,#447324); #359947=AXIS2_PLACEMENT_3D('',#543987,#447329,#447330); #359948=AXIS2_PLACEMENT_3D('',#543993,#447334,#447335); #359949=AXIS2_PLACEMENT_3D('',#543999,#447339,#447340); #359950=AXIS2_PLACEMENT_3D('',#544002,#447343,#447344); #359951=AXIS2_PLACEMENT_3D('',#544003,#447345,#447346); #359952=AXIS2_PLACEMENT_3D('',#544004,#447347,#447348); #359953=AXIS2_PLACEMENT_3D('',#544013,#447353,#447354); #359954=AXIS2_PLACEMENT_3D('',#544019,#447358,#447359); #359955=AXIS2_PLACEMENT_3D('',#544025,#447363,#447364); #359956=AXIS2_PLACEMENT_3D('',#544028,#447367,#447368); #359957=AXIS2_PLACEMENT_3D('',#544029,#447369,#447370); #359958=AXIS2_PLACEMENT_3D('',#544030,#447371,#447372); #359959=AXIS2_PLACEMENT_3D('',#544039,#447377,#447378); #359960=AXIS2_PLACEMENT_3D('',#544045,#447382,#447383); #359961=AXIS2_PLACEMENT_3D('',#544051,#447387,#447388); #359962=AXIS2_PLACEMENT_3D('',#544054,#447391,#447392); #359963=AXIS2_PLACEMENT_3D('',#544055,#447393,#447394); #359964=AXIS2_PLACEMENT_3D('',#544056,#447395,#447396); #359965=AXIS2_PLACEMENT_3D('',#544057,#447397,#447398); #359966=AXIS2_PLACEMENT_3D('',#544058,#447399,#447400); #359967=AXIS2_PLACEMENT_3D('',#544059,#447401,#447402); #359968=AXIS2_PLACEMENT_3D('',#544061,#447403,#447404); #359969=AXIS2_PLACEMENT_3D('',#544064,#447406,#447407); #359970=AXIS2_PLACEMENT_3D('',#544065,#447408,#447409); #359971=AXIS2_PLACEMENT_3D('',#544066,#447410,#447411); #359972=AXIS2_PLACEMENT_3D('',#544075,#447416,#447417); #359973=AXIS2_PLACEMENT_3D('',#544081,#447421,#447422); #359974=AXIS2_PLACEMENT_3D('',#544087,#447426,#447427); #359975=AXIS2_PLACEMENT_3D('',#544090,#447430,#447431); #359976=AXIS2_PLACEMENT_3D('',#544096,#447435,#447436); #359977=AXIS2_PLACEMENT_3D('',#544100,#447439,#447440); #359978=AXIS2_PLACEMENT_3D('',#544101,#447441,#447442); #359979=AXIS2_PLACEMENT_3D('',#544109,#447447,#447448); #359980=AXIS2_PLACEMENT_3D('',#544113,#447451,#447452); #359981=AXIS2_PLACEMENT_3D('',#544118,#447456,#447457); #359982=AXIS2_PLACEMENT_3D('',#544120,#447459,#447460); #359983=AXIS2_PLACEMENT_3D('',#544122,#447462,#447463); #359984=AXIS2_PLACEMENT_3D('',#544123,#447464,#447465); #359985=AXIS2_PLACEMENT_3D('',#544124,#447466,#447467); #359986=AXIS2_PLACEMENT_3D('',#544127,#447468,#447469); #359987=AXIS2_PLACEMENT_3D('',#544131,#447471,#447472); #359988=AXIS2_PLACEMENT_3D('',#544139,#447476,#447477); #359989=AXIS2_PLACEMENT_3D('',#544143,#447479,#447480); #359990=AXIS2_PLACEMENT_3D('',#544149,#447484,#447485); #359991=AXIS2_PLACEMENT_3D('',#544155,#447489,#447490); #359992=AXIS2_PLACEMENT_3D('',#544157,#447491,#447492); #359993=AXIS2_PLACEMENT_3D('',#544159,#447494,#447495); #359994=AXIS2_PLACEMENT_3D('',#544163,#447498,#447499); #359995=AXIS2_PLACEMENT_3D('',#544167,#447502,#447503); #359996=AXIS2_PLACEMENT_3D('',#544171,#447506,#447507); #359997=AXIS2_PLACEMENT_3D('',#544173,#447508,#447509); #359998=AXIS2_PLACEMENT_3D('',#544175,#447511,#447512); #359999=AXIS2_PLACEMENT_3D('',#544179,#447515,#447516); #360000=AXIS2_PLACEMENT_3D('',#544181,#447517,#447518); #360001=AXIS2_PLACEMENT_3D('',#544183,#447520,#447521); #360002=AXIS2_PLACEMENT_3D('',#544187,#447524,#447525); #360003=AXIS2_PLACEMENT_3D('',#544191,#447528,#447529); #360004=AXIS2_PLACEMENT_3D('',#544195,#447532,#447533); #360005=AXIS2_PLACEMENT_3D('',#544196,#447534,#447535); #360006=AXIS2_PLACEMENT_3D('',#544197,#447536,#447537); #360007=AXIS2_PLACEMENT_3D('',#544198,#447538,#447539); #360008=AXIS2_PLACEMENT_3D('',#544201,#447540,#447541); #360009=AXIS2_PLACEMENT_3D('',#544209,#447545,#447546); #360010=AXIS2_PLACEMENT_3D('',#544213,#447548,#447549); #360011=AXIS2_PLACEMENT_3D('',#544221,#447553,#447554); #360012=AXIS2_PLACEMENT_3D('',#544223,#447556,#447557); #360013=AXIS2_PLACEMENT_3D('',#544229,#447561,#447562); #360014=AXIS2_PLACEMENT_3D('',#544232,#447564,#447565); #360015=AXIS2_PLACEMENT_3D('',#544233,#447566,#447567); #360016=AXIS2_PLACEMENT_3D('',#544237,#447570,#447571); #360017=AXIS2_PLACEMENT_3D('',#544241,#447574,#447575); #360018=AXIS2_PLACEMENT_3D('',#544245,#447578,#447579); #360019=AXIS2_PLACEMENT_3D('',#544248,#447581,#447582); #360020=AXIS2_PLACEMENT_3D('',#544249,#447583,#447584); #360021=AXIS2_PLACEMENT_3D('',#544253,#447587,#447588); #360022=AXIS2_PLACEMENT_3D('',#544256,#447590,#447591); #360023=AXIS2_PLACEMENT_3D('',#544257,#447592,#447593); #360024=AXIS2_PLACEMENT_3D('',#544261,#447596,#447597); #360025=AXIS2_PLACEMENT_3D('',#544265,#447600,#447601); #360026=AXIS2_PLACEMENT_3D('',#544269,#447604,#447605); #360027=AXIS2_PLACEMENT_3D('',#544270,#447606,#447607); #360028=AXIS2_PLACEMENT_3D('',#544271,#447608,#447609); #360029=AXIS2_PLACEMENT_3D('',#544272,#447610,#447611); #360030=AXIS2_PLACEMENT_3D('',#544275,#447612,#447613); #360031=AXIS2_PLACEMENT_3D('',#544279,#447615,#447616); #360032=AXIS2_PLACEMENT_3D('',#544287,#447620,#447621); #360033=AXIS2_PLACEMENT_3D('',#544291,#447623,#447624); #360034=AXIS2_PLACEMENT_3D('',#544297,#447628,#447629); #360035=AXIS2_PLACEMENT_3D('',#544303,#447633,#447634); #360036=AXIS2_PLACEMENT_3D('',#544305,#447635,#447636); #360037=AXIS2_PLACEMENT_3D('',#544307,#447638,#447639); #360038=AXIS2_PLACEMENT_3D('',#544311,#447642,#447643); #360039=AXIS2_PLACEMENT_3D('',#544315,#447646,#447647); #360040=AXIS2_PLACEMENT_3D('',#544319,#447650,#447651); #360041=AXIS2_PLACEMENT_3D('',#544321,#447652,#447653); #360042=AXIS2_PLACEMENT_3D('',#544323,#447655,#447656); #360043=AXIS2_PLACEMENT_3D('',#544327,#447659,#447660); #360044=AXIS2_PLACEMENT_3D('',#544329,#447661,#447662); #360045=AXIS2_PLACEMENT_3D('',#544331,#447664,#447665); #360046=AXIS2_PLACEMENT_3D('',#544335,#447668,#447669); #360047=AXIS2_PLACEMENT_3D('',#544339,#447672,#447673); #360048=AXIS2_PLACEMENT_3D('',#544343,#447676,#447677); #360049=AXIS2_PLACEMENT_3D('',#544344,#447678,#447679); #360050=AXIS2_PLACEMENT_3D('',#544345,#447680,#447681); #360051=AXIS2_PLACEMENT_3D('',#544346,#447682,#447683); #360052=AXIS2_PLACEMENT_3D('',#544349,#447684,#447685); #360053=AXIS2_PLACEMENT_3D('',#544353,#447687,#447688); #360054=AXIS2_PLACEMENT_3D('',#544361,#447692,#447693); #360055=AXIS2_PLACEMENT_3D('',#544365,#447695,#447696); #360056=AXIS2_PLACEMENT_3D('',#544371,#447700,#447701); #360057=AXIS2_PLACEMENT_3D('',#544377,#447705,#447706); #360058=AXIS2_PLACEMENT_3D('',#544379,#447707,#447708); #360059=AXIS2_PLACEMENT_3D('',#544381,#447710,#447711); #360060=AXIS2_PLACEMENT_3D('',#544385,#447714,#447715); #360061=AXIS2_PLACEMENT_3D('',#544389,#447718,#447719); #360062=AXIS2_PLACEMENT_3D('',#544393,#447722,#447723); #360063=AXIS2_PLACEMENT_3D('',#544395,#447724,#447725); #360064=AXIS2_PLACEMENT_3D('',#544397,#447727,#447728); #360065=AXIS2_PLACEMENT_3D('',#544401,#447731,#447732); #360066=AXIS2_PLACEMENT_3D('',#544403,#447733,#447734); #360067=AXIS2_PLACEMENT_3D('',#544405,#447736,#447737); #360068=AXIS2_PLACEMENT_3D('',#544409,#447740,#447741); #360069=AXIS2_PLACEMENT_3D('',#544413,#447744,#447745); #360070=AXIS2_PLACEMENT_3D('',#544417,#447748,#447749); #360071=AXIS2_PLACEMENT_3D('',#544418,#447750,#447751); #360072=AXIS2_PLACEMENT_3D('',#544419,#447752,#447753); #360073=AXIS2_PLACEMENT_3D('',#544420,#447754,#447755); #360074=AXIS2_PLACEMENT_3D('',#544423,#447756,#447757); #360075=AXIS2_PLACEMENT_3D('',#544427,#447759,#447760); #360076=AXIS2_PLACEMENT_3D('',#544435,#447764,#447765); #360077=AXIS2_PLACEMENT_3D('',#544439,#447767,#447768); #360078=AXIS2_PLACEMENT_3D('',#544445,#447772,#447773); #360079=AXIS2_PLACEMENT_3D('',#544451,#447777,#447778); #360080=AXIS2_PLACEMENT_3D('',#544453,#447779,#447780); #360081=AXIS2_PLACEMENT_3D('',#544455,#447782,#447783); #360082=AXIS2_PLACEMENT_3D('',#544459,#447786,#447787); #360083=AXIS2_PLACEMENT_3D('',#544463,#447790,#447791); #360084=AXIS2_PLACEMENT_3D('',#544467,#447794,#447795); #360085=AXIS2_PLACEMENT_3D('',#544469,#447796,#447797); #360086=AXIS2_PLACEMENT_3D('',#544471,#447799,#447800); #360087=AXIS2_PLACEMENT_3D('',#544475,#447803,#447804); #360088=AXIS2_PLACEMENT_3D('',#544477,#447805,#447806); #360089=AXIS2_PLACEMENT_3D('',#544479,#447808,#447809); #360090=AXIS2_PLACEMENT_3D('',#544483,#447812,#447813); #360091=AXIS2_PLACEMENT_3D('',#544487,#447816,#447817); #360092=AXIS2_PLACEMENT_3D('',#544491,#447820,#447821); #360093=AXIS2_PLACEMENT_3D('',#544492,#447822,#447823); #360094=AXIS2_PLACEMENT_3D('',#544493,#447824,#447825); #360095=AXIS2_PLACEMENT_3D('',#544494,#447826,#447827); #360096=AXIS2_PLACEMENT_3D('',#544497,#447828,#447829); #360097=AXIS2_PLACEMENT_3D('',#544505,#447833,#447834); #360098=AXIS2_PLACEMENT_3D('',#544509,#447836,#447837); #360099=AXIS2_PLACEMENT_3D('',#544517,#447841,#447842); #360100=AXIS2_PLACEMENT_3D('',#544519,#447844,#447845); #360101=AXIS2_PLACEMENT_3D('',#544525,#447849,#447850); #360102=AXIS2_PLACEMENT_3D('',#544528,#447852,#447853); #360103=AXIS2_PLACEMENT_3D('',#544529,#447854,#447855); #360104=AXIS2_PLACEMENT_3D('',#544533,#447858,#447859); #360105=AXIS2_PLACEMENT_3D('',#544537,#447862,#447863); #360106=AXIS2_PLACEMENT_3D('',#544541,#447866,#447867); #360107=AXIS2_PLACEMENT_3D('',#544544,#447869,#447870); #360108=AXIS2_PLACEMENT_3D('',#544545,#447871,#447872); #360109=AXIS2_PLACEMENT_3D('',#544549,#447875,#447876); #360110=AXIS2_PLACEMENT_3D('',#544552,#447878,#447879); #360111=AXIS2_PLACEMENT_3D('',#544553,#447880,#447881); #360112=AXIS2_PLACEMENT_3D('',#544557,#447884,#447885); #360113=AXIS2_PLACEMENT_3D('',#544561,#447888,#447889); #360114=AXIS2_PLACEMENT_3D('',#544565,#447892,#447893); #360115=AXIS2_PLACEMENT_3D('',#544566,#447894,#447895); #360116=AXIS2_PLACEMENT_3D('',#544567,#447896,#447897); #360117=AXIS2_PLACEMENT_3D('',#544568,#447898,#447899); #360118=AXIS2_PLACEMENT_3D('',#544571,#447900,#447901); #360119=AXIS2_PLACEMENT_3D('',#544579,#447905,#447906); #360120=AXIS2_PLACEMENT_3D('',#544583,#447908,#447909); #360121=AXIS2_PLACEMENT_3D('',#544591,#447913,#447914); #360122=AXIS2_PLACEMENT_3D('',#544593,#447916,#447917); #360123=AXIS2_PLACEMENT_3D('',#544599,#447921,#447922); #360124=AXIS2_PLACEMENT_3D('',#544602,#447924,#447925); #360125=AXIS2_PLACEMENT_3D('',#544603,#447926,#447927); #360126=AXIS2_PLACEMENT_3D('',#544607,#447930,#447931); #360127=AXIS2_PLACEMENT_3D('',#544611,#447934,#447935); #360128=AXIS2_PLACEMENT_3D('',#544615,#447938,#447939); #360129=AXIS2_PLACEMENT_3D('',#544618,#447941,#447942); #360130=AXIS2_PLACEMENT_3D('',#544619,#447943,#447944); #360131=AXIS2_PLACEMENT_3D('',#544623,#447947,#447948); #360132=AXIS2_PLACEMENT_3D('',#544626,#447950,#447951); #360133=AXIS2_PLACEMENT_3D('',#544627,#447952,#447953); #360134=AXIS2_PLACEMENT_3D('',#544631,#447956,#447957); #360135=AXIS2_PLACEMENT_3D('',#544635,#447960,#447961); #360136=AXIS2_PLACEMENT_3D('',#544639,#447964,#447965); #360137=AXIS2_PLACEMENT_3D('',#544640,#447966,#447967); #360138=AXIS2_PLACEMENT_3D('',#544641,#447968,#447969); #360139=AXIS2_PLACEMENT_3D('',#544642,#447970,#447971); #360140=AXIS2_PLACEMENT_3D('',#544645,#447972,#447973); #360141=AXIS2_PLACEMENT_3D('',#544653,#447977,#447978); #360142=AXIS2_PLACEMENT_3D('',#544657,#447980,#447981); #360143=AXIS2_PLACEMENT_3D('',#544665,#447985,#447986); #360144=AXIS2_PLACEMENT_3D('',#544667,#447988,#447989); #360145=AXIS2_PLACEMENT_3D('',#544673,#447993,#447994); #360146=AXIS2_PLACEMENT_3D('',#544676,#447996,#447997); #360147=AXIS2_PLACEMENT_3D('',#544677,#447998,#447999); #360148=AXIS2_PLACEMENT_3D('',#544681,#448002,#448003); #360149=AXIS2_PLACEMENT_3D('',#544685,#448006,#448007); #360150=AXIS2_PLACEMENT_3D('',#544689,#448010,#448011); #360151=AXIS2_PLACEMENT_3D('',#544692,#448013,#448014); #360152=AXIS2_PLACEMENT_3D('',#544693,#448015,#448016); #360153=AXIS2_PLACEMENT_3D('',#544697,#448019,#448020); #360154=AXIS2_PLACEMENT_3D('',#544700,#448022,#448023); #360155=AXIS2_PLACEMENT_3D('',#544701,#448024,#448025); #360156=AXIS2_PLACEMENT_3D('',#544705,#448028,#448029); #360157=AXIS2_PLACEMENT_3D('',#544709,#448032,#448033); #360158=AXIS2_PLACEMENT_3D('',#544713,#448036,#448037); #360159=AXIS2_PLACEMENT_3D('',#544714,#448038,#448039); #360160=AXIS2_PLACEMENT_3D('',#544715,#448040,#448041); #360161=AXIS2_PLACEMENT_3D('',#544716,#448042,#448043); #360162=AXIS2_PLACEMENT_3D('',#544717,#448044,#448045); #360163=AXIS2_PLACEMENT_3D('',#544718,#448046,#448047); #360164=AXIS2_PLACEMENT_3D('',#544719,#448048,#448049); #360165=AXIS2_PLACEMENT_3D('',#544721,#448050,#448051); #360166=AXIS2_PLACEMENT_3D('',#544724,#448053,#448054); #360167=AXIS2_PLACEMENT_3D('',#544725,#448055,#448056); #360168=AXIS2_PLACEMENT_3D('',#544726,#448057,#448058); #360169=AXIS2_PLACEMENT_3D('',#544735,#448063,#448064); #360170=AXIS2_PLACEMENT_3D('',#544741,#448068,#448069); #360171=AXIS2_PLACEMENT_3D('',#544747,#448073,#448074); #360172=AXIS2_PLACEMENT_3D('',#544750,#448077,#448078); #360173=AXIS2_PLACEMENT_3D('',#544756,#448082,#448083); #360174=AXIS2_PLACEMENT_3D('',#544760,#448086,#448087); #360175=AXIS2_PLACEMENT_3D('',#544761,#448088,#448089); #360176=AXIS2_PLACEMENT_3D('',#544769,#448094,#448095); #360177=AXIS2_PLACEMENT_3D('',#544773,#448098,#448099); #360178=AXIS2_PLACEMENT_3D('',#544778,#448103,#448104); #360179=AXIS2_PLACEMENT_3D('',#544780,#448106,#448107); #360180=AXIS2_PLACEMENT_3D('',#544782,#448109,#448110); #360181=AXIS2_PLACEMENT_3D('',#544783,#448111,#448112); #360182=AXIS2_PLACEMENT_3D('',#544784,#448113,#448114); #360183=AXIS2_PLACEMENT_3D('',#544787,#448115,#448116); #360184=AXIS2_PLACEMENT_3D('',#544795,#448120,#448121); #360185=AXIS2_PLACEMENT_3D('',#544799,#448123,#448124); #360186=AXIS2_PLACEMENT_3D('',#544807,#448128,#448129); #360187=AXIS2_PLACEMENT_3D('',#544809,#448131,#448132); #360188=AXIS2_PLACEMENT_3D('',#544815,#448136,#448137); #360189=AXIS2_PLACEMENT_3D('',#544819,#448140,#448141); #360190=AXIS2_PLACEMENT_3D('',#544823,#448144,#448145); #360191=AXIS2_PLACEMENT_3D('',#544825,#448146,#448147); #360192=AXIS2_PLACEMENT_3D('',#544827,#448149,#448150); #360193=AXIS2_PLACEMENT_3D('',#544831,#448153,#448154); #360194=AXIS2_PLACEMENT_3D('',#544833,#448155,#448156); #360195=AXIS2_PLACEMENT_3D('',#544835,#448158,#448159); #360196=AXIS2_PLACEMENT_3D('',#544839,#448162,#448163); #360197=AXIS2_PLACEMENT_3D('',#544843,#448166,#448167); #360198=AXIS2_PLACEMENT_3D('',#544847,#448170,#448171); #360199=AXIS2_PLACEMENT_3D('',#544849,#448172,#448173); #360200=AXIS2_PLACEMENT_3D('',#544851,#448175,#448176); #360201=AXIS2_PLACEMENT_3D('',#544855,#448179,#448180); #360202=AXIS2_PLACEMENT_3D('',#544856,#448181,#448182); #360203=AXIS2_PLACEMENT_3D('',#544857,#448183,#448184); #360204=AXIS2_PLACEMENT_3D('',#544858,#448185,#448186); #360205=AXIS2_PLACEMENT_3D('',#544861,#448187,#448188); #360206=AXIS2_PLACEMENT_3D('',#544869,#448192,#448193); #360207=AXIS2_PLACEMENT_3D('',#544873,#448195,#448196); #360208=AXIS2_PLACEMENT_3D('',#544881,#448200,#448201); #360209=AXIS2_PLACEMENT_3D('',#544883,#448203,#448204); #360210=AXIS2_PLACEMENT_3D('',#544889,#448208,#448209); #360211=AXIS2_PLACEMENT_3D('',#544893,#448212,#448213); #360212=AXIS2_PLACEMENT_3D('',#544897,#448216,#448217); #360213=AXIS2_PLACEMENT_3D('',#544899,#448218,#448219); #360214=AXIS2_PLACEMENT_3D('',#544901,#448221,#448222); #360215=AXIS2_PLACEMENT_3D('',#544905,#448225,#448226); #360216=AXIS2_PLACEMENT_3D('',#544907,#448227,#448228); #360217=AXIS2_PLACEMENT_3D('',#544909,#448230,#448231); #360218=AXIS2_PLACEMENT_3D('',#544913,#448234,#448235); #360219=AXIS2_PLACEMENT_3D('',#544917,#448238,#448239); #360220=AXIS2_PLACEMENT_3D('',#544921,#448242,#448243); #360221=AXIS2_PLACEMENT_3D('',#544923,#448244,#448245); #360222=AXIS2_PLACEMENT_3D('',#544925,#448247,#448248); #360223=AXIS2_PLACEMENT_3D('',#544929,#448251,#448252); #360224=AXIS2_PLACEMENT_3D('',#544930,#448253,#448254); #360225=AXIS2_PLACEMENT_3D('',#544931,#448255,#448256); #360226=AXIS2_PLACEMENT_3D('',#544932,#448257,#448258); #360227=AXIS2_PLACEMENT_3D('',#544935,#448259,#448260); #360228=AXIS2_PLACEMENT_3D('',#544943,#448264,#448265); #360229=AXIS2_PLACEMENT_3D('',#544947,#448267,#448268); #360230=AXIS2_PLACEMENT_3D('',#544955,#448272,#448273); #360231=AXIS2_PLACEMENT_3D('',#544957,#448275,#448276); #360232=AXIS2_PLACEMENT_3D('',#544963,#448280,#448281); #360233=AXIS2_PLACEMENT_3D('',#544967,#448284,#448285); #360234=AXIS2_PLACEMENT_3D('',#544971,#448288,#448289); #360235=AXIS2_PLACEMENT_3D('',#544973,#448290,#448291); #360236=AXIS2_PLACEMENT_3D('',#544975,#448293,#448294); #360237=AXIS2_PLACEMENT_3D('',#544979,#448297,#448298); #360238=AXIS2_PLACEMENT_3D('',#544981,#448299,#448300); #360239=AXIS2_PLACEMENT_3D('',#544983,#448302,#448303); #360240=AXIS2_PLACEMENT_3D('',#544987,#448306,#448307); #360241=AXIS2_PLACEMENT_3D('',#544991,#448310,#448311); #360242=AXIS2_PLACEMENT_3D('',#544995,#448314,#448315); #360243=AXIS2_PLACEMENT_3D('',#544997,#448316,#448317); #360244=AXIS2_PLACEMENT_3D('',#544999,#448319,#448320); #360245=AXIS2_PLACEMENT_3D('',#545003,#448323,#448324); #360246=AXIS2_PLACEMENT_3D('',#545004,#448325,#448326); #360247=AXIS2_PLACEMENT_3D('',#545005,#448327,#448328); #360248=AXIS2_PLACEMENT_3D('',#545006,#448329,#448330); #360249=AXIS2_PLACEMENT_3D('',#545009,#448331,#448332); #360250=AXIS2_PLACEMENT_3D('',#545017,#448336,#448337); #360251=AXIS2_PLACEMENT_3D('',#545021,#448339,#448340); #360252=AXIS2_PLACEMENT_3D('',#545029,#448344,#448345); #360253=AXIS2_PLACEMENT_3D('',#545031,#448347,#448348); #360254=AXIS2_PLACEMENT_3D('',#545037,#448352,#448353); #360255=AXIS2_PLACEMENT_3D('',#545041,#448356,#448357); #360256=AXIS2_PLACEMENT_3D('',#545045,#448360,#448361); #360257=AXIS2_PLACEMENT_3D('',#545047,#448362,#448363); #360258=AXIS2_PLACEMENT_3D('',#545049,#448365,#448366); #360259=AXIS2_PLACEMENT_3D('',#545053,#448369,#448370); #360260=AXIS2_PLACEMENT_3D('',#545055,#448371,#448372); #360261=AXIS2_PLACEMENT_3D('',#545057,#448374,#448375); #360262=AXIS2_PLACEMENT_3D('',#545061,#448378,#448379); #360263=AXIS2_PLACEMENT_3D('',#545065,#448382,#448383); #360264=AXIS2_PLACEMENT_3D('',#545069,#448386,#448387); #360265=AXIS2_PLACEMENT_3D('',#545071,#448388,#448389); #360266=AXIS2_PLACEMENT_3D('',#545073,#448391,#448392); #360267=AXIS2_PLACEMENT_3D('',#545077,#448395,#448396); #360268=AXIS2_PLACEMENT_3D('',#545078,#448397,#448398); #360269=AXIS2_PLACEMENT_3D('',#545079,#448399,#448400); #360270=AXIS2_PLACEMENT_3D('',#545080,#448401,#448402); #360271=AXIS2_PLACEMENT_3D('',#545083,#448403,#448404); #360272=AXIS2_PLACEMENT_3D('',#545091,#448408,#448409); #360273=AXIS2_PLACEMENT_3D('',#545095,#448411,#448412); #360274=AXIS2_PLACEMENT_3D('',#545103,#448416,#448417); #360275=AXIS2_PLACEMENT_3D('',#545105,#448419,#448420); #360276=AXIS2_PLACEMENT_3D('',#545111,#448424,#448425); #360277=AXIS2_PLACEMENT_3D('',#545115,#448428,#448429); #360278=AXIS2_PLACEMENT_3D('',#545119,#448432,#448433); #360279=AXIS2_PLACEMENT_3D('',#545121,#448434,#448435); #360280=AXIS2_PLACEMENT_3D('',#545123,#448437,#448438); #360281=AXIS2_PLACEMENT_3D('',#545127,#448441,#448442); #360282=AXIS2_PLACEMENT_3D('',#545129,#448443,#448444); #360283=AXIS2_PLACEMENT_3D('',#545131,#448446,#448447); #360284=AXIS2_PLACEMENT_3D('',#545135,#448450,#448451); #360285=AXIS2_PLACEMENT_3D('',#545139,#448454,#448455); #360286=AXIS2_PLACEMENT_3D('',#545143,#448458,#448459); #360287=AXIS2_PLACEMENT_3D('',#545145,#448460,#448461); #360288=AXIS2_PLACEMENT_3D('',#545147,#448463,#448464); #360289=AXIS2_PLACEMENT_3D('',#545151,#448467,#448468); #360290=AXIS2_PLACEMENT_3D('',#545152,#448469,#448470); #360291=AXIS2_PLACEMENT_3D('',#545153,#448471,#448472); #360292=AXIS2_PLACEMENT_3D('',#545154,#448473,#448474); #360293=AXIS2_PLACEMENT_3D('',#545155,#448475,#448476); #360294=AXIS2_PLACEMENT_3D('',#545156,#448477,#448478); #360295=AXIS2_PLACEMENT_3D('',#545157,#448479,#448480); #360296=AXIS2_PLACEMENT_3D('',#545160,#448481,#448482); #360297=AXIS2_PLACEMENT_3D('',#545162,#448483,#448484); #360298=AXIS2_PLACEMENT_3D('',#545163,#448485,#448486); #360299=AXIS2_PLACEMENT_3D('',#545164,#448487,#448488); #360300=AXIS2_PLACEMENT_3D('',#545167,#448489,#448490); #360301=AXIS2_PLACEMENT_3D('',#545169,#448491,#448492); #360302=AXIS2_PLACEMENT_3D('',#545170,#448493,#448494); #360303=AXIS2_PLACEMENT_3D('',#545171,#448495,#448496); #360304=AXIS2_PLACEMENT_3D('',#545174,#448497,#448498); #360305=AXIS2_PLACEMENT_3D('',#545176,#448499,#448500); #360306=AXIS2_PLACEMENT_3D('',#545177,#448501,#448502); #360307=AXIS2_PLACEMENT_3D('',#545178,#448503,#448504); #360308=AXIS2_PLACEMENT_3D('',#545181,#448505,#448506); #360309=AXIS2_PLACEMENT_3D('',#545183,#448507,#448508); #360310=AXIS2_PLACEMENT_3D('',#545184,#448509,#448510); #360311=AXIS2_PLACEMENT_3D('',#545185,#448511,#448512); #360312=AXIS2_PLACEMENT_3D('',#545188,#448513,#448514); #360313=AXIS2_PLACEMENT_3D('',#545190,#448515,#448516); #360314=AXIS2_PLACEMENT_3D('',#545191,#448517,#448518); #360315=AXIS2_PLACEMENT_3D('',#545192,#448519,#448520); #360316=AXIS2_PLACEMENT_3D('',#545195,#448523,#448524); #360317=AXIS2_PLACEMENT_3D('',#545198,#448525,#448526); #360318=AXIS2_PLACEMENT_3D('',#545200,#448527,#448528); #360319=AXIS2_PLACEMENT_3D('',#545201,#448529,#448530); #360320=AXIS2_PLACEMENT_3D('',#545202,#448531,#448532); #360321=AXIS2_PLACEMENT_3D('',#545205,#448533,#448534); #360322=AXIS2_PLACEMENT_3D('',#545207,#448535,#448536); #360323=AXIS2_PLACEMENT_3D('',#545208,#448537,#448538); #360324=AXIS2_PLACEMENT_3D('',#545209,#448539,#448540); #360325=AXIS2_PLACEMENT_3D('',#545212,#448543,#448544); #360326=AXIS2_PLACEMENT_3D('',#545215,#448547,#448548); #360327=AXIS2_PLACEMENT_3D('',#545218,#448549,#448550); #360328=AXIS2_PLACEMENT_3D('',#545220,#448551,#448552); #360329=AXIS2_PLACEMENT_3D('',#545221,#448553,#448554); #360330=AXIS2_PLACEMENT_3D('',#545222,#448555,#448556); #360331=AXIS2_PLACEMENT_3D('',#545225,#448559,#448560); #360332=AXIS2_PLACEMENT_3D('',#545228,#448563,#448564); #360333=AXIS2_PLACEMENT_3D('',#545231,#448567,#448568); #360334=AXIS2_PLACEMENT_3D('',#545234,#448571,#448572); #360335=AXIS2_PLACEMENT_3D('',#545237,#448575,#448576); #360336=AXIS2_PLACEMENT_3D('',#545240,#448579,#448580); #360337=AXIS2_PLACEMENT_3D('',#545243,#448583,#448584); #360338=AXIS2_PLACEMENT_3D('',#545246,#448587,#448588); #360339=AXIS2_PLACEMENT_3D('',#545249,#448591,#448592); #360340=AXIS2_PLACEMENT_3D('',#545250,#448593,#448594); #360341=AXIS2_PLACEMENT_3D('',#545251,#448595,#448596); #360342=AXIS2_PLACEMENT_3D('',#545252,#448597,#448598); #360343=AXIS2_PLACEMENT_3D('',#545253,#448599,#448600); #360344=AXIS2_PLACEMENT_3D('',#545254,#448601,#448602); #360345=AXIS2_PLACEMENT_3D('',#545255,#448603,#448604); #360346=AXIS2_PLACEMENT_3D('',#545258,#448605,#448606); #360347=AXIS2_PLACEMENT_3D('',#545260,#448607,#448608); #360348=AXIS2_PLACEMENT_3D('',#545261,#448609,#448610); #360349=AXIS2_PLACEMENT_3D('',#545262,#448611,#448612); #360350=AXIS2_PLACEMENT_3D('',#545265,#448613,#448614); #360351=AXIS2_PLACEMENT_3D('',#545267,#448615,#448616); #360352=AXIS2_PLACEMENT_3D('',#545268,#448617,#448618); #360353=AXIS2_PLACEMENT_3D('',#545269,#448619,#448620); #360354=AXIS2_PLACEMENT_3D('',#545272,#448621,#448622); #360355=AXIS2_PLACEMENT_3D('',#545274,#448623,#448624); #360356=AXIS2_PLACEMENT_3D('',#545275,#448625,#448626); #360357=AXIS2_PLACEMENT_3D('',#545276,#448627,#448628); #360358=AXIS2_PLACEMENT_3D('',#545279,#448629,#448630); #360359=AXIS2_PLACEMENT_3D('',#545281,#448631,#448632); #360360=AXIS2_PLACEMENT_3D('',#545282,#448633,#448634); #360361=AXIS2_PLACEMENT_3D('',#545283,#448635,#448636); #360362=AXIS2_PLACEMENT_3D('',#545286,#448637,#448638); #360363=AXIS2_PLACEMENT_3D('',#545288,#448639,#448640); #360364=AXIS2_PLACEMENT_3D('',#545289,#448641,#448642); #360365=AXIS2_PLACEMENT_3D('',#545290,#448643,#448644); #360366=AXIS2_PLACEMENT_3D('',#545293,#448647,#448648); #360367=AXIS2_PLACEMENT_3D('',#545296,#448649,#448650); #360368=AXIS2_PLACEMENT_3D('',#545298,#448651,#448652); #360369=AXIS2_PLACEMENT_3D('',#545299,#448653,#448654); #360370=AXIS2_PLACEMENT_3D('',#545300,#448655,#448656); #360371=AXIS2_PLACEMENT_3D('',#545303,#448657,#448658); #360372=AXIS2_PLACEMENT_3D('',#545305,#448659,#448660); #360373=AXIS2_PLACEMENT_3D('',#545306,#448661,#448662); #360374=AXIS2_PLACEMENT_3D('',#545307,#448663,#448664); #360375=AXIS2_PLACEMENT_3D('',#545310,#448667,#448668); #360376=AXIS2_PLACEMENT_3D('',#545313,#448671,#448672); #360377=AXIS2_PLACEMENT_3D('',#545316,#448673,#448674); #360378=AXIS2_PLACEMENT_3D('',#545318,#448675,#448676); #360379=AXIS2_PLACEMENT_3D('',#545319,#448677,#448678); #360380=AXIS2_PLACEMENT_3D('',#545320,#448679,#448680); #360381=AXIS2_PLACEMENT_3D('',#545323,#448683,#448684); #360382=AXIS2_PLACEMENT_3D('',#545326,#448687,#448688); #360383=AXIS2_PLACEMENT_3D('',#545329,#448691,#448692); #360384=AXIS2_PLACEMENT_3D('',#545332,#448695,#448696); #360385=AXIS2_PLACEMENT_3D('',#545335,#448699,#448700); #360386=AXIS2_PLACEMENT_3D('',#545338,#448703,#448704); #360387=AXIS2_PLACEMENT_3D('',#545341,#448707,#448708); #360388=AXIS2_PLACEMENT_3D('',#545344,#448711,#448712); #360389=AXIS2_PLACEMENT_3D('',#545347,#448715,#448716); #360390=AXIS2_PLACEMENT_3D('',#545348,#448717,#448718); #360391=AXIS2_PLACEMENT_3D('',#545349,#448719,#448720); #360392=AXIS2_PLACEMENT_3D('',#545350,#448721,#448722); #360393=AXIS2_PLACEMENT_3D('',#545351,#448723,#448724); #360394=AXIS2_PLACEMENT_3D('',#545352,#448725,#448726); #360395=AXIS2_PLACEMENT_3D('',#545353,#448727,#448728); #360396=AXIS2_PLACEMENT_3D('',#545362,#448733,#448734); #360397=AXIS2_PLACEMENT_3D('',#545368,#448738,#448739); #360398=AXIS2_PLACEMENT_3D('',#545374,#448743,#448744); #360399=AXIS2_PLACEMENT_3D('',#545377,#448747,#448748); #360400=AXIS2_PLACEMENT_3D('',#545378,#448749,#448750); #360401=AXIS2_PLACEMENT_3D('',#545379,#448751,#448752); #360402=AXIS2_PLACEMENT_3D('',#545380,#448753,#448754); #360403=AXIS2_PLACEMENT_3D('',#545381,#448755,#448756); #360404=AXIS2_PLACEMENT_3D('',#545382,#448757,#448758); #360405=AXIS2_PLACEMENT_3D('',#545391,#448763,#448764); #360406=AXIS2_PLACEMENT_3D('',#545397,#448768,#448769); #360407=AXIS2_PLACEMENT_3D('',#545403,#448773,#448774); #360408=AXIS2_PLACEMENT_3D('',#545406,#448777,#448778); #360409=AXIS2_PLACEMENT_3D('',#545412,#448782,#448783); #360410=AXIS2_PLACEMENT_3D('',#545416,#448786,#448787); #360411=AXIS2_PLACEMENT_3D('',#545417,#448788,#448789); #360412=AXIS2_PLACEMENT_3D('',#545426,#448794,#448795); #360413=AXIS2_PLACEMENT_3D('',#545432,#448799,#448800); #360414=AXIS2_PLACEMENT_3D('',#545438,#448804,#448805); #360415=AXIS2_PLACEMENT_3D('',#545441,#448808,#448809); #360416=AXIS2_PLACEMENT_3D('',#545447,#448813,#448814); #360417=AXIS2_PLACEMENT_3D('',#545451,#448817,#448818); #360418=AXIS2_PLACEMENT_3D('',#545452,#448819,#448820); #360419=AXIS2_PLACEMENT_3D('',#545456,#448823,#448824); #360420=AXIS2_PLACEMENT_3D('',#545458,#448826,#448827); #360421=AXIS2_PLACEMENT_3D('',#545462,#448830,#448831); #360422=AXIS2_PLACEMENT_3D('',#545464,#448833,#448834); #360423=AXIS2_PLACEMENT_3D('',#545473,#448839,#448840); #360424=AXIS2_PLACEMENT_3D('',#545479,#448844,#448845); #360425=AXIS2_PLACEMENT_3D('',#545488,#448850,#448851); #360426=AXIS2_PLACEMENT_3D('',#545497,#448856,#448857); #360427=AXIS2_PLACEMENT_3D('',#545503,#448861,#448862); #360428=AXIS2_PLACEMENT_3D('',#545506,#448865,#448866); #360429=AXIS2_PLACEMENT_3D('',#545515,#448871,#448872); #360430=AXIS2_PLACEMENT_3D('',#545521,#448876,#448877); #360431=AXIS2_PLACEMENT_3D('',#545527,#448881,#448882); #360432=AXIS2_PLACEMENT_3D('',#545530,#448885,#448886); #360433=AXIS2_PLACEMENT_3D('',#545536,#448890,#448891); #360434=AXIS2_PLACEMENT_3D('',#545540,#448894,#448895); #360435=AXIS2_PLACEMENT_3D('',#545541,#448896,#448897); #360436=AXIS2_PLACEMENT_3D('',#545550,#448902,#448903); #360437=AXIS2_PLACEMENT_3D('',#545556,#448907,#448908); #360438=AXIS2_PLACEMENT_3D('',#545562,#448912,#448913); #360439=AXIS2_PLACEMENT_3D('',#545565,#448916,#448917); #360440=AXIS2_PLACEMENT_3D('',#545571,#448921,#448922); #360441=AXIS2_PLACEMENT_3D('',#545575,#448925,#448926); #360442=AXIS2_PLACEMENT_3D('',#545576,#448927,#448928); #360443=AXIS2_PLACEMENT_3D('',#545580,#448931,#448932); #360444=AXIS2_PLACEMENT_3D('',#545582,#448934,#448935); #360445=AXIS2_PLACEMENT_3D('',#545586,#448938,#448939); #360446=AXIS2_PLACEMENT_3D('',#545588,#448941,#448942); #360447=AXIS2_PLACEMENT_3D('',#545594,#448946,#448947); #360448=AXIS2_PLACEMENT_3D('',#545603,#448952,#448953); #360449=AXIS2_PLACEMENT_3D('',#545609,#448957,#448958); #360450=AXIS2_PLACEMENT_3D('',#545615,#448962,#448963); #360451=AXIS2_PLACEMENT_3D('',#545621,#448967,#448968); #360452=AXIS2_PLACEMENT_3D('',#545624,#448971,#448972); #360453=AXIS2_PLACEMENT_3D('',#545633,#448977,#448978); #360454=AXIS2_PLACEMENT_3D('',#545639,#448982,#448983); #360455=AXIS2_PLACEMENT_3D('',#545645,#448987,#448988); #360456=AXIS2_PLACEMENT_3D('',#545648,#448991,#448992); #360457=AXIS2_PLACEMENT_3D('',#545654,#448996,#448997); #360458=AXIS2_PLACEMENT_3D('',#545658,#449000,#449001); #360459=AXIS2_PLACEMENT_3D('',#545659,#449002,#449003); #360460=AXIS2_PLACEMENT_3D('',#545668,#449008,#449009); #360461=AXIS2_PLACEMENT_3D('',#545674,#449013,#449014); #360462=AXIS2_PLACEMENT_3D('',#545680,#449018,#449019); #360463=AXIS2_PLACEMENT_3D('',#545683,#449022,#449023); #360464=AXIS2_PLACEMENT_3D('',#545689,#449027,#449028); #360465=AXIS2_PLACEMENT_3D('',#545693,#449031,#449032); #360466=AXIS2_PLACEMENT_3D('',#545694,#449033,#449034); #360467=AXIS2_PLACEMENT_3D('',#545698,#449037,#449038); #360468=AXIS2_PLACEMENT_3D('',#545700,#449040,#449041); #360469=AXIS2_PLACEMENT_3D('',#545704,#449044,#449045); #360470=AXIS2_PLACEMENT_3D('',#545706,#449047,#449048); #360471=AXIS2_PLACEMENT_3D('',#545712,#449052,#449053); #360472=AXIS2_PLACEMENT_3D('',#545718,#449057,#449058); #360473=AXIS2_PLACEMENT_3D('',#545724,#449062,#449063); #360474=AXIS2_PLACEMENT_3D('',#545733,#449068,#449069); #360475=AXIS2_PLACEMENT_3D('',#545739,#449073,#449074); #360476=AXIS2_PLACEMENT_3D('',#545742,#449077,#449078); #360477=AXIS2_PLACEMENT_3D('',#545751,#449083,#449084); #360478=AXIS2_PLACEMENT_3D('',#545757,#449088,#449089); #360479=AXIS2_PLACEMENT_3D('',#545763,#449093,#449094); #360480=AXIS2_PLACEMENT_3D('',#545766,#449097,#449098); #360481=AXIS2_PLACEMENT_3D('',#545772,#449102,#449103); #360482=AXIS2_PLACEMENT_3D('',#545776,#449106,#449107); #360483=AXIS2_PLACEMENT_3D('',#545777,#449108,#449109); #360484=AXIS2_PLACEMENT_3D('',#545786,#449114,#449115); #360485=AXIS2_PLACEMENT_3D('',#545792,#449119,#449120); #360486=AXIS2_PLACEMENT_3D('',#545798,#449124,#449125); #360487=AXIS2_PLACEMENT_3D('',#545801,#449128,#449129); #360488=AXIS2_PLACEMENT_3D('',#545807,#449133,#449134); #360489=AXIS2_PLACEMENT_3D('',#545811,#449137,#449138); #360490=AXIS2_PLACEMENT_3D('',#545812,#449139,#449140); #360491=AXIS2_PLACEMENT_3D('',#545816,#449143,#449144); #360492=AXIS2_PLACEMENT_3D('',#545818,#449146,#449147); #360493=AXIS2_PLACEMENT_3D('',#545822,#449150,#449151); #360494=AXIS2_PLACEMENT_3D('',#545824,#449153,#449154); #360495=AXIS2_PLACEMENT_3D('',#545827,#449157,#449158); #360496=AXIS2_PLACEMENT_3D('',#545837,#449164,#449165); #360497=AXIS2_PLACEMENT_3D('',#545841,#449168,#449169); #360498=AXIS2_PLACEMENT_3D('',#545845,#449172,#449173); #360499=AXIS2_PLACEMENT_3D('',#545849,#449176,#449177); #360500=AXIS2_PLACEMENT_3D('',#545853,#449180,#449181); #360501=AXIS2_PLACEMENT_3D('',#545855,#449183,#449184); #360502=AXIS2_PLACEMENT_3D('',#545856,#449185,#449186); #360503=AXIS2_PLACEMENT_3D('',#545857,#449187,#449188); #360504=AXIS2_PLACEMENT_3D('',#545858,#449189,#449190); #360505=AXIS2_PLACEMENT_3D('',#545859,#449191,#449192); #360506=AXIS2_PLACEMENT_3D('',#545861,#449193,#449194); #360507=AXIS2_PLACEMENT_3D('',#545864,#449196,#449197); #360508=AXIS2_PLACEMENT_3D('',#545865,#449198,#449199); #360509=AXIS2_PLACEMENT_3D('',#545866,#449200,#449201); #360510=AXIS2_PLACEMENT_3D('',#545867,#449202,#449203); #360511=AXIS2_PLACEMENT_3D('',#545869,#449204,#449205); #360512=AXIS2_PLACEMENT_3D('',#545872,#449207,#449208); #360513=AXIS2_PLACEMENT_3D('',#545873,#449209,#449210); #360514=AXIS2_PLACEMENT_3D('',#545875,#449211,#449212); #360515=AXIS2_PLACEMENT_3D('',#545878,#449214,#449215); #360516=AXIS2_PLACEMENT_3D('',#545879,#449216,#449217); #360517=AXIS2_PLACEMENT_3D('',#545880,#449218,#449219); #360518=AXIS2_PLACEMENT_3D('',#545881,#449220,#449221); #360519=AXIS2_PLACEMENT_3D('',#545883,#449222,#449223); #360520=AXIS2_PLACEMENT_3D('',#545886,#449225,#449226); #360521=AXIS2_PLACEMENT_3D('',#545887,#449227,#449228); #360522=AXIS2_PLACEMENT_3D('',#545888,#449229,#449230); #360523=AXIS2_PLACEMENT_3D('',#545889,#449231,#449232); #360524=AXIS2_PLACEMENT_3D('',#545891,#449233,#449234); #360525=AXIS2_PLACEMENT_3D('',#545894,#449236,#449237); #360526=AXIS2_PLACEMENT_3D('',#545895,#449238,#449239); #360527=AXIS2_PLACEMENT_3D('',#545896,#449240,#449241); #360528=AXIS2_PLACEMENT_3D('',#545897,#449242,#449243); #360529=AXIS2_PLACEMENT_3D('',#545899,#449244,#449245); #360530=AXIS2_PLACEMENT_3D('',#545902,#449247,#449248); #360531=AXIS2_PLACEMENT_3D('',#545903,#449249,#449250); #360532=AXIS2_PLACEMENT_3D('',#545904,#449251,#449252); #360533=AXIS2_PLACEMENT_3D('',#545905,#449253,#449254); #360534=AXIS2_PLACEMENT_3D('',#545906,#449255,#449256); #360535=AXIS2_PLACEMENT_3D('',#545907,#449257,#449258); #360536=AXIS2_PLACEMENT_3D('',#545908,#449259,#449260); #360537=AXIS2_PLACEMENT_3D('',#545909,#449261,#449262); #360538=AXIS2_PLACEMENT_3D('',#545910,#449263,#449264); #360539=AXIS2_PLACEMENT_3D('',#545911,#449265,#449266); #360540=AXIS2_PLACEMENT_3D('',#545912,#449267,#449268); #360541=AXIS2_PLACEMENT_3D('',#545913,#449269,#449270); #360542=AXIS2_PLACEMENT_3D('',#545914,#449271,#449272); #360543=AXIS2_PLACEMENT_3D('',#545915,#449273,#449274); #360544=AXIS2_PLACEMENT_3D('',#545916,#449275,#449276); #360545=AXIS2_PLACEMENT_3D('',#545917,#449277,#449278); #360546=AXIS2_PLACEMENT_3D('',#545918,#449279,#449280); #360547=AXIS2_PLACEMENT_3D('',#545919,#449281,#449282); #360548=AXIS2_PLACEMENT_3D('',#545920,#449283,#449284); #360549=AXIS2_PLACEMENT_3D('',#545921,#449285,#449286); #360550=AXIS2_PLACEMENT_3D('',#545922,#449287,#449288); #360551=AXIS2_PLACEMENT_3D('',#545923,#449289,#449290); #360552=AXIS2_PLACEMENT_3D('',#545924,#449291,#449292); #360553=AXIS2_PLACEMENT_3D('',#545925,#449293,#449294); #360554=AXIS2_PLACEMENT_3D('',#545926,#449295,#449296); #360555=AXIS2_PLACEMENT_3D('',#545927,#449297,#449298); #360556=AXIS2_PLACEMENT_3D('',#545928,#449299,#449300); #360557=AXIS2_PLACEMENT_3D('',#545929,#449301,#449302); #360558=AXIS2_PLACEMENT_3D('',#545930,#449303,#449304); #360559=AXIS2_PLACEMENT_3D('',#545931,#449305,#449306); #360560=AXIS2_PLACEMENT_3D('',#545932,#449307,#449308); #360561=AXIS2_PLACEMENT_3D('',#545933,#449309,#449310); #360562=AXIS2_PLACEMENT_3D('',#545934,#449311,#449312); #360563=AXIS2_PLACEMENT_3D('',#545935,#449313,#449314); #360564=AXIS2_PLACEMENT_3D('',#545936,#449315,#449316); #360565=AXIS2_PLACEMENT_3D('',#545937,#449317,#449318); #360566=AXIS2_PLACEMENT_3D('',#545938,#449319,#449320); #360567=AXIS2_PLACEMENT_3D('',#545939,#449321,#449322); #360568=AXIS2_PLACEMENT_3D('',#545940,#449323,#449324); #360569=AXIS2_PLACEMENT_3D('',#545941,#449325,#449326); #360570=AXIS2_PLACEMENT_3D('',#545942,#449327,#449328); #360571=AXIS2_PLACEMENT_3D('',#545943,#449329,#449330); #360572=AXIS2_PLACEMENT_3D('',#545944,#449331,#449332); #360573=AXIS2_PLACEMENT_3D('',#545945,#449333,#449334); #360574=AXIS2_PLACEMENT_3D('',#545946,#449335,#449336); #360575=AXIS2_PLACEMENT_3D('',#545947,#449337,#449338); #360576=AXIS2_PLACEMENT_3D('',#545948,#449339,#449340); #360577=AXIS2_PLACEMENT_3D('',#545949,#449341,#449342); #360578=AXIS2_PLACEMENT_3D('',#545950,#449343,#449344); #360579=AXIS2_PLACEMENT_3D('',#545951,#449345,#449346); #360580=AXIS2_PLACEMENT_3D('',#545952,#449347,#449348); #360581=AXIS2_PLACEMENT_3D('',#545953,#449349,#449350); #360582=AXIS2_PLACEMENT_3D('',#545954,#449351,#449352); #360583=AXIS2_PLACEMENT_3D('',#545955,#449353,#449354); #360584=AXIS2_PLACEMENT_3D('',#545956,#449355,#449356); #360585=AXIS2_PLACEMENT_3D('',#545957,#449357,#449358); #360586=AXIS2_PLACEMENT_3D('',#545958,#449359,#449360); #360587=AXIS2_PLACEMENT_3D('',#545959,#449361,#449362); #360588=AXIS2_PLACEMENT_3D('',#545960,#449363,#449364); #360589=AXIS2_PLACEMENT_3D('',#545961,#449365,#449366); #360590=AXIS2_PLACEMENT_3D('',#545962,#449367,#449368); #360591=AXIS2_PLACEMENT_3D('',#545963,#449369,#449370); #360592=AXIS2_PLACEMENT_3D('',#545964,#449371,#449372); #360593=AXIS2_PLACEMENT_3D('',#545965,#449373,#449374); #360594=AXIS2_PLACEMENT_3D('',#545966,#449375,#449376); #360595=AXIS2_PLACEMENT_3D('',#545967,#449377,#449378); #360596=AXIS2_PLACEMENT_3D('',#545968,#449379,#449380); #360597=AXIS2_PLACEMENT_3D('',#545969,#449381,#449382); #360598=AXIS2_PLACEMENT_3D('',#545970,#449383,#449384); #360599=AXIS2_PLACEMENT_3D('',#545971,#449385,#449386); #360600=AXIS2_PLACEMENT_3D('',#545972,#449387,#449388); #360601=AXIS2_PLACEMENT_3D('',#545973,#449389,#449390); #360602=AXIS2_PLACEMENT_3D('',#545974,#449391,#449392); #360603=AXIS2_PLACEMENT_3D('',#545975,#449393,#449394); #360604=AXIS2_PLACEMENT_3D('',#545976,#449395,#449396); #360605=AXIS2_PLACEMENT_3D('',#545977,#449397,#449398); #360606=AXIS2_PLACEMENT_3D('',#545978,#449399,#449400); #360607=AXIS2_PLACEMENT_3D('',#545979,#449401,#449402); #360608=AXIS2_PLACEMENT_3D('',#545980,#449403,#449404); #360609=AXIS2_PLACEMENT_3D('',#545981,#449405,#449406); #360610=DIRECTION('axis',(0.,0.,1.)); #360611=DIRECTION('refdir',(1.,0.,0.)); #360612=DIRECTION('axis',(0.,0.,1.)); #360613=DIRECTION('refdir',(1.,0.,0.)); #360614=DIRECTION('center_axis',(0.,0.,-1.)); #360615=DIRECTION('ref_axis',(1.,0.,0.)); #360616=DIRECTION('center_axis',(0.,0.,-1.)); #360617=DIRECTION('ref_axis',(1.,0.,0.)); #360618=DIRECTION('',(0.,0.,-1.)); #360619=DIRECTION('center_axis',(0.,0.,1.)); #360620=DIRECTION('ref_axis',(1.,0.,0.)); #360621=DIRECTION('center_axis',(0.,0.,-1.)); #360622=DIRECTION('ref_axis',(1.,0.,0.)); #360623=DIRECTION('center_axis',(0.,0.,-1.)); #360624=DIRECTION('ref_axis',(1.,0.,0.)); #360625=DIRECTION('',(0.,0.,-1.)); #360626=DIRECTION('center_axis',(0.,0.,1.)); #360627=DIRECTION('ref_axis',(1.,0.,0.)); #360628=DIRECTION('center_axis',(0.,0.,1.)); #360629=DIRECTION('ref_axis',(1.,0.,0.)); #360630=DIRECTION('center_axis',(0.,0.,1.)); #360631=DIRECTION('ref_axis',(1.,0.,0.)); #360632=DIRECTION('',(0.,0.,1.)); #360633=DIRECTION('center_axis',(0.,0.,-1.)); #360634=DIRECTION('ref_axis',(1.,0.,0.)); #360635=DIRECTION('center_axis',(0.,0.,1.)); #360636=DIRECTION('ref_axis',(1.,0.,0.)); #360637=DIRECTION('center_axis',(0.,0.,1.)); #360638=DIRECTION('ref_axis',(1.,0.,0.)); #360639=DIRECTION('',(0.,0.,1.)); #360640=DIRECTION('center_axis',(0.,0.,-1.)); #360641=DIRECTION('ref_axis',(1.,0.,0.)); #360642=DIRECTION('center_axis',(0.,0.,1.)); #360643=DIRECTION('ref_axis',(1.,0.,0.)); #360644=DIRECTION('center_axis',(0.,0.,1.)); #360645=DIRECTION('ref_axis',(1.,0.,0.)); #360646=DIRECTION('',(0.,0.,1.)); #360647=DIRECTION('center_axis',(0.,0.,-1.)); #360648=DIRECTION('ref_axis',(1.,0.,0.)); #360649=DIRECTION('center_axis',(0.,0.,1.)); #360650=DIRECTION('ref_axis',(1.,0.,0.)); #360651=DIRECTION('center_axis',(0.,0.,1.)); #360652=DIRECTION('ref_axis',(1.,0.,0.)); #360653=DIRECTION('',(0.,0.,1.)); #360654=DIRECTION('center_axis',(0.,0.,-1.)); #360655=DIRECTION('ref_axis',(1.,0.,0.)); #360656=DIRECTION('center_axis',(0.,0.,1.)); #360657=DIRECTION('ref_axis',(1.,0.,0.)); #360658=DIRECTION('center_axis',(0.,0.,1.)); #360659=DIRECTION('ref_axis',(1.,0.,0.)); #360660=DIRECTION('',(0.,0.,1.)); #360661=DIRECTION('center_axis',(0.,0.,-1.)); #360662=DIRECTION('ref_axis',(1.,0.,0.)); #360663=DIRECTION('center_axis',(0.,0.,1.)); #360664=DIRECTION('ref_axis',(1.,0.,0.)); #360665=DIRECTION('center_axis',(0.,0.,1.)); #360666=DIRECTION('ref_axis',(1.,0.,0.)); #360667=DIRECTION('',(0.,0.,1.)); #360668=DIRECTION('center_axis',(0.,0.,-1.)); #360669=DIRECTION('ref_axis',(1.,0.,0.)); #360670=DIRECTION('center_axis',(0.,0.,1.)); #360671=DIRECTION('ref_axis',(1.,0.,0.)); #360672=DIRECTION('center_axis',(0.,0.,1.)); #360673=DIRECTION('ref_axis',(1.,0.,0.)); #360674=DIRECTION('',(0.,0.,1.)); #360675=DIRECTION('center_axis',(0.,0.,-1.)); #360676=DIRECTION('ref_axis',(1.,0.,0.)); #360677=DIRECTION('center_axis',(0.,0.,1.)); #360678=DIRECTION('ref_axis',(1.,0.,0.)); #360679=DIRECTION('center_axis',(0.,0.,1.)); #360680=DIRECTION('ref_axis',(1.,0.,0.)); #360681=DIRECTION('',(0.,0.,1.)); #360682=DIRECTION('center_axis',(0.,0.,-1.)); #360683=DIRECTION('ref_axis',(1.,0.,0.)); #360684=DIRECTION('center_axis',(0.,0.,1.)); #360685=DIRECTION('ref_axis',(1.,0.,0.)); #360686=DIRECTION('center_axis',(0.,0.,1.)); #360687=DIRECTION('ref_axis',(1.,0.,0.)); #360688=DIRECTION('',(0.,0.,1.)); #360689=DIRECTION('center_axis',(0.,0.,-1.)); #360690=DIRECTION('ref_axis',(1.,0.,0.)); #360691=DIRECTION('center_axis',(0.,0.,1.)); #360692=DIRECTION('ref_axis',(1.,0.,0.)); #360693=DIRECTION('center_axis',(0.,0.,1.)); #360694=DIRECTION('ref_axis',(1.,0.,0.)); #360695=DIRECTION('',(0.,0.,1.)); #360696=DIRECTION('center_axis',(0.,0.,-1.)); #360697=DIRECTION('ref_axis',(1.,0.,0.)); #360698=DIRECTION('center_axis',(0.,0.,1.)); #360699=DIRECTION('ref_axis',(1.,0.,0.)); #360700=DIRECTION('center_axis',(0.,0.,1.)); #360701=DIRECTION('ref_axis',(1.,0.,0.)); #360702=DIRECTION('',(0.,0.,1.)); #360703=DIRECTION('center_axis',(0.,0.,-1.)); #360704=DIRECTION('ref_axis',(1.,0.,0.)); #360705=DIRECTION('center_axis',(0.,0.,1.)); #360706=DIRECTION('ref_axis',(1.,0.,0.)); #360707=DIRECTION('center_axis',(0.,0.,1.)); #360708=DIRECTION('ref_axis',(1.,0.,0.)); #360709=DIRECTION('',(0.,0.,1.)); #360710=DIRECTION('center_axis',(0.,0.,-1.)); #360711=DIRECTION('ref_axis',(1.,0.,0.)); #360712=DIRECTION('center_axis',(0.,0.,1.)); #360713=DIRECTION('ref_axis',(1.,0.,0.)); #360714=DIRECTION('center_axis',(0.,0.,1.)); #360715=DIRECTION('ref_axis',(1.,0.,0.)); #360716=DIRECTION('',(0.,0.,1.)); #360717=DIRECTION('center_axis',(0.,0.,-1.)); #360718=DIRECTION('ref_axis',(1.,0.,0.)); #360719=DIRECTION('center_axis',(0.,0.,1.)); #360720=DIRECTION('ref_axis',(1.,0.,0.)); #360721=DIRECTION('center_axis',(0.,0.,1.)); #360722=DIRECTION('ref_axis',(1.,0.,0.)); #360723=DIRECTION('',(0.,0.,1.)); #360724=DIRECTION('center_axis',(0.,0.,-1.)); #360725=DIRECTION('ref_axis',(1.,0.,0.)); #360726=DIRECTION('center_axis',(0.,0.,1.)); #360727=DIRECTION('ref_axis',(1.,0.,0.)); #360728=DIRECTION('center_axis',(0.,0.,1.)); #360729=DIRECTION('ref_axis',(1.,0.,0.)); #360730=DIRECTION('',(0.,0.,1.)); #360731=DIRECTION('center_axis',(0.,0.,-1.)); #360732=DIRECTION('ref_axis',(1.,0.,0.)); #360733=DIRECTION('center_axis',(0.,0.,1.)); #360734=DIRECTION('ref_axis',(1.,0.,0.)); #360735=DIRECTION('center_axis',(0.,0.,1.)); #360736=DIRECTION('ref_axis',(1.,0.,0.)); #360737=DIRECTION('',(0.,0.,1.)); #360738=DIRECTION('center_axis',(0.,0.,-1.)); #360739=DIRECTION('ref_axis',(1.,0.,0.)); #360740=DIRECTION('center_axis',(0.,0.,1.)); #360741=DIRECTION('ref_axis',(1.,0.,0.)); #360742=DIRECTION('center_axis',(0.,0.,1.)); #360743=DIRECTION('ref_axis',(1.,0.,0.)); #360744=DIRECTION('',(0.,0.,1.)); #360745=DIRECTION('center_axis',(0.,0.,-1.)); #360746=DIRECTION('ref_axis',(1.,0.,0.)); #360747=DIRECTION('center_axis',(0.,0.,1.)); #360748=DIRECTION('ref_axis',(1.,0.,0.)); #360749=DIRECTION('center_axis',(0.,0.,1.)); #360750=DIRECTION('ref_axis',(1.,0.,0.)); #360751=DIRECTION('',(0.,0.,1.)); #360752=DIRECTION('center_axis',(0.,0.,-1.)); #360753=DIRECTION('ref_axis',(1.,0.,0.)); #360754=DIRECTION('center_axis',(0.,0.,1.)); #360755=DIRECTION('ref_axis',(1.,0.,0.)); #360756=DIRECTION('center_axis',(0.,0.,1.)); #360757=DIRECTION('ref_axis',(1.,0.,0.)); #360758=DIRECTION('',(0.,0.,1.)); #360759=DIRECTION('center_axis',(0.,0.,-1.)); #360760=DIRECTION('ref_axis',(1.,0.,0.)); #360761=DIRECTION('center_axis',(0.,0.,1.)); #360762=DIRECTION('ref_axis',(1.,0.,0.)); #360763=DIRECTION('center_axis',(0.,0.,1.)); #360764=DIRECTION('ref_axis',(1.,0.,0.)); #360765=DIRECTION('',(0.,0.,1.)); #360766=DIRECTION('center_axis',(0.,0.,-1.)); #360767=DIRECTION('ref_axis',(1.,0.,0.)); #360768=DIRECTION('center_axis',(0.,0.,1.)); #360769=DIRECTION('ref_axis',(1.,0.,0.)); #360770=DIRECTION('center_axis',(0.,0.,1.)); #360771=DIRECTION('ref_axis',(1.,0.,0.)); #360772=DIRECTION('',(0.,0.,1.)); #360773=DIRECTION('center_axis',(0.,0.,-1.)); #360774=DIRECTION('ref_axis',(1.,0.,0.)); #360775=DIRECTION('center_axis',(0.,0.,1.)); #360776=DIRECTION('ref_axis',(1.,0.,0.)); #360777=DIRECTION('center_axis',(0.,0.,1.)); #360778=DIRECTION('ref_axis',(1.,0.,0.)); #360779=DIRECTION('',(0.,0.,1.)); #360780=DIRECTION('center_axis',(0.,0.,-1.)); #360781=DIRECTION('ref_axis',(1.,0.,0.)); #360782=DIRECTION('center_axis',(0.,0.,1.)); #360783=DIRECTION('ref_axis',(1.,0.,0.)); #360784=DIRECTION('center_axis',(0.,0.,1.)); #360785=DIRECTION('ref_axis',(1.,0.,0.)); #360786=DIRECTION('',(0.,0.,1.)); #360787=DIRECTION('center_axis',(0.,0.,-1.)); #360788=DIRECTION('ref_axis',(1.,0.,0.)); #360789=DIRECTION('center_axis',(0.,0.,1.)); #360790=DIRECTION('ref_axis',(1.,0.,0.)); #360791=DIRECTION('center_axis',(0.,0.,1.)); #360792=DIRECTION('ref_axis',(1.,0.,0.)); #360793=DIRECTION('',(0.,0.,1.)); #360794=DIRECTION('center_axis',(0.,0.,-1.)); #360795=DIRECTION('ref_axis',(1.,0.,0.)); #360796=DIRECTION('center_axis',(0.,0.,1.)); #360797=DIRECTION('ref_axis',(1.,0.,0.)); #360798=DIRECTION('center_axis',(0.,0.,1.)); #360799=DIRECTION('ref_axis',(1.,0.,0.)); #360800=DIRECTION('',(0.,0.,1.)); #360801=DIRECTION('center_axis',(0.,0.,-1.)); #360802=DIRECTION('ref_axis',(1.,0.,0.)); #360803=DIRECTION('center_axis',(0.,0.,1.)); #360804=DIRECTION('ref_axis',(1.,0.,0.)); #360805=DIRECTION('center_axis',(0.,0.,1.)); #360806=DIRECTION('ref_axis',(1.,0.,0.)); #360807=DIRECTION('',(0.,0.,1.)); #360808=DIRECTION('center_axis',(0.,0.,-1.)); #360809=DIRECTION('ref_axis',(1.,0.,0.)); #360810=DIRECTION('center_axis',(0.,0.,1.)); #360811=DIRECTION('ref_axis',(1.,0.,0.)); #360812=DIRECTION('center_axis',(0.,0.,1.)); #360813=DIRECTION('ref_axis',(1.,0.,0.)); #360814=DIRECTION('',(0.,0.,1.)); #360815=DIRECTION('center_axis',(0.,0.,-1.)); #360816=DIRECTION('ref_axis',(1.,0.,0.)); #360817=DIRECTION('center_axis',(0.,0.,1.)); #360818=DIRECTION('ref_axis',(1.,0.,0.)); #360819=DIRECTION('center_axis',(0.,0.,1.)); #360820=DIRECTION('ref_axis',(1.,0.,0.)); #360821=DIRECTION('',(0.,0.,1.)); #360822=DIRECTION('center_axis',(0.,0.,-1.)); #360823=DIRECTION('ref_axis',(1.,0.,0.)); #360824=DIRECTION('center_axis',(0.,0.,1.)); #360825=DIRECTION('ref_axis',(1.,0.,0.)); #360826=DIRECTION('center_axis',(0.,0.,1.)); #360827=DIRECTION('ref_axis',(1.,0.,0.)); #360828=DIRECTION('',(0.,0.,1.)); #360829=DIRECTION('center_axis',(0.,0.,-1.)); #360830=DIRECTION('ref_axis',(1.,0.,0.)); #360831=DIRECTION('center_axis',(0.,0.,1.)); #360832=DIRECTION('ref_axis',(1.,0.,0.)); #360833=DIRECTION('center_axis',(0.,0.,1.)); #360834=DIRECTION('ref_axis',(1.,0.,0.)); #360835=DIRECTION('',(0.,0.,1.)); #360836=DIRECTION('center_axis',(0.,0.,-1.)); #360837=DIRECTION('ref_axis',(1.,0.,0.)); #360838=DIRECTION('center_axis',(0.,0.,1.)); #360839=DIRECTION('ref_axis',(1.,0.,0.)); #360840=DIRECTION('center_axis',(0.,0.,1.)); #360841=DIRECTION('ref_axis',(1.,0.,0.)); #360842=DIRECTION('',(0.,0.,1.)); #360843=DIRECTION('center_axis',(0.,0.,-1.)); #360844=DIRECTION('ref_axis',(1.,0.,0.)); #360845=DIRECTION('center_axis',(0.,0.,1.)); #360846=DIRECTION('ref_axis',(1.,0.,0.)); #360847=DIRECTION('center_axis',(0.,0.,1.)); #360848=DIRECTION('ref_axis',(1.,0.,0.)); #360849=DIRECTION('',(0.,0.,1.)); #360850=DIRECTION('center_axis',(0.,0.,-1.)); #360851=DIRECTION('ref_axis',(1.,0.,0.)); #360852=DIRECTION('center_axis',(0.,0.,1.)); #360853=DIRECTION('ref_axis',(1.,0.,0.)); #360854=DIRECTION('center_axis',(0.,0.,1.)); #360855=DIRECTION('ref_axis',(1.,0.,0.)); #360856=DIRECTION('',(0.,0.,1.)); #360857=DIRECTION('center_axis',(0.,0.,-1.)); #360858=DIRECTION('ref_axis',(1.,0.,0.)); #360859=DIRECTION('center_axis',(0.,0.,1.)); #360860=DIRECTION('ref_axis',(1.,0.,0.)); #360861=DIRECTION('center_axis',(0.,0.,1.)); #360862=DIRECTION('ref_axis',(1.,0.,0.)); #360863=DIRECTION('',(0.,0.,1.)); #360864=DIRECTION('center_axis',(0.,0.,-1.)); #360865=DIRECTION('ref_axis',(1.,0.,0.)); #360866=DIRECTION('center_axis',(0.,0.,1.)); #360867=DIRECTION('ref_axis',(1.,0.,0.)); #360868=DIRECTION('center_axis',(0.,0.,1.)); #360869=DIRECTION('ref_axis',(1.,0.,0.)); #360870=DIRECTION('',(0.,0.,1.)); #360871=DIRECTION('center_axis',(0.,0.,-1.)); #360872=DIRECTION('ref_axis',(1.,0.,0.)); #360873=DIRECTION('center_axis',(0.,0.,1.)); #360874=DIRECTION('ref_axis',(1.,0.,0.)); #360875=DIRECTION('center_axis',(0.,0.,1.)); #360876=DIRECTION('ref_axis',(1.,0.,0.)); #360877=DIRECTION('',(0.,0.,1.)); #360878=DIRECTION('center_axis',(0.,0.,-1.)); #360879=DIRECTION('ref_axis',(1.,0.,0.)); #360880=DIRECTION('center_axis',(0.,0.,1.)); #360881=DIRECTION('ref_axis',(1.,0.,0.)); #360882=DIRECTION('center_axis',(0.,0.,1.)); #360883=DIRECTION('ref_axis',(1.,0.,0.)); #360884=DIRECTION('',(0.,0.,1.)); #360885=DIRECTION('center_axis',(0.,0.,-1.)); #360886=DIRECTION('ref_axis',(1.,0.,0.)); #360887=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #360888=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #360889=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #360890=DIRECTION('',(0.,0.,1.)); #360891=DIRECTION('',(5.55111499297693E-15,1.,0.)); #360892=DIRECTION('',(0.,0.,1.)); #360893=DIRECTION('center_axis',(0.,0.,1.)); #360894=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360895=DIRECTION('center_axis',(0.,0.,-1.)); #360896=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360897=DIRECTION('center_axis',(0.,0.,1.)); #360898=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360899=DIRECTION('',(0.,0.,1.)); #360900=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #360901=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #360902=DIRECTION('',(5.55111499297693E-15,1.,0.)); #360903=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #360904=DIRECTION('',(0.,0.,1.)); #360905=DIRECTION('center_axis',(0.,0.,1.)); #360906=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360907=DIRECTION('center_axis',(0.,0.,-1.)); #360908=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360909=DIRECTION('center_axis',(0.,0.,1.)); #360910=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360911=DIRECTION('center_axis',(0.,0.,1.)); #360912=DIRECTION('ref_axis',(1.,0.,0.)); #360913=DIRECTION('center_axis',(0.,0.,1.)); #360914=DIRECTION('ref_axis',(1.,0.,0.)); #360915=DIRECTION('',(0.,0.,1.)); #360916=DIRECTION('center_axis',(0.,0.,-1.)); #360917=DIRECTION('ref_axis',(1.,0.,0.)); #360918=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #360919=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #360920=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #360921=DIRECTION('',(0.,0.,1.)); #360922=DIRECTION('',(5.55111499297693E-15,1.,0.)); #360923=DIRECTION('',(0.,0.,1.)); #360924=DIRECTION('center_axis',(0.,0.,1.)); #360925=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360926=DIRECTION('center_axis',(0.,0.,-1.)); #360927=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360928=DIRECTION('center_axis',(0.,0.,1.)); #360929=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #360930=DIRECTION('',(0.,0.,1.)); #360931=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #360932=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #360933=DIRECTION('',(5.55111499297693E-15,1.,0.)); #360934=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #360935=DIRECTION('',(0.,0.,1.)); #360936=DIRECTION('center_axis',(0.,0.,1.)); #360937=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360938=DIRECTION('center_axis',(0.,0.,-1.)); #360939=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360940=DIRECTION('center_axis',(0.,0.,1.)); #360941=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #360942=DIRECTION('center_axis',(0.,0.,1.)); #360943=DIRECTION('ref_axis',(1.,0.,0.)); #360944=DIRECTION('center_axis',(0.,0.,1.)); #360945=DIRECTION('ref_axis',(1.,0.,0.)); #360946=DIRECTION('',(0.,0.,1.)); #360947=DIRECTION('center_axis',(0.,0.,-1.)); #360948=DIRECTION('ref_axis',(1.,0.,0.)); #360949=DIRECTION('center_axis',(0.,0.,1.)); #360950=DIRECTION('ref_axis',(1.,0.,0.)); #360951=DIRECTION('center_axis',(0.,0.,1.)); #360952=DIRECTION('ref_axis',(1.,0.,0.)); #360953=DIRECTION('',(0.,0.,1.)); #360954=DIRECTION('center_axis',(0.,0.,-1.)); #360955=DIRECTION('ref_axis',(1.,0.,0.)); #360956=DIRECTION('center_axis',(0.,0.,1.)); #360957=DIRECTION('ref_axis',(1.,0.,0.)); #360958=DIRECTION('center_axis',(0.,0.,1.)); #360959=DIRECTION('ref_axis',(1.,0.,0.)); #360960=DIRECTION('',(0.,0.,1.)); #360961=DIRECTION('center_axis',(0.,0.,-1.)); #360962=DIRECTION('ref_axis',(1.,0.,0.)); #360963=DIRECTION('center_axis',(0.,0.,1.)); #360964=DIRECTION('ref_axis',(1.,0.,0.)); #360965=DIRECTION('center_axis',(0.,0.,1.)); #360966=DIRECTION('ref_axis',(1.,0.,0.)); #360967=DIRECTION('',(0.,0.,1.)); #360968=DIRECTION('center_axis',(0.,0.,-1.)); #360969=DIRECTION('ref_axis',(1.,0.,0.)); #360970=DIRECTION('center_axis',(0.,0.,1.)); #360971=DIRECTION('ref_axis',(1.,0.,0.)); #360972=DIRECTION('center_axis',(0.,0.,1.)); #360973=DIRECTION('ref_axis',(1.,0.,0.)); #360974=DIRECTION('',(0.,0.,1.)); #360975=DIRECTION('center_axis',(0.,0.,-1.)); #360976=DIRECTION('ref_axis',(1.,0.,0.)); #360977=DIRECTION('center_axis',(0.,0.,1.)); #360978=DIRECTION('ref_axis',(1.,0.,0.)); #360979=DIRECTION('center_axis',(0.,0.,1.)); #360980=DIRECTION('ref_axis',(1.,0.,0.)); #360981=DIRECTION('',(0.,0.,1.)); #360982=DIRECTION('center_axis',(0.,0.,-1.)); #360983=DIRECTION('ref_axis',(1.,0.,0.)); #360984=DIRECTION('center_axis',(0.,0.,1.)); #360985=DIRECTION('ref_axis',(1.,0.,0.)); #360986=DIRECTION('center_axis',(0.,0.,1.)); #360987=DIRECTION('ref_axis',(1.,0.,0.)); #360988=DIRECTION('',(0.,0.,1.)); #360989=DIRECTION('center_axis',(0.,0.,-1.)); #360990=DIRECTION('ref_axis',(1.,0.,0.)); #360991=DIRECTION('center_axis',(0.,0.,1.)); #360992=DIRECTION('ref_axis',(1.,0.,0.)); #360993=DIRECTION('center_axis',(0.,0.,1.)); #360994=DIRECTION('ref_axis',(1.,0.,0.)); #360995=DIRECTION('',(0.,0.,1.)); #360996=DIRECTION('center_axis',(0.,0.,-1.)); #360997=DIRECTION('ref_axis',(1.,0.,0.)); #360998=DIRECTION('center_axis',(0.,0.,1.)); #360999=DIRECTION('ref_axis',(1.,0.,0.)); #361000=DIRECTION('center_axis',(0.,0.,1.)); #361001=DIRECTION('ref_axis',(1.,0.,0.)); #361002=DIRECTION('',(0.,0.,1.)); #361003=DIRECTION('center_axis',(0.,0.,-1.)); #361004=DIRECTION('ref_axis',(1.,0.,0.)); #361005=DIRECTION('center_axis',(0.,0.,1.)); #361006=DIRECTION('ref_axis',(1.,0.,0.)); #361007=DIRECTION('center_axis',(0.,0.,1.)); #361008=DIRECTION('ref_axis',(1.,0.,0.)); #361009=DIRECTION('',(0.,0.,1.)); #361010=DIRECTION('center_axis',(0.,0.,-1.)); #361011=DIRECTION('ref_axis',(1.,0.,0.)); #361012=DIRECTION('center_axis',(0.,0.,1.)); #361013=DIRECTION('ref_axis',(1.,0.,0.)); #361014=DIRECTION('center_axis',(0.,0.,1.)); #361015=DIRECTION('ref_axis',(1.,0.,0.)); #361016=DIRECTION('',(0.,0.,1.)); #361017=DIRECTION('center_axis',(0.,0.,-1.)); #361018=DIRECTION('ref_axis',(1.,0.,0.)); #361019=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #361020=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #361021=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #361022=DIRECTION('',(0.,0.,1.)); #361023=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #361024=DIRECTION('',(0.,0.,1.)); #361025=DIRECTION('center_axis',(0.,0.,1.)); #361026=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361027=DIRECTION('center_axis',(0.,0.,-1.)); #361028=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361029=DIRECTION('center_axis',(0.,0.,1.)); #361030=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361031=DIRECTION('',(0.,0.,1.)); #361032=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #361033=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #361034=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #361035=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #361036=DIRECTION('',(0.,0.,1.)); #361037=DIRECTION('center_axis',(0.,0.,1.)); #361038=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361039=DIRECTION('center_axis',(0.,0.,-1.)); #361040=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361041=DIRECTION('center_axis',(0.,0.,1.)); #361042=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361043=DIRECTION('center_axis',(0.,0.,1.)); #361044=DIRECTION('ref_axis',(1.,0.,0.)); #361045=DIRECTION('center_axis',(0.,0.,1.)); #361046=DIRECTION('ref_axis',(1.,0.,0.)); #361047=DIRECTION('',(0.,0.,1.)); #361048=DIRECTION('center_axis',(0.,0.,-1.)); #361049=DIRECTION('ref_axis',(1.,0.,0.)); #361050=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #361051=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #361052=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #361053=DIRECTION('',(0.,0.,1.)); #361054=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #361055=DIRECTION('',(0.,0.,1.)); #361056=DIRECTION('center_axis',(0.,0.,1.)); #361057=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361058=DIRECTION('center_axis',(0.,0.,-1.)); #361059=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361060=DIRECTION('center_axis',(0.,0.,1.)); #361061=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #361062=DIRECTION('',(0.,0.,1.)); #361063=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #361064=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #361065=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #361066=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #361067=DIRECTION('',(0.,0.,1.)); #361068=DIRECTION('center_axis',(0.,0.,1.)); #361069=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361070=DIRECTION('center_axis',(0.,0.,-1.)); #361071=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361072=DIRECTION('center_axis',(0.,0.,1.)); #361073=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #361074=DIRECTION('center_axis',(0.,0.,1.)); #361075=DIRECTION('ref_axis',(1.,0.,0.)); #361076=DIRECTION('center_axis',(0.,0.,1.)); #361077=DIRECTION('ref_axis',(1.,0.,0.)); #361078=DIRECTION('',(0.,0.,1.)); #361079=DIRECTION('center_axis',(0.,0.,-1.)); #361080=DIRECTION('ref_axis',(1.,0.,0.)); #361081=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #361082=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #361083=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #361084=DIRECTION('',(0.,0.,1.)); #361085=DIRECTION('',(5.55111499297693E-15,1.,0.)); #361086=DIRECTION('',(0.,0.,1.)); #361087=DIRECTION('center_axis',(0.,0.,1.)); #361088=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361089=DIRECTION('center_axis',(0.,0.,-1.)); #361090=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361091=DIRECTION('center_axis',(0.,0.,1.)); #361092=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361093=DIRECTION('',(0.,0.,1.)); #361094=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #361095=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #361096=DIRECTION('',(5.55111499297693E-15,1.,0.)); #361097=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #361098=DIRECTION('',(0.,0.,1.)); #361099=DIRECTION('center_axis',(0.,0.,1.)); #361100=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361101=DIRECTION('center_axis',(0.,0.,-1.)); #361102=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361103=DIRECTION('center_axis',(0.,0.,1.)); #361104=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361105=DIRECTION('center_axis',(0.,0.,1.)); #361106=DIRECTION('ref_axis',(1.,0.,0.)); #361107=DIRECTION('center_axis',(0.,0.,1.)); #361108=DIRECTION('ref_axis',(1.,0.,0.)); #361109=DIRECTION('',(0.,0.,1.)); #361110=DIRECTION('center_axis',(0.,0.,-1.)); #361111=DIRECTION('ref_axis',(1.,0.,0.)); #361112=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #361113=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #361114=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #361115=DIRECTION('',(0.,0.,1.)); #361116=DIRECTION('',(5.55111499297693E-15,1.,0.)); #361117=DIRECTION('',(0.,0.,1.)); #361118=DIRECTION('center_axis',(0.,0.,1.)); #361119=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361120=DIRECTION('center_axis',(0.,0.,-1.)); #361121=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361122=DIRECTION('center_axis',(0.,0.,1.)); #361123=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #361124=DIRECTION('',(0.,0.,1.)); #361125=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #361126=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #361127=DIRECTION('',(5.55111499297693E-15,1.,0.)); #361128=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #361129=DIRECTION('',(0.,0.,1.)); #361130=DIRECTION('center_axis',(0.,0.,1.)); #361131=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361132=DIRECTION('center_axis',(0.,0.,-1.)); #361133=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361134=DIRECTION('center_axis',(0.,0.,1.)); #361135=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #361136=DIRECTION('center_axis',(0.,0.,1.)); #361137=DIRECTION('ref_axis',(1.,0.,0.)); #361138=DIRECTION('center_axis',(0.,0.,1.)); #361139=DIRECTION('ref_axis',(1.,0.,0.)); #361140=DIRECTION('',(0.,0.,1.)); #361141=DIRECTION('center_axis',(0.,0.,-1.)); #361142=DIRECTION('ref_axis',(1.,0.,0.)); #361143=DIRECTION('center_axis',(0.,0.,1.)); #361144=DIRECTION('ref_axis',(1.,0.,0.)); #361145=DIRECTION('center_axis',(0.,0.,1.)); #361146=DIRECTION('ref_axis',(1.,0.,0.)); #361147=DIRECTION('',(0.,0.,1.)); #361148=DIRECTION('center_axis',(0.,0.,-1.)); #361149=DIRECTION('ref_axis',(1.,0.,0.)); #361150=DIRECTION('center_axis',(0.,0.,1.)); #361151=DIRECTION('ref_axis',(1.,0.,0.)); #361152=DIRECTION('center_axis',(0.,0.,1.)); #361153=DIRECTION('ref_axis',(1.,0.,0.)); #361154=DIRECTION('',(0.,0.,1.)); #361155=DIRECTION('center_axis',(0.,0.,-1.)); #361156=DIRECTION('ref_axis',(1.,0.,0.)); #361157=DIRECTION('center_axis',(0.,0.,1.)); #361158=DIRECTION('ref_axis',(1.,0.,0.)); #361159=DIRECTION('center_axis',(0.,0.,1.)); #361160=DIRECTION('ref_axis',(1.,0.,0.)); #361161=DIRECTION('',(0.,0.,1.)); #361162=DIRECTION('center_axis',(0.,0.,-1.)); #361163=DIRECTION('ref_axis',(1.,0.,0.)); #361164=DIRECTION('center_axis',(0.,0.,1.)); #361165=DIRECTION('ref_axis',(1.,0.,0.)); #361166=DIRECTION('center_axis',(0.,0.,1.)); #361167=DIRECTION('ref_axis',(1.,0.,0.)); #361168=DIRECTION('',(0.,0.,1.)); #361169=DIRECTION('center_axis',(0.,0.,-1.)); #361170=DIRECTION('ref_axis',(1.,0.,0.)); #361171=DIRECTION('center_axis',(0.,0.,1.)); #361172=DIRECTION('ref_axis',(1.,0.,0.)); #361173=DIRECTION('center_axis',(0.,0.,1.)); #361174=DIRECTION('ref_axis',(1.,0.,0.)); #361175=DIRECTION('',(0.,0.,1.)); #361176=DIRECTION('center_axis',(0.,0.,-1.)); #361177=DIRECTION('ref_axis',(1.,0.,0.)); #361178=DIRECTION('center_axis',(0.,0.,1.)); #361179=DIRECTION('ref_axis',(1.,0.,0.)); #361180=DIRECTION('center_axis',(0.,0.,1.)); #361181=DIRECTION('ref_axis',(1.,0.,0.)); #361182=DIRECTION('',(0.,0.,1.)); #361183=DIRECTION('center_axis',(0.,0.,-1.)); #361184=DIRECTION('ref_axis',(1.,0.,0.)); #361185=DIRECTION('center_axis',(0.,0.,1.)); #361186=DIRECTION('ref_axis',(1.,0.,0.)); #361187=DIRECTION('center_axis',(0.,0.,1.)); #361188=DIRECTION('ref_axis',(1.,0.,0.)); #361189=DIRECTION('',(0.,0.,1.)); #361190=DIRECTION('center_axis',(0.,0.,-1.)); #361191=DIRECTION('ref_axis',(1.,0.,0.)); #361192=DIRECTION('center_axis',(0.,0.,1.)); #361193=DIRECTION('ref_axis',(1.,0.,0.)); #361194=DIRECTION('center_axis',(0.,0.,1.)); #361195=DIRECTION('ref_axis',(1.,0.,0.)); #361196=DIRECTION('',(0.,0.,1.)); #361197=DIRECTION('center_axis',(0.,0.,-1.)); #361198=DIRECTION('ref_axis',(1.,0.,0.)); #361199=DIRECTION('center_axis',(0.,0.,1.)); #361200=DIRECTION('ref_axis',(1.,0.,0.)); #361201=DIRECTION('center_axis',(0.,0.,1.)); #361202=DIRECTION('ref_axis',(1.,0.,0.)); #361203=DIRECTION('',(0.,0.,1.)); #361204=DIRECTION('center_axis',(0.,0.,-1.)); #361205=DIRECTION('ref_axis',(1.,0.,0.)); #361206=DIRECTION('center_axis',(0.,0.,1.)); #361207=DIRECTION('ref_axis',(1.,0.,0.)); #361208=DIRECTION('center_axis',(0.,0.,1.)); #361209=DIRECTION('ref_axis',(1.,0.,0.)); #361210=DIRECTION('',(0.,0.,1.)); #361211=DIRECTION('center_axis',(0.,0.,-1.)); #361212=DIRECTION('ref_axis',(1.,0.,0.)); #361213=DIRECTION('center_axis',(0.,0.,1.)); #361214=DIRECTION('ref_axis',(1.,0.,0.)); #361215=DIRECTION('center_axis',(0.,0.,1.)); #361216=DIRECTION('ref_axis',(1.,0.,0.)); #361217=DIRECTION('',(0.,0.,1.)); #361218=DIRECTION('center_axis',(0.,0.,-1.)); #361219=DIRECTION('ref_axis',(1.,0.,0.)); #361220=DIRECTION('center_axis',(0.,0.,1.)); #361221=DIRECTION('ref_axis',(1.,0.,0.)); #361222=DIRECTION('center_axis',(0.,0.,1.)); #361223=DIRECTION('ref_axis',(1.,0.,0.)); #361224=DIRECTION('',(0.,0.,1.)); #361225=DIRECTION('center_axis',(0.,0.,-1.)); #361226=DIRECTION('ref_axis',(1.,0.,0.)); #361227=DIRECTION('center_axis',(0.,0.,1.)); #361228=DIRECTION('ref_axis',(1.,0.,0.)); #361229=DIRECTION('center_axis',(0.,0.,1.)); #361230=DIRECTION('ref_axis',(1.,0.,0.)); #361231=DIRECTION('',(0.,0.,1.)); #361232=DIRECTION('center_axis',(0.,0.,-1.)); #361233=DIRECTION('ref_axis',(1.,0.,0.)); #361234=DIRECTION('center_axis',(0.,0.,1.)); #361235=DIRECTION('ref_axis',(1.,0.,0.)); #361236=DIRECTION('center_axis',(0.,0.,1.)); #361237=DIRECTION('ref_axis',(1.,0.,0.)); #361238=DIRECTION('',(0.,0.,1.)); #361239=DIRECTION('center_axis',(0.,0.,-1.)); #361240=DIRECTION('ref_axis',(1.,0.,0.)); #361241=DIRECTION('center_axis',(0.,0.,1.)); #361242=DIRECTION('ref_axis',(1.,0.,0.)); #361243=DIRECTION('center_axis',(0.,0.,1.)); #361244=DIRECTION('ref_axis',(1.,0.,0.)); #361245=DIRECTION('',(0.,0.,1.)); #361246=DIRECTION('center_axis',(0.,0.,-1.)); #361247=DIRECTION('ref_axis',(1.,0.,0.)); #361248=DIRECTION('center_axis',(0.,0.,1.)); #361249=DIRECTION('ref_axis',(1.,0.,0.)); #361250=DIRECTION('center_axis',(0.,0.,1.)); #361251=DIRECTION('ref_axis',(1.,0.,0.)); #361252=DIRECTION('',(0.,0.,1.)); #361253=DIRECTION('center_axis',(0.,0.,-1.)); #361254=DIRECTION('ref_axis',(1.,0.,0.)); #361255=DIRECTION('center_axis',(0.,0.,1.)); #361256=DIRECTION('ref_axis',(1.,0.,0.)); #361257=DIRECTION('center_axis',(0.,0.,1.)); #361258=DIRECTION('ref_axis',(1.,0.,0.)); #361259=DIRECTION('',(0.,0.,1.)); #361260=DIRECTION('center_axis',(0.,0.,-1.)); #361261=DIRECTION('ref_axis',(1.,0.,0.)); #361262=DIRECTION('center_axis',(0.,0.,1.)); #361263=DIRECTION('ref_axis',(1.,0.,0.)); #361264=DIRECTION('center_axis',(0.,0.,1.)); #361265=DIRECTION('ref_axis',(1.,0.,0.)); #361266=DIRECTION('',(0.,0.,1.)); #361267=DIRECTION('center_axis',(0.,0.,-1.)); #361268=DIRECTION('ref_axis',(1.,0.,0.)); #361269=DIRECTION('center_axis',(0.,0.,1.)); #361270=DIRECTION('ref_axis',(1.,0.,0.)); #361271=DIRECTION('center_axis',(0.,0.,1.)); #361272=DIRECTION('ref_axis',(1.,0.,0.)); #361273=DIRECTION('',(0.,0.,1.)); #361274=DIRECTION('center_axis',(0.,0.,-1.)); #361275=DIRECTION('ref_axis',(1.,0.,0.)); #361276=DIRECTION('center_axis',(0.,0.,1.)); #361277=DIRECTION('ref_axis',(1.,0.,0.)); #361278=DIRECTION('center_axis',(0.,0.,1.)); #361279=DIRECTION('ref_axis',(1.,0.,0.)); #361280=DIRECTION('',(0.,0.,1.)); #361281=DIRECTION('center_axis',(0.,0.,-1.)); #361282=DIRECTION('ref_axis',(1.,0.,0.)); #361283=DIRECTION('center_axis',(0.,0.,1.)); #361284=DIRECTION('ref_axis',(1.,0.,0.)); #361285=DIRECTION('center_axis',(0.,0.,1.)); #361286=DIRECTION('ref_axis',(1.,0.,0.)); #361287=DIRECTION('',(0.,0.,1.)); #361288=DIRECTION('center_axis',(0.,0.,-1.)); #361289=DIRECTION('ref_axis',(1.,0.,0.)); #361290=DIRECTION('center_axis',(0.,0.,1.)); #361291=DIRECTION('ref_axis',(1.,0.,0.)); #361292=DIRECTION('center_axis',(0.,0.,1.)); #361293=DIRECTION('ref_axis',(1.,0.,0.)); #361294=DIRECTION('',(0.,0.,1.)); #361295=DIRECTION('center_axis',(0.,0.,-1.)); #361296=DIRECTION('ref_axis',(1.,0.,0.)); #361297=DIRECTION('center_axis',(0.,0.,1.)); #361298=DIRECTION('ref_axis',(1.,0.,0.)); #361299=DIRECTION('center_axis',(0.,0.,1.)); #361300=DIRECTION('ref_axis',(1.,0.,0.)); #361301=DIRECTION('',(0.,0.,1.)); #361302=DIRECTION('center_axis',(0.,0.,-1.)); #361303=DIRECTION('ref_axis',(1.,0.,0.)); #361304=DIRECTION('center_axis',(0.,0.,1.)); #361305=DIRECTION('ref_axis',(1.,0.,0.)); #361306=DIRECTION('center_axis',(0.,0.,1.)); #361307=DIRECTION('ref_axis',(1.,0.,0.)); #361308=DIRECTION('',(0.,0.,1.)); #361309=DIRECTION('center_axis',(0.,0.,-1.)); #361310=DIRECTION('ref_axis',(1.,0.,0.)); #361311=DIRECTION('center_axis',(0.,0.,1.)); #361312=DIRECTION('ref_axis',(1.,0.,0.)); #361313=DIRECTION('center_axis',(0.,0.,1.)); #361314=DIRECTION('ref_axis',(1.,0.,0.)); #361315=DIRECTION('',(0.,0.,1.)); #361316=DIRECTION('center_axis',(0.,0.,-1.)); #361317=DIRECTION('ref_axis',(1.,0.,0.)); #361318=DIRECTION('center_axis',(0.,0.,1.)); #361319=DIRECTION('ref_axis',(1.,0.,0.)); #361320=DIRECTION('center_axis',(0.,0.,1.)); #361321=DIRECTION('ref_axis',(1.,0.,0.)); #361322=DIRECTION('',(0.,0.,1.)); #361323=DIRECTION('center_axis',(0.,0.,-1.)); #361324=DIRECTION('ref_axis',(1.,0.,0.)); #361325=DIRECTION('center_axis',(0.,0.,1.)); #361326=DIRECTION('ref_axis',(1.,0.,0.)); #361327=DIRECTION('center_axis',(0.,0.,1.)); #361328=DIRECTION('ref_axis',(1.,0.,0.)); #361329=DIRECTION('',(0.,0.,1.)); #361330=DIRECTION('center_axis',(0.,0.,-1.)); #361331=DIRECTION('ref_axis',(1.,0.,0.)); #361332=DIRECTION('center_axis',(0.,0.,1.)); #361333=DIRECTION('ref_axis',(1.,0.,0.)); #361334=DIRECTION('center_axis',(0.,0.,1.)); #361335=DIRECTION('ref_axis',(1.,0.,0.)); #361336=DIRECTION('',(0.,0.,1.)); #361337=DIRECTION('center_axis',(0.,0.,-1.)); #361338=DIRECTION('ref_axis',(1.,0.,0.)); #361339=DIRECTION('center_axis',(0.,0.,1.)); #361340=DIRECTION('ref_axis',(1.,0.,0.)); #361341=DIRECTION('center_axis',(0.,0.,1.)); #361342=DIRECTION('ref_axis',(1.,0.,0.)); #361343=DIRECTION('',(0.,0.,1.)); #361344=DIRECTION('center_axis',(0.,0.,-1.)); #361345=DIRECTION('ref_axis',(1.,0.,0.)); #361346=DIRECTION('center_axis',(0.,0.,1.)); #361347=DIRECTION('ref_axis',(1.,0.,0.)); #361348=DIRECTION('center_axis',(0.,0.,1.)); #361349=DIRECTION('ref_axis',(1.,0.,0.)); #361350=DIRECTION('',(0.,0.,1.)); #361351=DIRECTION('center_axis',(0.,0.,-1.)); #361352=DIRECTION('ref_axis',(1.,0.,0.)); #361353=DIRECTION('center_axis',(0.,0.,1.)); #361354=DIRECTION('ref_axis',(1.,0.,0.)); #361355=DIRECTION('center_axis',(0.,0.,1.)); #361356=DIRECTION('ref_axis',(1.,0.,0.)); #361357=DIRECTION('',(0.,0.,1.)); #361358=DIRECTION('center_axis',(0.,0.,-1.)); #361359=DIRECTION('ref_axis',(1.,0.,0.)); #361360=DIRECTION('center_axis',(0.,0.,1.)); #361361=DIRECTION('ref_axis',(1.,0.,0.)); #361362=DIRECTION('center_axis',(0.,0.,1.)); #361363=DIRECTION('ref_axis',(1.,0.,0.)); #361364=DIRECTION('',(0.,0.,1.)); #361365=DIRECTION('center_axis',(0.,0.,-1.)); #361366=DIRECTION('ref_axis',(1.,0.,0.)); #361367=DIRECTION('center_axis',(0.,0.,1.)); #361368=DIRECTION('ref_axis',(1.,0.,0.)); #361369=DIRECTION('center_axis',(0.,0.,1.)); #361370=DIRECTION('ref_axis',(1.,0.,0.)); #361371=DIRECTION('',(0.,0.,1.)); #361372=DIRECTION('center_axis',(0.,0.,-1.)); #361373=DIRECTION('ref_axis',(1.,0.,0.)); #361374=DIRECTION('center_axis',(0.,0.,1.)); #361375=DIRECTION('ref_axis',(1.,0.,0.)); #361376=DIRECTION('center_axis',(0.,0.,1.)); #361377=DIRECTION('ref_axis',(1.,0.,0.)); #361378=DIRECTION('',(0.,0.,1.)); #361379=DIRECTION('center_axis',(0.,0.,-1.)); #361380=DIRECTION('ref_axis',(1.,0.,0.)); #361381=DIRECTION('center_axis',(0.,0.,1.)); #361382=DIRECTION('ref_axis',(1.,0.,0.)); #361383=DIRECTION('center_axis',(0.,0.,1.)); #361384=DIRECTION('ref_axis',(1.,0.,0.)); #361385=DIRECTION('',(0.,0.,1.)); #361386=DIRECTION('center_axis',(0.,0.,-1.)); #361387=DIRECTION('ref_axis',(1.,0.,0.)); #361388=DIRECTION('center_axis',(0.,0.,1.)); #361389=DIRECTION('ref_axis',(1.,0.,0.)); #361390=DIRECTION('center_axis',(0.,0.,1.)); #361391=DIRECTION('ref_axis',(1.,0.,0.)); #361392=DIRECTION('',(0.,0.,1.)); #361393=DIRECTION('center_axis',(0.,0.,-1.)); #361394=DIRECTION('ref_axis',(1.,0.,0.)); #361395=DIRECTION('center_axis',(0.,0.,1.)); #361396=DIRECTION('ref_axis',(1.,0.,0.)); #361397=DIRECTION('center_axis',(0.,0.,1.)); #361398=DIRECTION('ref_axis',(1.,0.,0.)); #361399=DIRECTION('',(0.,0.,1.)); #361400=DIRECTION('center_axis',(0.,0.,-1.)); #361401=DIRECTION('ref_axis',(1.,0.,0.)); #361402=DIRECTION('center_axis',(0.,0.,1.)); #361403=DIRECTION('ref_axis',(1.,0.,0.)); #361404=DIRECTION('center_axis',(0.,0.,1.)); #361405=DIRECTION('ref_axis',(1.,0.,0.)); #361406=DIRECTION('',(0.,0.,1.)); #361407=DIRECTION('center_axis',(0.,0.,-1.)); #361408=DIRECTION('ref_axis',(1.,0.,0.)); #361409=DIRECTION('center_axis',(0.,0.,1.)); #361410=DIRECTION('ref_axis',(1.,0.,0.)); #361411=DIRECTION('center_axis',(0.,0.,1.)); #361412=DIRECTION('ref_axis',(1.,0.,0.)); #361413=DIRECTION('',(0.,0.,1.)); #361414=DIRECTION('center_axis',(0.,0.,-1.)); #361415=DIRECTION('ref_axis',(1.,0.,0.)); #361416=DIRECTION('center_axis',(0.,0.,1.)); #361417=DIRECTION('ref_axis',(1.,0.,0.)); #361418=DIRECTION('center_axis',(0.,0.,1.)); #361419=DIRECTION('ref_axis',(1.,0.,0.)); #361420=DIRECTION('',(0.,0.,1.)); #361421=DIRECTION('center_axis',(0.,0.,-1.)); #361422=DIRECTION('ref_axis',(1.,0.,0.)); #361423=DIRECTION('center_axis',(0.,0.,1.)); #361424=DIRECTION('ref_axis',(1.,0.,0.)); #361425=DIRECTION('center_axis',(0.,0.,1.)); #361426=DIRECTION('ref_axis',(1.,0.,0.)); #361427=DIRECTION('',(0.,0.,1.)); #361428=DIRECTION('center_axis',(0.,0.,-1.)); #361429=DIRECTION('ref_axis',(1.,0.,0.)); #361430=DIRECTION('center_axis',(0.,0.,1.)); #361431=DIRECTION('ref_axis',(1.,0.,0.)); #361432=DIRECTION('center_axis',(0.,0.,1.)); #361433=DIRECTION('ref_axis',(1.,0.,0.)); #361434=DIRECTION('',(0.,0.,1.)); #361435=DIRECTION('center_axis',(0.,0.,-1.)); #361436=DIRECTION('ref_axis',(1.,0.,0.)); #361437=DIRECTION('center_axis',(0.,0.,1.)); #361438=DIRECTION('ref_axis',(1.,0.,0.)); #361439=DIRECTION('center_axis',(0.,0.,1.)); #361440=DIRECTION('ref_axis',(1.,0.,0.)); #361441=DIRECTION('',(0.,0.,1.)); #361442=DIRECTION('center_axis',(0.,0.,-1.)); #361443=DIRECTION('ref_axis',(1.,0.,0.)); #361444=DIRECTION('center_axis',(0.,0.,1.)); #361445=DIRECTION('ref_axis',(1.,0.,0.)); #361446=DIRECTION('center_axis',(0.,0.,1.)); #361447=DIRECTION('ref_axis',(1.,0.,0.)); #361448=DIRECTION('',(0.,0.,1.)); #361449=DIRECTION('center_axis',(0.,0.,-1.)); #361450=DIRECTION('ref_axis',(1.,0.,0.)); #361451=DIRECTION('center_axis',(0.,0.,1.)); #361452=DIRECTION('ref_axis',(1.,0.,0.)); #361453=DIRECTION('center_axis',(0.,0.,1.)); #361454=DIRECTION('ref_axis',(1.,0.,0.)); #361455=DIRECTION('',(0.,0.,1.)); #361456=DIRECTION('center_axis',(0.,0.,-1.)); #361457=DIRECTION('ref_axis',(1.,0.,0.)); #361458=DIRECTION('center_axis',(0.,0.,1.)); #361459=DIRECTION('ref_axis',(1.,0.,0.)); #361460=DIRECTION('center_axis',(0.,0.,1.)); #361461=DIRECTION('ref_axis',(1.,0.,0.)); #361462=DIRECTION('',(0.,0.,1.)); #361463=DIRECTION('center_axis',(0.,0.,-1.)); #361464=DIRECTION('ref_axis',(1.,0.,0.)); #361465=DIRECTION('center_axis',(0.,0.,1.)); #361466=DIRECTION('ref_axis',(1.,0.,0.)); #361467=DIRECTION('center_axis',(0.,0.,1.)); #361468=DIRECTION('ref_axis',(1.,0.,0.)); #361469=DIRECTION('',(0.,0.,1.)); #361470=DIRECTION('center_axis',(0.,0.,-1.)); #361471=DIRECTION('ref_axis',(1.,0.,0.)); #361472=DIRECTION('center_axis',(0.,0.,1.)); #361473=DIRECTION('ref_axis',(1.,0.,0.)); #361474=DIRECTION('center_axis',(0.,0.,1.)); #361475=DIRECTION('ref_axis',(1.,0.,0.)); #361476=DIRECTION('',(0.,0.,1.)); #361477=DIRECTION('center_axis',(0.,0.,-1.)); #361478=DIRECTION('ref_axis',(1.,0.,0.)); #361479=DIRECTION('center_axis',(0.,0.,1.)); #361480=DIRECTION('ref_axis',(1.,0.,0.)); #361481=DIRECTION('center_axis',(0.,0.,1.)); #361482=DIRECTION('ref_axis',(1.,0.,0.)); #361483=DIRECTION('',(0.,0.,1.)); #361484=DIRECTION('center_axis',(0.,0.,-1.)); #361485=DIRECTION('ref_axis',(1.,0.,0.)); #361486=DIRECTION('center_axis',(0.,0.,1.)); #361487=DIRECTION('ref_axis',(1.,0.,0.)); #361488=DIRECTION('center_axis',(0.,0.,1.)); #361489=DIRECTION('ref_axis',(1.,0.,0.)); #361490=DIRECTION('',(0.,0.,1.)); #361491=DIRECTION('center_axis',(0.,0.,-1.)); #361492=DIRECTION('ref_axis',(1.,0.,0.)); #361493=DIRECTION('center_axis',(0.,0.,1.)); #361494=DIRECTION('ref_axis',(1.,0.,0.)); #361495=DIRECTION('center_axis',(0.,0.,1.)); #361496=DIRECTION('ref_axis',(1.,0.,0.)); #361497=DIRECTION('',(0.,0.,1.)); #361498=DIRECTION('center_axis',(0.,0.,-1.)); #361499=DIRECTION('ref_axis',(1.,0.,0.)); #361500=DIRECTION('center_axis',(0.,0.,1.)); #361501=DIRECTION('ref_axis',(1.,0.,0.)); #361502=DIRECTION('center_axis',(0.,0.,1.)); #361503=DIRECTION('ref_axis',(1.,0.,0.)); #361504=DIRECTION('',(0.,0.,1.)); #361505=DIRECTION('center_axis',(0.,0.,-1.)); #361506=DIRECTION('ref_axis',(1.,0.,0.)); #361507=DIRECTION('center_axis',(0.,0.,1.)); #361508=DIRECTION('ref_axis',(1.,0.,0.)); #361509=DIRECTION('center_axis',(0.,0.,1.)); #361510=DIRECTION('ref_axis',(1.,0.,0.)); #361511=DIRECTION('',(0.,0.,1.)); #361512=DIRECTION('center_axis',(0.,0.,-1.)); #361513=DIRECTION('ref_axis',(1.,0.,0.)); #361514=DIRECTION('center_axis',(0.,0.,1.)); #361515=DIRECTION('ref_axis',(1.,0.,0.)); #361516=DIRECTION('center_axis',(0.,0.,1.)); #361517=DIRECTION('ref_axis',(1.,0.,0.)); #361518=DIRECTION('',(0.,0.,1.)); #361519=DIRECTION('center_axis',(0.,0.,-1.)); #361520=DIRECTION('ref_axis',(1.,0.,0.)); #361521=DIRECTION('center_axis',(0.,0.,1.)); #361522=DIRECTION('ref_axis',(1.,0.,0.)); #361523=DIRECTION('center_axis',(0.,0.,1.)); #361524=DIRECTION('ref_axis',(1.,0.,0.)); #361525=DIRECTION('',(0.,0.,1.)); #361526=DIRECTION('center_axis',(0.,0.,-1.)); #361527=DIRECTION('ref_axis',(1.,0.,0.)); #361528=DIRECTION('center_axis',(0.,0.,1.)); #361529=DIRECTION('ref_axis',(1.,0.,0.)); #361530=DIRECTION('center_axis',(0.,0.,1.)); #361531=DIRECTION('ref_axis',(1.,0.,0.)); #361532=DIRECTION('',(0.,0.,1.)); #361533=DIRECTION('center_axis',(0.,0.,-1.)); #361534=DIRECTION('ref_axis',(1.,0.,0.)); #361535=DIRECTION('center_axis',(0.,0.,1.)); #361536=DIRECTION('ref_axis',(1.,0.,0.)); #361537=DIRECTION('center_axis',(0.,0.,1.)); #361538=DIRECTION('ref_axis',(1.,0.,0.)); #361539=DIRECTION('',(0.,0.,1.)); #361540=DIRECTION('center_axis',(0.,0.,-1.)); #361541=DIRECTION('ref_axis',(1.,0.,0.)); #361542=DIRECTION('center_axis',(0.,0.,1.)); #361543=DIRECTION('ref_axis',(1.,0.,0.)); #361544=DIRECTION('center_axis',(0.,0.,1.)); #361545=DIRECTION('ref_axis',(1.,0.,0.)); #361546=DIRECTION('',(0.,0.,1.)); #361547=DIRECTION('center_axis',(0.,0.,-1.)); #361548=DIRECTION('ref_axis',(1.,0.,0.)); #361549=DIRECTION('center_axis',(0.,0.,1.)); #361550=DIRECTION('ref_axis',(1.,0.,0.)); #361551=DIRECTION('center_axis',(0.,0.,1.)); #361552=DIRECTION('ref_axis',(1.,0.,0.)); #361553=DIRECTION('',(0.,0.,1.)); #361554=DIRECTION('center_axis',(0.,0.,-1.)); #361555=DIRECTION('ref_axis',(1.,0.,0.)); #361556=DIRECTION('center_axis',(0.,0.,1.)); #361557=DIRECTION('ref_axis',(1.,0.,0.)); #361558=DIRECTION('center_axis',(0.,0.,1.)); #361559=DIRECTION('ref_axis',(1.,0.,0.)); #361560=DIRECTION('',(0.,0.,1.)); #361561=DIRECTION('center_axis',(0.,0.,-1.)); #361562=DIRECTION('ref_axis',(1.,0.,0.)); #361563=DIRECTION('center_axis',(0.,0.,1.)); #361564=DIRECTION('ref_axis',(1.,0.,0.)); #361565=DIRECTION('center_axis',(0.,0.,1.)); #361566=DIRECTION('ref_axis',(1.,0.,0.)); #361567=DIRECTION('',(0.,0.,1.)); #361568=DIRECTION('center_axis',(0.,0.,-1.)); #361569=DIRECTION('ref_axis',(1.,0.,0.)); #361570=DIRECTION('center_axis',(0.,0.,1.)); #361571=DIRECTION('ref_axis',(1.,0.,0.)); #361572=DIRECTION('center_axis',(0.,0.,1.)); #361573=DIRECTION('ref_axis',(1.,0.,0.)); #361574=DIRECTION('',(0.,0.,1.)); #361575=DIRECTION('center_axis',(0.,0.,-1.)); #361576=DIRECTION('ref_axis',(1.,0.,0.)); #361577=DIRECTION('center_axis',(0.,0.,1.)); #361578=DIRECTION('ref_axis',(1.,0.,0.)); #361579=DIRECTION('center_axis',(0.,0.,1.)); #361580=DIRECTION('ref_axis',(1.,0.,0.)); #361581=DIRECTION('',(0.,0.,1.)); #361582=DIRECTION('center_axis',(0.,0.,-1.)); #361583=DIRECTION('ref_axis',(1.,0.,0.)); #361584=DIRECTION('center_axis',(0.,0.,1.)); #361585=DIRECTION('ref_axis',(1.,0.,0.)); #361586=DIRECTION('center_axis',(0.,0.,1.)); #361587=DIRECTION('ref_axis',(1.,0.,0.)); #361588=DIRECTION('',(0.,0.,1.)); #361589=DIRECTION('center_axis',(0.,0.,-1.)); #361590=DIRECTION('ref_axis',(1.,0.,0.)); #361591=DIRECTION('center_axis',(0.,0.,1.)); #361592=DIRECTION('ref_axis',(1.,0.,0.)); #361593=DIRECTION('center_axis',(0.,0.,1.)); #361594=DIRECTION('ref_axis',(1.,0.,0.)); #361595=DIRECTION('',(0.,0.,1.)); #361596=DIRECTION('center_axis',(0.,0.,-1.)); #361597=DIRECTION('ref_axis',(1.,0.,0.)); #361598=DIRECTION('center_axis',(0.,0.,1.)); #361599=DIRECTION('ref_axis',(1.,0.,0.)); #361600=DIRECTION('center_axis',(0.,0.,1.)); #361601=DIRECTION('ref_axis',(1.,0.,0.)); #361602=DIRECTION('',(0.,0.,1.)); #361603=DIRECTION('center_axis',(0.,0.,-1.)); #361604=DIRECTION('ref_axis',(1.,0.,0.)); #361605=DIRECTION('center_axis',(0.,0.,1.)); #361606=DIRECTION('ref_axis',(1.,0.,0.)); #361607=DIRECTION('center_axis',(0.,0.,1.)); #361608=DIRECTION('ref_axis',(1.,0.,0.)); #361609=DIRECTION('',(0.,0.,1.)); #361610=DIRECTION('center_axis',(0.,0.,-1.)); #361611=DIRECTION('ref_axis',(1.,0.,0.)); #361612=DIRECTION('center_axis',(0.,0.,1.)); #361613=DIRECTION('ref_axis',(1.,0.,0.)); #361614=DIRECTION('center_axis',(0.,0.,1.)); #361615=DIRECTION('ref_axis',(1.,0.,0.)); #361616=DIRECTION('',(0.,0.,1.)); #361617=DIRECTION('center_axis',(0.,0.,-1.)); #361618=DIRECTION('ref_axis',(1.,0.,0.)); #361619=DIRECTION('center_axis',(0.,0.,1.)); #361620=DIRECTION('ref_axis',(1.,0.,0.)); #361621=DIRECTION('center_axis',(0.,0.,1.)); #361622=DIRECTION('ref_axis',(1.,0.,0.)); #361623=DIRECTION('',(0.,0.,1.)); #361624=DIRECTION('center_axis',(0.,0.,-1.)); #361625=DIRECTION('ref_axis',(1.,0.,0.)); #361626=DIRECTION('center_axis',(0.,0.,1.)); #361627=DIRECTION('ref_axis',(1.,0.,0.)); #361628=DIRECTION('center_axis',(0.,0.,1.)); #361629=DIRECTION('ref_axis',(1.,0.,0.)); #361630=DIRECTION('',(0.,0.,1.)); #361631=DIRECTION('center_axis',(0.,0.,-1.)); #361632=DIRECTION('ref_axis',(1.,0.,0.)); #361633=DIRECTION('center_axis',(0.,0.,1.)); #361634=DIRECTION('ref_axis',(1.,0.,0.)); #361635=DIRECTION('center_axis',(0.,0.,1.)); #361636=DIRECTION('ref_axis',(1.,0.,0.)); #361637=DIRECTION('',(0.,0.,1.)); #361638=DIRECTION('center_axis',(0.,0.,-1.)); #361639=DIRECTION('ref_axis',(1.,0.,0.)); #361640=DIRECTION('center_axis',(0.,0.,1.)); #361641=DIRECTION('ref_axis',(1.,0.,0.)); #361642=DIRECTION('center_axis',(0.,0.,1.)); #361643=DIRECTION('ref_axis',(1.,0.,0.)); #361644=DIRECTION('',(0.,0.,1.)); #361645=DIRECTION('center_axis',(0.,0.,-1.)); #361646=DIRECTION('ref_axis',(1.,0.,0.)); #361647=DIRECTION('center_axis',(0.,0.,1.)); #361648=DIRECTION('ref_axis',(1.,0.,0.)); #361649=DIRECTION('center_axis',(0.,0.,1.)); #361650=DIRECTION('ref_axis',(1.,0.,0.)); #361651=DIRECTION('',(0.,0.,1.)); #361652=DIRECTION('center_axis',(0.,0.,-1.)); #361653=DIRECTION('ref_axis',(1.,0.,0.)); #361654=DIRECTION('center_axis',(0.,0.,1.)); #361655=DIRECTION('ref_axis',(1.,0.,0.)); #361656=DIRECTION('center_axis',(0.,0.,1.)); #361657=DIRECTION('ref_axis',(1.,0.,0.)); #361658=DIRECTION('',(0.,0.,1.)); #361659=DIRECTION('center_axis',(0.,0.,-1.)); #361660=DIRECTION('ref_axis',(1.,0.,0.)); #361661=DIRECTION('center_axis',(0.,0.,1.)); #361662=DIRECTION('ref_axis',(1.,0.,0.)); #361663=DIRECTION('center_axis',(0.,0.,1.)); #361664=DIRECTION('ref_axis',(1.,0.,0.)); #361665=DIRECTION('',(0.,0.,1.)); #361666=DIRECTION('center_axis',(0.,0.,-1.)); #361667=DIRECTION('ref_axis',(1.,0.,0.)); #361668=DIRECTION('center_axis',(0.,0.,1.)); #361669=DIRECTION('ref_axis',(1.,0.,0.)); #361670=DIRECTION('center_axis',(0.,0.,1.)); #361671=DIRECTION('ref_axis',(1.,0.,0.)); #361672=DIRECTION('',(0.,0.,1.)); #361673=DIRECTION('center_axis',(0.,0.,-1.)); #361674=DIRECTION('ref_axis',(1.,0.,0.)); #361675=DIRECTION('center_axis',(0.,0.,1.)); #361676=DIRECTION('ref_axis',(1.,0.,0.)); #361677=DIRECTION('center_axis',(0.,0.,1.)); #361678=DIRECTION('ref_axis',(1.,0.,0.)); #361679=DIRECTION('',(0.,0.,1.)); #361680=DIRECTION('center_axis',(0.,0.,-1.)); #361681=DIRECTION('ref_axis',(1.,0.,0.)); #361682=DIRECTION('center_axis',(0.,0.,1.)); #361683=DIRECTION('ref_axis',(1.,0.,0.)); #361684=DIRECTION('center_axis',(0.,0.,1.)); #361685=DIRECTION('ref_axis',(1.,0.,0.)); #361686=DIRECTION('',(0.,0.,1.)); #361687=DIRECTION('center_axis',(0.,0.,-1.)); #361688=DIRECTION('ref_axis',(1.,0.,0.)); #361689=DIRECTION('center_axis',(0.,0.,1.)); #361690=DIRECTION('ref_axis',(1.,0.,0.)); #361691=DIRECTION('center_axis',(0.,0.,1.)); #361692=DIRECTION('ref_axis',(1.,0.,0.)); #361693=DIRECTION('',(0.,0.,1.)); #361694=DIRECTION('center_axis',(0.,0.,-1.)); #361695=DIRECTION('ref_axis',(1.,0.,0.)); #361696=DIRECTION('center_axis',(0.,0.,1.)); #361697=DIRECTION('ref_axis',(1.,0.,0.)); #361698=DIRECTION('center_axis',(0.,0.,1.)); #361699=DIRECTION('ref_axis',(1.,0.,0.)); #361700=DIRECTION('',(0.,0.,1.)); #361701=DIRECTION('center_axis',(0.,0.,-1.)); #361702=DIRECTION('ref_axis',(1.,0.,0.)); #361703=DIRECTION('center_axis',(0.,0.,1.)); #361704=DIRECTION('ref_axis',(1.,0.,0.)); #361705=DIRECTION('center_axis',(0.,0.,1.)); #361706=DIRECTION('ref_axis',(1.,0.,0.)); #361707=DIRECTION('',(0.,0.,1.)); #361708=DIRECTION('center_axis',(0.,0.,-1.)); #361709=DIRECTION('ref_axis',(1.,0.,0.)); #361710=DIRECTION('center_axis',(0.,0.,1.)); #361711=DIRECTION('ref_axis',(1.,0.,0.)); #361712=DIRECTION('center_axis',(0.,0.,1.)); #361713=DIRECTION('ref_axis',(1.,0.,0.)); #361714=DIRECTION('',(0.,0.,1.)); #361715=DIRECTION('center_axis',(0.,0.,-1.)); #361716=DIRECTION('ref_axis',(1.,0.,0.)); #361717=DIRECTION('center_axis',(0.,0.,1.)); #361718=DIRECTION('ref_axis',(1.,0.,0.)); #361719=DIRECTION('center_axis',(0.,0.,1.)); #361720=DIRECTION('ref_axis',(1.,0.,0.)); #361721=DIRECTION('',(0.,0.,1.)); #361722=DIRECTION('center_axis',(0.,0.,-1.)); #361723=DIRECTION('ref_axis',(1.,0.,0.)); #361724=DIRECTION('center_axis',(0.,0.,1.)); #361725=DIRECTION('ref_axis',(1.,0.,0.)); #361726=DIRECTION('center_axis',(0.,0.,1.)); #361727=DIRECTION('ref_axis',(1.,0.,0.)); #361728=DIRECTION('',(0.,0.,1.)); #361729=DIRECTION('center_axis',(0.,0.,-1.)); #361730=DIRECTION('ref_axis',(1.,0.,0.)); #361731=DIRECTION('center_axis',(0.,0.,1.)); #361732=DIRECTION('ref_axis',(1.,0.,0.)); #361733=DIRECTION('center_axis',(0.,0.,1.)); #361734=DIRECTION('ref_axis',(1.,0.,0.)); #361735=DIRECTION('',(0.,0.,1.)); #361736=DIRECTION('center_axis',(0.,0.,-1.)); #361737=DIRECTION('ref_axis',(1.,0.,0.)); #361738=DIRECTION('center_axis',(0.,0.,1.)); #361739=DIRECTION('ref_axis',(1.,0.,0.)); #361740=DIRECTION('center_axis',(0.,0.,1.)); #361741=DIRECTION('ref_axis',(1.,0.,0.)); #361742=DIRECTION('',(0.,0.,1.)); #361743=DIRECTION('center_axis',(0.,0.,-1.)); #361744=DIRECTION('ref_axis',(1.,0.,0.)); #361745=DIRECTION('center_axis',(0.,0.,1.)); #361746=DIRECTION('ref_axis',(1.,0.,0.)); #361747=DIRECTION('center_axis',(0.,0.,1.)); #361748=DIRECTION('ref_axis',(1.,0.,0.)); #361749=DIRECTION('',(0.,0.,1.)); #361750=DIRECTION('center_axis',(0.,0.,-1.)); #361751=DIRECTION('ref_axis',(1.,0.,0.)); #361752=DIRECTION('center_axis',(0.,0.,1.)); #361753=DIRECTION('ref_axis',(1.,0.,0.)); #361754=DIRECTION('center_axis',(0.,0.,1.)); #361755=DIRECTION('ref_axis',(1.,0.,0.)); #361756=DIRECTION('',(0.,0.,1.)); #361757=DIRECTION('center_axis',(0.,0.,-1.)); #361758=DIRECTION('ref_axis',(1.,0.,0.)); #361759=DIRECTION('center_axis',(0.,0.,1.)); #361760=DIRECTION('ref_axis',(1.,0.,0.)); #361761=DIRECTION('center_axis',(0.,0.,1.)); #361762=DIRECTION('ref_axis',(1.,0.,0.)); #361763=DIRECTION('',(0.,0.,1.)); #361764=DIRECTION('center_axis',(0.,0.,-1.)); #361765=DIRECTION('ref_axis',(1.,0.,0.)); #361766=DIRECTION('center_axis',(0.,0.,1.)); #361767=DIRECTION('ref_axis',(1.,0.,0.)); #361768=DIRECTION('center_axis',(0.,0.,1.)); #361769=DIRECTION('ref_axis',(1.,0.,0.)); #361770=DIRECTION('',(0.,0.,1.)); #361771=DIRECTION('center_axis',(0.,0.,-1.)); #361772=DIRECTION('ref_axis',(1.,0.,0.)); #361773=DIRECTION('center_axis',(0.,0.,1.)); #361774=DIRECTION('ref_axis',(1.,0.,0.)); #361775=DIRECTION('center_axis',(0.,0.,1.)); #361776=DIRECTION('ref_axis',(1.,0.,0.)); #361777=DIRECTION('',(0.,0.,1.)); #361778=DIRECTION('center_axis',(0.,0.,-1.)); #361779=DIRECTION('ref_axis',(1.,0.,0.)); #361780=DIRECTION('center_axis',(0.,0.,1.)); #361781=DIRECTION('ref_axis',(1.,0.,0.)); #361782=DIRECTION('center_axis',(0.,0.,1.)); #361783=DIRECTION('ref_axis',(1.,0.,0.)); #361784=DIRECTION('',(0.,0.,1.)); #361785=DIRECTION('center_axis',(0.,0.,-1.)); #361786=DIRECTION('ref_axis',(1.,0.,0.)); #361787=DIRECTION('center_axis',(0.,0.,1.)); #361788=DIRECTION('ref_axis',(1.,0.,0.)); #361789=DIRECTION('center_axis',(0.,0.,1.)); #361790=DIRECTION('ref_axis',(1.,0.,0.)); #361791=DIRECTION('',(0.,0.,1.)); #361792=DIRECTION('center_axis',(0.,0.,-1.)); #361793=DIRECTION('ref_axis',(1.,0.,0.)); #361794=DIRECTION('center_axis',(0.,0.,1.)); #361795=DIRECTION('ref_axis',(1.,0.,0.)); #361796=DIRECTION('center_axis',(0.,0.,1.)); #361797=DIRECTION('ref_axis',(1.,0.,0.)); #361798=DIRECTION('',(0.,0.,1.)); #361799=DIRECTION('center_axis',(0.,0.,-1.)); #361800=DIRECTION('ref_axis',(1.,0.,0.)); #361801=DIRECTION('center_axis',(0.,0.,1.)); #361802=DIRECTION('ref_axis',(1.,0.,0.)); #361803=DIRECTION('center_axis',(0.,0.,1.)); #361804=DIRECTION('ref_axis',(1.,0.,0.)); #361805=DIRECTION('',(0.,0.,1.)); #361806=DIRECTION('center_axis',(0.,0.,-1.)); #361807=DIRECTION('ref_axis',(1.,0.,0.)); #361808=DIRECTION('center_axis',(0.,0.,1.)); #361809=DIRECTION('ref_axis',(1.,0.,0.)); #361810=DIRECTION('center_axis',(0.,0.,1.)); #361811=DIRECTION('ref_axis',(1.,0.,0.)); #361812=DIRECTION('',(0.,0.,1.)); #361813=DIRECTION('center_axis',(0.,0.,-1.)); #361814=DIRECTION('ref_axis',(1.,0.,0.)); #361815=DIRECTION('center_axis',(0.,0.,1.)); #361816=DIRECTION('ref_axis',(1.,0.,0.)); #361817=DIRECTION('center_axis',(0.,0.,1.)); #361818=DIRECTION('ref_axis',(1.,0.,0.)); #361819=DIRECTION('',(0.,0.,1.)); #361820=DIRECTION('center_axis',(0.,0.,-1.)); #361821=DIRECTION('ref_axis',(1.,0.,0.)); #361822=DIRECTION('center_axis',(0.,0.,1.)); #361823=DIRECTION('ref_axis',(1.,0.,0.)); #361824=DIRECTION('center_axis',(0.,0.,1.)); #361825=DIRECTION('ref_axis',(1.,0.,0.)); #361826=DIRECTION('',(0.,0.,1.)); #361827=DIRECTION('center_axis',(0.,0.,-1.)); #361828=DIRECTION('ref_axis',(1.,0.,0.)); #361829=DIRECTION('center_axis',(0.,0.,1.)); #361830=DIRECTION('ref_axis',(1.,0.,0.)); #361831=DIRECTION('center_axis',(0.,0.,1.)); #361832=DIRECTION('ref_axis',(1.,0.,0.)); #361833=DIRECTION('',(0.,0.,1.)); #361834=DIRECTION('center_axis',(0.,0.,-1.)); #361835=DIRECTION('ref_axis',(1.,0.,0.)); #361836=DIRECTION('center_axis',(0.,0.,1.)); #361837=DIRECTION('ref_axis',(1.,0.,0.)); #361838=DIRECTION('center_axis',(0.,0.,1.)); #361839=DIRECTION('ref_axis',(1.,0.,0.)); #361840=DIRECTION('',(0.,0.,1.)); #361841=DIRECTION('center_axis',(0.,0.,-1.)); #361842=DIRECTION('ref_axis',(1.,0.,0.)); #361843=DIRECTION('center_axis',(0.,0.,1.)); #361844=DIRECTION('ref_axis',(1.,0.,0.)); #361845=DIRECTION('center_axis',(0.,0.,1.)); #361846=DIRECTION('ref_axis',(1.,0.,0.)); #361847=DIRECTION('',(0.,0.,1.)); #361848=DIRECTION('center_axis',(0.,0.,-1.)); #361849=DIRECTION('ref_axis',(1.,0.,0.)); #361850=DIRECTION('center_axis',(0.,0.,1.)); #361851=DIRECTION('ref_axis',(1.,0.,0.)); #361852=DIRECTION('center_axis',(0.,0.,1.)); #361853=DIRECTION('ref_axis',(1.,0.,0.)); #361854=DIRECTION('',(0.,0.,1.)); #361855=DIRECTION('center_axis',(0.,0.,-1.)); #361856=DIRECTION('ref_axis',(1.,0.,0.)); #361857=DIRECTION('center_axis',(0.,0.,1.)); #361858=DIRECTION('ref_axis',(1.,0.,0.)); #361859=DIRECTION('center_axis',(0.,0.,1.)); #361860=DIRECTION('ref_axis',(1.,0.,0.)); #361861=DIRECTION('',(0.,0.,1.)); #361862=DIRECTION('center_axis',(0.,0.,-1.)); #361863=DIRECTION('ref_axis',(1.,0.,0.)); #361864=DIRECTION('center_axis',(0.,0.,1.)); #361865=DIRECTION('ref_axis',(1.,0.,0.)); #361866=DIRECTION('center_axis',(0.,0.,1.)); #361867=DIRECTION('ref_axis',(1.,0.,0.)); #361868=DIRECTION('',(0.,0.,1.)); #361869=DIRECTION('center_axis',(0.,0.,-1.)); #361870=DIRECTION('ref_axis',(1.,0.,0.)); #361871=DIRECTION('center_axis',(0.,0.,1.)); #361872=DIRECTION('ref_axis',(1.,0.,0.)); #361873=DIRECTION('center_axis',(0.,0.,1.)); #361874=DIRECTION('ref_axis',(1.,0.,0.)); #361875=DIRECTION('',(0.,0.,1.)); #361876=DIRECTION('center_axis',(0.,0.,-1.)); #361877=DIRECTION('ref_axis',(1.,0.,0.)); #361878=DIRECTION('center_axis',(0.,0.,1.)); #361879=DIRECTION('ref_axis',(1.,0.,0.)); #361880=DIRECTION('center_axis',(0.,0.,1.)); #361881=DIRECTION('ref_axis',(1.,0.,0.)); #361882=DIRECTION('',(0.,0.,1.)); #361883=DIRECTION('center_axis',(0.,0.,-1.)); #361884=DIRECTION('ref_axis',(1.,0.,0.)); #361885=DIRECTION('center_axis',(0.,0.,1.)); #361886=DIRECTION('ref_axis',(1.,0.,0.)); #361887=DIRECTION('center_axis',(0.,0.,1.)); #361888=DIRECTION('ref_axis',(1.,0.,0.)); #361889=DIRECTION('',(0.,0.,1.)); #361890=DIRECTION('center_axis',(0.,0.,-1.)); #361891=DIRECTION('ref_axis',(1.,0.,0.)); #361892=DIRECTION('center_axis',(0.,0.,1.)); #361893=DIRECTION('ref_axis',(1.,0.,0.)); #361894=DIRECTION('center_axis',(0.,0.,1.)); #361895=DIRECTION('ref_axis',(1.,0.,0.)); #361896=DIRECTION('',(0.,0.,1.)); #361897=DIRECTION('center_axis',(0.,0.,-1.)); #361898=DIRECTION('ref_axis',(1.,0.,0.)); #361899=DIRECTION('center_axis',(0.,0.,1.)); #361900=DIRECTION('ref_axis',(1.,0.,0.)); #361901=DIRECTION('center_axis',(0.,0.,1.)); #361902=DIRECTION('ref_axis',(1.,0.,0.)); #361903=DIRECTION('',(0.,0.,1.)); #361904=DIRECTION('center_axis',(0.,0.,-1.)); #361905=DIRECTION('ref_axis',(1.,0.,0.)); #361906=DIRECTION('center_axis',(0.,0.,1.)); #361907=DIRECTION('ref_axis',(1.,0.,0.)); #361908=DIRECTION('center_axis',(0.,0.,1.)); #361909=DIRECTION('ref_axis',(1.,0.,0.)); #361910=DIRECTION('',(0.,0.,1.)); #361911=DIRECTION('center_axis',(0.,0.,-1.)); #361912=DIRECTION('ref_axis',(1.,0.,0.)); #361913=DIRECTION('center_axis',(0.,0.,1.)); #361914=DIRECTION('ref_axis',(1.,0.,0.)); #361915=DIRECTION('center_axis',(0.,0.,1.)); #361916=DIRECTION('ref_axis',(1.,0.,0.)); #361917=DIRECTION('',(0.,0.,1.)); #361918=DIRECTION('center_axis',(0.,0.,-1.)); #361919=DIRECTION('ref_axis',(1.,0.,0.)); #361920=DIRECTION('center_axis',(0.,0.,1.)); #361921=DIRECTION('ref_axis',(1.,0.,0.)); #361922=DIRECTION('center_axis',(0.,0.,1.)); #361923=DIRECTION('ref_axis',(1.,0.,0.)); #361924=DIRECTION('',(0.,0.,1.)); #361925=DIRECTION('center_axis',(0.,0.,-1.)); #361926=DIRECTION('ref_axis',(1.,0.,0.)); #361927=DIRECTION('center_axis',(0.,0.,1.)); #361928=DIRECTION('ref_axis',(1.,0.,0.)); #361929=DIRECTION('center_axis',(0.,0.,1.)); #361930=DIRECTION('ref_axis',(1.,0.,0.)); #361931=DIRECTION('',(0.,0.,1.)); #361932=DIRECTION('center_axis',(0.,0.,-1.)); #361933=DIRECTION('ref_axis',(1.,0.,0.)); #361934=DIRECTION('center_axis',(0.,0.,1.)); #361935=DIRECTION('ref_axis',(1.,0.,0.)); #361936=DIRECTION('center_axis',(0.,0.,1.)); #361937=DIRECTION('ref_axis',(1.,0.,0.)); #361938=DIRECTION('',(0.,0.,1.)); #361939=DIRECTION('center_axis',(0.,0.,-1.)); #361940=DIRECTION('ref_axis',(1.,0.,0.)); #361941=DIRECTION('center_axis',(0.,0.,1.)); #361942=DIRECTION('ref_axis',(1.,0.,0.)); #361943=DIRECTION('center_axis',(0.,0.,1.)); #361944=DIRECTION('ref_axis',(1.,0.,0.)); #361945=DIRECTION('',(0.,0.,1.)); #361946=DIRECTION('center_axis',(0.,0.,-1.)); #361947=DIRECTION('ref_axis',(1.,0.,0.)); #361948=DIRECTION('center_axis',(0.,0.,1.)); #361949=DIRECTION('ref_axis',(1.,0.,0.)); #361950=DIRECTION('center_axis',(0.,0.,1.)); #361951=DIRECTION('ref_axis',(1.,0.,0.)); #361952=DIRECTION('',(0.,0.,1.)); #361953=DIRECTION('center_axis',(0.,0.,-1.)); #361954=DIRECTION('ref_axis',(1.,0.,0.)); #361955=DIRECTION('center_axis',(0.,0.,1.)); #361956=DIRECTION('ref_axis',(1.,0.,0.)); #361957=DIRECTION('center_axis',(0.,0.,1.)); #361958=DIRECTION('ref_axis',(1.,0.,0.)); #361959=DIRECTION('',(0.,0.,1.)); #361960=DIRECTION('center_axis',(0.,0.,-1.)); #361961=DIRECTION('ref_axis',(1.,0.,0.)); #361962=DIRECTION('center_axis',(0.,0.,1.)); #361963=DIRECTION('ref_axis',(1.,0.,0.)); #361964=DIRECTION('center_axis',(0.,0.,1.)); #361965=DIRECTION('ref_axis',(1.,0.,0.)); #361966=DIRECTION('',(0.,0.,1.)); #361967=DIRECTION('center_axis',(0.,0.,-1.)); #361968=DIRECTION('ref_axis',(1.,0.,0.)); #361969=DIRECTION('center_axis',(0.,0.,1.)); #361970=DIRECTION('ref_axis',(1.,0.,0.)); #361971=DIRECTION('center_axis',(0.,0.,1.)); #361972=DIRECTION('ref_axis',(1.,0.,0.)); #361973=DIRECTION('',(0.,0.,1.)); #361974=DIRECTION('center_axis',(0.,0.,-1.)); #361975=DIRECTION('ref_axis',(1.,0.,0.)); #361976=DIRECTION('center_axis',(0.,0.,1.)); #361977=DIRECTION('ref_axis',(1.,0.,0.)); #361978=DIRECTION('center_axis',(0.,0.,1.)); #361979=DIRECTION('ref_axis',(1.,0.,0.)); #361980=DIRECTION('',(0.,0.,1.)); #361981=DIRECTION('center_axis',(0.,0.,-1.)); #361982=DIRECTION('ref_axis',(1.,0.,0.)); #361983=DIRECTION('center_axis',(0.,0.,1.)); #361984=DIRECTION('ref_axis',(1.,0.,0.)); #361985=DIRECTION('center_axis',(0.,0.,1.)); #361986=DIRECTION('ref_axis',(1.,0.,0.)); #361987=DIRECTION('',(0.,0.,1.)); #361988=DIRECTION('center_axis',(0.,0.,-1.)); #361989=DIRECTION('ref_axis',(1.,0.,0.)); #361990=DIRECTION('center_axis',(0.,0.,1.)); #361991=DIRECTION('ref_axis',(1.,0.,0.)); #361992=DIRECTION('center_axis',(0.,0.,1.)); #361993=DIRECTION('ref_axis',(1.,0.,0.)); #361994=DIRECTION('',(0.,0.,1.)); #361995=DIRECTION('center_axis',(0.,0.,-1.)); #361996=DIRECTION('ref_axis',(1.,0.,0.)); #361997=DIRECTION('center_axis',(0.,0.,1.)); #361998=DIRECTION('ref_axis',(1.,0.,0.)); #361999=DIRECTION('center_axis',(0.,0.,1.)); #362000=DIRECTION('ref_axis',(1.,0.,0.)); #362001=DIRECTION('',(0.,0.,1.)); #362002=DIRECTION('center_axis',(0.,0.,-1.)); #362003=DIRECTION('ref_axis',(1.,0.,0.)); #362004=DIRECTION('center_axis',(0.,0.,1.)); #362005=DIRECTION('ref_axis',(1.,0.,0.)); #362006=DIRECTION('center_axis',(0.,0.,1.)); #362007=DIRECTION('ref_axis',(1.,0.,0.)); #362008=DIRECTION('',(0.,0.,1.)); #362009=DIRECTION('center_axis',(0.,0.,-1.)); #362010=DIRECTION('ref_axis',(1.,0.,0.)); #362011=DIRECTION('center_axis',(0.,0.,1.)); #362012=DIRECTION('ref_axis',(1.,0.,0.)); #362013=DIRECTION('center_axis',(0.,0.,1.)); #362014=DIRECTION('ref_axis',(1.,0.,0.)); #362015=DIRECTION('',(0.,0.,1.)); #362016=DIRECTION('center_axis',(0.,0.,-1.)); #362017=DIRECTION('ref_axis',(1.,0.,0.)); #362018=DIRECTION('center_axis',(0.,0.,1.)); #362019=DIRECTION('ref_axis',(1.,0.,0.)); #362020=DIRECTION('center_axis',(0.,0.,1.)); #362021=DIRECTION('ref_axis',(1.,0.,0.)); #362022=DIRECTION('',(0.,0.,1.)); #362023=DIRECTION('center_axis',(0.,0.,-1.)); #362024=DIRECTION('ref_axis',(1.,0.,0.)); #362025=DIRECTION('center_axis',(0.,0.,1.)); #362026=DIRECTION('ref_axis',(1.,0.,0.)); #362027=DIRECTION('center_axis',(0.,0.,1.)); #362028=DIRECTION('ref_axis',(1.,0.,0.)); #362029=DIRECTION('',(0.,0.,1.)); #362030=DIRECTION('center_axis',(0.,0.,-1.)); #362031=DIRECTION('ref_axis',(1.,0.,0.)); #362032=DIRECTION('center_axis',(0.,0.,1.)); #362033=DIRECTION('ref_axis',(1.,0.,0.)); #362034=DIRECTION('center_axis',(0.,0.,1.)); #362035=DIRECTION('ref_axis',(1.,0.,0.)); #362036=DIRECTION('',(0.,0.,1.)); #362037=DIRECTION('center_axis',(0.,0.,-1.)); #362038=DIRECTION('ref_axis',(1.,0.,0.)); #362039=DIRECTION('center_axis',(0.,0.,1.)); #362040=DIRECTION('ref_axis',(1.,0.,0.)); #362041=DIRECTION('center_axis',(0.,0.,1.)); #362042=DIRECTION('ref_axis',(1.,0.,0.)); #362043=DIRECTION('',(0.,0.,1.)); #362044=DIRECTION('center_axis',(0.,0.,-1.)); #362045=DIRECTION('ref_axis',(1.,0.,0.)); #362046=DIRECTION('center_axis',(0.,0.,1.)); #362047=DIRECTION('ref_axis',(1.,0.,0.)); #362048=DIRECTION('center_axis',(0.,0.,1.)); #362049=DIRECTION('ref_axis',(1.,0.,0.)); #362050=DIRECTION('',(0.,0.,1.)); #362051=DIRECTION('center_axis',(0.,0.,-1.)); #362052=DIRECTION('ref_axis',(1.,0.,0.)); #362053=DIRECTION('center_axis',(0.,0.,1.)); #362054=DIRECTION('ref_axis',(1.,0.,0.)); #362055=DIRECTION('center_axis',(0.,0.,1.)); #362056=DIRECTION('ref_axis',(1.,0.,0.)); #362057=DIRECTION('',(0.,0.,1.)); #362058=DIRECTION('center_axis',(0.,0.,-1.)); #362059=DIRECTION('ref_axis',(1.,0.,0.)); #362060=DIRECTION('center_axis',(0.,0.,1.)); #362061=DIRECTION('ref_axis',(1.,0.,0.)); #362062=DIRECTION('center_axis',(0.,0.,1.)); #362063=DIRECTION('ref_axis',(1.,0.,0.)); #362064=DIRECTION('',(0.,0.,1.)); #362065=DIRECTION('center_axis',(0.,0.,-1.)); #362066=DIRECTION('ref_axis',(1.,0.,0.)); #362067=DIRECTION('center_axis',(0.,0.,1.)); #362068=DIRECTION('ref_axis',(1.,0.,0.)); #362069=DIRECTION('center_axis',(0.,0.,1.)); #362070=DIRECTION('ref_axis',(1.,0.,0.)); #362071=DIRECTION('',(0.,0.,1.)); #362072=DIRECTION('center_axis',(0.,0.,-1.)); #362073=DIRECTION('ref_axis',(1.,0.,0.)); #362074=DIRECTION('center_axis',(0.,0.,1.)); #362075=DIRECTION('ref_axis',(1.,0.,0.)); #362076=DIRECTION('center_axis',(0.,0.,1.)); #362077=DIRECTION('ref_axis',(1.,0.,0.)); #362078=DIRECTION('',(0.,0.,1.)); #362079=DIRECTION('center_axis',(0.,0.,-1.)); #362080=DIRECTION('ref_axis',(1.,0.,0.)); #362081=DIRECTION('center_axis',(0.,0.,1.)); #362082=DIRECTION('ref_axis',(1.,0.,0.)); #362083=DIRECTION('center_axis',(0.,0.,1.)); #362084=DIRECTION('ref_axis',(1.,0.,0.)); #362085=DIRECTION('',(0.,0.,1.)); #362086=DIRECTION('center_axis',(0.,0.,-1.)); #362087=DIRECTION('ref_axis',(1.,0.,0.)); #362088=DIRECTION('center_axis',(0.,0.,1.)); #362089=DIRECTION('ref_axis',(1.,0.,0.)); #362090=DIRECTION('center_axis',(0.,0.,1.)); #362091=DIRECTION('ref_axis',(1.,0.,0.)); #362092=DIRECTION('',(0.,0.,1.)); #362093=DIRECTION('center_axis',(0.,0.,-1.)); #362094=DIRECTION('ref_axis',(1.,0.,0.)); #362095=DIRECTION('center_axis',(0.,0.,1.)); #362096=DIRECTION('ref_axis',(1.,0.,0.)); #362097=DIRECTION('center_axis',(0.,0.,1.)); #362098=DIRECTION('ref_axis',(1.,0.,0.)); #362099=DIRECTION('',(0.,0.,1.)); #362100=DIRECTION('center_axis',(0.,0.,-1.)); #362101=DIRECTION('ref_axis',(1.,0.,0.)); #362102=DIRECTION('center_axis',(0.,0.,1.)); #362103=DIRECTION('ref_axis',(1.,0.,0.)); #362104=DIRECTION('center_axis',(0.,0.,1.)); #362105=DIRECTION('ref_axis',(1.,0.,0.)); #362106=DIRECTION('',(0.,0.,1.)); #362107=DIRECTION('center_axis',(0.,0.,-1.)); #362108=DIRECTION('ref_axis',(1.,0.,0.)); #362109=DIRECTION('center_axis',(0.,0.,1.)); #362110=DIRECTION('ref_axis',(1.,0.,0.)); #362111=DIRECTION('center_axis',(0.,0.,1.)); #362112=DIRECTION('ref_axis',(1.,0.,0.)); #362113=DIRECTION('',(0.,0.,1.)); #362114=DIRECTION('center_axis',(0.,0.,-1.)); #362115=DIRECTION('ref_axis',(1.,0.,0.)); #362116=DIRECTION('center_axis',(0.,0.,1.)); #362117=DIRECTION('ref_axis',(1.,0.,0.)); #362118=DIRECTION('center_axis',(0.,0.,1.)); #362119=DIRECTION('ref_axis',(1.,0.,0.)); #362120=DIRECTION('',(0.,0.,1.)); #362121=DIRECTION('center_axis',(0.,0.,-1.)); #362122=DIRECTION('ref_axis',(1.,0.,0.)); #362123=DIRECTION('center_axis',(0.,0.,1.)); #362124=DIRECTION('ref_axis',(1.,0.,0.)); #362125=DIRECTION('center_axis',(0.,0.,1.)); #362126=DIRECTION('ref_axis',(1.,0.,0.)); #362127=DIRECTION('',(0.,0.,1.)); #362128=DIRECTION('center_axis',(0.,0.,-1.)); #362129=DIRECTION('ref_axis',(1.,0.,0.)); #362130=DIRECTION('center_axis',(0.,0.,1.)); #362131=DIRECTION('ref_axis',(1.,0.,0.)); #362132=DIRECTION('center_axis',(0.,0.,1.)); #362133=DIRECTION('ref_axis',(1.,0.,0.)); #362134=DIRECTION('',(0.,0.,1.)); #362135=DIRECTION('center_axis',(0.,0.,-1.)); #362136=DIRECTION('ref_axis',(1.,0.,0.)); #362137=DIRECTION('center_axis',(0.,0.,1.)); #362138=DIRECTION('ref_axis',(1.,0.,0.)); #362139=DIRECTION('center_axis',(0.,0.,1.)); #362140=DIRECTION('ref_axis',(1.,0.,0.)); #362141=DIRECTION('',(0.,0.,1.)); #362142=DIRECTION('center_axis',(0.,0.,-1.)); #362143=DIRECTION('ref_axis',(1.,0.,0.)); #362144=DIRECTION('center_axis',(0.,0.,1.)); #362145=DIRECTION('ref_axis',(1.,0.,0.)); #362146=DIRECTION('center_axis',(0.,0.,1.)); #362147=DIRECTION('ref_axis',(1.,0.,0.)); #362148=DIRECTION('',(0.,0.,1.)); #362149=DIRECTION('center_axis',(0.,0.,-1.)); #362150=DIRECTION('ref_axis',(1.,0.,0.)); #362151=DIRECTION('center_axis',(0.,0.,1.)); #362152=DIRECTION('ref_axis',(1.,0.,0.)); #362153=DIRECTION('center_axis',(0.,0.,1.)); #362154=DIRECTION('ref_axis',(1.,0.,0.)); #362155=DIRECTION('',(0.,0.,1.)); #362156=DIRECTION('center_axis',(0.,0.,-1.)); #362157=DIRECTION('ref_axis',(1.,0.,0.)); #362158=DIRECTION('center_axis',(0.,0.,1.)); #362159=DIRECTION('ref_axis',(1.,0.,0.)); #362160=DIRECTION('center_axis',(0.,0.,1.)); #362161=DIRECTION('ref_axis',(1.,0.,0.)); #362162=DIRECTION('',(0.,0.,1.)); #362163=DIRECTION('center_axis',(0.,0.,-1.)); #362164=DIRECTION('ref_axis',(1.,0.,0.)); #362165=DIRECTION('center_axis',(0.,0.,1.)); #362166=DIRECTION('ref_axis',(1.,0.,0.)); #362167=DIRECTION('center_axis',(0.,0.,1.)); #362168=DIRECTION('ref_axis',(1.,0.,0.)); #362169=DIRECTION('',(0.,0.,1.)); #362170=DIRECTION('center_axis',(0.,0.,-1.)); #362171=DIRECTION('ref_axis',(1.,0.,0.)); #362172=DIRECTION('center_axis',(0.,0.,1.)); #362173=DIRECTION('ref_axis',(1.,0.,0.)); #362174=DIRECTION('center_axis',(0.,0.,1.)); #362175=DIRECTION('ref_axis',(1.,0.,0.)); #362176=DIRECTION('',(0.,0.,1.)); #362177=DIRECTION('center_axis',(0.,0.,-1.)); #362178=DIRECTION('ref_axis',(1.,0.,0.)); #362179=DIRECTION('center_axis',(0.,0.,1.)); #362180=DIRECTION('ref_axis',(1.,0.,0.)); #362181=DIRECTION('center_axis',(0.,0.,1.)); #362182=DIRECTION('ref_axis',(1.,0.,0.)); #362183=DIRECTION('',(0.,0.,1.)); #362184=DIRECTION('center_axis',(0.,0.,-1.)); #362185=DIRECTION('ref_axis',(1.,0.,0.)); #362186=DIRECTION('center_axis',(0.,0.,1.)); #362187=DIRECTION('ref_axis',(1.,0.,0.)); #362188=DIRECTION('center_axis',(0.,0.,1.)); #362189=DIRECTION('ref_axis',(1.,0.,0.)); #362190=DIRECTION('',(0.,0.,1.)); #362191=DIRECTION('center_axis',(0.,0.,-1.)); #362192=DIRECTION('ref_axis',(1.,0.,0.)); #362193=DIRECTION('center_axis',(0.,0.,1.)); #362194=DIRECTION('ref_axis',(1.,0.,0.)); #362195=DIRECTION('center_axis',(0.,0.,1.)); #362196=DIRECTION('ref_axis',(1.,0.,0.)); #362197=DIRECTION('',(0.,0.,1.)); #362198=DIRECTION('center_axis',(0.,0.,-1.)); #362199=DIRECTION('ref_axis',(1.,0.,0.)); #362200=DIRECTION('center_axis',(0.,0.,1.)); #362201=DIRECTION('ref_axis',(1.,0.,0.)); #362202=DIRECTION('center_axis',(0.,0.,1.)); #362203=DIRECTION('ref_axis',(1.,0.,0.)); #362204=DIRECTION('',(0.,0.,1.)); #362205=DIRECTION('center_axis',(0.,0.,-1.)); #362206=DIRECTION('ref_axis',(1.,0.,0.)); #362207=DIRECTION('center_axis',(0.,0.,1.)); #362208=DIRECTION('ref_axis',(1.,0.,0.)); #362209=DIRECTION('center_axis',(0.,0.,1.)); #362210=DIRECTION('ref_axis',(1.,0.,0.)); #362211=DIRECTION('',(0.,0.,1.)); #362212=DIRECTION('center_axis',(0.,0.,-1.)); #362213=DIRECTION('ref_axis',(1.,0.,0.)); #362214=DIRECTION('center_axis',(0.,0.,1.)); #362215=DIRECTION('ref_axis',(1.,0.,0.)); #362216=DIRECTION('center_axis',(0.,0.,1.)); #362217=DIRECTION('ref_axis',(1.,0.,0.)); #362218=DIRECTION('',(0.,0.,1.)); #362219=DIRECTION('center_axis',(0.,0.,-1.)); #362220=DIRECTION('ref_axis',(1.,0.,0.)); #362221=DIRECTION('center_axis',(0.,0.,1.)); #362222=DIRECTION('ref_axis',(1.,0.,0.)); #362223=DIRECTION('center_axis',(0.,0.,1.)); #362224=DIRECTION('ref_axis',(1.,0.,0.)); #362225=DIRECTION('',(0.,0.,1.)); #362226=DIRECTION('center_axis',(0.,0.,-1.)); #362227=DIRECTION('ref_axis',(1.,0.,0.)); #362228=DIRECTION('center_axis',(0.,0.,1.)); #362229=DIRECTION('ref_axis',(1.,0.,0.)); #362230=DIRECTION('center_axis',(0.,0.,1.)); #362231=DIRECTION('ref_axis',(1.,0.,0.)); #362232=DIRECTION('',(0.,0.,1.)); #362233=DIRECTION('center_axis',(0.,0.,-1.)); #362234=DIRECTION('ref_axis',(1.,0.,0.)); #362235=DIRECTION('center_axis',(0.,0.,1.)); #362236=DIRECTION('ref_axis',(1.,0.,0.)); #362237=DIRECTION('center_axis',(0.,0.,1.)); #362238=DIRECTION('ref_axis',(1.,0.,0.)); #362239=DIRECTION('',(0.,0.,1.)); #362240=DIRECTION('center_axis',(0.,0.,-1.)); #362241=DIRECTION('ref_axis',(1.,0.,0.)); #362242=DIRECTION('center_axis',(0.,0.,1.)); #362243=DIRECTION('ref_axis',(1.,0.,0.)); #362244=DIRECTION('center_axis',(0.,0.,1.)); #362245=DIRECTION('ref_axis',(1.,0.,0.)); #362246=DIRECTION('',(0.,0.,1.)); #362247=DIRECTION('center_axis',(0.,0.,-1.)); #362248=DIRECTION('ref_axis',(1.,0.,0.)); #362249=DIRECTION('center_axis',(0.,0.,1.)); #362250=DIRECTION('ref_axis',(1.,0.,0.)); #362251=DIRECTION('center_axis',(0.,0.,1.)); #362252=DIRECTION('ref_axis',(1.,0.,0.)); #362253=DIRECTION('',(0.,0.,1.)); #362254=DIRECTION('center_axis',(0.,0.,-1.)); #362255=DIRECTION('ref_axis',(1.,0.,0.)); #362256=DIRECTION('center_axis',(0.,0.,1.)); #362257=DIRECTION('ref_axis',(1.,0.,0.)); #362258=DIRECTION('center_axis',(0.,0.,1.)); #362259=DIRECTION('ref_axis',(1.,0.,0.)); #362260=DIRECTION('',(0.,0.,1.)); #362261=DIRECTION('center_axis',(0.,0.,-1.)); #362262=DIRECTION('ref_axis',(1.,0.,0.)); #362263=DIRECTION('center_axis',(0.,0.,1.)); #362264=DIRECTION('ref_axis',(1.,0.,0.)); #362265=DIRECTION('center_axis',(0.,0.,1.)); #362266=DIRECTION('ref_axis',(1.,0.,0.)); #362267=DIRECTION('',(0.,0.,1.)); #362268=DIRECTION('center_axis',(0.,0.,-1.)); #362269=DIRECTION('ref_axis',(1.,0.,0.)); #362270=DIRECTION('center_axis',(0.,0.,1.)); #362271=DIRECTION('ref_axis',(1.,0.,0.)); #362272=DIRECTION('center_axis',(0.,0.,1.)); #362273=DIRECTION('ref_axis',(1.,0.,0.)); #362274=DIRECTION('',(0.,0.,1.)); #362275=DIRECTION('center_axis',(0.,0.,-1.)); #362276=DIRECTION('ref_axis',(1.,0.,0.)); #362277=DIRECTION('center_axis',(0.,0.,1.)); #362278=DIRECTION('ref_axis',(1.,0.,0.)); #362279=DIRECTION('center_axis',(0.,0.,1.)); #362280=DIRECTION('ref_axis',(1.,0.,0.)); #362281=DIRECTION('',(0.,0.,1.)); #362282=DIRECTION('center_axis',(0.,0.,-1.)); #362283=DIRECTION('ref_axis',(1.,0.,0.)); #362284=DIRECTION('center_axis',(0.,0.,1.)); #362285=DIRECTION('ref_axis',(1.,0.,0.)); #362286=DIRECTION('center_axis',(0.,0.,1.)); #362287=DIRECTION('ref_axis',(1.,0.,0.)); #362288=DIRECTION('',(0.,0.,1.)); #362289=DIRECTION('center_axis',(0.,0.,-1.)); #362290=DIRECTION('ref_axis',(1.,0.,0.)); #362291=DIRECTION('center_axis',(0.,0.,1.)); #362292=DIRECTION('ref_axis',(1.,0.,0.)); #362293=DIRECTION('center_axis',(0.,0.,1.)); #362294=DIRECTION('ref_axis',(1.,0.,0.)); #362295=DIRECTION('',(0.,0.,1.)); #362296=DIRECTION('center_axis',(0.,0.,-1.)); #362297=DIRECTION('ref_axis',(1.,0.,0.)); #362298=DIRECTION('center_axis',(0.,0.,1.)); #362299=DIRECTION('ref_axis',(1.,0.,0.)); #362300=DIRECTION('center_axis',(0.,0.,1.)); #362301=DIRECTION('ref_axis',(1.,0.,0.)); #362302=DIRECTION('',(0.,0.,1.)); #362303=DIRECTION('center_axis',(0.,0.,-1.)); #362304=DIRECTION('ref_axis',(1.,0.,0.)); #362305=DIRECTION('center_axis',(0.,0.,1.)); #362306=DIRECTION('ref_axis',(1.,0.,0.)); #362307=DIRECTION('center_axis',(0.,0.,1.)); #362308=DIRECTION('ref_axis',(1.,0.,0.)); #362309=DIRECTION('',(0.,0.,1.)); #362310=DIRECTION('center_axis',(0.,0.,-1.)); #362311=DIRECTION('ref_axis',(1.,0.,0.)); #362312=DIRECTION('center_axis',(0.,0.,1.)); #362313=DIRECTION('ref_axis',(1.,0.,0.)); #362314=DIRECTION('center_axis',(0.,0.,1.)); #362315=DIRECTION('ref_axis',(1.,0.,0.)); #362316=DIRECTION('',(0.,0.,1.)); #362317=DIRECTION('center_axis',(0.,0.,-1.)); #362318=DIRECTION('ref_axis',(1.,0.,0.)); #362319=DIRECTION('center_axis',(0.,0.,1.)); #362320=DIRECTION('ref_axis',(1.,0.,0.)); #362321=DIRECTION('center_axis',(0.,0.,1.)); #362322=DIRECTION('ref_axis',(1.,0.,0.)); #362323=DIRECTION('',(0.,0.,1.)); #362324=DIRECTION('center_axis',(0.,0.,-1.)); #362325=DIRECTION('ref_axis',(1.,0.,0.)); #362326=DIRECTION('center_axis',(0.,0.,1.)); #362327=DIRECTION('ref_axis',(1.,0.,0.)); #362328=DIRECTION('center_axis',(0.,0.,1.)); #362329=DIRECTION('ref_axis',(1.,0.,0.)); #362330=DIRECTION('',(0.,0.,1.)); #362331=DIRECTION('center_axis',(0.,0.,-1.)); #362332=DIRECTION('ref_axis',(1.,0.,0.)); #362333=DIRECTION('center_axis',(0.,0.,1.)); #362334=DIRECTION('ref_axis',(1.,0.,0.)); #362335=DIRECTION('center_axis',(0.,0.,1.)); #362336=DIRECTION('ref_axis',(1.,0.,0.)); #362337=DIRECTION('',(0.,0.,1.)); #362338=DIRECTION('center_axis',(0.,0.,-1.)); #362339=DIRECTION('ref_axis',(1.,0.,0.)); #362340=DIRECTION('center_axis',(0.,0.,1.)); #362341=DIRECTION('ref_axis',(1.,0.,0.)); #362342=DIRECTION('center_axis',(0.,0.,1.)); #362343=DIRECTION('ref_axis',(1.,0.,0.)); #362344=DIRECTION('',(0.,0.,1.)); #362345=DIRECTION('center_axis',(0.,0.,-1.)); #362346=DIRECTION('ref_axis',(1.,0.,0.)); #362347=DIRECTION('center_axis',(0.,0.,1.)); #362348=DIRECTION('ref_axis',(1.,0.,0.)); #362349=DIRECTION('center_axis',(0.,0.,1.)); #362350=DIRECTION('ref_axis',(1.,0.,0.)); #362351=DIRECTION('',(0.,0.,1.)); #362352=DIRECTION('center_axis',(0.,0.,-1.)); #362353=DIRECTION('ref_axis',(1.,0.,0.)); #362354=DIRECTION('center_axis',(0.,0.,1.)); #362355=DIRECTION('ref_axis',(1.,0.,0.)); #362356=DIRECTION('center_axis',(0.,0.,1.)); #362357=DIRECTION('ref_axis',(1.,0.,0.)); #362358=DIRECTION('',(0.,0.,1.)); #362359=DIRECTION('center_axis',(0.,0.,-1.)); #362360=DIRECTION('ref_axis',(1.,0.,0.)); #362361=DIRECTION('center_axis',(0.,0.,1.)); #362362=DIRECTION('ref_axis',(1.,0.,0.)); #362363=DIRECTION('center_axis',(0.,0.,1.)); #362364=DIRECTION('ref_axis',(1.,0.,0.)); #362365=DIRECTION('',(0.,0.,1.)); #362366=DIRECTION('center_axis',(0.,0.,-1.)); #362367=DIRECTION('ref_axis',(1.,0.,0.)); #362368=DIRECTION('center_axis',(0.,0.,1.)); #362369=DIRECTION('ref_axis',(1.,0.,0.)); #362370=DIRECTION('center_axis',(0.,0.,1.)); #362371=DIRECTION('ref_axis',(1.,0.,0.)); #362372=DIRECTION('',(0.,0.,1.)); #362373=DIRECTION('center_axis',(0.,0.,-1.)); #362374=DIRECTION('ref_axis',(1.,0.,0.)); #362375=DIRECTION('center_axis',(0.,0.,1.)); #362376=DIRECTION('ref_axis',(1.,0.,0.)); #362377=DIRECTION('center_axis',(0.,0.,1.)); #362378=DIRECTION('ref_axis',(1.,0.,0.)); #362379=DIRECTION('',(0.,0.,1.)); #362380=DIRECTION('center_axis',(0.,0.,-1.)); #362381=DIRECTION('ref_axis',(1.,0.,0.)); #362382=DIRECTION('center_axis',(0.,0.,1.)); #362383=DIRECTION('ref_axis',(1.,0.,0.)); #362384=DIRECTION('center_axis',(0.,0.,1.)); #362385=DIRECTION('ref_axis',(1.,0.,0.)); #362386=DIRECTION('',(0.,0.,1.)); #362387=DIRECTION('center_axis',(0.,0.,-1.)); #362388=DIRECTION('ref_axis',(1.,0.,0.)); #362389=DIRECTION('center_axis',(0.,0.,1.)); #362390=DIRECTION('ref_axis',(1.,0.,0.)); #362391=DIRECTION('center_axis',(0.,0.,1.)); #362392=DIRECTION('ref_axis',(1.,0.,0.)); #362393=DIRECTION('',(0.,0.,1.)); #362394=DIRECTION('center_axis',(0.,0.,-1.)); #362395=DIRECTION('ref_axis',(1.,0.,0.)); #362396=DIRECTION('center_axis',(0.,0.,1.)); #362397=DIRECTION('ref_axis',(1.,0.,0.)); #362398=DIRECTION('center_axis',(0.,0.,1.)); #362399=DIRECTION('ref_axis',(1.,0.,0.)); #362400=DIRECTION('',(0.,0.,1.)); #362401=DIRECTION('center_axis',(0.,0.,-1.)); #362402=DIRECTION('ref_axis',(1.,0.,0.)); #362403=DIRECTION('center_axis',(0.,0.,1.)); #362404=DIRECTION('ref_axis',(1.,0.,0.)); #362405=DIRECTION('center_axis',(0.,0.,1.)); #362406=DIRECTION('ref_axis',(1.,0.,0.)); #362407=DIRECTION('',(0.,0.,1.)); #362408=DIRECTION('center_axis',(0.,0.,-1.)); #362409=DIRECTION('ref_axis',(1.,0.,0.)); #362410=DIRECTION('center_axis',(0.,0.,1.)); #362411=DIRECTION('ref_axis',(1.,0.,0.)); #362412=DIRECTION('center_axis',(0.,0.,1.)); #362413=DIRECTION('ref_axis',(1.,0.,0.)); #362414=DIRECTION('',(0.,0.,1.)); #362415=DIRECTION('center_axis',(0.,0.,-1.)); #362416=DIRECTION('ref_axis',(1.,0.,0.)); #362417=DIRECTION('center_axis',(0.,0.,1.)); #362418=DIRECTION('ref_axis',(1.,0.,0.)); #362419=DIRECTION('center_axis',(0.,0.,1.)); #362420=DIRECTION('ref_axis',(1.,0.,0.)); #362421=DIRECTION('',(0.,0.,1.)); #362422=DIRECTION('center_axis',(0.,0.,-1.)); #362423=DIRECTION('ref_axis',(1.,0.,0.)); #362424=DIRECTION('center_axis',(0.,0.,1.)); #362425=DIRECTION('ref_axis',(1.,0.,0.)); #362426=DIRECTION('center_axis',(0.,0.,1.)); #362427=DIRECTION('ref_axis',(1.,0.,0.)); #362428=DIRECTION('',(0.,0.,1.)); #362429=DIRECTION('center_axis',(0.,0.,-1.)); #362430=DIRECTION('ref_axis',(1.,0.,0.)); #362431=DIRECTION('center_axis',(0.,0.,1.)); #362432=DIRECTION('ref_axis',(1.,0.,0.)); #362433=DIRECTION('center_axis',(0.,0.,1.)); #362434=DIRECTION('ref_axis',(1.,0.,0.)); #362435=DIRECTION('',(0.,0.,1.)); #362436=DIRECTION('center_axis',(0.,0.,-1.)); #362437=DIRECTION('ref_axis',(1.,0.,0.)); #362438=DIRECTION('center_axis',(0.,0.,1.)); #362439=DIRECTION('ref_axis',(1.,0.,0.)); #362440=DIRECTION('center_axis',(0.,0.,1.)); #362441=DIRECTION('ref_axis',(1.,0.,0.)); #362442=DIRECTION('',(0.,0.,1.)); #362443=DIRECTION('center_axis',(0.,0.,-1.)); #362444=DIRECTION('ref_axis',(1.,0.,0.)); #362445=DIRECTION('center_axis',(0.,0.,1.)); #362446=DIRECTION('ref_axis',(1.,0.,0.)); #362447=DIRECTION('center_axis',(0.,0.,1.)); #362448=DIRECTION('ref_axis',(1.,0.,0.)); #362449=DIRECTION('',(0.,0.,1.)); #362450=DIRECTION('center_axis',(0.,0.,-1.)); #362451=DIRECTION('ref_axis',(1.,0.,0.)); #362452=DIRECTION('center_axis',(0.,0.,1.)); #362453=DIRECTION('ref_axis',(1.,0.,0.)); #362454=DIRECTION('center_axis',(0.,0.,1.)); #362455=DIRECTION('ref_axis',(1.,0.,0.)); #362456=DIRECTION('',(0.,0.,1.)); #362457=DIRECTION('center_axis',(0.,0.,-1.)); #362458=DIRECTION('ref_axis',(1.,0.,0.)); #362459=DIRECTION('center_axis',(0.,0.,1.)); #362460=DIRECTION('ref_axis',(1.,0.,0.)); #362461=DIRECTION('center_axis',(0.,0.,1.)); #362462=DIRECTION('ref_axis',(1.,0.,0.)); #362463=DIRECTION('',(0.,0.,1.)); #362464=DIRECTION('center_axis',(0.,0.,-1.)); #362465=DIRECTION('ref_axis',(1.,0.,0.)); #362466=DIRECTION('center_axis',(0.,0.,1.)); #362467=DIRECTION('ref_axis',(1.,0.,0.)); #362468=DIRECTION('center_axis',(0.,0.,1.)); #362469=DIRECTION('ref_axis',(1.,0.,0.)); #362470=DIRECTION('',(0.,0.,1.)); #362471=DIRECTION('center_axis',(0.,0.,-1.)); #362472=DIRECTION('ref_axis',(1.,0.,0.)); #362473=DIRECTION('center_axis',(0.,0.,1.)); #362474=DIRECTION('ref_axis',(1.,0.,0.)); #362475=DIRECTION('center_axis',(0.,0.,1.)); #362476=DIRECTION('ref_axis',(1.,0.,0.)); #362477=DIRECTION('',(0.,0.,1.)); #362478=DIRECTION('center_axis',(0.,0.,-1.)); #362479=DIRECTION('ref_axis',(1.,0.,0.)); #362480=DIRECTION('center_axis',(0.,0.,1.)); #362481=DIRECTION('ref_axis',(1.,0.,0.)); #362482=DIRECTION('center_axis',(0.,0.,1.)); #362483=DIRECTION('ref_axis',(1.,0.,0.)); #362484=DIRECTION('',(0.,0.,1.)); #362485=DIRECTION('center_axis',(0.,0.,-1.)); #362486=DIRECTION('ref_axis',(1.,0.,0.)); #362487=DIRECTION('center_axis',(0.,0.,1.)); #362488=DIRECTION('ref_axis',(1.,0.,0.)); #362489=DIRECTION('center_axis',(0.,0.,1.)); #362490=DIRECTION('ref_axis',(1.,0.,0.)); #362491=DIRECTION('',(0.,0.,1.)); #362492=DIRECTION('center_axis',(0.,0.,-1.)); #362493=DIRECTION('ref_axis',(1.,0.,0.)); #362494=DIRECTION('center_axis',(0.,0.,1.)); #362495=DIRECTION('ref_axis',(1.,0.,0.)); #362496=DIRECTION('center_axis',(0.,0.,1.)); #362497=DIRECTION('ref_axis',(1.,0.,0.)); #362498=DIRECTION('',(0.,0.,1.)); #362499=DIRECTION('center_axis',(0.,0.,-1.)); #362500=DIRECTION('ref_axis',(1.,0.,0.)); #362501=DIRECTION('center_axis',(0.,0.,1.)); #362502=DIRECTION('ref_axis',(1.,0.,0.)); #362503=DIRECTION('center_axis',(0.,0.,1.)); #362504=DIRECTION('ref_axis',(1.,0.,0.)); #362505=DIRECTION('',(0.,0.,1.)); #362506=DIRECTION('center_axis',(0.,0.,-1.)); #362507=DIRECTION('ref_axis',(1.,0.,0.)); #362508=DIRECTION('center_axis',(0.,0.,1.)); #362509=DIRECTION('ref_axis',(1.,0.,0.)); #362510=DIRECTION('center_axis',(0.,0.,1.)); #362511=DIRECTION('ref_axis',(1.,0.,0.)); #362512=DIRECTION('',(0.,0.,1.)); #362513=DIRECTION('center_axis',(0.,0.,-1.)); #362514=DIRECTION('ref_axis',(1.,0.,0.)); #362515=DIRECTION('center_axis',(0.,0.,1.)); #362516=DIRECTION('ref_axis',(1.,0.,0.)); #362517=DIRECTION('center_axis',(0.,0.,1.)); #362518=DIRECTION('ref_axis',(1.,0.,0.)); #362519=DIRECTION('',(0.,0.,1.)); #362520=DIRECTION('center_axis',(0.,0.,-1.)); #362521=DIRECTION('ref_axis',(1.,0.,0.)); #362522=DIRECTION('center_axis',(0.,0.,1.)); #362523=DIRECTION('ref_axis',(1.,0.,0.)); #362524=DIRECTION('center_axis',(0.,0.,1.)); #362525=DIRECTION('ref_axis',(1.,0.,0.)); #362526=DIRECTION('',(0.,0.,1.)); #362527=DIRECTION('center_axis',(0.,0.,-1.)); #362528=DIRECTION('ref_axis',(1.,0.,0.)); #362529=DIRECTION('center_axis',(0.,0.,1.)); #362530=DIRECTION('ref_axis',(1.,0.,0.)); #362531=DIRECTION('center_axis',(0.,0.,1.)); #362532=DIRECTION('ref_axis',(1.,0.,0.)); #362533=DIRECTION('',(0.,0.,1.)); #362534=DIRECTION('center_axis',(0.,0.,-1.)); #362535=DIRECTION('ref_axis',(1.,0.,0.)); #362536=DIRECTION('center_axis',(0.,0.,1.)); #362537=DIRECTION('ref_axis',(1.,0.,0.)); #362538=DIRECTION('center_axis',(0.,0.,1.)); #362539=DIRECTION('ref_axis',(1.,0.,0.)); #362540=DIRECTION('',(0.,0.,1.)); #362541=DIRECTION('center_axis',(0.,0.,-1.)); #362542=DIRECTION('ref_axis',(1.,0.,0.)); #362543=DIRECTION('center_axis',(0.,0.,1.)); #362544=DIRECTION('ref_axis',(1.,0.,0.)); #362545=DIRECTION('center_axis',(0.,0.,1.)); #362546=DIRECTION('ref_axis',(1.,0.,0.)); #362547=DIRECTION('',(0.,0.,1.)); #362548=DIRECTION('center_axis',(0.,0.,-1.)); #362549=DIRECTION('ref_axis',(1.,0.,0.)); #362550=DIRECTION('center_axis',(0.,0.,1.)); #362551=DIRECTION('ref_axis',(1.,0.,0.)); #362552=DIRECTION('center_axis',(0.,0.,1.)); #362553=DIRECTION('ref_axis',(1.,0.,0.)); #362554=DIRECTION('',(0.,0.,1.)); #362555=DIRECTION('center_axis',(0.,0.,-1.)); #362556=DIRECTION('ref_axis',(1.,0.,0.)); #362557=DIRECTION('center_axis',(0.,0.,1.)); #362558=DIRECTION('ref_axis',(1.,0.,0.)); #362559=DIRECTION('center_axis',(0.,0.,1.)); #362560=DIRECTION('ref_axis',(1.,0.,0.)); #362561=DIRECTION('',(0.,0.,1.)); #362562=DIRECTION('center_axis',(0.,0.,-1.)); #362563=DIRECTION('ref_axis',(1.,0.,0.)); #362564=DIRECTION('center_axis',(0.,0.,1.)); #362565=DIRECTION('ref_axis',(1.,0.,0.)); #362566=DIRECTION('center_axis',(0.,0.,1.)); #362567=DIRECTION('ref_axis',(1.,0.,0.)); #362568=DIRECTION('',(0.,0.,1.)); #362569=DIRECTION('center_axis',(0.,0.,-1.)); #362570=DIRECTION('ref_axis',(1.,0.,0.)); #362571=DIRECTION('center_axis',(0.,0.,1.)); #362572=DIRECTION('ref_axis',(1.,0.,0.)); #362573=DIRECTION('center_axis',(0.,0.,1.)); #362574=DIRECTION('ref_axis',(1.,0.,0.)); #362575=DIRECTION('',(0.,0.,1.)); #362576=DIRECTION('center_axis',(0.,0.,-1.)); #362577=DIRECTION('ref_axis',(1.,0.,0.)); #362578=DIRECTION('center_axis',(0.,0.,1.)); #362579=DIRECTION('ref_axis',(1.,0.,0.)); #362580=DIRECTION('center_axis',(0.,0.,1.)); #362581=DIRECTION('ref_axis',(1.,0.,0.)); #362582=DIRECTION('',(0.,0.,1.)); #362583=DIRECTION('center_axis',(0.,0.,-1.)); #362584=DIRECTION('ref_axis',(1.,0.,0.)); #362585=DIRECTION('center_axis',(0.,0.,1.)); #362586=DIRECTION('ref_axis',(1.,0.,0.)); #362587=DIRECTION('center_axis',(0.,0.,1.)); #362588=DIRECTION('ref_axis',(1.,0.,0.)); #362589=DIRECTION('',(0.,0.,1.)); #362590=DIRECTION('center_axis',(0.,0.,-1.)); #362591=DIRECTION('ref_axis',(1.,0.,0.)); #362592=DIRECTION('center_axis',(0.,0.,1.)); #362593=DIRECTION('ref_axis',(1.,0.,0.)); #362594=DIRECTION('center_axis',(0.,0.,1.)); #362595=DIRECTION('ref_axis',(1.,0.,0.)); #362596=DIRECTION('',(0.,0.,1.)); #362597=DIRECTION('center_axis',(0.,0.,-1.)); #362598=DIRECTION('ref_axis',(1.,0.,0.)); #362599=DIRECTION('center_axis',(0.,0.,1.)); #362600=DIRECTION('ref_axis',(1.,0.,0.)); #362601=DIRECTION('center_axis',(0.,0.,1.)); #362602=DIRECTION('ref_axis',(1.,0.,0.)); #362603=DIRECTION('',(0.,0.,1.)); #362604=DIRECTION('center_axis',(0.,0.,-1.)); #362605=DIRECTION('ref_axis',(1.,0.,0.)); #362606=DIRECTION('center_axis',(0.,0.,1.)); #362607=DIRECTION('ref_axis',(1.,0.,0.)); #362608=DIRECTION('center_axis',(0.,0.,1.)); #362609=DIRECTION('ref_axis',(1.,0.,0.)); #362610=DIRECTION('',(0.,0.,1.)); #362611=DIRECTION('center_axis',(0.,0.,-1.)); #362612=DIRECTION('ref_axis',(1.,0.,0.)); #362613=DIRECTION('center_axis',(0.,0.,1.)); #362614=DIRECTION('ref_axis',(1.,0.,0.)); #362615=DIRECTION('center_axis',(0.,0.,1.)); #362616=DIRECTION('ref_axis',(1.,0.,0.)); #362617=DIRECTION('',(0.,0.,1.)); #362618=DIRECTION('center_axis',(0.,0.,-1.)); #362619=DIRECTION('ref_axis',(1.,0.,0.)); #362620=DIRECTION('center_axis',(0.,0.,1.)); #362621=DIRECTION('ref_axis',(1.,0.,0.)); #362622=DIRECTION('center_axis',(0.,0.,1.)); #362623=DIRECTION('ref_axis',(1.,0.,0.)); #362624=DIRECTION('',(0.,0.,1.)); #362625=DIRECTION('center_axis',(0.,0.,-1.)); #362626=DIRECTION('ref_axis',(1.,0.,0.)); #362627=DIRECTION('center_axis',(0.,0.,1.)); #362628=DIRECTION('ref_axis',(1.,0.,0.)); #362629=DIRECTION('center_axis',(0.,0.,1.)); #362630=DIRECTION('ref_axis',(1.,0.,0.)); #362631=DIRECTION('',(0.,0.,1.)); #362632=DIRECTION('center_axis',(0.,0.,-1.)); #362633=DIRECTION('ref_axis',(1.,0.,0.)); #362634=DIRECTION('center_axis',(0.,0.,1.)); #362635=DIRECTION('ref_axis',(1.,0.,0.)); #362636=DIRECTION('center_axis',(0.,0.,1.)); #362637=DIRECTION('ref_axis',(1.,0.,0.)); #362638=DIRECTION('',(0.,0.,1.)); #362639=DIRECTION('center_axis',(0.,0.,-1.)); #362640=DIRECTION('ref_axis',(1.,0.,0.)); #362641=DIRECTION('center_axis',(0.,0.,1.)); #362642=DIRECTION('ref_axis',(1.,0.,0.)); #362643=DIRECTION('center_axis',(0.,0.,1.)); #362644=DIRECTION('ref_axis',(1.,0.,0.)); #362645=DIRECTION('',(0.,0.,1.)); #362646=DIRECTION('center_axis',(0.,0.,-1.)); #362647=DIRECTION('ref_axis',(1.,0.,0.)); #362648=DIRECTION('center_axis',(0.,0.,1.)); #362649=DIRECTION('ref_axis',(1.,0.,0.)); #362650=DIRECTION('center_axis',(0.,0.,1.)); #362651=DIRECTION('ref_axis',(1.,0.,0.)); #362652=DIRECTION('',(0.,0.,1.)); #362653=DIRECTION('center_axis',(0.,0.,-1.)); #362654=DIRECTION('ref_axis',(1.,0.,0.)); #362655=DIRECTION('center_axis',(0.,0.,1.)); #362656=DIRECTION('ref_axis',(1.,0.,0.)); #362657=DIRECTION('center_axis',(0.,0.,1.)); #362658=DIRECTION('ref_axis',(1.,0.,0.)); #362659=DIRECTION('',(0.,0.,1.)); #362660=DIRECTION('center_axis',(0.,0.,-1.)); #362661=DIRECTION('ref_axis',(1.,0.,0.)); #362662=DIRECTION('center_axis',(0.,0.,1.)); #362663=DIRECTION('ref_axis',(1.,0.,0.)); #362664=DIRECTION('center_axis',(0.,0.,1.)); #362665=DIRECTION('ref_axis',(1.,0.,0.)); #362666=DIRECTION('',(0.,0.,1.)); #362667=DIRECTION('center_axis',(0.,0.,-1.)); #362668=DIRECTION('ref_axis',(1.,0.,0.)); #362669=DIRECTION('center_axis',(0.,0.,1.)); #362670=DIRECTION('ref_axis',(1.,0.,0.)); #362671=DIRECTION('center_axis',(0.,0.,1.)); #362672=DIRECTION('ref_axis',(1.,0.,0.)); #362673=DIRECTION('',(0.,0.,1.)); #362674=DIRECTION('center_axis',(0.,0.,-1.)); #362675=DIRECTION('ref_axis',(1.,0.,0.)); #362676=DIRECTION('center_axis',(0.,0.,1.)); #362677=DIRECTION('ref_axis',(1.,0.,0.)); #362678=DIRECTION('center_axis',(0.,0.,1.)); #362679=DIRECTION('ref_axis',(1.,0.,0.)); #362680=DIRECTION('',(0.,0.,1.)); #362681=DIRECTION('center_axis',(0.,0.,-1.)); #362682=DIRECTION('ref_axis',(1.,0.,0.)); #362683=DIRECTION('center_axis',(0.,0.,1.)); #362684=DIRECTION('ref_axis',(1.,0.,0.)); #362685=DIRECTION('center_axis',(0.,0.,1.)); #362686=DIRECTION('ref_axis',(1.,0.,0.)); #362687=DIRECTION('',(0.,0.,1.)); #362688=DIRECTION('center_axis',(0.,0.,-1.)); #362689=DIRECTION('ref_axis',(1.,0.,0.)); #362690=DIRECTION('center_axis',(0.,0.,1.)); #362691=DIRECTION('ref_axis',(1.,0.,0.)); #362692=DIRECTION('center_axis',(0.,0.,1.)); #362693=DIRECTION('ref_axis',(1.,0.,0.)); #362694=DIRECTION('',(0.,0.,1.)); #362695=DIRECTION('center_axis',(0.,0.,-1.)); #362696=DIRECTION('ref_axis',(1.,0.,0.)); #362697=DIRECTION('center_axis',(0.,0.,1.)); #362698=DIRECTION('ref_axis',(1.,0.,0.)); #362699=DIRECTION('center_axis',(0.,0.,1.)); #362700=DIRECTION('ref_axis',(1.,0.,0.)); #362701=DIRECTION('',(0.,0.,1.)); #362702=DIRECTION('center_axis',(0.,0.,-1.)); #362703=DIRECTION('ref_axis',(1.,0.,0.)); #362704=DIRECTION('center_axis',(0.,0.,1.)); #362705=DIRECTION('ref_axis',(1.,0.,0.)); #362706=DIRECTION('center_axis',(0.,0.,1.)); #362707=DIRECTION('ref_axis',(1.,0.,0.)); #362708=DIRECTION('',(0.,0.,1.)); #362709=DIRECTION('center_axis',(0.,0.,-1.)); #362710=DIRECTION('ref_axis',(1.,0.,0.)); #362711=DIRECTION('center_axis',(0.,0.,1.)); #362712=DIRECTION('ref_axis',(1.,0.,0.)); #362713=DIRECTION('center_axis',(0.,0.,1.)); #362714=DIRECTION('ref_axis',(1.,0.,0.)); #362715=DIRECTION('',(0.,0.,1.)); #362716=DIRECTION('center_axis',(0.,0.,-1.)); #362717=DIRECTION('ref_axis',(1.,0.,0.)); #362718=DIRECTION('center_axis',(0.,0.,1.)); #362719=DIRECTION('ref_axis',(1.,0.,0.)); #362720=DIRECTION('center_axis',(0.,0.,1.)); #362721=DIRECTION('ref_axis',(1.,0.,0.)); #362722=DIRECTION('',(0.,0.,1.)); #362723=DIRECTION('center_axis',(0.,0.,-1.)); #362724=DIRECTION('ref_axis',(1.,0.,0.)); #362725=DIRECTION('center_axis',(0.,0.,1.)); #362726=DIRECTION('ref_axis',(1.,0.,0.)); #362727=DIRECTION('center_axis',(0.,0.,1.)); #362728=DIRECTION('ref_axis',(1.,0.,0.)); #362729=DIRECTION('',(0.,0.,1.)); #362730=DIRECTION('center_axis',(0.,0.,-1.)); #362731=DIRECTION('ref_axis',(1.,0.,0.)); #362732=DIRECTION('center_axis',(0.,0.,1.)); #362733=DIRECTION('ref_axis',(1.,0.,0.)); #362734=DIRECTION('center_axis',(0.,0.,1.)); #362735=DIRECTION('ref_axis',(1.,0.,0.)); #362736=DIRECTION('',(0.,0.,1.)); #362737=DIRECTION('center_axis',(0.,0.,-1.)); #362738=DIRECTION('ref_axis',(1.,0.,0.)); #362739=DIRECTION('center_axis',(0.,0.,1.)); #362740=DIRECTION('ref_axis',(1.,0.,0.)); #362741=DIRECTION('center_axis',(0.,0.,1.)); #362742=DIRECTION('ref_axis',(1.,0.,0.)); #362743=DIRECTION('',(0.,0.,1.)); #362744=DIRECTION('center_axis',(0.,0.,-1.)); #362745=DIRECTION('ref_axis',(1.,0.,0.)); #362746=DIRECTION('center_axis',(0.,0.,1.)); #362747=DIRECTION('ref_axis',(1.,0.,0.)); #362748=DIRECTION('center_axis',(0.,0.,1.)); #362749=DIRECTION('ref_axis',(1.,0.,0.)); #362750=DIRECTION('',(0.,0.,1.)); #362751=DIRECTION('center_axis',(0.,0.,-1.)); #362752=DIRECTION('ref_axis',(1.,0.,0.)); #362753=DIRECTION('center_axis',(0.,0.,1.)); #362754=DIRECTION('ref_axis',(1.,0.,0.)); #362755=DIRECTION('center_axis',(0.,0.,1.)); #362756=DIRECTION('ref_axis',(1.,0.,0.)); #362757=DIRECTION('',(0.,0.,1.)); #362758=DIRECTION('center_axis',(0.,0.,-1.)); #362759=DIRECTION('ref_axis',(1.,0.,0.)); #362760=DIRECTION('center_axis',(0.,0.,1.)); #362761=DIRECTION('ref_axis',(1.,0.,0.)); #362762=DIRECTION('center_axis',(0.,0.,1.)); #362763=DIRECTION('ref_axis',(1.,0.,0.)); #362764=DIRECTION('',(0.,0.,1.)); #362765=DIRECTION('center_axis',(0.,0.,-1.)); #362766=DIRECTION('ref_axis',(1.,0.,0.)); #362767=DIRECTION('center_axis',(0.,0.,1.)); #362768=DIRECTION('ref_axis',(1.,0.,0.)); #362769=DIRECTION('center_axis',(0.,0.,1.)); #362770=DIRECTION('ref_axis',(1.,0.,0.)); #362771=DIRECTION('',(0.,0.,1.)); #362772=DIRECTION('center_axis',(0.,0.,-1.)); #362773=DIRECTION('ref_axis',(1.,0.,0.)); #362774=DIRECTION('center_axis',(0.,0.,1.)); #362775=DIRECTION('ref_axis',(1.,0.,0.)); #362776=DIRECTION('center_axis',(0.,0.,1.)); #362777=DIRECTION('ref_axis',(1.,0.,0.)); #362778=DIRECTION('',(0.,0.,1.)); #362779=DIRECTION('center_axis',(0.,0.,-1.)); #362780=DIRECTION('ref_axis',(1.,0.,0.)); #362781=DIRECTION('center_axis',(0.,0.,1.)); #362782=DIRECTION('ref_axis',(1.,0.,0.)); #362783=DIRECTION('center_axis',(0.,0.,1.)); #362784=DIRECTION('ref_axis',(1.,0.,0.)); #362785=DIRECTION('',(0.,0.,1.)); #362786=DIRECTION('center_axis',(0.,0.,-1.)); #362787=DIRECTION('ref_axis',(1.,0.,0.)); #362788=DIRECTION('center_axis',(0.,0.,1.)); #362789=DIRECTION('ref_axis',(1.,0.,0.)); #362790=DIRECTION('center_axis',(0.,0.,1.)); #362791=DIRECTION('ref_axis',(1.,0.,0.)); #362792=DIRECTION('',(0.,0.,1.)); #362793=DIRECTION('center_axis',(0.,0.,-1.)); #362794=DIRECTION('ref_axis',(1.,0.,0.)); #362795=DIRECTION('center_axis',(0.,0.,1.)); #362796=DIRECTION('ref_axis',(1.,0.,0.)); #362797=DIRECTION('center_axis',(0.,0.,1.)); #362798=DIRECTION('ref_axis',(1.,0.,0.)); #362799=DIRECTION('',(0.,0.,1.)); #362800=DIRECTION('center_axis',(0.,0.,-1.)); #362801=DIRECTION('ref_axis',(1.,0.,0.)); #362802=DIRECTION('center_axis',(0.,0.,1.)); #362803=DIRECTION('ref_axis',(1.,0.,0.)); #362804=DIRECTION('center_axis',(0.,0.,1.)); #362805=DIRECTION('ref_axis',(1.,0.,0.)); #362806=DIRECTION('',(0.,0.,1.)); #362807=DIRECTION('center_axis',(0.,0.,-1.)); #362808=DIRECTION('ref_axis',(1.,0.,0.)); #362809=DIRECTION('center_axis',(0.,0.,1.)); #362810=DIRECTION('ref_axis',(1.,0.,0.)); #362811=DIRECTION('center_axis',(0.,0.,1.)); #362812=DIRECTION('ref_axis',(1.,0.,0.)); #362813=DIRECTION('',(0.,0.,1.)); #362814=DIRECTION('center_axis',(0.,0.,-1.)); #362815=DIRECTION('ref_axis',(1.,0.,0.)); #362816=DIRECTION('center_axis',(0.,0.,1.)); #362817=DIRECTION('ref_axis',(1.,0.,0.)); #362818=DIRECTION('center_axis',(0.,0.,1.)); #362819=DIRECTION('ref_axis',(1.,0.,0.)); #362820=DIRECTION('',(0.,0.,1.)); #362821=DIRECTION('center_axis',(0.,0.,-1.)); #362822=DIRECTION('ref_axis',(1.,0.,0.)); #362823=DIRECTION('center_axis',(0.,0.,1.)); #362824=DIRECTION('ref_axis',(1.,0.,0.)); #362825=DIRECTION('center_axis',(0.,0.,1.)); #362826=DIRECTION('ref_axis',(1.,0.,0.)); #362827=DIRECTION('',(0.,0.,1.)); #362828=DIRECTION('center_axis',(0.,0.,-1.)); #362829=DIRECTION('ref_axis',(1.,0.,0.)); #362830=DIRECTION('center_axis',(0.,0.,1.)); #362831=DIRECTION('ref_axis',(1.,0.,0.)); #362832=DIRECTION('center_axis',(0.,0.,1.)); #362833=DIRECTION('ref_axis',(1.,0.,0.)); #362834=DIRECTION('',(0.,0.,1.)); #362835=DIRECTION('center_axis',(0.,0.,-1.)); #362836=DIRECTION('ref_axis',(1.,0.,0.)); #362837=DIRECTION('center_axis',(0.,0.,1.)); #362838=DIRECTION('ref_axis',(1.,0.,0.)); #362839=DIRECTION('center_axis',(0.,0.,1.)); #362840=DIRECTION('ref_axis',(1.,0.,0.)); #362841=DIRECTION('',(0.,0.,1.)); #362842=DIRECTION('center_axis',(0.,0.,-1.)); #362843=DIRECTION('ref_axis',(1.,0.,0.)); #362844=DIRECTION('center_axis',(0.,0.,1.)); #362845=DIRECTION('ref_axis',(1.,0.,0.)); #362846=DIRECTION('center_axis',(0.,0.,1.)); #362847=DIRECTION('ref_axis',(1.,0.,0.)); #362848=DIRECTION('',(0.,0.,1.)); #362849=DIRECTION('center_axis',(0.,0.,-1.)); #362850=DIRECTION('ref_axis',(1.,0.,0.)); #362851=DIRECTION('center_axis',(0.,0.,1.)); #362852=DIRECTION('ref_axis',(1.,0.,0.)); #362853=DIRECTION('center_axis',(0.,0.,1.)); #362854=DIRECTION('ref_axis',(1.,0.,0.)); #362855=DIRECTION('',(0.,0.,1.)); #362856=DIRECTION('center_axis',(0.,0.,-1.)); #362857=DIRECTION('ref_axis',(1.,0.,0.)); #362858=DIRECTION('center_axis',(0.,0.,1.)); #362859=DIRECTION('ref_axis',(1.,0.,0.)); #362860=DIRECTION('center_axis',(0.,0.,1.)); #362861=DIRECTION('ref_axis',(1.,0.,0.)); #362862=DIRECTION('',(0.,0.,1.)); #362863=DIRECTION('center_axis',(0.,0.,-1.)); #362864=DIRECTION('ref_axis',(1.,0.,0.)); #362865=DIRECTION('center_axis',(0.,0.,1.)); #362866=DIRECTION('ref_axis',(1.,0.,0.)); #362867=DIRECTION('center_axis',(0.,0.,1.)); #362868=DIRECTION('ref_axis',(1.,0.,0.)); #362869=DIRECTION('',(0.,0.,1.)); #362870=DIRECTION('center_axis',(0.,0.,-1.)); #362871=DIRECTION('ref_axis',(1.,0.,0.)); #362872=DIRECTION('center_axis',(0.,0.,1.)); #362873=DIRECTION('ref_axis',(1.,0.,0.)); #362874=DIRECTION('center_axis',(0.,0.,1.)); #362875=DIRECTION('ref_axis',(1.,0.,0.)); #362876=DIRECTION('',(0.,0.,1.)); #362877=DIRECTION('center_axis',(0.,0.,-1.)); #362878=DIRECTION('ref_axis',(1.,0.,0.)); #362879=DIRECTION('center_axis',(0.,0.,1.)); #362880=DIRECTION('ref_axis',(1.,0.,0.)); #362881=DIRECTION('center_axis',(0.,0.,1.)); #362882=DIRECTION('ref_axis',(1.,0.,0.)); #362883=DIRECTION('',(0.,0.,1.)); #362884=DIRECTION('center_axis',(0.,0.,-1.)); #362885=DIRECTION('ref_axis',(1.,0.,0.)); #362886=DIRECTION('center_axis',(0.,0.,1.)); #362887=DIRECTION('ref_axis',(1.,0.,0.)); #362888=DIRECTION('center_axis',(0.,0.,1.)); #362889=DIRECTION('ref_axis',(1.,0.,0.)); #362890=DIRECTION('',(0.,0.,1.)); #362891=DIRECTION('center_axis',(0.,0.,-1.)); #362892=DIRECTION('ref_axis',(1.,0.,0.)); #362893=DIRECTION('center_axis',(0.,0.,1.)); #362894=DIRECTION('ref_axis',(1.,0.,0.)); #362895=DIRECTION('center_axis',(0.,0.,1.)); #362896=DIRECTION('ref_axis',(1.,0.,0.)); #362897=DIRECTION('',(0.,0.,1.)); #362898=DIRECTION('center_axis',(0.,0.,-1.)); #362899=DIRECTION('ref_axis',(1.,0.,0.)); #362900=DIRECTION('center_axis',(0.,0.,1.)); #362901=DIRECTION('ref_axis',(1.,0.,0.)); #362902=DIRECTION('center_axis',(0.,0.,1.)); #362903=DIRECTION('ref_axis',(1.,0.,0.)); #362904=DIRECTION('',(0.,0.,1.)); #362905=DIRECTION('center_axis',(0.,0.,-1.)); #362906=DIRECTION('ref_axis',(1.,0.,0.)); #362907=DIRECTION('center_axis',(0.,0.,1.)); #362908=DIRECTION('ref_axis',(1.,0.,0.)); #362909=DIRECTION('center_axis',(0.,0.,1.)); #362910=DIRECTION('ref_axis',(1.,0.,0.)); #362911=DIRECTION('',(0.,0.,1.)); #362912=DIRECTION('center_axis',(0.,0.,-1.)); #362913=DIRECTION('ref_axis',(1.,0.,0.)); #362914=DIRECTION('center_axis',(0.,0.,1.)); #362915=DIRECTION('ref_axis',(1.,0.,0.)); #362916=DIRECTION('center_axis',(0.,0.,1.)); #362917=DIRECTION('ref_axis',(1.,0.,0.)); #362918=DIRECTION('',(0.,0.,1.)); #362919=DIRECTION('center_axis',(0.,0.,-1.)); #362920=DIRECTION('ref_axis',(1.,0.,0.)); #362921=DIRECTION('center_axis',(0.,0.,1.)); #362922=DIRECTION('ref_axis',(1.,0.,0.)); #362923=DIRECTION('center_axis',(0.,0.,1.)); #362924=DIRECTION('ref_axis',(1.,0.,0.)); #362925=DIRECTION('',(0.,0.,1.)); #362926=DIRECTION('center_axis',(0.,0.,-1.)); #362927=DIRECTION('ref_axis',(1.,0.,0.)); #362928=DIRECTION('center_axis',(0.,0.,1.)); #362929=DIRECTION('ref_axis',(1.,0.,0.)); #362930=DIRECTION('center_axis',(0.,0.,1.)); #362931=DIRECTION('ref_axis',(1.,0.,0.)); #362932=DIRECTION('',(0.,0.,1.)); #362933=DIRECTION('center_axis',(0.,0.,-1.)); #362934=DIRECTION('ref_axis',(1.,0.,0.)); #362935=DIRECTION('center_axis',(0.,0.,1.)); #362936=DIRECTION('ref_axis',(1.,0.,0.)); #362937=DIRECTION('center_axis',(0.,0.,1.)); #362938=DIRECTION('ref_axis',(1.,0.,0.)); #362939=DIRECTION('',(0.,0.,1.)); #362940=DIRECTION('center_axis',(0.,0.,-1.)); #362941=DIRECTION('ref_axis',(1.,0.,0.)); #362942=DIRECTION('center_axis',(0.,0.,1.)); #362943=DIRECTION('ref_axis',(1.,0.,0.)); #362944=DIRECTION('center_axis',(0.,0.,1.)); #362945=DIRECTION('ref_axis',(1.,0.,0.)); #362946=DIRECTION('',(0.,0.,1.)); #362947=DIRECTION('center_axis',(0.,0.,-1.)); #362948=DIRECTION('ref_axis',(1.,0.,0.)); #362949=DIRECTION('center_axis',(0.,0.,1.)); #362950=DIRECTION('ref_axis',(1.,0.,0.)); #362951=DIRECTION('center_axis',(0.,0.,1.)); #362952=DIRECTION('ref_axis',(1.,0.,0.)); #362953=DIRECTION('',(0.,0.,1.)); #362954=DIRECTION('center_axis',(0.,0.,-1.)); #362955=DIRECTION('ref_axis',(1.,0.,0.)); #362956=DIRECTION('center_axis',(0.,0.,1.)); #362957=DIRECTION('ref_axis',(1.,0.,0.)); #362958=DIRECTION('center_axis',(0.,0.,1.)); #362959=DIRECTION('ref_axis',(1.,0.,0.)); #362960=DIRECTION('',(0.,0.,1.)); #362961=DIRECTION('center_axis',(0.,0.,-1.)); #362962=DIRECTION('ref_axis',(1.,0.,0.)); #362963=DIRECTION('center_axis',(0.,0.,1.)); #362964=DIRECTION('ref_axis',(1.,0.,0.)); #362965=DIRECTION('center_axis',(0.,0.,1.)); #362966=DIRECTION('ref_axis',(1.,0.,0.)); #362967=DIRECTION('',(0.,0.,1.)); #362968=DIRECTION('center_axis',(0.,0.,-1.)); #362969=DIRECTION('ref_axis',(1.,0.,0.)); #362970=DIRECTION('center_axis',(0.,0.,1.)); #362971=DIRECTION('ref_axis',(1.,0.,0.)); #362972=DIRECTION('center_axis',(0.,0.,1.)); #362973=DIRECTION('ref_axis',(1.,0.,0.)); #362974=DIRECTION('',(0.,0.,1.)); #362975=DIRECTION('center_axis',(0.,0.,-1.)); #362976=DIRECTION('ref_axis',(1.,0.,0.)); #362977=DIRECTION('center_axis',(0.,0.,1.)); #362978=DIRECTION('ref_axis',(1.,0.,0.)); #362979=DIRECTION('center_axis',(0.,0.,1.)); #362980=DIRECTION('ref_axis',(1.,0.,0.)); #362981=DIRECTION('',(0.,0.,1.)); #362982=DIRECTION('center_axis',(0.,0.,-1.)); #362983=DIRECTION('ref_axis',(1.,0.,0.)); #362984=DIRECTION('center_axis',(0.,0.,1.)); #362985=DIRECTION('ref_axis',(1.,0.,0.)); #362986=DIRECTION('center_axis',(0.,0.,1.)); #362987=DIRECTION('ref_axis',(1.,0.,0.)); #362988=DIRECTION('',(0.,0.,1.)); #362989=DIRECTION('center_axis',(0.,0.,-1.)); #362990=DIRECTION('ref_axis',(1.,0.,0.)); #362991=DIRECTION('center_axis',(0.,0.,1.)); #362992=DIRECTION('ref_axis',(1.,0.,0.)); #362993=DIRECTION('center_axis',(0.,0.,1.)); #362994=DIRECTION('ref_axis',(1.,0.,0.)); #362995=DIRECTION('',(0.,0.,1.)); #362996=DIRECTION('center_axis',(0.,0.,-1.)); #362997=DIRECTION('ref_axis',(1.,0.,0.)); #362998=DIRECTION('center_axis',(0.,0.,1.)); #362999=DIRECTION('ref_axis',(1.,0.,0.)); #363000=DIRECTION('center_axis',(0.,0.,1.)); #363001=DIRECTION('ref_axis',(1.,0.,0.)); #363002=DIRECTION('',(0.,0.,1.)); #363003=DIRECTION('center_axis',(0.,0.,-1.)); #363004=DIRECTION('ref_axis',(1.,0.,0.)); #363005=DIRECTION('center_axis',(0.,0.,1.)); #363006=DIRECTION('ref_axis',(1.,0.,0.)); #363007=DIRECTION('center_axis',(0.,0.,1.)); #363008=DIRECTION('ref_axis',(1.,0.,0.)); #363009=DIRECTION('',(0.,0.,1.)); #363010=DIRECTION('center_axis',(0.,0.,-1.)); #363011=DIRECTION('ref_axis',(1.,0.,0.)); #363012=DIRECTION('center_axis',(0.,0.,1.)); #363013=DIRECTION('ref_axis',(1.,0.,0.)); #363014=DIRECTION('center_axis',(0.,0.,1.)); #363015=DIRECTION('ref_axis',(1.,0.,0.)); #363016=DIRECTION('',(0.,0.,1.)); #363017=DIRECTION('center_axis',(0.,0.,-1.)); #363018=DIRECTION('ref_axis',(1.,0.,0.)); #363019=DIRECTION('center_axis',(0.,0.,1.)); #363020=DIRECTION('ref_axis',(1.,0.,0.)); #363021=DIRECTION('center_axis',(0.,0.,1.)); #363022=DIRECTION('ref_axis',(1.,0.,0.)); #363023=DIRECTION('',(0.,0.,1.)); #363024=DIRECTION('center_axis',(0.,0.,-1.)); #363025=DIRECTION('ref_axis',(1.,0.,0.)); #363026=DIRECTION('center_axis',(0.,0.,1.)); #363027=DIRECTION('ref_axis',(1.,0.,0.)); #363028=DIRECTION('center_axis',(0.,0.,1.)); #363029=DIRECTION('ref_axis',(1.,0.,0.)); #363030=DIRECTION('',(0.,0.,1.)); #363031=DIRECTION('center_axis',(0.,0.,-1.)); #363032=DIRECTION('ref_axis',(1.,0.,0.)); #363033=DIRECTION('center_axis',(0.,0.,1.)); #363034=DIRECTION('ref_axis',(1.,0.,0.)); #363035=DIRECTION('center_axis',(0.,0.,1.)); #363036=DIRECTION('ref_axis',(1.,0.,0.)); #363037=DIRECTION('',(0.,0.,1.)); #363038=DIRECTION('center_axis',(0.,0.,-1.)); #363039=DIRECTION('ref_axis',(1.,0.,0.)); #363040=DIRECTION('center_axis',(0.,0.,1.)); #363041=DIRECTION('ref_axis',(1.,0.,0.)); #363042=DIRECTION('center_axis',(0.,0.,1.)); #363043=DIRECTION('ref_axis',(1.,0.,0.)); #363044=DIRECTION('',(0.,0.,-1.)); #363045=DIRECTION('center_axis',(0.,0.,1.)); #363046=DIRECTION('ref_axis',(1.,0.,0.)); #363047=DIRECTION('center_axis',(0.,0.,1.)); #363048=DIRECTION('ref_axis',(1.,0.,0.)); #363049=DIRECTION('center_axis',(0.,0.,1.)); #363050=DIRECTION('ref_axis',(1.,0.,0.)); #363051=DIRECTION('center_axis',(0.,0.,1.)); #363052=DIRECTION('ref_axis',(1.,0.,0.)); #363053=DIRECTION('center_axis',(0.,0.,-1.)); #363054=DIRECTION('ref_axis',(1.,0.,0.)); #363055=DIRECTION('',(0.,0.,-1.)); #363056=DIRECTION('center_axis',(0.,0.,1.)); #363057=DIRECTION('ref_axis',(1.,0.,0.)); #363058=DIRECTION('center_axis',(0.,0.,1.)); #363059=DIRECTION('ref_axis',(1.,0.,0.)); #363060=DIRECTION('center_axis',(0.,0.,1.)); #363061=DIRECTION('ref_axis',(1.,0.,0.)); #363062=DIRECTION('',(0.,0.,-1.)); #363063=DIRECTION('center_axis',(0.,0.,1.)); #363064=DIRECTION('ref_axis',(1.,0.,0.)); #363065=DIRECTION('center_axis',(0.,0.,1.)); #363066=DIRECTION('ref_axis',(1.,0.,0.)); #363067=DIRECTION('center_axis',(0.,0.,1.)); #363068=DIRECTION('ref_axis',(1.,0.,0.)); #363069=DIRECTION('center_axis',(0.,0.,1.)); #363070=DIRECTION('ref_axis',(1.,0.,0.)); #363071=DIRECTION('center_axis',(0.,0.,-1.)); #363072=DIRECTION('ref_axis',(1.,0.,0.)); #363073=DIRECTION('',(0.,0.,-1.)); #363074=DIRECTION('center_axis',(0.,0.,1.)); #363075=DIRECTION('ref_axis',(1.,0.,0.)); #363076=DIRECTION('center_axis',(0.,0.,1.)); #363077=DIRECTION('ref_axis',(1.,0.,0.)); #363078=DIRECTION('center_axis',(0.,0.,1.)); #363079=DIRECTION('ref_axis',(1.,0.,0.)); #363080=DIRECTION('',(0.,0.,-1.)); #363081=DIRECTION('center_axis',(0.,0.,1.)); #363082=DIRECTION('ref_axis',(1.,0.,0.)); #363083=DIRECTION('center_axis',(0.,0.,1.)); #363084=DIRECTION('ref_axis',(1.,0.,0.)); #363085=DIRECTION('center_axis',(0.,0.,1.)); #363086=DIRECTION('ref_axis',(1.,0.,0.)); #363087=DIRECTION('center_axis',(0.,0.,1.)); #363088=DIRECTION('ref_axis',(1.,0.,0.)); #363089=DIRECTION('center_axis',(0.,0.,-1.)); #363090=DIRECTION('ref_axis',(1.,0.,0.)); #363091=DIRECTION('',(0.,0.,-1.)); #363092=DIRECTION('center_axis',(0.,0.,1.)); #363093=DIRECTION('ref_axis',(1.,0.,0.)); #363094=DIRECTION('center_axis',(0.,0.,1.)); #363095=DIRECTION('ref_axis',(1.,0.,0.)); #363096=DIRECTION('center_axis',(0.,0.,1.)); #363097=DIRECTION('ref_axis',(1.,0.,0.)); #363098=DIRECTION('',(0.,0.,-1.)); #363099=DIRECTION('center_axis',(0.,0.,1.)); #363100=DIRECTION('ref_axis',(1.,0.,0.)); #363101=DIRECTION('center_axis',(0.,0.,1.)); #363102=DIRECTION('ref_axis',(1.,0.,0.)); #363103=DIRECTION('center_axis',(0.,0.,1.)); #363104=DIRECTION('ref_axis',(1.,0.,0.)); #363105=DIRECTION('center_axis',(0.,0.,1.)); #363106=DIRECTION('ref_axis',(1.,0.,0.)); #363107=DIRECTION('center_axis',(0.,0.,-1.)); #363108=DIRECTION('ref_axis',(1.,0.,0.)); #363109=DIRECTION('',(0.,0.,-1.)); #363110=DIRECTION('center_axis',(0.,0.,1.)); #363111=DIRECTION('ref_axis',(1.,0.,0.)); #363112=DIRECTION('center_axis',(0.,0.,1.)); #363113=DIRECTION('ref_axis',(1.,0.,0.)); #363114=DIRECTION('center_axis',(0.,0.,1.)); #363115=DIRECTION('ref_axis',(1.,0.,0.)); #363116=DIRECTION('',(0.,0.,-1.)); #363117=DIRECTION('center_axis',(0.,0.,1.)); #363118=DIRECTION('ref_axis',(1.,0.,0.)); #363119=DIRECTION('center_axis',(0.,0.,1.)); #363120=DIRECTION('ref_axis',(1.,0.,0.)); #363121=DIRECTION('center_axis',(0.,0.,1.)); #363122=DIRECTION('ref_axis',(1.,0.,0.)); #363123=DIRECTION('center_axis',(0.,0.,1.)); #363124=DIRECTION('ref_axis',(1.,0.,0.)); #363125=DIRECTION('center_axis',(0.,0.,-1.)); #363126=DIRECTION('ref_axis',(1.,0.,0.)); #363127=DIRECTION('',(0.,0.,-1.)); #363128=DIRECTION('center_axis',(0.,0.,1.)); #363129=DIRECTION('ref_axis',(1.,0.,0.)); #363130=DIRECTION('center_axis',(0.,0.,1.)); #363131=DIRECTION('ref_axis',(1.,0.,0.)); #363132=DIRECTION('center_axis',(0.,0.,1.)); #363133=DIRECTION('ref_axis',(1.,0.,0.)); #363134=DIRECTION('',(0.,0.,-1.)); #363135=DIRECTION('center_axis',(0.,0.,1.)); #363136=DIRECTION('ref_axis',(1.,0.,0.)); #363137=DIRECTION('center_axis',(0.,0.,1.)); #363138=DIRECTION('ref_axis',(1.,0.,0.)); #363139=DIRECTION('center_axis',(0.,0.,1.)); #363140=DIRECTION('ref_axis',(1.,0.,0.)); #363141=DIRECTION('center_axis',(0.,0.,1.)); #363142=DIRECTION('ref_axis',(1.,0.,0.)); #363143=DIRECTION('center_axis',(0.,0.,-1.)); #363144=DIRECTION('ref_axis',(1.,0.,0.)); #363145=DIRECTION('',(0.,0.,-1.)); #363146=DIRECTION('center_axis',(0.,0.,1.)); #363147=DIRECTION('ref_axis',(1.,0.,0.)); #363148=DIRECTION('center_axis',(0.,0.,1.)); #363149=DIRECTION('ref_axis',(1.,0.,0.)); #363150=DIRECTION('center_axis',(0.,0.,1.)); #363151=DIRECTION('ref_axis',(1.,0.,0.)); #363152=DIRECTION('',(0.,0.,-1.)); #363153=DIRECTION('center_axis',(0.,0.,1.)); #363154=DIRECTION('ref_axis',(1.,0.,0.)); #363155=DIRECTION('center_axis',(0.,0.,1.)); #363156=DIRECTION('ref_axis',(1.,0.,0.)); #363157=DIRECTION('center_axis',(0.,0.,1.)); #363158=DIRECTION('ref_axis',(1.,0.,0.)); #363159=DIRECTION('center_axis',(0.,0.,1.)); #363160=DIRECTION('ref_axis',(1.,0.,0.)); #363161=DIRECTION('center_axis',(0.,0.,-1.)); #363162=DIRECTION('ref_axis',(1.,0.,0.)); #363163=DIRECTION('',(0.,0.,-1.)); #363164=DIRECTION('center_axis',(0.,0.,1.)); #363165=DIRECTION('ref_axis',(1.,0.,0.)); #363166=DIRECTION('center_axis',(0.,0.,1.)); #363167=DIRECTION('ref_axis',(1.,0.,0.)); #363168=DIRECTION('center_axis',(0.,0.,1.)); #363169=DIRECTION('ref_axis',(1.,0.,0.)); #363170=DIRECTION('',(0.,0.,-1.)); #363171=DIRECTION('center_axis',(0.,0.,1.)); #363172=DIRECTION('ref_axis',(1.,0.,0.)); #363173=DIRECTION('center_axis',(0.,0.,1.)); #363174=DIRECTION('ref_axis',(1.,0.,0.)); #363175=DIRECTION('center_axis',(0.,0.,1.)); #363176=DIRECTION('ref_axis',(1.,0.,0.)); #363177=DIRECTION('center_axis',(0.,0.,1.)); #363178=DIRECTION('ref_axis',(1.,0.,0.)); #363179=DIRECTION('center_axis',(0.,0.,-1.)); #363180=DIRECTION('ref_axis',(1.,0.,0.)); #363181=DIRECTION('',(0.,0.,-1.)); #363182=DIRECTION('center_axis',(0.,0.,1.)); #363183=DIRECTION('ref_axis',(1.,0.,0.)); #363184=DIRECTION('center_axis',(0.,0.,1.)); #363185=DIRECTION('ref_axis',(1.,0.,0.)); #363186=DIRECTION('center_axis',(0.,0.,1.)); #363187=DIRECTION('ref_axis',(1.,0.,0.)); #363188=DIRECTION('',(0.,0.,-1.)); #363189=DIRECTION('center_axis',(0.,0.,1.)); #363190=DIRECTION('ref_axis',(1.,0.,0.)); #363191=DIRECTION('center_axis',(0.,0.,1.)); #363192=DIRECTION('ref_axis',(1.,0.,0.)); #363193=DIRECTION('center_axis',(0.,0.,1.)); #363194=DIRECTION('ref_axis',(1.,0.,0.)); #363195=DIRECTION('center_axis',(0.,0.,1.)); #363196=DIRECTION('ref_axis',(1.,0.,0.)); #363197=DIRECTION('center_axis',(0.,0.,-1.)); #363198=DIRECTION('ref_axis',(1.,0.,0.)); #363199=DIRECTION('',(0.,0.,-1.)); #363200=DIRECTION('center_axis',(0.,0.,1.)); #363201=DIRECTION('ref_axis',(1.,0.,0.)); #363202=DIRECTION('center_axis',(0.,0.,1.)); #363203=DIRECTION('ref_axis',(1.,0.,0.)); #363204=DIRECTION('center_axis',(0.,0.,1.)); #363205=DIRECTION('ref_axis',(1.,0.,0.)); #363206=DIRECTION('',(0.,0.,-1.)); #363207=DIRECTION('center_axis',(0.,0.,1.)); #363208=DIRECTION('ref_axis',(1.,0.,0.)); #363209=DIRECTION('center_axis',(0.,0.,1.)); #363210=DIRECTION('ref_axis',(1.,0.,0.)); #363211=DIRECTION('center_axis',(0.,0.,1.)); #363212=DIRECTION('ref_axis',(1.,0.,0.)); #363213=DIRECTION('center_axis',(0.,0.,1.)); #363214=DIRECTION('ref_axis',(1.,0.,0.)); #363215=DIRECTION('center_axis',(0.,0.,-1.)); #363216=DIRECTION('ref_axis',(1.,0.,0.)); #363217=DIRECTION('',(0.,0.,-1.)); #363218=DIRECTION('center_axis',(0.,0.,1.)); #363219=DIRECTION('ref_axis',(1.,0.,0.)); #363220=DIRECTION('center_axis',(0.,0.,1.)); #363221=DIRECTION('ref_axis',(1.,0.,0.)); #363222=DIRECTION('center_axis',(0.,0.,1.)); #363223=DIRECTION('ref_axis',(1.,0.,0.)); #363224=DIRECTION('',(0.,0.,-1.)); #363225=DIRECTION('center_axis',(0.,0.,1.)); #363226=DIRECTION('ref_axis',(1.,0.,0.)); #363227=DIRECTION('center_axis',(0.,0.,1.)); #363228=DIRECTION('ref_axis',(1.,0.,0.)); #363229=DIRECTION('center_axis',(0.,0.,1.)); #363230=DIRECTION('ref_axis',(1.,0.,0.)); #363231=DIRECTION('center_axis',(0.,0.,1.)); #363232=DIRECTION('ref_axis',(1.,0.,0.)); #363233=DIRECTION('center_axis',(0.,0.,-1.)); #363234=DIRECTION('ref_axis',(1.,0.,0.)); #363235=DIRECTION('',(0.,0.,-1.)); #363236=DIRECTION('center_axis',(0.,0.,1.)); #363237=DIRECTION('ref_axis',(1.,0.,0.)); #363238=DIRECTION('center_axis',(0.,0.,1.)); #363239=DIRECTION('ref_axis',(1.,0.,0.)); #363240=DIRECTION('center_axis',(0.,0.,1.)); #363241=DIRECTION('ref_axis',(1.,0.,0.)); #363242=DIRECTION('',(0.,0.,-1.)); #363243=DIRECTION('center_axis',(0.,0.,1.)); #363244=DIRECTION('ref_axis',(1.,0.,0.)); #363245=DIRECTION('center_axis',(0.,0.,1.)); #363246=DIRECTION('ref_axis',(1.,0.,0.)); #363247=DIRECTION('center_axis',(0.,0.,1.)); #363248=DIRECTION('ref_axis',(1.,0.,0.)); #363249=DIRECTION('center_axis',(0.,0.,1.)); #363250=DIRECTION('ref_axis',(1.,0.,0.)); #363251=DIRECTION('center_axis',(0.,0.,-1.)); #363252=DIRECTION('ref_axis',(1.,0.,0.)); #363253=DIRECTION('',(0.,0.,-1.)); #363254=DIRECTION('center_axis',(0.,0.,1.)); #363255=DIRECTION('ref_axis',(1.,0.,0.)); #363256=DIRECTION('center_axis',(0.,0.,1.)); #363257=DIRECTION('ref_axis',(1.,0.,0.)); #363258=DIRECTION('center_axis',(0.,0.,1.)); #363259=DIRECTION('ref_axis',(1.,0.,0.)); #363260=DIRECTION('',(0.,0.,-1.)); #363261=DIRECTION('center_axis',(0.,0.,1.)); #363262=DIRECTION('ref_axis',(1.,0.,0.)); #363263=DIRECTION('center_axis',(0.,0.,1.)); #363264=DIRECTION('ref_axis',(1.,0.,0.)); #363265=DIRECTION('center_axis',(0.,0.,1.)); #363266=DIRECTION('ref_axis',(1.,0.,0.)); #363267=DIRECTION('center_axis',(0.,0.,1.)); #363268=DIRECTION('ref_axis',(1.,0.,0.)); #363269=DIRECTION('center_axis',(0.,0.,-1.)); #363270=DIRECTION('ref_axis',(1.,0.,0.)); #363271=DIRECTION('',(0.,0.,-1.)); #363272=DIRECTION('center_axis',(0.,0.,1.)); #363273=DIRECTION('ref_axis',(1.,0.,0.)); #363274=DIRECTION('center_axis',(0.,0.,1.)); #363275=DIRECTION('ref_axis',(1.,0.,0.)); #363276=DIRECTION('center_axis',(0.,0.,1.)); #363277=DIRECTION('ref_axis',(1.,0.,0.)); #363278=DIRECTION('',(0.,0.,-1.)); #363279=DIRECTION('center_axis',(0.,0.,1.)); #363280=DIRECTION('ref_axis',(1.,0.,0.)); #363281=DIRECTION('center_axis',(0.,0.,1.)); #363282=DIRECTION('ref_axis',(1.,0.,0.)); #363283=DIRECTION('center_axis',(0.,0.,1.)); #363284=DIRECTION('ref_axis',(1.,0.,0.)); #363285=DIRECTION('center_axis',(0.,0.,1.)); #363286=DIRECTION('ref_axis',(1.,0.,0.)); #363287=DIRECTION('center_axis',(0.,0.,-1.)); #363288=DIRECTION('ref_axis',(1.,0.,0.)); #363289=DIRECTION('',(0.,0.,-1.)); #363290=DIRECTION('center_axis',(0.,0.,1.)); #363291=DIRECTION('ref_axis',(1.,0.,0.)); #363292=DIRECTION('center_axis',(0.,0.,1.)); #363293=DIRECTION('ref_axis',(1.,0.,0.)); #363294=DIRECTION('center_axis',(0.,0.,1.)); #363295=DIRECTION('ref_axis',(1.,0.,0.)); #363296=DIRECTION('',(0.,0.,-1.)); #363297=DIRECTION('center_axis',(0.,0.,1.)); #363298=DIRECTION('ref_axis',(1.,0.,0.)); #363299=DIRECTION('center_axis',(0.,0.,1.)); #363300=DIRECTION('ref_axis',(1.,0.,0.)); #363301=DIRECTION('center_axis',(0.,0.,1.)); #363302=DIRECTION('ref_axis',(1.,0.,0.)); #363303=DIRECTION('center_axis',(0.,0.,1.)); #363304=DIRECTION('ref_axis',(1.,0.,0.)); #363305=DIRECTION('center_axis',(0.,0.,-1.)); #363306=DIRECTION('ref_axis',(1.,0.,0.)); #363307=DIRECTION('',(0.,0.,-1.)); #363308=DIRECTION('center_axis',(0.,0.,1.)); #363309=DIRECTION('ref_axis',(1.,0.,0.)); #363310=DIRECTION('center_axis',(0.,0.,1.)); #363311=DIRECTION('ref_axis',(1.,0.,0.)); #363312=DIRECTION('center_axis',(0.,0.,1.)); #363313=DIRECTION('ref_axis',(1.,0.,0.)); #363314=DIRECTION('',(0.,0.,-1.)); #363315=DIRECTION('center_axis',(0.,0.,1.)); #363316=DIRECTION('ref_axis',(1.,0.,0.)); #363317=DIRECTION('center_axis',(0.,0.,1.)); #363318=DIRECTION('ref_axis',(1.,0.,0.)); #363319=DIRECTION('center_axis',(0.,0.,1.)); #363320=DIRECTION('ref_axis',(1.,0.,0.)); #363321=DIRECTION('center_axis',(0.,0.,1.)); #363322=DIRECTION('ref_axis',(1.,0.,0.)); #363323=DIRECTION('center_axis',(0.,0.,-1.)); #363324=DIRECTION('ref_axis',(1.,0.,0.)); #363325=DIRECTION('',(0.,0.,-1.)); #363326=DIRECTION('center_axis',(0.,0.,1.)); #363327=DIRECTION('ref_axis',(1.,0.,0.)); #363328=DIRECTION('center_axis',(0.,0.,1.)); #363329=DIRECTION('ref_axis',(1.,0.,0.)); #363330=DIRECTION('center_axis',(0.,0.,1.)); #363331=DIRECTION('ref_axis',(1.,0.,0.)); #363332=DIRECTION('',(0.,0.,-1.)); #363333=DIRECTION('center_axis',(0.,0.,1.)); #363334=DIRECTION('ref_axis',(1.,0.,0.)); #363335=DIRECTION('center_axis',(0.,0.,1.)); #363336=DIRECTION('ref_axis',(1.,0.,0.)); #363337=DIRECTION('center_axis',(0.,0.,1.)); #363338=DIRECTION('ref_axis',(1.,0.,0.)); #363339=DIRECTION('center_axis',(0.,0.,1.)); #363340=DIRECTION('ref_axis',(1.,0.,0.)); #363341=DIRECTION('center_axis',(0.,0.,-1.)); #363342=DIRECTION('ref_axis',(1.,0.,0.)); #363343=DIRECTION('',(0.,0.,-1.)); #363344=DIRECTION('center_axis',(0.,0.,1.)); #363345=DIRECTION('ref_axis',(1.,0.,0.)); #363346=DIRECTION('center_axis',(0.,0.,1.)); #363347=DIRECTION('ref_axis',(1.,0.,0.)); #363348=DIRECTION('center_axis',(0.,0.,1.)); #363349=DIRECTION('ref_axis',(1.,0.,0.)); #363350=DIRECTION('',(0.,0.,-1.)); #363351=DIRECTION('center_axis',(0.,0.,1.)); #363352=DIRECTION('ref_axis',(1.,0.,0.)); #363353=DIRECTION('center_axis',(0.,0.,1.)); #363354=DIRECTION('ref_axis',(1.,0.,0.)); #363355=DIRECTION('center_axis',(0.,0.,1.)); #363356=DIRECTION('ref_axis',(1.,0.,0.)); #363357=DIRECTION('center_axis',(0.,0.,1.)); #363358=DIRECTION('ref_axis',(1.,0.,0.)); #363359=DIRECTION('center_axis',(0.,0.,-1.)); #363360=DIRECTION('ref_axis',(1.,0.,0.)); #363361=DIRECTION('',(0.,0.,-1.)); #363362=DIRECTION('center_axis',(0.,0.,1.)); #363363=DIRECTION('ref_axis',(1.,0.,0.)); #363364=DIRECTION('center_axis',(0.,0.,1.)); #363365=DIRECTION('ref_axis',(1.,0.,0.)); #363366=DIRECTION('center_axis',(0.,0.,1.)); #363367=DIRECTION('ref_axis',(1.,0.,0.)); #363368=DIRECTION('',(0.,0.,-1.)); #363369=DIRECTION('center_axis',(0.,0.,1.)); #363370=DIRECTION('ref_axis',(1.,0.,0.)); #363371=DIRECTION('center_axis',(0.,0.,1.)); #363372=DIRECTION('ref_axis',(1.,0.,0.)); #363373=DIRECTION('center_axis',(0.,0.,1.)); #363374=DIRECTION('ref_axis',(1.,0.,0.)); #363375=DIRECTION('center_axis',(0.,0.,1.)); #363376=DIRECTION('ref_axis',(1.,0.,0.)); #363377=DIRECTION('center_axis',(0.,0.,-1.)); #363378=DIRECTION('ref_axis',(1.,0.,0.)); #363379=DIRECTION('',(0.,0.,-1.)); #363380=DIRECTION('center_axis',(0.,0.,1.)); #363381=DIRECTION('ref_axis',(1.,0.,0.)); #363382=DIRECTION('center_axis',(0.,0.,1.)); #363383=DIRECTION('ref_axis',(1.,0.,0.)); #363384=DIRECTION('center_axis',(0.,0.,1.)); #363385=DIRECTION('ref_axis',(1.,0.,0.)); #363386=DIRECTION('',(0.,0.,-1.)); #363387=DIRECTION('center_axis',(0.,0.,1.)); #363388=DIRECTION('ref_axis',(1.,0.,0.)); #363389=DIRECTION('center_axis',(0.,0.,1.)); #363390=DIRECTION('ref_axis',(1.,0.,0.)); #363391=DIRECTION('center_axis',(0.,0.,1.)); #363392=DIRECTION('ref_axis',(1.,0.,0.)); #363393=DIRECTION('center_axis',(0.,0.,1.)); #363394=DIRECTION('ref_axis',(1.,0.,0.)); #363395=DIRECTION('center_axis',(0.,0.,-1.)); #363396=DIRECTION('ref_axis',(1.,0.,0.)); #363397=DIRECTION('',(0.,0.,-1.)); #363398=DIRECTION('center_axis',(0.,0.,1.)); #363399=DIRECTION('ref_axis',(1.,0.,0.)); #363400=DIRECTION('center_axis',(0.,0.,1.)); #363401=DIRECTION('ref_axis',(1.,0.,0.)); #363402=DIRECTION('center_axis',(0.,0.,1.)); #363403=DIRECTION('ref_axis',(1.,0.,0.)); #363404=DIRECTION('',(0.,0.,-1.)); #363405=DIRECTION('center_axis',(0.,0.,1.)); #363406=DIRECTION('ref_axis',(1.,0.,0.)); #363407=DIRECTION('center_axis',(0.,0.,1.)); #363408=DIRECTION('ref_axis',(1.,0.,0.)); #363409=DIRECTION('center_axis',(0.,0.,1.)); #363410=DIRECTION('ref_axis',(1.,0.,0.)); #363411=DIRECTION('center_axis',(0.,0.,1.)); #363412=DIRECTION('ref_axis',(1.,0.,0.)); #363413=DIRECTION('center_axis',(0.,0.,-1.)); #363414=DIRECTION('ref_axis',(1.,0.,0.)); #363415=DIRECTION('',(0.,0.,-1.)); #363416=DIRECTION('center_axis',(0.,0.,1.)); #363417=DIRECTION('ref_axis',(1.,0.,0.)); #363418=DIRECTION('center_axis',(0.,0.,1.)); #363419=DIRECTION('ref_axis',(1.,0.,0.)); #363420=DIRECTION('center_axis',(0.,0.,1.)); #363421=DIRECTION('ref_axis',(1.,0.,0.)); #363422=DIRECTION('',(0.,0.,-1.)); #363423=DIRECTION('center_axis',(0.,0.,1.)); #363424=DIRECTION('ref_axis',(1.,0.,0.)); #363425=DIRECTION('center_axis',(0.,0.,1.)); #363426=DIRECTION('ref_axis',(1.,0.,0.)); #363427=DIRECTION('center_axis',(0.,0.,1.)); #363428=DIRECTION('ref_axis',(1.,0.,0.)); #363429=DIRECTION('center_axis',(0.,0.,1.)); #363430=DIRECTION('ref_axis',(1.,0.,0.)); #363431=DIRECTION('center_axis',(0.,0.,-1.)); #363432=DIRECTION('ref_axis',(1.,0.,0.)); #363433=DIRECTION('',(0.,0.,-1.)); #363434=DIRECTION('center_axis',(0.,0.,1.)); #363435=DIRECTION('ref_axis',(1.,0.,0.)); #363436=DIRECTION('center_axis',(0.,0.,1.)); #363437=DIRECTION('ref_axis',(1.,0.,0.)); #363438=DIRECTION('center_axis',(0.,0.,1.)); #363439=DIRECTION('ref_axis',(1.,0.,0.)); #363440=DIRECTION('',(0.,0.,-1.)); #363441=DIRECTION('center_axis',(0.,0.,1.)); #363442=DIRECTION('ref_axis',(1.,0.,0.)); #363443=DIRECTION('center_axis',(0.,0.,1.)); #363444=DIRECTION('ref_axis',(1.,0.,0.)); #363445=DIRECTION('center_axis',(0.,0.,1.)); #363446=DIRECTION('ref_axis',(1.,0.,0.)); #363447=DIRECTION('center_axis',(0.,0.,1.)); #363448=DIRECTION('ref_axis',(1.,0.,0.)); #363449=DIRECTION('center_axis',(0.,0.,-1.)); #363450=DIRECTION('ref_axis',(1.,0.,0.)); #363451=DIRECTION('',(0.,0.,-1.)); #363452=DIRECTION('center_axis',(0.,0.,1.)); #363453=DIRECTION('ref_axis',(1.,0.,0.)); #363454=DIRECTION('center_axis',(0.,0.,1.)); #363455=DIRECTION('ref_axis',(1.,0.,0.)); #363456=DIRECTION('center_axis',(0.,0.,1.)); #363457=DIRECTION('ref_axis',(1.,0.,0.)); #363458=DIRECTION('',(0.,0.,-1.)); #363459=DIRECTION('center_axis',(0.,0.,1.)); #363460=DIRECTION('ref_axis',(1.,0.,0.)); #363461=DIRECTION('center_axis',(0.,0.,1.)); #363462=DIRECTION('ref_axis',(1.,0.,0.)); #363463=DIRECTION('center_axis',(0.,0.,1.)); #363464=DIRECTION('ref_axis',(1.,0.,0.)); #363465=DIRECTION('center_axis',(0.,0.,1.)); #363466=DIRECTION('ref_axis',(1.,0.,0.)); #363467=DIRECTION('center_axis',(0.,0.,-1.)); #363468=DIRECTION('ref_axis',(1.,0.,0.)); #363469=DIRECTION('',(0.,0.,-1.)); #363470=DIRECTION('center_axis',(0.,0.,1.)); #363471=DIRECTION('ref_axis',(1.,0.,0.)); #363472=DIRECTION('center_axis',(0.,0.,1.)); #363473=DIRECTION('ref_axis',(1.,0.,0.)); #363474=DIRECTION('center_axis',(0.,0.,1.)); #363475=DIRECTION('ref_axis',(1.,0.,0.)); #363476=DIRECTION('',(0.,0.,-1.)); #363477=DIRECTION('center_axis',(0.,0.,1.)); #363478=DIRECTION('ref_axis',(1.,0.,0.)); #363479=DIRECTION('center_axis',(0.,0.,1.)); #363480=DIRECTION('ref_axis',(1.,0.,0.)); #363481=DIRECTION('center_axis',(0.,0.,1.)); #363482=DIRECTION('ref_axis',(1.,0.,0.)); #363483=DIRECTION('center_axis',(0.,0.,1.)); #363484=DIRECTION('ref_axis',(1.,0.,0.)); #363485=DIRECTION('center_axis',(0.,0.,-1.)); #363486=DIRECTION('ref_axis',(1.,0.,0.)); #363487=DIRECTION('',(0.,0.,-1.)); #363488=DIRECTION('center_axis',(0.,0.,1.)); #363489=DIRECTION('ref_axis',(1.,0.,0.)); #363490=DIRECTION('center_axis',(0.,0.,1.)); #363491=DIRECTION('ref_axis',(1.,0.,0.)); #363492=DIRECTION('center_axis',(0.,0.,1.)); #363493=DIRECTION('ref_axis',(1.,0.,0.)); #363494=DIRECTION('',(0.,0.,-1.)); #363495=DIRECTION('center_axis',(0.,0.,1.)); #363496=DIRECTION('ref_axis',(1.,0.,0.)); #363497=DIRECTION('center_axis',(0.,0.,1.)); #363498=DIRECTION('ref_axis',(1.,0.,0.)); #363499=DIRECTION('center_axis',(0.,0.,1.)); #363500=DIRECTION('ref_axis',(1.,0.,0.)); #363501=DIRECTION('center_axis',(0.,0.,1.)); #363502=DIRECTION('ref_axis',(1.,0.,0.)); #363503=DIRECTION('center_axis',(0.,0.,-1.)); #363504=DIRECTION('ref_axis',(1.,0.,0.)); #363505=DIRECTION('',(0.,0.,-1.)); #363506=DIRECTION('center_axis',(0.,0.,1.)); #363507=DIRECTION('ref_axis',(1.,0.,0.)); #363508=DIRECTION('center_axis',(0.,0.,1.)); #363509=DIRECTION('ref_axis',(1.,0.,0.)); #363510=DIRECTION('center_axis',(0.,0.,1.)); #363511=DIRECTION('ref_axis',(1.,0.,0.)); #363512=DIRECTION('',(0.,0.,-1.)); #363513=DIRECTION('center_axis',(0.,0.,1.)); #363514=DIRECTION('ref_axis',(1.,0.,0.)); #363515=DIRECTION('center_axis',(0.,0.,1.)); #363516=DIRECTION('ref_axis',(1.,0.,0.)); #363517=DIRECTION('center_axis',(0.,0.,1.)); #363518=DIRECTION('ref_axis',(1.,0.,0.)); #363519=DIRECTION('center_axis',(0.,0.,1.)); #363520=DIRECTION('ref_axis',(1.,0.,0.)); #363521=DIRECTION('center_axis',(0.,0.,-1.)); #363522=DIRECTION('ref_axis',(1.,0.,0.)); #363523=DIRECTION('',(0.,0.,-1.)); #363524=DIRECTION('center_axis',(0.,0.,1.)); #363525=DIRECTION('ref_axis',(1.,0.,0.)); #363526=DIRECTION('center_axis',(0.,0.,1.)); #363527=DIRECTION('ref_axis',(1.,0.,0.)); #363528=DIRECTION('center_axis',(0.,0.,1.)); #363529=DIRECTION('ref_axis',(1.,0.,0.)); #363530=DIRECTION('',(0.,0.,-1.)); #363531=DIRECTION('center_axis',(0.,0.,1.)); #363532=DIRECTION('ref_axis',(1.,0.,0.)); #363533=DIRECTION('center_axis',(0.,0.,1.)); #363534=DIRECTION('ref_axis',(1.,0.,0.)); #363535=DIRECTION('center_axis',(0.,0.,1.)); #363536=DIRECTION('ref_axis',(1.,0.,0.)); #363537=DIRECTION('center_axis',(0.,0.,1.)); #363538=DIRECTION('ref_axis',(1.,0.,0.)); #363539=DIRECTION('center_axis',(0.,0.,-1.)); #363540=DIRECTION('ref_axis',(1.,0.,0.)); #363541=DIRECTION('',(0.,0.,-1.)); #363542=DIRECTION('center_axis',(0.,0.,1.)); #363543=DIRECTION('ref_axis',(1.,0.,0.)); #363544=DIRECTION('center_axis',(0.,0.,1.)); #363545=DIRECTION('ref_axis',(1.,0.,0.)); #363546=DIRECTION('center_axis',(0.,0.,1.)); #363547=DIRECTION('ref_axis',(1.,0.,0.)); #363548=DIRECTION('',(0.,0.,-1.)); #363549=DIRECTION('center_axis',(0.,0.,1.)); #363550=DIRECTION('ref_axis',(1.,0.,0.)); #363551=DIRECTION('center_axis',(0.,0.,1.)); #363552=DIRECTION('ref_axis',(1.,0.,0.)); #363553=DIRECTION('center_axis',(0.,0.,1.)); #363554=DIRECTION('ref_axis',(1.,0.,0.)); #363555=DIRECTION('center_axis',(0.,0.,1.)); #363556=DIRECTION('ref_axis',(1.,0.,0.)); #363557=DIRECTION('center_axis',(0.,0.,-1.)); #363558=DIRECTION('ref_axis',(1.,0.,0.)); #363559=DIRECTION('',(0.,0.,-1.)); #363560=DIRECTION('center_axis',(0.,0.,1.)); #363561=DIRECTION('ref_axis',(1.,0.,0.)); #363562=DIRECTION('center_axis',(0.,0.,1.)); #363563=DIRECTION('ref_axis',(1.,0.,0.)); #363564=DIRECTION('center_axis',(0.,0.,1.)); #363565=DIRECTION('ref_axis',(1.,0.,0.)); #363566=DIRECTION('',(0.,0.,-1.)); #363567=DIRECTION('center_axis',(0.,0.,1.)); #363568=DIRECTION('ref_axis',(1.,0.,0.)); #363569=DIRECTION('center_axis',(0.,0.,1.)); #363570=DIRECTION('ref_axis',(1.,0.,0.)); #363571=DIRECTION('center_axis',(0.,0.,1.)); #363572=DIRECTION('ref_axis',(1.,0.,0.)); #363573=DIRECTION('center_axis',(0.,0.,1.)); #363574=DIRECTION('ref_axis',(1.,0.,0.)); #363575=DIRECTION('center_axis',(0.,0.,-1.)); #363576=DIRECTION('ref_axis',(1.,0.,0.)); #363577=DIRECTION('',(0.,0.,-1.)); #363578=DIRECTION('center_axis',(0.,0.,1.)); #363579=DIRECTION('ref_axis',(1.,0.,0.)); #363580=DIRECTION('center_axis',(0.,0.,1.)); #363581=DIRECTION('ref_axis',(1.,0.,0.)); #363582=DIRECTION('center_axis',(0.,0.,1.)); #363583=DIRECTION('ref_axis',(1.,0.,0.)); #363584=DIRECTION('',(0.,0.,-1.)); #363585=DIRECTION('center_axis',(0.,0.,1.)); #363586=DIRECTION('ref_axis',(1.,0.,0.)); #363587=DIRECTION('center_axis',(0.,0.,1.)); #363588=DIRECTION('ref_axis',(1.,0.,0.)); #363589=DIRECTION('center_axis',(0.,0.,1.)); #363590=DIRECTION('ref_axis',(1.,0.,0.)); #363591=DIRECTION('center_axis',(0.,0.,1.)); #363592=DIRECTION('ref_axis',(1.,0.,0.)); #363593=DIRECTION('center_axis',(0.,0.,-1.)); #363594=DIRECTION('ref_axis',(1.,0.,0.)); #363595=DIRECTION('',(0.,0.,-1.)); #363596=DIRECTION('center_axis',(0.,0.,1.)); #363597=DIRECTION('ref_axis',(1.,0.,0.)); #363598=DIRECTION('center_axis',(0.,0.,1.)); #363599=DIRECTION('ref_axis',(1.,0.,0.)); #363600=DIRECTION('center_axis',(0.,0.,1.)); #363601=DIRECTION('ref_axis',(1.,0.,0.)); #363602=DIRECTION('',(0.,0.,-1.)); #363603=DIRECTION('center_axis',(0.,0.,1.)); #363604=DIRECTION('ref_axis',(1.,0.,0.)); #363605=DIRECTION('center_axis',(0.,0.,1.)); #363606=DIRECTION('ref_axis',(1.,0.,0.)); #363607=DIRECTION('center_axis',(0.,0.,1.)); #363608=DIRECTION('ref_axis',(1.,0.,0.)); #363609=DIRECTION('center_axis',(0.,0.,1.)); #363610=DIRECTION('ref_axis',(1.,0.,0.)); #363611=DIRECTION('center_axis',(0.,0.,-1.)); #363612=DIRECTION('ref_axis',(1.,0.,0.)); #363613=DIRECTION('',(0.,0.,-1.)); #363614=DIRECTION('center_axis',(0.,0.,1.)); #363615=DIRECTION('ref_axis',(1.,0.,0.)); #363616=DIRECTION('center_axis',(0.,0.,1.)); #363617=DIRECTION('ref_axis',(1.,0.,0.)); #363618=DIRECTION('center_axis',(0.,0.,1.)); #363619=DIRECTION('ref_axis',(1.,0.,0.)); #363620=DIRECTION('',(0.,0.,-1.)); #363621=DIRECTION('center_axis',(0.,0.,1.)); #363622=DIRECTION('ref_axis',(1.,0.,0.)); #363623=DIRECTION('center_axis',(0.,0.,1.)); #363624=DIRECTION('ref_axis',(1.,0.,0.)); #363625=DIRECTION('center_axis',(0.,0.,1.)); #363626=DIRECTION('ref_axis',(1.,0.,0.)); #363627=DIRECTION('center_axis',(0.,0.,1.)); #363628=DIRECTION('ref_axis',(1.,0.,0.)); #363629=DIRECTION('center_axis',(0.,0.,-1.)); #363630=DIRECTION('ref_axis',(1.,0.,0.)); #363631=DIRECTION('',(0.,0.,-1.)); #363632=DIRECTION('center_axis',(0.,0.,1.)); #363633=DIRECTION('ref_axis',(1.,0.,0.)); #363634=DIRECTION('center_axis',(0.,0.,1.)); #363635=DIRECTION('ref_axis',(1.,0.,0.)); #363636=DIRECTION('center_axis',(0.,0.,1.)); #363637=DIRECTION('ref_axis',(1.,0.,0.)); #363638=DIRECTION('',(0.,0.,-1.)); #363639=DIRECTION('center_axis',(0.,0.,1.)); #363640=DIRECTION('ref_axis',(1.,0.,0.)); #363641=DIRECTION('center_axis',(0.,0.,1.)); #363642=DIRECTION('ref_axis',(1.,0.,0.)); #363643=DIRECTION('center_axis',(0.,0.,1.)); #363644=DIRECTION('ref_axis',(1.,0.,0.)); #363645=DIRECTION('center_axis',(0.,0.,1.)); #363646=DIRECTION('ref_axis',(1.,0.,0.)); #363647=DIRECTION('center_axis',(0.,0.,-1.)); #363648=DIRECTION('ref_axis',(1.,0.,0.)); #363649=DIRECTION('',(0.,0.,-1.)); #363650=DIRECTION('center_axis',(0.,0.,1.)); #363651=DIRECTION('ref_axis',(1.,0.,0.)); #363652=DIRECTION('center_axis',(0.,0.,1.)); #363653=DIRECTION('ref_axis',(1.,0.,0.)); #363654=DIRECTION('center_axis',(0.,0.,1.)); #363655=DIRECTION('ref_axis',(1.,0.,0.)); #363656=DIRECTION('',(0.,0.,-1.)); #363657=DIRECTION('center_axis',(0.,0.,1.)); #363658=DIRECTION('ref_axis',(1.,0.,0.)); #363659=DIRECTION('center_axis',(0.,0.,1.)); #363660=DIRECTION('ref_axis',(1.,0.,0.)); #363661=DIRECTION('center_axis',(0.,0.,1.)); #363662=DIRECTION('ref_axis',(1.,0.,0.)); #363663=DIRECTION('center_axis',(0.,0.,1.)); #363664=DIRECTION('ref_axis',(1.,0.,0.)); #363665=DIRECTION('center_axis',(0.,0.,-1.)); #363666=DIRECTION('ref_axis',(1.,0.,0.)); #363667=DIRECTION('',(0.,0.,-1.)); #363668=DIRECTION('center_axis',(0.,0.,1.)); #363669=DIRECTION('ref_axis',(1.,0.,0.)); #363670=DIRECTION('center_axis',(0.,0.,1.)); #363671=DIRECTION('ref_axis',(1.,0.,0.)); #363672=DIRECTION('center_axis',(0.,0.,1.)); #363673=DIRECTION('ref_axis',(1.,0.,0.)); #363674=DIRECTION('',(0.,0.,-1.)); #363675=DIRECTION('center_axis',(0.,0.,1.)); #363676=DIRECTION('ref_axis',(1.,0.,0.)); #363677=DIRECTION('center_axis',(0.,0.,1.)); #363678=DIRECTION('ref_axis',(1.,0.,0.)); #363679=DIRECTION('center_axis',(0.,0.,1.)); #363680=DIRECTION('ref_axis',(1.,0.,0.)); #363681=DIRECTION('center_axis',(0.,0.,1.)); #363682=DIRECTION('ref_axis',(1.,0.,0.)); #363683=DIRECTION('center_axis',(0.,0.,-1.)); #363684=DIRECTION('ref_axis',(1.,0.,0.)); #363685=DIRECTION('',(0.,0.,-1.)); #363686=DIRECTION('center_axis',(0.,0.,1.)); #363687=DIRECTION('ref_axis',(1.,0.,0.)); #363688=DIRECTION('center_axis',(0.,0.,1.)); #363689=DIRECTION('ref_axis',(1.,0.,0.)); #363690=DIRECTION('center_axis',(0.,0.,1.)); #363691=DIRECTION('ref_axis',(1.,0.,0.)); #363692=DIRECTION('',(0.,0.,-1.)); #363693=DIRECTION('center_axis',(0.,0.,1.)); #363694=DIRECTION('ref_axis',(1.,0.,0.)); #363695=DIRECTION('center_axis',(0.,0.,1.)); #363696=DIRECTION('ref_axis',(1.,0.,0.)); #363697=DIRECTION('center_axis',(0.,0.,1.)); #363698=DIRECTION('ref_axis',(1.,0.,0.)); #363699=DIRECTION('center_axis',(0.,0.,1.)); #363700=DIRECTION('ref_axis',(1.,0.,0.)); #363701=DIRECTION('center_axis',(0.,0.,-1.)); #363702=DIRECTION('ref_axis',(1.,0.,0.)); #363703=DIRECTION('',(0.,0.,-1.)); #363704=DIRECTION('center_axis',(0.,0.,1.)); #363705=DIRECTION('ref_axis',(1.,0.,0.)); #363706=DIRECTION('center_axis',(0.,0.,1.)); #363707=DIRECTION('ref_axis',(1.,0.,0.)); #363708=DIRECTION('center_axis',(0.,0.,1.)); #363709=DIRECTION('ref_axis',(1.,0.,0.)); #363710=DIRECTION('',(0.,0.,-1.)); #363711=DIRECTION('center_axis',(0.,0.,1.)); #363712=DIRECTION('ref_axis',(1.,0.,0.)); #363713=DIRECTION('center_axis',(0.,0.,1.)); #363714=DIRECTION('ref_axis',(1.,0.,0.)); #363715=DIRECTION('center_axis',(0.,0.,1.)); #363716=DIRECTION('ref_axis',(1.,0.,0.)); #363717=DIRECTION('center_axis',(0.,0.,1.)); #363718=DIRECTION('ref_axis',(1.,0.,0.)); #363719=DIRECTION('center_axis',(0.,0.,-1.)); #363720=DIRECTION('ref_axis',(1.,0.,0.)); #363721=DIRECTION('',(0.,0.,-1.)); #363722=DIRECTION('center_axis',(0.,0.,1.)); #363723=DIRECTION('ref_axis',(1.,0.,0.)); #363724=DIRECTION('center_axis',(0.,0.,1.)); #363725=DIRECTION('ref_axis',(1.,0.,0.)); #363726=DIRECTION('center_axis',(0.,0.,1.)); #363727=DIRECTION('ref_axis',(1.,0.,0.)); #363728=DIRECTION('',(0.,0.,-1.)); #363729=DIRECTION('center_axis',(0.,0.,1.)); #363730=DIRECTION('ref_axis',(1.,0.,0.)); #363731=DIRECTION('center_axis',(0.,0.,1.)); #363732=DIRECTION('ref_axis',(1.,0.,0.)); #363733=DIRECTION('center_axis',(0.,0.,1.)); #363734=DIRECTION('ref_axis',(1.,0.,0.)); #363735=DIRECTION('center_axis',(0.,0.,1.)); #363736=DIRECTION('ref_axis',(1.,0.,0.)); #363737=DIRECTION('center_axis',(0.,0.,-1.)); #363738=DIRECTION('ref_axis',(1.,0.,0.)); #363739=DIRECTION('',(0.,0.,-1.)); #363740=DIRECTION('center_axis',(0.,0.,1.)); #363741=DIRECTION('ref_axis',(1.,0.,0.)); #363742=DIRECTION('center_axis',(0.,0.,1.)); #363743=DIRECTION('ref_axis',(1.,0.,0.)); #363744=DIRECTION('center_axis',(0.,0.,1.)); #363745=DIRECTION('ref_axis',(1.,0.,0.)); #363746=DIRECTION('',(0.,0.,-1.)); #363747=DIRECTION('center_axis',(0.,0.,1.)); #363748=DIRECTION('ref_axis',(1.,0.,0.)); #363749=DIRECTION('center_axis',(0.,0.,1.)); #363750=DIRECTION('ref_axis',(1.,0.,0.)); #363751=DIRECTION('center_axis',(0.,0.,1.)); #363752=DIRECTION('ref_axis',(1.,0.,0.)); #363753=DIRECTION('center_axis',(0.,0.,1.)); #363754=DIRECTION('ref_axis',(1.,0.,0.)); #363755=DIRECTION('center_axis',(0.,0.,-1.)); #363756=DIRECTION('ref_axis',(1.,0.,0.)); #363757=DIRECTION('',(0.,0.,-1.)); #363758=DIRECTION('center_axis',(0.,0.,1.)); #363759=DIRECTION('ref_axis',(1.,0.,0.)); #363760=DIRECTION('center_axis',(0.,0.,1.)); #363761=DIRECTION('ref_axis',(1.,0.,0.)); #363762=DIRECTION('center_axis',(0.,0.,1.)); #363763=DIRECTION('ref_axis',(1.,0.,0.)); #363764=DIRECTION('',(0.,0.,-1.)); #363765=DIRECTION('center_axis',(0.,0.,1.)); #363766=DIRECTION('ref_axis',(1.,0.,0.)); #363767=DIRECTION('center_axis',(0.,0.,1.)); #363768=DIRECTION('ref_axis',(1.,0.,0.)); #363769=DIRECTION('center_axis',(0.,0.,1.)); #363770=DIRECTION('ref_axis',(1.,0.,0.)); #363771=DIRECTION('center_axis',(0.,0.,1.)); #363772=DIRECTION('ref_axis',(1.,0.,0.)); #363773=DIRECTION('center_axis',(0.,0.,-1.)); #363774=DIRECTION('ref_axis',(1.,0.,0.)); #363775=DIRECTION('',(0.,0.,-1.)); #363776=DIRECTION('center_axis',(0.,0.,1.)); #363777=DIRECTION('ref_axis',(1.,0.,0.)); #363778=DIRECTION('center_axis',(0.,0.,1.)); #363779=DIRECTION('ref_axis',(1.,0.,0.)); #363780=DIRECTION('center_axis',(0.,0.,1.)); #363781=DIRECTION('ref_axis',(1.,0.,0.)); #363782=DIRECTION('',(0.,0.,-1.)); #363783=DIRECTION('center_axis',(0.,0.,1.)); #363784=DIRECTION('ref_axis',(1.,0.,0.)); #363785=DIRECTION('center_axis',(0.,0.,1.)); #363786=DIRECTION('ref_axis',(1.,0.,0.)); #363787=DIRECTION('center_axis',(0.,0.,1.)); #363788=DIRECTION('ref_axis',(1.,0.,0.)); #363789=DIRECTION('center_axis',(0.,0.,1.)); #363790=DIRECTION('ref_axis',(1.,0.,0.)); #363791=DIRECTION('center_axis',(0.,0.,-1.)); #363792=DIRECTION('ref_axis',(1.,0.,0.)); #363793=DIRECTION('',(0.,0.,-1.)); #363794=DIRECTION('center_axis',(0.,0.,1.)); #363795=DIRECTION('ref_axis',(1.,0.,0.)); #363796=DIRECTION('center_axis',(0.,0.,1.)); #363797=DIRECTION('ref_axis',(1.,0.,0.)); #363798=DIRECTION('center_axis',(0.,0.,1.)); #363799=DIRECTION('ref_axis',(1.,0.,0.)); #363800=DIRECTION('',(0.,0.,-1.)); #363801=DIRECTION('center_axis',(0.,0.,1.)); #363802=DIRECTION('ref_axis',(1.,0.,0.)); #363803=DIRECTION('center_axis',(0.,0.,1.)); #363804=DIRECTION('ref_axis',(1.,0.,0.)); #363805=DIRECTION('center_axis',(0.,0.,1.)); #363806=DIRECTION('ref_axis',(1.,0.,0.)); #363807=DIRECTION('center_axis',(0.,0.,1.)); #363808=DIRECTION('ref_axis',(1.,0.,0.)); #363809=DIRECTION('center_axis',(0.,0.,-1.)); #363810=DIRECTION('ref_axis',(1.,0.,0.)); #363811=DIRECTION('',(0.,0.,-1.)); #363812=DIRECTION('center_axis',(0.,0.,1.)); #363813=DIRECTION('ref_axis',(1.,0.,0.)); #363814=DIRECTION('center_axis',(0.,0.,1.)); #363815=DIRECTION('ref_axis',(1.,0.,0.)); #363816=DIRECTION('center_axis',(0.,0.,1.)); #363817=DIRECTION('ref_axis',(1.,0.,0.)); #363818=DIRECTION('',(0.,0.,-1.)); #363819=DIRECTION('center_axis',(0.,0.,1.)); #363820=DIRECTION('ref_axis',(1.,0.,0.)); #363821=DIRECTION('center_axis',(0.,0.,1.)); #363822=DIRECTION('ref_axis',(1.,0.,0.)); #363823=DIRECTION('center_axis',(0.,0.,1.)); #363824=DIRECTION('ref_axis',(1.,0.,0.)); #363825=DIRECTION('center_axis',(0.,0.,1.)); #363826=DIRECTION('ref_axis',(1.,0.,0.)); #363827=DIRECTION('center_axis',(0.,0.,-1.)); #363828=DIRECTION('ref_axis',(1.,0.,0.)); #363829=DIRECTION('',(0.,0.,-1.)); #363830=DIRECTION('center_axis',(0.,0.,1.)); #363831=DIRECTION('ref_axis',(1.,0.,0.)); #363832=DIRECTION('center_axis',(0.,0.,1.)); #363833=DIRECTION('ref_axis',(1.,0.,0.)); #363834=DIRECTION('center_axis',(0.,0.,1.)); #363835=DIRECTION('ref_axis',(1.,0.,0.)); #363836=DIRECTION('',(0.,0.,-1.)); #363837=DIRECTION('center_axis',(0.,0.,1.)); #363838=DIRECTION('ref_axis',(1.,0.,0.)); #363839=DIRECTION('center_axis',(0.,0.,1.)); #363840=DIRECTION('ref_axis',(1.,0.,0.)); #363841=DIRECTION('center_axis',(0.,0.,1.)); #363842=DIRECTION('ref_axis',(1.,0.,0.)); #363843=DIRECTION('center_axis',(0.,0.,1.)); #363844=DIRECTION('ref_axis',(1.,0.,0.)); #363845=DIRECTION('center_axis',(0.,0.,-1.)); #363846=DIRECTION('ref_axis',(1.,0.,0.)); #363847=DIRECTION('',(0.,0.,-1.)); #363848=DIRECTION('center_axis',(0.,0.,1.)); #363849=DIRECTION('ref_axis',(1.,0.,0.)); #363850=DIRECTION('center_axis',(0.,0.,1.)); #363851=DIRECTION('ref_axis',(1.,0.,0.)); #363852=DIRECTION('center_axis',(0.,0.,1.)); #363853=DIRECTION('ref_axis',(1.,0.,0.)); #363854=DIRECTION('',(0.,0.,-1.)); #363855=DIRECTION('center_axis',(0.,0.,1.)); #363856=DIRECTION('ref_axis',(1.,0.,0.)); #363857=DIRECTION('center_axis',(0.,0.,1.)); #363858=DIRECTION('ref_axis',(1.,0.,0.)); #363859=DIRECTION('center_axis',(0.,0.,1.)); #363860=DIRECTION('ref_axis',(1.,0.,0.)); #363861=DIRECTION('center_axis',(0.,0.,1.)); #363862=DIRECTION('ref_axis',(1.,0.,0.)); #363863=DIRECTION('center_axis',(0.,0.,-1.)); #363864=DIRECTION('ref_axis',(1.,0.,0.)); #363865=DIRECTION('',(0.,0.,-1.)); #363866=DIRECTION('center_axis',(0.,0.,1.)); #363867=DIRECTION('ref_axis',(1.,0.,0.)); #363868=DIRECTION('center_axis',(0.,0.,1.)); #363869=DIRECTION('ref_axis',(1.,0.,0.)); #363870=DIRECTION('center_axis',(0.,0.,1.)); #363871=DIRECTION('ref_axis',(1.,0.,0.)); #363872=DIRECTION('',(0.,0.,-1.)); #363873=DIRECTION('center_axis',(0.,0.,1.)); #363874=DIRECTION('ref_axis',(1.,0.,0.)); #363875=DIRECTION('center_axis',(0.,0.,1.)); #363876=DIRECTION('ref_axis',(1.,0.,0.)); #363877=DIRECTION('center_axis',(0.,0.,1.)); #363878=DIRECTION('ref_axis',(1.,0.,0.)); #363879=DIRECTION('center_axis',(0.,0.,1.)); #363880=DIRECTION('ref_axis',(1.,0.,0.)); #363881=DIRECTION('center_axis',(0.,0.,-1.)); #363882=DIRECTION('ref_axis',(1.,0.,0.)); #363883=DIRECTION('',(0.,0.,-1.)); #363884=DIRECTION('center_axis',(0.,0.,1.)); #363885=DIRECTION('ref_axis',(1.,0.,0.)); #363886=DIRECTION('center_axis',(0.,0.,1.)); #363887=DIRECTION('ref_axis',(1.,0.,0.)); #363888=DIRECTION('center_axis',(0.,0.,1.)); #363889=DIRECTION('ref_axis',(1.,0.,0.)); #363890=DIRECTION('',(0.,0.,-1.)); #363891=DIRECTION('center_axis',(0.,0.,1.)); #363892=DIRECTION('ref_axis',(1.,0.,0.)); #363893=DIRECTION('center_axis',(0.,0.,1.)); #363894=DIRECTION('ref_axis',(1.,0.,0.)); #363895=DIRECTION('center_axis',(0.,0.,1.)); #363896=DIRECTION('ref_axis',(1.,0.,0.)); #363897=DIRECTION('center_axis',(0.,0.,1.)); #363898=DIRECTION('ref_axis',(1.,0.,0.)); #363899=DIRECTION('center_axis',(0.,0.,-1.)); #363900=DIRECTION('ref_axis',(1.,0.,0.)); #363901=DIRECTION('',(0.,0.,-1.)); #363902=DIRECTION('center_axis',(0.,0.,1.)); #363903=DIRECTION('ref_axis',(1.,0.,0.)); #363904=DIRECTION('center_axis',(0.,0.,1.)); #363905=DIRECTION('ref_axis',(1.,0.,0.)); #363906=DIRECTION('center_axis',(0.,0.,1.)); #363907=DIRECTION('ref_axis',(1.,0.,0.)); #363908=DIRECTION('',(0.,0.,-1.)); #363909=DIRECTION('center_axis',(0.,0.,1.)); #363910=DIRECTION('ref_axis',(1.,0.,0.)); #363911=DIRECTION('center_axis',(0.,0.,1.)); #363912=DIRECTION('ref_axis',(1.,0.,0.)); #363913=DIRECTION('center_axis',(0.,0.,1.)); #363914=DIRECTION('ref_axis',(1.,0.,0.)); #363915=DIRECTION('center_axis',(0.,0.,1.)); #363916=DIRECTION('ref_axis',(1.,0.,0.)); #363917=DIRECTION('center_axis',(0.,0.,-1.)); #363918=DIRECTION('ref_axis',(1.,0.,0.)); #363919=DIRECTION('',(0.,0.,-1.)); #363920=DIRECTION('center_axis',(0.,0.,1.)); #363921=DIRECTION('ref_axis',(1.,0.,0.)); #363922=DIRECTION('center_axis',(0.,0.,1.)); #363923=DIRECTION('ref_axis',(1.,0.,0.)); #363924=DIRECTION('center_axis',(0.,0.,1.)); #363925=DIRECTION('ref_axis',(1.,0.,0.)); #363926=DIRECTION('',(0.,0.,-1.)); #363927=DIRECTION('center_axis',(0.,0.,1.)); #363928=DIRECTION('ref_axis',(1.,0.,0.)); #363929=DIRECTION('center_axis',(0.,0.,1.)); #363930=DIRECTION('ref_axis',(1.,0.,0.)); #363931=DIRECTION('center_axis',(0.,0.,1.)); #363932=DIRECTION('ref_axis',(1.,0.,0.)); #363933=DIRECTION('center_axis',(0.,0.,1.)); #363934=DIRECTION('ref_axis',(1.,0.,0.)); #363935=DIRECTION('center_axis',(0.,0.,-1.)); #363936=DIRECTION('ref_axis',(1.,0.,0.)); #363937=DIRECTION('',(0.,0.,-1.)); #363938=DIRECTION('center_axis',(0.,0.,1.)); #363939=DIRECTION('ref_axis',(1.,0.,0.)); #363940=DIRECTION('center_axis',(0.,0.,1.)); #363941=DIRECTION('ref_axis',(1.,0.,0.)); #363942=DIRECTION('center_axis',(0.,0.,1.)); #363943=DIRECTION('ref_axis',(1.,0.,0.)); #363944=DIRECTION('',(0.,0.,-1.)); #363945=DIRECTION('center_axis',(0.,0.,1.)); #363946=DIRECTION('ref_axis',(1.,0.,0.)); #363947=DIRECTION('center_axis',(0.,0.,1.)); #363948=DIRECTION('ref_axis',(1.,0.,0.)); #363949=DIRECTION('center_axis',(0.,0.,1.)); #363950=DIRECTION('ref_axis',(1.,0.,0.)); #363951=DIRECTION('center_axis',(0.,0.,1.)); #363952=DIRECTION('ref_axis',(1.,0.,0.)); #363953=DIRECTION('center_axis',(0.,0.,-1.)); #363954=DIRECTION('ref_axis',(1.,0.,0.)); #363955=DIRECTION('',(0.,0.,-1.)); #363956=DIRECTION('center_axis',(0.,0.,1.)); #363957=DIRECTION('ref_axis',(1.,0.,0.)); #363958=DIRECTION('center_axis',(0.,0.,1.)); #363959=DIRECTION('ref_axis',(1.,0.,0.)); #363960=DIRECTION('center_axis',(0.,0.,1.)); #363961=DIRECTION('ref_axis',(1.,0.,0.)); #363962=DIRECTION('',(0.,0.,-1.)); #363963=DIRECTION('center_axis',(0.,0.,1.)); #363964=DIRECTION('ref_axis',(1.,0.,0.)); #363965=DIRECTION('center_axis',(0.,0.,1.)); #363966=DIRECTION('ref_axis',(1.,0.,0.)); #363967=DIRECTION('center_axis',(0.,0.,1.)); #363968=DIRECTION('ref_axis',(1.,0.,0.)); #363969=DIRECTION('center_axis',(0.,0.,1.)); #363970=DIRECTION('ref_axis',(1.,0.,0.)); #363971=DIRECTION('center_axis',(0.,0.,-1.)); #363972=DIRECTION('ref_axis',(1.,0.,0.)); #363973=DIRECTION('',(0.,0.,-1.)); #363974=DIRECTION('center_axis',(0.,0.,1.)); #363975=DIRECTION('ref_axis',(1.,0.,0.)); #363976=DIRECTION('center_axis',(0.,0.,1.)); #363977=DIRECTION('ref_axis',(1.,0.,0.)); #363978=DIRECTION('center_axis',(0.,0.,1.)); #363979=DIRECTION('ref_axis',(1.,0.,0.)); #363980=DIRECTION('',(0.,0.,-1.)); #363981=DIRECTION('center_axis',(0.,0.,1.)); #363982=DIRECTION('ref_axis',(1.,0.,0.)); #363983=DIRECTION('center_axis',(0.,0.,1.)); #363984=DIRECTION('ref_axis',(1.,0.,0.)); #363985=DIRECTION('center_axis',(0.,0.,1.)); #363986=DIRECTION('ref_axis',(1.,0.,0.)); #363987=DIRECTION('center_axis',(0.,0.,1.)); #363988=DIRECTION('ref_axis',(1.,0.,0.)); #363989=DIRECTION('center_axis',(0.,0.,-1.)); #363990=DIRECTION('ref_axis',(1.,0.,0.)); #363991=DIRECTION('',(0.,0.,-1.)); #363992=DIRECTION('center_axis',(0.,0.,1.)); #363993=DIRECTION('ref_axis',(1.,0.,0.)); #363994=DIRECTION('center_axis',(0.,0.,1.)); #363995=DIRECTION('ref_axis',(1.,0.,0.)); #363996=DIRECTION('center_axis',(0.,0.,1.)); #363997=DIRECTION('ref_axis',(1.,0.,0.)); #363998=DIRECTION('',(0.,0.,-1.)); #363999=DIRECTION('center_axis',(0.,0.,1.)); #364000=DIRECTION('ref_axis',(1.,0.,0.)); #364001=DIRECTION('center_axis',(0.,0.,1.)); #364002=DIRECTION('ref_axis',(1.,0.,0.)); #364003=DIRECTION('center_axis',(0.,0.,1.)); #364004=DIRECTION('ref_axis',(1.,0.,0.)); #364005=DIRECTION('center_axis',(0.,0.,1.)); #364006=DIRECTION('ref_axis',(1.,0.,0.)); #364007=DIRECTION('center_axis',(0.,0.,-1.)); #364008=DIRECTION('ref_axis',(1.,0.,0.)); #364009=DIRECTION('',(0.,0.,-1.)); #364010=DIRECTION('center_axis',(0.,0.,1.)); #364011=DIRECTION('ref_axis',(1.,0.,0.)); #364012=DIRECTION('center_axis',(0.,0.,1.)); #364013=DIRECTION('ref_axis',(1.,0.,0.)); #364014=DIRECTION('center_axis',(0.,0.,1.)); #364015=DIRECTION('ref_axis',(1.,0.,0.)); #364016=DIRECTION('',(0.,0.,-1.)); #364017=DIRECTION('center_axis',(0.,0.,1.)); #364018=DIRECTION('ref_axis',(1.,0.,0.)); #364019=DIRECTION('center_axis',(0.,0.,1.)); #364020=DIRECTION('ref_axis',(1.,0.,0.)); #364021=DIRECTION('center_axis',(0.,0.,1.)); #364022=DIRECTION('ref_axis',(1.,0.,0.)); #364023=DIRECTION('center_axis',(0.,0.,1.)); #364024=DIRECTION('ref_axis',(1.,0.,0.)); #364025=DIRECTION('center_axis',(0.,0.,-1.)); #364026=DIRECTION('ref_axis',(1.,0.,0.)); #364027=DIRECTION('',(0.,0.,-1.)); #364028=DIRECTION('center_axis',(0.,0.,1.)); #364029=DIRECTION('ref_axis',(1.,0.,0.)); #364030=DIRECTION('center_axis',(0.,0.,1.)); #364031=DIRECTION('ref_axis',(1.,0.,0.)); #364032=DIRECTION('center_axis',(0.,0.,1.)); #364033=DIRECTION('ref_axis',(1.,0.,0.)); #364034=DIRECTION('',(0.,0.,-1.)); #364035=DIRECTION('center_axis',(0.,0.,1.)); #364036=DIRECTION('ref_axis',(1.,0.,0.)); #364037=DIRECTION('center_axis',(0.,0.,1.)); #364038=DIRECTION('ref_axis',(1.,0.,0.)); #364039=DIRECTION('center_axis',(0.,0.,1.)); #364040=DIRECTION('ref_axis',(1.,0.,0.)); #364041=DIRECTION('center_axis',(0.,0.,1.)); #364042=DIRECTION('ref_axis',(1.,0.,0.)); #364043=DIRECTION('center_axis',(0.,0.,-1.)); #364044=DIRECTION('ref_axis',(1.,0.,0.)); #364045=DIRECTION('',(0.,0.,-1.)); #364046=DIRECTION('center_axis',(0.,0.,1.)); #364047=DIRECTION('ref_axis',(1.,0.,0.)); #364048=DIRECTION('center_axis',(0.,0.,1.)); #364049=DIRECTION('ref_axis',(1.,0.,0.)); #364050=DIRECTION('center_axis',(0.,0.,1.)); #364051=DIRECTION('ref_axis',(1.,0.,0.)); #364052=DIRECTION('',(0.,0.,-1.)); #364053=DIRECTION('center_axis',(0.,0.,1.)); #364054=DIRECTION('ref_axis',(1.,0.,0.)); #364055=DIRECTION('center_axis',(0.,0.,1.)); #364056=DIRECTION('ref_axis',(1.,0.,0.)); #364057=DIRECTION('center_axis',(0.,0.,1.)); #364058=DIRECTION('ref_axis',(1.,0.,0.)); #364059=DIRECTION('center_axis',(0.,0.,1.)); #364060=DIRECTION('ref_axis',(1.,0.,0.)); #364061=DIRECTION('center_axis',(0.,0.,-1.)); #364062=DIRECTION('ref_axis',(1.,0.,0.)); #364063=DIRECTION('',(0.,0.,-1.)); #364064=DIRECTION('center_axis',(0.,0.,1.)); #364065=DIRECTION('ref_axis',(1.,0.,0.)); #364066=DIRECTION('center_axis',(0.,0.,1.)); #364067=DIRECTION('ref_axis',(1.,0.,0.)); #364068=DIRECTION('center_axis',(0.,0.,1.)); #364069=DIRECTION('ref_axis',(1.,0.,0.)); #364070=DIRECTION('',(0.,0.,-1.)); #364071=DIRECTION('center_axis',(0.,0.,1.)); #364072=DIRECTION('ref_axis',(1.,0.,0.)); #364073=DIRECTION('center_axis',(0.,0.,1.)); #364074=DIRECTION('ref_axis',(1.,0.,0.)); #364075=DIRECTION('center_axis',(0.,0.,1.)); #364076=DIRECTION('ref_axis',(1.,0.,0.)); #364077=DIRECTION('center_axis',(0.,0.,1.)); #364078=DIRECTION('ref_axis',(1.,0.,0.)); #364079=DIRECTION('center_axis',(0.,0.,-1.)); #364080=DIRECTION('ref_axis',(1.,0.,0.)); #364081=DIRECTION('',(0.,0.,-1.)); #364082=DIRECTION('center_axis',(0.,0.,1.)); #364083=DIRECTION('ref_axis',(1.,0.,0.)); #364084=DIRECTION('center_axis',(0.,0.,1.)); #364085=DIRECTION('ref_axis',(1.,0.,0.)); #364086=DIRECTION('center_axis',(0.,0.,1.)); #364087=DIRECTION('ref_axis',(1.,0.,0.)); #364088=DIRECTION('',(0.,0.,-1.)); #364089=DIRECTION('center_axis',(0.,0.,1.)); #364090=DIRECTION('ref_axis',(1.,0.,0.)); #364091=DIRECTION('center_axis',(0.,0.,1.)); #364092=DIRECTION('ref_axis',(1.,0.,0.)); #364093=DIRECTION('center_axis',(0.,0.,1.)); #364094=DIRECTION('ref_axis',(1.,0.,0.)); #364095=DIRECTION('center_axis',(0.,0.,1.)); #364096=DIRECTION('ref_axis',(1.,0.,0.)); #364097=DIRECTION('center_axis',(0.,0.,-1.)); #364098=DIRECTION('ref_axis',(1.,0.,0.)); #364099=DIRECTION('',(0.,0.,-1.)); #364100=DIRECTION('center_axis',(0.,0.,1.)); #364101=DIRECTION('ref_axis',(1.,0.,0.)); #364102=DIRECTION('center_axis',(0.,0.,1.)); #364103=DIRECTION('ref_axis',(1.,0.,0.)); #364104=DIRECTION('center_axis',(0.,0.,1.)); #364105=DIRECTION('ref_axis',(1.,0.,0.)); #364106=DIRECTION('',(0.,0.,-1.)); #364107=DIRECTION('center_axis',(0.,0.,1.)); #364108=DIRECTION('ref_axis',(1.,0.,0.)); #364109=DIRECTION('center_axis',(0.,0.,1.)); #364110=DIRECTION('ref_axis',(1.,0.,0.)); #364111=DIRECTION('center_axis',(0.,0.,1.)); #364112=DIRECTION('ref_axis',(1.,0.,0.)); #364113=DIRECTION('center_axis',(0.,0.,1.)); #364114=DIRECTION('ref_axis',(1.,0.,0.)); #364115=DIRECTION('center_axis',(0.,0.,-1.)); #364116=DIRECTION('ref_axis',(1.,0.,0.)); #364117=DIRECTION('',(0.,0.,-1.)); #364118=DIRECTION('center_axis',(0.,0.,1.)); #364119=DIRECTION('ref_axis',(1.,0.,0.)); #364120=DIRECTION('center_axis',(0.,0.,1.)); #364121=DIRECTION('ref_axis',(1.,0.,0.)); #364122=DIRECTION('center_axis',(0.,0.,1.)); #364123=DIRECTION('ref_axis',(1.,0.,0.)); #364124=DIRECTION('',(0.,0.,-1.)); #364125=DIRECTION('center_axis',(0.,0.,1.)); #364126=DIRECTION('ref_axis',(1.,0.,0.)); #364127=DIRECTION('center_axis',(0.,0.,1.)); #364128=DIRECTION('ref_axis',(1.,0.,0.)); #364129=DIRECTION('center_axis',(0.,0.,1.)); #364130=DIRECTION('ref_axis',(1.,0.,0.)); #364131=DIRECTION('center_axis',(0.,0.,1.)); #364132=DIRECTION('ref_axis',(1.,0.,0.)); #364133=DIRECTION('center_axis',(0.,0.,-1.)); #364134=DIRECTION('ref_axis',(1.,0.,0.)); #364135=DIRECTION('',(0.,0.,-1.)); #364136=DIRECTION('center_axis',(0.,0.,1.)); #364137=DIRECTION('ref_axis',(1.,0.,0.)); #364138=DIRECTION('center_axis',(0.,0.,1.)); #364139=DIRECTION('ref_axis',(1.,0.,0.)); #364140=DIRECTION('center_axis',(0.,0.,1.)); #364141=DIRECTION('ref_axis',(1.,0.,0.)); #364142=DIRECTION('',(0.,0.,-1.)); #364143=DIRECTION('center_axis',(0.,0.,1.)); #364144=DIRECTION('ref_axis',(1.,0.,0.)); #364145=DIRECTION('center_axis',(0.,0.,1.)); #364146=DIRECTION('ref_axis',(1.,0.,0.)); #364147=DIRECTION('center_axis',(0.,0.,1.)); #364148=DIRECTION('ref_axis',(1.,0.,0.)); #364149=DIRECTION('center_axis',(0.,0.,1.)); #364150=DIRECTION('ref_axis',(1.,0.,0.)); #364151=DIRECTION('center_axis',(0.,0.,-1.)); #364152=DIRECTION('ref_axis',(1.,0.,0.)); #364153=DIRECTION('',(0.,0.,-1.)); #364154=DIRECTION('center_axis',(0.,0.,1.)); #364155=DIRECTION('ref_axis',(1.,0.,0.)); #364156=DIRECTION('center_axis',(0.,0.,1.)); #364157=DIRECTION('ref_axis',(1.,0.,0.)); #364158=DIRECTION('center_axis',(0.,0.,1.)); #364159=DIRECTION('ref_axis',(1.,0.,0.)); #364160=DIRECTION('',(0.,0.,-1.)); #364161=DIRECTION('center_axis',(0.,0.,1.)); #364162=DIRECTION('ref_axis',(1.,0.,0.)); #364163=DIRECTION('center_axis',(0.,0.,1.)); #364164=DIRECTION('ref_axis',(1.,0.,0.)); #364165=DIRECTION('center_axis',(0.,0.,1.)); #364166=DIRECTION('ref_axis',(1.,0.,0.)); #364167=DIRECTION('center_axis',(0.,0.,1.)); #364168=DIRECTION('ref_axis',(1.,0.,0.)); #364169=DIRECTION('center_axis',(0.,0.,-1.)); #364170=DIRECTION('ref_axis',(1.,0.,0.)); #364171=DIRECTION('',(0.,0.,-1.)); #364172=DIRECTION('center_axis',(0.,0.,1.)); #364173=DIRECTION('ref_axis',(1.,0.,0.)); #364174=DIRECTION('center_axis',(0.,0.,1.)); #364175=DIRECTION('ref_axis',(1.,0.,0.)); #364176=DIRECTION('center_axis',(0.,0.,1.)); #364177=DIRECTION('ref_axis',(1.,0.,0.)); #364178=DIRECTION('',(0.,0.,-1.)); #364179=DIRECTION('center_axis',(0.,0.,1.)); #364180=DIRECTION('ref_axis',(1.,0.,0.)); #364181=DIRECTION('center_axis',(0.,0.,1.)); #364182=DIRECTION('ref_axis',(1.,0.,0.)); #364183=DIRECTION('center_axis',(0.,0.,1.)); #364184=DIRECTION('ref_axis',(1.,0.,0.)); #364185=DIRECTION('center_axis',(0.,0.,1.)); #364186=DIRECTION('ref_axis',(1.,0.,0.)); #364187=DIRECTION('center_axis',(0.,0.,-1.)); #364188=DIRECTION('ref_axis',(1.,0.,0.)); #364189=DIRECTION('',(0.,0.,-1.)); #364190=DIRECTION('center_axis',(0.,0.,1.)); #364191=DIRECTION('ref_axis',(1.,0.,0.)); #364192=DIRECTION('center_axis',(0.,0.,1.)); #364193=DIRECTION('ref_axis',(1.,0.,0.)); #364194=DIRECTION('center_axis',(0.,0.,1.)); #364195=DIRECTION('ref_axis',(1.,0.,0.)); #364196=DIRECTION('',(0.,0.,-1.)); #364197=DIRECTION('center_axis',(0.,0.,1.)); #364198=DIRECTION('ref_axis',(1.,0.,0.)); #364199=DIRECTION('center_axis',(0.,0.,1.)); #364200=DIRECTION('ref_axis',(1.,0.,0.)); #364201=DIRECTION('center_axis',(0.,0.,1.)); #364202=DIRECTION('ref_axis',(1.,0.,0.)); #364203=DIRECTION('center_axis',(0.,0.,1.)); #364204=DIRECTION('ref_axis',(1.,0.,0.)); #364205=DIRECTION('center_axis',(0.,0.,-1.)); #364206=DIRECTION('ref_axis',(1.,0.,0.)); #364207=DIRECTION('',(0.,0.,-1.)); #364208=DIRECTION('center_axis',(0.,0.,1.)); #364209=DIRECTION('ref_axis',(1.,0.,0.)); #364210=DIRECTION('center_axis',(0.,0.,1.)); #364211=DIRECTION('ref_axis',(1.,0.,0.)); #364212=DIRECTION('center_axis',(0.,0.,1.)); #364213=DIRECTION('ref_axis',(1.,0.,0.)); #364214=DIRECTION('',(0.,0.,-1.)); #364215=DIRECTION('center_axis',(0.,0.,1.)); #364216=DIRECTION('ref_axis',(1.,0.,0.)); #364217=DIRECTION('center_axis',(0.,0.,1.)); #364218=DIRECTION('ref_axis',(1.,0.,0.)); #364219=DIRECTION('center_axis',(0.,0.,1.)); #364220=DIRECTION('ref_axis',(1.,0.,0.)); #364221=DIRECTION('center_axis',(0.,0.,1.)); #364222=DIRECTION('ref_axis',(1.,0.,0.)); #364223=DIRECTION('center_axis',(0.,0.,-1.)); #364224=DIRECTION('ref_axis',(1.,0.,0.)); #364225=DIRECTION('',(0.,0.,-1.)); #364226=DIRECTION('center_axis',(0.,0.,1.)); #364227=DIRECTION('ref_axis',(1.,0.,0.)); #364228=DIRECTION('center_axis',(0.,0.,1.)); #364229=DIRECTION('ref_axis',(1.,0.,0.)); #364230=DIRECTION('center_axis',(0.,0.,1.)); #364231=DIRECTION('ref_axis',(1.,0.,0.)); #364232=DIRECTION('',(0.,0.,-1.)); #364233=DIRECTION('center_axis',(0.,0.,1.)); #364234=DIRECTION('ref_axis',(1.,0.,0.)); #364235=DIRECTION('center_axis',(0.,0.,1.)); #364236=DIRECTION('ref_axis',(1.,0.,0.)); #364237=DIRECTION('center_axis',(0.,0.,1.)); #364238=DIRECTION('ref_axis',(1.,0.,0.)); #364239=DIRECTION('center_axis',(0.,0.,1.)); #364240=DIRECTION('ref_axis',(1.,0.,0.)); #364241=DIRECTION('center_axis',(0.,0.,-1.)); #364242=DIRECTION('ref_axis',(1.,0.,0.)); #364243=DIRECTION('',(0.,0.,-1.)); #364244=DIRECTION('center_axis',(0.,0.,1.)); #364245=DIRECTION('ref_axis',(1.,0.,0.)); #364246=DIRECTION('center_axis',(0.,0.,1.)); #364247=DIRECTION('ref_axis',(1.,0.,0.)); #364248=DIRECTION('center_axis',(0.,0.,1.)); #364249=DIRECTION('ref_axis',(1.,0.,0.)); #364250=DIRECTION('',(0.,0.,-1.)); #364251=DIRECTION('center_axis',(0.,0.,1.)); #364252=DIRECTION('ref_axis',(1.,0.,0.)); #364253=DIRECTION('center_axis',(0.,0.,1.)); #364254=DIRECTION('ref_axis',(1.,0.,0.)); #364255=DIRECTION('center_axis',(0.,0.,1.)); #364256=DIRECTION('ref_axis',(1.,0.,0.)); #364257=DIRECTION('center_axis',(0.,0.,1.)); #364258=DIRECTION('ref_axis',(1.,0.,0.)); #364259=DIRECTION('center_axis',(0.,0.,-1.)); #364260=DIRECTION('ref_axis',(1.,0.,0.)); #364261=DIRECTION('',(0.,0.,-1.)); #364262=DIRECTION('center_axis',(0.,0.,1.)); #364263=DIRECTION('ref_axis',(1.,0.,0.)); #364264=DIRECTION('center_axis',(0.,0.,1.)); #364265=DIRECTION('ref_axis',(1.,0.,0.)); #364266=DIRECTION('center_axis',(0.,0.,1.)); #364267=DIRECTION('ref_axis',(1.,0.,0.)); #364268=DIRECTION('',(0.,0.,-1.)); #364269=DIRECTION('center_axis',(0.,0.,1.)); #364270=DIRECTION('ref_axis',(1.,0.,0.)); #364271=DIRECTION('center_axis',(0.,0.,1.)); #364272=DIRECTION('ref_axis',(1.,0.,0.)); #364273=DIRECTION('center_axis',(0.,0.,1.)); #364274=DIRECTION('ref_axis',(1.,0.,0.)); #364275=DIRECTION('center_axis',(0.,0.,1.)); #364276=DIRECTION('ref_axis',(1.,0.,0.)); #364277=DIRECTION('center_axis',(0.,0.,-1.)); #364278=DIRECTION('ref_axis',(1.,0.,0.)); #364279=DIRECTION('',(0.,0.,-1.)); #364280=DIRECTION('center_axis',(0.,0.,1.)); #364281=DIRECTION('ref_axis',(1.,0.,0.)); #364282=DIRECTION('center_axis',(0.,0.,1.)); #364283=DIRECTION('ref_axis',(1.,0.,0.)); #364284=DIRECTION('center_axis',(0.,0.,1.)); #364285=DIRECTION('ref_axis',(1.,0.,0.)); #364286=DIRECTION('',(0.,0.,-1.)); #364287=DIRECTION('center_axis',(0.,0.,1.)); #364288=DIRECTION('ref_axis',(1.,0.,0.)); #364289=DIRECTION('center_axis',(0.,0.,1.)); #364290=DIRECTION('ref_axis',(1.,0.,0.)); #364291=DIRECTION('center_axis',(0.,0.,1.)); #364292=DIRECTION('ref_axis',(1.,0.,0.)); #364293=DIRECTION('center_axis',(0.,0.,1.)); #364294=DIRECTION('ref_axis',(1.,0.,0.)); #364295=DIRECTION('center_axis',(0.,0.,-1.)); #364296=DIRECTION('ref_axis',(1.,0.,0.)); #364297=DIRECTION('',(0.,0.,-1.)); #364298=DIRECTION('center_axis',(0.,0.,1.)); #364299=DIRECTION('ref_axis',(1.,0.,0.)); #364300=DIRECTION('center_axis',(0.,0.,1.)); #364301=DIRECTION('ref_axis',(1.,0.,0.)); #364302=DIRECTION('center_axis',(0.,0.,1.)); #364303=DIRECTION('ref_axis',(1.,0.,0.)); #364304=DIRECTION('',(0.,0.,-1.)); #364305=DIRECTION('center_axis',(0.,0.,1.)); #364306=DIRECTION('ref_axis',(1.,0.,0.)); #364307=DIRECTION('center_axis',(0.,0.,1.)); #364308=DIRECTION('ref_axis',(1.,0.,0.)); #364309=DIRECTION('center_axis',(0.,0.,1.)); #364310=DIRECTION('ref_axis',(1.,0.,0.)); #364311=DIRECTION('center_axis',(0.,0.,1.)); #364312=DIRECTION('ref_axis',(1.,0.,0.)); #364313=DIRECTION('center_axis',(0.,0.,-1.)); #364314=DIRECTION('ref_axis',(1.,0.,0.)); #364315=DIRECTION('',(0.,0.,-1.)); #364316=DIRECTION('center_axis',(0.,0.,1.)); #364317=DIRECTION('ref_axis',(1.,0.,0.)); #364318=DIRECTION('center_axis',(0.,0.,1.)); #364319=DIRECTION('ref_axis',(1.,0.,0.)); #364320=DIRECTION('center_axis',(0.,0.,1.)); #364321=DIRECTION('ref_axis',(1.,0.,0.)); #364322=DIRECTION('',(0.,0.,-1.)); #364323=DIRECTION('center_axis',(0.,0.,1.)); #364324=DIRECTION('ref_axis',(1.,0.,0.)); #364325=DIRECTION('center_axis',(0.,0.,1.)); #364326=DIRECTION('ref_axis',(1.,0.,0.)); #364327=DIRECTION('center_axis',(0.,0.,1.)); #364328=DIRECTION('ref_axis',(1.,0.,0.)); #364329=DIRECTION('center_axis',(0.,0.,1.)); #364330=DIRECTION('ref_axis',(1.,0.,0.)); #364331=DIRECTION('center_axis',(0.,0.,-1.)); #364332=DIRECTION('ref_axis',(1.,0.,0.)); #364333=DIRECTION('',(0.,0.,-1.)); #364334=DIRECTION('center_axis',(0.,0.,1.)); #364335=DIRECTION('ref_axis',(1.,0.,0.)); #364336=DIRECTION('center_axis',(0.,0.,1.)); #364337=DIRECTION('ref_axis',(1.,0.,0.)); #364338=DIRECTION('center_axis',(0.,0.,1.)); #364339=DIRECTION('ref_axis',(1.,0.,0.)); #364340=DIRECTION('',(0.,0.,-1.)); #364341=DIRECTION('center_axis',(0.,0.,1.)); #364342=DIRECTION('ref_axis',(1.,0.,0.)); #364343=DIRECTION('center_axis',(0.,0.,1.)); #364344=DIRECTION('ref_axis',(1.,0.,0.)); #364345=DIRECTION('center_axis',(0.,0.,1.)); #364346=DIRECTION('ref_axis',(1.,0.,0.)); #364347=DIRECTION('center_axis',(0.,0.,1.)); #364348=DIRECTION('ref_axis',(1.,0.,0.)); #364349=DIRECTION('center_axis',(0.,0.,-1.)); #364350=DIRECTION('ref_axis',(1.,0.,0.)); #364351=DIRECTION('',(0.,0.,-1.)); #364352=DIRECTION('center_axis',(0.,0.,1.)); #364353=DIRECTION('ref_axis',(1.,0.,0.)); #364354=DIRECTION('center_axis',(0.,0.,1.)); #364355=DIRECTION('ref_axis',(1.,0.,0.)); #364356=DIRECTION('center_axis',(0.,0.,1.)); #364357=DIRECTION('ref_axis',(1.,0.,0.)); #364358=DIRECTION('',(0.,0.,-1.)); #364359=DIRECTION('center_axis',(0.,0.,1.)); #364360=DIRECTION('ref_axis',(1.,0.,0.)); #364361=DIRECTION('center_axis',(0.,0.,1.)); #364362=DIRECTION('ref_axis',(1.,0.,0.)); #364363=DIRECTION('center_axis',(0.,0.,1.)); #364364=DIRECTION('ref_axis',(1.,0.,0.)); #364365=DIRECTION('center_axis',(0.,0.,1.)); #364366=DIRECTION('ref_axis',(1.,0.,0.)); #364367=DIRECTION('center_axis',(0.,0.,-1.)); #364368=DIRECTION('ref_axis',(1.,0.,0.)); #364369=DIRECTION('',(0.,0.,-1.)); #364370=DIRECTION('center_axis',(0.,0.,1.)); #364371=DIRECTION('ref_axis',(1.,0.,0.)); #364372=DIRECTION('center_axis',(0.,0.,1.)); #364373=DIRECTION('ref_axis',(1.,0.,0.)); #364374=DIRECTION('center_axis',(0.,0.,1.)); #364375=DIRECTION('ref_axis',(1.,0.,0.)); #364376=DIRECTION('',(0.,0.,-1.)); #364377=DIRECTION('center_axis',(0.,0.,1.)); #364378=DIRECTION('ref_axis',(1.,0.,0.)); #364379=DIRECTION('center_axis',(0.,0.,1.)); #364380=DIRECTION('ref_axis',(1.,0.,0.)); #364381=DIRECTION('center_axis',(0.,0.,1.)); #364382=DIRECTION('ref_axis',(1.,0.,0.)); #364383=DIRECTION('center_axis',(0.,0.,1.)); #364384=DIRECTION('ref_axis',(1.,0.,0.)); #364385=DIRECTION('center_axis',(0.,0.,-1.)); #364386=DIRECTION('ref_axis',(1.,0.,0.)); #364387=DIRECTION('',(0.,0.,-1.)); #364388=DIRECTION('center_axis',(0.,0.,1.)); #364389=DIRECTION('ref_axis',(1.,0.,0.)); #364390=DIRECTION('center_axis',(0.,0.,1.)); #364391=DIRECTION('ref_axis',(1.,0.,0.)); #364392=DIRECTION('center_axis',(0.,0.,1.)); #364393=DIRECTION('ref_axis',(1.,0.,0.)); #364394=DIRECTION('',(0.,0.,-1.)); #364395=DIRECTION('center_axis',(0.,0.,1.)); #364396=DIRECTION('ref_axis',(1.,0.,0.)); #364397=DIRECTION('center_axis',(0.,0.,1.)); #364398=DIRECTION('ref_axis',(1.,0.,0.)); #364399=DIRECTION('center_axis',(0.,0.,1.)); #364400=DIRECTION('ref_axis',(1.,0.,0.)); #364401=DIRECTION('center_axis',(0.,0.,1.)); #364402=DIRECTION('ref_axis',(1.,0.,0.)); #364403=DIRECTION('center_axis',(0.,0.,-1.)); #364404=DIRECTION('ref_axis',(1.,0.,0.)); #364405=DIRECTION('',(0.,0.,-1.)); #364406=DIRECTION('center_axis',(0.,0.,1.)); #364407=DIRECTION('ref_axis',(1.,0.,0.)); #364408=DIRECTION('center_axis',(0.,0.,1.)); #364409=DIRECTION('ref_axis',(1.,0.,0.)); #364410=DIRECTION('center_axis',(0.,0.,1.)); #364411=DIRECTION('ref_axis',(1.,0.,0.)); #364412=DIRECTION('',(0.,0.,-1.)); #364413=DIRECTION('center_axis',(0.,0.,1.)); #364414=DIRECTION('ref_axis',(1.,0.,0.)); #364415=DIRECTION('center_axis',(0.,0.,1.)); #364416=DIRECTION('ref_axis',(1.,0.,0.)); #364417=DIRECTION('center_axis',(0.,0.,1.)); #364418=DIRECTION('ref_axis',(1.,0.,0.)); #364419=DIRECTION('center_axis',(0.,0.,1.)); #364420=DIRECTION('ref_axis',(1.,0.,0.)); #364421=DIRECTION('center_axis',(0.,0.,-1.)); #364422=DIRECTION('ref_axis',(1.,0.,0.)); #364423=DIRECTION('',(0.,0.,-1.)); #364424=DIRECTION('center_axis',(0.,0.,1.)); #364425=DIRECTION('ref_axis',(1.,0.,0.)); #364426=DIRECTION('center_axis',(0.,0.,1.)); #364427=DIRECTION('ref_axis',(1.,0.,0.)); #364428=DIRECTION('center_axis',(0.,0.,1.)); #364429=DIRECTION('ref_axis',(1.,0.,0.)); #364430=DIRECTION('',(0.,0.,-1.)); #364431=DIRECTION('center_axis',(0.,0.,1.)); #364432=DIRECTION('ref_axis',(1.,0.,0.)); #364433=DIRECTION('center_axis',(0.,0.,1.)); #364434=DIRECTION('ref_axis',(1.,0.,0.)); #364435=DIRECTION('center_axis',(0.,0.,1.)); #364436=DIRECTION('ref_axis',(1.,0.,0.)); #364437=DIRECTION('center_axis',(0.,0.,1.)); #364438=DIRECTION('ref_axis',(1.,0.,0.)); #364439=DIRECTION('center_axis',(0.,0.,-1.)); #364440=DIRECTION('ref_axis',(1.,0.,0.)); #364441=DIRECTION('',(0.,0.,-1.)); #364442=DIRECTION('center_axis',(0.,0.,1.)); #364443=DIRECTION('ref_axis',(1.,0.,0.)); #364444=DIRECTION('center_axis',(0.,0.,1.)); #364445=DIRECTION('ref_axis',(1.,0.,0.)); #364446=DIRECTION('center_axis',(0.,0.,1.)); #364447=DIRECTION('ref_axis',(1.,0.,0.)); #364448=DIRECTION('',(0.,0.,-1.)); #364449=DIRECTION('center_axis',(0.,0.,1.)); #364450=DIRECTION('ref_axis',(1.,0.,0.)); #364451=DIRECTION('center_axis',(0.,0.,1.)); #364452=DIRECTION('ref_axis',(1.,0.,0.)); #364453=DIRECTION('center_axis',(0.,0.,1.)); #364454=DIRECTION('ref_axis',(1.,0.,0.)); #364455=DIRECTION('center_axis',(0.,0.,1.)); #364456=DIRECTION('ref_axis',(1.,0.,0.)); #364457=DIRECTION('center_axis',(0.,0.,-1.)); #364458=DIRECTION('ref_axis',(1.,0.,0.)); #364459=DIRECTION('',(0.,0.,-1.)); #364460=DIRECTION('center_axis',(0.,0.,1.)); #364461=DIRECTION('ref_axis',(1.,0.,0.)); #364462=DIRECTION('center_axis',(0.,0.,1.)); #364463=DIRECTION('ref_axis',(1.,0.,0.)); #364464=DIRECTION('center_axis',(0.,0.,1.)); #364465=DIRECTION('ref_axis',(1.,0.,0.)); #364466=DIRECTION('',(0.,0.,-1.)); #364467=DIRECTION('center_axis',(0.,0.,1.)); #364468=DIRECTION('ref_axis',(1.,0.,0.)); #364469=DIRECTION('center_axis',(0.,0.,1.)); #364470=DIRECTION('ref_axis',(1.,0.,0.)); #364471=DIRECTION('center_axis',(0.,0.,1.)); #364472=DIRECTION('ref_axis',(1.,0.,0.)); #364473=DIRECTION('center_axis',(0.,0.,1.)); #364474=DIRECTION('ref_axis',(1.,0.,0.)); #364475=DIRECTION('center_axis',(0.,0.,-1.)); #364476=DIRECTION('ref_axis',(1.,0.,0.)); #364477=DIRECTION('',(0.,0.,-1.)); #364478=DIRECTION('center_axis',(0.,0.,1.)); #364479=DIRECTION('ref_axis',(1.,0.,0.)); #364480=DIRECTION('center_axis',(0.,0.,1.)); #364481=DIRECTION('ref_axis',(1.,0.,0.)); #364482=DIRECTION('center_axis',(0.,0.,1.)); #364483=DIRECTION('ref_axis',(1.,0.,0.)); #364484=DIRECTION('',(0.,0.,-1.)); #364485=DIRECTION('center_axis',(0.,0.,1.)); #364486=DIRECTION('ref_axis',(1.,0.,0.)); #364487=DIRECTION('center_axis',(0.,0.,1.)); #364488=DIRECTION('ref_axis',(1.,0.,0.)); #364489=DIRECTION('center_axis',(0.,0.,1.)); #364490=DIRECTION('ref_axis',(1.,0.,0.)); #364491=DIRECTION('center_axis',(0.,0.,1.)); #364492=DIRECTION('ref_axis',(1.,0.,0.)); #364493=DIRECTION('center_axis',(0.,0.,-1.)); #364494=DIRECTION('ref_axis',(1.,0.,0.)); #364495=DIRECTION('',(0.,0.,-1.)); #364496=DIRECTION('center_axis',(0.,0.,1.)); #364497=DIRECTION('ref_axis',(1.,0.,0.)); #364498=DIRECTION('center_axis',(0.,0.,1.)); #364499=DIRECTION('ref_axis',(1.,0.,0.)); #364500=DIRECTION('center_axis',(0.,0.,1.)); #364501=DIRECTION('ref_axis',(1.,0.,0.)); #364502=DIRECTION('',(0.,0.,-1.)); #364503=DIRECTION('center_axis',(0.,0.,1.)); #364504=DIRECTION('ref_axis',(1.,0.,0.)); #364505=DIRECTION('center_axis',(0.,0.,1.)); #364506=DIRECTION('ref_axis',(1.,0.,0.)); #364507=DIRECTION('center_axis',(0.,0.,1.)); #364508=DIRECTION('ref_axis',(1.,0.,0.)); #364509=DIRECTION('center_axis',(0.,0.,1.)); #364510=DIRECTION('ref_axis',(1.,0.,0.)); #364511=DIRECTION('center_axis',(0.,0.,-1.)); #364512=DIRECTION('ref_axis',(1.,0.,0.)); #364513=DIRECTION('',(0.,0.,-1.)); #364514=DIRECTION('center_axis',(0.,0.,1.)); #364515=DIRECTION('ref_axis',(1.,0.,0.)); #364516=DIRECTION('center_axis',(0.,0.,1.)); #364517=DIRECTION('ref_axis',(1.,0.,0.)); #364518=DIRECTION('center_axis',(0.,0.,1.)); #364519=DIRECTION('ref_axis',(1.,0.,0.)); #364520=DIRECTION('',(0.,0.,-1.)); #364521=DIRECTION('center_axis',(0.,0.,1.)); #364522=DIRECTION('ref_axis',(1.,0.,0.)); #364523=DIRECTION('center_axis',(0.,0.,1.)); #364524=DIRECTION('ref_axis',(1.,0.,0.)); #364525=DIRECTION('center_axis',(0.,0.,1.)); #364526=DIRECTION('ref_axis',(1.,0.,0.)); #364527=DIRECTION('center_axis',(0.,0.,1.)); #364528=DIRECTION('ref_axis',(1.,0.,0.)); #364529=DIRECTION('center_axis',(0.,0.,-1.)); #364530=DIRECTION('ref_axis',(1.,0.,0.)); #364531=DIRECTION('',(0.,0.,-1.)); #364532=DIRECTION('center_axis',(0.,0.,1.)); #364533=DIRECTION('ref_axis',(1.,0.,0.)); #364534=DIRECTION('center_axis',(0.,0.,1.)); #364535=DIRECTION('ref_axis',(1.,0.,0.)); #364536=DIRECTION('center_axis',(0.,0.,1.)); #364537=DIRECTION('ref_axis',(1.,0.,0.)); #364538=DIRECTION('',(0.,0.,-1.)); #364539=DIRECTION('center_axis',(0.,0.,1.)); #364540=DIRECTION('ref_axis',(1.,0.,0.)); #364541=DIRECTION('center_axis',(0.,0.,1.)); #364542=DIRECTION('ref_axis',(1.,0.,0.)); #364543=DIRECTION('center_axis',(0.,0.,1.)); #364544=DIRECTION('ref_axis',(1.,0.,0.)); #364545=DIRECTION('center_axis',(0.,0.,1.)); #364546=DIRECTION('ref_axis',(1.,0.,0.)); #364547=DIRECTION('center_axis',(0.,0.,-1.)); #364548=DIRECTION('ref_axis',(1.,0.,0.)); #364549=DIRECTION('',(0.,0.,-1.)); #364550=DIRECTION('center_axis',(0.,0.,1.)); #364551=DIRECTION('ref_axis',(1.,0.,0.)); #364552=DIRECTION('center_axis',(0.,0.,1.)); #364553=DIRECTION('ref_axis',(1.,0.,0.)); #364554=DIRECTION('center_axis',(0.,0.,1.)); #364555=DIRECTION('ref_axis',(1.,0.,0.)); #364556=DIRECTION('',(0.,0.,-1.)); #364557=DIRECTION('center_axis',(0.,0.,1.)); #364558=DIRECTION('ref_axis',(1.,0.,0.)); #364559=DIRECTION('center_axis',(0.,0.,1.)); #364560=DIRECTION('ref_axis',(1.,0.,0.)); #364561=DIRECTION('center_axis',(0.,0.,1.)); #364562=DIRECTION('ref_axis',(1.,0.,0.)); #364563=DIRECTION('center_axis',(0.,0.,1.)); #364564=DIRECTION('ref_axis',(1.,0.,0.)); #364565=DIRECTION('center_axis',(0.,0.,-1.)); #364566=DIRECTION('ref_axis',(1.,0.,0.)); #364567=DIRECTION('',(0.,0.,-1.)); #364568=DIRECTION('center_axis',(0.,0.,1.)); #364569=DIRECTION('ref_axis',(1.,0.,0.)); #364570=DIRECTION('center_axis',(0.,0.,1.)); #364571=DIRECTION('ref_axis',(1.,0.,0.)); #364572=DIRECTION('center_axis',(0.,0.,1.)); #364573=DIRECTION('ref_axis',(1.,0.,0.)); #364574=DIRECTION('',(0.,0.,-1.)); #364575=DIRECTION('center_axis',(0.,0.,1.)); #364576=DIRECTION('ref_axis',(1.,0.,0.)); #364577=DIRECTION('center_axis',(0.,0.,1.)); #364578=DIRECTION('ref_axis',(1.,0.,0.)); #364579=DIRECTION('center_axis',(0.,0.,1.)); #364580=DIRECTION('ref_axis',(1.,0.,0.)); #364581=DIRECTION('center_axis',(0.,0.,1.)); #364582=DIRECTION('ref_axis',(1.,0.,0.)); #364583=DIRECTION('center_axis',(0.,0.,-1.)); #364584=DIRECTION('ref_axis',(1.,0.,0.)); #364585=DIRECTION('',(0.,0.,-1.)); #364586=DIRECTION('center_axis',(0.,0.,1.)); #364587=DIRECTION('ref_axis',(1.,0.,0.)); #364588=DIRECTION('center_axis',(0.,0.,1.)); #364589=DIRECTION('ref_axis',(1.,0.,0.)); #364590=DIRECTION('center_axis',(0.,0.,1.)); #364591=DIRECTION('ref_axis',(1.,0.,0.)); #364592=DIRECTION('',(0.,0.,-1.)); #364593=DIRECTION('center_axis',(0.,0.,1.)); #364594=DIRECTION('ref_axis',(1.,0.,0.)); #364595=DIRECTION('center_axis',(0.,0.,1.)); #364596=DIRECTION('ref_axis',(1.,0.,0.)); #364597=DIRECTION('center_axis',(0.,0.,1.)); #364598=DIRECTION('ref_axis',(1.,0.,0.)); #364599=DIRECTION('center_axis',(0.,0.,1.)); #364600=DIRECTION('ref_axis',(1.,0.,0.)); #364601=DIRECTION('center_axis',(0.,0.,-1.)); #364602=DIRECTION('ref_axis',(1.,0.,0.)); #364603=DIRECTION('',(0.,0.,-1.)); #364604=DIRECTION('center_axis',(0.,0.,1.)); #364605=DIRECTION('ref_axis',(1.,0.,0.)); #364606=DIRECTION('center_axis',(0.,0.,1.)); #364607=DIRECTION('ref_axis',(1.,0.,0.)); #364608=DIRECTION('center_axis',(0.,0.,1.)); #364609=DIRECTION('ref_axis',(1.,0.,0.)); #364610=DIRECTION('',(0.,0.,-1.)); #364611=DIRECTION('center_axis',(0.,0.,1.)); #364612=DIRECTION('ref_axis',(1.,0.,0.)); #364613=DIRECTION('center_axis',(0.,0.,1.)); #364614=DIRECTION('ref_axis',(1.,0.,0.)); #364615=DIRECTION('center_axis',(0.,0.,1.)); #364616=DIRECTION('ref_axis',(1.,0.,0.)); #364617=DIRECTION('center_axis',(0.,0.,1.)); #364618=DIRECTION('ref_axis',(1.,0.,0.)); #364619=DIRECTION('center_axis',(0.,0.,-1.)); #364620=DIRECTION('ref_axis',(1.,0.,0.)); #364621=DIRECTION('',(0.,0.,-1.)); #364622=DIRECTION('center_axis',(0.,0.,1.)); #364623=DIRECTION('ref_axis',(1.,0.,0.)); #364624=DIRECTION('center_axis',(0.,0.,1.)); #364625=DIRECTION('ref_axis',(1.,0.,0.)); #364626=DIRECTION('center_axis',(0.,0.,1.)); #364627=DIRECTION('ref_axis',(1.,0.,0.)); #364628=DIRECTION('',(0.,0.,-1.)); #364629=DIRECTION('center_axis',(0.,0.,1.)); #364630=DIRECTION('ref_axis',(1.,0.,0.)); #364631=DIRECTION('center_axis',(0.,0.,1.)); #364632=DIRECTION('ref_axis',(1.,0.,0.)); #364633=DIRECTION('center_axis',(0.,0.,1.)); #364634=DIRECTION('ref_axis',(1.,0.,0.)); #364635=DIRECTION('center_axis',(0.,0.,1.)); #364636=DIRECTION('ref_axis',(1.,0.,0.)); #364637=DIRECTION('center_axis',(0.,0.,-1.)); #364638=DIRECTION('ref_axis',(1.,0.,0.)); #364639=DIRECTION('',(0.,0.,-1.)); #364640=DIRECTION('center_axis',(0.,0.,1.)); #364641=DIRECTION('ref_axis',(1.,0.,0.)); #364642=DIRECTION('center_axis',(0.,0.,1.)); #364643=DIRECTION('ref_axis',(1.,0.,0.)); #364644=DIRECTION('center_axis',(0.,0.,1.)); #364645=DIRECTION('ref_axis',(1.,0.,0.)); #364646=DIRECTION('',(0.,0.,-1.)); #364647=DIRECTION('center_axis',(0.,0.,1.)); #364648=DIRECTION('ref_axis',(1.,0.,0.)); #364649=DIRECTION('center_axis',(0.,0.,1.)); #364650=DIRECTION('ref_axis',(1.,0.,0.)); #364651=DIRECTION('center_axis',(0.,0.,1.)); #364652=DIRECTION('ref_axis',(1.,0.,0.)); #364653=DIRECTION('center_axis',(0.,0.,1.)); #364654=DIRECTION('ref_axis',(1.,0.,0.)); #364655=DIRECTION('center_axis',(0.,0.,-1.)); #364656=DIRECTION('ref_axis',(1.,0.,0.)); #364657=DIRECTION('',(0.,0.,-1.)); #364658=DIRECTION('center_axis',(0.,0.,1.)); #364659=DIRECTION('ref_axis',(1.,0.,0.)); #364660=DIRECTION('center_axis',(0.,0.,1.)); #364661=DIRECTION('ref_axis',(1.,0.,0.)); #364662=DIRECTION('center_axis',(0.,0.,1.)); #364663=DIRECTION('ref_axis',(1.,0.,0.)); #364664=DIRECTION('',(0.,0.,-1.)); #364665=DIRECTION('center_axis',(0.,0.,1.)); #364666=DIRECTION('ref_axis',(1.,0.,0.)); #364667=DIRECTION('center_axis',(0.,0.,1.)); #364668=DIRECTION('ref_axis',(1.,0.,0.)); #364669=DIRECTION('center_axis',(0.,0.,1.)); #364670=DIRECTION('ref_axis',(1.,0.,0.)); #364671=DIRECTION('center_axis',(0.,0.,1.)); #364672=DIRECTION('ref_axis',(1.,0.,0.)); #364673=DIRECTION('center_axis',(0.,0.,-1.)); #364674=DIRECTION('ref_axis',(1.,0.,0.)); #364675=DIRECTION('',(0.,0.,-1.)); #364676=DIRECTION('center_axis',(0.,0.,1.)); #364677=DIRECTION('ref_axis',(1.,0.,0.)); #364678=DIRECTION('center_axis',(0.,0.,1.)); #364679=DIRECTION('ref_axis',(1.,0.,0.)); #364680=DIRECTION('center_axis',(0.,0.,1.)); #364681=DIRECTION('ref_axis',(1.,0.,0.)); #364682=DIRECTION('',(0.,0.,-1.)); #364683=DIRECTION('center_axis',(0.,0.,1.)); #364684=DIRECTION('ref_axis',(1.,0.,0.)); #364685=DIRECTION('center_axis',(0.,0.,1.)); #364686=DIRECTION('ref_axis',(1.,0.,0.)); #364687=DIRECTION('center_axis',(0.,0.,1.)); #364688=DIRECTION('ref_axis',(1.,0.,0.)); #364689=DIRECTION('center_axis',(0.,0.,1.)); #364690=DIRECTION('ref_axis',(1.,0.,0.)); #364691=DIRECTION('center_axis',(0.,0.,-1.)); #364692=DIRECTION('ref_axis',(1.,0.,0.)); #364693=DIRECTION('',(0.,0.,-1.)); #364694=DIRECTION('center_axis',(0.,0.,1.)); #364695=DIRECTION('ref_axis',(1.,0.,0.)); #364696=DIRECTION('center_axis',(0.,0.,1.)); #364697=DIRECTION('ref_axis',(1.,0.,0.)); #364698=DIRECTION('center_axis',(0.,0.,1.)); #364699=DIRECTION('ref_axis',(1.,0.,0.)); #364700=DIRECTION('',(0.,0.,-1.)); #364701=DIRECTION('center_axis',(0.,0.,1.)); #364702=DIRECTION('ref_axis',(1.,0.,0.)); #364703=DIRECTION('center_axis',(0.,0.,1.)); #364704=DIRECTION('ref_axis',(1.,0.,0.)); #364705=DIRECTION('center_axis',(0.,0.,1.)); #364706=DIRECTION('ref_axis',(1.,0.,0.)); #364707=DIRECTION('center_axis',(0.,0.,1.)); #364708=DIRECTION('ref_axis',(1.,0.,0.)); #364709=DIRECTION('center_axis',(0.,0.,-1.)); #364710=DIRECTION('ref_axis',(1.,0.,0.)); #364711=DIRECTION('',(0.,0.,-1.)); #364712=DIRECTION('center_axis',(0.,0.,1.)); #364713=DIRECTION('ref_axis',(1.,0.,0.)); #364714=DIRECTION('center_axis',(0.,0.,1.)); #364715=DIRECTION('ref_axis',(1.,0.,0.)); #364716=DIRECTION('center_axis',(0.,0.,1.)); #364717=DIRECTION('ref_axis',(1.,0.,0.)); #364718=DIRECTION('',(0.,0.,-1.)); #364719=DIRECTION('center_axis',(0.,0.,1.)); #364720=DIRECTION('ref_axis',(1.,0.,0.)); #364721=DIRECTION('center_axis',(0.,0.,1.)); #364722=DIRECTION('ref_axis',(1.,0.,0.)); #364723=DIRECTION('center_axis',(0.,0.,1.)); #364724=DIRECTION('ref_axis',(1.,0.,0.)); #364725=DIRECTION('center_axis',(0.,0.,1.)); #364726=DIRECTION('ref_axis',(1.,0.,0.)); #364727=DIRECTION('center_axis',(0.,0.,-1.)); #364728=DIRECTION('ref_axis',(1.,0.,0.)); #364729=DIRECTION('',(0.,0.,-1.)); #364730=DIRECTION('center_axis',(0.,0.,1.)); #364731=DIRECTION('ref_axis',(1.,0.,0.)); #364732=DIRECTION('center_axis',(0.,0.,1.)); #364733=DIRECTION('ref_axis',(1.,0.,0.)); #364734=DIRECTION('center_axis',(0.,0.,1.)); #364735=DIRECTION('ref_axis',(1.,0.,0.)); #364736=DIRECTION('',(0.,0.,-1.)); #364737=DIRECTION('center_axis',(0.,0.,1.)); #364738=DIRECTION('ref_axis',(1.,0.,0.)); #364739=DIRECTION('center_axis',(0.,0.,1.)); #364740=DIRECTION('ref_axis',(1.,0.,0.)); #364741=DIRECTION('center_axis',(0.,0.,1.)); #364742=DIRECTION('ref_axis',(1.,0.,0.)); #364743=DIRECTION('center_axis',(0.,0.,1.)); #364744=DIRECTION('ref_axis',(1.,0.,0.)); #364745=DIRECTION('center_axis',(0.,0.,-1.)); #364746=DIRECTION('ref_axis',(1.,0.,0.)); #364747=DIRECTION('',(0.,0.,-1.)); #364748=DIRECTION('center_axis',(0.,0.,1.)); #364749=DIRECTION('ref_axis',(1.,0.,0.)); #364750=DIRECTION('center_axis',(0.,0.,1.)); #364751=DIRECTION('ref_axis',(1.,0.,0.)); #364752=DIRECTION('center_axis',(0.,0.,1.)); #364753=DIRECTION('ref_axis',(1.,0.,0.)); #364754=DIRECTION('',(0.,0.,-1.)); #364755=DIRECTION('center_axis',(0.,0.,1.)); #364756=DIRECTION('ref_axis',(1.,0.,0.)); #364757=DIRECTION('center_axis',(0.,0.,1.)); #364758=DIRECTION('ref_axis',(1.,0.,0.)); #364759=DIRECTION('center_axis',(0.,0.,1.)); #364760=DIRECTION('ref_axis',(1.,0.,0.)); #364761=DIRECTION('center_axis',(0.,0.,1.)); #364762=DIRECTION('ref_axis',(1.,0.,0.)); #364763=DIRECTION('center_axis',(0.,0.,-1.)); #364764=DIRECTION('ref_axis',(1.,0.,0.)); #364765=DIRECTION('',(0.,0.,-1.)); #364766=DIRECTION('center_axis',(0.,0.,1.)); #364767=DIRECTION('ref_axis',(1.,0.,0.)); #364768=DIRECTION('center_axis',(0.,0.,1.)); #364769=DIRECTION('ref_axis',(1.,0.,0.)); #364770=DIRECTION('center_axis',(0.,0.,1.)); #364771=DIRECTION('ref_axis',(1.,0.,0.)); #364772=DIRECTION('',(0.,0.,-1.)); #364773=DIRECTION('center_axis',(0.,0.,1.)); #364774=DIRECTION('ref_axis',(1.,0.,0.)); #364775=DIRECTION('center_axis',(0.,0.,1.)); #364776=DIRECTION('ref_axis',(1.,0.,0.)); #364777=DIRECTION('center_axis',(0.,0.,1.)); #364778=DIRECTION('ref_axis',(1.,0.,0.)); #364779=DIRECTION('center_axis',(0.,0.,1.)); #364780=DIRECTION('ref_axis',(1.,0.,0.)); #364781=DIRECTION('center_axis',(0.,0.,-1.)); #364782=DIRECTION('ref_axis',(1.,0.,0.)); #364783=DIRECTION('',(0.,0.,-1.)); #364784=DIRECTION('center_axis',(0.,0.,1.)); #364785=DIRECTION('ref_axis',(1.,0.,0.)); #364786=DIRECTION('center_axis',(0.,0.,1.)); #364787=DIRECTION('ref_axis',(1.,0.,0.)); #364788=DIRECTION('center_axis',(0.,0.,1.)); #364789=DIRECTION('ref_axis',(1.,0.,0.)); #364790=DIRECTION('',(0.,0.,-1.)); #364791=DIRECTION('center_axis',(0.,0.,1.)); #364792=DIRECTION('ref_axis',(1.,0.,0.)); #364793=DIRECTION('center_axis',(0.,0.,1.)); #364794=DIRECTION('ref_axis',(1.,0.,0.)); #364795=DIRECTION('center_axis',(0.,0.,1.)); #364796=DIRECTION('ref_axis',(1.,0.,0.)); #364797=DIRECTION('center_axis',(0.,0.,1.)); #364798=DIRECTION('ref_axis',(1.,0.,0.)); #364799=DIRECTION('center_axis',(0.,0.,-1.)); #364800=DIRECTION('ref_axis',(1.,0.,0.)); #364801=DIRECTION('',(0.,0.,-1.)); #364802=DIRECTION('center_axis',(0.,0.,1.)); #364803=DIRECTION('ref_axis',(1.,0.,0.)); #364804=DIRECTION('center_axis',(0.,0.,1.)); #364805=DIRECTION('ref_axis',(1.,0.,0.)); #364806=DIRECTION('center_axis',(0.,0.,1.)); #364807=DIRECTION('ref_axis',(1.,0.,0.)); #364808=DIRECTION('',(0.,0.,-1.)); #364809=DIRECTION('center_axis',(0.,0.,1.)); #364810=DIRECTION('ref_axis',(1.,0.,0.)); #364811=DIRECTION('center_axis',(0.,0.,1.)); #364812=DIRECTION('ref_axis',(1.,0.,0.)); #364813=DIRECTION('center_axis',(0.,0.,1.)); #364814=DIRECTION('ref_axis',(1.,0.,0.)); #364815=DIRECTION('center_axis',(0.,0.,1.)); #364816=DIRECTION('ref_axis',(1.,0.,0.)); #364817=DIRECTION('center_axis',(0.,0.,-1.)); #364818=DIRECTION('ref_axis',(1.,0.,0.)); #364819=DIRECTION('',(0.,0.,-1.)); #364820=DIRECTION('center_axis',(0.,0.,1.)); #364821=DIRECTION('ref_axis',(1.,0.,0.)); #364822=DIRECTION('center_axis',(0.,0.,1.)); #364823=DIRECTION('ref_axis',(1.,0.,0.)); #364824=DIRECTION('center_axis',(0.,0.,1.)); #364825=DIRECTION('ref_axis',(1.,0.,0.)); #364826=DIRECTION('',(0.,0.,-1.)); #364827=DIRECTION('center_axis',(0.,0.,1.)); #364828=DIRECTION('ref_axis',(1.,0.,0.)); #364829=DIRECTION('center_axis',(0.,0.,1.)); #364830=DIRECTION('ref_axis',(1.,0.,0.)); #364831=DIRECTION('center_axis',(0.,0.,1.)); #364832=DIRECTION('ref_axis',(1.,0.,0.)); #364833=DIRECTION('center_axis',(0.,0.,1.)); #364834=DIRECTION('ref_axis',(1.,0.,0.)); #364835=DIRECTION('center_axis',(0.,0.,-1.)); #364836=DIRECTION('ref_axis',(1.,0.,0.)); #364837=DIRECTION('',(0.,0.,-1.)); #364838=DIRECTION('center_axis',(0.,0.,1.)); #364839=DIRECTION('ref_axis',(1.,0.,0.)); #364840=DIRECTION('center_axis',(0.,0.,1.)); #364841=DIRECTION('ref_axis',(1.,0.,0.)); #364842=DIRECTION('center_axis',(0.,0.,1.)); #364843=DIRECTION('ref_axis',(1.,0.,0.)); #364844=DIRECTION('',(0.,0.,-1.)); #364845=DIRECTION('center_axis',(0.,0.,1.)); #364846=DIRECTION('ref_axis',(1.,0.,0.)); #364847=DIRECTION('center_axis',(0.,0.,1.)); #364848=DIRECTION('ref_axis',(1.,0.,0.)); #364849=DIRECTION('center_axis',(0.,0.,1.)); #364850=DIRECTION('ref_axis',(1.,0.,0.)); #364851=DIRECTION('center_axis',(0.,0.,1.)); #364852=DIRECTION('ref_axis',(1.,0.,0.)); #364853=DIRECTION('center_axis',(0.,0.,-1.)); #364854=DIRECTION('ref_axis',(1.,0.,0.)); #364855=DIRECTION('',(0.,0.,-1.)); #364856=DIRECTION('center_axis',(0.,0.,1.)); #364857=DIRECTION('ref_axis',(1.,0.,0.)); #364858=DIRECTION('center_axis',(0.,0.,1.)); #364859=DIRECTION('ref_axis',(1.,0.,0.)); #364860=DIRECTION('center_axis',(0.,0.,1.)); #364861=DIRECTION('ref_axis',(1.,0.,0.)); #364862=DIRECTION('',(0.,0.,-1.)); #364863=DIRECTION('center_axis',(0.,0.,1.)); #364864=DIRECTION('ref_axis',(1.,0.,0.)); #364865=DIRECTION('center_axis',(0.,0.,1.)); #364866=DIRECTION('ref_axis',(1.,0.,0.)); #364867=DIRECTION('center_axis',(0.,0.,1.)); #364868=DIRECTION('ref_axis',(1.,0.,0.)); #364869=DIRECTION('center_axis',(0.,0.,1.)); #364870=DIRECTION('ref_axis',(1.,0.,0.)); #364871=DIRECTION('center_axis',(0.,0.,-1.)); #364872=DIRECTION('ref_axis',(1.,0.,0.)); #364873=DIRECTION('',(0.,0.,-1.)); #364874=DIRECTION('center_axis',(0.,0.,1.)); #364875=DIRECTION('ref_axis',(1.,0.,0.)); #364876=DIRECTION('center_axis',(0.,0.,1.)); #364877=DIRECTION('ref_axis',(1.,0.,0.)); #364878=DIRECTION('center_axis',(0.,0.,1.)); #364879=DIRECTION('ref_axis',(1.,0.,0.)); #364880=DIRECTION('',(0.,0.,-1.)); #364881=DIRECTION('center_axis',(0.,0.,1.)); #364882=DIRECTION('ref_axis',(1.,0.,0.)); #364883=DIRECTION('center_axis',(0.,0.,1.)); #364884=DIRECTION('ref_axis',(1.,0.,0.)); #364885=DIRECTION('center_axis',(0.,0.,1.)); #364886=DIRECTION('ref_axis',(1.,0.,0.)); #364887=DIRECTION('center_axis',(0.,0.,1.)); #364888=DIRECTION('ref_axis',(1.,0.,0.)); #364889=DIRECTION('center_axis',(0.,0.,-1.)); #364890=DIRECTION('ref_axis',(1.,0.,0.)); #364891=DIRECTION('',(0.,0.,-1.)); #364892=DIRECTION('center_axis',(0.,0.,1.)); #364893=DIRECTION('ref_axis',(1.,0.,0.)); #364894=DIRECTION('center_axis',(0.,0.,1.)); #364895=DIRECTION('ref_axis',(1.,0.,0.)); #364896=DIRECTION('center_axis',(0.,0.,1.)); #364897=DIRECTION('ref_axis',(1.,0.,0.)); #364898=DIRECTION('',(0.,0.,-1.)); #364899=DIRECTION('center_axis',(0.,0.,1.)); #364900=DIRECTION('ref_axis',(1.,0.,0.)); #364901=DIRECTION('center_axis',(0.,0.,1.)); #364902=DIRECTION('ref_axis',(1.,0.,0.)); #364903=DIRECTION('center_axis',(0.,0.,1.)); #364904=DIRECTION('ref_axis',(1.,0.,0.)); #364905=DIRECTION('center_axis',(0.,0.,1.)); #364906=DIRECTION('ref_axis',(1.,0.,0.)); #364907=DIRECTION('center_axis',(0.,0.,-1.)); #364908=DIRECTION('ref_axis',(1.,0.,0.)); #364909=DIRECTION('',(0.,0.,-1.)); #364910=DIRECTION('center_axis',(0.,0.,1.)); #364911=DIRECTION('ref_axis',(1.,0.,0.)); #364912=DIRECTION('center_axis',(0.,0.,1.)); #364913=DIRECTION('ref_axis',(1.,0.,0.)); #364914=DIRECTION('center_axis',(0.,0.,1.)); #364915=DIRECTION('ref_axis',(1.,0.,0.)); #364916=DIRECTION('',(0.,0.,-1.)); #364917=DIRECTION('center_axis',(0.,0.,1.)); #364918=DIRECTION('ref_axis',(1.,0.,0.)); #364919=DIRECTION('center_axis',(0.,0.,1.)); #364920=DIRECTION('ref_axis',(1.,0.,0.)); #364921=DIRECTION('center_axis',(0.,0.,1.)); #364922=DIRECTION('ref_axis',(1.,0.,0.)); #364923=DIRECTION('center_axis',(0.,0.,1.)); #364924=DIRECTION('ref_axis',(1.,0.,0.)); #364925=DIRECTION('center_axis',(0.,0.,-1.)); #364926=DIRECTION('ref_axis',(1.,0.,0.)); #364927=DIRECTION('',(0.,0.,-1.)); #364928=DIRECTION('center_axis',(0.,0.,1.)); #364929=DIRECTION('ref_axis',(1.,0.,0.)); #364930=DIRECTION('center_axis',(0.,0.,1.)); #364931=DIRECTION('ref_axis',(1.,0.,0.)); #364932=DIRECTION('center_axis',(0.,0.,1.)); #364933=DIRECTION('ref_axis',(1.,0.,0.)); #364934=DIRECTION('',(0.,0.,-1.)); #364935=DIRECTION('center_axis',(0.,0.,1.)); #364936=DIRECTION('ref_axis',(1.,0.,0.)); #364937=DIRECTION('center_axis',(0.,0.,1.)); #364938=DIRECTION('ref_axis',(1.,0.,0.)); #364939=DIRECTION('center_axis',(0.,0.,1.)); #364940=DIRECTION('ref_axis',(1.,0.,0.)); #364941=DIRECTION('center_axis',(0.,0.,1.)); #364942=DIRECTION('ref_axis',(1.,0.,0.)); #364943=DIRECTION('center_axis',(0.,0.,-1.)); #364944=DIRECTION('ref_axis',(1.,0.,0.)); #364945=DIRECTION('',(0.,0.,-1.)); #364946=DIRECTION('center_axis',(0.,0.,1.)); #364947=DIRECTION('ref_axis',(1.,0.,0.)); #364948=DIRECTION('center_axis',(0.,0.,1.)); #364949=DIRECTION('ref_axis',(1.,0.,0.)); #364950=DIRECTION('center_axis',(0.,0.,1.)); #364951=DIRECTION('ref_axis',(1.,0.,0.)); #364952=DIRECTION('',(0.,0.,-1.)); #364953=DIRECTION('center_axis',(0.,0.,1.)); #364954=DIRECTION('ref_axis',(1.,0.,0.)); #364955=DIRECTION('center_axis',(0.,0.,1.)); #364956=DIRECTION('ref_axis',(1.,0.,0.)); #364957=DIRECTION('center_axis',(0.,0.,1.)); #364958=DIRECTION('ref_axis',(1.,0.,0.)); #364959=DIRECTION('center_axis',(0.,0.,1.)); #364960=DIRECTION('ref_axis',(1.,0.,0.)); #364961=DIRECTION('center_axis',(0.,0.,-1.)); #364962=DIRECTION('ref_axis',(1.,0.,0.)); #364963=DIRECTION('',(0.,0.,-1.)); #364964=DIRECTION('center_axis',(0.,0.,1.)); #364965=DIRECTION('ref_axis',(1.,0.,0.)); #364966=DIRECTION('center_axis',(0.,0.,1.)); #364967=DIRECTION('ref_axis',(1.,0.,0.)); #364968=DIRECTION('center_axis',(0.,0.,1.)); #364969=DIRECTION('ref_axis',(1.,0.,0.)); #364970=DIRECTION('',(0.,0.,-1.)); #364971=DIRECTION('center_axis',(0.,0.,1.)); #364972=DIRECTION('ref_axis',(1.,0.,0.)); #364973=DIRECTION('center_axis',(0.,0.,1.)); #364974=DIRECTION('ref_axis',(1.,0.,0.)); #364975=DIRECTION('center_axis',(0.,0.,1.)); #364976=DIRECTION('ref_axis',(1.,0.,0.)); #364977=DIRECTION('center_axis',(0.,0.,1.)); #364978=DIRECTION('ref_axis',(1.,0.,0.)); #364979=DIRECTION('center_axis',(0.,0.,-1.)); #364980=DIRECTION('ref_axis',(1.,0.,0.)); #364981=DIRECTION('',(0.,0.,-1.)); #364982=DIRECTION('center_axis',(0.,0.,1.)); #364983=DIRECTION('ref_axis',(1.,0.,0.)); #364984=DIRECTION('center_axis',(0.,0.,1.)); #364985=DIRECTION('ref_axis',(1.,0.,0.)); #364986=DIRECTION('center_axis',(0.,0.,1.)); #364987=DIRECTION('ref_axis',(1.,0.,0.)); #364988=DIRECTION('',(0.,0.,-1.)); #364989=DIRECTION('center_axis',(0.,0.,1.)); #364990=DIRECTION('ref_axis',(1.,0.,0.)); #364991=DIRECTION('center_axis',(0.,0.,1.)); #364992=DIRECTION('ref_axis',(1.,0.,0.)); #364993=DIRECTION('center_axis',(0.,0.,1.)); #364994=DIRECTION('ref_axis',(1.,0.,0.)); #364995=DIRECTION('center_axis',(0.,0.,1.)); #364996=DIRECTION('ref_axis',(1.,0.,0.)); #364997=DIRECTION('center_axis',(0.,0.,-1.)); #364998=DIRECTION('ref_axis',(1.,0.,0.)); #364999=DIRECTION('',(0.,0.,-1.)); #365000=DIRECTION('center_axis',(0.,0.,1.)); #365001=DIRECTION('ref_axis',(1.,0.,0.)); #365002=DIRECTION('center_axis',(0.,0.,1.)); #365003=DIRECTION('ref_axis',(1.,0.,0.)); #365004=DIRECTION('center_axis',(0.,0.,1.)); #365005=DIRECTION('ref_axis',(1.,0.,0.)); #365006=DIRECTION('',(0.,0.,-1.)); #365007=DIRECTION('center_axis',(0.,0.,1.)); #365008=DIRECTION('ref_axis',(1.,0.,0.)); #365009=DIRECTION('center_axis',(0.,0.,1.)); #365010=DIRECTION('ref_axis',(1.,0.,0.)); #365011=DIRECTION('center_axis',(0.,0.,1.)); #365012=DIRECTION('ref_axis',(1.,0.,0.)); #365013=DIRECTION('center_axis',(0.,0.,1.)); #365014=DIRECTION('ref_axis',(1.,0.,0.)); #365015=DIRECTION('center_axis',(0.,0.,-1.)); #365016=DIRECTION('ref_axis',(1.,0.,0.)); #365017=DIRECTION('',(0.,0.,-1.)); #365018=DIRECTION('center_axis',(0.,0.,1.)); #365019=DIRECTION('ref_axis',(1.,0.,0.)); #365020=DIRECTION('center_axis',(0.,0.,1.)); #365021=DIRECTION('ref_axis',(1.,0.,0.)); #365022=DIRECTION('center_axis',(0.,0.,1.)); #365023=DIRECTION('ref_axis',(1.,0.,0.)); #365024=DIRECTION('',(0.,0.,-1.)); #365025=DIRECTION('center_axis',(0.,0.,1.)); #365026=DIRECTION('ref_axis',(1.,0.,0.)); #365027=DIRECTION('center_axis',(0.,0.,1.)); #365028=DIRECTION('ref_axis',(1.,0.,0.)); #365029=DIRECTION('center_axis',(0.,0.,1.)); #365030=DIRECTION('ref_axis',(1.,0.,0.)); #365031=DIRECTION('center_axis',(0.,0.,1.)); #365032=DIRECTION('ref_axis',(1.,0.,0.)); #365033=DIRECTION('center_axis',(0.,0.,-1.)); #365034=DIRECTION('ref_axis',(1.,0.,0.)); #365035=DIRECTION('',(0.,0.,-1.)); #365036=DIRECTION('center_axis',(0.,0.,1.)); #365037=DIRECTION('ref_axis',(1.,0.,0.)); #365038=DIRECTION('center_axis',(0.,0.,1.)); #365039=DIRECTION('ref_axis',(1.,0.,0.)); #365040=DIRECTION('center_axis',(0.,0.,1.)); #365041=DIRECTION('ref_axis',(1.,0.,0.)); #365042=DIRECTION('',(0.,0.,-1.)); #365043=DIRECTION('center_axis',(0.,0.,1.)); #365044=DIRECTION('ref_axis',(1.,0.,0.)); #365045=DIRECTION('center_axis',(0.,0.,1.)); #365046=DIRECTION('ref_axis',(1.,0.,0.)); #365047=DIRECTION('center_axis',(0.,0.,1.)); #365048=DIRECTION('ref_axis',(1.,0.,0.)); #365049=DIRECTION('center_axis',(0.,0.,1.)); #365050=DIRECTION('ref_axis',(1.,0.,0.)); #365051=DIRECTION('center_axis',(0.,0.,-1.)); #365052=DIRECTION('ref_axis',(1.,0.,0.)); #365053=DIRECTION('',(0.,0.,-1.)); #365054=DIRECTION('center_axis',(0.,0.,1.)); #365055=DIRECTION('ref_axis',(1.,0.,0.)); #365056=DIRECTION('center_axis',(0.,0.,1.)); #365057=DIRECTION('ref_axis',(1.,0.,0.)); #365058=DIRECTION('center_axis',(0.,0.,1.)); #365059=DIRECTION('ref_axis',(1.,0.,0.)); #365060=DIRECTION('',(0.,0.,-1.)); #365061=DIRECTION('center_axis',(0.,0.,1.)); #365062=DIRECTION('ref_axis',(1.,0.,0.)); #365063=DIRECTION('center_axis',(0.,0.,1.)); #365064=DIRECTION('ref_axis',(1.,0.,0.)); #365065=DIRECTION('center_axis',(0.,0.,1.)); #365066=DIRECTION('ref_axis',(1.,0.,0.)); #365067=DIRECTION('center_axis',(0.,0.,1.)); #365068=DIRECTION('ref_axis',(1.,0.,0.)); #365069=DIRECTION('center_axis',(0.,0.,-1.)); #365070=DIRECTION('ref_axis',(1.,0.,0.)); #365071=DIRECTION('',(0.,0.,-1.)); #365072=DIRECTION('center_axis',(0.,0.,1.)); #365073=DIRECTION('ref_axis',(1.,0.,0.)); #365074=DIRECTION('center_axis',(0.,0.,1.)); #365075=DIRECTION('ref_axis',(1.,0.,0.)); #365076=DIRECTION('center_axis',(0.,0.,1.)); #365077=DIRECTION('ref_axis',(1.,0.,0.)); #365078=DIRECTION('',(0.,0.,-1.)); #365079=DIRECTION('center_axis',(0.,0.,1.)); #365080=DIRECTION('ref_axis',(1.,0.,0.)); #365081=DIRECTION('center_axis',(0.,0.,1.)); #365082=DIRECTION('ref_axis',(1.,0.,0.)); #365083=DIRECTION('center_axis',(0.,0.,1.)); #365084=DIRECTION('ref_axis',(1.,0.,0.)); #365085=DIRECTION('center_axis',(0.,0.,1.)); #365086=DIRECTION('ref_axis',(1.,0.,0.)); #365087=DIRECTION('center_axis',(0.,0.,-1.)); #365088=DIRECTION('ref_axis',(1.,0.,0.)); #365089=DIRECTION('',(0.,0.,-1.)); #365090=DIRECTION('center_axis',(0.,0.,1.)); #365091=DIRECTION('ref_axis',(1.,0.,0.)); #365092=DIRECTION('center_axis',(0.,0.,1.)); #365093=DIRECTION('ref_axis',(1.,0.,0.)); #365094=DIRECTION('center_axis',(0.,0.,1.)); #365095=DIRECTION('ref_axis',(1.,0.,0.)); #365096=DIRECTION('',(0.,0.,-1.)); #365097=DIRECTION('center_axis',(0.,0.,1.)); #365098=DIRECTION('ref_axis',(1.,0.,0.)); #365099=DIRECTION('center_axis',(0.,0.,1.)); #365100=DIRECTION('ref_axis',(1.,0.,0.)); #365101=DIRECTION('center_axis',(0.,0.,1.)); #365102=DIRECTION('ref_axis',(1.,0.,0.)); #365103=DIRECTION('center_axis',(0.,0.,1.)); #365104=DIRECTION('ref_axis',(1.,0.,0.)); #365105=DIRECTION('center_axis',(0.,0.,-1.)); #365106=DIRECTION('ref_axis',(1.,0.,0.)); #365107=DIRECTION('',(0.,0.,-1.)); #365108=DIRECTION('center_axis',(0.,0.,1.)); #365109=DIRECTION('ref_axis',(1.,0.,0.)); #365110=DIRECTION('center_axis',(0.,0.,1.)); #365111=DIRECTION('ref_axis',(1.,0.,0.)); #365112=DIRECTION('center_axis',(0.,0.,1.)); #365113=DIRECTION('ref_axis',(1.,0.,0.)); #365114=DIRECTION('',(0.,0.,-1.)); #365115=DIRECTION('center_axis',(0.,0.,1.)); #365116=DIRECTION('ref_axis',(1.,0.,0.)); #365117=DIRECTION('center_axis',(0.,0.,1.)); #365118=DIRECTION('ref_axis',(1.,0.,0.)); #365119=DIRECTION('center_axis',(0.,0.,1.)); #365120=DIRECTION('ref_axis',(1.,0.,0.)); #365121=DIRECTION('center_axis',(0.,0.,1.)); #365122=DIRECTION('ref_axis',(1.,0.,0.)); #365123=DIRECTION('center_axis',(0.,0.,-1.)); #365124=DIRECTION('ref_axis',(1.,0.,0.)); #365125=DIRECTION('',(0.,0.,-1.)); #365126=DIRECTION('center_axis',(0.,0.,1.)); #365127=DIRECTION('ref_axis',(1.,0.,0.)); #365128=DIRECTION('center_axis',(0.,0.,1.)); #365129=DIRECTION('ref_axis',(1.,0.,0.)); #365130=DIRECTION('center_axis',(0.,0.,1.)); #365131=DIRECTION('ref_axis',(1.,0.,0.)); #365132=DIRECTION('',(0.,0.,-1.)); #365133=DIRECTION('center_axis',(0.,0.,1.)); #365134=DIRECTION('ref_axis',(1.,0.,0.)); #365135=DIRECTION('center_axis',(0.,0.,1.)); #365136=DIRECTION('ref_axis',(1.,0.,0.)); #365137=DIRECTION('center_axis',(0.,0.,1.)); #365138=DIRECTION('ref_axis',(1.,0.,0.)); #365139=DIRECTION('center_axis',(0.,0.,1.)); #365140=DIRECTION('ref_axis',(1.,0.,0.)); #365141=DIRECTION('center_axis',(0.,0.,-1.)); #365142=DIRECTION('ref_axis',(1.,0.,0.)); #365143=DIRECTION('',(0.,0.,-1.)); #365144=DIRECTION('center_axis',(0.,0.,1.)); #365145=DIRECTION('ref_axis',(1.,0.,0.)); #365146=DIRECTION('center_axis',(0.,0.,1.)); #365147=DIRECTION('ref_axis',(1.,0.,0.)); #365148=DIRECTION('center_axis',(0.,0.,1.)); #365149=DIRECTION('ref_axis',(1.,0.,0.)); #365150=DIRECTION('',(0.,0.,-1.)); #365151=DIRECTION('center_axis',(0.,0.,1.)); #365152=DIRECTION('ref_axis',(1.,0.,0.)); #365153=DIRECTION('center_axis',(0.,0.,1.)); #365154=DIRECTION('ref_axis',(1.,0.,0.)); #365155=DIRECTION('center_axis',(0.,0.,1.)); #365156=DIRECTION('ref_axis',(1.,0.,0.)); #365157=DIRECTION('center_axis',(0.,0.,1.)); #365158=DIRECTION('ref_axis',(1.,0.,0.)); #365159=DIRECTION('center_axis',(0.,0.,-1.)); #365160=DIRECTION('ref_axis',(1.,0.,0.)); #365161=DIRECTION('',(0.,0.,-1.)); #365162=DIRECTION('center_axis',(0.,0.,1.)); #365163=DIRECTION('ref_axis',(1.,0.,0.)); #365164=DIRECTION('center_axis',(0.,0.,1.)); #365165=DIRECTION('ref_axis',(1.,0.,0.)); #365166=DIRECTION('center_axis',(0.,0.,1.)); #365167=DIRECTION('ref_axis',(1.,0.,0.)); #365168=DIRECTION('',(0.,0.,-1.)); #365169=DIRECTION('center_axis',(0.,0.,1.)); #365170=DIRECTION('ref_axis',(1.,0.,0.)); #365171=DIRECTION('center_axis',(0.,0.,1.)); #365172=DIRECTION('ref_axis',(1.,0.,0.)); #365173=DIRECTION('center_axis',(0.,0.,1.)); #365174=DIRECTION('ref_axis',(1.,0.,0.)); #365175=DIRECTION('center_axis',(0.,0.,1.)); #365176=DIRECTION('ref_axis',(1.,0.,0.)); #365177=DIRECTION('center_axis',(0.,0.,-1.)); #365178=DIRECTION('ref_axis',(1.,0.,0.)); #365179=DIRECTION('',(0.,0.,-1.)); #365180=DIRECTION('center_axis',(0.,0.,1.)); #365181=DIRECTION('ref_axis',(1.,0.,0.)); #365182=DIRECTION('center_axis',(0.,0.,1.)); #365183=DIRECTION('ref_axis',(1.,0.,0.)); #365184=DIRECTION('center_axis',(0.,0.,1.)); #365185=DIRECTION('ref_axis',(1.,0.,0.)); #365186=DIRECTION('',(0.,0.,-1.)); #365187=DIRECTION('center_axis',(0.,0.,1.)); #365188=DIRECTION('ref_axis',(1.,0.,0.)); #365189=DIRECTION('center_axis',(0.,0.,1.)); #365190=DIRECTION('ref_axis',(1.,0.,0.)); #365191=DIRECTION('center_axis',(0.,0.,1.)); #365192=DIRECTION('ref_axis',(1.,0.,0.)); #365193=DIRECTION('center_axis',(0.,0.,1.)); #365194=DIRECTION('ref_axis',(1.,0.,0.)); #365195=DIRECTION('center_axis',(0.,0.,-1.)); #365196=DIRECTION('ref_axis',(1.,0.,0.)); #365197=DIRECTION('',(0.,0.,-1.)); #365198=DIRECTION('center_axis',(0.,0.,1.)); #365199=DIRECTION('ref_axis',(1.,0.,0.)); #365200=DIRECTION('center_axis',(0.,0.,1.)); #365201=DIRECTION('ref_axis',(1.,0.,0.)); #365202=DIRECTION('center_axis',(0.,0.,1.)); #365203=DIRECTION('ref_axis',(1.,0.,0.)); #365204=DIRECTION('',(0.,0.,-1.)); #365205=DIRECTION('center_axis',(0.,0.,1.)); #365206=DIRECTION('ref_axis',(1.,0.,0.)); #365207=DIRECTION('center_axis',(0.,0.,1.)); #365208=DIRECTION('ref_axis',(1.,0.,0.)); #365209=DIRECTION('center_axis',(0.,0.,1.)); #365210=DIRECTION('ref_axis',(1.,0.,0.)); #365211=DIRECTION('center_axis',(0.,0.,1.)); #365212=DIRECTION('ref_axis',(1.,0.,0.)); #365213=DIRECTION('center_axis',(0.,0.,-1.)); #365214=DIRECTION('ref_axis',(1.,0.,0.)); #365215=DIRECTION('',(0.,0.,-1.)); #365216=DIRECTION('center_axis',(0.,0.,1.)); #365217=DIRECTION('ref_axis',(1.,0.,0.)); #365218=DIRECTION('center_axis',(0.,0.,1.)); #365219=DIRECTION('ref_axis',(1.,0.,0.)); #365220=DIRECTION('center_axis',(0.,0.,1.)); #365221=DIRECTION('ref_axis',(1.,0.,0.)); #365222=DIRECTION('',(0.,0.,-1.)); #365223=DIRECTION('center_axis',(0.,0.,1.)); #365224=DIRECTION('ref_axis',(1.,0.,0.)); #365225=DIRECTION('center_axis',(0.,0.,1.)); #365226=DIRECTION('ref_axis',(1.,0.,0.)); #365227=DIRECTION('center_axis',(0.,0.,1.)); #365228=DIRECTION('ref_axis',(1.,0.,0.)); #365229=DIRECTION('center_axis',(0.,0.,1.)); #365230=DIRECTION('ref_axis',(1.,0.,0.)); #365231=DIRECTION('center_axis',(0.,0.,-1.)); #365232=DIRECTION('ref_axis',(1.,0.,0.)); #365233=DIRECTION('',(0.,0.,-1.)); #365234=DIRECTION('center_axis',(0.,0.,1.)); #365235=DIRECTION('ref_axis',(1.,0.,0.)); #365236=DIRECTION('center_axis',(0.,0.,1.)); #365237=DIRECTION('ref_axis',(1.,0.,0.)); #365238=DIRECTION('center_axis',(0.,0.,1.)); #365239=DIRECTION('ref_axis',(1.,0.,0.)); #365240=DIRECTION('',(0.,0.,-1.)); #365241=DIRECTION('center_axis',(0.,0.,1.)); #365242=DIRECTION('ref_axis',(1.,0.,0.)); #365243=DIRECTION('center_axis',(0.,0.,1.)); #365244=DIRECTION('ref_axis',(1.,0.,0.)); #365245=DIRECTION('center_axis',(0.,0.,1.)); #365246=DIRECTION('ref_axis',(1.,0.,0.)); #365247=DIRECTION('center_axis',(0.,0.,1.)); #365248=DIRECTION('ref_axis',(1.,0.,0.)); #365249=DIRECTION('center_axis',(0.,0.,-1.)); #365250=DIRECTION('ref_axis',(1.,0.,0.)); #365251=DIRECTION('',(0.,0.,-1.)); #365252=DIRECTION('center_axis',(0.,0.,1.)); #365253=DIRECTION('ref_axis',(1.,0.,0.)); #365254=DIRECTION('center_axis',(0.,0.,1.)); #365255=DIRECTION('ref_axis',(1.,0.,0.)); #365256=DIRECTION('center_axis',(0.,0.,1.)); #365257=DIRECTION('ref_axis',(1.,0.,0.)); #365258=DIRECTION('',(0.,0.,-1.)); #365259=DIRECTION('center_axis',(0.,0.,1.)); #365260=DIRECTION('ref_axis',(1.,0.,0.)); #365261=DIRECTION('center_axis',(0.,0.,1.)); #365262=DIRECTION('ref_axis',(1.,0.,0.)); #365263=DIRECTION('center_axis',(0.,0.,1.)); #365264=DIRECTION('ref_axis',(1.,0.,0.)); #365265=DIRECTION('center_axis',(0.,0.,1.)); #365266=DIRECTION('ref_axis',(1.,0.,0.)); #365267=DIRECTION('center_axis',(0.,0.,-1.)); #365268=DIRECTION('ref_axis',(1.,0.,0.)); #365269=DIRECTION('',(0.,0.,-1.)); #365270=DIRECTION('center_axis',(0.,0.,1.)); #365271=DIRECTION('ref_axis',(1.,0.,0.)); #365272=DIRECTION('center_axis',(0.,0.,1.)); #365273=DIRECTION('ref_axis',(1.,0.,0.)); #365274=DIRECTION('center_axis',(0.,0.,1.)); #365275=DIRECTION('ref_axis',(1.,0.,0.)); #365276=DIRECTION('',(0.,0.,-1.)); #365277=DIRECTION('center_axis',(0.,0.,1.)); #365278=DIRECTION('ref_axis',(1.,0.,0.)); #365279=DIRECTION('center_axis',(0.,0.,1.)); #365280=DIRECTION('ref_axis',(1.,0.,0.)); #365281=DIRECTION('center_axis',(0.,0.,1.)); #365282=DIRECTION('ref_axis',(1.,0.,0.)); #365283=DIRECTION('center_axis',(0.,0.,1.)); #365284=DIRECTION('ref_axis',(1.,0.,0.)); #365285=DIRECTION('center_axis',(0.,0.,-1.)); #365286=DIRECTION('ref_axis',(1.,0.,0.)); #365287=DIRECTION('',(0.,0.,-1.)); #365288=DIRECTION('center_axis',(0.,0.,1.)); #365289=DIRECTION('ref_axis',(1.,0.,0.)); #365290=DIRECTION('center_axis',(0.,0.,1.)); #365291=DIRECTION('ref_axis',(1.,0.,0.)); #365292=DIRECTION('center_axis',(0.,0.,1.)); #365293=DIRECTION('ref_axis',(1.,0.,0.)); #365294=DIRECTION('',(0.,0.,-1.)); #365295=DIRECTION('center_axis',(0.,0.,1.)); #365296=DIRECTION('ref_axis',(1.,0.,0.)); #365297=DIRECTION('center_axis',(0.,0.,1.)); #365298=DIRECTION('ref_axis',(1.,0.,0.)); #365299=DIRECTION('center_axis',(0.,0.,1.)); #365300=DIRECTION('ref_axis',(1.,0.,0.)); #365301=DIRECTION('center_axis',(0.,0.,1.)); #365302=DIRECTION('ref_axis',(1.,0.,0.)); #365303=DIRECTION('center_axis',(0.,0.,-1.)); #365304=DIRECTION('ref_axis',(1.,0.,0.)); #365305=DIRECTION('',(0.,0.,-1.)); #365306=DIRECTION('center_axis',(0.,0.,1.)); #365307=DIRECTION('ref_axis',(1.,0.,0.)); #365308=DIRECTION('center_axis',(0.,0.,1.)); #365309=DIRECTION('ref_axis',(1.,0.,0.)); #365310=DIRECTION('center_axis',(0.,0.,1.)); #365311=DIRECTION('ref_axis',(1.,0.,0.)); #365312=DIRECTION('',(0.,0.,-1.)); #365313=DIRECTION('center_axis',(0.,0.,1.)); #365314=DIRECTION('ref_axis',(1.,0.,0.)); #365315=DIRECTION('center_axis',(0.,0.,1.)); #365316=DIRECTION('ref_axis',(1.,0.,0.)); #365317=DIRECTION('center_axis',(0.,0.,1.)); #365318=DIRECTION('ref_axis',(1.,0.,0.)); #365319=DIRECTION('center_axis',(0.,0.,1.)); #365320=DIRECTION('ref_axis',(1.,0.,0.)); #365321=DIRECTION('center_axis',(0.,0.,-1.)); #365322=DIRECTION('ref_axis',(1.,0.,0.)); #365323=DIRECTION('',(0.,0.,-1.)); #365324=DIRECTION('center_axis',(0.,0.,1.)); #365325=DIRECTION('ref_axis',(1.,0.,0.)); #365326=DIRECTION('center_axis',(0.,0.,1.)); #365327=DIRECTION('ref_axis',(1.,0.,0.)); #365328=DIRECTION('center_axis',(0.,0.,1.)); #365329=DIRECTION('ref_axis',(1.,0.,0.)); #365330=DIRECTION('',(0.,0.,-1.)); #365331=DIRECTION('center_axis',(0.,0.,1.)); #365332=DIRECTION('ref_axis',(1.,0.,0.)); #365333=DIRECTION('center_axis',(0.,0.,1.)); #365334=DIRECTION('ref_axis',(1.,0.,0.)); #365335=DIRECTION('center_axis',(0.,0.,1.)); #365336=DIRECTION('ref_axis',(1.,0.,0.)); #365337=DIRECTION('center_axis',(0.,0.,1.)); #365338=DIRECTION('ref_axis',(1.,0.,0.)); #365339=DIRECTION('center_axis',(0.,0.,-1.)); #365340=DIRECTION('ref_axis',(1.,0.,0.)); #365341=DIRECTION('',(0.,0.,-1.)); #365342=DIRECTION('center_axis',(0.,0.,1.)); #365343=DIRECTION('ref_axis',(1.,0.,0.)); #365344=DIRECTION('center_axis',(0.,0.,1.)); #365345=DIRECTION('ref_axis',(1.,0.,0.)); #365346=DIRECTION('center_axis',(0.,0.,1.)); #365347=DIRECTION('ref_axis',(1.,0.,0.)); #365348=DIRECTION('',(0.,0.,-1.)); #365349=DIRECTION('center_axis',(0.,0.,1.)); #365350=DIRECTION('ref_axis',(1.,0.,0.)); #365351=DIRECTION('center_axis',(0.,0.,1.)); #365352=DIRECTION('ref_axis',(1.,0.,0.)); #365353=DIRECTION('center_axis',(0.,0.,1.)); #365354=DIRECTION('ref_axis',(1.,0.,0.)); #365355=DIRECTION('center_axis',(0.,0.,1.)); #365356=DIRECTION('ref_axis',(1.,0.,0.)); #365357=DIRECTION('center_axis',(0.,0.,-1.)); #365358=DIRECTION('ref_axis',(1.,0.,0.)); #365359=DIRECTION('',(0.,0.,-1.)); #365360=DIRECTION('center_axis',(0.,0.,1.)); #365361=DIRECTION('ref_axis',(1.,0.,0.)); #365362=DIRECTION('center_axis',(0.,0.,1.)); #365363=DIRECTION('ref_axis',(1.,0.,0.)); #365364=DIRECTION('center_axis',(0.,0.,1.)); #365365=DIRECTION('ref_axis',(1.,0.,0.)); #365366=DIRECTION('',(0.,0.,-1.)); #365367=DIRECTION('center_axis',(0.,0.,1.)); #365368=DIRECTION('ref_axis',(1.,0.,0.)); #365369=DIRECTION('center_axis',(0.,0.,1.)); #365370=DIRECTION('ref_axis',(1.,0.,0.)); #365371=DIRECTION('center_axis',(0.,0.,1.)); #365372=DIRECTION('ref_axis',(1.,0.,0.)); #365373=DIRECTION('center_axis',(0.,0.,1.)); #365374=DIRECTION('ref_axis',(1.,0.,0.)); #365375=DIRECTION('center_axis',(0.,0.,-1.)); #365376=DIRECTION('ref_axis',(1.,0.,0.)); #365377=DIRECTION('',(0.,0.,-1.)); #365378=DIRECTION('center_axis',(0.,0.,1.)); #365379=DIRECTION('ref_axis',(1.,0.,0.)); #365380=DIRECTION('center_axis',(0.,0.,1.)); #365381=DIRECTION('ref_axis',(1.,0.,0.)); #365382=DIRECTION('center_axis',(0.,0.,1.)); #365383=DIRECTION('ref_axis',(1.,0.,0.)); #365384=DIRECTION('',(0.,0.,-1.)); #365385=DIRECTION('center_axis',(0.,0.,1.)); #365386=DIRECTION('ref_axis',(1.,0.,0.)); #365387=DIRECTION('center_axis',(0.,0.,1.)); #365388=DIRECTION('ref_axis',(1.,0.,0.)); #365389=DIRECTION('center_axis',(0.,0.,1.)); #365390=DIRECTION('ref_axis',(1.,0.,0.)); #365391=DIRECTION('center_axis',(0.,0.,1.)); #365392=DIRECTION('ref_axis',(1.,0.,0.)); #365393=DIRECTION('center_axis',(0.,0.,-1.)); #365394=DIRECTION('ref_axis',(1.,0.,0.)); #365395=DIRECTION('',(0.,0.,-1.)); #365396=DIRECTION('center_axis',(0.,0.,1.)); #365397=DIRECTION('ref_axis',(1.,0.,0.)); #365398=DIRECTION('center_axis',(0.,0.,1.)); #365399=DIRECTION('ref_axis',(1.,0.,0.)); #365400=DIRECTION('center_axis',(0.,0.,1.)); #365401=DIRECTION('ref_axis',(1.,0.,0.)); #365402=DIRECTION('',(0.,0.,-1.)); #365403=DIRECTION('center_axis',(0.,0.,1.)); #365404=DIRECTION('ref_axis',(1.,0.,0.)); #365405=DIRECTION('center_axis',(0.,0.,1.)); #365406=DIRECTION('ref_axis',(1.,0.,0.)); #365407=DIRECTION('center_axis',(0.,0.,1.)); #365408=DIRECTION('ref_axis',(1.,0.,0.)); #365409=DIRECTION('center_axis',(0.,0.,1.)); #365410=DIRECTION('ref_axis',(1.,0.,0.)); #365411=DIRECTION('center_axis',(0.,0.,-1.)); #365412=DIRECTION('ref_axis',(1.,0.,0.)); #365413=DIRECTION('',(0.,0.,-1.)); #365414=DIRECTION('center_axis',(0.,0.,1.)); #365415=DIRECTION('ref_axis',(1.,0.,0.)); #365416=DIRECTION('center_axis',(0.,0.,1.)); #365417=DIRECTION('ref_axis',(1.,0.,0.)); #365418=DIRECTION('center_axis',(0.,0.,1.)); #365419=DIRECTION('ref_axis',(1.,0.,0.)); #365420=DIRECTION('',(0.,0.,-1.)); #365421=DIRECTION('center_axis',(0.,0.,1.)); #365422=DIRECTION('ref_axis',(1.,0.,0.)); #365423=DIRECTION('center_axis',(0.,0.,1.)); #365424=DIRECTION('ref_axis',(1.,0.,0.)); #365425=DIRECTION('center_axis',(0.,0.,1.)); #365426=DIRECTION('ref_axis',(1.,0.,0.)); #365427=DIRECTION('center_axis',(0.,0.,1.)); #365428=DIRECTION('ref_axis',(1.,0.,0.)); #365429=DIRECTION('center_axis',(0.,0.,-1.)); #365430=DIRECTION('ref_axis',(1.,0.,0.)); #365431=DIRECTION('',(0.,0.,-1.)); #365432=DIRECTION('center_axis',(0.,0.,1.)); #365433=DIRECTION('ref_axis',(1.,0.,0.)); #365434=DIRECTION('center_axis',(0.,0.,1.)); #365435=DIRECTION('ref_axis',(1.,0.,0.)); #365436=DIRECTION('center_axis',(0.,0.,1.)); #365437=DIRECTION('ref_axis',(1.,0.,0.)); #365438=DIRECTION('',(0.,0.,-1.)); #365439=DIRECTION('center_axis',(0.,0.,1.)); #365440=DIRECTION('ref_axis',(1.,0.,0.)); #365441=DIRECTION('center_axis',(0.,0.,1.)); #365442=DIRECTION('ref_axis',(1.,0.,0.)); #365443=DIRECTION('center_axis',(0.,0.,1.)); #365444=DIRECTION('ref_axis',(1.,0.,0.)); #365445=DIRECTION('center_axis',(0.,0.,1.)); #365446=DIRECTION('ref_axis',(1.,0.,0.)); #365447=DIRECTION('center_axis',(0.,0.,-1.)); #365448=DIRECTION('ref_axis',(1.,0.,0.)); #365449=DIRECTION('',(0.,0.,-1.)); #365450=DIRECTION('center_axis',(0.,0.,1.)); #365451=DIRECTION('ref_axis',(1.,0.,0.)); #365452=DIRECTION('center_axis',(0.,0.,1.)); #365453=DIRECTION('ref_axis',(1.,0.,0.)); #365454=DIRECTION('center_axis',(0.,0.,1.)); #365455=DIRECTION('ref_axis',(1.,0.,0.)); #365456=DIRECTION('',(0.,0.,-1.)); #365457=DIRECTION('center_axis',(0.,0.,1.)); #365458=DIRECTION('ref_axis',(1.,0.,0.)); #365459=DIRECTION('center_axis',(0.,0.,1.)); #365460=DIRECTION('ref_axis',(1.,0.,0.)); #365461=DIRECTION('center_axis',(0.,0.,1.)); #365462=DIRECTION('ref_axis',(1.,0.,0.)); #365463=DIRECTION('center_axis',(0.,0.,1.)); #365464=DIRECTION('ref_axis',(1.,0.,0.)); #365465=DIRECTION('center_axis',(0.,0.,-1.)); #365466=DIRECTION('ref_axis',(1.,0.,0.)); #365467=DIRECTION('',(0.,0.,-1.)); #365468=DIRECTION('center_axis',(0.,0.,1.)); #365469=DIRECTION('ref_axis',(1.,0.,0.)); #365470=DIRECTION('center_axis',(0.,0.,1.)); #365471=DIRECTION('ref_axis',(1.,0.,0.)); #365472=DIRECTION('center_axis',(0.,0.,1.)); #365473=DIRECTION('ref_axis',(1.,0.,0.)); #365474=DIRECTION('',(0.,0.,-1.)); #365475=DIRECTION('center_axis',(0.,0.,1.)); #365476=DIRECTION('ref_axis',(1.,0.,0.)); #365477=DIRECTION('center_axis',(0.,0.,1.)); #365478=DIRECTION('ref_axis',(1.,0.,0.)); #365479=DIRECTION('center_axis',(0.,0.,1.)); #365480=DIRECTION('ref_axis',(1.,0.,0.)); #365481=DIRECTION('center_axis',(0.,0.,1.)); #365482=DIRECTION('ref_axis',(1.,0.,0.)); #365483=DIRECTION('center_axis',(0.,0.,-1.)); #365484=DIRECTION('ref_axis',(1.,0.,0.)); #365485=DIRECTION('',(0.,0.,-1.)); #365486=DIRECTION('center_axis',(0.,0.,1.)); #365487=DIRECTION('ref_axis',(1.,0.,0.)); #365488=DIRECTION('center_axis',(0.,0.,1.)); #365489=DIRECTION('ref_axis',(1.,0.,0.)); #365490=DIRECTION('center_axis',(0.,0.,1.)); #365491=DIRECTION('ref_axis',(1.,0.,0.)); #365492=DIRECTION('',(0.,0.,-1.)); #365493=DIRECTION('center_axis',(0.,0.,1.)); #365494=DIRECTION('ref_axis',(1.,0.,0.)); #365495=DIRECTION('center_axis',(0.,0.,1.)); #365496=DIRECTION('ref_axis',(1.,0.,0.)); #365497=DIRECTION('center_axis',(0.,0.,1.)); #365498=DIRECTION('ref_axis',(1.,0.,0.)); #365499=DIRECTION('center_axis',(0.,0.,1.)); #365500=DIRECTION('ref_axis',(1.,0.,0.)); #365501=DIRECTION('center_axis',(0.,0.,-1.)); #365502=DIRECTION('ref_axis',(1.,0.,0.)); #365503=DIRECTION('',(0.,0.,-1.)); #365504=DIRECTION('center_axis',(0.,0.,1.)); #365505=DIRECTION('ref_axis',(1.,0.,0.)); #365506=DIRECTION('center_axis',(0.,0.,1.)); #365507=DIRECTION('ref_axis',(1.,0.,0.)); #365508=DIRECTION('center_axis',(0.,0.,1.)); #365509=DIRECTION('ref_axis',(1.,0.,0.)); #365510=DIRECTION('',(0.,0.,-1.)); #365511=DIRECTION('center_axis',(0.,0.,1.)); #365512=DIRECTION('ref_axis',(1.,0.,0.)); #365513=DIRECTION('center_axis',(0.,0.,1.)); #365514=DIRECTION('ref_axis',(1.,0.,0.)); #365515=DIRECTION('center_axis',(0.,0.,1.)); #365516=DIRECTION('ref_axis',(1.,0.,0.)); #365517=DIRECTION('center_axis',(0.,0.,1.)); #365518=DIRECTION('ref_axis',(1.,0.,0.)); #365519=DIRECTION('center_axis',(0.,0.,-1.)); #365520=DIRECTION('ref_axis',(1.,0.,0.)); #365521=DIRECTION('',(0.,0.,-1.)); #365522=DIRECTION('center_axis',(0.,0.,1.)); #365523=DIRECTION('ref_axis',(1.,0.,0.)); #365524=DIRECTION('center_axis',(0.,0.,1.)); #365525=DIRECTION('ref_axis',(1.,0.,0.)); #365526=DIRECTION('center_axis',(0.,0.,1.)); #365527=DIRECTION('ref_axis',(1.,0.,0.)); #365528=DIRECTION('',(0.,0.,-1.)); #365529=DIRECTION('center_axis',(0.,0.,1.)); #365530=DIRECTION('ref_axis',(1.,0.,0.)); #365531=DIRECTION('center_axis',(0.,0.,1.)); #365532=DIRECTION('ref_axis',(1.,0.,0.)); #365533=DIRECTION('center_axis',(0.,0.,1.)); #365534=DIRECTION('ref_axis',(1.,0.,0.)); #365535=DIRECTION('center_axis',(0.,0.,1.)); #365536=DIRECTION('ref_axis',(1.,0.,0.)); #365537=DIRECTION('center_axis',(0.,0.,-1.)); #365538=DIRECTION('ref_axis',(1.,0.,0.)); #365539=DIRECTION('',(0.,0.,-1.)); #365540=DIRECTION('center_axis',(0.,0.,1.)); #365541=DIRECTION('ref_axis',(1.,0.,0.)); #365542=DIRECTION('center_axis',(0.,0.,1.)); #365543=DIRECTION('ref_axis',(1.,0.,0.)); #365544=DIRECTION('center_axis',(0.,0.,1.)); #365545=DIRECTION('ref_axis',(1.,0.,0.)); #365546=DIRECTION('',(0.,0.,-1.)); #365547=DIRECTION('center_axis',(0.,0.,1.)); #365548=DIRECTION('ref_axis',(1.,0.,0.)); #365549=DIRECTION('center_axis',(0.,0.,1.)); #365550=DIRECTION('ref_axis',(1.,0.,0.)); #365551=DIRECTION('center_axis',(0.,0.,1.)); #365552=DIRECTION('ref_axis',(1.,0.,0.)); #365553=DIRECTION('center_axis',(0.,0.,1.)); #365554=DIRECTION('ref_axis',(1.,0.,0.)); #365555=DIRECTION('center_axis',(0.,0.,-1.)); #365556=DIRECTION('ref_axis',(1.,0.,0.)); #365557=DIRECTION('',(0.,0.,-1.)); #365558=DIRECTION('center_axis',(0.,0.,1.)); #365559=DIRECTION('ref_axis',(1.,0.,0.)); #365560=DIRECTION('center_axis',(0.,0.,1.)); #365561=DIRECTION('ref_axis',(1.,0.,0.)); #365562=DIRECTION('center_axis',(0.,0.,1.)); #365563=DIRECTION('ref_axis',(1.,0.,0.)); #365564=DIRECTION('',(0.,0.,-1.)); #365565=DIRECTION('center_axis',(0.,0.,1.)); #365566=DIRECTION('ref_axis',(1.,0.,0.)); #365567=DIRECTION('center_axis',(0.,0.,1.)); #365568=DIRECTION('ref_axis',(1.,0.,0.)); #365569=DIRECTION('center_axis',(0.,0.,1.)); #365570=DIRECTION('ref_axis',(1.,0.,0.)); #365571=DIRECTION('center_axis',(0.,0.,1.)); #365572=DIRECTION('ref_axis',(1.,0.,0.)); #365573=DIRECTION('center_axis',(0.,0.,-1.)); #365574=DIRECTION('ref_axis',(1.,0.,0.)); #365575=DIRECTION('',(0.,0.,-1.)); #365576=DIRECTION('center_axis',(0.,0.,1.)); #365577=DIRECTION('ref_axis',(1.,0.,0.)); #365578=DIRECTION('center_axis',(0.,0.,1.)); #365579=DIRECTION('ref_axis',(1.,0.,0.)); #365580=DIRECTION('center_axis',(0.,0.,1.)); #365581=DIRECTION('ref_axis',(1.,0.,0.)); #365582=DIRECTION('',(0.,0.,-1.)); #365583=DIRECTION('center_axis',(0.,0.,1.)); #365584=DIRECTION('ref_axis',(1.,0.,0.)); #365585=DIRECTION('center_axis',(0.,0.,1.)); #365586=DIRECTION('ref_axis',(1.,0.,0.)); #365587=DIRECTION('center_axis',(0.,0.,1.)); #365588=DIRECTION('ref_axis',(1.,0.,0.)); #365589=DIRECTION('center_axis',(0.,0.,1.)); #365590=DIRECTION('ref_axis',(1.,0.,0.)); #365591=DIRECTION('center_axis',(0.,0.,-1.)); #365592=DIRECTION('ref_axis',(1.,0.,0.)); #365593=DIRECTION('',(0.,0.,-1.)); #365594=DIRECTION('center_axis',(0.,0.,1.)); #365595=DIRECTION('ref_axis',(1.,0.,0.)); #365596=DIRECTION('center_axis',(0.,0.,1.)); #365597=DIRECTION('ref_axis',(1.,0.,0.)); #365598=DIRECTION('center_axis',(0.,0.,1.)); #365599=DIRECTION('ref_axis',(1.,0.,0.)); #365600=DIRECTION('',(0.,0.,-1.)); #365601=DIRECTION('center_axis',(0.,0.,1.)); #365602=DIRECTION('ref_axis',(1.,0.,0.)); #365603=DIRECTION('center_axis',(0.,0.,1.)); #365604=DIRECTION('ref_axis',(1.,0.,0.)); #365605=DIRECTION('center_axis',(0.,0.,1.)); #365606=DIRECTION('ref_axis',(1.,0.,0.)); #365607=DIRECTION('center_axis',(0.,0.,1.)); #365608=DIRECTION('ref_axis',(1.,0.,0.)); #365609=DIRECTION('center_axis',(0.,0.,-1.)); #365610=DIRECTION('ref_axis',(1.,0.,0.)); #365611=DIRECTION('',(0.,0.,-1.)); #365612=DIRECTION('center_axis',(0.,0.,1.)); #365613=DIRECTION('ref_axis',(1.,0.,0.)); #365614=DIRECTION('center_axis',(0.,0.,1.)); #365615=DIRECTION('ref_axis',(1.,0.,0.)); #365616=DIRECTION('center_axis',(0.,0.,1.)); #365617=DIRECTION('ref_axis',(1.,0.,0.)); #365618=DIRECTION('',(0.,0.,-1.)); #365619=DIRECTION('center_axis',(0.,0.,1.)); #365620=DIRECTION('ref_axis',(1.,0.,0.)); #365621=DIRECTION('center_axis',(0.,0.,1.)); #365622=DIRECTION('ref_axis',(1.,0.,0.)); #365623=DIRECTION('center_axis',(0.,0.,1.)); #365624=DIRECTION('ref_axis',(1.,0.,0.)); #365625=DIRECTION('center_axis',(0.,0.,1.)); #365626=DIRECTION('ref_axis',(1.,0.,0.)); #365627=DIRECTION('center_axis',(0.,0.,-1.)); #365628=DIRECTION('ref_axis',(1.,0.,0.)); #365629=DIRECTION('',(0.,0.,-1.)); #365630=DIRECTION('center_axis',(0.,0.,1.)); #365631=DIRECTION('ref_axis',(1.,0.,0.)); #365632=DIRECTION('center_axis',(0.,0.,1.)); #365633=DIRECTION('ref_axis',(1.,0.,0.)); #365634=DIRECTION('center_axis',(0.,0.,1.)); #365635=DIRECTION('ref_axis',(1.,0.,0.)); #365636=DIRECTION('',(0.,0.,-1.)); #365637=DIRECTION('center_axis',(0.,0.,1.)); #365638=DIRECTION('ref_axis',(1.,0.,0.)); #365639=DIRECTION('center_axis',(0.,0.,1.)); #365640=DIRECTION('ref_axis',(1.,0.,0.)); #365641=DIRECTION('center_axis',(0.,0.,1.)); #365642=DIRECTION('ref_axis',(1.,0.,0.)); #365643=DIRECTION('center_axis',(0.,0.,1.)); #365644=DIRECTION('ref_axis',(1.,0.,0.)); #365645=DIRECTION('center_axis',(0.,0.,-1.)); #365646=DIRECTION('ref_axis',(1.,0.,0.)); #365647=DIRECTION('',(0.,0.,-1.)); #365648=DIRECTION('center_axis',(0.,0.,1.)); #365649=DIRECTION('ref_axis',(1.,0.,0.)); #365650=DIRECTION('center_axis',(0.,0.,1.)); #365651=DIRECTION('ref_axis',(1.,0.,0.)); #365652=DIRECTION('center_axis',(0.,0.,1.)); #365653=DIRECTION('ref_axis',(1.,0.,0.)); #365654=DIRECTION('',(0.,0.,-1.)); #365655=DIRECTION('center_axis',(0.,0.,1.)); #365656=DIRECTION('ref_axis',(1.,0.,0.)); #365657=DIRECTION('center_axis',(0.,0.,1.)); #365658=DIRECTION('ref_axis',(1.,0.,0.)); #365659=DIRECTION('center_axis',(0.,0.,1.)); #365660=DIRECTION('ref_axis',(1.,0.,0.)); #365661=DIRECTION('center_axis',(0.,0.,1.)); #365662=DIRECTION('ref_axis',(1.,0.,0.)); #365663=DIRECTION('center_axis',(0.,0.,-1.)); #365664=DIRECTION('ref_axis',(1.,0.,0.)); #365665=DIRECTION('',(0.,0.,-1.)); #365666=DIRECTION('center_axis',(0.,0.,1.)); #365667=DIRECTION('ref_axis',(1.,0.,0.)); #365668=DIRECTION('center_axis',(0.,0.,1.)); #365669=DIRECTION('ref_axis',(1.,0.,0.)); #365670=DIRECTION('center_axis',(0.,0.,1.)); #365671=DIRECTION('ref_axis',(1.,0.,0.)); #365672=DIRECTION('',(0.,0.,-1.)); #365673=DIRECTION('center_axis',(0.,0.,1.)); #365674=DIRECTION('ref_axis',(1.,0.,0.)); #365675=DIRECTION('center_axis',(0.,0.,1.)); #365676=DIRECTION('ref_axis',(1.,0.,0.)); #365677=DIRECTION('center_axis',(0.,0.,1.)); #365678=DIRECTION('ref_axis',(1.,0.,0.)); #365679=DIRECTION('center_axis',(0.,0.,1.)); #365680=DIRECTION('ref_axis',(1.,0.,0.)); #365681=DIRECTION('center_axis',(0.,0.,-1.)); #365682=DIRECTION('ref_axis',(1.,0.,0.)); #365683=DIRECTION('',(0.,0.,-1.)); #365684=DIRECTION('center_axis',(0.,0.,1.)); #365685=DIRECTION('ref_axis',(1.,0.,0.)); #365686=DIRECTION('center_axis',(0.,0.,1.)); #365687=DIRECTION('ref_axis',(1.,0.,0.)); #365688=DIRECTION('center_axis',(0.,0.,1.)); #365689=DIRECTION('ref_axis',(1.,0.,0.)); #365690=DIRECTION('',(0.,0.,-1.)); #365691=DIRECTION('center_axis',(0.,0.,1.)); #365692=DIRECTION('ref_axis',(1.,0.,0.)); #365693=DIRECTION('center_axis',(0.,0.,1.)); #365694=DIRECTION('ref_axis',(1.,0.,0.)); #365695=DIRECTION('center_axis',(0.,0.,1.)); #365696=DIRECTION('ref_axis',(1.,0.,0.)); #365697=DIRECTION('center_axis',(0.,0.,1.)); #365698=DIRECTION('ref_axis',(1.,0.,0.)); #365699=DIRECTION('center_axis',(0.,0.,-1.)); #365700=DIRECTION('ref_axis',(1.,0.,0.)); #365701=DIRECTION('',(0.,0.,-1.)); #365702=DIRECTION('center_axis',(0.,0.,1.)); #365703=DIRECTION('ref_axis',(1.,0.,0.)); #365704=DIRECTION('center_axis',(0.,0.,1.)); #365705=DIRECTION('ref_axis',(1.,0.,0.)); #365706=DIRECTION('center_axis',(0.,0.,1.)); #365707=DIRECTION('ref_axis',(1.,0.,0.)); #365708=DIRECTION('',(0.,0.,-1.)); #365709=DIRECTION('center_axis',(0.,0.,1.)); #365710=DIRECTION('ref_axis',(1.,0.,0.)); #365711=DIRECTION('center_axis',(0.,0.,1.)); #365712=DIRECTION('ref_axis',(1.,0.,0.)); #365713=DIRECTION('center_axis',(0.,0.,1.)); #365714=DIRECTION('ref_axis',(1.,0.,0.)); #365715=DIRECTION('center_axis',(0.,0.,1.)); #365716=DIRECTION('ref_axis',(1.,0.,0.)); #365717=DIRECTION('center_axis',(0.,0.,-1.)); #365718=DIRECTION('ref_axis',(1.,0.,0.)); #365719=DIRECTION('',(0.,0.,-1.)); #365720=DIRECTION('center_axis',(0.,0.,1.)); #365721=DIRECTION('ref_axis',(1.,0.,0.)); #365722=DIRECTION('center_axis',(0.,0.,1.)); #365723=DIRECTION('ref_axis',(1.,0.,0.)); #365724=DIRECTION('center_axis',(0.,0.,1.)); #365725=DIRECTION('ref_axis',(1.,0.,0.)); #365726=DIRECTION('',(0.,0.,-1.)); #365727=DIRECTION('center_axis',(0.,0.,1.)); #365728=DIRECTION('ref_axis',(1.,0.,0.)); #365729=DIRECTION('center_axis',(0.,0.,1.)); #365730=DIRECTION('ref_axis',(1.,0.,0.)); #365731=DIRECTION('center_axis',(0.,0.,1.)); #365732=DIRECTION('ref_axis',(1.,0.,0.)); #365733=DIRECTION('center_axis',(0.,0.,1.)); #365734=DIRECTION('ref_axis',(1.,0.,0.)); #365735=DIRECTION('center_axis',(0.,0.,-1.)); #365736=DIRECTION('ref_axis',(1.,0.,0.)); #365737=DIRECTION('',(0.,0.,-1.)); #365738=DIRECTION('center_axis',(0.,0.,1.)); #365739=DIRECTION('ref_axis',(1.,0.,0.)); #365740=DIRECTION('center_axis',(0.,0.,1.)); #365741=DIRECTION('ref_axis',(1.,0.,0.)); #365742=DIRECTION('center_axis',(0.,0.,1.)); #365743=DIRECTION('ref_axis',(1.,0.,0.)); #365744=DIRECTION('',(0.,0.,-1.)); #365745=DIRECTION('center_axis',(0.,0.,1.)); #365746=DIRECTION('ref_axis',(1.,0.,0.)); #365747=DIRECTION('center_axis',(0.,0.,1.)); #365748=DIRECTION('ref_axis',(1.,0.,0.)); #365749=DIRECTION('center_axis',(0.,0.,1.)); #365750=DIRECTION('ref_axis',(1.,0.,0.)); #365751=DIRECTION('center_axis',(0.,0.,1.)); #365752=DIRECTION('ref_axis',(1.,0.,0.)); #365753=DIRECTION('center_axis',(0.,0.,-1.)); #365754=DIRECTION('ref_axis',(1.,0.,0.)); #365755=DIRECTION('',(0.,0.,-1.)); #365756=DIRECTION('center_axis',(0.,0.,1.)); #365757=DIRECTION('ref_axis',(1.,0.,0.)); #365758=DIRECTION('center_axis',(0.,0.,1.)); #365759=DIRECTION('ref_axis',(1.,0.,0.)); #365760=DIRECTION('center_axis',(0.,0.,1.)); #365761=DIRECTION('ref_axis',(1.,0.,0.)); #365762=DIRECTION('',(0.,0.,-1.)); #365763=DIRECTION('center_axis',(0.,0.,1.)); #365764=DIRECTION('ref_axis',(1.,0.,0.)); #365765=DIRECTION('center_axis',(0.,0.,1.)); #365766=DIRECTION('ref_axis',(1.,0.,0.)); #365767=DIRECTION('center_axis',(0.,0.,1.)); #365768=DIRECTION('ref_axis',(1.,0.,0.)); #365769=DIRECTION('center_axis',(0.,0.,1.)); #365770=DIRECTION('ref_axis',(1.,0.,0.)); #365771=DIRECTION('center_axis',(0.,0.,-1.)); #365772=DIRECTION('ref_axis',(1.,0.,0.)); #365773=DIRECTION('',(0.,0.,-1.)); #365774=DIRECTION('center_axis',(0.,0.,1.)); #365775=DIRECTION('ref_axis',(1.,0.,0.)); #365776=DIRECTION('center_axis',(0.,0.,1.)); #365777=DIRECTION('ref_axis',(1.,0.,0.)); #365778=DIRECTION('center_axis',(0.,0.,1.)); #365779=DIRECTION('ref_axis',(1.,0.,0.)); #365780=DIRECTION('',(0.,0.,-1.)); #365781=DIRECTION('center_axis',(0.,0.,1.)); #365782=DIRECTION('ref_axis',(1.,0.,0.)); #365783=DIRECTION('center_axis',(0.,0.,1.)); #365784=DIRECTION('ref_axis',(1.,0.,0.)); #365785=DIRECTION('center_axis',(0.,0.,1.)); #365786=DIRECTION('ref_axis',(1.,0.,0.)); #365787=DIRECTION('center_axis',(0.,0.,1.)); #365788=DIRECTION('ref_axis',(1.,0.,0.)); #365789=DIRECTION('center_axis',(0.,0.,-1.)); #365790=DIRECTION('ref_axis',(1.,0.,0.)); #365791=DIRECTION('',(0.,0.,-1.)); #365792=DIRECTION('center_axis',(0.,0.,1.)); #365793=DIRECTION('ref_axis',(1.,0.,0.)); #365794=DIRECTION('center_axis',(0.,0.,1.)); #365795=DIRECTION('ref_axis',(1.,0.,0.)); #365796=DIRECTION('center_axis',(0.,0.,1.)); #365797=DIRECTION('ref_axis',(1.,0.,0.)); #365798=DIRECTION('',(0.,0.,-1.)); #365799=DIRECTION('center_axis',(0.,0.,1.)); #365800=DIRECTION('ref_axis',(1.,0.,0.)); #365801=DIRECTION('center_axis',(0.,0.,1.)); #365802=DIRECTION('ref_axis',(1.,0.,0.)); #365803=DIRECTION('center_axis',(0.,0.,1.)); #365804=DIRECTION('ref_axis',(1.,0.,0.)); #365805=DIRECTION('center_axis',(0.,0.,1.)); #365806=DIRECTION('ref_axis',(1.,0.,0.)); #365807=DIRECTION('center_axis',(0.,0.,-1.)); #365808=DIRECTION('ref_axis',(1.,0.,0.)); #365809=DIRECTION('',(0.,0.,-1.)); #365810=DIRECTION('center_axis',(0.,0.,1.)); #365811=DIRECTION('ref_axis',(1.,0.,0.)); #365812=DIRECTION('center_axis',(0.,0.,1.)); #365813=DIRECTION('ref_axis',(1.,0.,0.)); #365814=DIRECTION('center_axis',(0.,0.,1.)); #365815=DIRECTION('ref_axis',(1.,0.,0.)); #365816=DIRECTION('',(0.,0.,-1.)); #365817=DIRECTION('center_axis',(0.,0.,1.)); #365818=DIRECTION('ref_axis',(1.,0.,0.)); #365819=DIRECTION('center_axis',(0.,0.,1.)); #365820=DIRECTION('ref_axis',(1.,0.,0.)); #365821=DIRECTION('center_axis',(0.,0.,1.)); #365822=DIRECTION('ref_axis',(1.,0.,0.)); #365823=DIRECTION('center_axis',(0.,0.,1.)); #365824=DIRECTION('ref_axis',(1.,0.,0.)); #365825=DIRECTION('center_axis',(0.,0.,-1.)); #365826=DIRECTION('ref_axis',(1.,0.,0.)); #365827=DIRECTION('',(0.,0.,-1.)); #365828=DIRECTION('center_axis',(0.,0.,1.)); #365829=DIRECTION('ref_axis',(1.,0.,0.)); #365830=DIRECTION('center_axis',(0.,0.,1.)); #365831=DIRECTION('ref_axis',(1.,0.,0.)); #365832=DIRECTION('center_axis',(0.,0.,1.)); #365833=DIRECTION('ref_axis',(1.,0.,0.)); #365834=DIRECTION('',(0.,0.,-1.)); #365835=DIRECTION('center_axis',(0.,0.,1.)); #365836=DIRECTION('ref_axis',(1.,0.,0.)); #365837=DIRECTION('center_axis',(0.,0.,1.)); #365838=DIRECTION('ref_axis',(1.,0.,0.)); #365839=DIRECTION('center_axis',(0.,0.,1.)); #365840=DIRECTION('ref_axis',(1.,0.,0.)); #365841=DIRECTION('center_axis',(0.,0.,1.)); #365842=DIRECTION('ref_axis',(1.,0.,0.)); #365843=DIRECTION('center_axis',(0.,0.,-1.)); #365844=DIRECTION('ref_axis',(1.,0.,0.)); #365845=DIRECTION('',(0.,0.,-1.)); #365846=DIRECTION('center_axis',(0.,0.,1.)); #365847=DIRECTION('ref_axis',(1.,0.,0.)); #365848=DIRECTION('center_axis',(0.,0.,1.)); #365849=DIRECTION('ref_axis',(1.,0.,0.)); #365850=DIRECTION('center_axis',(0.,0.,1.)); #365851=DIRECTION('ref_axis',(1.,0.,0.)); #365852=DIRECTION('',(0.,0.,-1.)); #365853=DIRECTION('center_axis',(0.,0.,1.)); #365854=DIRECTION('ref_axis',(1.,0.,0.)); #365855=DIRECTION('center_axis',(0.,0.,1.)); #365856=DIRECTION('ref_axis',(1.,0.,0.)); #365857=DIRECTION('center_axis',(0.,0.,1.)); #365858=DIRECTION('ref_axis',(1.,0.,0.)); #365859=DIRECTION('center_axis',(0.,0.,1.)); #365860=DIRECTION('ref_axis',(1.,0.,0.)); #365861=DIRECTION('center_axis',(0.,0.,-1.)); #365862=DIRECTION('ref_axis',(1.,0.,0.)); #365863=DIRECTION('',(0.,0.,-1.)); #365864=DIRECTION('center_axis',(0.,0.,1.)); #365865=DIRECTION('ref_axis',(1.,0.,0.)); #365866=DIRECTION('center_axis',(0.,0.,1.)); #365867=DIRECTION('ref_axis',(1.,0.,0.)); #365868=DIRECTION('center_axis',(0.,0.,1.)); #365869=DIRECTION('ref_axis',(1.,0.,0.)); #365870=DIRECTION('',(0.,0.,-1.)); #365871=DIRECTION('center_axis',(0.,0.,1.)); #365872=DIRECTION('ref_axis',(1.,0.,0.)); #365873=DIRECTION('center_axis',(0.,0.,1.)); #365874=DIRECTION('ref_axis',(1.,0.,0.)); #365875=DIRECTION('center_axis',(0.,0.,1.)); #365876=DIRECTION('ref_axis',(1.,0.,0.)); #365877=DIRECTION('center_axis',(0.,0.,1.)); #365878=DIRECTION('ref_axis',(1.,0.,0.)); #365879=DIRECTION('center_axis',(0.,0.,-1.)); #365880=DIRECTION('ref_axis',(1.,0.,0.)); #365881=DIRECTION('',(0.,0.,-1.)); #365882=DIRECTION('center_axis',(0.,0.,1.)); #365883=DIRECTION('ref_axis',(1.,0.,0.)); #365884=DIRECTION('center_axis',(0.,0.,1.)); #365885=DIRECTION('ref_axis',(1.,0.,0.)); #365886=DIRECTION('center_axis',(0.,0.,1.)); #365887=DIRECTION('ref_axis',(1.,0.,0.)); #365888=DIRECTION('',(0.,0.,-1.)); #365889=DIRECTION('center_axis',(0.,0.,1.)); #365890=DIRECTION('ref_axis',(1.,0.,0.)); #365891=DIRECTION('center_axis',(0.,0.,1.)); #365892=DIRECTION('ref_axis',(1.,0.,0.)); #365893=DIRECTION('center_axis',(0.,0.,1.)); #365894=DIRECTION('ref_axis',(1.,0.,0.)); #365895=DIRECTION('center_axis',(0.,0.,1.)); #365896=DIRECTION('ref_axis',(1.,0.,0.)); #365897=DIRECTION('center_axis',(0.,0.,-1.)); #365898=DIRECTION('ref_axis',(1.,0.,0.)); #365899=DIRECTION('',(0.,0.,-1.)); #365900=DIRECTION('center_axis',(0.,0.,1.)); #365901=DIRECTION('ref_axis',(1.,0.,0.)); #365902=DIRECTION('center_axis',(0.,0.,1.)); #365903=DIRECTION('ref_axis',(1.,0.,0.)); #365904=DIRECTION('center_axis',(0.,0.,1.)); #365905=DIRECTION('ref_axis',(1.,0.,0.)); #365906=DIRECTION('',(0.,0.,-1.)); #365907=DIRECTION('center_axis',(0.,0.,1.)); #365908=DIRECTION('ref_axis',(1.,0.,0.)); #365909=DIRECTION('center_axis',(0.,0.,1.)); #365910=DIRECTION('ref_axis',(1.,0.,0.)); #365911=DIRECTION('center_axis',(0.,0.,1.)); #365912=DIRECTION('ref_axis',(1.,0.,0.)); #365913=DIRECTION('center_axis',(0.,0.,1.)); #365914=DIRECTION('ref_axis',(1.,0.,0.)); #365915=DIRECTION('center_axis',(0.,0.,-1.)); #365916=DIRECTION('ref_axis',(1.,0.,0.)); #365917=DIRECTION('',(0.,0.,-1.)); #365918=DIRECTION('center_axis',(0.,0.,1.)); #365919=DIRECTION('ref_axis',(1.,0.,0.)); #365920=DIRECTION('center_axis',(0.,0.,1.)); #365921=DIRECTION('ref_axis',(1.,0.,0.)); #365922=DIRECTION('center_axis',(0.,0.,1.)); #365923=DIRECTION('ref_axis',(1.,0.,0.)); #365924=DIRECTION('',(0.,0.,-1.)); #365925=DIRECTION('center_axis',(0.,0.,1.)); #365926=DIRECTION('ref_axis',(1.,0.,0.)); #365927=DIRECTION('center_axis',(0.,0.,1.)); #365928=DIRECTION('ref_axis',(1.,0.,0.)); #365929=DIRECTION('center_axis',(0.,0.,1.)); #365930=DIRECTION('ref_axis',(1.,0.,0.)); #365931=DIRECTION('center_axis',(0.,0.,1.)); #365932=DIRECTION('ref_axis',(1.,0.,0.)); #365933=DIRECTION('center_axis',(0.,0.,-1.)); #365934=DIRECTION('ref_axis',(1.,0.,0.)); #365935=DIRECTION('',(0.,0.,-1.)); #365936=DIRECTION('center_axis',(0.,0.,1.)); #365937=DIRECTION('ref_axis',(1.,0.,0.)); #365938=DIRECTION('center_axis',(0.,0.,1.)); #365939=DIRECTION('ref_axis',(1.,0.,0.)); #365940=DIRECTION('center_axis',(0.,0.,1.)); #365941=DIRECTION('ref_axis',(1.,0.,0.)); #365942=DIRECTION('',(0.,0.,-1.)); #365943=DIRECTION('center_axis',(0.,0.,1.)); #365944=DIRECTION('ref_axis',(1.,0.,0.)); #365945=DIRECTION('center_axis',(0.,0.,1.)); #365946=DIRECTION('ref_axis',(1.,0.,0.)); #365947=DIRECTION('center_axis',(0.,0.,1.)); #365948=DIRECTION('ref_axis',(1.,0.,0.)); #365949=DIRECTION('center_axis',(0.,0.,1.)); #365950=DIRECTION('ref_axis',(1.,0.,0.)); #365951=DIRECTION('center_axis',(0.,0.,-1.)); #365952=DIRECTION('ref_axis',(1.,0.,0.)); #365953=DIRECTION('',(0.,0.,-1.)); #365954=DIRECTION('center_axis',(0.,0.,1.)); #365955=DIRECTION('ref_axis',(1.,0.,0.)); #365956=DIRECTION('center_axis',(0.,0.,1.)); #365957=DIRECTION('ref_axis',(1.,0.,0.)); #365958=DIRECTION('center_axis',(0.,0.,1.)); #365959=DIRECTION('ref_axis',(1.,0.,0.)); #365960=DIRECTION('',(0.,0.,-1.)); #365961=DIRECTION('center_axis',(0.,0.,1.)); #365962=DIRECTION('ref_axis',(1.,0.,0.)); #365963=DIRECTION('center_axis',(0.,0.,1.)); #365964=DIRECTION('ref_axis',(1.,0.,0.)); #365965=DIRECTION('center_axis',(0.,0.,1.)); #365966=DIRECTION('ref_axis',(1.,0.,0.)); #365967=DIRECTION('center_axis',(0.,0.,1.)); #365968=DIRECTION('ref_axis',(1.,0.,0.)); #365969=DIRECTION('center_axis',(0.,0.,-1.)); #365970=DIRECTION('ref_axis',(1.,0.,0.)); #365971=DIRECTION('',(0.,0.,-1.)); #365972=DIRECTION('center_axis',(0.,0.,1.)); #365973=DIRECTION('ref_axis',(1.,0.,0.)); #365974=DIRECTION('center_axis',(0.,0.,1.)); #365975=DIRECTION('ref_axis',(1.,0.,0.)); #365976=DIRECTION('center_axis',(0.,0.,1.)); #365977=DIRECTION('ref_axis',(1.,0.,0.)); #365978=DIRECTION('',(0.,0.,-1.)); #365979=DIRECTION('center_axis',(0.,0.,1.)); #365980=DIRECTION('ref_axis',(1.,0.,0.)); #365981=DIRECTION('center_axis',(0.,0.,1.)); #365982=DIRECTION('ref_axis',(1.,0.,0.)); #365983=DIRECTION('center_axis',(0.,0.,1.)); #365984=DIRECTION('ref_axis',(1.,0.,0.)); #365985=DIRECTION('center_axis',(0.,0.,1.)); #365986=DIRECTION('ref_axis',(1.,0.,0.)); #365987=DIRECTION('center_axis',(0.,0.,-1.)); #365988=DIRECTION('ref_axis',(1.,0.,0.)); #365989=DIRECTION('',(0.,0.,-1.)); #365990=DIRECTION('center_axis',(0.,0.,1.)); #365991=DIRECTION('ref_axis',(1.,0.,0.)); #365992=DIRECTION('center_axis',(0.,0.,1.)); #365993=DIRECTION('ref_axis',(1.,0.,0.)); #365994=DIRECTION('center_axis',(0.,0.,1.)); #365995=DIRECTION('ref_axis',(1.,0.,0.)); #365996=DIRECTION('',(0.,0.,-1.)); #365997=DIRECTION('center_axis',(0.,0.,1.)); #365998=DIRECTION('ref_axis',(1.,0.,0.)); #365999=DIRECTION('center_axis',(0.,0.,1.)); #366000=DIRECTION('ref_axis',(1.,0.,0.)); #366001=DIRECTION('center_axis',(0.,0.,1.)); #366002=DIRECTION('ref_axis',(1.,0.,0.)); #366003=DIRECTION('center_axis',(0.,0.,1.)); #366004=DIRECTION('ref_axis',(1.,0.,0.)); #366005=DIRECTION('center_axis',(0.,0.,-1.)); #366006=DIRECTION('ref_axis',(1.,0.,0.)); #366007=DIRECTION('',(0.,0.,-1.)); #366008=DIRECTION('center_axis',(0.,0.,1.)); #366009=DIRECTION('ref_axis',(1.,0.,0.)); #366010=DIRECTION('center_axis',(0.,0.,1.)); #366011=DIRECTION('ref_axis',(1.,0.,0.)); #366012=DIRECTION('center_axis',(0.,0.,1.)); #366013=DIRECTION('ref_axis',(1.,0.,0.)); #366014=DIRECTION('',(0.,0.,-1.)); #366015=DIRECTION('center_axis',(0.,0.,1.)); #366016=DIRECTION('ref_axis',(1.,0.,0.)); #366017=DIRECTION('center_axis',(0.,0.,1.)); #366018=DIRECTION('ref_axis',(1.,0.,0.)); #366019=DIRECTION('center_axis',(0.,0.,1.)); #366020=DIRECTION('ref_axis',(1.,0.,0.)); #366021=DIRECTION('center_axis',(0.,0.,1.)); #366022=DIRECTION('ref_axis',(1.,0.,0.)); #366023=DIRECTION('center_axis',(0.,0.,-1.)); #366024=DIRECTION('ref_axis',(1.,0.,0.)); #366025=DIRECTION('',(0.,0.,-1.)); #366026=DIRECTION('center_axis',(0.,0.,1.)); #366027=DIRECTION('ref_axis',(1.,0.,0.)); #366028=DIRECTION('center_axis',(0.,0.,1.)); #366029=DIRECTION('ref_axis',(1.,0.,0.)); #366030=DIRECTION('center_axis',(0.,0.,1.)); #366031=DIRECTION('ref_axis',(1.,0.,0.)); #366032=DIRECTION('',(0.,0.,-1.)); #366033=DIRECTION('center_axis',(0.,0.,1.)); #366034=DIRECTION('ref_axis',(1.,0.,0.)); #366035=DIRECTION('center_axis',(0.,0.,1.)); #366036=DIRECTION('ref_axis',(1.,0.,0.)); #366037=DIRECTION('center_axis',(0.,0.,1.)); #366038=DIRECTION('ref_axis',(1.,0.,0.)); #366039=DIRECTION('center_axis',(0.,0.,1.)); #366040=DIRECTION('ref_axis',(1.,0.,0.)); #366041=DIRECTION('center_axis',(0.,0.,-1.)); #366042=DIRECTION('ref_axis',(1.,0.,0.)); #366043=DIRECTION('',(0.,0.,-1.)); #366044=DIRECTION('center_axis',(0.,0.,1.)); #366045=DIRECTION('ref_axis',(1.,0.,0.)); #366046=DIRECTION('center_axis',(0.,0.,1.)); #366047=DIRECTION('ref_axis',(1.,0.,0.)); #366048=DIRECTION('center_axis',(0.,0.,1.)); #366049=DIRECTION('ref_axis',(1.,0.,0.)); #366050=DIRECTION('',(0.,0.,-1.)); #366051=DIRECTION('center_axis',(0.,0.,1.)); #366052=DIRECTION('ref_axis',(1.,0.,0.)); #366053=DIRECTION('center_axis',(0.,0.,1.)); #366054=DIRECTION('ref_axis',(1.,0.,0.)); #366055=DIRECTION('center_axis',(0.,0.,1.)); #366056=DIRECTION('ref_axis',(1.,0.,0.)); #366057=DIRECTION('center_axis',(0.,0.,1.)); #366058=DIRECTION('ref_axis',(1.,0.,0.)); #366059=DIRECTION('center_axis',(0.,0.,-1.)); #366060=DIRECTION('ref_axis',(1.,0.,0.)); #366061=DIRECTION('',(0.,0.,-1.)); #366062=DIRECTION('center_axis',(0.,0.,1.)); #366063=DIRECTION('ref_axis',(1.,0.,0.)); #366064=DIRECTION('center_axis',(0.,0.,1.)); #366065=DIRECTION('ref_axis',(1.,0.,0.)); #366066=DIRECTION('center_axis',(0.,0.,1.)); #366067=DIRECTION('ref_axis',(1.,0.,0.)); #366068=DIRECTION('',(0.,0.,-1.)); #366069=DIRECTION('center_axis',(0.,0.,1.)); #366070=DIRECTION('ref_axis',(1.,0.,0.)); #366071=DIRECTION('center_axis',(0.,0.,1.)); #366072=DIRECTION('ref_axis',(1.,0.,0.)); #366073=DIRECTION('center_axis',(0.,0.,1.)); #366074=DIRECTION('ref_axis',(1.,0.,0.)); #366075=DIRECTION('center_axis',(0.,0.,1.)); #366076=DIRECTION('ref_axis',(1.,0.,0.)); #366077=DIRECTION('center_axis',(0.,0.,-1.)); #366078=DIRECTION('ref_axis',(1.,0.,0.)); #366079=DIRECTION('',(0.,0.,-1.)); #366080=DIRECTION('center_axis',(0.,0.,1.)); #366081=DIRECTION('ref_axis',(1.,0.,0.)); #366082=DIRECTION('center_axis',(0.,0.,1.)); #366083=DIRECTION('ref_axis',(1.,0.,0.)); #366084=DIRECTION('center_axis',(0.,0.,1.)); #366085=DIRECTION('ref_axis',(1.,0.,0.)); #366086=DIRECTION('',(0.,0.,-1.)); #366087=DIRECTION('center_axis',(0.,0.,1.)); #366088=DIRECTION('ref_axis',(1.,0.,0.)); #366089=DIRECTION('center_axis',(0.,0.,1.)); #366090=DIRECTION('ref_axis',(1.,0.,0.)); #366091=DIRECTION('center_axis',(0.,0.,1.)); #366092=DIRECTION('ref_axis',(1.,0.,0.)); #366093=DIRECTION('center_axis',(0.,0.,1.)); #366094=DIRECTION('ref_axis',(1.,0.,0.)); #366095=DIRECTION('center_axis',(0.,0.,-1.)); #366096=DIRECTION('ref_axis',(1.,0.,0.)); #366097=DIRECTION('',(0.,0.,-1.)); #366098=DIRECTION('center_axis',(0.,0.,1.)); #366099=DIRECTION('ref_axis',(1.,0.,0.)); #366100=DIRECTION('center_axis',(0.,0.,1.)); #366101=DIRECTION('ref_axis',(1.,0.,0.)); #366102=DIRECTION('center_axis',(0.,0.,1.)); #366103=DIRECTION('ref_axis',(1.,0.,0.)); #366104=DIRECTION('',(0.,0.,-1.)); #366105=DIRECTION('center_axis',(0.,0.,1.)); #366106=DIRECTION('ref_axis',(1.,0.,0.)); #366107=DIRECTION('center_axis',(0.,0.,1.)); #366108=DIRECTION('ref_axis',(1.,0.,0.)); #366109=DIRECTION('center_axis',(0.,0.,1.)); #366110=DIRECTION('ref_axis',(1.,0.,0.)); #366111=DIRECTION('center_axis',(0.,0.,1.)); #366112=DIRECTION('ref_axis',(1.,0.,0.)); #366113=DIRECTION('center_axis',(0.,0.,-1.)); #366114=DIRECTION('ref_axis',(1.,0.,0.)); #366115=DIRECTION('',(0.,0.,-1.)); #366116=DIRECTION('center_axis',(0.,0.,1.)); #366117=DIRECTION('ref_axis',(1.,0.,0.)); #366118=DIRECTION('center_axis',(0.,0.,1.)); #366119=DIRECTION('ref_axis',(1.,0.,0.)); #366120=DIRECTION('center_axis',(0.,0.,1.)); #366121=DIRECTION('ref_axis',(1.,0.,0.)); #366122=DIRECTION('',(0.,0.,-1.)); #366123=DIRECTION('center_axis',(0.,0.,1.)); #366124=DIRECTION('ref_axis',(1.,0.,0.)); #366125=DIRECTION('center_axis',(0.,0.,1.)); #366126=DIRECTION('ref_axis',(1.,0.,0.)); #366127=DIRECTION('center_axis',(0.,0.,1.)); #366128=DIRECTION('ref_axis',(1.,0.,0.)); #366129=DIRECTION('center_axis',(0.,0.,1.)); #366130=DIRECTION('ref_axis',(1.,0.,0.)); #366131=DIRECTION('center_axis',(0.,0.,-1.)); #366132=DIRECTION('ref_axis',(1.,0.,0.)); #366133=DIRECTION('',(0.,0.,-1.)); #366134=DIRECTION('center_axis',(0.,0.,1.)); #366135=DIRECTION('ref_axis',(1.,0.,0.)); #366136=DIRECTION('center_axis',(0.,0.,1.)); #366137=DIRECTION('ref_axis',(1.,0.,0.)); #366138=DIRECTION('center_axis',(0.,0.,1.)); #366139=DIRECTION('ref_axis',(1.,0.,0.)); #366140=DIRECTION('',(0.,0.,-1.)); #366141=DIRECTION('center_axis',(0.,0.,1.)); #366142=DIRECTION('ref_axis',(1.,0.,0.)); #366143=DIRECTION('center_axis',(0.,0.,1.)); #366144=DIRECTION('ref_axis',(1.,0.,0.)); #366145=DIRECTION('center_axis',(0.,0.,1.)); #366146=DIRECTION('ref_axis',(1.,0.,0.)); #366147=DIRECTION('center_axis',(0.,0.,1.)); #366148=DIRECTION('ref_axis',(1.,0.,0.)); #366149=DIRECTION('center_axis',(0.,0.,-1.)); #366150=DIRECTION('ref_axis',(1.,0.,0.)); #366151=DIRECTION('',(0.,0.,-1.)); #366152=DIRECTION('center_axis',(0.,0.,1.)); #366153=DIRECTION('ref_axis',(1.,0.,0.)); #366154=DIRECTION('center_axis',(0.,0.,1.)); #366155=DIRECTION('ref_axis',(1.,0.,0.)); #366156=DIRECTION('center_axis',(0.,0.,1.)); #366157=DIRECTION('ref_axis',(1.,0.,0.)); #366158=DIRECTION('',(0.,0.,-1.)); #366159=DIRECTION('center_axis',(0.,0.,1.)); #366160=DIRECTION('ref_axis',(1.,0.,0.)); #366161=DIRECTION('center_axis',(0.,0.,1.)); #366162=DIRECTION('ref_axis',(1.,0.,0.)); #366163=DIRECTION('center_axis',(0.,0.,1.)); #366164=DIRECTION('ref_axis',(1.,0.,0.)); #366165=DIRECTION('center_axis',(0.,0.,1.)); #366166=DIRECTION('ref_axis',(1.,0.,0.)); #366167=DIRECTION('center_axis',(0.,0.,-1.)); #366168=DIRECTION('ref_axis',(1.,0.,0.)); #366169=DIRECTION('',(0.,0.,-1.)); #366170=DIRECTION('center_axis',(0.,0.,1.)); #366171=DIRECTION('ref_axis',(1.,0.,0.)); #366172=DIRECTION('center_axis',(0.,0.,1.)); #366173=DIRECTION('ref_axis',(1.,0.,0.)); #366174=DIRECTION('center_axis',(0.,0.,1.)); #366175=DIRECTION('ref_axis',(1.,0.,0.)); #366176=DIRECTION('',(0.,0.,-1.)); #366177=DIRECTION('center_axis',(0.,0.,1.)); #366178=DIRECTION('ref_axis',(1.,0.,0.)); #366179=DIRECTION('center_axis',(0.,0.,1.)); #366180=DIRECTION('ref_axis',(1.,0.,0.)); #366181=DIRECTION('center_axis',(0.,0.,1.)); #366182=DIRECTION('ref_axis',(1.,0.,0.)); #366183=DIRECTION('center_axis',(0.,0.,1.)); #366184=DIRECTION('ref_axis',(1.,0.,0.)); #366185=DIRECTION('center_axis',(0.,0.,-1.)); #366186=DIRECTION('ref_axis',(1.,0.,0.)); #366187=DIRECTION('',(0.,0.,-1.)); #366188=DIRECTION('center_axis',(0.,0.,1.)); #366189=DIRECTION('ref_axis',(1.,0.,0.)); #366190=DIRECTION('center_axis',(0.,0.,1.)); #366191=DIRECTION('ref_axis',(1.,0.,0.)); #366192=DIRECTION('center_axis',(0.,0.,1.)); #366193=DIRECTION('ref_axis',(1.,0.,0.)); #366194=DIRECTION('',(0.,0.,-1.)); #366195=DIRECTION('center_axis',(0.,0.,1.)); #366196=DIRECTION('ref_axis',(1.,0.,0.)); #366197=DIRECTION('center_axis',(0.,0.,1.)); #366198=DIRECTION('ref_axis',(1.,0.,0.)); #366199=DIRECTION('center_axis',(0.,0.,1.)); #366200=DIRECTION('ref_axis',(1.,0.,0.)); #366201=DIRECTION('center_axis',(0.,0.,1.)); #366202=DIRECTION('ref_axis',(1.,0.,0.)); #366203=DIRECTION('center_axis',(0.,0.,-1.)); #366204=DIRECTION('ref_axis',(1.,0.,0.)); #366205=DIRECTION('',(0.,0.,-1.)); #366206=DIRECTION('center_axis',(0.,0.,1.)); #366207=DIRECTION('ref_axis',(1.,0.,0.)); #366208=DIRECTION('center_axis',(0.,0.,1.)); #366209=DIRECTION('ref_axis',(1.,0.,0.)); #366210=DIRECTION('center_axis',(0.,0.,1.)); #366211=DIRECTION('ref_axis',(1.,0.,0.)); #366212=DIRECTION('',(0.,0.,-1.)); #366213=DIRECTION('center_axis',(0.,0.,1.)); #366214=DIRECTION('ref_axis',(1.,0.,0.)); #366215=DIRECTION('center_axis',(0.,0.,1.)); #366216=DIRECTION('ref_axis',(1.,0.,0.)); #366217=DIRECTION('center_axis',(0.,0.,1.)); #366218=DIRECTION('ref_axis',(1.,0.,0.)); #366219=DIRECTION('center_axis',(0.,0.,1.)); #366220=DIRECTION('ref_axis',(1.,0.,0.)); #366221=DIRECTION('center_axis',(0.,0.,-1.)); #366222=DIRECTION('ref_axis',(1.,0.,0.)); #366223=DIRECTION('',(0.,0.,-1.)); #366224=DIRECTION('center_axis',(0.,0.,1.)); #366225=DIRECTION('ref_axis',(1.,0.,0.)); #366226=DIRECTION('center_axis',(0.,0.,1.)); #366227=DIRECTION('ref_axis',(1.,0.,0.)); #366228=DIRECTION('center_axis',(0.,0.,1.)); #366229=DIRECTION('ref_axis',(1.,0.,0.)); #366230=DIRECTION('',(0.,0.,-1.)); #366231=DIRECTION('center_axis',(0.,0.,1.)); #366232=DIRECTION('ref_axis',(1.,0.,0.)); #366233=DIRECTION('center_axis',(0.,0.,1.)); #366234=DIRECTION('ref_axis',(1.,0.,0.)); #366235=DIRECTION('center_axis',(0.,0.,1.)); #366236=DIRECTION('ref_axis',(1.,0.,0.)); #366237=DIRECTION('center_axis',(0.,0.,1.)); #366238=DIRECTION('ref_axis',(1.,0.,0.)); #366239=DIRECTION('center_axis',(0.,0.,-1.)); #366240=DIRECTION('ref_axis',(1.,0.,0.)); #366241=DIRECTION('',(0.,0.,-1.)); #366242=DIRECTION('center_axis',(0.,0.,1.)); #366243=DIRECTION('ref_axis',(1.,0.,0.)); #366244=DIRECTION('center_axis',(0.,0.,1.)); #366245=DIRECTION('ref_axis',(1.,0.,0.)); #366246=DIRECTION('center_axis',(0.,0.,1.)); #366247=DIRECTION('ref_axis',(1.,0.,0.)); #366248=DIRECTION('',(0.,0.,-1.)); #366249=DIRECTION('center_axis',(0.,0.,1.)); #366250=DIRECTION('ref_axis',(1.,0.,0.)); #366251=DIRECTION('center_axis',(0.,0.,1.)); #366252=DIRECTION('ref_axis',(1.,0.,0.)); #366253=DIRECTION('center_axis',(0.,0.,1.)); #366254=DIRECTION('ref_axis',(1.,0.,0.)); #366255=DIRECTION('center_axis',(0.,0.,1.)); #366256=DIRECTION('ref_axis',(1.,0.,0.)); #366257=DIRECTION('center_axis',(0.,0.,-1.)); #366258=DIRECTION('ref_axis',(1.,0.,0.)); #366259=DIRECTION('',(0.,0.,-1.)); #366260=DIRECTION('center_axis',(0.,0.,1.)); #366261=DIRECTION('ref_axis',(1.,0.,0.)); #366262=DIRECTION('center_axis',(0.,0.,1.)); #366263=DIRECTION('ref_axis',(1.,0.,0.)); #366264=DIRECTION('center_axis',(0.,0.,1.)); #366265=DIRECTION('ref_axis',(1.,0.,0.)); #366266=DIRECTION('',(0.,0.,-1.)); #366267=DIRECTION('center_axis',(0.,0.,1.)); #366268=DIRECTION('ref_axis',(1.,0.,0.)); #366269=DIRECTION('center_axis',(0.,0.,1.)); #366270=DIRECTION('ref_axis',(1.,0.,0.)); #366271=DIRECTION('center_axis',(0.,0.,1.)); #366272=DIRECTION('ref_axis',(1.,0.,0.)); #366273=DIRECTION('center_axis',(0.,0.,1.)); #366274=DIRECTION('ref_axis',(1.,0.,0.)); #366275=DIRECTION('center_axis',(0.,0.,-1.)); #366276=DIRECTION('ref_axis',(1.,0.,0.)); #366277=DIRECTION('',(0.,0.,-1.)); #366278=DIRECTION('center_axis',(0.,0.,1.)); #366279=DIRECTION('ref_axis',(1.,0.,0.)); #366280=DIRECTION('center_axis',(0.,0.,1.)); #366281=DIRECTION('ref_axis',(1.,0.,0.)); #366282=DIRECTION('center_axis',(0.,0.,1.)); #366283=DIRECTION('ref_axis',(1.,0.,0.)); #366284=DIRECTION('',(0.,0.,-1.)); #366285=DIRECTION('center_axis',(0.,0.,1.)); #366286=DIRECTION('ref_axis',(1.,0.,0.)); #366287=DIRECTION('center_axis',(0.,0.,1.)); #366288=DIRECTION('ref_axis',(1.,0.,0.)); #366289=DIRECTION('center_axis',(0.,0.,1.)); #366290=DIRECTION('ref_axis',(1.,0.,0.)); #366291=DIRECTION('center_axis',(0.,0.,1.)); #366292=DIRECTION('ref_axis',(1.,0.,0.)); #366293=DIRECTION('center_axis',(0.,0.,-1.)); #366294=DIRECTION('ref_axis',(1.,0.,0.)); #366295=DIRECTION('',(0.,0.,-1.)); #366296=DIRECTION('center_axis',(0.,0.,1.)); #366297=DIRECTION('ref_axis',(1.,0.,0.)); #366298=DIRECTION('center_axis',(0.,0.,1.)); #366299=DIRECTION('ref_axis',(1.,0.,0.)); #366300=DIRECTION('center_axis',(0.,0.,1.)); #366301=DIRECTION('ref_axis',(1.,0.,0.)); #366302=DIRECTION('',(0.,0.,-1.)); #366303=DIRECTION('center_axis',(0.,0.,1.)); #366304=DIRECTION('ref_axis',(1.,0.,0.)); #366305=DIRECTION('center_axis',(0.,0.,1.)); #366306=DIRECTION('ref_axis',(1.,0.,0.)); #366307=DIRECTION('center_axis',(0.,0.,1.)); #366308=DIRECTION('ref_axis',(1.,0.,0.)); #366309=DIRECTION('center_axis',(0.,0.,1.)); #366310=DIRECTION('ref_axis',(1.,0.,0.)); #366311=DIRECTION('center_axis',(0.,0.,-1.)); #366312=DIRECTION('ref_axis',(1.,0.,0.)); #366313=DIRECTION('',(0.,0.,-1.)); #366314=DIRECTION('center_axis',(0.,0.,1.)); #366315=DIRECTION('ref_axis',(1.,0.,0.)); #366316=DIRECTION('center_axis',(0.,0.,1.)); #366317=DIRECTION('ref_axis',(1.,0.,0.)); #366318=DIRECTION('center_axis',(0.,0.,1.)); #366319=DIRECTION('ref_axis',(1.,0.,0.)); #366320=DIRECTION('',(0.,0.,-1.)); #366321=DIRECTION('center_axis',(0.,0.,1.)); #366322=DIRECTION('ref_axis',(1.,0.,0.)); #366323=DIRECTION('center_axis',(0.,0.,1.)); #366324=DIRECTION('ref_axis',(1.,0.,0.)); #366325=DIRECTION('center_axis',(0.,0.,1.)); #366326=DIRECTION('ref_axis',(1.,0.,0.)); #366327=DIRECTION('center_axis',(0.,0.,1.)); #366328=DIRECTION('ref_axis',(1.,0.,0.)); #366329=DIRECTION('center_axis',(0.,0.,-1.)); #366330=DIRECTION('ref_axis',(1.,0.,0.)); #366331=DIRECTION('',(0.,0.,-1.)); #366332=DIRECTION('center_axis',(0.,0.,1.)); #366333=DIRECTION('ref_axis',(1.,0.,0.)); #366334=DIRECTION('center_axis',(0.,0.,1.)); #366335=DIRECTION('ref_axis',(1.,0.,0.)); #366336=DIRECTION('center_axis',(0.,0.,1.)); #366337=DIRECTION('ref_axis',(1.,0.,0.)); #366338=DIRECTION('',(0.,0.,-1.)); #366339=DIRECTION('center_axis',(0.,0.,1.)); #366340=DIRECTION('ref_axis',(1.,0.,0.)); #366341=DIRECTION('center_axis',(0.,0.,1.)); #366342=DIRECTION('ref_axis',(1.,0.,0.)); #366343=DIRECTION('center_axis',(0.,0.,1.)); #366344=DIRECTION('ref_axis',(1.,0.,0.)); #366345=DIRECTION('center_axis',(0.,0.,1.)); #366346=DIRECTION('ref_axis',(1.,0.,0.)); #366347=DIRECTION('center_axis',(0.,0.,-1.)); #366348=DIRECTION('ref_axis',(1.,0.,0.)); #366349=DIRECTION('',(0.,0.,-1.)); #366350=DIRECTION('center_axis',(0.,0.,1.)); #366351=DIRECTION('ref_axis',(1.,0.,0.)); #366352=DIRECTION('center_axis',(0.,0.,1.)); #366353=DIRECTION('ref_axis',(1.,0.,0.)); #366354=DIRECTION('center_axis',(0.,0.,1.)); #366355=DIRECTION('ref_axis',(1.,0.,0.)); #366356=DIRECTION('',(0.,0.,-1.)); #366357=DIRECTION('center_axis',(0.,0.,1.)); #366358=DIRECTION('ref_axis',(1.,0.,0.)); #366359=DIRECTION('center_axis',(0.,0.,1.)); #366360=DIRECTION('ref_axis',(1.,0.,0.)); #366361=DIRECTION('center_axis',(0.,0.,1.)); #366362=DIRECTION('ref_axis',(1.,0.,0.)); #366363=DIRECTION('center_axis',(0.,0.,1.)); #366364=DIRECTION('ref_axis',(1.,0.,0.)); #366365=DIRECTION('center_axis',(0.,0.,-1.)); #366366=DIRECTION('ref_axis',(1.,0.,0.)); #366367=DIRECTION('',(0.,0.,-1.)); #366368=DIRECTION('center_axis',(0.,0.,1.)); #366369=DIRECTION('ref_axis',(1.,0.,0.)); #366370=DIRECTION('center_axis',(0.,0.,1.)); #366371=DIRECTION('ref_axis',(1.,0.,0.)); #366372=DIRECTION('center_axis',(0.,0.,1.)); #366373=DIRECTION('ref_axis',(1.,0.,0.)); #366374=DIRECTION('',(0.,0.,-1.)); #366375=DIRECTION('center_axis',(0.,0.,1.)); #366376=DIRECTION('ref_axis',(1.,0.,0.)); #366377=DIRECTION('center_axis',(0.,0.,1.)); #366378=DIRECTION('ref_axis',(1.,0.,0.)); #366379=DIRECTION('center_axis',(0.,0.,1.)); #366380=DIRECTION('ref_axis',(1.,0.,0.)); #366381=DIRECTION('center_axis',(0.,0.,1.)); #366382=DIRECTION('ref_axis',(1.,0.,0.)); #366383=DIRECTION('center_axis',(0.,0.,-1.)); #366384=DIRECTION('ref_axis',(1.,0.,0.)); #366385=DIRECTION('',(0.,0.,-1.)); #366386=DIRECTION('center_axis',(0.,0.,1.)); #366387=DIRECTION('ref_axis',(1.,0.,0.)); #366388=DIRECTION('center_axis',(0.,0.,1.)); #366389=DIRECTION('ref_axis',(1.,0.,0.)); #366390=DIRECTION('center_axis',(0.,0.,1.)); #366391=DIRECTION('ref_axis',(1.,0.,0.)); #366392=DIRECTION('',(0.,0.,-1.)); #366393=DIRECTION('center_axis',(0.,0.,1.)); #366394=DIRECTION('ref_axis',(1.,0.,0.)); #366395=DIRECTION('center_axis',(0.,0.,1.)); #366396=DIRECTION('ref_axis',(1.,0.,0.)); #366397=DIRECTION('center_axis',(0.,0.,1.)); #366398=DIRECTION('ref_axis',(1.,0.,0.)); #366399=DIRECTION('center_axis',(0.,0.,1.)); #366400=DIRECTION('ref_axis',(1.,0.,0.)); #366401=DIRECTION('center_axis',(0.,0.,-1.)); #366402=DIRECTION('ref_axis',(1.,0.,0.)); #366403=DIRECTION('',(0.,0.,-1.)); #366404=DIRECTION('center_axis',(0.,0.,1.)); #366405=DIRECTION('ref_axis',(1.,0.,0.)); #366406=DIRECTION('center_axis',(0.,0.,1.)); #366407=DIRECTION('ref_axis',(1.,0.,0.)); #366408=DIRECTION('center_axis',(0.,0.,1.)); #366409=DIRECTION('ref_axis',(1.,0.,0.)); #366410=DIRECTION('',(0.,0.,-1.)); #366411=DIRECTION('center_axis',(0.,0.,1.)); #366412=DIRECTION('ref_axis',(1.,0.,0.)); #366413=DIRECTION('center_axis',(0.,0.,1.)); #366414=DIRECTION('ref_axis',(1.,0.,0.)); #366415=DIRECTION('center_axis',(0.,0.,1.)); #366416=DIRECTION('ref_axis',(1.,0.,0.)); #366417=DIRECTION('center_axis',(0.,0.,1.)); #366418=DIRECTION('ref_axis',(1.,0.,0.)); #366419=DIRECTION('center_axis',(0.,0.,-1.)); #366420=DIRECTION('ref_axis',(1.,0.,0.)); #366421=DIRECTION('',(0.,0.,-1.)); #366422=DIRECTION('center_axis',(0.,0.,1.)); #366423=DIRECTION('ref_axis',(1.,0.,0.)); #366424=DIRECTION('center_axis',(0.,0.,1.)); #366425=DIRECTION('ref_axis',(1.,0.,0.)); #366426=DIRECTION('center_axis',(0.,0.,1.)); #366427=DIRECTION('ref_axis',(1.,0.,0.)); #366428=DIRECTION('',(0.,0.,-1.)); #366429=DIRECTION('center_axis',(0.,0.,1.)); #366430=DIRECTION('ref_axis',(1.,0.,0.)); #366431=DIRECTION('center_axis',(0.,0.,1.)); #366432=DIRECTION('ref_axis',(1.,0.,0.)); #366433=DIRECTION('center_axis',(0.,0.,1.)); #366434=DIRECTION('ref_axis',(1.,0.,0.)); #366435=DIRECTION('center_axis',(0.,0.,1.)); #366436=DIRECTION('ref_axis',(1.,0.,0.)); #366437=DIRECTION('center_axis',(0.,0.,-1.)); #366438=DIRECTION('ref_axis',(1.,0.,0.)); #366439=DIRECTION('',(0.,0.,-1.)); #366440=DIRECTION('center_axis',(0.,0.,1.)); #366441=DIRECTION('ref_axis',(1.,0.,0.)); #366442=DIRECTION('center_axis',(0.,0.,1.)); #366443=DIRECTION('ref_axis',(1.,0.,0.)); #366444=DIRECTION('center_axis',(0.,0.,1.)); #366445=DIRECTION('ref_axis',(1.,0.,0.)); #366446=DIRECTION('',(0.,0.,-1.)); #366447=DIRECTION('center_axis',(0.,0.,1.)); #366448=DIRECTION('ref_axis',(1.,0.,0.)); #366449=DIRECTION('center_axis',(0.,0.,1.)); #366450=DIRECTION('ref_axis',(1.,0.,0.)); #366451=DIRECTION('center_axis',(0.,0.,1.)); #366452=DIRECTION('ref_axis',(1.,0.,0.)); #366453=DIRECTION('center_axis',(0.,0.,1.)); #366454=DIRECTION('ref_axis',(1.,0.,0.)); #366455=DIRECTION('center_axis',(0.,0.,-1.)); #366456=DIRECTION('ref_axis',(1.,0.,0.)); #366457=DIRECTION('',(0.,0.,-1.)); #366458=DIRECTION('center_axis',(0.,0.,1.)); #366459=DIRECTION('ref_axis',(1.,0.,0.)); #366460=DIRECTION('center_axis',(0.,0.,1.)); #366461=DIRECTION('ref_axis',(1.,0.,0.)); #366462=DIRECTION('center_axis',(0.,0.,1.)); #366463=DIRECTION('ref_axis',(1.,0.,0.)); #366464=DIRECTION('',(0.,0.,-1.)); #366465=DIRECTION('center_axis',(0.,0.,1.)); #366466=DIRECTION('ref_axis',(1.,0.,0.)); #366467=DIRECTION('center_axis',(0.,0.,1.)); #366468=DIRECTION('ref_axis',(1.,0.,0.)); #366469=DIRECTION('center_axis',(0.,0.,1.)); #366470=DIRECTION('ref_axis',(1.,0.,0.)); #366471=DIRECTION('center_axis',(0.,0.,1.)); #366472=DIRECTION('ref_axis',(1.,0.,0.)); #366473=DIRECTION('center_axis',(0.,0.,-1.)); #366474=DIRECTION('ref_axis',(1.,0.,0.)); #366475=DIRECTION('',(0.,0.,-1.)); #366476=DIRECTION('center_axis',(0.,0.,1.)); #366477=DIRECTION('ref_axis',(1.,0.,0.)); #366478=DIRECTION('center_axis',(0.,0.,1.)); #366479=DIRECTION('ref_axis',(1.,0.,0.)); #366480=DIRECTION('center_axis',(0.,0.,1.)); #366481=DIRECTION('ref_axis',(1.,0.,0.)); #366482=DIRECTION('',(0.,0.,-1.)); #366483=DIRECTION('center_axis',(0.,0.,1.)); #366484=DIRECTION('ref_axis',(1.,0.,0.)); #366485=DIRECTION('center_axis',(0.,0.,1.)); #366486=DIRECTION('ref_axis',(1.,0.,0.)); #366487=DIRECTION('center_axis',(0.,0.,1.)); #366488=DIRECTION('ref_axis',(1.,0.,0.)); #366489=DIRECTION('center_axis',(0.,0.,1.)); #366490=DIRECTION('ref_axis',(1.,0.,0.)); #366491=DIRECTION('center_axis',(0.,0.,-1.)); #366492=DIRECTION('ref_axis',(1.,0.,0.)); #366493=DIRECTION('',(0.,0.,-1.)); #366494=DIRECTION('center_axis',(0.,0.,1.)); #366495=DIRECTION('ref_axis',(1.,0.,0.)); #366496=DIRECTION('center_axis',(0.,0.,1.)); #366497=DIRECTION('ref_axis',(1.,0.,0.)); #366498=DIRECTION('center_axis',(0.,0.,1.)); #366499=DIRECTION('ref_axis',(1.,0.,0.)); #366500=DIRECTION('',(0.,0.,-1.)); #366501=DIRECTION('center_axis',(0.,0.,1.)); #366502=DIRECTION('ref_axis',(1.,0.,0.)); #366503=DIRECTION('center_axis',(0.,0.,1.)); #366504=DIRECTION('ref_axis',(1.,0.,0.)); #366505=DIRECTION('center_axis',(0.,0.,1.)); #366506=DIRECTION('ref_axis',(1.,0.,0.)); #366507=DIRECTION('center_axis',(0.,0.,1.)); #366508=DIRECTION('ref_axis',(1.,0.,0.)); #366509=DIRECTION('center_axis',(0.,0.,-1.)); #366510=DIRECTION('ref_axis',(1.,0.,0.)); #366511=DIRECTION('',(0.,0.,-1.)); #366512=DIRECTION('center_axis',(0.,0.,1.)); #366513=DIRECTION('ref_axis',(1.,0.,0.)); #366514=DIRECTION('center_axis',(0.,0.,1.)); #366515=DIRECTION('ref_axis',(1.,0.,0.)); #366516=DIRECTION('center_axis',(0.,0.,1.)); #366517=DIRECTION('ref_axis',(1.,0.,0.)); #366518=DIRECTION('',(0.,0.,-1.)); #366519=DIRECTION('center_axis',(0.,0.,1.)); #366520=DIRECTION('ref_axis',(1.,0.,0.)); #366521=DIRECTION('center_axis',(0.,0.,1.)); #366522=DIRECTION('ref_axis',(1.,0.,0.)); #366523=DIRECTION('center_axis',(0.,0.,1.)); #366524=DIRECTION('ref_axis',(1.,0.,0.)); #366525=DIRECTION('center_axis',(0.,0.,1.)); #366526=DIRECTION('ref_axis',(1.,0.,0.)); #366527=DIRECTION('center_axis',(0.,0.,-1.)); #366528=DIRECTION('ref_axis',(1.,0.,0.)); #366529=DIRECTION('',(0.,0.,-1.)); #366530=DIRECTION('center_axis',(0.,0.,1.)); #366531=DIRECTION('ref_axis',(1.,0.,0.)); #366532=DIRECTION('center_axis',(0.,0.,1.)); #366533=DIRECTION('ref_axis',(1.,0.,0.)); #366534=DIRECTION('center_axis',(0.,0.,1.)); #366535=DIRECTION('ref_axis',(1.,0.,0.)); #366536=DIRECTION('',(0.,0.,-1.)); #366537=DIRECTION('center_axis',(0.,0.,1.)); #366538=DIRECTION('ref_axis',(1.,0.,0.)); #366539=DIRECTION('center_axis',(0.,0.,1.)); #366540=DIRECTION('ref_axis',(1.,0.,0.)); #366541=DIRECTION('center_axis',(0.,0.,1.)); #366542=DIRECTION('ref_axis',(1.,0.,0.)); #366543=DIRECTION('center_axis',(0.,0.,1.)); #366544=DIRECTION('ref_axis',(1.,0.,0.)); #366545=DIRECTION('center_axis',(0.,0.,-1.)); #366546=DIRECTION('ref_axis',(1.,0.,0.)); #366547=DIRECTION('',(0.,0.,-1.)); #366548=DIRECTION('center_axis',(0.,0.,1.)); #366549=DIRECTION('ref_axis',(1.,0.,0.)); #366550=DIRECTION('center_axis',(0.,0.,1.)); #366551=DIRECTION('ref_axis',(1.,0.,0.)); #366552=DIRECTION('center_axis',(0.,0.,1.)); #366553=DIRECTION('ref_axis',(1.,0.,0.)); #366554=DIRECTION('',(0.,0.,-1.)); #366555=DIRECTION('center_axis',(0.,0.,1.)); #366556=DIRECTION('ref_axis',(1.,0.,0.)); #366557=DIRECTION('center_axis',(0.,0.,1.)); #366558=DIRECTION('ref_axis',(1.,0.,0.)); #366559=DIRECTION('center_axis',(0.,0.,1.)); #366560=DIRECTION('ref_axis',(1.,0.,0.)); #366561=DIRECTION('center_axis',(0.,0.,1.)); #366562=DIRECTION('ref_axis',(1.,0.,0.)); #366563=DIRECTION('center_axis',(0.,0.,-1.)); #366564=DIRECTION('ref_axis',(1.,0.,0.)); #366565=DIRECTION('',(0.,0.,-1.)); #366566=DIRECTION('center_axis',(0.,0.,1.)); #366567=DIRECTION('ref_axis',(1.,0.,0.)); #366568=DIRECTION('center_axis',(0.,0.,1.)); #366569=DIRECTION('ref_axis',(1.,0.,0.)); #366570=DIRECTION('center_axis',(0.,0.,1.)); #366571=DIRECTION('ref_axis',(1.,0.,0.)); #366572=DIRECTION('',(0.,0.,-1.)); #366573=DIRECTION('center_axis',(0.,0.,1.)); #366574=DIRECTION('ref_axis',(1.,0.,0.)); #366575=DIRECTION('center_axis',(0.,0.,1.)); #366576=DIRECTION('ref_axis',(1.,0.,0.)); #366577=DIRECTION('center_axis',(0.,0.,1.)); #366578=DIRECTION('ref_axis',(1.,0.,0.)); #366579=DIRECTION('center_axis',(0.,0.,1.)); #366580=DIRECTION('ref_axis',(1.,0.,0.)); #366581=DIRECTION('center_axis',(0.,0.,-1.)); #366582=DIRECTION('ref_axis',(1.,0.,0.)); #366583=DIRECTION('',(0.,0.,-1.)); #366584=DIRECTION('center_axis',(0.,0.,1.)); #366585=DIRECTION('ref_axis',(1.,0.,0.)); #366586=DIRECTION('center_axis',(0.,0.,1.)); #366587=DIRECTION('ref_axis',(1.,0.,0.)); #366588=DIRECTION('center_axis',(0.,0.,1.)); #366589=DIRECTION('ref_axis',(1.,0.,0.)); #366590=DIRECTION('',(0.,0.,-1.)); #366591=DIRECTION('center_axis',(0.,0.,1.)); #366592=DIRECTION('ref_axis',(1.,0.,0.)); #366593=DIRECTION('center_axis',(0.,0.,1.)); #366594=DIRECTION('ref_axis',(1.,0.,0.)); #366595=DIRECTION('center_axis',(0.,0.,1.)); #366596=DIRECTION('ref_axis',(1.,0.,0.)); #366597=DIRECTION('center_axis',(0.,0.,1.)); #366598=DIRECTION('ref_axis',(1.,0.,0.)); #366599=DIRECTION('center_axis',(0.,0.,-1.)); #366600=DIRECTION('ref_axis',(1.,0.,0.)); #366601=DIRECTION('',(0.,0.,-1.)); #366602=DIRECTION('center_axis',(0.,0.,1.)); #366603=DIRECTION('ref_axis',(1.,0.,0.)); #366604=DIRECTION('center_axis',(0.,0.,1.)); #366605=DIRECTION('ref_axis',(1.,0.,0.)); #366606=DIRECTION('center_axis',(0.,0.,1.)); #366607=DIRECTION('ref_axis',(1.,0.,0.)); #366608=DIRECTION('',(0.,0.,-1.)); #366609=DIRECTION('center_axis',(0.,0.,1.)); #366610=DIRECTION('ref_axis',(1.,0.,0.)); #366611=DIRECTION('center_axis',(0.,0.,1.)); #366612=DIRECTION('ref_axis',(1.,0.,0.)); #366613=DIRECTION('center_axis',(0.,0.,1.)); #366614=DIRECTION('ref_axis',(1.,0.,0.)); #366615=DIRECTION('center_axis',(0.,0.,1.)); #366616=DIRECTION('ref_axis',(1.,0.,0.)); #366617=DIRECTION('center_axis',(0.,0.,-1.)); #366618=DIRECTION('ref_axis',(1.,0.,0.)); #366619=DIRECTION('',(0.,0.,-1.)); #366620=DIRECTION('center_axis',(0.,0.,1.)); #366621=DIRECTION('ref_axis',(1.,0.,0.)); #366622=DIRECTION('center_axis',(0.,0.,1.)); #366623=DIRECTION('ref_axis',(1.,0.,0.)); #366624=DIRECTION('center_axis',(0.,0.,1.)); #366625=DIRECTION('ref_axis',(1.,0.,0.)); #366626=DIRECTION('',(0.,0.,-1.)); #366627=DIRECTION('center_axis',(0.,0.,1.)); #366628=DIRECTION('ref_axis',(1.,0.,0.)); #366629=DIRECTION('center_axis',(0.,0.,1.)); #366630=DIRECTION('ref_axis',(1.,0.,0.)); #366631=DIRECTION('center_axis',(0.,0.,1.)); #366632=DIRECTION('ref_axis',(1.,0.,0.)); #366633=DIRECTION('center_axis',(0.,0.,1.)); #366634=DIRECTION('ref_axis',(1.,0.,0.)); #366635=DIRECTION('center_axis',(0.,0.,-1.)); #366636=DIRECTION('ref_axis',(1.,0.,0.)); #366637=DIRECTION('',(0.,0.,-1.)); #366638=DIRECTION('center_axis',(0.,0.,1.)); #366639=DIRECTION('ref_axis',(1.,0.,0.)); #366640=DIRECTION('center_axis',(0.,0.,1.)); #366641=DIRECTION('ref_axis',(1.,0.,0.)); #366642=DIRECTION('center_axis',(0.,0.,1.)); #366643=DIRECTION('ref_axis',(1.,0.,0.)); #366644=DIRECTION('',(0.,0.,-1.)); #366645=DIRECTION('center_axis',(0.,0.,1.)); #366646=DIRECTION('ref_axis',(1.,0.,0.)); #366647=DIRECTION('center_axis',(0.,0.,1.)); #366648=DIRECTION('ref_axis',(1.,0.,0.)); #366649=DIRECTION('center_axis',(0.,0.,1.)); #366650=DIRECTION('ref_axis',(1.,0.,0.)); #366651=DIRECTION('center_axis',(0.,0.,1.)); #366652=DIRECTION('ref_axis',(1.,0.,0.)); #366653=DIRECTION('center_axis',(0.,0.,-1.)); #366654=DIRECTION('ref_axis',(1.,0.,0.)); #366655=DIRECTION('',(0.,0.,-1.)); #366656=DIRECTION('center_axis',(0.,0.,1.)); #366657=DIRECTION('ref_axis',(1.,0.,0.)); #366658=DIRECTION('center_axis',(0.,0.,1.)); #366659=DIRECTION('ref_axis',(1.,0.,0.)); #366660=DIRECTION('center_axis',(0.,0.,1.)); #366661=DIRECTION('ref_axis',(1.,0.,0.)); #366662=DIRECTION('',(0.,0.,-1.)); #366663=DIRECTION('center_axis',(0.,0.,1.)); #366664=DIRECTION('ref_axis',(1.,0.,0.)); #366665=DIRECTION('center_axis',(0.,0.,1.)); #366666=DIRECTION('ref_axis',(1.,0.,0.)); #366667=DIRECTION('center_axis',(0.,0.,1.)); #366668=DIRECTION('ref_axis',(1.,0.,0.)); #366669=DIRECTION('center_axis',(0.,0.,1.)); #366670=DIRECTION('ref_axis',(1.,0.,0.)); #366671=DIRECTION('center_axis',(0.,0.,-1.)); #366672=DIRECTION('ref_axis',(1.,0.,0.)); #366673=DIRECTION('',(0.,0.,-1.)); #366674=DIRECTION('center_axis',(0.,0.,1.)); #366675=DIRECTION('ref_axis',(1.,0.,0.)); #366676=DIRECTION('center_axis',(0.,0.,1.)); #366677=DIRECTION('ref_axis',(1.,0.,0.)); #366678=DIRECTION('center_axis',(0.,0.,1.)); #366679=DIRECTION('ref_axis',(1.,0.,0.)); #366680=DIRECTION('',(0.,0.,-1.)); #366681=DIRECTION('center_axis',(0.,0.,1.)); #366682=DIRECTION('ref_axis',(1.,0.,0.)); #366683=DIRECTION('center_axis',(0.,0.,1.)); #366684=DIRECTION('ref_axis',(1.,0.,0.)); #366685=DIRECTION('center_axis',(0.,0.,1.)); #366686=DIRECTION('ref_axis',(1.,0.,0.)); #366687=DIRECTION('center_axis',(0.,0.,1.)); #366688=DIRECTION('ref_axis',(1.,0.,0.)); #366689=DIRECTION('center_axis',(0.,0.,-1.)); #366690=DIRECTION('ref_axis',(1.,0.,0.)); #366691=DIRECTION('',(0.,0.,-1.)); #366692=DIRECTION('center_axis',(0.,0.,1.)); #366693=DIRECTION('ref_axis',(1.,0.,0.)); #366694=DIRECTION('center_axis',(0.,0.,1.)); #366695=DIRECTION('ref_axis',(1.,0.,0.)); #366696=DIRECTION('center_axis',(0.,0.,1.)); #366697=DIRECTION('ref_axis',(1.,0.,0.)); #366698=DIRECTION('',(0.,0.,-1.)); #366699=DIRECTION('center_axis',(0.,0.,1.)); #366700=DIRECTION('ref_axis',(1.,0.,0.)); #366701=DIRECTION('center_axis',(0.,0.,1.)); #366702=DIRECTION('ref_axis',(1.,0.,0.)); #366703=DIRECTION('center_axis',(0.,0.,1.)); #366704=DIRECTION('ref_axis',(1.,0.,0.)); #366705=DIRECTION('center_axis',(0.,0.,1.)); #366706=DIRECTION('ref_axis',(1.,0.,0.)); #366707=DIRECTION('center_axis',(0.,0.,-1.)); #366708=DIRECTION('ref_axis',(1.,0.,0.)); #366709=DIRECTION('',(0.,0.,-1.)); #366710=DIRECTION('center_axis',(0.,0.,1.)); #366711=DIRECTION('ref_axis',(1.,0.,0.)); #366712=DIRECTION('center_axis',(0.,0.,1.)); #366713=DIRECTION('ref_axis',(1.,0.,0.)); #366714=DIRECTION('center_axis',(0.,0.,1.)); #366715=DIRECTION('ref_axis',(1.,0.,0.)); #366716=DIRECTION('',(0.,0.,-1.)); #366717=DIRECTION('center_axis',(0.,0.,1.)); #366718=DIRECTION('ref_axis',(1.,0.,0.)); #366719=DIRECTION('center_axis',(0.,0.,1.)); #366720=DIRECTION('ref_axis',(1.,0.,0.)); #366721=DIRECTION('center_axis',(0.,0.,1.)); #366722=DIRECTION('ref_axis',(1.,0.,0.)); #366723=DIRECTION('center_axis',(0.,0.,1.)); #366724=DIRECTION('ref_axis',(1.,0.,0.)); #366725=DIRECTION('center_axis',(0.,0.,-1.)); #366726=DIRECTION('ref_axis',(1.,0.,0.)); #366727=DIRECTION('',(0.,0.,-1.)); #366728=DIRECTION('center_axis',(0.,0.,1.)); #366729=DIRECTION('ref_axis',(1.,0.,0.)); #366730=DIRECTION('center_axis',(0.,0.,1.)); #366731=DIRECTION('ref_axis',(1.,0.,0.)); #366732=DIRECTION('center_axis',(0.,0.,1.)); #366733=DIRECTION('ref_axis',(1.,0.,0.)); #366734=DIRECTION('',(0.,0.,-1.)); #366735=DIRECTION('center_axis',(0.,0.,1.)); #366736=DIRECTION('ref_axis',(1.,0.,0.)); #366737=DIRECTION('center_axis',(0.,0.,1.)); #366738=DIRECTION('ref_axis',(1.,0.,0.)); #366739=DIRECTION('center_axis',(0.,0.,1.)); #366740=DIRECTION('ref_axis',(1.,0.,0.)); #366741=DIRECTION('center_axis',(0.,0.,1.)); #366742=DIRECTION('ref_axis',(1.,0.,0.)); #366743=DIRECTION('center_axis',(0.,0.,-1.)); #366744=DIRECTION('ref_axis',(1.,0.,0.)); #366745=DIRECTION('',(0.,0.,-1.)); #366746=DIRECTION('center_axis',(0.,0.,1.)); #366747=DIRECTION('ref_axis',(1.,0.,0.)); #366748=DIRECTION('center_axis',(0.,0.,1.)); #366749=DIRECTION('ref_axis',(1.,0.,0.)); #366750=DIRECTION('center_axis',(0.,0.,1.)); #366751=DIRECTION('ref_axis',(1.,0.,0.)); #366752=DIRECTION('',(0.,0.,-1.)); #366753=DIRECTION('center_axis',(0.,0.,1.)); #366754=DIRECTION('ref_axis',(1.,0.,0.)); #366755=DIRECTION('center_axis',(0.,0.,1.)); #366756=DIRECTION('ref_axis',(1.,0.,0.)); #366757=DIRECTION('center_axis',(0.,0.,1.)); #366758=DIRECTION('ref_axis',(1.,0.,0.)); #366759=DIRECTION('center_axis',(0.,0.,1.)); #366760=DIRECTION('ref_axis',(1.,0.,0.)); #366761=DIRECTION('center_axis',(0.,0.,-1.)); #366762=DIRECTION('ref_axis',(1.,0.,0.)); #366763=DIRECTION('',(0.,0.,-1.)); #366764=DIRECTION('center_axis',(0.,0.,1.)); #366765=DIRECTION('ref_axis',(1.,0.,0.)); #366766=DIRECTION('center_axis',(0.,0.,1.)); #366767=DIRECTION('ref_axis',(1.,0.,0.)); #366768=DIRECTION('center_axis',(0.,0.,1.)); #366769=DIRECTION('ref_axis',(1.,0.,0.)); #366770=DIRECTION('',(0.,0.,-1.)); #366771=DIRECTION('center_axis',(0.,0.,1.)); #366772=DIRECTION('ref_axis',(1.,0.,0.)); #366773=DIRECTION('center_axis',(0.,0.,1.)); #366774=DIRECTION('ref_axis',(1.,0.,0.)); #366775=DIRECTION('center_axis',(0.,0.,1.)); #366776=DIRECTION('ref_axis',(1.,0.,0.)); #366777=DIRECTION('center_axis',(0.,0.,1.)); #366778=DIRECTION('ref_axis',(1.,0.,0.)); #366779=DIRECTION('center_axis',(0.,0.,-1.)); #366780=DIRECTION('ref_axis',(1.,0.,0.)); #366781=DIRECTION('',(0.,0.,-1.)); #366782=DIRECTION('center_axis',(0.,0.,1.)); #366783=DIRECTION('ref_axis',(1.,0.,0.)); #366784=DIRECTION('center_axis',(0.,0.,1.)); #366785=DIRECTION('ref_axis',(1.,0.,0.)); #366786=DIRECTION('center_axis',(0.,0.,1.)); #366787=DIRECTION('ref_axis',(1.,0.,0.)); #366788=DIRECTION('',(0.,0.,-1.)); #366789=DIRECTION('center_axis',(0.,0.,1.)); #366790=DIRECTION('ref_axis',(1.,0.,0.)); #366791=DIRECTION('center_axis',(0.,0.,1.)); #366792=DIRECTION('ref_axis',(1.,0.,0.)); #366793=DIRECTION('center_axis',(0.,0.,1.)); #366794=DIRECTION('ref_axis',(1.,0.,0.)); #366795=DIRECTION('center_axis',(0.,0.,1.)); #366796=DIRECTION('ref_axis',(1.,0.,0.)); #366797=DIRECTION('center_axis',(0.,0.,-1.)); #366798=DIRECTION('ref_axis',(1.,0.,0.)); #366799=DIRECTION('',(0.,0.,-1.)); #366800=DIRECTION('center_axis',(0.,0.,1.)); #366801=DIRECTION('ref_axis',(1.,0.,0.)); #366802=DIRECTION('center_axis',(0.,0.,1.)); #366803=DIRECTION('ref_axis',(1.,0.,0.)); #366804=DIRECTION('center_axis',(0.,0.,1.)); #366805=DIRECTION('ref_axis',(1.,0.,0.)); #366806=DIRECTION('',(0.,0.,-1.)); #366807=DIRECTION('center_axis',(0.,0.,1.)); #366808=DIRECTION('ref_axis',(1.,0.,0.)); #366809=DIRECTION('center_axis',(0.,0.,1.)); #366810=DIRECTION('ref_axis',(1.,0.,0.)); #366811=DIRECTION('center_axis',(0.,0.,1.)); #366812=DIRECTION('ref_axis',(1.,0.,0.)); #366813=DIRECTION('center_axis',(0.,0.,1.)); #366814=DIRECTION('ref_axis',(1.,0.,0.)); #366815=DIRECTION('center_axis',(0.,0.,-1.)); #366816=DIRECTION('ref_axis',(1.,0.,0.)); #366817=DIRECTION('',(0.,0.,-1.)); #366818=DIRECTION('center_axis',(0.,0.,1.)); #366819=DIRECTION('ref_axis',(1.,0.,0.)); #366820=DIRECTION('center_axis',(0.,0.,1.)); #366821=DIRECTION('ref_axis',(1.,0.,0.)); #366822=DIRECTION('center_axis',(0.,0.,1.)); #366823=DIRECTION('ref_axis',(1.,0.,0.)); #366824=DIRECTION('',(0.,0.,-1.)); #366825=DIRECTION('center_axis',(0.,0.,1.)); #366826=DIRECTION('ref_axis',(1.,0.,0.)); #366827=DIRECTION('center_axis',(0.,0.,1.)); #366828=DIRECTION('ref_axis',(1.,0.,0.)); #366829=DIRECTION('center_axis',(0.,0.,1.)); #366830=DIRECTION('ref_axis',(1.,0.,0.)); #366831=DIRECTION('center_axis',(0.,0.,1.)); #366832=DIRECTION('ref_axis',(1.,0.,0.)); #366833=DIRECTION('center_axis',(0.,0.,-1.)); #366834=DIRECTION('ref_axis',(1.,0.,0.)); #366835=DIRECTION('',(0.,0.,-1.)); #366836=DIRECTION('center_axis',(0.,0.,1.)); #366837=DIRECTION('ref_axis',(1.,0.,0.)); #366838=DIRECTION('center_axis',(0.,0.,1.)); #366839=DIRECTION('ref_axis',(1.,0.,0.)); #366840=DIRECTION('center_axis',(0.,0.,1.)); #366841=DIRECTION('ref_axis',(1.,0.,0.)); #366842=DIRECTION('',(0.,0.,-1.)); #366843=DIRECTION('center_axis',(0.,0.,1.)); #366844=DIRECTION('ref_axis',(1.,0.,0.)); #366845=DIRECTION('center_axis',(0.,0.,1.)); #366846=DIRECTION('ref_axis',(1.,0.,0.)); #366847=DIRECTION('center_axis',(0.,0.,1.)); #366848=DIRECTION('ref_axis',(1.,0.,0.)); #366849=DIRECTION('center_axis',(0.,0.,1.)); #366850=DIRECTION('ref_axis',(1.,0.,0.)); #366851=DIRECTION('center_axis',(0.,0.,-1.)); #366852=DIRECTION('ref_axis',(1.,0.,0.)); #366853=DIRECTION('',(0.,0.,-1.)); #366854=DIRECTION('center_axis',(0.,0.,1.)); #366855=DIRECTION('ref_axis',(1.,0.,0.)); #366856=DIRECTION('center_axis',(0.,0.,1.)); #366857=DIRECTION('ref_axis',(1.,0.,0.)); #366858=DIRECTION('center_axis',(0.,0.,1.)); #366859=DIRECTION('ref_axis',(1.,0.,0.)); #366860=DIRECTION('',(0.,0.,-1.)); #366861=DIRECTION('center_axis',(0.,0.,1.)); #366862=DIRECTION('ref_axis',(1.,0.,0.)); #366863=DIRECTION('center_axis',(0.,0.,1.)); #366864=DIRECTION('ref_axis',(1.,0.,0.)); #366865=DIRECTION('center_axis',(0.,0.,1.)); #366866=DIRECTION('ref_axis',(1.,0.,0.)); #366867=DIRECTION('center_axis',(0.,0.,1.)); #366868=DIRECTION('ref_axis',(1.,0.,0.)); #366869=DIRECTION('center_axis',(0.,0.,-1.)); #366870=DIRECTION('ref_axis',(1.,0.,0.)); #366871=DIRECTION('',(0.,0.,-1.)); #366872=DIRECTION('center_axis',(0.,0.,1.)); #366873=DIRECTION('ref_axis',(1.,0.,0.)); #366874=DIRECTION('center_axis',(0.,0.,1.)); #366875=DIRECTION('ref_axis',(1.,0.,0.)); #366876=DIRECTION('center_axis',(0.,0.,1.)); #366877=DIRECTION('ref_axis',(1.,0.,0.)); #366878=DIRECTION('',(0.,0.,-1.)); #366879=DIRECTION('center_axis',(0.,0.,1.)); #366880=DIRECTION('ref_axis',(1.,0.,0.)); #366881=DIRECTION('center_axis',(0.,0.,1.)); #366882=DIRECTION('ref_axis',(1.,0.,0.)); #366883=DIRECTION('center_axis',(0.,0.,1.)); #366884=DIRECTION('ref_axis',(1.,0.,0.)); #366885=DIRECTION('center_axis',(0.,0.,1.)); #366886=DIRECTION('ref_axis',(1.,0.,0.)); #366887=DIRECTION('center_axis',(0.,0.,-1.)); #366888=DIRECTION('ref_axis',(1.,0.,0.)); #366889=DIRECTION('',(0.,0.,-1.)); #366890=DIRECTION('center_axis',(0.,0.,1.)); #366891=DIRECTION('ref_axis',(1.,0.,0.)); #366892=DIRECTION('center_axis',(0.,0.,1.)); #366893=DIRECTION('ref_axis',(1.,0.,0.)); #366894=DIRECTION('center_axis',(0.,0.,1.)); #366895=DIRECTION('ref_axis',(1.,0.,0.)); #366896=DIRECTION('',(0.,0.,-1.)); #366897=DIRECTION('center_axis',(0.,0.,1.)); #366898=DIRECTION('ref_axis',(1.,0.,0.)); #366899=DIRECTION('center_axis',(0.,0.,1.)); #366900=DIRECTION('ref_axis',(1.,0.,0.)); #366901=DIRECTION('center_axis',(0.,0.,1.)); #366902=DIRECTION('ref_axis',(1.,0.,0.)); #366903=DIRECTION('center_axis',(0.,0.,1.)); #366904=DIRECTION('ref_axis',(1.,0.,0.)); #366905=DIRECTION('center_axis',(0.,0.,-1.)); #366906=DIRECTION('ref_axis',(1.,0.,0.)); #366907=DIRECTION('',(0.,0.,-1.)); #366908=DIRECTION('center_axis',(0.,0.,1.)); #366909=DIRECTION('ref_axis',(1.,0.,0.)); #366910=DIRECTION('center_axis',(0.,0.,1.)); #366911=DIRECTION('ref_axis',(1.,0.,0.)); #366912=DIRECTION('center_axis',(0.,0.,1.)); #366913=DIRECTION('ref_axis',(1.,0.,0.)); #366914=DIRECTION('',(0.,0.,-1.)); #366915=DIRECTION('center_axis',(0.,0.,1.)); #366916=DIRECTION('ref_axis',(1.,0.,0.)); #366917=DIRECTION('center_axis',(0.,0.,1.)); #366918=DIRECTION('ref_axis',(1.,0.,0.)); #366919=DIRECTION('center_axis',(0.,0.,1.)); #366920=DIRECTION('ref_axis',(1.,0.,0.)); #366921=DIRECTION('center_axis',(0.,0.,1.)); #366922=DIRECTION('ref_axis',(1.,0.,0.)); #366923=DIRECTION('center_axis',(0.,0.,-1.)); #366924=DIRECTION('ref_axis',(1.,0.,0.)); #366925=DIRECTION('',(0.,0.,-1.)); #366926=DIRECTION('center_axis',(0.,0.,1.)); #366927=DIRECTION('ref_axis',(1.,0.,0.)); #366928=DIRECTION('center_axis',(0.,0.,1.)); #366929=DIRECTION('ref_axis',(1.,0.,0.)); #366930=DIRECTION('center_axis',(0.,0.,1.)); #366931=DIRECTION('ref_axis',(1.,0.,0.)); #366932=DIRECTION('',(0.,0.,-1.)); #366933=DIRECTION('center_axis',(0.,0.,1.)); #366934=DIRECTION('ref_axis',(1.,0.,0.)); #366935=DIRECTION('center_axis',(0.,0.,1.)); #366936=DIRECTION('ref_axis',(1.,0.,0.)); #366937=DIRECTION('center_axis',(0.,0.,1.)); #366938=DIRECTION('ref_axis',(1.,0.,0.)); #366939=DIRECTION('center_axis',(0.,0.,1.)); #366940=DIRECTION('ref_axis',(1.,0.,0.)); #366941=DIRECTION('center_axis',(0.,0.,-1.)); #366942=DIRECTION('ref_axis',(1.,0.,0.)); #366943=DIRECTION('',(0.,0.,-1.)); #366944=DIRECTION('center_axis',(0.,0.,1.)); #366945=DIRECTION('ref_axis',(1.,0.,0.)); #366946=DIRECTION('center_axis',(0.,0.,1.)); #366947=DIRECTION('ref_axis',(1.,0.,0.)); #366948=DIRECTION('center_axis',(0.,0.,1.)); #366949=DIRECTION('ref_axis',(1.,0.,0.)); #366950=DIRECTION('',(0.,0.,-1.)); #366951=DIRECTION('center_axis',(0.,0.,1.)); #366952=DIRECTION('ref_axis',(1.,0.,0.)); #366953=DIRECTION('center_axis',(0.,0.,1.)); #366954=DIRECTION('ref_axis',(1.,0.,0.)); #366955=DIRECTION('center_axis',(0.,0.,1.)); #366956=DIRECTION('ref_axis',(1.,0.,0.)); #366957=DIRECTION('center_axis',(0.,0.,1.)); #366958=DIRECTION('ref_axis',(1.,0.,0.)); #366959=DIRECTION('center_axis',(0.,0.,-1.)); #366960=DIRECTION('ref_axis',(1.,0.,0.)); #366961=DIRECTION('',(0.,0.,-1.)); #366962=DIRECTION('center_axis',(0.,0.,1.)); #366963=DIRECTION('ref_axis',(1.,0.,0.)); #366964=DIRECTION('center_axis',(0.,0.,1.)); #366965=DIRECTION('ref_axis',(1.,0.,0.)); #366966=DIRECTION('center_axis',(0.,0.,1.)); #366967=DIRECTION('ref_axis',(1.,0.,0.)); #366968=DIRECTION('',(0.,0.,-1.)); #366969=DIRECTION('center_axis',(0.,0.,1.)); #366970=DIRECTION('ref_axis',(1.,0.,0.)); #366971=DIRECTION('center_axis',(0.,0.,1.)); #366972=DIRECTION('ref_axis',(1.,0.,0.)); #366973=DIRECTION('center_axis',(0.,0.,1.)); #366974=DIRECTION('ref_axis',(1.,0.,0.)); #366975=DIRECTION('center_axis',(0.,0.,1.)); #366976=DIRECTION('ref_axis',(1.,0.,0.)); #366977=DIRECTION('center_axis',(0.,0.,-1.)); #366978=DIRECTION('ref_axis',(1.,0.,0.)); #366979=DIRECTION('',(0.,0.,-1.)); #366980=DIRECTION('center_axis',(0.,0.,1.)); #366981=DIRECTION('ref_axis',(1.,0.,0.)); #366982=DIRECTION('center_axis',(0.,0.,1.)); #366983=DIRECTION('ref_axis',(1.,0.,0.)); #366984=DIRECTION('center_axis',(0.,0.,1.)); #366985=DIRECTION('ref_axis',(1.,0.,0.)); #366986=DIRECTION('',(0.,0.,-1.)); #366987=DIRECTION('center_axis',(0.,0.,1.)); #366988=DIRECTION('ref_axis',(1.,0.,0.)); #366989=DIRECTION('center_axis',(0.,0.,1.)); #366990=DIRECTION('ref_axis',(1.,0.,0.)); #366991=DIRECTION('center_axis',(0.,0.,1.)); #366992=DIRECTION('ref_axis',(1.,0.,0.)); #366993=DIRECTION('center_axis',(0.,0.,1.)); #366994=DIRECTION('ref_axis',(1.,0.,0.)); #366995=DIRECTION('center_axis',(0.,0.,-1.)); #366996=DIRECTION('ref_axis',(1.,0.,0.)); #366997=DIRECTION('',(0.,0.,-1.)); #366998=DIRECTION('center_axis',(0.,0.,1.)); #366999=DIRECTION('ref_axis',(1.,0.,0.)); #367000=DIRECTION('center_axis',(0.,0.,1.)); #367001=DIRECTION('ref_axis',(1.,0.,0.)); #367002=DIRECTION('center_axis',(0.,0.,1.)); #367003=DIRECTION('ref_axis',(1.,0.,0.)); #367004=DIRECTION('',(0.,0.,-1.)); #367005=DIRECTION('center_axis',(0.,0.,1.)); #367006=DIRECTION('ref_axis',(1.,0.,0.)); #367007=DIRECTION('center_axis',(0.,0.,1.)); #367008=DIRECTION('ref_axis',(1.,0.,0.)); #367009=DIRECTION('center_axis',(0.,0.,1.)); #367010=DIRECTION('ref_axis',(1.,0.,0.)); #367011=DIRECTION('center_axis',(0.,0.,1.)); #367012=DIRECTION('ref_axis',(1.,0.,0.)); #367013=DIRECTION('center_axis',(0.,0.,-1.)); #367014=DIRECTION('ref_axis',(1.,0.,0.)); #367015=DIRECTION('',(0.,0.,-1.)); #367016=DIRECTION('center_axis',(0.,0.,1.)); #367017=DIRECTION('ref_axis',(1.,0.,0.)); #367018=DIRECTION('center_axis',(0.,0.,1.)); #367019=DIRECTION('ref_axis',(1.,0.,0.)); #367020=DIRECTION('center_axis',(0.,0.,1.)); #367021=DIRECTION('ref_axis',(1.,0.,0.)); #367022=DIRECTION('',(0.,0.,-1.)); #367023=DIRECTION('center_axis',(0.,0.,1.)); #367024=DIRECTION('ref_axis',(1.,0.,0.)); #367025=DIRECTION('center_axis',(0.,0.,1.)); #367026=DIRECTION('ref_axis',(1.,0.,0.)); #367027=DIRECTION('center_axis',(0.,0.,1.)); #367028=DIRECTION('ref_axis',(1.,0.,0.)); #367029=DIRECTION('center_axis',(0.,0.,1.)); #367030=DIRECTION('ref_axis',(1.,0.,0.)); #367031=DIRECTION('center_axis',(0.,0.,-1.)); #367032=DIRECTION('ref_axis',(1.,0.,0.)); #367033=DIRECTION('',(0.,0.,-1.)); #367034=DIRECTION('center_axis',(0.,0.,1.)); #367035=DIRECTION('ref_axis',(1.,0.,0.)); #367036=DIRECTION('center_axis',(0.,0.,1.)); #367037=DIRECTION('ref_axis',(1.,0.,0.)); #367038=DIRECTION('center_axis',(0.,0.,1.)); #367039=DIRECTION('ref_axis',(1.,0.,0.)); #367040=DIRECTION('',(0.,0.,-1.)); #367041=DIRECTION('center_axis',(0.,0.,1.)); #367042=DIRECTION('ref_axis',(1.,0.,0.)); #367043=DIRECTION('center_axis',(0.,0.,1.)); #367044=DIRECTION('ref_axis',(1.,0.,0.)); #367045=DIRECTION('center_axis',(0.,0.,1.)); #367046=DIRECTION('ref_axis',(1.,0.,0.)); #367047=DIRECTION('center_axis',(0.,0.,1.)); #367048=DIRECTION('ref_axis',(1.,0.,0.)); #367049=DIRECTION('center_axis',(0.,0.,-1.)); #367050=DIRECTION('ref_axis',(1.,0.,0.)); #367051=DIRECTION('',(0.,0.,-1.)); #367052=DIRECTION('center_axis',(0.,0.,1.)); #367053=DIRECTION('ref_axis',(1.,0.,0.)); #367054=DIRECTION('center_axis',(0.,0.,1.)); #367055=DIRECTION('ref_axis',(1.,0.,0.)); #367056=DIRECTION('center_axis',(0.,0.,1.)); #367057=DIRECTION('ref_axis',(1.,0.,0.)); #367058=DIRECTION('',(0.,0.,-1.)); #367059=DIRECTION('center_axis',(0.,0.,1.)); #367060=DIRECTION('ref_axis',(1.,0.,0.)); #367061=DIRECTION('center_axis',(0.,0.,1.)); #367062=DIRECTION('ref_axis',(1.,0.,0.)); #367063=DIRECTION('center_axis',(0.,0.,1.)); #367064=DIRECTION('ref_axis',(1.,0.,0.)); #367065=DIRECTION('center_axis',(0.,0.,1.)); #367066=DIRECTION('ref_axis',(1.,0.,0.)); #367067=DIRECTION('center_axis',(0.,0.,-1.)); #367068=DIRECTION('ref_axis',(1.,0.,0.)); #367069=DIRECTION('',(0.,0.,-1.)); #367070=DIRECTION('center_axis',(0.,0.,1.)); #367071=DIRECTION('ref_axis',(1.,0.,0.)); #367072=DIRECTION('center_axis',(0.,0.,1.)); #367073=DIRECTION('ref_axis',(1.,0.,0.)); #367074=DIRECTION('center_axis',(0.,0.,1.)); #367075=DIRECTION('ref_axis',(1.,0.,0.)); #367076=DIRECTION('',(0.,0.,-1.)); #367077=DIRECTION('center_axis',(0.,0.,1.)); #367078=DIRECTION('ref_axis',(1.,0.,0.)); #367079=DIRECTION('center_axis',(0.,0.,1.)); #367080=DIRECTION('ref_axis',(1.,0.,0.)); #367081=DIRECTION('center_axis',(0.,0.,1.)); #367082=DIRECTION('ref_axis',(1.,0.,0.)); #367083=DIRECTION('center_axis',(0.,0.,1.)); #367084=DIRECTION('ref_axis',(1.,0.,0.)); #367085=DIRECTION('center_axis',(0.,0.,-1.)); #367086=DIRECTION('ref_axis',(1.,0.,0.)); #367087=DIRECTION('',(0.,0.,-1.)); #367088=DIRECTION('center_axis',(0.,0.,1.)); #367089=DIRECTION('ref_axis',(1.,0.,0.)); #367090=DIRECTION('center_axis',(0.,0.,1.)); #367091=DIRECTION('ref_axis',(1.,0.,0.)); #367092=DIRECTION('center_axis',(0.,0.,1.)); #367093=DIRECTION('ref_axis',(1.,0.,0.)); #367094=DIRECTION('',(0.,0.,-1.)); #367095=DIRECTION('center_axis',(0.,0.,1.)); #367096=DIRECTION('ref_axis',(1.,0.,0.)); #367097=DIRECTION('center_axis',(0.,0.,1.)); #367098=DIRECTION('ref_axis',(1.,0.,0.)); #367099=DIRECTION('center_axis',(0.,0.,1.)); #367100=DIRECTION('ref_axis',(1.,0.,0.)); #367101=DIRECTION('center_axis',(0.,0.,1.)); #367102=DIRECTION('ref_axis',(1.,0.,0.)); #367103=DIRECTION('center_axis',(0.,0.,-1.)); #367104=DIRECTION('ref_axis',(1.,0.,0.)); #367105=DIRECTION('',(0.,0.,-1.)); #367106=DIRECTION('center_axis',(0.,0.,1.)); #367107=DIRECTION('ref_axis',(1.,0.,0.)); #367108=DIRECTION('center_axis',(0.,0.,1.)); #367109=DIRECTION('ref_axis',(1.,0.,0.)); #367110=DIRECTION('center_axis',(0.,0.,1.)); #367111=DIRECTION('ref_axis',(1.,0.,0.)); #367112=DIRECTION('',(0.,0.,-1.)); #367113=DIRECTION('center_axis',(0.,0.,1.)); #367114=DIRECTION('ref_axis',(1.,0.,0.)); #367115=DIRECTION('center_axis',(0.,0.,1.)); #367116=DIRECTION('ref_axis',(1.,0.,0.)); #367117=DIRECTION('center_axis',(0.,0.,1.)); #367118=DIRECTION('ref_axis',(1.,0.,0.)); #367119=DIRECTION('center_axis',(0.,0.,1.)); #367120=DIRECTION('ref_axis',(1.,0.,0.)); #367121=DIRECTION('center_axis',(0.,0.,-1.)); #367122=DIRECTION('ref_axis',(1.,0.,0.)); #367123=DIRECTION('',(0.,0.,-1.)); #367124=DIRECTION('center_axis',(0.,0.,1.)); #367125=DIRECTION('ref_axis',(1.,0.,0.)); #367126=DIRECTION('center_axis',(0.,0.,1.)); #367127=DIRECTION('ref_axis',(1.,0.,0.)); #367128=DIRECTION('center_axis',(0.,0.,1.)); #367129=DIRECTION('ref_axis',(1.,0.,0.)); #367130=DIRECTION('',(0.,0.,-1.)); #367131=DIRECTION('center_axis',(0.,0.,1.)); #367132=DIRECTION('ref_axis',(1.,0.,0.)); #367133=DIRECTION('center_axis',(0.,0.,1.)); #367134=DIRECTION('ref_axis',(1.,0.,0.)); #367135=DIRECTION('center_axis',(0.,0.,1.)); #367136=DIRECTION('ref_axis',(1.,0.,0.)); #367137=DIRECTION('center_axis',(0.,0.,1.)); #367138=DIRECTION('ref_axis',(1.,0.,0.)); #367139=DIRECTION('center_axis',(0.,0.,-1.)); #367140=DIRECTION('ref_axis',(1.,0.,0.)); #367141=DIRECTION('',(0.,0.,-1.)); #367142=DIRECTION('center_axis',(0.,0.,1.)); #367143=DIRECTION('ref_axis',(1.,0.,0.)); #367144=DIRECTION('center_axis',(0.,0.,1.)); #367145=DIRECTION('ref_axis',(1.,0.,0.)); #367146=DIRECTION('center_axis',(0.,0.,1.)); #367147=DIRECTION('ref_axis',(1.,0.,0.)); #367148=DIRECTION('',(0.,0.,-1.)); #367149=DIRECTION('center_axis',(0.,0.,1.)); #367150=DIRECTION('ref_axis',(1.,0.,0.)); #367151=DIRECTION('center_axis',(0.,0.,1.)); #367152=DIRECTION('ref_axis',(1.,0.,0.)); #367153=DIRECTION('center_axis',(0.,0.,1.)); #367154=DIRECTION('ref_axis',(1.,0.,0.)); #367155=DIRECTION('center_axis',(0.,0.,1.)); #367156=DIRECTION('ref_axis',(1.,0.,0.)); #367157=DIRECTION('center_axis',(0.,0.,-1.)); #367158=DIRECTION('ref_axis',(1.,0.,0.)); #367159=DIRECTION('',(0.,0.,-1.)); #367160=DIRECTION('center_axis',(0.,0.,1.)); #367161=DIRECTION('ref_axis',(1.,0.,0.)); #367162=DIRECTION('center_axis',(0.,0.,1.)); #367163=DIRECTION('ref_axis',(1.,0.,0.)); #367164=DIRECTION('center_axis',(0.,0.,1.)); #367165=DIRECTION('ref_axis',(1.,0.,0.)); #367166=DIRECTION('',(0.,0.,-1.)); #367167=DIRECTION('center_axis',(0.,0.,1.)); #367168=DIRECTION('ref_axis',(1.,0.,0.)); #367169=DIRECTION('center_axis',(0.,0.,1.)); #367170=DIRECTION('ref_axis',(1.,0.,0.)); #367171=DIRECTION('center_axis',(0.,0.,1.)); #367172=DIRECTION('ref_axis',(1.,0.,0.)); #367173=DIRECTION('center_axis',(0.,0.,1.)); #367174=DIRECTION('ref_axis',(1.,0.,0.)); #367175=DIRECTION('center_axis',(0.,0.,-1.)); #367176=DIRECTION('ref_axis',(1.,0.,0.)); #367177=DIRECTION('',(0.,0.,-1.)); #367178=DIRECTION('center_axis',(0.,0.,1.)); #367179=DIRECTION('ref_axis',(1.,0.,0.)); #367180=DIRECTION('center_axis',(0.,0.,1.)); #367181=DIRECTION('ref_axis',(1.,0.,0.)); #367182=DIRECTION('center_axis',(0.,0.,1.)); #367183=DIRECTION('ref_axis',(1.,0.,0.)); #367184=DIRECTION('',(0.,0.,-1.)); #367185=DIRECTION('center_axis',(0.,0.,1.)); #367186=DIRECTION('ref_axis',(1.,0.,0.)); #367187=DIRECTION('center_axis',(0.,0.,1.)); #367188=DIRECTION('ref_axis',(1.,0.,0.)); #367189=DIRECTION('center_axis',(0.,0.,1.)); #367190=DIRECTION('ref_axis',(1.,0.,0.)); #367191=DIRECTION('center_axis',(0.,0.,1.)); #367192=DIRECTION('ref_axis',(1.,0.,0.)); #367193=DIRECTION('center_axis',(0.,0.,-1.)); #367194=DIRECTION('ref_axis',(1.,0.,0.)); #367195=DIRECTION('',(0.,0.,-1.)); #367196=DIRECTION('center_axis',(0.,0.,1.)); #367197=DIRECTION('ref_axis',(1.,0.,0.)); #367198=DIRECTION('center_axis',(0.,0.,1.)); #367199=DIRECTION('ref_axis',(1.,0.,0.)); #367200=DIRECTION('center_axis',(0.,0.,1.)); #367201=DIRECTION('ref_axis',(1.,0.,0.)); #367202=DIRECTION('',(0.,0.,-1.)); #367203=DIRECTION('center_axis',(0.,0.,1.)); #367204=DIRECTION('ref_axis',(1.,0.,0.)); #367205=DIRECTION('center_axis',(0.,0.,1.)); #367206=DIRECTION('ref_axis',(1.,0.,0.)); #367207=DIRECTION('center_axis',(0.,0.,1.)); #367208=DIRECTION('ref_axis',(1.,0.,0.)); #367209=DIRECTION('center_axis',(0.,0.,1.)); #367210=DIRECTION('ref_axis',(1.,0.,0.)); #367211=DIRECTION('center_axis',(0.,0.,-1.)); #367212=DIRECTION('ref_axis',(1.,0.,0.)); #367213=DIRECTION('',(0.,0.,-1.)); #367214=DIRECTION('center_axis',(0.,0.,1.)); #367215=DIRECTION('ref_axis',(1.,0.,0.)); #367216=DIRECTION('center_axis',(0.,0.,1.)); #367217=DIRECTION('ref_axis',(1.,0.,0.)); #367218=DIRECTION('center_axis',(0.,0.,1.)); #367219=DIRECTION('ref_axis',(1.,0.,0.)); #367220=DIRECTION('',(0.,0.,-1.)); #367221=DIRECTION('center_axis',(0.,0.,1.)); #367222=DIRECTION('ref_axis',(1.,0.,0.)); #367223=DIRECTION('center_axis',(0.,0.,1.)); #367224=DIRECTION('ref_axis',(1.,0.,0.)); #367225=DIRECTION('center_axis',(0.,0.,1.)); #367226=DIRECTION('ref_axis',(1.,0.,0.)); #367227=DIRECTION('center_axis',(0.,0.,1.)); #367228=DIRECTION('ref_axis',(1.,0.,0.)); #367229=DIRECTION('center_axis',(0.,0.,-1.)); #367230=DIRECTION('ref_axis',(1.,0.,0.)); #367231=DIRECTION('',(0.,0.,-1.)); #367232=DIRECTION('center_axis',(0.,0.,1.)); #367233=DIRECTION('ref_axis',(1.,0.,0.)); #367234=DIRECTION('center_axis',(0.,0.,1.)); #367235=DIRECTION('ref_axis',(1.,0.,0.)); #367236=DIRECTION('center_axis',(0.,0.,1.)); #367237=DIRECTION('ref_axis',(1.,0.,0.)); #367238=DIRECTION('',(0.,0.,-1.)); #367239=DIRECTION('center_axis',(0.,0.,1.)); #367240=DIRECTION('ref_axis',(1.,0.,0.)); #367241=DIRECTION('center_axis',(0.,0.,1.)); #367242=DIRECTION('ref_axis',(1.,0.,0.)); #367243=DIRECTION('center_axis',(0.,0.,1.)); #367244=DIRECTION('ref_axis',(1.,0.,0.)); #367245=DIRECTION('center_axis',(0.,0.,1.)); #367246=DIRECTION('ref_axis',(1.,0.,0.)); #367247=DIRECTION('center_axis',(0.,0.,-1.)); #367248=DIRECTION('ref_axis',(1.,0.,0.)); #367249=DIRECTION('',(0.,0.,-1.)); #367250=DIRECTION('center_axis',(0.,0.,1.)); #367251=DIRECTION('ref_axis',(1.,0.,0.)); #367252=DIRECTION('center_axis',(0.,0.,1.)); #367253=DIRECTION('ref_axis',(1.,0.,0.)); #367254=DIRECTION('center_axis',(0.,0.,1.)); #367255=DIRECTION('ref_axis',(1.,0.,0.)); #367256=DIRECTION('',(0.,0.,-1.)); #367257=DIRECTION('center_axis',(0.,0.,1.)); #367258=DIRECTION('ref_axis',(1.,0.,0.)); #367259=DIRECTION('center_axis',(0.,0.,1.)); #367260=DIRECTION('ref_axis',(1.,0.,0.)); #367261=DIRECTION('center_axis',(0.,0.,1.)); #367262=DIRECTION('ref_axis',(1.,0.,0.)); #367263=DIRECTION('center_axis',(0.,0.,1.)); #367264=DIRECTION('ref_axis',(1.,0.,0.)); #367265=DIRECTION('center_axis',(0.,0.,-1.)); #367266=DIRECTION('ref_axis',(1.,0.,0.)); #367267=DIRECTION('',(0.,0.,-1.)); #367268=DIRECTION('center_axis',(0.,0.,1.)); #367269=DIRECTION('ref_axis',(1.,0.,0.)); #367270=DIRECTION('center_axis',(0.,0.,1.)); #367271=DIRECTION('ref_axis',(1.,0.,0.)); #367272=DIRECTION('center_axis',(0.,0.,1.)); #367273=DIRECTION('ref_axis',(1.,0.,0.)); #367274=DIRECTION('',(0.,0.,-1.)); #367275=DIRECTION('center_axis',(0.,0.,1.)); #367276=DIRECTION('ref_axis',(1.,0.,0.)); #367277=DIRECTION('center_axis',(0.,0.,1.)); #367278=DIRECTION('ref_axis',(1.,0.,0.)); #367279=DIRECTION('center_axis',(0.,0.,1.)); #367280=DIRECTION('ref_axis',(1.,0.,0.)); #367281=DIRECTION('center_axis',(0.,0.,1.)); #367282=DIRECTION('ref_axis',(1.,0.,0.)); #367283=DIRECTION('center_axis',(0.,0.,-1.)); #367284=DIRECTION('ref_axis',(1.,0.,0.)); #367285=DIRECTION('',(0.,0.,-1.)); #367286=DIRECTION('center_axis',(0.,0.,1.)); #367287=DIRECTION('ref_axis',(1.,0.,0.)); #367288=DIRECTION('center_axis',(0.,0.,1.)); #367289=DIRECTION('ref_axis',(1.,0.,0.)); #367290=DIRECTION('center_axis',(0.,0.,1.)); #367291=DIRECTION('ref_axis',(1.,0.,0.)); #367292=DIRECTION('',(0.,0.,-1.)); #367293=DIRECTION('center_axis',(0.,0.,1.)); #367294=DIRECTION('ref_axis',(1.,0.,0.)); #367295=DIRECTION('center_axis',(0.,0.,1.)); #367296=DIRECTION('ref_axis',(1.,0.,0.)); #367297=DIRECTION('center_axis',(0.,0.,1.)); #367298=DIRECTION('ref_axis',(1.,0.,0.)); #367299=DIRECTION('center_axis',(0.,0.,1.)); #367300=DIRECTION('ref_axis',(1.,0.,0.)); #367301=DIRECTION('center_axis',(0.,0.,-1.)); #367302=DIRECTION('ref_axis',(1.,0.,0.)); #367303=DIRECTION('',(0.,0.,-1.)); #367304=DIRECTION('center_axis',(0.,0.,1.)); #367305=DIRECTION('ref_axis',(1.,0.,0.)); #367306=DIRECTION('center_axis',(0.,0.,1.)); #367307=DIRECTION('ref_axis',(1.,0.,0.)); #367308=DIRECTION('center_axis',(0.,0.,1.)); #367309=DIRECTION('ref_axis',(1.,0.,0.)); #367310=DIRECTION('',(0.,0.,-1.)); #367311=DIRECTION('center_axis',(0.,0.,1.)); #367312=DIRECTION('ref_axis',(1.,0.,0.)); #367313=DIRECTION('center_axis',(0.,0.,1.)); #367314=DIRECTION('ref_axis',(1.,0.,0.)); #367315=DIRECTION('center_axis',(0.,0.,1.)); #367316=DIRECTION('ref_axis',(1.,0.,0.)); #367317=DIRECTION('center_axis',(0.,0.,1.)); #367318=DIRECTION('ref_axis',(1.,0.,0.)); #367319=DIRECTION('center_axis',(0.,0.,-1.)); #367320=DIRECTION('ref_axis',(1.,0.,0.)); #367321=DIRECTION('',(0.,0.,-1.)); #367322=DIRECTION('center_axis',(0.,0.,1.)); #367323=DIRECTION('ref_axis',(1.,0.,0.)); #367324=DIRECTION('center_axis',(0.,0.,1.)); #367325=DIRECTION('ref_axis',(1.,0.,0.)); #367326=DIRECTION('center_axis',(0.,0.,1.)); #367327=DIRECTION('ref_axis',(1.,0.,0.)); #367328=DIRECTION('',(0.,0.,-1.)); #367329=DIRECTION('center_axis',(0.,0.,1.)); #367330=DIRECTION('ref_axis',(1.,0.,0.)); #367331=DIRECTION('center_axis',(0.,0.,1.)); #367332=DIRECTION('ref_axis',(1.,0.,0.)); #367333=DIRECTION('center_axis',(0.,0.,1.)); #367334=DIRECTION('ref_axis',(1.,0.,0.)); #367335=DIRECTION('center_axis',(0.,0.,1.)); #367336=DIRECTION('ref_axis',(1.,0.,0.)); #367337=DIRECTION('center_axis',(0.,0.,-1.)); #367338=DIRECTION('ref_axis',(1.,0.,0.)); #367339=DIRECTION('',(0.,0.,-1.)); #367340=DIRECTION('center_axis',(0.,0.,1.)); #367341=DIRECTION('ref_axis',(1.,0.,0.)); #367342=DIRECTION('center_axis',(0.,0.,1.)); #367343=DIRECTION('ref_axis',(1.,0.,0.)); #367344=DIRECTION('center_axis',(0.,0.,1.)); #367345=DIRECTION('ref_axis',(1.,0.,0.)); #367346=DIRECTION('',(0.,0.,-1.)); #367347=DIRECTION('center_axis',(0.,0.,1.)); #367348=DIRECTION('ref_axis',(1.,0.,0.)); #367349=DIRECTION('center_axis',(0.,0.,1.)); #367350=DIRECTION('ref_axis',(1.,0.,0.)); #367351=DIRECTION('center_axis',(0.,0.,1.)); #367352=DIRECTION('ref_axis',(1.,0.,0.)); #367353=DIRECTION('center_axis',(0.,0.,1.)); #367354=DIRECTION('ref_axis',(1.,0.,0.)); #367355=DIRECTION('center_axis',(0.,0.,-1.)); #367356=DIRECTION('ref_axis',(1.,0.,0.)); #367357=DIRECTION('',(0.,0.,-1.)); #367358=DIRECTION('center_axis',(0.,0.,1.)); #367359=DIRECTION('ref_axis',(1.,0.,0.)); #367360=DIRECTION('center_axis',(0.,0.,1.)); #367361=DIRECTION('ref_axis',(1.,0.,0.)); #367362=DIRECTION('center_axis',(0.,0.,1.)); #367363=DIRECTION('ref_axis',(1.,0.,0.)); #367364=DIRECTION('',(0.,0.,-1.)); #367365=DIRECTION('center_axis',(0.,0.,1.)); #367366=DIRECTION('ref_axis',(1.,0.,0.)); #367367=DIRECTION('center_axis',(0.,0.,1.)); #367368=DIRECTION('ref_axis',(1.,0.,0.)); #367369=DIRECTION('center_axis',(0.,0.,1.)); #367370=DIRECTION('ref_axis',(1.,0.,0.)); #367371=DIRECTION('center_axis',(0.,0.,1.)); #367372=DIRECTION('ref_axis',(1.,0.,0.)); #367373=DIRECTION('center_axis',(0.,0.,-1.)); #367374=DIRECTION('ref_axis',(1.,0.,0.)); #367375=DIRECTION('',(0.,0.,-1.)); #367376=DIRECTION('center_axis',(0.,0.,1.)); #367377=DIRECTION('ref_axis',(1.,0.,0.)); #367378=DIRECTION('center_axis',(0.,0.,1.)); #367379=DIRECTION('ref_axis',(1.,0.,0.)); #367380=DIRECTION('center_axis',(0.,0.,1.)); #367381=DIRECTION('ref_axis',(1.,0.,0.)); #367382=DIRECTION('',(0.,0.,-1.)); #367383=DIRECTION('center_axis',(0.,0.,1.)); #367384=DIRECTION('ref_axis',(1.,0.,0.)); #367385=DIRECTION('center_axis',(0.,0.,1.)); #367386=DIRECTION('ref_axis',(1.,0.,0.)); #367387=DIRECTION('center_axis',(0.,0.,1.)); #367388=DIRECTION('ref_axis',(1.,0.,0.)); #367389=DIRECTION('center_axis',(0.,0.,1.)); #367390=DIRECTION('ref_axis',(1.,0.,0.)); #367391=DIRECTION('center_axis',(0.,0.,-1.)); #367392=DIRECTION('ref_axis',(1.,0.,0.)); #367393=DIRECTION('',(0.,0.,-1.)); #367394=DIRECTION('center_axis',(0.,0.,1.)); #367395=DIRECTION('ref_axis',(1.,0.,0.)); #367396=DIRECTION('center_axis',(0.,0.,1.)); #367397=DIRECTION('ref_axis',(1.,0.,0.)); #367398=DIRECTION('center_axis',(0.,0.,1.)); #367399=DIRECTION('ref_axis',(1.,0.,0.)); #367400=DIRECTION('',(0.,0.,-1.)); #367401=DIRECTION('center_axis',(0.,0.,1.)); #367402=DIRECTION('ref_axis',(1.,0.,0.)); #367403=DIRECTION('center_axis',(0.,0.,1.)); #367404=DIRECTION('ref_axis',(1.,0.,0.)); #367405=DIRECTION('center_axis',(0.,0.,1.)); #367406=DIRECTION('ref_axis',(1.,0.,0.)); #367407=DIRECTION('center_axis',(0.,0.,1.)); #367408=DIRECTION('ref_axis',(1.,0.,0.)); #367409=DIRECTION('center_axis',(0.,0.,-1.)); #367410=DIRECTION('ref_axis',(1.,0.,0.)); #367411=DIRECTION('',(0.,0.,-1.)); #367412=DIRECTION('center_axis',(0.,0.,1.)); #367413=DIRECTION('ref_axis',(1.,0.,0.)); #367414=DIRECTION('center_axis',(0.,0.,1.)); #367415=DIRECTION('ref_axis',(1.,0.,0.)); #367416=DIRECTION('center_axis',(0.,0.,1.)); #367417=DIRECTION('ref_axis',(1.,0.,0.)); #367418=DIRECTION('',(0.,0.,-1.)); #367419=DIRECTION('center_axis',(0.,0.,1.)); #367420=DIRECTION('ref_axis',(1.,0.,0.)); #367421=DIRECTION('center_axis',(0.,0.,1.)); #367422=DIRECTION('ref_axis',(1.,0.,0.)); #367423=DIRECTION('center_axis',(0.,0.,1.)); #367424=DIRECTION('ref_axis',(1.,0.,0.)); #367425=DIRECTION('center_axis',(0.,0.,1.)); #367426=DIRECTION('ref_axis',(1.,0.,0.)); #367427=DIRECTION('center_axis',(0.,0.,-1.)); #367428=DIRECTION('ref_axis',(1.,0.,0.)); #367429=DIRECTION('',(0.,0.,-1.)); #367430=DIRECTION('center_axis',(0.,0.,1.)); #367431=DIRECTION('ref_axis',(1.,0.,0.)); #367432=DIRECTION('center_axis',(0.,0.,1.)); #367433=DIRECTION('ref_axis',(1.,0.,0.)); #367434=DIRECTION('center_axis',(0.,0.,1.)); #367435=DIRECTION('ref_axis',(1.,0.,0.)); #367436=DIRECTION('',(0.,0.,-1.)); #367437=DIRECTION('center_axis',(0.,0.,1.)); #367438=DIRECTION('ref_axis',(1.,0.,0.)); #367439=DIRECTION('center_axis',(0.,0.,1.)); #367440=DIRECTION('ref_axis',(1.,0.,0.)); #367441=DIRECTION('center_axis',(0.,0.,1.)); #367442=DIRECTION('ref_axis',(1.,0.,0.)); #367443=DIRECTION('center_axis',(0.,0.,1.)); #367444=DIRECTION('ref_axis',(1.,0.,0.)); #367445=DIRECTION('center_axis',(0.,0.,-1.)); #367446=DIRECTION('ref_axis',(1.,0.,0.)); #367447=DIRECTION('',(0.,0.,-1.)); #367448=DIRECTION('center_axis',(0.,0.,1.)); #367449=DIRECTION('ref_axis',(1.,0.,0.)); #367450=DIRECTION('center_axis',(0.,0.,1.)); #367451=DIRECTION('ref_axis',(1.,0.,0.)); #367452=DIRECTION('center_axis',(0.,0.,1.)); #367453=DIRECTION('ref_axis',(1.,0.,0.)); #367454=DIRECTION('',(0.,0.,-1.)); #367455=DIRECTION('center_axis',(0.,0.,1.)); #367456=DIRECTION('ref_axis',(1.,0.,0.)); #367457=DIRECTION('center_axis',(0.,0.,1.)); #367458=DIRECTION('ref_axis',(1.,0.,0.)); #367459=DIRECTION('center_axis',(0.,0.,1.)); #367460=DIRECTION('ref_axis',(1.,0.,0.)); #367461=DIRECTION('center_axis',(0.,0.,1.)); #367462=DIRECTION('ref_axis',(1.,0.,0.)); #367463=DIRECTION('center_axis',(0.,0.,-1.)); #367464=DIRECTION('ref_axis',(1.,0.,0.)); #367465=DIRECTION('',(0.,0.,-1.)); #367466=DIRECTION('center_axis',(0.,0.,1.)); #367467=DIRECTION('ref_axis',(1.,0.,0.)); #367468=DIRECTION('center_axis',(0.,0.,1.)); #367469=DIRECTION('ref_axis',(1.,0.,0.)); #367470=DIRECTION('center_axis',(0.,0.,1.)); #367471=DIRECTION('ref_axis',(1.,0.,0.)); #367472=DIRECTION('',(0.,0.,-1.)); #367473=DIRECTION('center_axis',(0.,0.,1.)); #367474=DIRECTION('ref_axis',(1.,0.,0.)); #367475=DIRECTION('center_axis',(0.,0.,1.)); #367476=DIRECTION('ref_axis',(1.,0.,0.)); #367477=DIRECTION('center_axis',(0.,0.,1.)); #367478=DIRECTION('ref_axis',(1.,0.,0.)); #367479=DIRECTION('center_axis',(0.,0.,1.)); #367480=DIRECTION('ref_axis',(1.,0.,0.)); #367481=DIRECTION('center_axis',(0.,0.,-1.)); #367482=DIRECTION('ref_axis',(1.,0.,0.)); #367483=DIRECTION('',(0.,0.,-1.)); #367484=DIRECTION('center_axis',(0.,0.,1.)); #367485=DIRECTION('ref_axis',(1.,0.,0.)); #367486=DIRECTION('center_axis',(0.,0.,1.)); #367487=DIRECTION('ref_axis',(1.,0.,0.)); #367488=DIRECTION('center_axis',(0.,0.,1.)); #367489=DIRECTION('ref_axis',(1.,0.,0.)); #367490=DIRECTION('',(0.,0.,-1.)); #367491=DIRECTION('center_axis',(0.,0.,1.)); #367492=DIRECTION('ref_axis',(1.,0.,0.)); #367493=DIRECTION('center_axis',(0.,0.,1.)); #367494=DIRECTION('ref_axis',(1.,0.,0.)); #367495=DIRECTION('center_axis',(0.,0.,1.)); #367496=DIRECTION('ref_axis',(1.,0.,0.)); #367497=DIRECTION('center_axis',(0.,0.,1.)); #367498=DIRECTION('ref_axis',(1.,0.,0.)); #367499=DIRECTION('center_axis',(0.,0.,-1.)); #367500=DIRECTION('ref_axis',(1.,0.,0.)); #367501=DIRECTION('',(0.,0.,-1.)); #367502=DIRECTION('center_axis',(0.,0.,1.)); #367503=DIRECTION('ref_axis',(1.,0.,0.)); #367504=DIRECTION('center_axis',(0.,0.,1.)); #367505=DIRECTION('ref_axis',(1.,0.,0.)); #367506=DIRECTION('center_axis',(0.,0.,1.)); #367507=DIRECTION('ref_axis',(1.,0.,0.)); #367508=DIRECTION('',(0.,0.,-1.)); #367509=DIRECTION('center_axis',(0.,0.,1.)); #367510=DIRECTION('ref_axis',(1.,0.,0.)); #367511=DIRECTION('center_axis',(0.,0.,1.)); #367512=DIRECTION('ref_axis',(1.,0.,0.)); #367513=DIRECTION('center_axis',(0.,0.,1.)); #367514=DIRECTION('ref_axis',(1.,0.,0.)); #367515=DIRECTION('center_axis',(0.,0.,1.)); #367516=DIRECTION('ref_axis',(1.,0.,0.)); #367517=DIRECTION('center_axis',(0.,0.,-1.)); #367518=DIRECTION('ref_axis',(1.,0.,0.)); #367519=DIRECTION('',(0.,0.,-1.)); #367520=DIRECTION('center_axis',(0.,0.,1.)); #367521=DIRECTION('ref_axis',(1.,0.,0.)); #367522=DIRECTION('center_axis',(0.,0.,1.)); #367523=DIRECTION('ref_axis',(1.,0.,0.)); #367524=DIRECTION('center_axis',(0.,0.,1.)); #367525=DIRECTION('ref_axis',(1.,0.,0.)); #367526=DIRECTION('',(0.,0.,-1.)); #367527=DIRECTION('center_axis',(0.,0.,1.)); #367528=DIRECTION('ref_axis',(1.,0.,0.)); #367529=DIRECTION('center_axis',(0.,0.,1.)); #367530=DIRECTION('ref_axis',(1.,0.,0.)); #367531=DIRECTION('center_axis',(0.,0.,1.)); #367532=DIRECTION('ref_axis',(1.,0.,0.)); #367533=DIRECTION('center_axis',(0.,0.,1.)); #367534=DIRECTION('ref_axis',(1.,0.,0.)); #367535=DIRECTION('center_axis',(0.,0.,-1.)); #367536=DIRECTION('ref_axis',(1.,0.,0.)); #367537=DIRECTION('',(0.,0.,-1.)); #367538=DIRECTION('center_axis',(0.,0.,1.)); #367539=DIRECTION('ref_axis',(1.,0.,0.)); #367540=DIRECTION('center_axis',(0.,0.,1.)); #367541=DIRECTION('ref_axis',(1.,0.,0.)); #367542=DIRECTION('center_axis',(0.,0.,1.)); #367543=DIRECTION('ref_axis',(1.,0.,0.)); #367544=DIRECTION('',(0.,0.,-1.)); #367545=DIRECTION('center_axis',(0.,0.,1.)); #367546=DIRECTION('ref_axis',(1.,0.,0.)); #367547=DIRECTION('center_axis',(0.,0.,1.)); #367548=DIRECTION('ref_axis',(1.,0.,0.)); #367549=DIRECTION('center_axis',(0.,0.,1.)); #367550=DIRECTION('ref_axis',(1.,0.,0.)); #367551=DIRECTION('center_axis',(0.,0.,1.)); #367552=DIRECTION('ref_axis',(1.,0.,0.)); #367553=DIRECTION('center_axis',(0.,0.,-1.)); #367554=DIRECTION('ref_axis',(1.,0.,0.)); #367555=DIRECTION('',(0.,0.,-1.)); #367556=DIRECTION('center_axis',(0.,0.,1.)); #367557=DIRECTION('ref_axis',(1.,0.,0.)); #367558=DIRECTION('center_axis',(0.,0.,1.)); #367559=DIRECTION('ref_axis',(1.,0.,0.)); #367560=DIRECTION('center_axis',(0.,0.,1.)); #367561=DIRECTION('ref_axis',(1.,0.,0.)); #367562=DIRECTION('',(0.,0.,-1.)); #367563=DIRECTION('center_axis',(0.,0.,1.)); #367564=DIRECTION('ref_axis',(1.,0.,0.)); #367565=DIRECTION('center_axis',(0.,0.,1.)); #367566=DIRECTION('ref_axis',(1.,0.,0.)); #367567=DIRECTION('center_axis',(0.,0.,1.)); #367568=DIRECTION('ref_axis',(1.,0.,0.)); #367569=DIRECTION('center_axis',(0.,0.,1.)); #367570=DIRECTION('ref_axis',(1.,0.,0.)); #367571=DIRECTION('center_axis',(0.,0.,-1.)); #367572=DIRECTION('ref_axis',(1.,0.,0.)); #367573=DIRECTION('',(0.,0.,-1.)); #367574=DIRECTION('center_axis',(0.,0.,1.)); #367575=DIRECTION('ref_axis',(1.,0.,0.)); #367576=DIRECTION('center_axis',(0.,0.,1.)); #367577=DIRECTION('ref_axis',(1.,0.,0.)); #367578=DIRECTION('center_axis',(0.,0.,1.)); #367579=DIRECTION('ref_axis',(1.,0.,0.)); #367580=DIRECTION('',(0.,0.,-1.)); #367581=DIRECTION('center_axis',(0.,0.,1.)); #367582=DIRECTION('ref_axis',(1.,0.,0.)); #367583=DIRECTION('center_axis',(0.,0.,1.)); #367584=DIRECTION('ref_axis',(1.,0.,0.)); #367585=DIRECTION('center_axis',(0.,0.,1.)); #367586=DIRECTION('ref_axis',(1.,0.,0.)); #367587=DIRECTION('center_axis',(0.,0.,1.)); #367588=DIRECTION('ref_axis',(1.,0.,0.)); #367589=DIRECTION('center_axis',(0.,0.,-1.)); #367590=DIRECTION('ref_axis',(1.,0.,0.)); #367591=DIRECTION('',(0.,0.,-1.)); #367592=DIRECTION('center_axis',(0.,0.,1.)); #367593=DIRECTION('ref_axis',(1.,0.,0.)); #367594=DIRECTION('center_axis',(0.,0.,1.)); #367595=DIRECTION('ref_axis',(1.,0.,0.)); #367596=DIRECTION('center_axis',(0.,0.,1.)); #367597=DIRECTION('ref_axis',(1.,0.,0.)); #367598=DIRECTION('',(0.,0.,-1.)); #367599=DIRECTION('center_axis',(0.,0.,1.)); #367600=DIRECTION('ref_axis',(1.,0.,0.)); #367601=DIRECTION('center_axis',(0.,0.,1.)); #367602=DIRECTION('ref_axis',(1.,0.,0.)); #367603=DIRECTION('center_axis',(0.,0.,1.)); #367604=DIRECTION('ref_axis',(1.,0.,0.)); #367605=DIRECTION('center_axis',(0.,0.,1.)); #367606=DIRECTION('ref_axis',(1.,0.,0.)); #367607=DIRECTION('center_axis',(0.,0.,-1.)); #367608=DIRECTION('ref_axis',(1.,0.,0.)); #367609=DIRECTION('',(0.,0.,-1.)); #367610=DIRECTION('center_axis',(0.,0.,1.)); #367611=DIRECTION('ref_axis',(1.,0.,0.)); #367612=DIRECTION('center_axis',(0.,0.,1.)); #367613=DIRECTION('ref_axis',(1.,0.,0.)); #367614=DIRECTION('center_axis',(0.,0.,1.)); #367615=DIRECTION('ref_axis',(1.,0.,0.)); #367616=DIRECTION('',(0.,0.,-1.)); #367617=DIRECTION('center_axis',(0.,0.,1.)); #367618=DIRECTION('ref_axis',(1.,0.,0.)); #367619=DIRECTION('center_axis',(0.,0.,1.)); #367620=DIRECTION('ref_axis',(1.,0.,0.)); #367621=DIRECTION('center_axis',(0.,0.,1.)); #367622=DIRECTION('ref_axis',(1.,0.,0.)); #367623=DIRECTION('center_axis',(0.,0.,1.)); #367624=DIRECTION('ref_axis',(1.,0.,0.)); #367625=DIRECTION('center_axis',(0.,0.,-1.)); #367626=DIRECTION('ref_axis',(1.,0.,0.)); #367627=DIRECTION('',(0.,0.,-1.)); #367628=DIRECTION('center_axis',(0.,0.,1.)); #367629=DIRECTION('ref_axis',(1.,0.,0.)); #367630=DIRECTION('center_axis',(0.,0.,1.)); #367631=DIRECTION('ref_axis',(1.,0.,0.)); #367632=DIRECTION('center_axis',(0.,0.,1.)); #367633=DIRECTION('ref_axis',(1.,0.,0.)); #367634=DIRECTION('',(0.,0.,-1.)); #367635=DIRECTION('center_axis',(0.,0.,1.)); #367636=DIRECTION('ref_axis',(1.,0.,0.)); #367637=DIRECTION('center_axis',(0.,0.,1.)); #367638=DIRECTION('ref_axis',(1.,0.,0.)); #367639=DIRECTION('center_axis',(0.,0.,1.)); #367640=DIRECTION('ref_axis',(1.,0.,0.)); #367641=DIRECTION('center_axis',(0.,0.,1.)); #367642=DIRECTION('ref_axis',(1.,0.,0.)); #367643=DIRECTION('center_axis',(0.,0.,-1.)); #367644=DIRECTION('ref_axis',(1.,0.,0.)); #367645=DIRECTION('',(0.,0.,-1.)); #367646=DIRECTION('center_axis',(0.,0.,1.)); #367647=DIRECTION('ref_axis',(1.,0.,0.)); #367648=DIRECTION('center_axis',(0.,0.,1.)); #367649=DIRECTION('ref_axis',(1.,0.,0.)); #367650=DIRECTION('center_axis',(0.,0.,1.)); #367651=DIRECTION('ref_axis',(1.,0.,0.)); #367652=DIRECTION('',(0.,0.,-1.)); #367653=DIRECTION('center_axis',(0.,0.,1.)); #367654=DIRECTION('ref_axis',(1.,0.,0.)); #367655=DIRECTION('center_axis',(0.,0.,1.)); #367656=DIRECTION('ref_axis',(1.,0.,0.)); #367657=DIRECTION('center_axis',(0.,0.,1.)); #367658=DIRECTION('ref_axis',(1.,0.,0.)); #367659=DIRECTION('center_axis',(0.,0.,1.)); #367660=DIRECTION('ref_axis',(1.,0.,0.)); #367661=DIRECTION('center_axis',(0.,0.,-1.)); #367662=DIRECTION('ref_axis',(1.,0.,0.)); #367663=DIRECTION('',(0.,0.,-1.)); #367664=DIRECTION('center_axis',(0.,0.,1.)); #367665=DIRECTION('ref_axis',(1.,0.,0.)); #367666=DIRECTION('center_axis',(0.,0.,1.)); #367667=DIRECTION('ref_axis',(1.,0.,0.)); #367668=DIRECTION('center_axis',(0.,0.,1.)); #367669=DIRECTION('ref_axis',(1.,0.,0.)); #367670=DIRECTION('',(0.,0.,-1.)); #367671=DIRECTION('center_axis',(0.,0.,1.)); #367672=DIRECTION('ref_axis',(1.,0.,0.)); #367673=DIRECTION('center_axis',(0.,0.,1.)); #367674=DIRECTION('ref_axis',(1.,0.,0.)); #367675=DIRECTION('center_axis',(0.,0.,1.)); #367676=DIRECTION('ref_axis',(1.,0.,0.)); #367677=DIRECTION('center_axis',(0.,0.,1.)); #367678=DIRECTION('ref_axis',(1.,0.,0.)); #367679=DIRECTION('center_axis',(0.,0.,-1.)); #367680=DIRECTION('ref_axis',(1.,0.,0.)); #367681=DIRECTION('',(0.,0.,-1.)); #367682=DIRECTION('center_axis',(0.,0.,1.)); #367683=DIRECTION('ref_axis',(1.,0.,0.)); #367684=DIRECTION('center_axis',(0.,0.,1.)); #367685=DIRECTION('ref_axis',(1.,0.,0.)); #367686=DIRECTION('center_axis',(0.,0.,1.)); #367687=DIRECTION('ref_axis',(1.,0.,0.)); #367688=DIRECTION('',(0.,0.,-1.)); #367689=DIRECTION('center_axis',(0.,0.,1.)); #367690=DIRECTION('ref_axis',(1.,0.,0.)); #367691=DIRECTION('center_axis',(0.,0.,1.)); #367692=DIRECTION('ref_axis',(1.,0.,0.)); #367693=DIRECTION('center_axis',(0.,0.,1.)); #367694=DIRECTION('ref_axis',(1.,0.,0.)); #367695=DIRECTION('center_axis',(0.,0.,1.)); #367696=DIRECTION('ref_axis',(1.,0.,0.)); #367697=DIRECTION('center_axis',(0.,0.,-1.)); #367698=DIRECTION('ref_axis',(1.,0.,0.)); #367699=DIRECTION('',(0.,0.,-1.)); #367700=DIRECTION('center_axis',(0.,0.,1.)); #367701=DIRECTION('ref_axis',(1.,0.,0.)); #367702=DIRECTION('center_axis',(0.,0.,1.)); #367703=DIRECTION('ref_axis',(1.,0.,0.)); #367704=DIRECTION('center_axis',(0.,0.,1.)); #367705=DIRECTION('ref_axis',(1.,0.,0.)); #367706=DIRECTION('',(0.,0.,-1.)); #367707=DIRECTION('center_axis',(0.,0.,1.)); #367708=DIRECTION('ref_axis',(1.,0.,0.)); #367709=DIRECTION('center_axis',(0.,0.,1.)); #367710=DIRECTION('ref_axis',(1.,0.,0.)); #367711=DIRECTION('center_axis',(0.,0.,1.)); #367712=DIRECTION('ref_axis',(1.,0.,0.)); #367713=DIRECTION('center_axis',(0.,0.,1.)); #367714=DIRECTION('ref_axis',(1.,0.,0.)); #367715=DIRECTION('center_axis',(0.,0.,-1.)); #367716=DIRECTION('ref_axis',(1.,0.,0.)); #367717=DIRECTION('',(0.,0.,-1.)); #367718=DIRECTION('center_axis',(0.,0.,1.)); #367719=DIRECTION('ref_axis',(1.,0.,0.)); #367720=DIRECTION('center_axis',(0.,0.,1.)); #367721=DIRECTION('ref_axis',(1.,0.,0.)); #367722=DIRECTION('center_axis',(0.,0.,1.)); #367723=DIRECTION('ref_axis',(1.,0.,0.)); #367724=DIRECTION('',(0.,0.,-1.)); #367725=DIRECTION('center_axis',(0.,0.,1.)); #367726=DIRECTION('ref_axis',(1.,0.,0.)); #367727=DIRECTION('center_axis',(0.,0.,1.)); #367728=DIRECTION('ref_axis',(1.,0.,0.)); #367729=DIRECTION('center_axis',(0.,0.,1.)); #367730=DIRECTION('ref_axis',(1.,0.,0.)); #367731=DIRECTION('center_axis',(0.,0.,1.)); #367732=DIRECTION('ref_axis',(1.,0.,0.)); #367733=DIRECTION('center_axis',(0.,0.,-1.)); #367734=DIRECTION('ref_axis',(1.,0.,0.)); #367735=DIRECTION('',(0.,0.,-1.)); #367736=DIRECTION('center_axis',(0.,0.,1.)); #367737=DIRECTION('ref_axis',(1.,0.,0.)); #367738=DIRECTION('center_axis',(0.,0.,1.)); #367739=DIRECTION('ref_axis',(1.,0.,0.)); #367740=DIRECTION('center_axis',(0.,0.,1.)); #367741=DIRECTION('ref_axis',(1.,0.,0.)); #367742=DIRECTION('',(0.,0.,-1.)); #367743=DIRECTION('center_axis',(0.,0.,1.)); #367744=DIRECTION('ref_axis',(1.,0.,0.)); #367745=DIRECTION('center_axis',(0.,0.,1.)); #367746=DIRECTION('ref_axis',(1.,0.,0.)); #367747=DIRECTION('center_axis',(0.,0.,1.)); #367748=DIRECTION('ref_axis',(1.,0.,0.)); #367749=DIRECTION('center_axis',(0.,0.,1.)); #367750=DIRECTION('ref_axis',(1.,0.,0.)); #367751=DIRECTION('center_axis',(0.,0.,-1.)); #367752=DIRECTION('ref_axis',(1.,0.,0.)); #367753=DIRECTION('',(0.,0.,-1.)); #367754=DIRECTION('center_axis',(0.,0.,1.)); #367755=DIRECTION('ref_axis',(1.,0.,0.)); #367756=DIRECTION('center_axis',(0.,0.,1.)); #367757=DIRECTION('ref_axis',(1.,0.,0.)); #367758=DIRECTION('center_axis',(0.,0.,1.)); #367759=DIRECTION('ref_axis',(1.,0.,0.)); #367760=DIRECTION('',(0.,0.,-1.)); #367761=DIRECTION('center_axis',(0.,0.,1.)); #367762=DIRECTION('ref_axis',(1.,0.,0.)); #367763=DIRECTION('center_axis',(0.,0.,1.)); #367764=DIRECTION('ref_axis',(1.,0.,0.)); #367765=DIRECTION('center_axis',(0.,0.,1.)); #367766=DIRECTION('ref_axis',(1.,0.,0.)); #367767=DIRECTION('center_axis',(0.,0.,1.)); #367768=DIRECTION('ref_axis',(1.,0.,0.)); #367769=DIRECTION('center_axis',(0.,0.,-1.)); #367770=DIRECTION('ref_axis',(1.,0.,0.)); #367771=DIRECTION('',(0.,0.,-1.)); #367772=DIRECTION('center_axis',(0.,0.,1.)); #367773=DIRECTION('ref_axis',(1.,0.,0.)); #367774=DIRECTION('center_axis',(0.,0.,1.)); #367775=DIRECTION('ref_axis',(1.,0.,0.)); #367776=DIRECTION('center_axis',(0.,0.,1.)); #367777=DIRECTION('ref_axis',(1.,0.,0.)); #367778=DIRECTION('',(0.,0.,-1.)); #367779=DIRECTION('center_axis',(0.,0.,1.)); #367780=DIRECTION('ref_axis',(1.,0.,0.)); #367781=DIRECTION('center_axis',(0.,0.,1.)); #367782=DIRECTION('ref_axis',(1.,0.,0.)); #367783=DIRECTION('center_axis',(0.,0.,1.)); #367784=DIRECTION('ref_axis',(1.,0.,0.)); #367785=DIRECTION('center_axis',(0.,0.,1.)); #367786=DIRECTION('ref_axis',(1.,0.,0.)); #367787=DIRECTION('center_axis',(0.,0.,-1.)); #367788=DIRECTION('ref_axis',(1.,0.,0.)); #367789=DIRECTION('',(0.,0.,-1.)); #367790=DIRECTION('center_axis',(0.,0.,1.)); #367791=DIRECTION('ref_axis',(1.,0.,0.)); #367792=DIRECTION('center_axis',(0.,0.,1.)); #367793=DIRECTION('ref_axis',(1.,0.,0.)); #367794=DIRECTION('center_axis',(0.,0.,1.)); #367795=DIRECTION('ref_axis',(1.,0.,0.)); #367796=DIRECTION('',(0.,0.,-1.)); #367797=DIRECTION('center_axis',(0.,0.,1.)); #367798=DIRECTION('ref_axis',(1.,0.,0.)); #367799=DIRECTION('center_axis',(0.,0.,1.)); #367800=DIRECTION('ref_axis',(1.,0.,0.)); #367801=DIRECTION('center_axis',(0.,0.,1.)); #367802=DIRECTION('ref_axis',(1.,0.,0.)); #367803=DIRECTION('center_axis',(0.,0.,1.)); #367804=DIRECTION('ref_axis',(1.,0.,0.)); #367805=DIRECTION('center_axis',(0.,0.,-1.)); #367806=DIRECTION('ref_axis',(1.,0.,0.)); #367807=DIRECTION('',(0.,0.,-1.)); #367808=DIRECTION('center_axis',(0.,0.,1.)); #367809=DIRECTION('ref_axis',(1.,0.,0.)); #367810=DIRECTION('center_axis',(0.,0.,1.)); #367811=DIRECTION('ref_axis',(1.,0.,0.)); #367812=DIRECTION('center_axis',(0.,0.,1.)); #367813=DIRECTION('ref_axis',(1.,0.,0.)); #367814=DIRECTION('',(0.,0.,-1.)); #367815=DIRECTION('center_axis',(0.,0.,1.)); #367816=DIRECTION('ref_axis',(1.,0.,0.)); #367817=DIRECTION('center_axis',(0.,0.,1.)); #367818=DIRECTION('ref_axis',(1.,0.,0.)); #367819=DIRECTION('center_axis',(0.,0.,1.)); #367820=DIRECTION('ref_axis',(1.,0.,0.)); #367821=DIRECTION('center_axis',(0.,0.,1.)); #367822=DIRECTION('ref_axis',(1.,0.,0.)); #367823=DIRECTION('center_axis',(0.,0.,-1.)); #367824=DIRECTION('ref_axis',(1.,0.,0.)); #367825=DIRECTION('',(0.,0.,-1.)); #367826=DIRECTION('center_axis',(0.,0.,1.)); #367827=DIRECTION('ref_axis',(1.,0.,0.)); #367828=DIRECTION('center_axis',(0.,0.,1.)); #367829=DIRECTION('ref_axis',(1.,0.,0.)); #367830=DIRECTION('center_axis',(0.,0.,1.)); #367831=DIRECTION('ref_axis',(1.,0.,0.)); #367832=DIRECTION('',(0.,0.,-1.)); #367833=DIRECTION('center_axis',(0.,0.,1.)); #367834=DIRECTION('ref_axis',(1.,0.,0.)); #367835=DIRECTION('center_axis',(0.,0.,1.)); #367836=DIRECTION('ref_axis',(1.,0.,0.)); #367837=DIRECTION('center_axis',(0.,0.,1.)); #367838=DIRECTION('ref_axis',(1.,0.,0.)); #367839=DIRECTION('center_axis',(0.,0.,1.)); #367840=DIRECTION('ref_axis',(1.,0.,0.)); #367841=DIRECTION('center_axis',(0.,0.,-1.)); #367842=DIRECTION('ref_axis',(1.,0.,0.)); #367843=DIRECTION('',(0.,0.,-1.)); #367844=DIRECTION('center_axis',(0.,0.,1.)); #367845=DIRECTION('ref_axis',(1.,0.,0.)); #367846=DIRECTION('center_axis',(0.,0.,1.)); #367847=DIRECTION('ref_axis',(1.,0.,0.)); #367848=DIRECTION('center_axis',(0.,0.,1.)); #367849=DIRECTION('ref_axis',(1.,0.,0.)); #367850=DIRECTION('',(0.,0.,-1.)); #367851=DIRECTION('center_axis',(0.,0.,1.)); #367852=DIRECTION('ref_axis',(1.,0.,0.)); #367853=DIRECTION('center_axis',(0.,0.,1.)); #367854=DIRECTION('ref_axis',(1.,0.,0.)); #367855=DIRECTION('center_axis',(0.,0.,1.)); #367856=DIRECTION('ref_axis',(1.,0.,0.)); #367857=DIRECTION('center_axis',(0.,0.,1.)); #367858=DIRECTION('ref_axis',(1.,0.,0.)); #367859=DIRECTION('center_axis',(0.,0.,-1.)); #367860=DIRECTION('ref_axis',(1.,0.,0.)); #367861=DIRECTION('',(0.,0.,-1.)); #367862=DIRECTION('center_axis',(0.,0.,1.)); #367863=DIRECTION('ref_axis',(1.,0.,0.)); #367864=DIRECTION('center_axis',(0.,0.,1.)); #367865=DIRECTION('ref_axis',(1.,0.,0.)); #367866=DIRECTION('center_axis',(0.,0.,1.)); #367867=DIRECTION('ref_axis',(1.,0.,0.)); #367868=DIRECTION('',(0.,0.,-1.)); #367869=DIRECTION('center_axis',(0.,0.,1.)); #367870=DIRECTION('ref_axis',(1.,0.,0.)); #367871=DIRECTION('center_axis',(0.,0.,1.)); #367872=DIRECTION('ref_axis',(1.,0.,0.)); #367873=DIRECTION('center_axis',(0.,0.,1.)); #367874=DIRECTION('ref_axis',(1.,0.,0.)); #367875=DIRECTION('center_axis',(0.,0.,1.)); #367876=DIRECTION('ref_axis',(1.,0.,0.)); #367877=DIRECTION('center_axis',(0.,0.,-1.)); #367878=DIRECTION('ref_axis',(1.,0.,0.)); #367879=DIRECTION('',(0.,0.,-1.)); #367880=DIRECTION('center_axis',(0.,0.,1.)); #367881=DIRECTION('ref_axis',(1.,0.,0.)); #367882=DIRECTION('center_axis',(0.,0.,1.)); #367883=DIRECTION('ref_axis',(1.,0.,0.)); #367884=DIRECTION('center_axis',(0.,0.,1.)); #367885=DIRECTION('ref_axis',(1.,0.,0.)); #367886=DIRECTION('',(0.,0.,-1.)); #367887=DIRECTION('center_axis',(0.,0.,1.)); #367888=DIRECTION('ref_axis',(1.,0.,0.)); #367889=DIRECTION('center_axis',(0.,0.,1.)); #367890=DIRECTION('ref_axis',(1.,0.,0.)); #367891=DIRECTION('center_axis',(0.,0.,1.)); #367892=DIRECTION('ref_axis',(1.,0.,0.)); #367893=DIRECTION('center_axis',(0.,0.,1.)); #367894=DIRECTION('ref_axis',(1.,0.,0.)); #367895=DIRECTION('center_axis',(0.,0.,-1.)); #367896=DIRECTION('ref_axis',(1.,0.,0.)); #367897=DIRECTION('',(0.,0.,-1.)); #367898=DIRECTION('center_axis',(0.,0.,1.)); #367899=DIRECTION('ref_axis',(1.,0.,0.)); #367900=DIRECTION('center_axis',(0.,0.,1.)); #367901=DIRECTION('ref_axis',(1.,0.,0.)); #367902=DIRECTION('center_axis',(0.,0.,1.)); #367903=DIRECTION('ref_axis',(1.,0.,0.)); #367904=DIRECTION('',(0.,0.,-1.)); #367905=DIRECTION('center_axis',(0.,0.,1.)); #367906=DIRECTION('ref_axis',(1.,0.,0.)); #367907=DIRECTION('center_axis',(0.,0.,1.)); #367908=DIRECTION('ref_axis',(1.,0.,0.)); #367909=DIRECTION('center_axis',(0.,0.,1.)); #367910=DIRECTION('ref_axis',(1.,0.,0.)); #367911=DIRECTION('center_axis',(0.,0.,1.)); #367912=DIRECTION('ref_axis',(1.,0.,0.)); #367913=DIRECTION('center_axis',(0.,0.,-1.)); #367914=DIRECTION('ref_axis',(1.,0.,0.)); #367915=DIRECTION('',(0.,0.,-1.)); #367916=DIRECTION('center_axis',(0.,0.,1.)); #367917=DIRECTION('ref_axis',(1.,0.,0.)); #367918=DIRECTION('center_axis',(0.,0.,1.)); #367919=DIRECTION('ref_axis',(1.,0.,0.)); #367920=DIRECTION('center_axis',(0.,0.,1.)); #367921=DIRECTION('ref_axis',(1.,0.,0.)); #367922=DIRECTION('',(0.,0.,-1.)); #367923=DIRECTION('center_axis',(0.,0.,1.)); #367924=DIRECTION('ref_axis',(1.,0.,0.)); #367925=DIRECTION('center_axis',(0.,0.,1.)); #367926=DIRECTION('ref_axis',(1.,0.,0.)); #367927=DIRECTION('center_axis',(0.,0.,1.)); #367928=DIRECTION('ref_axis',(1.,0.,0.)); #367929=DIRECTION('center_axis',(0.,0.,1.)); #367930=DIRECTION('ref_axis',(1.,0.,0.)); #367931=DIRECTION('center_axis',(0.,0.,-1.)); #367932=DIRECTION('ref_axis',(1.,0.,0.)); #367933=DIRECTION('',(0.,0.,-1.)); #367934=DIRECTION('center_axis',(0.,0.,1.)); #367935=DIRECTION('ref_axis',(1.,0.,0.)); #367936=DIRECTION('center_axis',(0.,0.,1.)); #367937=DIRECTION('ref_axis',(1.,0.,0.)); #367938=DIRECTION('center_axis',(0.,0.,1.)); #367939=DIRECTION('ref_axis',(1.,0.,0.)); #367940=DIRECTION('',(0.,0.,-1.)); #367941=DIRECTION('center_axis',(0.,0.,1.)); #367942=DIRECTION('ref_axis',(1.,0.,0.)); #367943=DIRECTION('center_axis',(0.,0.,1.)); #367944=DIRECTION('ref_axis',(1.,0.,0.)); #367945=DIRECTION('center_axis',(0.,0.,1.)); #367946=DIRECTION('ref_axis',(1.,0.,0.)); #367947=DIRECTION('center_axis',(-1.,0.,0.)); #367948=DIRECTION('ref_axis',(0.,-1.,0.)); #367949=DIRECTION('',(0.,-1.,0.)); #367950=DIRECTION('',(0.,0.,1.)); #367951=DIRECTION('',(0.,-1.,0.)); #367952=DIRECTION('',(0.,0.,1.)); #367953=DIRECTION('center_axis',(0.,0.,1.)); #367954=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #367955=DIRECTION('center_axis',(0.,0.,1.)); #367956=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #367957=DIRECTION('center_axis',(0.,0.,-1.)); #367958=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #367959=DIRECTION('',(0.,0.,1.)); #367960=DIRECTION('center_axis',(1.,0.,0.)); #367961=DIRECTION('ref_axis',(0.,1.,0.)); #367962=DIRECTION('',(0.,1.,0.)); #367963=DIRECTION('',(0.,1.,0.)); #367964=DIRECTION('',(0.,0.,1.)); #367965=DIRECTION('center_axis',(0.,0.,1.)); #367966=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #367967=DIRECTION('center_axis',(0.,0.,1.)); #367968=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #367969=DIRECTION('center_axis',(0.,0.,-1.)); #367970=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #367971=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #367972=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #367973=DIRECTION('',(5.55111499297693E-15,1.,0.)); #367974=DIRECTION('',(0.,0.,1.)); #367975=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #367976=DIRECTION('',(0.,0.,1.)); #367977=DIRECTION('center_axis',(0.,0.,1.)); #367978=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #367979=DIRECTION('center_axis',(0.,0.,1.)); #367980=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #367981=DIRECTION('center_axis',(0.,0.,1.)); #367982=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #367983=DIRECTION('',(0.,0.,1.)); #367984=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #367985=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #367986=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #367987=DIRECTION('',(5.55111499297693E-15,1.,0.)); #367988=DIRECTION('',(0.,0.,1.)); #367989=DIRECTION('center_axis',(0.,0.,1.)); #367990=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #367991=DIRECTION('center_axis',(0.,0.,1.)); #367992=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #367993=DIRECTION('center_axis',(0.,0.,1.)); #367994=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #367995=DIRECTION('center_axis',(0.,0.,1.)); #367996=DIRECTION('ref_axis',(1.,0.,0.)); #367997=DIRECTION('center_axis',(0.,0.,1.)); #367998=DIRECTION('ref_axis',(1.,0.,0.)); #367999=DIRECTION('center_axis',(0.,0.,1.)); #368000=DIRECTION('ref_axis',(1.,0.,0.)); #368001=DIRECTION('center_axis',(0.,0.,-1.)); #368002=DIRECTION('ref_axis',(1.,0.,0.)); #368003=DIRECTION('',(0.,0.,-1.)); #368004=DIRECTION('center_axis',(0.,0.,1.)); #368005=DIRECTION('ref_axis',(1.,0.,0.)); #368006=DIRECTION('center_axis',(0.,0.,1.)); #368007=DIRECTION('ref_axis',(1.,0.,0.)); #368008=DIRECTION('center_axis',(0.,0.,1.)); #368009=DIRECTION('ref_axis',(1.,0.,0.)); #368010=DIRECTION('',(0.,0.,-1.)); #368011=DIRECTION('center_axis',(0.,0.,1.)); #368012=DIRECTION('ref_axis',(1.,0.,0.)); #368013=DIRECTION('center_axis',(0.,0.,1.)); #368014=DIRECTION('ref_axis',(1.,0.,0.)); #368015=DIRECTION('center_axis',(0.,0.,1.)); #368016=DIRECTION('ref_axis',(1.,0.,0.)); #368017=DIRECTION('center_axis',(-1.,0.,0.)); #368018=DIRECTION('ref_axis',(0.,-1.,0.)); #368019=DIRECTION('',(0.,-1.,0.)); #368020=DIRECTION('',(0.,0.,1.)); #368021=DIRECTION('',(0.,-1.,0.)); #368022=DIRECTION('',(0.,0.,1.)); #368023=DIRECTION('center_axis',(0.,0.,1.)); #368024=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #368025=DIRECTION('center_axis',(0.,0.,1.)); #368026=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #368027=DIRECTION('center_axis',(0.,0.,-1.)); #368028=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #368029=DIRECTION('',(0.,0.,1.)); #368030=DIRECTION('center_axis',(1.,0.,0.)); #368031=DIRECTION('ref_axis',(0.,1.,0.)); #368032=DIRECTION('',(0.,1.,0.)); #368033=DIRECTION('',(0.,1.,0.)); #368034=DIRECTION('',(0.,0.,1.)); #368035=DIRECTION('center_axis',(0.,0.,1.)); #368036=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #368037=DIRECTION('center_axis',(0.,0.,1.)); #368038=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #368039=DIRECTION('center_axis',(0.,0.,-1.)); #368040=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #368041=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #368042=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #368043=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368044=DIRECTION('',(0.,0.,1.)); #368045=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368046=DIRECTION('',(0.,0.,1.)); #368047=DIRECTION('center_axis',(0.,0.,1.)); #368048=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368049=DIRECTION('center_axis',(0.,0.,1.)); #368050=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368051=DIRECTION('center_axis',(0.,0.,1.)); #368052=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368053=DIRECTION('',(0.,0.,1.)); #368054=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #368055=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #368056=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368057=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368058=DIRECTION('',(0.,0.,1.)); #368059=DIRECTION('center_axis',(0.,0.,1.)); #368060=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368061=DIRECTION('center_axis',(0.,0.,1.)); #368062=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368063=DIRECTION('center_axis',(0.,0.,1.)); #368064=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368065=DIRECTION('center_axis',(0.,0.,1.)); #368066=DIRECTION('ref_axis',(1.,0.,0.)); #368067=DIRECTION('center_axis',(0.,0.,1.)); #368068=DIRECTION('ref_axis',(1.,0.,0.)); #368069=DIRECTION('center_axis',(0.,0.,1.)); #368070=DIRECTION('ref_axis',(1.,0.,0.)); #368071=DIRECTION('center_axis',(0.,0.,-1.)); #368072=DIRECTION('ref_axis',(1.,0.,0.)); #368073=DIRECTION('',(0.,0.,-1.)); #368074=DIRECTION('center_axis',(0.,0.,1.)); #368075=DIRECTION('ref_axis',(1.,0.,0.)); #368076=DIRECTION('center_axis',(0.,0.,1.)); #368077=DIRECTION('ref_axis',(1.,0.,0.)); #368078=DIRECTION('center_axis',(0.,0.,1.)); #368079=DIRECTION('ref_axis',(1.,0.,0.)); #368080=DIRECTION('',(0.,0.,-1.)); #368081=DIRECTION('center_axis',(0.,0.,1.)); #368082=DIRECTION('ref_axis',(1.,0.,0.)); #368083=DIRECTION('center_axis',(0.,0.,1.)); #368084=DIRECTION('ref_axis',(1.,0.,0.)); #368085=DIRECTION('center_axis',(0.,0.,1.)); #368086=DIRECTION('ref_axis',(1.,0.,0.)); #368087=DIRECTION('center_axis',(0.,-1.,0.)); #368088=DIRECTION('ref_axis',(1.,0.,0.)); #368089=DIRECTION('',(1.,0.,0.)); #368090=DIRECTION('',(0.,0.,1.)); #368091=DIRECTION('',(1.,0.,0.)); #368092=DIRECTION('',(0.,0.,1.)); #368093=DIRECTION('center_axis',(0.,0.,1.)); #368094=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368095=DIRECTION('center_axis',(0.,0.,1.)); #368096=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368097=DIRECTION('center_axis',(0.,0.,-1.)); #368098=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368099=DIRECTION('',(0.,0.,1.)); #368100=DIRECTION('center_axis',(0.,1.,0.)); #368101=DIRECTION('ref_axis',(-1.,0.,0.)); #368102=DIRECTION('',(-1.,0.,0.)); #368103=DIRECTION('',(-1.,0.,0.)); #368104=DIRECTION('',(0.,0.,1.)); #368105=DIRECTION('center_axis',(0.,0.,1.)); #368106=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368107=DIRECTION('center_axis',(0.,0.,1.)); #368108=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368109=DIRECTION('center_axis',(0.,0.,-1.)); #368110=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368111=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #368112=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #368113=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #368114=DIRECTION('',(0.,0.,1.)); #368115=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #368116=DIRECTION('',(0.,0.,1.)); #368117=DIRECTION('center_axis',(0.,0.,1.)); #368118=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368119=DIRECTION('center_axis',(0.,0.,1.)); #368120=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368121=DIRECTION('center_axis',(0.,0.,1.)); #368122=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368123=DIRECTION('',(0.,0.,1.)); #368124=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #368125=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #368126=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #368127=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #368128=DIRECTION('',(0.,0.,1.)); #368129=DIRECTION('center_axis',(0.,0.,1.)); #368130=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368131=DIRECTION('center_axis',(0.,0.,1.)); #368132=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368133=DIRECTION('center_axis',(0.,0.,1.)); #368134=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368135=DIRECTION('center_axis',(0.,0.,1.)); #368136=DIRECTION('ref_axis',(1.,0.,0.)); #368137=DIRECTION('center_axis',(0.,0.,1.)); #368138=DIRECTION('ref_axis',(1.,0.,0.)); #368139=DIRECTION('center_axis',(0.,0.,1.)); #368140=DIRECTION('ref_axis',(1.,0.,0.)); #368141=DIRECTION('center_axis',(0.,0.,-1.)); #368142=DIRECTION('ref_axis',(1.,0.,0.)); #368143=DIRECTION('',(0.,0.,-1.)); #368144=DIRECTION('center_axis',(0.,0.,1.)); #368145=DIRECTION('ref_axis',(1.,0.,0.)); #368146=DIRECTION('center_axis',(0.,0.,1.)); #368147=DIRECTION('ref_axis',(1.,0.,0.)); #368148=DIRECTION('center_axis',(0.,0.,1.)); #368149=DIRECTION('ref_axis',(1.,0.,0.)); #368150=DIRECTION('',(0.,0.,-1.)); #368151=DIRECTION('center_axis',(0.,0.,1.)); #368152=DIRECTION('ref_axis',(1.,0.,0.)); #368153=DIRECTION('center_axis',(0.,0.,1.)); #368154=DIRECTION('ref_axis',(1.,0.,0.)); #368155=DIRECTION('center_axis',(0.,0.,1.)); #368156=DIRECTION('ref_axis',(1.,0.,0.)); #368157=DIRECTION('center_axis',(0.,-1.,0.)); #368158=DIRECTION('ref_axis',(1.,0.,0.)); #368159=DIRECTION('',(1.,0.,0.)); #368160=DIRECTION('',(0.,0.,1.)); #368161=DIRECTION('',(1.,0.,0.)); #368162=DIRECTION('',(0.,0.,1.)); #368163=DIRECTION('center_axis',(0.,0.,1.)); #368164=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368165=DIRECTION('center_axis',(0.,0.,1.)); #368166=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368167=DIRECTION('center_axis',(0.,0.,-1.)); #368168=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #368169=DIRECTION('',(0.,0.,1.)); #368170=DIRECTION('center_axis',(0.,1.,0.)); #368171=DIRECTION('ref_axis',(-1.,0.,0.)); #368172=DIRECTION('',(-1.,0.,0.)); #368173=DIRECTION('',(-1.,0.,0.)); #368174=DIRECTION('',(0.,0.,1.)); #368175=DIRECTION('center_axis',(0.,0.,1.)); #368176=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368177=DIRECTION('center_axis',(0.,0.,1.)); #368178=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368179=DIRECTION('center_axis',(0.,0.,-1.)); #368180=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #368181=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #368182=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #368183=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #368184=DIRECTION('',(0.,0.,1.)); #368185=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #368186=DIRECTION('',(0.,0.,1.)); #368187=DIRECTION('center_axis',(0.,0.,1.)); #368188=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368189=DIRECTION('center_axis',(0.,0.,1.)); #368190=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368191=DIRECTION('center_axis',(0.,0.,1.)); #368192=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #368193=DIRECTION('',(0.,0.,1.)); #368194=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #368195=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #368196=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #368197=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #368198=DIRECTION('',(0.,0.,1.)); #368199=DIRECTION('center_axis',(0.,0.,1.)); #368200=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368201=DIRECTION('center_axis',(0.,0.,1.)); #368202=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368203=DIRECTION('center_axis',(0.,0.,1.)); #368204=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #368205=DIRECTION('center_axis',(0.,0.,1.)); #368206=DIRECTION('ref_axis',(1.,0.,0.)); #368207=DIRECTION('center_axis',(0.,0.,1.)); #368208=DIRECTION('ref_axis',(1.,0.,0.)); #368209=DIRECTION('center_axis',(0.,0.,1.)); #368210=DIRECTION('ref_axis',(1.,0.,0.)); #368211=DIRECTION('center_axis',(0.,0.,-1.)); #368212=DIRECTION('ref_axis',(1.,0.,0.)); #368213=DIRECTION('',(0.,0.,-1.)); #368214=DIRECTION('center_axis',(0.,0.,1.)); #368215=DIRECTION('ref_axis',(1.,0.,0.)); #368216=DIRECTION('center_axis',(0.,0.,1.)); #368217=DIRECTION('ref_axis',(1.,0.,0.)); #368218=DIRECTION('center_axis',(0.,0.,1.)); #368219=DIRECTION('ref_axis',(1.,0.,0.)); #368220=DIRECTION('',(0.,0.,-1.)); #368221=DIRECTION('center_axis',(0.,0.,1.)); #368222=DIRECTION('ref_axis',(1.,0.,0.)); #368223=DIRECTION('center_axis',(0.,0.,1.)); #368224=DIRECTION('ref_axis',(1.,0.,0.)); #368225=DIRECTION('center_axis',(0.,0.,1.)); #368226=DIRECTION('ref_axis',(1.,0.,0.)); #368227=DIRECTION('center_axis',(0.,0.,1.)); #368228=DIRECTION('ref_axis',(1.,0.,0.)); #368229=DIRECTION('center_axis',(0.,0.,-1.)); #368230=DIRECTION('ref_axis',(1.,0.,0.)); #368231=DIRECTION('',(0.,0.,-1.)); #368232=DIRECTION('center_axis',(0.,0.,1.)); #368233=DIRECTION('ref_axis',(1.,0.,0.)); #368234=DIRECTION('center_axis',(0.,0.,1.)); #368235=DIRECTION('ref_axis',(1.,0.,0.)); #368236=DIRECTION('center_axis',(0.,0.,1.)); #368237=DIRECTION('ref_axis',(1.,0.,0.)); #368238=DIRECTION('',(0.,0.,-1.)); #368239=DIRECTION('center_axis',(0.,0.,1.)); #368240=DIRECTION('ref_axis',(1.,0.,0.)); #368241=DIRECTION('center_axis',(0.,0.,1.)); #368242=DIRECTION('ref_axis',(1.,0.,0.)); #368243=DIRECTION('center_axis',(0.,0.,1.)); #368244=DIRECTION('ref_axis',(1.,0.,0.)); #368245=DIRECTION('center_axis',(0.,0.,1.)); #368246=DIRECTION('ref_axis',(1.,0.,0.)); #368247=DIRECTION('center_axis',(0.,0.,-1.)); #368248=DIRECTION('ref_axis',(1.,0.,0.)); #368249=DIRECTION('',(0.,0.,-1.)); #368250=DIRECTION('center_axis',(0.,0.,1.)); #368251=DIRECTION('ref_axis',(1.,0.,0.)); #368252=DIRECTION('center_axis',(0.,0.,1.)); #368253=DIRECTION('ref_axis',(1.,0.,0.)); #368254=DIRECTION('center_axis',(0.,0.,1.)); #368255=DIRECTION('ref_axis',(1.,0.,0.)); #368256=DIRECTION('',(0.,0.,-1.)); #368257=DIRECTION('center_axis',(0.,0.,1.)); #368258=DIRECTION('ref_axis',(1.,0.,0.)); #368259=DIRECTION('center_axis',(0.,0.,1.)); #368260=DIRECTION('ref_axis',(1.,0.,0.)); #368261=DIRECTION('center_axis',(0.,0.,1.)); #368262=DIRECTION('ref_axis',(1.,0.,0.)); #368263=DIRECTION('center_axis',(0.,0.,1.)); #368264=DIRECTION('ref_axis',(1.,0.,0.)); #368265=DIRECTION('center_axis',(0.,0.,-1.)); #368266=DIRECTION('ref_axis',(1.,0.,0.)); #368267=DIRECTION('',(0.,0.,-1.)); #368268=DIRECTION('center_axis',(0.,0.,1.)); #368269=DIRECTION('ref_axis',(1.,0.,0.)); #368270=DIRECTION('center_axis',(0.,0.,1.)); #368271=DIRECTION('ref_axis',(1.,0.,0.)); #368272=DIRECTION('center_axis',(0.,0.,1.)); #368273=DIRECTION('ref_axis',(1.,0.,0.)); #368274=DIRECTION('',(0.,0.,-1.)); #368275=DIRECTION('center_axis',(0.,0.,1.)); #368276=DIRECTION('ref_axis',(1.,0.,0.)); #368277=DIRECTION('center_axis',(0.,0.,1.)); #368278=DIRECTION('ref_axis',(1.,0.,0.)); #368279=DIRECTION('center_axis',(0.,0.,1.)); #368280=DIRECTION('ref_axis',(1.,0.,0.)); #368281=DIRECTION('center_axis',(0.,0.,1.)); #368282=DIRECTION('ref_axis',(1.,0.,0.)); #368283=DIRECTION('center_axis',(0.,0.,-1.)); #368284=DIRECTION('ref_axis',(1.,0.,0.)); #368285=DIRECTION('',(0.,0.,-1.)); #368286=DIRECTION('center_axis',(0.,0.,1.)); #368287=DIRECTION('ref_axis',(1.,0.,0.)); #368288=DIRECTION('center_axis',(0.,0.,1.)); #368289=DIRECTION('ref_axis',(1.,0.,0.)); #368290=DIRECTION('center_axis',(0.,0.,1.)); #368291=DIRECTION('ref_axis',(1.,0.,0.)); #368292=DIRECTION('',(0.,0.,-1.)); #368293=DIRECTION('center_axis',(0.,0.,1.)); #368294=DIRECTION('ref_axis',(1.,0.,0.)); #368295=DIRECTION('center_axis',(0.,0.,1.)); #368296=DIRECTION('ref_axis',(1.,0.,0.)); #368297=DIRECTION('center_axis',(0.,0.,1.)); #368298=DIRECTION('ref_axis',(1.,0.,0.)); #368299=DIRECTION('center_axis',(0.,0.,1.)); #368300=DIRECTION('ref_axis',(1.,0.,0.)); #368301=DIRECTION('center_axis',(0.,0.,-1.)); #368302=DIRECTION('ref_axis',(1.,0.,0.)); #368303=DIRECTION('',(0.,0.,-1.)); #368304=DIRECTION('center_axis',(0.,0.,1.)); #368305=DIRECTION('ref_axis',(1.,0.,0.)); #368306=DIRECTION('center_axis',(0.,0.,1.)); #368307=DIRECTION('ref_axis',(1.,0.,0.)); #368308=DIRECTION('center_axis',(0.,0.,1.)); #368309=DIRECTION('ref_axis',(1.,0.,0.)); #368310=DIRECTION('',(0.,0.,-1.)); #368311=DIRECTION('center_axis',(0.,0.,1.)); #368312=DIRECTION('ref_axis',(1.,0.,0.)); #368313=DIRECTION('center_axis',(0.,0.,1.)); #368314=DIRECTION('ref_axis',(1.,0.,0.)); #368315=DIRECTION('center_axis',(0.,0.,1.)); #368316=DIRECTION('ref_axis',(1.,0.,0.)); #368317=DIRECTION('center_axis',(0.,0.,1.)); #368318=DIRECTION('ref_axis',(1.,0.,0.)); #368319=DIRECTION('center_axis',(0.,0.,-1.)); #368320=DIRECTION('ref_axis',(1.,0.,0.)); #368321=DIRECTION('',(0.,0.,-1.)); #368322=DIRECTION('center_axis',(0.,0.,1.)); #368323=DIRECTION('ref_axis',(1.,0.,0.)); #368324=DIRECTION('center_axis',(0.,0.,1.)); #368325=DIRECTION('ref_axis',(1.,0.,0.)); #368326=DIRECTION('center_axis',(0.,0.,1.)); #368327=DIRECTION('ref_axis',(1.,0.,0.)); #368328=DIRECTION('',(0.,0.,-1.)); #368329=DIRECTION('center_axis',(0.,0.,1.)); #368330=DIRECTION('ref_axis',(1.,0.,0.)); #368331=DIRECTION('center_axis',(0.,0.,1.)); #368332=DIRECTION('ref_axis',(1.,0.,0.)); #368333=DIRECTION('center_axis',(0.,0.,1.)); #368334=DIRECTION('ref_axis',(1.,0.,0.)); #368335=DIRECTION('center_axis',(0.,0.,1.)); #368336=DIRECTION('ref_axis',(1.,0.,0.)); #368337=DIRECTION('center_axis',(0.,0.,-1.)); #368338=DIRECTION('ref_axis',(1.,0.,0.)); #368339=DIRECTION('',(0.,0.,-1.)); #368340=DIRECTION('center_axis',(0.,0.,1.)); #368341=DIRECTION('ref_axis',(1.,0.,0.)); #368342=DIRECTION('center_axis',(0.,0.,1.)); #368343=DIRECTION('ref_axis',(1.,0.,0.)); #368344=DIRECTION('center_axis',(0.,0.,1.)); #368345=DIRECTION('ref_axis',(1.,0.,0.)); #368346=DIRECTION('',(0.,0.,-1.)); #368347=DIRECTION('center_axis',(0.,0.,1.)); #368348=DIRECTION('ref_axis',(1.,0.,0.)); #368349=DIRECTION('center_axis',(0.,0.,1.)); #368350=DIRECTION('ref_axis',(1.,0.,0.)); #368351=DIRECTION('center_axis',(0.,0.,1.)); #368352=DIRECTION('ref_axis',(1.,0.,0.)); #368353=DIRECTION('center_axis',(0.,0.,1.)); #368354=DIRECTION('ref_axis',(1.,0.,0.)); #368355=DIRECTION('center_axis',(0.,0.,-1.)); #368356=DIRECTION('ref_axis',(1.,0.,0.)); #368357=DIRECTION('',(0.,0.,-1.)); #368358=DIRECTION('center_axis',(0.,0.,1.)); #368359=DIRECTION('ref_axis',(1.,0.,0.)); #368360=DIRECTION('center_axis',(0.,0.,1.)); #368361=DIRECTION('ref_axis',(1.,0.,0.)); #368362=DIRECTION('center_axis',(0.,0.,1.)); #368363=DIRECTION('ref_axis',(1.,0.,0.)); #368364=DIRECTION('',(0.,0.,-1.)); #368365=DIRECTION('center_axis',(0.,0.,1.)); #368366=DIRECTION('ref_axis',(1.,0.,0.)); #368367=DIRECTION('center_axis',(0.,0.,1.)); #368368=DIRECTION('ref_axis',(1.,0.,0.)); #368369=DIRECTION('center_axis',(0.,0.,1.)); #368370=DIRECTION('ref_axis',(1.,0.,0.)); #368371=DIRECTION('center_axis',(0.,0.,1.)); #368372=DIRECTION('ref_axis',(1.,0.,0.)); #368373=DIRECTION('center_axis',(0.,0.,-1.)); #368374=DIRECTION('ref_axis',(1.,0.,0.)); #368375=DIRECTION('',(0.,0.,-1.)); #368376=DIRECTION('center_axis',(0.,0.,1.)); #368377=DIRECTION('ref_axis',(1.,0.,0.)); #368378=DIRECTION('center_axis',(0.,0.,1.)); #368379=DIRECTION('ref_axis',(1.,0.,0.)); #368380=DIRECTION('center_axis',(0.,0.,1.)); #368381=DIRECTION('ref_axis',(1.,0.,0.)); #368382=DIRECTION('',(0.,0.,-1.)); #368383=DIRECTION('center_axis',(0.,0.,1.)); #368384=DIRECTION('ref_axis',(1.,0.,0.)); #368385=DIRECTION('center_axis',(0.,0.,1.)); #368386=DIRECTION('ref_axis',(1.,0.,0.)); #368387=DIRECTION('center_axis',(0.,0.,1.)); #368388=DIRECTION('ref_axis',(1.,0.,0.)); #368389=DIRECTION('center_axis',(0.,0.,1.)); #368390=DIRECTION('ref_axis',(1.,0.,0.)); #368391=DIRECTION('center_axis',(0.,0.,-1.)); #368392=DIRECTION('ref_axis',(1.,0.,0.)); #368393=DIRECTION('',(0.,0.,-1.)); #368394=DIRECTION('center_axis',(0.,0.,1.)); #368395=DIRECTION('ref_axis',(1.,0.,0.)); #368396=DIRECTION('center_axis',(0.,0.,1.)); #368397=DIRECTION('ref_axis',(1.,0.,0.)); #368398=DIRECTION('center_axis',(0.,0.,1.)); #368399=DIRECTION('ref_axis',(1.,0.,0.)); #368400=DIRECTION('',(0.,0.,-1.)); #368401=DIRECTION('center_axis',(0.,0.,1.)); #368402=DIRECTION('ref_axis',(1.,0.,0.)); #368403=DIRECTION('center_axis',(0.,0.,1.)); #368404=DIRECTION('ref_axis',(1.,0.,0.)); #368405=DIRECTION('center_axis',(0.,0.,1.)); #368406=DIRECTION('ref_axis',(1.,0.,0.)); #368407=DIRECTION('center_axis',(-1.,0.,0.)); #368408=DIRECTION('ref_axis',(0.,-1.,0.)); #368409=DIRECTION('',(0.,-1.,0.)); #368410=DIRECTION('',(0.,0.,1.)); #368411=DIRECTION('',(0.,-1.,0.)); #368412=DIRECTION('',(0.,0.,1.)); #368413=DIRECTION('center_axis',(0.,0.,1.)); #368414=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368415=DIRECTION('center_axis',(0.,0.,1.)); #368416=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368417=DIRECTION('center_axis',(0.,0.,-1.)); #368418=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368419=DIRECTION('',(0.,0.,1.)); #368420=DIRECTION('center_axis',(1.,0.,0.)); #368421=DIRECTION('ref_axis',(0.,1.,0.)); #368422=DIRECTION('',(0.,1.,0.)); #368423=DIRECTION('',(0.,1.,0.)); #368424=DIRECTION('',(0.,0.,1.)); #368425=DIRECTION('center_axis',(0.,0.,1.)); #368426=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368427=DIRECTION('center_axis',(0.,0.,1.)); #368428=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368429=DIRECTION('center_axis',(0.,0.,-1.)); #368430=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368431=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #368432=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #368433=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368434=DIRECTION('',(0.,0.,1.)); #368435=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368436=DIRECTION('',(0.,0.,1.)); #368437=DIRECTION('center_axis',(0.,0.,1.)); #368438=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368439=DIRECTION('center_axis',(0.,0.,1.)); #368440=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368441=DIRECTION('center_axis',(0.,0.,1.)); #368442=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368443=DIRECTION('',(0.,0.,1.)); #368444=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #368445=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #368446=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368447=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368448=DIRECTION('',(0.,0.,1.)); #368449=DIRECTION('center_axis',(0.,0.,1.)); #368450=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368451=DIRECTION('center_axis',(0.,0.,1.)); #368452=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368453=DIRECTION('center_axis',(0.,0.,1.)); #368454=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368455=DIRECTION('center_axis',(0.,0.,1.)); #368456=DIRECTION('ref_axis',(1.,0.,0.)); #368457=DIRECTION('center_axis',(0.,0.,1.)); #368458=DIRECTION('ref_axis',(1.,0.,0.)); #368459=DIRECTION('center_axis',(0.,0.,1.)); #368460=DIRECTION('ref_axis',(1.,0.,0.)); #368461=DIRECTION('center_axis',(0.,0.,-1.)); #368462=DIRECTION('ref_axis',(1.,0.,0.)); #368463=DIRECTION('',(0.,0.,-1.)); #368464=DIRECTION('center_axis',(0.,0.,1.)); #368465=DIRECTION('ref_axis',(1.,0.,0.)); #368466=DIRECTION('center_axis',(0.,0.,1.)); #368467=DIRECTION('ref_axis',(1.,0.,0.)); #368468=DIRECTION('center_axis',(0.,0.,1.)); #368469=DIRECTION('ref_axis',(1.,0.,0.)); #368470=DIRECTION('',(0.,0.,-1.)); #368471=DIRECTION('center_axis',(0.,0.,1.)); #368472=DIRECTION('ref_axis',(1.,0.,0.)); #368473=DIRECTION('center_axis',(0.,0.,1.)); #368474=DIRECTION('ref_axis',(1.,0.,0.)); #368475=DIRECTION('center_axis',(0.,0.,1.)); #368476=DIRECTION('ref_axis',(1.,0.,0.)); #368477=DIRECTION('center_axis',(-1.,0.,0.)); #368478=DIRECTION('ref_axis',(0.,-1.,0.)); #368479=DIRECTION('',(0.,-1.,0.)); #368480=DIRECTION('',(0.,0.,1.)); #368481=DIRECTION('',(0.,-1.,0.)); #368482=DIRECTION('',(0.,0.,1.)); #368483=DIRECTION('center_axis',(0.,0.,1.)); #368484=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368485=DIRECTION('center_axis',(0.,0.,1.)); #368486=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368487=DIRECTION('center_axis',(0.,0.,-1.)); #368488=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #368489=DIRECTION('',(0.,0.,1.)); #368490=DIRECTION('center_axis',(1.,0.,0.)); #368491=DIRECTION('ref_axis',(0.,1.,0.)); #368492=DIRECTION('',(0.,1.,0.)); #368493=DIRECTION('',(0.,1.,0.)); #368494=DIRECTION('',(0.,0.,1.)); #368495=DIRECTION('center_axis',(0.,0.,1.)); #368496=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368497=DIRECTION('center_axis',(0.,0.,1.)); #368498=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368499=DIRECTION('center_axis',(0.,0.,-1.)); #368500=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #368501=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #368502=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #368503=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368504=DIRECTION('',(0.,0.,1.)); #368505=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368506=DIRECTION('',(0.,0.,1.)); #368507=DIRECTION('center_axis',(0.,0.,1.)); #368508=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368509=DIRECTION('center_axis',(0.,0.,1.)); #368510=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368511=DIRECTION('center_axis',(0.,0.,1.)); #368512=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #368513=DIRECTION('',(0.,0.,1.)); #368514=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #368515=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #368516=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #368517=DIRECTION('',(5.55111499297693E-15,1.,0.)); #368518=DIRECTION('',(0.,0.,1.)); #368519=DIRECTION('center_axis',(0.,0.,1.)); #368520=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368521=DIRECTION('center_axis',(0.,0.,1.)); #368522=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368523=DIRECTION('center_axis',(0.,0.,1.)); #368524=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #368525=DIRECTION('center_axis',(0.,0.,1.)); #368526=DIRECTION('ref_axis',(1.,0.,0.)); #368527=DIRECTION('center_axis',(0.,0.,1.)); #368528=DIRECTION('ref_axis',(1.,0.,0.)); #368529=DIRECTION('center_axis',(0.,0.,1.)); #368530=DIRECTION('ref_axis',(1.,0.,0.)); #368531=DIRECTION('center_axis',(0.,0.,-1.)); #368532=DIRECTION('ref_axis',(1.,0.,0.)); #368533=DIRECTION('',(0.,0.,-1.)); #368534=DIRECTION('center_axis',(0.,0.,1.)); #368535=DIRECTION('ref_axis',(1.,0.,0.)); #368536=DIRECTION('center_axis',(0.,0.,1.)); #368537=DIRECTION('ref_axis',(1.,0.,0.)); #368538=DIRECTION('center_axis',(0.,0.,1.)); #368539=DIRECTION('ref_axis',(1.,0.,0.)); #368540=DIRECTION('',(0.,0.,-1.)); #368541=DIRECTION('center_axis',(0.,0.,1.)); #368542=DIRECTION('ref_axis',(1.,0.,0.)); #368543=DIRECTION('center_axis',(0.,0.,1.)); #368544=DIRECTION('ref_axis',(1.,0.,0.)); #368545=DIRECTION('center_axis',(0.,0.,1.)); #368546=DIRECTION('ref_axis',(1.,0.,0.)); #368547=DIRECTION('center_axis',(0.,0.,1.)); #368548=DIRECTION('ref_axis',(1.,0.,0.)); #368549=DIRECTION('center_axis',(0.,0.,-1.)); #368550=DIRECTION('ref_axis',(1.,0.,0.)); #368551=DIRECTION('',(0.,0.,-1.)); #368552=DIRECTION('center_axis',(0.,0.,1.)); #368553=DIRECTION('ref_axis',(1.,0.,0.)); #368554=DIRECTION('center_axis',(0.,0.,1.)); #368555=DIRECTION('ref_axis',(1.,0.,0.)); #368556=DIRECTION('center_axis',(0.,0.,1.)); #368557=DIRECTION('ref_axis',(1.,0.,0.)); #368558=DIRECTION('',(0.,0.,-1.)); #368559=DIRECTION('center_axis',(0.,0.,1.)); #368560=DIRECTION('ref_axis',(1.,0.,0.)); #368561=DIRECTION('center_axis',(0.,0.,1.)); #368562=DIRECTION('ref_axis',(1.,0.,0.)); #368563=DIRECTION('center_axis',(0.,0.,1.)); #368564=DIRECTION('ref_axis',(1.,0.,0.)); #368565=DIRECTION('center_axis',(0.,0.,1.)); #368566=DIRECTION('ref_axis',(1.,0.,0.)); #368567=DIRECTION('center_axis',(0.,0.,-1.)); #368568=DIRECTION('ref_axis',(1.,0.,0.)); #368569=DIRECTION('',(0.,0.,-1.)); #368570=DIRECTION('center_axis',(0.,0.,1.)); #368571=DIRECTION('ref_axis',(1.,0.,0.)); #368572=DIRECTION('center_axis',(0.,0.,1.)); #368573=DIRECTION('ref_axis',(1.,0.,0.)); #368574=DIRECTION('center_axis',(0.,0.,1.)); #368575=DIRECTION('ref_axis',(1.,0.,0.)); #368576=DIRECTION('',(0.,0.,-1.)); #368577=DIRECTION('center_axis',(0.,0.,1.)); #368578=DIRECTION('ref_axis',(1.,0.,0.)); #368579=DIRECTION('center_axis',(0.,0.,1.)); #368580=DIRECTION('ref_axis',(1.,0.,0.)); #368581=DIRECTION('center_axis',(0.,0.,1.)); #368582=DIRECTION('ref_axis',(1.,0.,0.)); #368583=DIRECTION('center_axis',(0.,0.,1.)); #368584=DIRECTION('ref_axis',(1.,0.,0.)); #368585=DIRECTION('center_axis',(0.,0.,-1.)); #368586=DIRECTION('ref_axis',(1.,0.,0.)); #368587=DIRECTION('',(0.,0.,-1.)); #368588=DIRECTION('center_axis',(0.,0.,1.)); #368589=DIRECTION('ref_axis',(1.,0.,0.)); #368590=DIRECTION('center_axis',(0.,0.,1.)); #368591=DIRECTION('ref_axis',(1.,0.,0.)); #368592=DIRECTION('center_axis',(0.,0.,1.)); #368593=DIRECTION('ref_axis',(1.,0.,0.)); #368594=DIRECTION('',(0.,0.,-1.)); #368595=DIRECTION('center_axis',(0.,0.,1.)); #368596=DIRECTION('ref_axis',(1.,0.,0.)); #368597=DIRECTION('center_axis',(0.,0.,1.)); #368598=DIRECTION('ref_axis',(1.,0.,0.)); #368599=DIRECTION('center_axis',(0.,0.,1.)); #368600=DIRECTION('ref_axis',(1.,0.,0.)); #368601=DIRECTION('center_axis',(0.,0.,1.)); #368602=DIRECTION('ref_axis',(1.,0.,0.)); #368603=DIRECTION('center_axis',(0.,0.,-1.)); #368604=DIRECTION('ref_axis',(1.,0.,0.)); #368605=DIRECTION('',(0.,0.,-1.)); #368606=DIRECTION('center_axis',(0.,0.,1.)); #368607=DIRECTION('ref_axis',(1.,0.,0.)); #368608=DIRECTION('center_axis',(0.,0.,1.)); #368609=DIRECTION('ref_axis',(1.,0.,0.)); #368610=DIRECTION('center_axis',(0.,0.,1.)); #368611=DIRECTION('ref_axis',(1.,0.,0.)); #368612=DIRECTION('',(0.,0.,-1.)); #368613=DIRECTION('center_axis',(0.,0.,1.)); #368614=DIRECTION('ref_axis',(1.,0.,0.)); #368615=DIRECTION('center_axis',(0.,0.,1.)); #368616=DIRECTION('ref_axis',(1.,0.,0.)); #368617=DIRECTION('center_axis',(0.,0.,1.)); #368618=DIRECTION('ref_axis',(1.,0.,0.)); #368619=DIRECTION('center_axis',(0.,0.,1.)); #368620=DIRECTION('ref_axis',(1.,0.,0.)); #368621=DIRECTION('center_axis',(0.,0.,-1.)); #368622=DIRECTION('ref_axis',(1.,0.,0.)); #368623=DIRECTION('',(0.,0.,-1.)); #368624=DIRECTION('center_axis',(0.,0.,1.)); #368625=DIRECTION('ref_axis',(1.,0.,0.)); #368626=DIRECTION('center_axis',(0.,0.,1.)); #368627=DIRECTION('ref_axis',(1.,0.,0.)); #368628=DIRECTION('center_axis',(0.,0.,1.)); #368629=DIRECTION('ref_axis',(1.,0.,0.)); #368630=DIRECTION('',(0.,0.,-1.)); #368631=DIRECTION('center_axis',(0.,0.,1.)); #368632=DIRECTION('ref_axis',(1.,0.,0.)); #368633=DIRECTION('center_axis',(0.,0.,1.)); #368634=DIRECTION('ref_axis',(1.,0.,0.)); #368635=DIRECTION('center_axis',(0.,0.,1.)); #368636=DIRECTION('ref_axis',(1.,0.,0.)); #368637=DIRECTION('center_axis',(0.,0.,1.)); #368638=DIRECTION('ref_axis',(1.,0.,0.)); #368639=DIRECTION('center_axis',(0.,0.,-1.)); #368640=DIRECTION('ref_axis',(1.,0.,0.)); #368641=DIRECTION('',(0.,0.,-1.)); #368642=DIRECTION('center_axis',(0.,0.,1.)); #368643=DIRECTION('ref_axis',(1.,0.,0.)); #368644=DIRECTION('center_axis',(0.,0.,1.)); #368645=DIRECTION('ref_axis',(1.,0.,0.)); #368646=DIRECTION('center_axis',(0.,0.,1.)); #368647=DIRECTION('ref_axis',(1.,0.,0.)); #368648=DIRECTION('',(0.,0.,-1.)); #368649=DIRECTION('center_axis',(0.,0.,1.)); #368650=DIRECTION('ref_axis',(1.,0.,0.)); #368651=DIRECTION('center_axis',(0.,0.,1.)); #368652=DIRECTION('ref_axis',(1.,0.,0.)); #368653=DIRECTION('center_axis',(0.,0.,1.)); #368654=DIRECTION('ref_axis',(1.,0.,0.)); #368655=DIRECTION('center_axis',(0.,0.,1.)); #368656=DIRECTION('ref_axis',(1.,0.,0.)); #368657=DIRECTION('center_axis',(0.,0.,-1.)); #368658=DIRECTION('ref_axis',(1.,0.,0.)); #368659=DIRECTION('',(0.,0.,-1.)); #368660=DIRECTION('center_axis',(0.,0.,1.)); #368661=DIRECTION('ref_axis',(1.,0.,0.)); #368662=DIRECTION('center_axis',(0.,0.,1.)); #368663=DIRECTION('ref_axis',(1.,0.,0.)); #368664=DIRECTION('center_axis',(0.,0.,1.)); #368665=DIRECTION('ref_axis',(1.,0.,0.)); #368666=DIRECTION('',(0.,0.,-1.)); #368667=DIRECTION('center_axis',(0.,0.,1.)); #368668=DIRECTION('ref_axis',(1.,0.,0.)); #368669=DIRECTION('center_axis',(0.,0.,1.)); #368670=DIRECTION('ref_axis',(1.,0.,0.)); #368671=DIRECTION('center_axis',(0.,0.,1.)); #368672=DIRECTION('ref_axis',(1.,0.,0.)); #368673=DIRECTION('center_axis',(0.,0.,1.)); #368674=DIRECTION('ref_axis',(1.,0.,0.)); #368675=DIRECTION('center_axis',(0.,0.,-1.)); #368676=DIRECTION('ref_axis',(1.,0.,0.)); #368677=DIRECTION('',(0.,0.,-1.)); #368678=DIRECTION('center_axis',(0.,0.,1.)); #368679=DIRECTION('ref_axis',(1.,0.,0.)); #368680=DIRECTION('center_axis',(0.,0.,1.)); #368681=DIRECTION('ref_axis',(1.,0.,0.)); #368682=DIRECTION('center_axis',(0.,0.,1.)); #368683=DIRECTION('ref_axis',(1.,0.,0.)); #368684=DIRECTION('',(0.,0.,-1.)); #368685=DIRECTION('center_axis',(0.,0.,1.)); #368686=DIRECTION('ref_axis',(1.,0.,0.)); #368687=DIRECTION('center_axis',(0.,0.,1.)); #368688=DIRECTION('ref_axis',(1.,0.,0.)); #368689=DIRECTION('center_axis',(0.,0.,1.)); #368690=DIRECTION('ref_axis',(1.,0.,0.)); #368691=DIRECTION('center_axis',(0.,0.,1.)); #368692=DIRECTION('ref_axis',(1.,0.,0.)); #368693=DIRECTION('center_axis',(0.,0.,-1.)); #368694=DIRECTION('ref_axis',(1.,0.,0.)); #368695=DIRECTION('',(0.,0.,-1.)); #368696=DIRECTION('center_axis',(0.,0.,1.)); #368697=DIRECTION('ref_axis',(1.,0.,0.)); #368698=DIRECTION('center_axis',(0.,0.,1.)); #368699=DIRECTION('ref_axis',(1.,0.,0.)); #368700=DIRECTION('center_axis',(0.,0.,1.)); #368701=DIRECTION('ref_axis',(1.,0.,0.)); #368702=DIRECTION('',(0.,0.,-1.)); #368703=DIRECTION('center_axis',(0.,0.,1.)); #368704=DIRECTION('ref_axis',(1.,0.,0.)); #368705=DIRECTION('center_axis',(0.,0.,1.)); #368706=DIRECTION('ref_axis',(1.,0.,0.)); #368707=DIRECTION('center_axis',(0.,0.,1.)); #368708=DIRECTION('ref_axis',(1.,0.,0.)); #368709=DIRECTION('center_axis',(0.,0.,1.)); #368710=DIRECTION('ref_axis',(1.,0.,0.)); #368711=DIRECTION('center_axis',(0.,0.,-1.)); #368712=DIRECTION('ref_axis',(1.,0.,0.)); #368713=DIRECTION('',(0.,0.,-1.)); #368714=DIRECTION('center_axis',(0.,0.,1.)); #368715=DIRECTION('ref_axis',(1.,0.,0.)); #368716=DIRECTION('center_axis',(0.,0.,1.)); #368717=DIRECTION('ref_axis',(1.,0.,0.)); #368718=DIRECTION('center_axis',(0.,0.,1.)); #368719=DIRECTION('ref_axis',(1.,0.,0.)); #368720=DIRECTION('',(0.,0.,-1.)); #368721=DIRECTION('center_axis',(0.,0.,1.)); #368722=DIRECTION('ref_axis',(1.,0.,0.)); #368723=DIRECTION('center_axis',(0.,0.,1.)); #368724=DIRECTION('ref_axis',(1.,0.,0.)); #368725=DIRECTION('center_axis',(0.,0.,1.)); #368726=DIRECTION('ref_axis',(1.,0.,0.)); #368727=DIRECTION('center_axis',(0.,0.,1.)); #368728=DIRECTION('ref_axis',(1.,0.,0.)); #368729=DIRECTION('center_axis',(0.,0.,-1.)); #368730=DIRECTION('ref_axis',(1.,0.,0.)); #368731=DIRECTION('',(0.,0.,-1.)); #368732=DIRECTION('center_axis',(0.,0.,1.)); #368733=DIRECTION('ref_axis',(1.,0.,0.)); #368734=DIRECTION('center_axis',(0.,0.,1.)); #368735=DIRECTION('ref_axis',(1.,0.,0.)); #368736=DIRECTION('center_axis',(0.,0.,1.)); #368737=DIRECTION('ref_axis',(1.,0.,0.)); #368738=DIRECTION('',(0.,0.,-1.)); #368739=DIRECTION('center_axis',(0.,0.,1.)); #368740=DIRECTION('ref_axis',(1.,0.,0.)); #368741=DIRECTION('center_axis',(0.,0.,1.)); #368742=DIRECTION('ref_axis',(1.,0.,0.)); #368743=DIRECTION('center_axis',(0.,0.,1.)); #368744=DIRECTION('ref_axis',(1.,0.,0.)); #368745=DIRECTION('center_axis',(0.,0.,1.)); #368746=DIRECTION('ref_axis',(1.,0.,0.)); #368747=DIRECTION('center_axis',(0.,0.,-1.)); #368748=DIRECTION('ref_axis',(1.,0.,0.)); #368749=DIRECTION('',(0.,0.,-1.)); #368750=DIRECTION('center_axis',(0.,0.,1.)); #368751=DIRECTION('ref_axis',(1.,0.,0.)); #368752=DIRECTION('center_axis',(0.,0.,1.)); #368753=DIRECTION('ref_axis',(1.,0.,0.)); #368754=DIRECTION('center_axis',(0.,0.,1.)); #368755=DIRECTION('ref_axis',(1.,0.,0.)); #368756=DIRECTION('',(0.,0.,-1.)); #368757=DIRECTION('center_axis',(0.,0.,1.)); #368758=DIRECTION('ref_axis',(1.,0.,0.)); #368759=DIRECTION('center_axis',(0.,0.,1.)); #368760=DIRECTION('ref_axis',(1.,0.,0.)); #368761=DIRECTION('center_axis',(0.,0.,1.)); #368762=DIRECTION('ref_axis',(1.,0.,0.)); #368763=DIRECTION('center_axis',(0.,0.,1.)); #368764=DIRECTION('ref_axis',(1.,0.,0.)); #368765=DIRECTION('center_axis',(0.,0.,-1.)); #368766=DIRECTION('ref_axis',(1.,0.,0.)); #368767=DIRECTION('',(0.,0.,-1.)); #368768=DIRECTION('center_axis',(0.,0.,1.)); #368769=DIRECTION('ref_axis',(1.,0.,0.)); #368770=DIRECTION('center_axis',(0.,0.,1.)); #368771=DIRECTION('ref_axis',(1.,0.,0.)); #368772=DIRECTION('center_axis',(0.,0.,1.)); #368773=DIRECTION('ref_axis',(1.,0.,0.)); #368774=DIRECTION('',(0.,0.,-1.)); #368775=DIRECTION('center_axis',(0.,0.,1.)); #368776=DIRECTION('ref_axis',(1.,0.,0.)); #368777=DIRECTION('center_axis',(0.,0.,1.)); #368778=DIRECTION('ref_axis',(1.,0.,0.)); #368779=DIRECTION('center_axis',(0.,0.,1.)); #368780=DIRECTION('ref_axis',(1.,0.,0.)); #368781=DIRECTION('center_axis',(0.,0.,1.)); #368782=DIRECTION('ref_axis',(1.,0.,0.)); #368783=DIRECTION('center_axis',(0.,0.,-1.)); #368784=DIRECTION('ref_axis',(1.,0.,0.)); #368785=DIRECTION('',(0.,0.,-1.)); #368786=DIRECTION('center_axis',(0.,0.,1.)); #368787=DIRECTION('ref_axis',(1.,0.,0.)); #368788=DIRECTION('center_axis',(0.,0.,1.)); #368789=DIRECTION('ref_axis',(1.,0.,0.)); #368790=DIRECTION('center_axis',(0.,0.,1.)); #368791=DIRECTION('ref_axis',(1.,0.,0.)); #368792=DIRECTION('',(0.,0.,-1.)); #368793=DIRECTION('center_axis',(0.,0.,1.)); #368794=DIRECTION('ref_axis',(1.,0.,0.)); #368795=DIRECTION('center_axis',(0.,0.,1.)); #368796=DIRECTION('ref_axis',(1.,0.,0.)); #368797=DIRECTION('center_axis',(0.,0.,1.)); #368798=DIRECTION('ref_axis',(1.,0.,0.)); #368799=DIRECTION('center_axis',(0.,0.,1.)); #368800=DIRECTION('ref_axis',(1.,0.,0.)); #368801=DIRECTION('center_axis',(0.,0.,-1.)); #368802=DIRECTION('ref_axis',(1.,0.,0.)); #368803=DIRECTION('',(0.,0.,-1.)); #368804=DIRECTION('center_axis',(0.,0.,1.)); #368805=DIRECTION('ref_axis',(1.,0.,0.)); #368806=DIRECTION('center_axis',(0.,0.,1.)); #368807=DIRECTION('ref_axis',(1.,0.,0.)); #368808=DIRECTION('center_axis',(0.,0.,1.)); #368809=DIRECTION('ref_axis',(1.,0.,0.)); #368810=DIRECTION('',(0.,0.,-1.)); #368811=DIRECTION('center_axis',(0.,0.,1.)); #368812=DIRECTION('ref_axis',(1.,0.,0.)); #368813=DIRECTION('center_axis',(0.,0.,1.)); #368814=DIRECTION('ref_axis',(1.,0.,0.)); #368815=DIRECTION('center_axis',(0.,0.,1.)); #368816=DIRECTION('ref_axis',(1.,0.,0.)); #368817=DIRECTION('center_axis',(0.,0.,1.)); #368818=DIRECTION('ref_axis',(1.,0.,0.)); #368819=DIRECTION('center_axis',(0.,0.,-1.)); #368820=DIRECTION('ref_axis',(1.,0.,0.)); #368821=DIRECTION('',(0.,0.,-1.)); #368822=DIRECTION('center_axis',(0.,0.,1.)); #368823=DIRECTION('ref_axis',(1.,0.,0.)); #368824=DIRECTION('center_axis',(0.,0.,1.)); #368825=DIRECTION('ref_axis',(1.,0.,0.)); #368826=DIRECTION('center_axis',(0.,0.,1.)); #368827=DIRECTION('ref_axis',(1.,0.,0.)); #368828=DIRECTION('',(0.,0.,-1.)); #368829=DIRECTION('center_axis',(0.,0.,1.)); #368830=DIRECTION('ref_axis',(1.,0.,0.)); #368831=DIRECTION('center_axis',(0.,0.,1.)); #368832=DIRECTION('ref_axis',(1.,0.,0.)); #368833=DIRECTION('center_axis',(0.,0.,1.)); #368834=DIRECTION('ref_axis',(1.,0.,0.)); #368835=DIRECTION('center_axis',(0.,0.,1.)); #368836=DIRECTION('ref_axis',(1.,0.,0.)); #368837=DIRECTION('center_axis',(0.,0.,-1.)); #368838=DIRECTION('ref_axis',(1.,0.,0.)); #368839=DIRECTION('',(0.,0.,-1.)); #368840=DIRECTION('center_axis',(0.,0.,1.)); #368841=DIRECTION('ref_axis',(1.,0.,0.)); #368842=DIRECTION('center_axis',(0.,0.,1.)); #368843=DIRECTION('ref_axis',(1.,0.,0.)); #368844=DIRECTION('center_axis',(0.,0.,1.)); #368845=DIRECTION('ref_axis',(1.,0.,0.)); #368846=DIRECTION('',(0.,0.,-1.)); #368847=DIRECTION('center_axis',(0.,0.,1.)); #368848=DIRECTION('ref_axis',(1.,0.,0.)); #368849=DIRECTION('center_axis',(0.,0.,1.)); #368850=DIRECTION('ref_axis',(1.,0.,0.)); #368851=DIRECTION('center_axis',(0.,0.,1.)); #368852=DIRECTION('ref_axis',(1.,0.,0.)); #368853=DIRECTION('center_axis',(0.,0.,1.)); #368854=DIRECTION('ref_axis',(1.,0.,0.)); #368855=DIRECTION('center_axis',(0.,0.,-1.)); #368856=DIRECTION('ref_axis',(1.,0.,0.)); #368857=DIRECTION('',(0.,0.,-1.)); #368858=DIRECTION('center_axis',(0.,0.,1.)); #368859=DIRECTION('ref_axis',(1.,0.,0.)); #368860=DIRECTION('center_axis',(0.,0.,1.)); #368861=DIRECTION('ref_axis',(1.,0.,0.)); #368862=DIRECTION('center_axis',(0.,0.,1.)); #368863=DIRECTION('ref_axis',(1.,0.,0.)); #368864=DIRECTION('',(0.,0.,-1.)); #368865=DIRECTION('center_axis',(0.,0.,1.)); #368866=DIRECTION('ref_axis',(1.,0.,0.)); #368867=DIRECTION('center_axis',(0.,0.,1.)); #368868=DIRECTION('ref_axis',(1.,0.,0.)); #368869=DIRECTION('center_axis',(0.,0.,1.)); #368870=DIRECTION('ref_axis',(1.,0.,0.)); #368871=DIRECTION('center_axis',(0.,0.,1.)); #368872=DIRECTION('ref_axis',(1.,0.,0.)); #368873=DIRECTION('center_axis',(0.,0.,-1.)); #368874=DIRECTION('ref_axis',(1.,0.,0.)); #368875=DIRECTION('',(0.,0.,-1.)); #368876=DIRECTION('center_axis',(0.,0.,1.)); #368877=DIRECTION('ref_axis',(1.,0.,0.)); #368878=DIRECTION('center_axis',(0.,0.,1.)); #368879=DIRECTION('ref_axis',(1.,0.,0.)); #368880=DIRECTION('center_axis',(0.,0.,1.)); #368881=DIRECTION('ref_axis',(1.,0.,0.)); #368882=DIRECTION('',(0.,0.,-1.)); #368883=DIRECTION('center_axis',(0.,0.,1.)); #368884=DIRECTION('ref_axis',(1.,0.,0.)); #368885=DIRECTION('center_axis',(0.,0.,1.)); #368886=DIRECTION('ref_axis',(1.,0.,0.)); #368887=DIRECTION('center_axis',(0.,0.,1.)); #368888=DIRECTION('ref_axis',(1.,0.,0.)); #368889=DIRECTION('center_axis',(0.,0.,1.)); #368890=DIRECTION('ref_axis',(1.,0.,0.)); #368891=DIRECTION('center_axis',(0.,0.,-1.)); #368892=DIRECTION('ref_axis',(1.,0.,0.)); #368893=DIRECTION('',(0.,0.,-1.)); #368894=DIRECTION('center_axis',(0.,0.,1.)); #368895=DIRECTION('ref_axis',(1.,0.,0.)); #368896=DIRECTION('center_axis',(0.,0.,1.)); #368897=DIRECTION('ref_axis',(1.,0.,0.)); #368898=DIRECTION('center_axis',(0.,0.,1.)); #368899=DIRECTION('ref_axis',(1.,0.,0.)); #368900=DIRECTION('',(0.,0.,-1.)); #368901=DIRECTION('center_axis',(0.,0.,1.)); #368902=DIRECTION('ref_axis',(1.,0.,0.)); #368903=DIRECTION('center_axis',(0.,0.,1.)); #368904=DIRECTION('ref_axis',(1.,0.,0.)); #368905=DIRECTION('center_axis',(0.,0.,1.)); #368906=DIRECTION('ref_axis',(1.,0.,0.)); #368907=DIRECTION('center_axis',(0.,0.,1.)); #368908=DIRECTION('ref_axis',(1.,0.,0.)); #368909=DIRECTION('center_axis',(0.,0.,-1.)); #368910=DIRECTION('ref_axis',(1.,0.,0.)); #368911=DIRECTION('',(0.,0.,-1.)); #368912=DIRECTION('center_axis',(0.,0.,1.)); #368913=DIRECTION('ref_axis',(1.,0.,0.)); #368914=DIRECTION('center_axis',(0.,0.,1.)); #368915=DIRECTION('ref_axis',(1.,0.,0.)); #368916=DIRECTION('center_axis',(0.,0.,1.)); #368917=DIRECTION('ref_axis',(1.,0.,0.)); #368918=DIRECTION('',(0.,0.,-1.)); #368919=DIRECTION('center_axis',(0.,0.,1.)); #368920=DIRECTION('ref_axis',(1.,0.,0.)); #368921=DIRECTION('center_axis',(0.,0.,1.)); #368922=DIRECTION('ref_axis',(1.,0.,0.)); #368923=DIRECTION('center_axis',(0.,0.,1.)); #368924=DIRECTION('ref_axis',(1.,0.,0.)); #368925=DIRECTION('center_axis',(0.,0.,1.)); #368926=DIRECTION('ref_axis',(1.,0.,0.)); #368927=DIRECTION('center_axis',(0.,0.,-1.)); #368928=DIRECTION('ref_axis',(1.,0.,0.)); #368929=DIRECTION('',(0.,0.,-1.)); #368930=DIRECTION('center_axis',(0.,0.,1.)); #368931=DIRECTION('ref_axis',(1.,0.,0.)); #368932=DIRECTION('center_axis',(0.,0.,1.)); #368933=DIRECTION('ref_axis',(1.,0.,0.)); #368934=DIRECTION('center_axis',(0.,0.,1.)); #368935=DIRECTION('ref_axis',(1.,0.,0.)); #368936=DIRECTION('',(0.,0.,-1.)); #368937=DIRECTION('center_axis',(0.,0.,1.)); #368938=DIRECTION('ref_axis',(1.,0.,0.)); #368939=DIRECTION('center_axis',(0.,0.,1.)); #368940=DIRECTION('ref_axis',(1.,0.,0.)); #368941=DIRECTION('center_axis',(0.,0.,1.)); #368942=DIRECTION('ref_axis',(1.,0.,0.)); #368943=DIRECTION('center_axis',(0.,0.,1.)); #368944=DIRECTION('ref_axis',(1.,0.,0.)); #368945=DIRECTION('center_axis',(0.,0.,-1.)); #368946=DIRECTION('ref_axis',(1.,0.,0.)); #368947=DIRECTION('',(0.,0.,-1.)); #368948=DIRECTION('center_axis',(0.,0.,1.)); #368949=DIRECTION('ref_axis',(1.,0.,0.)); #368950=DIRECTION('center_axis',(0.,0.,1.)); #368951=DIRECTION('ref_axis',(1.,0.,0.)); #368952=DIRECTION('center_axis',(0.,0.,1.)); #368953=DIRECTION('ref_axis',(1.,0.,0.)); #368954=DIRECTION('',(0.,0.,-1.)); #368955=DIRECTION('center_axis',(0.,0.,1.)); #368956=DIRECTION('ref_axis',(1.,0.,0.)); #368957=DIRECTION('center_axis',(0.,0.,1.)); #368958=DIRECTION('ref_axis',(1.,0.,0.)); #368959=DIRECTION('center_axis',(0.,0.,1.)); #368960=DIRECTION('ref_axis',(1.,0.,0.)); #368961=DIRECTION('center_axis',(0.,0.,1.)); #368962=DIRECTION('ref_axis',(1.,0.,0.)); #368963=DIRECTION('center_axis',(0.,0.,-1.)); #368964=DIRECTION('ref_axis',(1.,0.,0.)); #368965=DIRECTION('',(0.,0.,-1.)); #368966=DIRECTION('center_axis',(0.,0.,1.)); #368967=DIRECTION('ref_axis',(1.,0.,0.)); #368968=DIRECTION('center_axis',(0.,0.,1.)); #368969=DIRECTION('ref_axis',(1.,0.,0.)); #368970=DIRECTION('center_axis',(0.,0.,1.)); #368971=DIRECTION('ref_axis',(1.,0.,0.)); #368972=DIRECTION('',(0.,0.,-1.)); #368973=DIRECTION('center_axis',(0.,0.,1.)); #368974=DIRECTION('ref_axis',(1.,0.,0.)); #368975=DIRECTION('center_axis',(0.,0.,1.)); #368976=DIRECTION('ref_axis',(1.,0.,0.)); #368977=DIRECTION('center_axis',(0.,0.,1.)); #368978=DIRECTION('ref_axis',(1.,0.,0.)); #368979=DIRECTION('center_axis',(0.,0.,1.)); #368980=DIRECTION('ref_axis',(1.,0.,0.)); #368981=DIRECTION('center_axis',(0.,0.,-1.)); #368982=DIRECTION('ref_axis',(1.,0.,0.)); #368983=DIRECTION('',(0.,0.,-1.)); #368984=DIRECTION('center_axis',(0.,0.,1.)); #368985=DIRECTION('ref_axis',(1.,0.,0.)); #368986=DIRECTION('center_axis',(0.,0.,1.)); #368987=DIRECTION('ref_axis',(1.,0.,0.)); #368988=DIRECTION('center_axis',(0.,0.,1.)); #368989=DIRECTION('ref_axis',(1.,0.,0.)); #368990=DIRECTION('',(0.,0.,-1.)); #368991=DIRECTION('center_axis',(0.,0.,1.)); #368992=DIRECTION('ref_axis',(1.,0.,0.)); #368993=DIRECTION('center_axis',(0.,0.,1.)); #368994=DIRECTION('ref_axis',(1.,0.,0.)); #368995=DIRECTION('center_axis',(0.,0.,1.)); #368996=DIRECTION('ref_axis',(1.,0.,0.)); #368997=DIRECTION('center_axis',(0.,0.,1.)); #368998=DIRECTION('ref_axis',(1.,0.,0.)); #368999=DIRECTION('center_axis',(0.,0.,-1.)); #369000=DIRECTION('ref_axis',(1.,0.,0.)); #369001=DIRECTION('',(0.,0.,-1.)); #369002=DIRECTION('center_axis',(0.,0.,1.)); #369003=DIRECTION('ref_axis',(1.,0.,0.)); #369004=DIRECTION('center_axis',(0.,0.,1.)); #369005=DIRECTION('ref_axis',(1.,0.,0.)); #369006=DIRECTION('center_axis',(0.,0.,1.)); #369007=DIRECTION('ref_axis',(1.,0.,0.)); #369008=DIRECTION('',(0.,0.,-1.)); #369009=DIRECTION('center_axis',(0.,0.,1.)); #369010=DIRECTION('ref_axis',(1.,0.,0.)); #369011=DIRECTION('center_axis',(0.,0.,1.)); #369012=DIRECTION('ref_axis',(1.,0.,0.)); #369013=DIRECTION('center_axis',(0.,0.,1.)); #369014=DIRECTION('ref_axis',(1.,0.,0.)); #369015=DIRECTION('center_axis',(0.,0.,1.)); #369016=DIRECTION('ref_axis',(1.,0.,0.)); #369017=DIRECTION('center_axis',(0.,0.,-1.)); #369018=DIRECTION('ref_axis',(1.,0.,0.)); #369019=DIRECTION('',(0.,0.,-1.)); #369020=DIRECTION('center_axis',(0.,0.,1.)); #369021=DIRECTION('ref_axis',(1.,0.,0.)); #369022=DIRECTION('center_axis',(0.,0.,1.)); #369023=DIRECTION('ref_axis',(1.,0.,0.)); #369024=DIRECTION('center_axis',(0.,0.,1.)); #369025=DIRECTION('ref_axis',(1.,0.,0.)); #369026=DIRECTION('',(0.,0.,-1.)); #369027=DIRECTION('center_axis',(0.,0.,1.)); #369028=DIRECTION('ref_axis',(1.,0.,0.)); #369029=DIRECTION('center_axis',(0.,0.,1.)); #369030=DIRECTION('ref_axis',(1.,0.,0.)); #369031=DIRECTION('center_axis',(0.,0.,1.)); #369032=DIRECTION('ref_axis',(1.,0.,0.)); #369033=DIRECTION('center_axis',(0.,0.,1.)); #369034=DIRECTION('ref_axis',(1.,0.,0.)); #369035=DIRECTION('center_axis',(0.,0.,-1.)); #369036=DIRECTION('ref_axis',(1.,0.,0.)); #369037=DIRECTION('',(0.,0.,-1.)); #369038=DIRECTION('center_axis',(0.,0.,1.)); #369039=DIRECTION('ref_axis',(1.,0.,0.)); #369040=DIRECTION('center_axis',(0.,0.,1.)); #369041=DIRECTION('ref_axis',(1.,0.,0.)); #369042=DIRECTION('center_axis',(0.,0.,1.)); #369043=DIRECTION('ref_axis',(1.,0.,0.)); #369044=DIRECTION('',(0.,0.,-1.)); #369045=DIRECTION('center_axis',(0.,0.,1.)); #369046=DIRECTION('ref_axis',(1.,0.,0.)); #369047=DIRECTION('center_axis',(0.,0.,1.)); #369048=DIRECTION('ref_axis',(1.,0.,0.)); #369049=DIRECTION('center_axis',(0.,0.,1.)); #369050=DIRECTION('ref_axis',(1.,0.,0.)); #369051=DIRECTION('center_axis',(0.,0.,1.)); #369052=DIRECTION('ref_axis',(1.,0.,0.)); #369053=DIRECTION('center_axis',(0.,0.,-1.)); #369054=DIRECTION('ref_axis',(1.,0.,0.)); #369055=DIRECTION('',(0.,0.,-1.)); #369056=DIRECTION('center_axis',(0.,0.,1.)); #369057=DIRECTION('ref_axis',(1.,0.,0.)); #369058=DIRECTION('center_axis',(0.,0.,1.)); #369059=DIRECTION('ref_axis',(1.,0.,0.)); #369060=DIRECTION('center_axis',(0.,0.,1.)); #369061=DIRECTION('ref_axis',(1.,0.,0.)); #369062=DIRECTION('',(0.,0.,-1.)); #369063=DIRECTION('center_axis',(0.,0.,1.)); #369064=DIRECTION('ref_axis',(1.,0.,0.)); #369065=DIRECTION('center_axis',(0.,0.,1.)); #369066=DIRECTION('ref_axis',(1.,0.,0.)); #369067=DIRECTION('center_axis',(0.,0.,1.)); #369068=DIRECTION('ref_axis',(1.,0.,0.)); #369069=DIRECTION('center_axis',(0.,0.,1.)); #369070=DIRECTION('ref_axis',(1.,0.,0.)); #369071=DIRECTION('center_axis',(0.,0.,-1.)); #369072=DIRECTION('ref_axis',(1.,0.,0.)); #369073=DIRECTION('',(0.,0.,-1.)); #369074=DIRECTION('center_axis',(0.,0.,1.)); #369075=DIRECTION('ref_axis',(1.,0.,0.)); #369076=DIRECTION('center_axis',(0.,0.,1.)); #369077=DIRECTION('ref_axis',(1.,0.,0.)); #369078=DIRECTION('center_axis',(0.,0.,1.)); #369079=DIRECTION('ref_axis',(1.,0.,0.)); #369080=DIRECTION('',(0.,0.,-1.)); #369081=DIRECTION('center_axis',(0.,0.,1.)); #369082=DIRECTION('ref_axis',(1.,0.,0.)); #369083=DIRECTION('center_axis',(0.,0.,1.)); #369084=DIRECTION('ref_axis',(1.,0.,0.)); #369085=DIRECTION('center_axis',(0.,0.,1.)); #369086=DIRECTION('ref_axis',(1.,0.,0.)); #369087=DIRECTION('center_axis',(0.,0.,1.)); #369088=DIRECTION('ref_axis',(1.,0.,0.)); #369089=DIRECTION('center_axis',(0.,0.,-1.)); #369090=DIRECTION('ref_axis',(1.,0.,0.)); #369091=DIRECTION('',(0.,0.,-1.)); #369092=DIRECTION('center_axis',(0.,0.,1.)); #369093=DIRECTION('ref_axis',(1.,0.,0.)); #369094=DIRECTION('center_axis',(0.,0.,1.)); #369095=DIRECTION('ref_axis',(1.,0.,0.)); #369096=DIRECTION('center_axis',(0.,0.,1.)); #369097=DIRECTION('ref_axis',(1.,0.,0.)); #369098=DIRECTION('',(0.,0.,-1.)); #369099=DIRECTION('center_axis',(0.,0.,1.)); #369100=DIRECTION('ref_axis',(1.,0.,0.)); #369101=DIRECTION('center_axis',(0.,0.,1.)); #369102=DIRECTION('ref_axis',(1.,0.,0.)); #369103=DIRECTION('center_axis',(0.,0.,1.)); #369104=DIRECTION('ref_axis',(1.,0.,0.)); #369105=DIRECTION('center_axis',(0.,0.,1.)); #369106=DIRECTION('ref_axis',(1.,0.,0.)); #369107=DIRECTION('center_axis',(0.,0.,-1.)); #369108=DIRECTION('ref_axis',(1.,0.,0.)); #369109=DIRECTION('',(0.,0.,-1.)); #369110=DIRECTION('center_axis',(0.,0.,1.)); #369111=DIRECTION('ref_axis',(1.,0.,0.)); #369112=DIRECTION('center_axis',(0.,0.,1.)); #369113=DIRECTION('ref_axis',(1.,0.,0.)); #369114=DIRECTION('center_axis',(0.,0.,1.)); #369115=DIRECTION('ref_axis',(1.,0.,0.)); #369116=DIRECTION('',(0.,0.,-1.)); #369117=DIRECTION('center_axis',(0.,0.,1.)); #369118=DIRECTION('ref_axis',(1.,0.,0.)); #369119=DIRECTION('center_axis',(0.,0.,1.)); #369120=DIRECTION('ref_axis',(1.,0.,0.)); #369121=DIRECTION('center_axis',(0.,0.,1.)); #369122=DIRECTION('ref_axis',(1.,0.,0.)); #369123=DIRECTION('center_axis',(0.,0.,1.)); #369124=DIRECTION('ref_axis',(1.,0.,0.)); #369125=DIRECTION('center_axis',(0.,0.,-1.)); #369126=DIRECTION('ref_axis',(1.,0.,0.)); #369127=DIRECTION('',(0.,0.,-1.)); #369128=DIRECTION('center_axis',(0.,0.,1.)); #369129=DIRECTION('ref_axis',(1.,0.,0.)); #369130=DIRECTION('center_axis',(0.,0.,1.)); #369131=DIRECTION('ref_axis',(1.,0.,0.)); #369132=DIRECTION('center_axis',(0.,0.,1.)); #369133=DIRECTION('ref_axis',(1.,0.,0.)); #369134=DIRECTION('',(0.,0.,-1.)); #369135=DIRECTION('center_axis',(0.,0.,1.)); #369136=DIRECTION('ref_axis',(1.,0.,0.)); #369137=DIRECTION('center_axis',(0.,0.,1.)); #369138=DIRECTION('ref_axis',(1.,0.,0.)); #369139=DIRECTION('center_axis',(0.,0.,1.)); #369140=DIRECTION('ref_axis',(1.,0.,0.)); #369141=DIRECTION('center_axis',(0.,0.,1.)); #369142=DIRECTION('ref_axis',(1.,0.,0.)); #369143=DIRECTION('center_axis',(0.,0.,-1.)); #369144=DIRECTION('ref_axis',(1.,0.,0.)); #369145=DIRECTION('',(0.,0.,-1.)); #369146=DIRECTION('center_axis',(0.,0.,1.)); #369147=DIRECTION('ref_axis',(1.,0.,0.)); #369148=DIRECTION('center_axis',(0.,0.,1.)); #369149=DIRECTION('ref_axis',(1.,0.,0.)); #369150=DIRECTION('center_axis',(0.,0.,1.)); #369151=DIRECTION('ref_axis',(1.,0.,0.)); #369152=DIRECTION('',(0.,0.,-1.)); #369153=DIRECTION('center_axis',(0.,0.,1.)); #369154=DIRECTION('ref_axis',(1.,0.,0.)); #369155=DIRECTION('center_axis',(0.,0.,1.)); #369156=DIRECTION('ref_axis',(1.,0.,0.)); #369157=DIRECTION('center_axis',(0.,0.,1.)); #369158=DIRECTION('ref_axis',(1.,0.,0.)); #369159=DIRECTION('center_axis',(0.,0.,1.)); #369160=DIRECTION('ref_axis',(1.,0.,0.)); #369161=DIRECTION('center_axis',(0.,0.,-1.)); #369162=DIRECTION('ref_axis',(1.,0.,0.)); #369163=DIRECTION('',(0.,0.,-1.)); #369164=DIRECTION('center_axis',(0.,0.,1.)); #369165=DIRECTION('ref_axis',(1.,0.,0.)); #369166=DIRECTION('center_axis',(0.,0.,1.)); #369167=DIRECTION('ref_axis',(1.,0.,0.)); #369168=DIRECTION('center_axis',(0.,0.,1.)); #369169=DIRECTION('ref_axis',(1.,0.,0.)); #369170=DIRECTION('',(0.,0.,-1.)); #369171=DIRECTION('center_axis',(0.,0.,1.)); #369172=DIRECTION('ref_axis',(1.,0.,0.)); #369173=DIRECTION('center_axis',(0.,0.,1.)); #369174=DIRECTION('ref_axis',(1.,0.,0.)); #369175=DIRECTION('center_axis',(0.,0.,1.)); #369176=DIRECTION('ref_axis',(1.,0.,0.)); #369177=DIRECTION('center_axis',(0.,0.,1.)); #369178=DIRECTION('ref_axis',(1.,0.,0.)); #369179=DIRECTION('center_axis',(0.,0.,-1.)); #369180=DIRECTION('ref_axis',(1.,0.,0.)); #369181=DIRECTION('',(0.,0.,-1.)); #369182=DIRECTION('center_axis',(0.,0.,1.)); #369183=DIRECTION('ref_axis',(1.,0.,0.)); #369184=DIRECTION('center_axis',(0.,0.,1.)); #369185=DIRECTION('ref_axis',(1.,0.,0.)); #369186=DIRECTION('center_axis',(0.,0.,1.)); #369187=DIRECTION('ref_axis',(1.,0.,0.)); #369188=DIRECTION('',(0.,0.,-1.)); #369189=DIRECTION('center_axis',(0.,0.,1.)); #369190=DIRECTION('ref_axis',(1.,0.,0.)); #369191=DIRECTION('center_axis',(0.,0.,1.)); #369192=DIRECTION('ref_axis',(1.,0.,0.)); #369193=DIRECTION('center_axis',(0.,0.,1.)); #369194=DIRECTION('ref_axis',(1.,0.,0.)); #369195=DIRECTION('axis',(0.,0.,1.)); #369196=DIRECTION('refdir',(1.,0.,0.)); #369197=DIRECTION('center_axis',(0.,0.,1.)); #369198=DIRECTION('ref_axis',(1.,0.,0.)); #369199=DIRECTION('center_axis',(0.,0.,1.)); #369200=DIRECTION('ref_axis',(1.,0.,0.)); #369201=DIRECTION('',(0.,0.,1.)); #369202=DIRECTION('center_axis',(0.,0.,-1.)); #369203=DIRECTION('ref_axis',(1.,0.,0.)); #369204=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #369205=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #369206=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #369207=DIRECTION('',(0.,0.,1.)); #369208=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #369209=DIRECTION('',(0.,0.,1.)); #369210=DIRECTION('center_axis',(0.,0.,1.)); #369211=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #369212=DIRECTION('center_axis',(0.,0.,1.)); #369213=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #369214=DIRECTION('center_axis',(0.,0.,1.)); #369215=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #369216=DIRECTION('',(0.,0.,1.)); #369217=DIRECTION('center_axis',(0.,0.,1.)); #369218=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #369219=DIRECTION('center_axis',(0.,0.,1.)); #369220=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #369221=DIRECTION('center_axis',(0.,0.,1.)); #369222=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #369223=DIRECTION('center_axis',(0.,0.,1.)); #369224=DIRECTION('ref_axis',(1.,0.,0.)); #369225=DIRECTION('center_axis',(0.,0.,1.)); #369226=DIRECTION('ref_axis',(1.,0.,0.)); #369227=DIRECTION('center_axis',(1.,0.,0.)); #369228=DIRECTION('ref_axis',(0.,1.,0.)); #369229=DIRECTION('',(0.,1.,0.)); #369230=DIRECTION('',(0.,0.,1.)); #369231=DIRECTION('',(0.,1.,0.)); #369232=DIRECTION('',(0.,0.,1.)); #369233=DIRECTION('center_axis',(0.,-1.,0.)); #369234=DIRECTION('ref_axis',(1.,0.,0.)); #369235=DIRECTION('',(1.,0.,0.)); #369236=DIRECTION('',(1.,0.,0.)); #369237=DIRECTION('',(0.,0.,1.)); #369238=DIRECTION('center_axis',(-1.,0.,0.)); #369239=DIRECTION('ref_axis',(0.,-1.,0.)); #369240=DIRECTION('',(0.,-1.,0.)); #369241=DIRECTION('',(0.,-1.,0.)); #369242=DIRECTION('',(0.,0.,1.)); #369243=DIRECTION('center_axis',(0.,1.,0.)); #369244=DIRECTION('ref_axis',(-1.,0.,0.)); #369245=DIRECTION('',(-1.,0.,0.)); #369246=DIRECTION('',(-1.,0.,0.)); #369247=DIRECTION('center_axis',(0.,0.,1.)); #369248=DIRECTION('ref_axis',(1.,0.,0.)); #369249=DIRECTION('center_axis',(0.,0.,1.)); #369250=DIRECTION('ref_axis',(1.,0.,0.)); #369251=DIRECTION('center_axis',(1.,0.,0.)); #369252=DIRECTION('ref_axis',(0.,1.,0.)); #369253=DIRECTION('',(0.,1.,0.)); #369254=DIRECTION('',(0.,0.,1.)); #369255=DIRECTION('',(0.,1.,0.)); #369256=DIRECTION('',(0.,0.,1.)); #369257=DIRECTION('center_axis',(0.,-1.,0.)); #369258=DIRECTION('ref_axis',(1.,0.,0.)); #369259=DIRECTION('',(1.,0.,0.)); #369260=DIRECTION('',(1.,0.,0.)); #369261=DIRECTION('',(0.,0.,1.)); #369262=DIRECTION('center_axis',(-1.,0.,0.)); #369263=DIRECTION('ref_axis',(0.,-1.,0.)); #369264=DIRECTION('',(0.,-1.,0.)); #369265=DIRECTION('',(0.,-1.,0.)); #369266=DIRECTION('',(0.,0.,1.)); #369267=DIRECTION('center_axis',(0.,1.,0.)); #369268=DIRECTION('ref_axis',(-1.,0.,0.)); #369269=DIRECTION('',(-1.,0.,0.)); #369270=DIRECTION('',(-1.,0.,0.)); #369271=DIRECTION('center_axis',(0.,0.,1.)); #369272=DIRECTION('ref_axis',(1.,0.,0.)); #369273=DIRECTION('center_axis',(0.,0.,1.)); #369274=DIRECTION('ref_axis',(1.,0.,0.)); #369275=DIRECTION('center_axis',(1.,0.,0.)); #369276=DIRECTION('ref_axis',(0.,1.,0.)); #369277=DIRECTION('',(0.,1.,0.)); #369278=DIRECTION('',(0.,0.,1.)); #369279=DIRECTION('',(0.,1.,0.)); #369280=DIRECTION('',(0.,0.,1.)); #369281=DIRECTION('center_axis',(0.,-1.,0.)); #369282=DIRECTION('ref_axis',(1.,0.,0.)); #369283=DIRECTION('',(1.,0.,0.)); #369284=DIRECTION('',(1.,0.,0.)); #369285=DIRECTION('',(0.,0.,1.)); #369286=DIRECTION('center_axis',(-1.,0.,0.)); #369287=DIRECTION('ref_axis',(0.,-1.,0.)); #369288=DIRECTION('',(0.,-1.,0.)); #369289=DIRECTION('',(0.,-1.,0.)); #369290=DIRECTION('',(0.,0.,1.)); #369291=DIRECTION('center_axis',(0.,1.,0.)); #369292=DIRECTION('ref_axis',(-1.,0.,0.)); #369293=DIRECTION('',(-1.,0.,0.)); #369294=DIRECTION('',(-1.,0.,0.)); #369295=DIRECTION('center_axis',(0.,0.,1.)); #369296=DIRECTION('ref_axis',(1.,0.,0.)); #369297=DIRECTION('center_axis',(0.,0.,1.)); #369298=DIRECTION('ref_axis',(1.,0.,0.)); #369299=DIRECTION('center_axis',(1.,0.,0.)); #369300=DIRECTION('ref_axis',(0.,1.,0.)); #369301=DIRECTION('',(0.,1.,0.)); #369302=DIRECTION('',(0.,0.,1.)); #369303=DIRECTION('',(0.,1.,0.)); #369304=DIRECTION('',(0.,0.,1.)); #369305=DIRECTION('center_axis',(0.,-1.,0.)); #369306=DIRECTION('ref_axis',(1.,0.,0.)); #369307=DIRECTION('',(1.,0.,0.)); #369308=DIRECTION('',(1.,0.,0.)); #369309=DIRECTION('',(0.,0.,1.)); #369310=DIRECTION('center_axis',(-1.,0.,0.)); #369311=DIRECTION('ref_axis',(0.,-1.,0.)); #369312=DIRECTION('',(0.,-1.,0.)); #369313=DIRECTION('',(0.,-1.,0.)); #369314=DIRECTION('',(0.,0.,1.)); #369315=DIRECTION('center_axis',(0.,1.,0.)); #369316=DIRECTION('ref_axis',(-1.,0.,0.)); #369317=DIRECTION('',(-1.,0.,0.)); #369318=DIRECTION('',(-1.,0.,0.)); #369319=DIRECTION('center_axis',(0.,0.,1.)); #369320=DIRECTION('ref_axis',(1.,0.,0.)); #369321=DIRECTION('center_axis',(0.,0.,1.)); #369322=DIRECTION('ref_axis',(1.,0.,0.)); #369323=DIRECTION('center_axis',(1.,0.,0.)); #369324=DIRECTION('ref_axis',(0.,1.,0.)); #369325=DIRECTION('',(0.,1.,0.)); #369326=DIRECTION('',(0.,0.,1.)); #369327=DIRECTION('',(0.,1.,0.)); #369328=DIRECTION('',(0.,0.,1.)); #369329=DIRECTION('center_axis',(0.,-1.,0.)); #369330=DIRECTION('ref_axis',(1.,0.,0.)); #369331=DIRECTION('',(1.,0.,0.)); #369332=DIRECTION('',(1.,0.,0.)); #369333=DIRECTION('',(0.,0.,1.)); #369334=DIRECTION('center_axis',(-1.,0.,0.)); #369335=DIRECTION('ref_axis',(0.,-1.,0.)); #369336=DIRECTION('',(0.,-1.,0.)); #369337=DIRECTION('',(0.,-1.,0.)); #369338=DIRECTION('',(0.,0.,1.)); #369339=DIRECTION('center_axis',(0.,1.,0.)); #369340=DIRECTION('ref_axis',(-1.,0.,0.)); #369341=DIRECTION('',(-1.,0.,0.)); #369342=DIRECTION('',(-1.,0.,0.)); #369343=DIRECTION('center_axis',(0.,0.,1.)); #369344=DIRECTION('ref_axis',(1.,0.,0.)); #369345=DIRECTION('center_axis',(0.,0.,1.)); #369346=DIRECTION('ref_axis',(1.,0.,0.)); #369347=DIRECTION('center_axis',(1.,0.,0.)); #369348=DIRECTION('ref_axis',(0.,1.,0.)); #369349=DIRECTION('',(0.,1.,0.)); #369350=DIRECTION('',(0.,0.,1.)); #369351=DIRECTION('',(0.,1.,0.)); #369352=DIRECTION('',(0.,0.,1.)); #369353=DIRECTION('center_axis',(0.,-1.,0.)); #369354=DIRECTION('ref_axis',(1.,0.,0.)); #369355=DIRECTION('',(1.,0.,0.)); #369356=DIRECTION('',(1.,0.,0.)); #369357=DIRECTION('',(0.,0.,1.)); #369358=DIRECTION('center_axis',(-1.,0.,0.)); #369359=DIRECTION('ref_axis',(0.,-1.,0.)); #369360=DIRECTION('',(0.,-1.,0.)); #369361=DIRECTION('',(0.,-1.,0.)); #369362=DIRECTION('',(0.,0.,1.)); #369363=DIRECTION('center_axis',(0.,1.,0.)); #369364=DIRECTION('ref_axis',(-1.,0.,0.)); #369365=DIRECTION('',(-1.,0.,0.)); #369366=DIRECTION('',(-1.,0.,0.)); #369367=DIRECTION('center_axis',(0.,0.,1.)); #369368=DIRECTION('ref_axis',(1.,0.,0.)); #369369=DIRECTION('center_axis',(0.,0.,1.)); #369370=DIRECTION('ref_axis',(1.,0.,0.)); #369371=DIRECTION('center_axis',(1.,0.,0.)); #369372=DIRECTION('ref_axis',(0.,1.,0.)); #369373=DIRECTION('',(0.,1.,0.)); #369374=DIRECTION('',(0.,0.,1.)); #369375=DIRECTION('',(0.,1.,0.)); #369376=DIRECTION('',(0.,0.,1.)); #369377=DIRECTION('center_axis',(0.,-1.,0.)); #369378=DIRECTION('ref_axis',(1.,0.,0.)); #369379=DIRECTION('',(1.,0.,0.)); #369380=DIRECTION('',(1.,0.,0.)); #369381=DIRECTION('',(0.,0.,1.)); #369382=DIRECTION('center_axis',(-1.,0.,0.)); #369383=DIRECTION('ref_axis',(0.,-1.,0.)); #369384=DIRECTION('',(0.,-1.,0.)); #369385=DIRECTION('',(0.,-1.,0.)); #369386=DIRECTION('',(0.,0.,1.)); #369387=DIRECTION('center_axis',(0.,1.,0.)); #369388=DIRECTION('ref_axis',(-1.,0.,0.)); #369389=DIRECTION('',(-1.,0.,0.)); #369390=DIRECTION('',(-1.,0.,0.)); #369391=DIRECTION('center_axis',(0.,0.,1.)); #369392=DIRECTION('ref_axis',(1.,0.,0.)); #369393=DIRECTION('center_axis',(0.,0.,1.)); #369394=DIRECTION('ref_axis',(1.,0.,0.)); #369395=DIRECTION('center_axis',(1.,0.,0.)); #369396=DIRECTION('ref_axis',(0.,1.,0.)); #369397=DIRECTION('',(0.,1.,0.)); #369398=DIRECTION('',(0.,0.,1.)); #369399=DIRECTION('',(0.,1.,0.)); #369400=DIRECTION('',(0.,0.,1.)); #369401=DIRECTION('center_axis',(0.,-1.,0.)); #369402=DIRECTION('ref_axis',(1.,0.,0.)); #369403=DIRECTION('',(1.,0.,0.)); #369404=DIRECTION('',(1.,0.,0.)); #369405=DIRECTION('',(0.,0.,1.)); #369406=DIRECTION('center_axis',(-1.,0.,0.)); #369407=DIRECTION('ref_axis',(0.,-1.,0.)); #369408=DIRECTION('',(0.,-1.,0.)); #369409=DIRECTION('',(0.,-1.,0.)); #369410=DIRECTION('',(0.,0.,1.)); #369411=DIRECTION('center_axis',(0.,1.,0.)); #369412=DIRECTION('ref_axis',(-1.,0.,0.)); #369413=DIRECTION('',(-1.,0.,0.)); #369414=DIRECTION('',(-1.,0.,0.)); #369415=DIRECTION('center_axis',(0.,0.,1.)); #369416=DIRECTION('ref_axis',(1.,0.,0.)); #369417=DIRECTION('center_axis',(0.,0.,1.)); #369418=DIRECTION('ref_axis',(1.,0.,0.)); #369419=DIRECTION('center_axis',(1.,0.,0.)); #369420=DIRECTION('ref_axis',(0.,1.,0.)); #369421=DIRECTION('',(0.,1.,0.)); #369422=DIRECTION('',(0.,0.,1.)); #369423=DIRECTION('',(0.,1.,0.)); #369424=DIRECTION('',(0.,0.,1.)); #369425=DIRECTION('center_axis',(0.,-1.,0.)); #369426=DIRECTION('ref_axis',(1.,0.,0.)); #369427=DIRECTION('',(1.,0.,0.)); #369428=DIRECTION('',(1.,0.,0.)); #369429=DIRECTION('',(0.,0.,1.)); #369430=DIRECTION('center_axis',(-1.,0.,0.)); #369431=DIRECTION('ref_axis',(0.,-1.,0.)); #369432=DIRECTION('',(0.,-1.,0.)); #369433=DIRECTION('',(0.,-1.,0.)); #369434=DIRECTION('',(0.,0.,1.)); #369435=DIRECTION('center_axis',(0.,1.,0.)); #369436=DIRECTION('ref_axis',(-1.,0.,0.)); #369437=DIRECTION('',(-1.,0.,0.)); #369438=DIRECTION('',(-1.,0.,0.)); #369439=DIRECTION('center_axis',(0.,0.,1.)); #369440=DIRECTION('ref_axis',(1.,0.,0.)); #369441=DIRECTION('center_axis',(0.,0.,1.)); #369442=DIRECTION('ref_axis',(1.,0.,0.)); #369443=DIRECTION('center_axis',(1.,0.,0.)); #369444=DIRECTION('ref_axis',(0.,1.,0.)); #369445=DIRECTION('',(0.,1.,0.)); #369446=DIRECTION('',(0.,0.,1.)); #369447=DIRECTION('',(0.,1.,0.)); #369448=DIRECTION('',(0.,0.,1.)); #369449=DIRECTION('center_axis',(0.,-1.,0.)); #369450=DIRECTION('ref_axis',(1.,0.,0.)); #369451=DIRECTION('',(1.,0.,0.)); #369452=DIRECTION('',(1.,0.,0.)); #369453=DIRECTION('',(0.,0.,1.)); #369454=DIRECTION('center_axis',(-1.,0.,0.)); #369455=DIRECTION('ref_axis',(0.,-1.,0.)); #369456=DIRECTION('',(0.,-1.,0.)); #369457=DIRECTION('',(0.,-1.,0.)); #369458=DIRECTION('',(0.,0.,1.)); #369459=DIRECTION('center_axis',(0.,1.,0.)); #369460=DIRECTION('ref_axis',(-1.,0.,0.)); #369461=DIRECTION('',(-1.,0.,0.)); #369462=DIRECTION('',(-1.,0.,0.)); #369463=DIRECTION('center_axis',(0.,0.,1.)); #369464=DIRECTION('ref_axis',(1.,0.,0.)); #369465=DIRECTION('center_axis',(0.,0.,1.)); #369466=DIRECTION('ref_axis',(1.,0.,0.)); #369467=DIRECTION('center_axis',(1.,0.,0.)); #369468=DIRECTION('ref_axis',(0.,1.,0.)); #369469=DIRECTION('',(0.,1.,0.)); #369470=DIRECTION('',(0.,0.,1.)); #369471=DIRECTION('',(0.,1.,0.)); #369472=DIRECTION('',(0.,0.,1.)); #369473=DIRECTION('center_axis',(0.,-1.,0.)); #369474=DIRECTION('ref_axis',(1.,0.,0.)); #369475=DIRECTION('',(1.,0.,0.)); #369476=DIRECTION('',(1.,0.,0.)); #369477=DIRECTION('',(0.,0.,1.)); #369478=DIRECTION('center_axis',(-1.,0.,0.)); #369479=DIRECTION('ref_axis',(0.,-1.,0.)); #369480=DIRECTION('',(0.,-1.,0.)); #369481=DIRECTION('',(0.,-1.,0.)); #369482=DIRECTION('',(0.,0.,1.)); #369483=DIRECTION('center_axis',(0.,1.,0.)); #369484=DIRECTION('ref_axis',(-1.,0.,0.)); #369485=DIRECTION('',(-1.,0.,0.)); #369486=DIRECTION('',(-1.,0.,0.)); #369487=DIRECTION('center_axis',(0.,0.,1.)); #369488=DIRECTION('ref_axis',(1.,0.,0.)); #369489=DIRECTION('center_axis',(0.,0.,1.)); #369490=DIRECTION('ref_axis',(1.,0.,0.)); #369491=DIRECTION('center_axis',(0.,0.,1.)); #369492=DIRECTION('ref_axis',(1.,0.,0.)); #369493=DIRECTION('center_axis',(0.,0.,1.)); #369494=DIRECTION('ref_axis',(1.,0.,0.)); #369495=DIRECTION('',(0.,0.,1.)); #369496=DIRECTION('center_axis',(0.,0.,-1.)); #369497=DIRECTION('ref_axis',(1.,0.,0.)); #369498=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #369499=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #369500=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #369501=DIRECTION('',(0.,0.,1.)); #369502=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #369503=DIRECTION('',(0.,0.,1.)); #369504=DIRECTION('center_axis',(0.,0.,1.)); #369505=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #369506=DIRECTION('center_axis',(0.,0.,1.)); #369507=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #369508=DIRECTION('center_axis',(0.,0.,1.)); #369509=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #369510=DIRECTION('',(0.,0.,1.)); #369511=DIRECTION('center_axis',(0.,-1.,0.)); #369512=DIRECTION('ref_axis',(1.,0.,0.)); #369513=DIRECTION('',(1.,0.,0.)); #369514=DIRECTION('',(1.,0.,0.)); #369515=DIRECTION('',(0.,0.,1.)); #369516=DIRECTION('center_axis',(0.,0.,1.)); #369517=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #369518=DIRECTION('center_axis',(0.,0.,1.)); #369519=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #369520=DIRECTION('center_axis',(0.,0.,1.)); #369521=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #369522=DIRECTION('',(0.,0.,1.)); #369523=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #369524=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #369525=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369526=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369527=DIRECTION('',(0.,0.,1.)); #369528=DIRECTION('center_axis',(0.,-1.,0.)); #369529=DIRECTION('ref_axis',(1.,0.,0.)); #369530=DIRECTION('',(1.,0.,0.)); #369531=DIRECTION('',(1.,0.,0.)); #369532=DIRECTION('',(0.,0.,1.)); #369533=DIRECTION('center_axis',(-1.,0.,0.)); #369534=DIRECTION('ref_axis',(0.,-1.,0.)); #369535=DIRECTION('',(0.,-1.,0.)); #369536=DIRECTION('',(0.,-1.,0.)); #369537=DIRECTION('',(0.,0.,1.)); #369538=DIRECTION('center_axis',(0.,1.,0.)); #369539=DIRECTION('ref_axis',(-1.,0.,0.)); #369540=DIRECTION('',(-1.,0.,0.)); #369541=DIRECTION('',(-1.,0.,0.)); #369542=DIRECTION('',(0.,0.,1.)); #369543=DIRECTION('center_axis',(1.,0.,0.)); #369544=DIRECTION('ref_axis',(0.,1.,0.)); #369545=DIRECTION('',(0.,1.,0.)); #369546=DIRECTION('',(0.,1.,0.)); #369547=DIRECTION('',(0.,0.,1.)); #369548=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #369549=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #369550=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369551=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369552=DIRECTION('',(0.,0.,1.)); #369553=DIRECTION('center_axis',(0.,1.,0.)); #369554=DIRECTION('ref_axis',(-1.,0.,0.)); #369555=DIRECTION('',(-1.,0.,0.)); #369556=DIRECTION('',(-1.,0.,0.)); #369557=DIRECTION('',(0.,0.,1.)); #369558=DIRECTION('center_axis',(0.,0.,1.)); #369559=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #369560=DIRECTION('center_axis',(0.,0.,1.)); #369561=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #369562=DIRECTION('center_axis',(0.,0.,1.)); #369563=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #369564=DIRECTION('',(0.,0.,1.)); #369565=DIRECTION('center_axis',(0.,0.,1.)); #369566=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #369567=DIRECTION('center_axis',(0.,0.,1.)); #369568=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #369569=DIRECTION('center_axis',(0.,0.,1.)); #369570=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #369571=DIRECTION('center_axis',(0.,0.,1.)); #369572=DIRECTION('ref_axis',(1.,0.,0.)); #369573=DIRECTION('center_axis',(0.,0.,1.)); #369574=DIRECTION('ref_axis',(1.,0.,0.)); #369575=DIRECTION('center_axis',(0.,0.,1.)); #369576=DIRECTION('ref_axis',(1.,0.,0.)); #369577=DIRECTION('center_axis',(0.,0.,1.)); #369578=DIRECTION('ref_axis',(1.,0.,0.)); #369579=DIRECTION('',(0.,0.,1.)); #369580=DIRECTION('center_axis',(0.,0.,-1.)); #369581=DIRECTION('ref_axis',(1.,0.,0.)); #369582=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #369583=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #369584=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #369585=DIRECTION('',(0.,0.,1.)); #369586=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #369587=DIRECTION('',(0.,0.,1.)); #369588=DIRECTION('center_axis',(0.,0.,1.)); #369589=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #369590=DIRECTION('center_axis',(0.,0.,1.)); #369591=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #369592=DIRECTION('center_axis',(0.,0.,1.)); #369593=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #369594=DIRECTION('',(0.,0.,1.)); #369595=DIRECTION('center_axis',(-1.,0.,0.)); #369596=DIRECTION('ref_axis',(0.,-1.,0.)); #369597=DIRECTION('',(0.,-1.,0.)); #369598=DIRECTION('',(0.,-1.,0.)); #369599=DIRECTION('',(0.,0.,1.)); #369600=DIRECTION('center_axis',(0.,-1.,0.)); #369601=DIRECTION('ref_axis',(1.,0.,0.)); #369602=DIRECTION('',(1.,0.,0.)); #369603=DIRECTION('',(1.,0.,0.)); #369604=DIRECTION('',(0.,0.,1.)); #369605=DIRECTION('center_axis',(-1.,0.,0.)); #369606=DIRECTION('ref_axis',(0.,-1.,0.)); #369607=DIRECTION('',(0.,-1.,0.)); #369608=DIRECTION('',(0.,-1.,0.)); #369609=DIRECTION('',(0.,0.,1.)); #369610=DIRECTION('center_axis',(0.,1.,0.)); #369611=DIRECTION('ref_axis',(-1.,0.,0.)); #369612=DIRECTION('',(-1.,0.,0.)); #369613=DIRECTION('',(-1.,0.,0.)); #369614=DIRECTION('',(0.,0.,1.)); #369615=DIRECTION('center_axis',(1.,0.,0.)); #369616=DIRECTION('ref_axis',(0.,1.,0.)); #369617=DIRECTION('',(0.,1.,0.)); #369618=DIRECTION('',(0.,1.,0.)); #369619=DIRECTION('',(0.,0.,1.)); #369620=DIRECTION('center_axis',(0.,-1.,0.)); #369621=DIRECTION('ref_axis',(1.,0.,0.)); #369622=DIRECTION('',(1.,0.,0.)); #369623=DIRECTION('',(1.,0.,0.)); #369624=DIRECTION('',(0.,0.,1.)); #369625=DIRECTION('center_axis',(1.,0.,0.)); #369626=DIRECTION('ref_axis',(0.,1.,0.)); #369627=DIRECTION('',(0.,1.,0.)); #369628=DIRECTION('',(0.,1.,0.)); #369629=DIRECTION('',(0.,0.,1.)); #369630=DIRECTION('center_axis',(0.,0.,1.)); #369631=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #369632=DIRECTION('center_axis',(0.,0.,1.)); #369633=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #369634=DIRECTION('center_axis',(0.,0.,1.)); #369635=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #369636=DIRECTION('center_axis',(0.,0.,1.)); #369637=DIRECTION('ref_axis',(1.,0.,0.)); #369638=DIRECTION('center_axis',(0.,0.,1.)); #369639=DIRECTION('ref_axis',(1.,0.,0.)); #369640=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #369641=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #369642=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #369643=DIRECTION('',(0.,0.,1.)); #369644=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #369645=DIRECTION('',(0.,0.,1.)); #369646=DIRECTION('center_axis',(0.,1.,0.)); #369647=DIRECTION('ref_axis',(-1.,0.,0.)); #369648=DIRECTION('',(-1.,0.,0.)); #369649=DIRECTION('',(-1.,0.,0.)); #369650=DIRECTION('',(0.,0.,1.)); #369651=DIRECTION('center_axis',(-1.,0.,0.)); #369652=DIRECTION('ref_axis',(0.,-1.,0.)); #369653=DIRECTION('',(0.,-1.,0.)); #369654=DIRECTION('',(0.,-1.,0.)); #369655=DIRECTION('',(0.,0.,1.)); #369656=DIRECTION('center_axis',(0.,1.,0.)); #369657=DIRECTION('ref_axis',(-1.,0.,0.)); #369658=DIRECTION('',(-1.,0.,0.)); #369659=DIRECTION('',(-1.,0.,0.)); #369660=DIRECTION('',(0.,0.,1.)); #369661=DIRECTION('center_axis',(1.,0.,0.)); #369662=DIRECTION('ref_axis',(0.,1.,0.)); #369663=DIRECTION('',(0.,1.,0.)); #369664=DIRECTION('',(0.,1.,0.)); #369665=DIRECTION('',(0.,0.,1.)); #369666=DIRECTION('center_axis',(0.,-1.,0.)); #369667=DIRECTION('ref_axis',(1.,0.,0.)); #369668=DIRECTION('',(1.,0.,0.)); #369669=DIRECTION('',(1.,0.,0.)); #369670=DIRECTION('',(0.,0.,1.)); #369671=DIRECTION('center_axis',(-1.,0.,0.)); #369672=DIRECTION('ref_axis',(0.,-1.,0.)); #369673=DIRECTION('',(0.,-1.,0.)); #369674=DIRECTION('',(0.,-1.,0.)); #369675=DIRECTION('',(0.,0.,1.)); #369676=DIRECTION('center_axis',(0.,-1.,0.)); #369677=DIRECTION('ref_axis',(1.,0.,0.)); #369678=DIRECTION('',(1.,0.,0.)); #369679=DIRECTION('',(1.,0.,0.)); #369680=DIRECTION('',(0.,0.,1.)); #369681=DIRECTION('center_axis',(0.,0.,1.)); #369682=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #369683=DIRECTION('center_axis',(0.,0.,1.)); #369684=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #369685=DIRECTION('center_axis',(0.,0.,1.)); #369686=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #369687=DIRECTION('',(0.,0.,1.)); #369688=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #369689=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #369690=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369691=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369692=DIRECTION('',(0.,0.,1.)); #369693=DIRECTION('center_axis',(0.,0.,1.)); #369694=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #369695=DIRECTION('center_axis',(0.,0.,1.)); #369696=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #369697=DIRECTION('center_axis',(0.,0.,1.)); #369698=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #369699=DIRECTION('',(0.,0.,1.)); #369700=DIRECTION('center_axis',(-1.,0.,0.)); #369701=DIRECTION('ref_axis',(0.,-1.,0.)); #369702=DIRECTION('',(0.,-1.,0.)); #369703=DIRECTION('',(0.,-1.,0.)); #369704=DIRECTION('',(0.,0.,1.)); #369705=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #369706=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #369707=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #369708=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #369709=DIRECTION('',(0.,0.,1.)); #369710=DIRECTION('center_axis',(0.,-1.,0.)); #369711=DIRECTION('ref_axis',(1.,0.,0.)); #369712=DIRECTION('',(1.,0.,0.)); #369713=DIRECTION('',(1.,0.,0.)); #369714=DIRECTION('',(0.,0.,1.)); #369715=DIRECTION('center_axis',(-1.,0.,0.)); #369716=DIRECTION('ref_axis',(0.,-1.,0.)); #369717=DIRECTION('',(0.,-1.,0.)); #369718=DIRECTION('',(0.,-1.,0.)); #369719=DIRECTION('',(0.,0.,1.)); #369720=DIRECTION('center_axis',(0.,1.,0.)); #369721=DIRECTION('ref_axis',(-1.,0.,0.)); #369722=DIRECTION('',(-1.,0.,0.)); #369723=DIRECTION('',(-1.,0.,0.)); #369724=DIRECTION('',(0.,0.,1.)); #369725=DIRECTION('center_axis',(1.,0.,0.)); #369726=DIRECTION('ref_axis',(0.,1.,0.)); #369727=DIRECTION('',(0.,1.,0.)); #369728=DIRECTION('',(0.,1.,0.)); #369729=DIRECTION('',(0.,0.,1.)); #369730=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #369731=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #369732=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369733=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369734=DIRECTION('',(0.,0.,1.)); #369735=DIRECTION('center_axis',(0.,0.,1.)); #369736=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #369737=DIRECTION('center_axis',(0.,0.,1.)); #369738=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #369739=DIRECTION('center_axis',(0.,0.,1.)); #369740=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #369741=DIRECTION('',(0.,0.,1.)); #369742=DIRECTION('center_axis',(1.,0.,0.)); #369743=DIRECTION('ref_axis',(0.,1.,0.)); #369744=DIRECTION('',(0.,1.,0.)); #369745=DIRECTION('',(0.,1.,0.)); #369746=DIRECTION('center_axis',(0.,0.,1.)); #369747=DIRECTION('ref_axis',(1.,0.,0.)); #369748=DIRECTION('center_axis',(0.,0.,1.)); #369749=DIRECTION('ref_axis',(1.,0.,0.)); #369750=DIRECTION('center_axis',(1.,0.,0.)); #369751=DIRECTION('ref_axis',(0.,1.,0.)); #369752=DIRECTION('',(0.,1.,0.)); #369753=DIRECTION('',(0.,0.,1.)); #369754=DIRECTION('',(0.,1.,0.)); #369755=DIRECTION('',(0.,0.,1.)); #369756=DIRECTION('center_axis',(0.,-1.,0.)); #369757=DIRECTION('ref_axis',(1.,0.,0.)); #369758=DIRECTION('',(1.,0.,0.)); #369759=DIRECTION('',(1.,0.,0.)); #369760=DIRECTION('',(0.,0.,1.)); #369761=DIRECTION('center_axis',(-1.,0.,0.)); #369762=DIRECTION('ref_axis',(0.,-1.,0.)); #369763=DIRECTION('',(0.,-1.,0.)); #369764=DIRECTION('',(0.,-1.,0.)); #369765=DIRECTION('',(0.,0.,1.)); #369766=DIRECTION('center_axis',(0.,1.,0.)); #369767=DIRECTION('ref_axis',(-1.,0.,0.)); #369768=DIRECTION('',(-1.,0.,0.)); #369769=DIRECTION('',(-1.,0.,0.)); #369770=DIRECTION('',(0.,0.,1.)); #369771=DIRECTION('center_axis',(-1.,0.,0.)); #369772=DIRECTION('ref_axis',(0.,-1.,0.)); #369773=DIRECTION('',(0.,-1.,0.)); #369774=DIRECTION('',(0.,-1.,0.)); #369775=DIRECTION('',(0.,0.,1.)); #369776=DIRECTION('center_axis',(0.,0.,1.)); #369777=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369778=DIRECTION('center_axis',(0.,0.,1.)); #369779=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369780=DIRECTION('center_axis',(0.,0.,1.)); #369781=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369782=DIRECTION('',(0.,0.,1.)); #369783=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #369784=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #369785=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #369786=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #369787=DIRECTION('',(0.,0.,1.)); #369788=DIRECTION('center_axis',(-1.,0.,0.)); #369789=DIRECTION('ref_axis',(0.,-1.,0.)); #369790=DIRECTION('',(0.,-1.,0.)); #369791=DIRECTION('',(0.,-1.,0.)); #369792=DIRECTION('',(0.,0.,1.)); #369793=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #369794=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #369795=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #369796=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #369797=DIRECTION('',(0.,0.,1.)); #369798=DIRECTION('center_axis',(0.,-1.,0.)); #369799=DIRECTION('ref_axis',(1.,0.,0.)); #369800=DIRECTION('',(1.,0.,0.)); #369801=DIRECTION('',(1.,0.,0.)); #369802=DIRECTION('',(0.,0.,1.)); #369803=DIRECTION('center_axis',(-1.,0.,0.)); #369804=DIRECTION('ref_axis',(0.,-1.,0.)); #369805=DIRECTION('',(0.,-1.,0.)); #369806=DIRECTION('',(0.,-1.,0.)); #369807=DIRECTION('',(0.,0.,1.)); #369808=DIRECTION('center_axis',(0.,1.,0.)); #369809=DIRECTION('ref_axis',(-1.,0.,0.)); #369810=DIRECTION('',(-1.,0.,0.)); #369811=DIRECTION('',(-1.,0.,0.)); #369812=DIRECTION('',(0.,0.,1.)); #369813=DIRECTION('center_axis',(-1.,0.,0.)); #369814=DIRECTION('ref_axis',(0.,-1.,0.)); #369815=DIRECTION('',(0.,-1.,0.)); #369816=DIRECTION('',(0.,-1.,0.)); #369817=DIRECTION('',(0.,0.,1.)); #369818=DIRECTION('center_axis',(0.,0.,1.)); #369819=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #369820=DIRECTION('center_axis',(0.,0.,1.)); #369821=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #369822=DIRECTION('center_axis',(0.,0.,1.)); #369823=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #369824=DIRECTION('',(0.,0.,1.)); #369825=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #369826=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #369827=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #369828=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #369829=DIRECTION('',(0.,0.,1.)); #369830=DIRECTION('center_axis',(-1.,0.,0.)); #369831=DIRECTION('ref_axis',(0.,-1.,0.)); #369832=DIRECTION('',(0.,-1.,0.)); #369833=DIRECTION('',(0.,-1.,0.)); #369834=DIRECTION('',(0.,0.,1.)); #369835=DIRECTION('center_axis',(0.,0.,1.)); #369836=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369837=DIRECTION('center_axis',(0.,0.,1.)); #369838=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369839=DIRECTION('center_axis',(0.,0.,1.)); #369840=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369841=DIRECTION('',(0.,0.,1.)); #369842=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #369843=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #369844=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #369845=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #369846=DIRECTION('',(0.,0.,1.)); #369847=DIRECTION('center_axis',(-1.,0.,0.)); #369848=DIRECTION('ref_axis',(0.,-1.,0.)); #369849=DIRECTION('',(0.,-1.,0.)); #369850=DIRECTION('',(0.,-1.,0.)); #369851=DIRECTION('',(0.,0.,1.)); #369852=DIRECTION('center_axis',(0.,1.,0.)); #369853=DIRECTION('ref_axis',(-1.,0.,0.)); #369854=DIRECTION('',(-1.,0.,0.)); #369855=DIRECTION('',(-1.,0.,0.)); #369856=DIRECTION('',(0.,0.,1.)); #369857=DIRECTION('center_axis',(1.,0.,0.)); #369858=DIRECTION('ref_axis',(0.,1.,0.)); #369859=DIRECTION('',(0.,1.,0.)); #369860=DIRECTION('',(0.,1.,0.)); #369861=DIRECTION('',(0.,0.,1.)); #369862=DIRECTION('center_axis',(0.,-1.,0.)); #369863=DIRECTION('ref_axis',(1.,0.,0.)); #369864=DIRECTION('',(1.,0.,0.)); #369865=DIRECTION('',(1.,0.,0.)); #369866=DIRECTION('',(0.,0.,1.)); #369867=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #369868=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #369869=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369870=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369871=DIRECTION('',(0.,0.,1.)); #369872=DIRECTION('center_axis',(1.,0.,0.)); #369873=DIRECTION('ref_axis',(0.,1.,0.)); #369874=DIRECTION('',(0.,1.,0.)); #369875=DIRECTION('',(0.,1.,0.)); #369876=DIRECTION('',(0.,0.,1.)); #369877=DIRECTION('center_axis',(0.,0.,1.)); #369878=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #369879=DIRECTION('center_axis',(0.,0.,1.)); #369880=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #369881=DIRECTION('center_axis',(0.,0.,1.)); #369882=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #369883=DIRECTION('',(0.,0.,1.)); #369884=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #369885=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #369886=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369887=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369888=DIRECTION('',(0.,0.,1.)); #369889=DIRECTION('center_axis',(1.,0.,0.)); #369890=DIRECTION('ref_axis',(0.,1.,0.)); #369891=DIRECTION('',(0.,1.,0.)); #369892=DIRECTION('',(0.,1.,0.)); #369893=DIRECTION('',(0.,0.,1.)); #369894=DIRECTION('center_axis',(0.,1.,0.)); #369895=DIRECTION('ref_axis',(-1.,0.,0.)); #369896=DIRECTION('',(-1.,0.,0.)); #369897=DIRECTION('',(-1.,0.,0.)); #369898=DIRECTION('',(0.,0.,1.)); #369899=DIRECTION('center_axis',(1.,0.,0.)); #369900=DIRECTION('ref_axis',(0.,1.,0.)); #369901=DIRECTION('',(0.,1.,0.)); #369902=DIRECTION('',(0.,1.,0.)); #369903=DIRECTION('',(0.,0.,1.)); #369904=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #369905=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #369906=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #369907=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #369908=DIRECTION('',(0.,0.,1.)); #369909=DIRECTION('center_axis',(0.,0.,1.)); #369910=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #369911=DIRECTION('center_axis',(0.,0.,1.)); #369912=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #369913=DIRECTION('center_axis',(0.,0.,1.)); #369914=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #369915=DIRECTION('',(0.,0.,1.)); #369916=DIRECTION('center_axis',(1.,0.,0.)); #369917=DIRECTION('ref_axis',(0.,1.,0.)); #369918=DIRECTION('',(0.,1.,0.)); #369919=DIRECTION('',(0.,1.,0.)); #369920=DIRECTION('',(0.,0.,1.)); #369921=DIRECTION('center_axis',(0.,0.,1.)); #369922=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #369923=DIRECTION('center_axis',(0.,0.,1.)); #369924=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #369925=DIRECTION('center_axis',(0.,0.,1.)); #369926=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #369927=DIRECTION('',(0.,0.,1.)); #369928=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #369929=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #369930=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369931=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369932=DIRECTION('',(0.,0.,1.)); #369933=DIRECTION('center_axis',(1.,0.,0.)); #369934=DIRECTION('ref_axis',(0.,1.,0.)); #369935=DIRECTION('',(0.,1.,0.)); #369936=DIRECTION('',(0.,1.,0.)); #369937=DIRECTION('',(0.,0.,1.)); #369938=DIRECTION('center_axis',(0.,1.,0.)); #369939=DIRECTION('ref_axis',(-1.,0.,0.)); #369940=DIRECTION('',(-1.,0.,0.)); #369941=DIRECTION('',(-1.,0.,0.)); #369942=DIRECTION('center_axis',(0.,0.,1.)); #369943=DIRECTION('ref_axis',(1.,0.,0.)); #369944=DIRECTION('center_axis',(0.,0.,1.)); #369945=DIRECTION('ref_axis',(1.,0.,0.)); #369946=DIRECTION('center_axis',(1.,0.,0.)); #369947=DIRECTION('ref_axis',(0.,1.,0.)); #369948=DIRECTION('',(0.,1.,0.)); #369949=DIRECTION('',(0.,0.,1.)); #369950=DIRECTION('',(0.,1.,0.)); #369951=DIRECTION('',(0.,0.,1.)); #369952=DIRECTION('center_axis',(0.,-1.,0.)); #369953=DIRECTION('ref_axis',(1.,0.,0.)); #369954=DIRECTION('',(1.,0.,0.)); #369955=DIRECTION('',(1.,0.,0.)); #369956=DIRECTION('',(0.,0.,1.)); #369957=DIRECTION('center_axis',(-1.,0.,0.)); #369958=DIRECTION('ref_axis',(0.,-1.,0.)); #369959=DIRECTION('',(0.,-1.,0.)); #369960=DIRECTION('',(0.,-1.,0.)); #369961=DIRECTION('',(0.,0.,1.)); #369962=DIRECTION('center_axis',(0.,1.,0.)); #369963=DIRECTION('ref_axis',(-1.,0.,0.)); #369964=DIRECTION('',(-1.,0.,0.)); #369965=DIRECTION('',(-1.,0.,0.)); #369966=DIRECTION('',(0.,0.,1.)); #369967=DIRECTION('center_axis',(-1.,0.,0.)); #369968=DIRECTION('ref_axis',(0.,-1.,0.)); #369969=DIRECTION('',(0.,-1.,0.)); #369970=DIRECTION('',(0.,-1.,0.)); #369971=DIRECTION('',(0.,0.,1.)); #369972=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #369973=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #369974=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369975=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #369976=DIRECTION('',(0.,0.,1.)); #369977=DIRECTION('center_axis',(0.,0.,1.)); #369978=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #369979=DIRECTION('center_axis',(0.,0.,1.)); #369980=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #369981=DIRECTION('center_axis',(0.,0.,1.)); #369982=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #369983=DIRECTION('',(0.,0.,1.)); #369984=DIRECTION('center_axis',(-1.,0.,0.)); #369985=DIRECTION('ref_axis',(0.,-1.,0.)); #369986=DIRECTION('',(0.,-1.,0.)); #369987=DIRECTION('',(0.,-1.,0.)); #369988=DIRECTION('',(0.,0.,1.)); #369989=DIRECTION('center_axis',(0.,0.,1.)); #369990=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369991=DIRECTION('center_axis',(0.,0.,1.)); #369992=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369993=DIRECTION('center_axis',(0.,0.,1.)); #369994=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #369995=DIRECTION('',(0.,0.,1.)); #369996=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #369997=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #369998=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #369999=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #370000=DIRECTION('',(0.,0.,1.)); #370001=DIRECTION('center_axis',(-1.,0.,0.)); #370002=DIRECTION('ref_axis',(0.,-1.,0.)); #370003=DIRECTION('',(0.,-1.,0.)); #370004=DIRECTION('',(0.,-1.,0.)); #370005=DIRECTION('',(0.,0.,1.)); #370006=DIRECTION('center_axis',(0.,1.,0.)); #370007=DIRECTION('ref_axis',(-1.,0.,0.)); #370008=DIRECTION('',(-1.,0.,0.)); #370009=DIRECTION('',(-1.,0.,0.)); #370010=DIRECTION('',(0.,0.,1.)); #370011=DIRECTION('center_axis',(-1.,0.,0.)); #370012=DIRECTION('ref_axis',(0.,-1.,0.)); #370013=DIRECTION('',(0.,-1.,0.)); #370014=DIRECTION('',(0.,-1.,0.)); #370015=DIRECTION('',(0.,0.,1.)); #370016=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #370017=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #370018=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370019=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370020=DIRECTION('',(0.,0.,1.)); #370021=DIRECTION('center_axis',(0.,0.,1.)); #370022=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #370023=DIRECTION('center_axis',(0.,0.,1.)); #370024=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #370025=DIRECTION('center_axis',(0.,0.,1.)); #370026=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #370027=DIRECTION('',(0.,0.,1.)); #370028=DIRECTION('center_axis',(-1.,0.,0.)); #370029=DIRECTION('ref_axis',(0.,-1.,0.)); #370030=DIRECTION('',(0.,-1.,0.)); #370031=DIRECTION('',(0.,-1.,0.)); #370032=DIRECTION('',(0.,0.,1.)); #370033=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #370034=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #370035=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370036=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370037=DIRECTION('',(0.,0.,1.)); #370038=DIRECTION('center_axis',(0.,-1.,0.)); #370039=DIRECTION('ref_axis',(1.,0.,0.)); #370040=DIRECTION('',(1.,0.,0.)); #370041=DIRECTION('',(1.,0.,0.)); #370042=DIRECTION('',(0.,0.,1.)); #370043=DIRECTION('center_axis',(-1.,0.,0.)); #370044=DIRECTION('ref_axis',(0.,-1.,0.)); #370045=DIRECTION('',(0.,-1.,0.)); #370046=DIRECTION('',(0.,-1.,0.)); #370047=DIRECTION('',(0.,0.,1.)); #370048=DIRECTION('center_axis',(0.,1.,0.)); #370049=DIRECTION('ref_axis',(-1.,0.,0.)); #370050=DIRECTION('',(-1.,0.,0.)); #370051=DIRECTION('',(-1.,0.,0.)); #370052=DIRECTION('',(0.,0.,1.)); #370053=DIRECTION('center_axis',(1.,0.,0.)); #370054=DIRECTION('ref_axis',(0.,1.,0.)); #370055=DIRECTION('',(0.,1.,0.)); #370056=DIRECTION('',(0.,1.,0.)); #370057=DIRECTION('',(0.,0.,1.)); #370058=DIRECTION('center_axis',(0.707106781186535,0.70710678118656,0.)); #370059=DIRECTION('ref_axis',(-0.70710678118656,0.707106781186535,0.)); #370060=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #370061=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #370062=DIRECTION('',(0.,0.,1.)); #370063=DIRECTION('center_axis',(0.,0.,1.)); #370064=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #370065=DIRECTION('center_axis',(0.,0.,1.)); #370066=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #370067=DIRECTION('center_axis',(0.,0.,1.)); #370068=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #370069=DIRECTION('',(0.,0.,1.)); #370070=DIRECTION('center_axis',(1.,0.,0.)); #370071=DIRECTION('ref_axis',(0.,1.,0.)); #370072=DIRECTION('',(0.,1.,0.)); #370073=DIRECTION('',(0.,1.,0.)); #370074=DIRECTION('',(0.,0.,1.)); #370075=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #370076=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #370077=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370078=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370079=DIRECTION('',(0.,0.,1.)); #370080=DIRECTION('center_axis',(0.,0.,1.)); #370081=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370082=DIRECTION('center_axis',(0.,0.,1.)); #370083=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370084=DIRECTION('center_axis',(0.,0.,1.)); #370085=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370086=DIRECTION('',(0.,0.,1.)); #370087=DIRECTION('center_axis',(1.,0.,0.)); #370088=DIRECTION('ref_axis',(0.,1.,0.)); #370089=DIRECTION('',(0.,1.,0.)); #370090=DIRECTION('',(0.,1.,0.)); #370091=DIRECTION('',(0.,0.,1.)); #370092=DIRECTION('center_axis',(0.,1.,0.)); #370093=DIRECTION('ref_axis',(-1.,0.,0.)); #370094=DIRECTION('',(-1.,0.,0.)); #370095=DIRECTION('',(-1.,0.,0.)); #370096=DIRECTION('',(0.,0.,1.)); #370097=DIRECTION('center_axis',(1.,0.,0.)); #370098=DIRECTION('ref_axis',(0.,1.,0.)); #370099=DIRECTION('',(0.,1.,0.)); #370100=DIRECTION('',(0.,1.,0.)); #370101=DIRECTION('',(0.,0.,1.)); #370102=DIRECTION('center_axis',(0.,-1.,0.)); #370103=DIRECTION('ref_axis',(1.,0.,0.)); #370104=DIRECTION('',(1.,0.,0.)); #370105=DIRECTION('',(1.,0.,0.)); #370106=DIRECTION('',(0.,0.,1.)); #370107=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #370108=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #370109=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #370110=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #370111=DIRECTION('',(0.,0.,1.)); #370112=DIRECTION('center_axis',(1.,0.,0.)); #370113=DIRECTION('ref_axis',(0.,1.,0.)); #370114=DIRECTION('',(0.,1.,0.)); #370115=DIRECTION('',(0.,1.,0.)); #370116=DIRECTION('',(0.,0.,1.)); #370117=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #370118=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #370119=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370120=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370121=DIRECTION('',(0.,0.,1.)); #370122=DIRECTION('center_axis',(0.,0.,1.)); #370123=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370124=DIRECTION('center_axis',(0.,0.,1.)); #370125=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370126=DIRECTION('center_axis',(0.,0.,1.)); #370127=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #370128=DIRECTION('',(0.,0.,1.)); #370129=DIRECTION('center_axis',(1.,0.,0.)); #370130=DIRECTION('ref_axis',(0.,1.,0.)); #370131=DIRECTION('',(0.,1.,0.)); #370132=DIRECTION('',(0.,1.,0.)); #370133=DIRECTION('',(0.,0.,1.)); #370134=DIRECTION('center_axis',(0.,1.,0.)); #370135=DIRECTION('ref_axis',(-1.,0.,0.)); #370136=DIRECTION('',(-1.,0.,0.)); #370137=DIRECTION('',(-1.,0.,0.)); #370138=DIRECTION('center_axis',(0.,0.,1.)); #370139=DIRECTION('ref_axis',(1.,0.,0.)); #370140=DIRECTION('center_axis',(0.,0.,1.)); #370141=DIRECTION('ref_axis',(1.,0.,0.)); #370142=DIRECTION('center_axis',(0.,0.,1.)); #370143=DIRECTION('ref_axis',(1.,0.,0.)); #370144=DIRECTION('center_axis',(0.,0.,1.)); #370145=DIRECTION('ref_axis',(1.,0.,0.)); #370146=DIRECTION('',(0.,0.,1.)); #370147=DIRECTION('center_axis',(0.,0.,-1.)); #370148=DIRECTION('ref_axis',(1.,0.,0.)); #370149=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #370150=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #370151=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #370152=DIRECTION('',(0.,0.,1.)); #370153=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #370154=DIRECTION('',(0.,0.,1.)); #370155=DIRECTION('center_axis',(0.,0.,1.)); #370156=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370157=DIRECTION('center_axis',(0.,0.,1.)); #370158=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370159=DIRECTION('center_axis',(0.,0.,1.)); #370160=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370161=DIRECTION('',(0.,0.,1.)); #370162=DIRECTION('center_axis',(-1.,0.,0.)); #370163=DIRECTION('ref_axis',(0.,-1.,0.)); #370164=DIRECTION('',(0.,-1.,0.)); #370165=DIRECTION('',(0.,-1.,0.)); #370166=DIRECTION('',(0.,0.,1.)); #370167=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #370168=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #370169=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #370170=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #370171=DIRECTION('',(0.,0.,1.)); #370172=DIRECTION('center_axis',(0.,0.,1.)); #370173=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370174=DIRECTION('center_axis',(0.,0.,1.)); #370175=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370176=DIRECTION('center_axis',(0.,0.,1.)); #370177=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370178=DIRECTION('',(0.,0.,1.)); #370179=DIRECTION('center_axis',(-1.,0.,0.)); #370180=DIRECTION('ref_axis',(0.,-1.,0.)); #370181=DIRECTION('',(0.,-1.,0.)); #370182=DIRECTION('',(0.,-1.,0.)); #370183=DIRECTION('',(0.,0.,1.)); #370184=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #370185=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #370186=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370187=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370188=DIRECTION('',(0.,0.,1.)); #370189=DIRECTION('center_axis',(0.,0.,1.)); #370190=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370191=DIRECTION('center_axis',(0.,0.,1.)); #370192=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370193=DIRECTION('center_axis',(0.,0.,1.)); #370194=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370195=DIRECTION('',(0.,0.,1.)); #370196=DIRECTION('center_axis',(-1.,0.,0.)); #370197=DIRECTION('ref_axis',(0.,-1.,0.)); #370198=DIRECTION('',(0.,-1.,0.)); #370199=DIRECTION('',(0.,-1.,0.)); #370200=DIRECTION('',(0.,0.,1.)); #370201=DIRECTION('center_axis',(0.,-1.,0.)); #370202=DIRECTION('ref_axis',(1.,0.,0.)); #370203=DIRECTION('',(1.,0.,0.)); #370204=DIRECTION('',(1.,0.,0.)); #370205=DIRECTION('',(0.,0.,1.)); #370206=DIRECTION('center_axis',(-1.,0.,0.)); #370207=DIRECTION('ref_axis',(0.,-1.,0.)); #370208=DIRECTION('',(0.,-1.,0.)); #370209=DIRECTION('',(0.,-1.,0.)); #370210=DIRECTION('',(0.,0.,1.)); #370211=DIRECTION('center_axis',(0.,1.,0.)); #370212=DIRECTION('ref_axis',(-1.,0.,0.)); #370213=DIRECTION('',(-1.,0.,0.)); #370214=DIRECTION('',(-1.,0.,0.)); #370215=DIRECTION('',(0.,0.,1.)); #370216=DIRECTION('center_axis',(1.,0.,0.)); #370217=DIRECTION('ref_axis',(0.,1.,0.)); #370218=DIRECTION('',(0.,1.,0.)); #370219=DIRECTION('',(0.,1.,0.)); #370220=DIRECTION('',(0.,0.,1.)); #370221=DIRECTION('center_axis',(0.,-1.,0.)); #370222=DIRECTION('ref_axis',(1.,0.,0.)); #370223=DIRECTION('',(1.,0.,0.)); #370224=DIRECTION('',(1.,0.,0.)); #370225=DIRECTION('',(0.,0.,1.)); #370226=DIRECTION('center_axis',(1.,0.,0.)); #370227=DIRECTION('ref_axis',(0.,1.,0.)); #370228=DIRECTION('',(0.,1.,0.)); #370229=DIRECTION('',(0.,1.,0.)); #370230=DIRECTION('',(0.,0.,1.)); #370231=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #370232=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #370233=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370234=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370235=DIRECTION('',(0.,0.,1.)); #370236=DIRECTION('center_axis',(0.,0.,1.)); #370237=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370238=DIRECTION('center_axis',(0.,0.,1.)); #370239=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370240=DIRECTION('center_axis',(0.,0.,1.)); #370241=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370242=DIRECTION('',(0.,0.,1.)); #370243=DIRECTION('center_axis',(1.,0.,0.)); #370244=DIRECTION('ref_axis',(0.,1.,0.)); #370245=DIRECTION('',(0.,1.,0.)); #370246=DIRECTION('',(0.,1.,0.)); #370247=DIRECTION('',(0.,0.,1.)); #370248=DIRECTION('center_axis',(0.707107113468654,0.707106448904285,0.)); #370249=DIRECTION('ref_axis',(-0.707106448904285,0.707107113468654,0.)); #370250=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #370251=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #370252=DIRECTION('',(0.,0.,1.)); #370253=DIRECTION('center_axis',(0.,0.,1.)); #370254=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370255=DIRECTION('center_axis',(0.,0.,1.)); #370256=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370257=DIRECTION('center_axis',(0.,0.,1.)); #370258=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370259=DIRECTION('',(0.,0.,1.)); #370260=DIRECTION('center_axis',(1.,0.,0.)); #370261=DIRECTION('ref_axis',(0.,1.,0.)); #370262=DIRECTION('',(0.,1.,0.)); #370263=DIRECTION('',(0.,1.,0.)); #370264=DIRECTION('',(0.,0.,1.)); #370265=DIRECTION('center_axis',(0.,0.,1.)); #370266=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370267=DIRECTION('center_axis',(0.,0.,1.)); #370268=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370269=DIRECTION('center_axis',(0.,0.,1.)); #370270=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370271=DIRECTION('center_axis',(0.,0.,1.)); #370272=DIRECTION('ref_axis',(1.,0.,0.)); #370273=DIRECTION('center_axis',(0.,0.,1.)); #370274=DIRECTION('ref_axis',(1.,0.,0.)); #370275=DIRECTION('center_axis',(0.,0.,1.)); #370276=DIRECTION('ref_axis',(1.,0.,0.)); #370277=DIRECTION('center_axis',(0.,0.,1.)); #370278=DIRECTION('ref_axis',(1.,0.,0.)); #370279=DIRECTION('',(0.,0.,1.)); #370280=DIRECTION('center_axis',(0.,0.,-1.)); #370281=DIRECTION('ref_axis',(1.,0.,0.)); #370282=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #370283=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #370284=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #370285=DIRECTION('',(0.,0.,1.)); #370286=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #370287=DIRECTION('',(0.,0.,1.)); #370288=DIRECTION('center_axis',(0.,0.,1.)); #370289=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370290=DIRECTION('center_axis',(0.,0.,1.)); #370291=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370292=DIRECTION('center_axis',(0.,0.,1.)); #370293=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370294=DIRECTION('',(0.,0.,1.)); #370295=DIRECTION('center_axis',(-1.,0.,0.)); #370296=DIRECTION('ref_axis',(0.,-1.,0.)); #370297=DIRECTION('',(0.,-1.,0.)); #370298=DIRECTION('',(0.,-1.,0.)); #370299=DIRECTION('',(0.,0.,1.)); #370300=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #370301=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #370302=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #370303=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #370304=DIRECTION('',(0.,0.,1.)); #370305=DIRECTION('center_axis',(0.,0.,1.)); #370306=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #370307=DIRECTION('center_axis',(0.,0.,1.)); #370308=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #370309=DIRECTION('center_axis',(0.,0.,1.)); #370310=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #370311=DIRECTION('',(0.,0.,1.)); #370312=DIRECTION('center_axis',(-1.,0.,0.)); #370313=DIRECTION('ref_axis',(0.,-1.,0.)); #370314=DIRECTION('',(0.,-1.,0.)); #370315=DIRECTION('',(0.,-1.,0.)); #370316=DIRECTION('',(0.,0.,1.)); #370317=DIRECTION('center_axis',(0.,-1.,0.)); #370318=DIRECTION('ref_axis',(1.,0.,0.)); #370319=DIRECTION('',(1.,0.,0.)); #370320=DIRECTION('',(1.,0.,0.)); #370321=DIRECTION('',(0.,0.,1.)); #370322=DIRECTION('center_axis',(-1.,0.,0.)); #370323=DIRECTION('ref_axis',(0.,-1.,0.)); #370324=DIRECTION('',(0.,-1.,0.)); #370325=DIRECTION('',(0.,-1.,0.)); #370326=DIRECTION('',(0.,0.,1.)); #370327=DIRECTION('center_axis',(0.,1.,0.)); #370328=DIRECTION('ref_axis',(-1.,0.,0.)); #370329=DIRECTION('',(-1.,0.,0.)); #370330=DIRECTION('',(-1.,0.,0.)); #370331=DIRECTION('',(0.,0.,1.)); #370332=DIRECTION('center_axis',(1.,0.,0.)); #370333=DIRECTION('ref_axis',(0.,1.,0.)); #370334=DIRECTION('',(0.,1.,0.)); #370335=DIRECTION('',(0.,1.,0.)); #370336=DIRECTION('',(0.,0.,1.)); #370337=DIRECTION('center_axis',(0.,-1.,0.)); #370338=DIRECTION('ref_axis',(1.,0.,0.)); #370339=DIRECTION('',(1.,0.,0.)); #370340=DIRECTION('',(1.,0.,0.)); #370341=DIRECTION('',(0.,0.,1.)); #370342=DIRECTION('center_axis',(1.,0.,0.)); #370343=DIRECTION('ref_axis',(0.,1.,0.)); #370344=DIRECTION('',(0.,1.,0.)); #370345=DIRECTION('',(0.,1.,0.)); #370346=DIRECTION('',(0.,0.,1.)); #370347=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #370348=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #370349=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #370350=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #370351=DIRECTION('',(0.,0.,1.)); #370352=DIRECTION('center_axis',(0.,0.,1.)); #370353=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #370354=DIRECTION('center_axis',(0.,0.,1.)); #370355=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #370356=DIRECTION('center_axis',(0.,0.,1.)); #370357=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #370358=DIRECTION('',(0.,0.,1.)); #370359=DIRECTION('center_axis',(1.,0.,0.)); #370360=DIRECTION('ref_axis',(0.,1.,0.)); #370361=DIRECTION('',(0.,1.,0.)); #370362=DIRECTION('',(0.,1.,0.)); #370363=DIRECTION('',(0.,0.,1.)); #370364=DIRECTION('center_axis',(0.,0.,1.)); #370365=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370366=DIRECTION('center_axis',(0.,0.,1.)); #370367=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370368=DIRECTION('center_axis',(0.,0.,1.)); #370369=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370370=DIRECTION('center_axis',(0.,0.,1.)); #370371=DIRECTION('ref_axis',(1.,0.,0.)); #370372=DIRECTION('center_axis',(0.,0.,1.)); #370373=DIRECTION('ref_axis',(1.,0.,0.)); #370374=DIRECTION('center_axis',(0.,0.,1.)); #370375=DIRECTION('ref_axis',(1.,0.,0.)); #370376=DIRECTION('center_axis',(0.,0.,1.)); #370377=DIRECTION('ref_axis',(1.,0.,0.)); #370378=DIRECTION('',(0.,0.,1.)); #370379=DIRECTION('center_axis',(0.,0.,-1.)); #370380=DIRECTION('ref_axis',(1.,0.,0.)); #370381=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #370382=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #370383=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #370384=DIRECTION('',(0.,0.,1.)); #370385=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #370386=DIRECTION('',(0.,0.,1.)); #370387=DIRECTION('center_axis',(0.,0.,1.)); #370388=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #370389=DIRECTION('center_axis',(0.,0.,1.)); #370390=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #370391=DIRECTION('center_axis',(0.,0.,1.)); #370392=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #370393=DIRECTION('',(0.,0.,1.)); #370394=DIRECTION('center_axis',(-1.,0.,0.)); #370395=DIRECTION('ref_axis',(0.,-1.,0.)); #370396=DIRECTION('',(0.,-1.,0.)); #370397=DIRECTION('',(0.,-1.,0.)); #370398=DIRECTION('',(0.,0.,1.)); #370399=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #370400=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #370401=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #370402=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #370403=DIRECTION('',(0.,0.,1.)); #370404=DIRECTION('center_axis',(0.,-1.,0.)); #370405=DIRECTION('ref_axis',(1.,0.,0.)); #370406=DIRECTION('',(1.,0.,0.)); #370407=DIRECTION('',(1.,0.,0.)); #370408=DIRECTION('',(0.,0.,1.)); #370409=DIRECTION('center_axis',(-1.,0.,0.)); #370410=DIRECTION('ref_axis',(0.,-1.,0.)); #370411=DIRECTION('',(0.,-1.,0.)); #370412=DIRECTION('',(0.,-1.,0.)); #370413=DIRECTION('',(0.,0.,1.)); #370414=DIRECTION('center_axis',(0.,1.,0.)); #370415=DIRECTION('ref_axis',(-1.,0.,0.)); #370416=DIRECTION('',(-1.,0.,0.)); #370417=DIRECTION('',(-1.,0.,0.)); #370418=DIRECTION('',(0.,0.,1.)); #370419=DIRECTION('center_axis',(1.,0.,0.)); #370420=DIRECTION('ref_axis',(0.,1.,0.)); #370421=DIRECTION('',(0.,1.,0.)); #370422=DIRECTION('',(0.,1.,0.)); #370423=DIRECTION('',(0.,0.,1.)); #370424=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #370425=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #370426=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #370427=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #370428=DIRECTION('',(0.,0.,1.)); #370429=DIRECTION('center_axis',(0.,0.,1.)); #370430=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #370431=DIRECTION('center_axis',(0.,0.,1.)); #370432=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #370433=DIRECTION('center_axis',(0.,0.,1.)); #370434=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #370435=DIRECTION('',(0.,0.,1.)); #370436=DIRECTION('center_axis',(1.,0.,0.)); #370437=DIRECTION('ref_axis',(0.,1.,0.)); #370438=DIRECTION('',(0.,1.,0.)); #370439=DIRECTION('',(0.,1.,0.)); #370440=DIRECTION('',(0.,0.,1.)); #370441=DIRECTION('center_axis',(0.,0.,1.)); #370442=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #370443=DIRECTION('center_axis',(0.,0.,1.)); #370444=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #370445=DIRECTION('center_axis',(0.,0.,1.)); #370446=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #370447=DIRECTION('center_axis',(0.,0.,1.)); #370448=DIRECTION('ref_axis',(1.,0.,0.)); #370449=DIRECTION('center_axis',(0.,0.,1.)); #370450=DIRECTION('ref_axis',(1.,0.,0.)); #370451=DIRECTION('center_axis',(0.,0.,1.)); #370452=DIRECTION('ref_axis',(1.,0.,0.)); #370453=DIRECTION('center_axis',(0.,0.,1.)); #370454=DIRECTION('ref_axis',(1.,0.,0.)); #370455=DIRECTION('',(0.,0.,1.)); #370456=DIRECTION('center_axis',(0.,0.,-1.)); #370457=DIRECTION('ref_axis',(1.,0.,0.)); #370458=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #370459=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #370460=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #370461=DIRECTION('',(0.,0.,1.)); #370462=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #370463=DIRECTION('',(0.,0.,1.)); #370464=DIRECTION('center_axis',(0.,0.,1.)); #370465=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #370466=DIRECTION('center_axis',(0.,0.,1.)); #370467=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #370468=DIRECTION('center_axis',(0.,0.,1.)); #370469=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #370470=DIRECTION('',(0.,0.,1.)); #370471=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #370472=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #370473=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #370474=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #370475=DIRECTION('',(0.,0.,1.)); #370476=DIRECTION('center_axis',(0.,0.,1.)); #370477=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #370478=DIRECTION('center_axis',(0.,0.,1.)); #370479=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #370480=DIRECTION('center_axis',(0.,0.,1.)); #370481=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #370482=DIRECTION('',(0.,0.,1.)); #370483=DIRECTION('center_axis',(-1.,0.,0.)); #370484=DIRECTION('ref_axis',(0.,-1.,0.)); #370485=DIRECTION('',(0.,-1.,0.)); #370486=DIRECTION('',(0.,-1.,0.)); #370487=DIRECTION('',(0.,0.,1.)); #370488=DIRECTION('center_axis',(0.,-1.,0.)); #370489=DIRECTION('ref_axis',(1.,0.,0.)); #370490=DIRECTION('',(1.,0.,0.)); #370491=DIRECTION('',(1.,0.,0.)); #370492=DIRECTION('',(0.,0.,1.)); #370493=DIRECTION('center_axis',(-1.,0.,0.)); #370494=DIRECTION('ref_axis',(0.,-1.,0.)); #370495=DIRECTION('',(0.,-1.,0.)); #370496=DIRECTION('',(0.,-1.,0.)); #370497=DIRECTION('',(0.,0.,1.)); #370498=DIRECTION('center_axis',(0.,1.,0.)); #370499=DIRECTION('ref_axis',(-1.,0.,0.)); #370500=DIRECTION('',(-1.,0.,0.)); #370501=DIRECTION('',(-1.,0.,0.)); #370502=DIRECTION('',(0.,0.,1.)); #370503=DIRECTION('center_axis',(1.,0.,0.)); #370504=DIRECTION('ref_axis',(0.,1.,0.)); #370505=DIRECTION('',(0.,1.,0.)); #370506=DIRECTION('',(0.,1.,0.)); #370507=DIRECTION('',(0.,0.,1.)); #370508=DIRECTION('center_axis',(0.,-1.,0.)); #370509=DIRECTION('ref_axis',(1.,0.,0.)); #370510=DIRECTION('',(1.,0.,0.)); #370511=DIRECTION('',(1.,0.,0.)); #370512=DIRECTION('',(0.,0.,1.)); #370513=DIRECTION('center_axis',(1.,0.,0.)); #370514=DIRECTION('ref_axis',(0.,1.,0.)); #370515=DIRECTION('',(0.,1.,0.)); #370516=DIRECTION('',(0.,1.,0.)); #370517=DIRECTION('',(0.,0.,1.)); #370518=DIRECTION('center_axis',(0.707106041253763,0.707107521118558,0.)); #370519=DIRECTION('ref_axis',(-0.707107521118558,0.707106041253763,0.)); #370520=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #370521=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #370522=DIRECTION('',(0.,0.,1.)); #370523=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #370524=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #370525=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #370526=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #370527=DIRECTION('',(0.,0.,1.)); #370528=DIRECTION('center_axis',(0.,0.,1.)); #370529=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #370530=DIRECTION('center_axis',(0.,0.,1.)); #370531=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #370532=DIRECTION('center_axis',(0.,0.,1.)); #370533=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #370534=DIRECTION('center_axis',(0.,0.,1.)); #370535=DIRECTION('ref_axis',(1.,0.,0.)); #370536=DIRECTION('center_axis',(0.,0.,1.)); #370537=DIRECTION('ref_axis',(1.,0.,0.)); #370538=DIRECTION('center_axis',(0.,0.,1.)); #370539=DIRECTION('ref_axis',(1.,0.,0.)); #370540=DIRECTION('center_axis',(0.,0.,1.)); #370541=DIRECTION('ref_axis',(1.,0.,0.)); #370542=DIRECTION('',(0.,0.,1.)); #370543=DIRECTION('center_axis',(0.,0.,-1.)); #370544=DIRECTION('ref_axis',(1.,0.,0.)); #370545=DIRECTION('center_axis',(0.,0.,1.)); #370546=DIRECTION('ref_axis',(1.,0.,0.)); #370547=DIRECTION('center_axis',(0.,0.,1.)); #370548=DIRECTION('ref_axis',(1.,0.,0.)); #370549=DIRECTION('',(0.,0.,1.)); #370550=DIRECTION('center_axis',(0.,0.,-1.)); #370551=DIRECTION('ref_axis',(1.,0.,0.)); #370552=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #370553=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #370554=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #370555=DIRECTION('',(0.,0.,1.)); #370556=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #370557=DIRECTION('',(0.,0.,1.)); #370558=DIRECTION('center_axis',(0.,0.,1.)); #370559=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #370560=DIRECTION('center_axis',(0.,0.,1.)); #370561=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #370562=DIRECTION('center_axis',(0.,0.,1.)); #370563=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #370564=DIRECTION('',(0.,0.,1.)); #370565=DIRECTION('center_axis',(0.,0.,1.)); #370566=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #370567=DIRECTION('center_axis',(0.,0.,1.)); #370568=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #370569=DIRECTION('center_axis',(0.,0.,1.)); #370570=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #370571=DIRECTION('',(0.,0.,1.)); #370572=DIRECTION('center_axis',(-0.707106390225302,0.707107172147577,0.)); #370573=DIRECTION('ref_axis',(-0.707107172147577,-0.707106390225302,0.)); #370574=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #370575=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #370576=DIRECTION('',(0.,0.,1.)); #370577=DIRECTION('center_axis',(0.,0.,1.)); #370578=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #370579=DIRECTION('center_axis',(0.,0.,1.)); #370580=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #370581=DIRECTION('center_axis',(0.,0.,1.)); #370582=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #370583=DIRECTION('',(0.,0.,1.)); #370584=DIRECTION('center_axis',(0.,0.,1.)); #370585=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #370586=DIRECTION('center_axis',(0.,0.,1.)); #370587=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #370588=DIRECTION('center_axis',(0.,0.,1.)); #370589=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #370590=DIRECTION('',(0.,0.,1.)); #370591=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #370592=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #370593=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #370594=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #370595=DIRECTION('',(0.,0.,1.)); #370596=DIRECTION('center_axis',(0.,0.,1.)); #370597=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #370598=DIRECTION('center_axis',(0.,0.,1.)); #370599=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #370600=DIRECTION('center_axis',(0.,0.,1.)); #370601=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #370602=DIRECTION('center_axis',(0.,0.,1.)); #370603=DIRECTION('ref_axis',(1.,0.,0.)); #370604=DIRECTION('center_axis',(0.,0.,1.)); #370605=DIRECTION('ref_axis',(1.,0.,0.)); #370606=DIRECTION('center_axis',(0.,0.,1.)); #370607=DIRECTION('ref_axis',(1.,0.,0.)); #370608=DIRECTION('center_axis',(0.,0.,1.)); #370609=DIRECTION('ref_axis',(1.,0.,0.)); #370610=DIRECTION('',(0.,0.,1.)); #370611=DIRECTION('center_axis',(0.,0.,-1.)); #370612=DIRECTION('ref_axis',(1.,0.,0.)); #370613=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #370614=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #370615=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #370616=DIRECTION('',(0.,0.,1.)); #370617=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #370618=DIRECTION('',(0.,0.,1.)); #370619=DIRECTION('center_axis',(0.,0.,1.)); #370620=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370621=DIRECTION('center_axis',(0.,0.,1.)); #370622=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370623=DIRECTION('center_axis',(0.,0.,1.)); #370624=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370625=DIRECTION('',(0.,0.,1.)); #370626=DIRECTION('center_axis',(-1.,0.,0.)); #370627=DIRECTION('ref_axis',(0.,-1.,0.)); #370628=DIRECTION('',(0.,-1.,0.)); #370629=DIRECTION('',(0.,-1.,0.)); #370630=DIRECTION('',(0.,0.,1.)); #370631=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #370632=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #370633=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #370634=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #370635=DIRECTION('',(0.,0.,1.)); #370636=DIRECTION('center_axis',(0.,0.,1.)); #370637=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #370638=DIRECTION('center_axis',(0.,0.,1.)); #370639=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #370640=DIRECTION('center_axis',(0.,0.,1.)); #370641=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #370642=DIRECTION('',(0.,0.,1.)); #370643=DIRECTION('center_axis',(-1.,0.,0.)); #370644=DIRECTION('ref_axis',(0.,-1.,0.)); #370645=DIRECTION('',(0.,-1.,0.)); #370646=DIRECTION('',(0.,-1.,0.)); #370647=DIRECTION('',(0.,0.,1.)); #370648=DIRECTION('center_axis',(0.,-1.,0.)); #370649=DIRECTION('ref_axis',(1.,0.,0.)); #370650=DIRECTION('',(1.,0.,0.)); #370651=DIRECTION('',(1.,0.,0.)); #370652=DIRECTION('',(0.,0.,1.)); #370653=DIRECTION('center_axis',(-1.,0.,0.)); #370654=DIRECTION('ref_axis',(0.,-1.,0.)); #370655=DIRECTION('',(0.,-1.,0.)); #370656=DIRECTION('',(0.,-1.,0.)); #370657=DIRECTION('',(0.,0.,1.)); #370658=DIRECTION('center_axis',(0.,1.,0.)); #370659=DIRECTION('ref_axis',(-1.,0.,0.)); #370660=DIRECTION('',(-1.,0.,0.)); #370661=DIRECTION('',(-1.,0.,0.)); #370662=DIRECTION('',(0.,0.,1.)); #370663=DIRECTION('center_axis',(1.,0.,0.)); #370664=DIRECTION('ref_axis',(0.,1.,0.)); #370665=DIRECTION('',(0.,1.,0.)); #370666=DIRECTION('',(0.,1.,0.)); #370667=DIRECTION('',(0.,0.,1.)); #370668=DIRECTION('center_axis',(0.,-1.,0.)); #370669=DIRECTION('ref_axis',(1.,0.,0.)); #370670=DIRECTION('',(1.,0.,0.)); #370671=DIRECTION('',(1.,0.,0.)); #370672=DIRECTION('',(0.,0.,1.)); #370673=DIRECTION('center_axis',(1.,0.,0.)); #370674=DIRECTION('ref_axis',(0.,1.,0.)); #370675=DIRECTION('',(0.,1.,0.)); #370676=DIRECTION('',(0.,1.,0.)); #370677=DIRECTION('',(0.,0.,1.)); #370678=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #370679=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #370680=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #370681=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #370682=DIRECTION('',(0.,0.,1.)); #370683=DIRECTION('center_axis',(0.,0.,1.)); #370684=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370685=DIRECTION('center_axis',(0.,0.,1.)); #370686=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370687=DIRECTION('center_axis',(0.,0.,1.)); #370688=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370689=DIRECTION('',(0.,0.,1.)); #370690=DIRECTION('center_axis',(1.,0.,0.)); #370691=DIRECTION('ref_axis',(0.,1.,0.)); #370692=DIRECTION('',(0.,1.,0.)); #370693=DIRECTION('',(0.,1.,0.)); #370694=DIRECTION('',(0.,0.,1.)); #370695=DIRECTION('center_axis',(0.,0.,1.)); #370696=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #370697=DIRECTION('center_axis',(0.,0.,1.)); #370698=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #370699=DIRECTION('center_axis',(0.,0.,1.)); #370700=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #370701=DIRECTION('center_axis',(0.,0.,1.)); #370702=DIRECTION('ref_axis',(1.,0.,0.)); #370703=DIRECTION('center_axis',(0.,0.,1.)); #370704=DIRECTION('ref_axis',(1.,0.,0.)); #370705=DIRECTION('center_axis',(0.,0.,1.)); #370706=DIRECTION('ref_axis',(1.,0.,0.)); #370707=DIRECTION('center_axis',(0.,0.,1.)); #370708=DIRECTION('ref_axis',(1.,0.,0.)); #370709=DIRECTION('',(0.,0.,1.)); #370710=DIRECTION('center_axis',(0.,0.,-1.)); #370711=DIRECTION('ref_axis',(1.,0.,0.)); #370712=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #370713=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #370714=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #370715=DIRECTION('',(0.,0.,1.)); #370716=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #370717=DIRECTION('',(0.,0.,1.)); #370718=DIRECTION('center_axis',(0.,0.,1.)); #370719=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370720=DIRECTION('center_axis',(0.,0.,1.)); #370721=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370722=DIRECTION('center_axis',(0.,0.,1.)); #370723=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #370724=DIRECTION('',(0.,0.,1.)); #370725=DIRECTION('center_axis',(-1.,0.,0.)); #370726=DIRECTION('ref_axis',(0.,-1.,0.)); #370727=DIRECTION('',(0.,-1.,0.)); #370728=DIRECTION('',(0.,-1.,0.)); #370729=DIRECTION('',(0.,0.,1.)); #370730=DIRECTION('center_axis',(-0.70710694732766,-0.707106615045396,0.)); #370731=DIRECTION('ref_axis',(0.707106615045396,-0.70710694732766,0.)); #370732=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #370733=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #370734=DIRECTION('',(0.,0.,1.)); #370735=DIRECTION('center_axis',(0.,0.,1.)); #370736=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370737=DIRECTION('center_axis',(0.,0.,1.)); #370738=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370739=DIRECTION('center_axis',(0.,0.,1.)); #370740=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #370741=DIRECTION('',(0.,0.,1.)); #370742=DIRECTION('center_axis',(-1.,0.,0.)); #370743=DIRECTION('ref_axis',(0.,-1.,0.)); #370744=DIRECTION('',(0.,-1.,0.)); #370745=DIRECTION('',(0.,-1.,0.)); #370746=DIRECTION('',(0.,0.,1.)); #370747=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #370748=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #370749=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370750=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #370751=DIRECTION('',(0.,0.,1.)); #370752=DIRECTION('center_axis',(0.,0.,1.)); #370753=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #370754=DIRECTION('center_axis',(0.,0.,1.)); #370755=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #370756=DIRECTION('center_axis',(0.,0.,1.)); #370757=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #370758=DIRECTION('',(0.,0.,1.)); #370759=DIRECTION('center_axis',(-1.,0.,0.)); #370760=DIRECTION('ref_axis',(0.,-1.,0.)); #370761=DIRECTION('',(0.,-1.,0.)); #370762=DIRECTION('',(0.,-1.,0.)); #370763=DIRECTION('',(0.,0.,1.)); #370764=DIRECTION('center_axis',(0.,-1.,0.)); #370765=DIRECTION('ref_axis',(1.,0.,0.)); #370766=DIRECTION('',(1.,0.,0.)); #370767=DIRECTION('',(1.,0.,0.)); #370768=DIRECTION('',(0.,0.,1.)); #370769=DIRECTION('center_axis',(-1.,0.,0.)); #370770=DIRECTION('ref_axis',(0.,-1.,0.)); #370771=DIRECTION('',(0.,-1.,0.)); #370772=DIRECTION('',(0.,-1.,0.)); #370773=DIRECTION('',(0.,0.,1.)); #370774=DIRECTION('center_axis',(0.,1.,0.)); #370775=DIRECTION('ref_axis',(-1.,0.,0.)); #370776=DIRECTION('',(-1.,0.,0.)); #370777=DIRECTION('',(-1.,0.,0.)); #370778=DIRECTION('',(0.,0.,1.)); #370779=DIRECTION('center_axis',(1.,0.,0.)); #370780=DIRECTION('ref_axis',(0.,1.,0.)); #370781=DIRECTION('',(0.,1.,0.)); #370782=DIRECTION('',(0.,1.,0.)); #370783=DIRECTION('',(0.,0.,1.)); #370784=DIRECTION('center_axis',(0.,-1.,0.)); #370785=DIRECTION('ref_axis',(1.,0.,0.)); #370786=DIRECTION('',(1.,0.,0.)); #370787=DIRECTION('',(1.,0.,0.)); #370788=DIRECTION('',(0.,0.,1.)); #370789=DIRECTION('center_axis',(1.,0.,0.)); #370790=DIRECTION('ref_axis',(0.,1.,0.)); #370791=DIRECTION('',(0.,1.,0.)); #370792=DIRECTION('',(0.,1.,0.)); #370793=DIRECTION('',(0.,0.,1.)); #370794=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #370795=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #370796=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370797=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370798=DIRECTION('',(0.,0.,1.)); #370799=DIRECTION('center_axis',(0.,0.,1.)); #370800=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #370801=DIRECTION('center_axis',(0.,0.,1.)); #370802=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #370803=DIRECTION('center_axis',(0.,0.,1.)); #370804=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #370805=DIRECTION('',(0.,0.,1.)); #370806=DIRECTION('center_axis',(1.,0.,0.)); #370807=DIRECTION('ref_axis',(0.,1.,0.)); #370808=DIRECTION('',(0.,1.,0.)); #370809=DIRECTION('',(0.,1.,0.)); #370810=DIRECTION('',(0.,0.,1.)); #370811=DIRECTION('center_axis',(0.707106947327653,0.707106615045403,0.)); #370812=DIRECTION('ref_axis',(-0.707106615045403,0.707106947327653,0.)); #370813=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #370814=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #370815=DIRECTION('',(0.,0.,1.)); #370816=DIRECTION('center_axis',(0.,0.,1.)); #370817=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370818=DIRECTION('center_axis',(0.,0.,1.)); #370819=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370820=DIRECTION('center_axis',(0.,0.,1.)); #370821=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #370822=DIRECTION('',(0.,0.,1.)); #370823=DIRECTION('center_axis',(1.,0.,0.)); #370824=DIRECTION('ref_axis',(0.,1.,0.)); #370825=DIRECTION('',(0.,1.,0.)); #370826=DIRECTION('',(0.,1.,0.)); #370827=DIRECTION('',(0.,0.,1.)); #370828=DIRECTION('center_axis',(0.,0.,1.)); #370829=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370830=DIRECTION('center_axis',(0.,0.,1.)); #370831=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370832=DIRECTION('center_axis',(0.,0.,1.)); #370833=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #370834=DIRECTION('center_axis',(0.,0.,1.)); #370835=DIRECTION('ref_axis',(1.,0.,0.)); #370836=DIRECTION('center_axis',(0.,0.,1.)); #370837=DIRECTION('ref_axis',(1.,0.,0.)); #370838=DIRECTION('center_axis',(1.,0.,0.)); #370839=DIRECTION('ref_axis',(0.,1.,0.)); #370840=DIRECTION('',(0.,1.,0.)); #370841=DIRECTION('',(0.,0.,1.)); #370842=DIRECTION('',(0.,1.,0.)); #370843=DIRECTION('',(0.,0.,1.)); #370844=DIRECTION('center_axis',(0.,-1.,0.)); #370845=DIRECTION('ref_axis',(1.,0.,0.)); #370846=DIRECTION('',(1.,0.,0.)); #370847=DIRECTION('',(1.,0.,0.)); #370848=DIRECTION('',(0.,0.,1.)); #370849=DIRECTION('center_axis',(-1.,0.,0.)); #370850=DIRECTION('ref_axis',(0.,-1.,0.)); #370851=DIRECTION('',(0.,-1.,0.)); #370852=DIRECTION('',(0.,-1.,0.)); #370853=DIRECTION('',(0.,0.,1.)); #370854=DIRECTION('center_axis',(0.,-1.,0.)); #370855=DIRECTION('ref_axis',(1.,0.,0.)); #370856=DIRECTION('',(1.,0.,0.)); #370857=DIRECTION('',(1.,0.,0.)); #370858=DIRECTION('',(0.,0.,1.)); #370859=DIRECTION('center_axis',(0.,0.,1.)); #370860=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370861=DIRECTION('center_axis',(0.,0.,1.)); #370862=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370863=DIRECTION('center_axis',(0.,0.,1.)); #370864=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370865=DIRECTION('',(0.,0.,1.)); #370866=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #370867=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #370868=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370869=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370870=DIRECTION('',(0.,0.,1.)); #370871=DIRECTION('center_axis',(0.,0.,1.)); #370872=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370873=DIRECTION('center_axis',(0.,0.,1.)); #370874=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370875=DIRECTION('center_axis',(0.,0.,1.)); #370876=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #370877=DIRECTION('',(0.,0.,1.)); #370878=DIRECTION('center_axis',(-1.,0.,0.)); #370879=DIRECTION('ref_axis',(0.,-1.,0.)); #370880=DIRECTION('',(0.,-1.,0.)); #370881=DIRECTION('',(0.,-1.,0.)); #370882=DIRECTION('',(0.,0.,1.)); #370883=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #370884=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #370885=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #370886=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #370887=DIRECTION('',(0.,0.,1.)); #370888=DIRECTION('center_axis',(0.,-1.,0.)); #370889=DIRECTION('ref_axis',(1.,0.,0.)); #370890=DIRECTION('',(1.,0.,0.)); #370891=DIRECTION('',(1.,0.,0.)); #370892=DIRECTION('',(0.,0.,1.)); #370893=DIRECTION('center_axis',(0.,0.,1.)); #370894=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370895=DIRECTION('center_axis',(0.,0.,1.)); #370896=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370897=DIRECTION('center_axis',(0.,0.,1.)); #370898=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #370899=DIRECTION('',(0.,0.,1.)); #370900=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #370901=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #370902=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #370903=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #370904=DIRECTION('',(0.,0.,1.)); #370905=DIRECTION('center_axis',(0.,-1.,0.)); #370906=DIRECTION('ref_axis',(1.,0.,0.)); #370907=DIRECTION('',(1.,0.,0.)); #370908=DIRECTION('',(1.,0.,0.)); #370909=DIRECTION('',(0.,0.,1.)); #370910=DIRECTION('center_axis',(-1.,0.,0.)); #370911=DIRECTION('ref_axis',(0.,-1.,0.)); #370912=DIRECTION('',(0.,-1.,0.)); #370913=DIRECTION('',(0.,-1.,0.)); #370914=DIRECTION('',(0.,0.,1.)); #370915=DIRECTION('center_axis',(0.,1.,0.)); #370916=DIRECTION('ref_axis',(-1.,0.,0.)); #370917=DIRECTION('',(-1.,0.,0.)); #370918=DIRECTION('',(-1.,0.,0.)); #370919=DIRECTION('',(0.,0.,1.)); #370920=DIRECTION('center_axis',(1.,0.,0.)); #370921=DIRECTION('ref_axis',(0.,1.,0.)); #370922=DIRECTION('',(0.,1.,0.)); #370923=DIRECTION('',(0.,1.,0.)); #370924=DIRECTION('',(0.,0.,1.)); #370925=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #370926=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #370927=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #370928=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #370929=DIRECTION('',(0.,0.,1.)); #370930=DIRECTION('center_axis',(0.,1.,0.)); #370931=DIRECTION('ref_axis',(-1.,0.,0.)); #370932=DIRECTION('',(-1.,0.,0.)); #370933=DIRECTION('',(-1.,0.,0.)); #370934=DIRECTION('',(0.,0.,1.)); #370935=DIRECTION('center_axis',(0.,0.,1.)); #370936=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #370937=DIRECTION('center_axis',(0.,0.,1.)); #370938=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #370939=DIRECTION('center_axis',(0.,0.,1.)); #370940=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #370941=DIRECTION('',(0.,0.,1.)); #370942=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #370943=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #370944=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #370945=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #370946=DIRECTION('',(0.,0.,1.)); #370947=DIRECTION('center_axis',(0.,0.,1.)); #370948=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370949=DIRECTION('center_axis',(0.,0.,1.)); #370950=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370951=DIRECTION('center_axis',(0.,0.,1.)); #370952=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #370953=DIRECTION('',(0.,0.,1.)); #370954=DIRECTION('center_axis',(1.,0.,0.)); #370955=DIRECTION('ref_axis',(0.,1.,0.)); #370956=DIRECTION('',(0.,1.,0.)); #370957=DIRECTION('',(0.,1.,0.)); #370958=DIRECTION('',(0.,0.,1.)); #370959=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #370960=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #370961=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370962=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #370963=DIRECTION('',(0.,0.,1.)); #370964=DIRECTION('center_axis',(0.,1.,0.)); #370965=DIRECTION('ref_axis',(-1.,0.,0.)); #370966=DIRECTION('',(-1.,0.,0.)); #370967=DIRECTION('',(-1.,0.,0.)); #370968=DIRECTION('',(0.,0.,1.)); #370969=DIRECTION('center_axis',(-1.,0.,0.)); #370970=DIRECTION('ref_axis',(0.,-1.,0.)); #370971=DIRECTION('',(0.,-1.,0.)); #370972=DIRECTION('',(0.,-1.,0.)); #370973=DIRECTION('',(0.,0.,1.)); #370974=DIRECTION('center_axis',(0.,1.,0.)); #370975=DIRECTION('ref_axis',(-1.,0.,0.)); #370976=DIRECTION('',(-1.,0.,0.)); #370977=DIRECTION('',(-1.,0.,0.)); #370978=DIRECTION('center_axis',(0.,0.,1.)); #370979=DIRECTION('ref_axis',(1.,0.,0.)); #370980=DIRECTION('center_axis',(0.,0.,1.)); #370981=DIRECTION('ref_axis',(1.,0.,0.)); #370982=DIRECTION('center_axis',(0.,0.,1.)); #370983=DIRECTION('ref_axis',(1.,0.,0.)); #370984=DIRECTION('center_axis',(0.,0.,1.)); #370985=DIRECTION('ref_axis',(1.,0.,0.)); #370986=DIRECTION('',(0.,0.,1.)); #370987=DIRECTION('center_axis',(0.,0.,-1.)); #370988=DIRECTION('ref_axis',(1.,0.,0.)); #370989=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #370990=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #370991=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #370992=DIRECTION('',(0.,0.,1.)); #370993=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #370994=DIRECTION('',(0.,0.,1.)); #370995=DIRECTION('center_axis',(0.,0.,1.)); #370996=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #370997=DIRECTION('center_axis',(0.,0.,1.)); #370998=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #370999=DIRECTION('center_axis',(0.,0.,1.)); #371000=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #371001=DIRECTION('',(0.,0.,1.)); #371002=DIRECTION('center_axis',(-1.,0.,0.)); #371003=DIRECTION('ref_axis',(0.,-1.,0.)); #371004=DIRECTION('',(0.,-1.,0.)); #371005=DIRECTION('',(0.,-1.,0.)); #371006=DIRECTION('',(0.,0.,1.)); #371007=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #371008=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #371009=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #371010=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #371011=DIRECTION('',(0.,0.,1.)); #371012=DIRECTION('center_axis',(0.,0.,1.)); #371013=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #371014=DIRECTION('center_axis',(0.,0.,1.)); #371015=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #371016=DIRECTION('center_axis',(0.,0.,1.)); #371017=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #371018=DIRECTION('',(0.,0.,1.)); #371019=DIRECTION('center_axis',(-1.,0.,0.)); #371020=DIRECTION('ref_axis',(0.,-1.,0.)); #371021=DIRECTION('',(0.,-1.,0.)); #371022=DIRECTION('',(0.,-1.,0.)); #371023=DIRECTION('',(0.,0.,1.)); #371024=DIRECTION('center_axis',(0.,0.,1.)); #371025=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #371026=DIRECTION('center_axis',(0.,0.,1.)); #371027=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #371028=DIRECTION('center_axis',(0.,0.,1.)); #371029=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #371030=DIRECTION('',(0.,0.,1.)); #371031=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #371032=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #371033=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #371034=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #371035=DIRECTION('',(0.,0.,1.)); #371036=DIRECTION('center_axis',(0.,0.,1.)); #371037=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #371038=DIRECTION('center_axis',(0.,0.,1.)); #371039=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #371040=DIRECTION('center_axis',(0.,0.,1.)); #371041=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #371042=DIRECTION('',(0.,0.,1.)); #371043=DIRECTION('center_axis',(0.,1.,0.)); #371044=DIRECTION('ref_axis',(-1.,0.,0.)); #371045=DIRECTION('',(-1.,0.,0.)); #371046=DIRECTION('',(-1.,0.,0.)); #371047=DIRECTION('',(0.,0.,1.)); #371048=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #371049=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #371050=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #371051=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #371052=DIRECTION('',(0.,0.,1.)); #371053=DIRECTION('center_axis',(-1.,0.,0.)); #371054=DIRECTION('ref_axis',(0.,-1.,0.)); #371055=DIRECTION('',(0.,-1.,0.)); #371056=DIRECTION('',(0.,-1.,0.)); #371057=DIRECTION('',(0.,0.,1.)); #371058=DIRECTION('center_axis',(0.,1.,0.)); #371059=DIRECTION('ref_axis',(-1.,0.,0.)); #371060=DIRECTION('',(-1.,0.,0.)); #371061=DIRECTION('',(-1.,0.,0.)); #371062=DIRECTION('',(0.,0.,1.)); #371063=DIRECTION('center_axis',(1.,0.,0.)); #371064=DIRECTION('ref_axis',(0.,1.,0.)); #371065=DIRECTION('',(0.,1.,0.)); #371066=DIRECTION('',(0.,1.,0.)); #371067=DIRECTION('',(0.,0.,1.)); #371068=DIRECTION('center_axis',(0.,-1.,0.)); #371069=DIRECTION('ref_axis',(1.,0.,0.)); #371070=DIRECTION('',(1.,0.,0.)); #371071=DIRECTION('',(1.,0.,0.)); #371072=DIRECTION('',(0.,0.,1.)); #371073=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #371074=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #371075=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #371076=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #371077=DIRECTION('',(0.,0.,1.)); #371078=DIRECTION('center_axis',(0.,0.,1.)); #371079=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #371080=DIRECTION('center_axis',(0.,0.,1.)); #371081=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #371082=DIRECTION('center_axis',(0.,0.,1.)); #371083=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #371084=DIRECTION('',(0.,0.,1.)); #371085=DIRECTION('center_axis',(0.,-1.,0.)); #371086=DIRECTION('ref_axis',(1.,0.,0.)); #371087=DIRECTION('',(1.,0.,0.)); #371088=DIRECTION('',(1.,0.,0.)); #371089=DIRECTION('',(0.,0.,1.)); #371090=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371091=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371092=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371093=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371094=DIRECTION('',(0.,0.,1.)); #371095=DIRECTION('center_axis',(1.,0.,0.)); #371096=DIRECTION('ref_axis',(0.,1.,0.)); #371097=DIRECTION('',(0.,1.,0.)); #371098=DIRECTION('',(0.,1.,0.)); #371099=DIRECTION('',(0.,0.,1.)); #371100=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #371101=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #371102=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #371103=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #371104=DIRECTION('',(0.,0.,1.)); #371105=DIRECTION('center_axis',(0.,0.,1.)); #371106=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #371107=DIRECTION('center_axis',(0.,0.,1.)); #371108=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #371109=DIRECTION('center_axis',(0.,0.,1.)); #371110=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #371111=DIRECTION('',(0.,0.,1.)); #371112=DIRECTION('center_axis',(1.,0.,0.)); #371113=DIRECTION('ref_axis',(0.,1.,0.)); #371114=DIRECTION('',(0.,1.,0.)); #371115=DIRECTION('',(0.,1.,0.)); #371116=DIRECTION('',(0.,0.,1.)); #371117=DIRECTION('center_axis',(0.,0.,1.)); #371118=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #371119=DIRECTION('center_axis',(0.,0.,1.)); #371120=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #371121=DIRECTION('center_axis',(0.,0.,1.)); #371122=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #371123=DIRECTION('center_axis',(0.,0.,1.)); #371124=DIRECTION('ref_axis',(1.,0.,0.)); #371125=DIRECTION('center_axis',(0.,0.,1.)); #371126=DIRECTION('ref_axis',(1.,0.,0.)); #371127=DIRECTION('center_axis',(0.,0.,1.)); #371128=DIRECTION('ref_axis',(1.,0.,0.)); #371129=DIRECTION('center_axis',(0.,0.,1.)); #371130=DIRECTION('ref_axis',(1.,0.,0.)); #371131=DIRECTION('',(0.,0.,1.)); #371132=DIRECTION('center_axis',(0.,0.,-1.)); #371133=DIRECTION('ref_axis',(1.,0.,0.)); #371134=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #371135=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #371136=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #371137=DIRECTION('',(0.,0.,1.)); #371138=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #371139=DIRECTION('',(0.,0.,1.)); #371140=DIRECTION('center_axis',(0.,0.,1.)); #371141=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371142=DIRECTION('center_axis',(0.,0.,1.)); #371143=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371144=DIRECTION('center_axis',(0.,0.,1.)); #371145=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371146=DIRECTION('',(0.,0.,1.)); #371147=DIRECTION('center_axis',(0.,-1.,0.)); #371148=DIRECTION('ref_axis',(1.,0.,0.)); #371149=DIRECTION('',(1.,0.,0.)); #371150=DIRECTION('',(1.,0.,0.)); #371151=DIRECTION('',(0.,0.,1.)); #371152=DIRECTION('center_axis',(1.,0.,0.)); #371153=DIRECTION('ref_axis',(0.,1.,0.)); #371154=DIRECTION('',(0.,1.,0.)); #371155=DIRECTION('',(0.,1.,0.)); #371156=DIRECTION('',(0.,0.,1.)); #371157=DIRECTION('center_axis',(0.,-1.,0.)); #371158=DIRECTION('ref_axis',(1.,0.,0.)); #371159=DIRECTION('',(1.,0.,0.)); #371160=DIRECTION('',(1.,0.,0.)); #371161=DIRECTION('',(0.,0.,1.)); #371162=DIRECTION('center_axis',(-1.,0.,0.)); #371163=DIRECTION('ref_axis',(0.,-1.,0.)); #371164=DIRECTION('',(0.,-1.,0.)); #371165=DIRECTION('',(0.,-1.,0.)); #371166=DIRECTION('',(0.,0.,1.)); #371167=DIRECTION('center_axis',(0.,1.,0.)); #371168=DIRECTION('ref_axis',(-1.,0.,0.)); #371169=DIRECTION('',(-1.,0.,0.)); #371170=DIRECTION('',(-1.,0.,0.)); #371171=DIRECTION('',(0.,0.,1.)); #371172=DIRECTION('center_axis',(1.,0.,0.)); #371173=DIRECTION('ref_axis',(0.,1.,0.)); #371174=DIRECTION('',(0.,1.,0.)); #371175=DIRECTION('',(0.,1.,0.)); #371176=DIRECTION('',(0.,0.,1.)); #371177=DIRECTION('center_axis',(0.,1.,0.)); #371178=DIRECTION('ref_axis',(-1.,0.,0.)); #371179=DIRECTION('',(-1.,0.,0.)); #371180=DIRECTION('',(-1.,0.,0.)); #371181=DIRECTION('',(0.,0.,1.)); #371182=DIRECTION('center_axis',(0.,0.,1.)); #371183=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #371184=DIRECTION('center_axis',(0.,0.,1.)); #371185=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #371186=DIRECTION('center_axis',(0.,0.,1.)); #371187=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #371188=DIRECTION('',(0.,0.,1.)); #371189=DIRECTION('center_axis',(0.,0.,1.)); #371190=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #371191=DIRECTION('center_axis',(0.,0.,1.)); #371192=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #371193=DIRECTION('center_axis',(0.,0.,1.)); #371194=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #371195=DIRECTION('center_axis',(0.,0.,1.)); #371196=DIRECTION('ref_axis',(1.,0.,0.)); #371197=DIRECTION('center_axis',(0.,0.,1.)); #371198=DIRECTION('ref_axis',(1.,0.,0.)); #371199=DIRECTION('center_axis',(1.,0.,0.)); #371200=DIRECTION('ref_axis',(0.,1.,0.)); #371201=DIRECTION('',(0.,1.,0.)); #371202=DIRECTION('',(0.,0.,1.)); #371203=DIRECTION('',(0.,1.,0.)); #371204=DIRECTION('',(0.,0.,1.)); #371205=DIRECTION('center_axis',(0.,-1.,0.)); #371206=DIRECTION('ref_axis',(1.,0.,0.)); #371207=DIRECTION('',(1.,0.,0.)); #371208=DIRECTION('',(1.,0.,0.)); #371209=DIRECTION('',(0.,0.,1.)); #371210=DIRECTION('center_axis',(-1.,0.,0.)); #371211=DIRECTION('ref_axis',(0.,-1.,0.)); #371212=DIRECTION('',(0.,-1.,0.)); #371213=DIRECTION('',(0.,-1.,0.)); #371214=DIRECTION('',(0.,0.,1.)); #371215=DIRECTION('center_axis',(0.,1.,0.)); #371216=DIRECTION('ref_axis',(-1.,0.,0.)); #371217=DIRECTION('',(-1.,0.,0.)); #371218=DIRECTION('',(-1.,0.,0.)); #371219=DIRECTION('',(0.,0.,1.)); #371220=DIRECTION('center_axis',(-1.,0.,0.)); #371221=DIRECTION('ref_axis',(0.,-1.,0.)); #371222=DIRECTION('',(0.,-1.,0.)); #371223=DIRECTION('',(0.,-1.,0.)); #371224=DIRECTION('',(0.,0.,1.)); #371225=DIRECTION('center_axis',(0.,0.,1.)); #371226=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371227=DIRECTION('center_axis',(0.,0.,1.)); #371228=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371229=DIRECTION('center_axis',(0.,0.,1.)); #371230=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371231=DIRECTION('',(0.,0.,1.)); #371232=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #371233=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #371234=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #371235=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #371236=DIRECTION('',(0.,0.,1.)); #371237=DIRECTION('center_axis',(-1.,0.,0.)); #371238=DIRECTION('ref_axis',(0.,-1.,0.)); #371239=DIRECTION('',(0.,-1.,0.)); #371240=DIRECTION('',(0.,-1.,0.)); #371241=DIRECTION('',(0.,0.,1.)); #371242=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #371243=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #371244=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #371245=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #371246=DIRECTION('',(0.,0.,1.)); #371247=DIRECTION('center_axis',(0.,0.,1.)); #371248=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #371249=DIRECTION('center_axis',(0.,0.,1.)); #371250=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #371251=DIRECTION('center_axis',(0.,0.,1.)); #371252=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #371253=DIRECTION('',(0.,0.,1.)); #371254=DIRECTION('center_axis',(-1.,0.,0.)); #371255=DIRECTION('ref_axis',(0.,-1.,0.)); #371256=DIRECTION('',(0.,-1.,0.)); #371257=DIRECTION('',(0.,-1.,0.)); #371258=DIRECTION('',(0.,0.,1.)); #371259=DIRECTION('center_axis',(0.,0.,1.)); #371260=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371261=DIRECTION('center_axis',(0.,0.,1.)); #371262=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371263=DIRECTION('center_axis',(0.,0.,1.)); #371264=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371265=DIRECTION('',(0.,0.,1.)); #371266=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371267=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371268=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371269=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371270=DIRECTION('',(0.,0.,1.)); #371271=DIRECTION('center_axis',(0.,0.,1.)); #371272=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #371273=DIRECTION('center_axis',(0.,0.,1.)); #371274=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #371275=DIRECTION('center_axis',(0.,0.,1.)); #371276=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #371277=DIRECTION('',(0.,0.,1.)); #371278=DIRECTION('center_axis',(0.,1.,0.)); #371279=DIRECTION('ref_axis',(-1.,0.,0.)); #371280=DIRECTION('',(-1.,0.,0.)); #371281=DIRECTION('',(-1.,0.,0.)); #371282=DIRECTION('',(0.,0.,1.)); #371283=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371284=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371285=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371286=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371287=DIRECTION('',(0.,0.,1.)); #371288=DIRECTION('center_axis',(0.,0.,1.)); #371289=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #371290=DIRECTION('center_axis',(0.,0.,1.)); #371291=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #371292=DIRECTION('center_axis',(0.,0.,1.)); #371293=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #371294=DIRECTION('',(0.,0.,1.)); #371295=DIRECTION('center_axis',(0.,1.,0.)); #371296=DIRECTION('ref_axis',(-1.,0.,0.)); #371297=DIRECTION('',(-1.,0.,0.)); #371298=DIRECTION('',(-1.,0.,0.)); #371299=DIRECTION('',(0.,0.,1.)); #371300=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #371301=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #371302=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #371303=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #371304=DIRECTION('',(0.,0.,1.)); #371305=DIRECTION('center_axis',(-1.,0.,0.)); #371306=DIRECTION('ref_axis',(0.,-1.,0.)); #371307=DIRECTION('',(0.,-1.,0.)); #371308=DIRECTION('',(0.,-1.,0.)); #371309=DIRECTION('',(0.,0.,1.)); #371310=DIRECTION('center_axis',(0.,1.,0.)); #371311=DIRECTION('ref_axis',(-1.,0.,0.)); #371312=DIRECTION('',(-1.,0.,0.)); #371313=DIRECTION('',(-1.,0.,0.)); #371314=DIRECTION('',(0.,0.,1.)); #371315=DIRECTION('center_axis',(1.,0.,0.)); #371316=DIRECTION('ref_axis',(0.,1.,0.)); #371317=DIRECTION('',(0.,1.,0.)); #371318=DIRECTION('',(0.,1.,0.)); #371319=DIRECTION('',(0.,0.,1.)); #371320=DIRECTION('center_axis',(0.,-1.,0.)); #371321=DIRECTION('ref_axis',(1.,0.,0.)); #371322=DIRECTION('',(1.,0.,0.)); #371323=DIRECTION('',(1.,0.,0.)); #371324=DIRECTION('',(0.,0.,1.)); #371325=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #371326=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #371327=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #371328=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #371329=DIRECTION('',(0.,0.,1.)); #371330=DIRECTION('center_axis',(0.,0.,1.)); #371331=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #371332=DIRECTION('center_axis',(0.,0.,1.)); #371333=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #371334=DIRECTION('center_axis',(0.,0.,1.)); #371335=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #371336=DIRECTION('',(0.,0.,1.)); #371337=DIRECTION('center_axis',(0.,-1.,0.)); #371338=DIRECTION('ref_axis',(1.,0.,0.)); #371339=DIRECTION('',(1.,0.,0.)); #371340=DIRECTION('',(1.,0.,0.)); #371341=DIRECTION('',(0.,0.,1.)); #371342=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #371343=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #371344=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #371345=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #371346=DIRECTION('',(0.,0.,1.)); #371347=DIRECTION('center_axis',(0.,0.,1.)); #371348=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #371349=DIRECTION('center_axis',(0.,0.,1.)); #371350=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #371351=DIRECTION('center_axis',(0.,0.,1.)); #371352=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #371353=DIRECTION('',(0.,0.,1.)); #371354=DIRECTION('center_axis',(0.,-1.,0.)); #371355=DIRECTION('ref_axis',(1.,0.,0.)); #371356=DIRECTION('',(1.,0.,0.)); #371357=DIRECTION('',(1.,0.,0.)); #371358=DIRECTION('',(0.,0.,1.)); #371359=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371360=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371361=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371362=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371363=DIRECTION('',(0.,0.,1.)); #371364=DIRECTION('center_axis',(1.,0.,0.)); #371365=DIRECTION('ref_axis',(0.,1.,0.)); #371366=DIRECTION('',(0.,1.,0.)); #371367=DIRECTION('',(0.,1.,0.)); #371368=DIRECTION('',(0.,0.,1.)); #371369=DIRECTION('center_axis',(0.707107058417278,0.707106503955709,0.)); #371370=DIRECTION('ref_axis',(-0.707106503955709,0.707107058417278,0.)); #371371=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #371372=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #371373=DIRECTION('',(0.,0.,1.)); #371374=DIRECTION('center_axis',(0.,0.,1.)); #371375=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371376=DIRECTION('center_axis',(0.,0.,1.)); #371377=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371378=DIRECTION('center_axis',(0.,0.,1.)); #371379=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371380=DIRECTION('',(0.,0.,1.)); #371381=DIRECTION('center_axis',(1.,0.,0.)); #371382=DIRECTION('ref_axis',(0.,1.,0.)); #371383=DIRECTION('',(0.,1.,0.)); #371384=DIRECTION('',(0.,1.,0.)); #371385=DIRECTION('',(0.,0.,1.)); #371386=DIRECTION('center_axis',(0.,0.,1.)); #371387=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #371388=DIRECTION('center_axis',(0.,0.,1.)); #371389=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #371390=DIRECTION('center_axis',(0.,0.,1.)); #371391=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #371392=DIRECTION('',(0.,0.,1.)); #371393=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #371394=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #371395=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #371396=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #371397=DIRECTION('',(0.,0.,1.)); #371398=DIRECTION('center_axis',(1.,0.,0.)); #371399=DIRECTION('ref_axis',(0.,1.,0.)); #371400=DIRECTION('',(0.,1.,0.)); #371401=DIRECTION('',(0.,1.,0.)); #371402=DIRECTION('',(0.,0.,1.)); #371403=DIRECTION('center_axis',(0.,1.,0.)); #371404=DIRECTION('ref_axis',(-1.,0.,0.)); #371405=DIRECTION('',(-1.,0.,0.)); #371406=DIRECTION('',(-1.,0.,0.)); #371407=DIRECTION('center_axis',(0.,0.,1.)); #371408=DIRECTION('ref_axis',(1.,0.,0.)); #371409=DIRECTION('center_axis',(0.,0.,1.)); #371410=DIRECTION('ref_axis',(1.,0.,0.)); #371411=DIRECTION('center_axis',(1.,0.,0.)); #371412=DIRECTION('ref_axis',(0.,1.,0.)); #371413=DIRECTION('',(0.,1.,0.)); #371414=DIRECTION('',(0.,0.,1.)); #371415=DIRECTION('',(0.,1.,0.)); #371416=DIRECTION('',(0.,0.,1.)); #371417=DIRECTION('center_axis',(0.,-1.,0.)); #371418=DIRECTION('ref_axis',(1.,0.,0.)); #371419=DIRECTION('',(1.,0.,0.)); #371420=DIRECTION('',(1.,0.,0.)); #371421=DIRECTION('',(0.,0.,1.)); #371422=DIRECTION('center_axis',(-1.,0.,0.)); #371423=DIRECTION('ref_axis',(0.,-1.,0.)); #371424=DIRECTION('',(0.,-1.,0.)); #371425=DIRECTION('',(0.,-1.,0.)); #371426=DIRECTION('',(0.,0.,1.)); #371427=DIRECTION('center_axis',(0.,1.,0.)); #371428=DIRECTION('ref_axis',(-1.,0.,0.)); #371429=DIRECTION('',(-1.,0.,0.)); #371430=DIRECTION('',(-1.,0.,0.)); #371431=DIRECTION('',(0.,0.,1.)); #371432=DIRECTION('center_axis',(-1.,0.,0.)); #371433=DIRECTION('ref_axis',(0.,-1.,0.)); #371434=DIRECTION('',(0.,-1.,0.)); #371435=DIRECTION('',(0.,-1.,0.)); #371436=DIRECTION('',(0.,0.,1.)); #371437=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #371438=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #371439=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #371440=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #371441=DIRECTION('',(0.,0.,1.)); #371442=DIRECTION('center_axis',(0.,0.,1.)); #371443=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #371444=DIRECTION('center_axis',(0.,0.,1.)); #371445=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #371446=DIRECTION('center_axis',(0.,0.,1.)); #371447=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #371448=DIRECTION('',(0.,0.,1.)); #371449=DIRECTION('center_axis',(-1.,0.,0.)); #371450=DIRECTION('ref_axis',(0.,-1.,0.)); #371451=DIRECTION('',(0.,-1.,0.)); #371452=DIRECTION('',(0.,-1.,0.)); #371453=DIRECTION('',(0.,0.,1.)); #371454=DIRECTION('center_axis',(0.,0.,1.)); #371455=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371456=DIRECTION('center_axis',(0.,0.,1.)); #371457=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371458=DIRECTION('center_axis',(0.,0.,1.)); #371459=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #371460=DIRECTION('',(0.,0.,1.)); #371461=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371462=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371463=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371464=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371465=DIRECTION('',(0.,0.,1.)); #371466=DIRECTION('center_axis',(-1.,0.,0.)); #371467=DIRECTION('ref_axis',(0.,-1.,0.)); #371468=DIRECTION('',(0.,-1.,0.)); #371469=DIRECTION('',(0.,-1.,0.)); #371470=DIRECTION('',(0.,0.,1.)); #371471=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #371472=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #371473=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #371474=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #371475=DIRECTION('',(0.,0.,1.)); #371476=DIRECTION('center_axis',(0.,-1.,0.)); #371477=DIRECTION('ref_axis',(1.,0.,0.)); #371478=DIRECTION('',(1.,0.,0.)); #371479=DIRECTION('',(1.,0.,0.)); #371480=DIRECTION('',(0.,0.,1.)); #371481=DIRECTION('center_axis',(0.,0.,1.)); #371482=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371483=DIRECTION('center_axis',(0.,0.,1.)); #371484=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371485=DIRECTION('center_axis',(0.,0.,1.)); #371486=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371487=DIRECTION('',(0.,0.,1.)); #371488=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #371489=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #371490=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371491=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371492=DIRECTION('',(0.,0.,1.)); #371493=DIRECTION('center_axis',(0.,-1.,0.)); #371494=DIRECTION('ref_axis',(1.,0.,0.)); #371495=DIRECTION('',(1.,0.,0.)); #371496=DIRECTION('',(1.,0.,0.)); #371497=DIRECTION('',(0.,0.,1.)); #371498=DIRECTION('center_axis',(0.,0.,1.)); #371499=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #371500=DIRECTION('center_axis',(0.,0.,1.)); #371501=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #371502=DIRECTION('center_axis',(0.,0.,1.)); #371503=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #371504=DIRECTION('',(0.,0.,1.)); #371505=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #371506=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #371507=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #371508=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #371509=DIRECTION('',(0.,0.,1.)); #371510=DIRECTION('center_axis',(0.,-1.,0.)); #371511=DIRECTION('ref_axis',(1.,0.,0.)); #371512=DIRECTION('',(1.,0.,0.)); #371513=DIRECTION('',(1.,0.,0.)); #371514=DIRECTION('',(0.,0.,1.)); #371515=DIRECTION('center_axis',(-1.,0.,0.)); #371516=DIRECTION('ref_axis',(0.,-1.,0.)); #371517=DIRECTION('',(0.,-1.,0.)); #371518=DIRECTION('',(0.,-1.,0.)); #371519=DIRECTION('',(0.,0.,1.)); #371520=DIRECTION('center_axis',(0.,1.,0.)); #371521=DIRECTION('ref_axis',(-1.,0.,0.)); #371522=DIRECTION('',(-1.,0.,0.)); #371523=DIRECTION('',(-1.,0.,0.)); #371524=DIRECTION('',(0.,0.,1.)); #371525=DIRECTION('center_axis',(1.,0.,0.)); #371526=DIRECTION('ref_axis',(0.,1.,0.)); #371527=DIRECTION('',(0.,1.,0.)); #371528=DIRECTION('',(0.,1.,0.)); #371529=DIRECTION('',(0.,0.,1.)); #371530=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #371531=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #371532=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371533=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371534=DIRECTION('',(0.,0.,1.)); #371535=DIRECTION('center_axis',(0.,1.,0.)); #371536=DIRECTION('ref_axis',(-1.,0.,0.)); #371537=DIRECTION('',(-1.,0.,0.)); #371538=DIRECTION('',(-1.,0.,0.)); #371539=DIRECTION('',(0.,0.,1.)); #371540=DIRECTION('center_axis',(0.,0.,1.)); #371541=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371542=DIRECTION('center_axis',(0.,0.,1.)); #371543=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371544=DIRECTION('center_axis',(0.,0.,1.)); #371545=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371546=DIRECTION('',(0.,0.,1.)); #371547=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #371548=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #371549=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #371550=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #371551=DIRECTION('',(0.,0.,1.)); #371552=DIRECTION('center_axis',(0.,1.,0.)); #371553=DIRECTION('ref_axis',(-1.,0.,0.)); #371554=DIRECTION('',(-1.,0.,0.)); #371555=DIRECTION('',(-1.,0.,0.)); #371556=DIRECTION('',(0.,0.,1.)); #371557=DIRECTION('center_axis',(0.,0.,1.)); #371558=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #371559=DIRECTION('center_axis',(0.,0.,1.)); #371560=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #371561=DIRECTION('center_axis',(0.,0.,1.)); #371562=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #371563=DIRECTION('',(0.,0.,1.)); #371564=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #371565=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #371566=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #371567=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #371568=DIRECTION('',(0.,0.,1.)); #371569=DIRECTION('center_axis',(0.,0.,1.)); #371570=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371571=DIRECTION('center_axis',(0.,0.,1.)); #371572=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371573=DIRECTION('center_axis',(0.,0.,1.)); #371574=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371575=DIRECTION('',(0.,0.,1.)); #371576=DIRECTION('center_axis',(1.,0.,0.)); #371577=DIRECTION('ref_axis',(0.,1.,0.)); #371578=DIRECTION('',(0.,1.,0.)); #371579=DIRECTION('',(0.,1.,0.)); #371580=DIRECTION('',(0.,0.,1.)); #371581=DIRECTION('center_axis',(0.,0.,1.)); #371582=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #371583=DIRECTION('center_axis',(0.,0.,1.)); #371584=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #371585=DIRECTION('center_axis',(0.,0.,1.)); #371586=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #371587=DIRECTION('',(0.,0.,1.)); #371588=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #371589=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #371590=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #371591=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #371592=DIRECTION('',(0.,0.,1.)); #371593=DIRECTION('center_axis',(1.,0.,0.)); #371594=DIRECTION('ref_axis',(0.,1.,0.)); #371595=DIRECTION('',(0.,1.,0.)); #371596=DIRECTION('',(0.,1.,0.)); #371597=DIRECTION('',(0.,0.,1.)); #371598=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #371599=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #371600=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #371601=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #371602=DIRECTION('',(0.,0.,1.)); #371603=DIRECTION('center_axis',(0.,0.,1.)); #371604=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #371605=DIRECTION('center_axis',(0.,0.,1.)); #371606=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #371607=DIRECTION('center_axis',(0.,0.,1.)); #371608=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #371609=DIRECTION('',(0.,0.,1.)); #371610=DIRECTION('center_axis',(1.,0.,0.)); #371611=DIRECTION('ref_axis',(0.,1.,0.)); #371612=DIRECTION('',(0.,1.,0.)); #371613=DIRECTION('',(0.,1.,0.)); #371614=DIRECTION('',(0.,0.,1.)); #371615=DIRECTION('center_axis',(0.,1.,0.)); #371616=DIRECTION('ref_axis',(-1.,0.,0.)); #371617=DIRECTION('',(-1.,0.,0.)); #371618=DIRECTION('',(-1.,0.,0.)); #371619=DIRECTION('center_axis',(0.,0.,1.)); #371620=DIRECTION('ref_axis',(1.,0.,0.)); #371621=DIRECTION('center_axis',(0.,0.,1.)); #371622=DIRECTION('ref_axis',(1.,0.,0.)); #371623=DIRECTION('center_axis',(1.,0.,0.)); #371624=DIRECTION('ref_axis',(0.,1.,0.)); #371625=DIRECTION('',(0.,1.,0.)); #371626=DIRECTION('',(0.,0.,1.)); #371627=DIRECTION('',(0.,1.,0.)); #371628=DIRECTION('',(0.,0.,1.)); #371629=DIRECTION('center_axis',(0.,-1.,0.)); #371630=DIRECTION('ref_axis',(1.,0.,0.)); #371631=DIRECTION('',(1.,0.,0.)); #371632=DIRECTION('',(1.,0.,0.)); #371633=DIRECTION('',(0.,0.,1.)); #371634=DIRECTION('center_axis',(-1.,0.,0.)); #371635=DIRECTION('ref_axis',(0.,-1.,0.)); #371636=DIRECTION('',(0.,-1.,0.)); #371637=DIRECTION('',(0.,-1.,0.)); #371638=DIRECTION('',(0.,0.,1.)); #371639=DIRECTION('center_axis',(0.,-1.,0.)); #371640=DIRECTION('ref_axis',(1.,0.,0.)); #371641=DIRECTION('',(1.,0.,0.)); #371642=DIRECTION('',(1.,0.,0.)); #371643=DIRECTION('',(0.,0.,1.)); #371644=DIRECTION('center_axis',(0.,0.,1.)); #371645=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371646=DIRECTION('center_axis',(0.,0.,1.)); #371647=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371648=DIRECTION('center_axis',(0.,0.,1.)); #371649=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371650=DIRECTION('',(0.,0.,1.)); #371651=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #371652=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #371653=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #371654=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #371655=DIRECTION('',(0.,0.,1.)); #371656=DIRECTION('center_axis',(0.,-1.,0.)); #371657=DIRECTION('ref_axis',(1.,0.,0.)); #371658=DIRECTION('',(1.,0.,0.)); #371659=DIRECTION('',(1.,0.,0.)); #371660=DIRECTION('',(0.,0.,1.)); #371661=DIRECTION('center_axis',(0.,0.,1.)); #371662=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371663=DIRECTION('center_axis',(0.,0.,1.)); #371664=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371665=DIRECTION('center_axis',(0.,0.,1.)); #371666=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371667=DIRECTION('',(0.,0.,1.)); #371668=DIRECTION('center_axis',(-0.707106781186546,-0.70710678118655,0.)); #371669=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186545,0.)); #371670=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #371671=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #371672=DIRECTION('',(0.,0.,1.)); #371673=DIRECTION('center_axis',(0.,-1.,0.)); #371674=DIRECTION('ref_axis',(1.,0.,0.)); #371675=DIRECTION('',(1.,0.,0.)); #371676=DIRECTION('',(1.,0.,0.)); #371677=DIRECTION('',(0.,0.,1.)); #371678=DIRECTION('center_axis',(-1.,0.,0.)); #371679=DIRECTION('ref_axis',(0.,-1.,0.)); #371680=DIRECTION('',(0.,-1.,0.)); #371681=DIRECTION('',(0.,-1.,0.)); #371682=DIRECTION('',(0.,0.,1.)); #371683=DIRECTION('center_axis',(0.,1.,0.)); #371684=DIRECTION('ref_axis',(-1.,0.,0.)); #371685=DIRECTION('',(-1.,0.,0.)); #371686=DIRECTION('',(-1.,0.,0.)); #371687=DIRECTION('',(0.,0.,1.)); #371688=DIRECTION('center_axis',(1.,0.,0.)); #371689=DIRECTION('ref_axis',(0.,1.,0.)); #371690=DIRECTION('',(0.,1.,0.)); #371691=DIRECTION('',(0.,1.,0.)); #371692=DIRECTION('',(0.,0.,1.)); #371693=DIRECTION('center_axis',(0.70710678118655,0.707106781186546,0.)); #371694=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186549,0.)); #371695=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #371696=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #371697=DIRECTION('',(0.,0.,1.)); #371698=DIRECTION('center_axis',(0.,1.,0.)); #371699=DIRECTION('ref_axis',(-1.,0.,0.)); #371700=DIRECTION('',(-1.,0.,0.)); #371701=DIRECTION('',(-1.,0.,0.)); #371702=DIRECTION('',(0.,0.,1.)); #371703=DIRECTION('center_axis',(0.,0.,1.)); #371704=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371705=DIRECTION('center_axis',(0.,0.,1.)); #371706=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371707=DIRECTION('center_axis',(0.,0.,1.)); #371708=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371709=DIRECTION('',(0.,0.,1.)); #371710=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #371711=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #371712=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #371713=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #371714=DIRECTION('',(0.,0.,1.)); #371715=DIRECTION('center_axis',(0.,1.,0.)); #371716=DIRECTION('ref_axis',(-1.,0.,0.)); #371717=DIRECTION('',(-1.,0.,0.)); #371718=DIRECTION('',(-1.,0.,0.)); #371719=DIRECTION('',(0.,0.,1.)); #371720=DIRECTION('center_axis',(-1.,0.,0.)); #371721=DIRECTION('ref_axis',(0.,-1.,0.)); #371722=DIRECTION('',(0.,-1.,0.)); #371723=DIRECTION('',(0.,-1.,0.)); #371724=DIRECTION('',(0.,0.,1.)); #371725=DIRECTION('center_axis',(0.,1.,0.)); #371726=DIRECTION('ref_axis',(-1.,0.,0.)); #371727=DIRECTION('',(-1.,0.,0.)); #371728=DIRECTION('',(-1.,0.,0.)); #371729=DIRECTION('center_axis',(0.,0.,1.)); #371730=DIRECTION('ref_axis',(1.,0.,0.)); #371731=DIRECTION('center_axis',(0.,0.,1.)); #371732=DIRECTION('ref_axis',(1.,0.,0.)); #371733=DIRECTION('center_axis',(0.,0.,1.)); #371734=DIRECTION('ref_axis',(1.,0.,0.)); #371735=DIRECTION('center_axis',(0.,0.,1.)); #371736=DIRECTION('ref_axis',(1.,0.,0.)); #371737=DIRECTION('',(0.,0.,1.)); #371738=DIRECTION('center_axis',(0.,0.,-1.)); #371739=DIRECTION('ref_axis',(1.,0.,0.)); #371740=DIRECTION('center_axis',(0.156447762252282,-0.987686234431894,0.)); #371741=DIRECTION('ref_axis',(0.987686234431894,0.156447762252282,0.)); #371742=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #371743=DIRECTION('',(0.,0.,1.)); #371744=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #371745=DIRECTION('',(0.,0.,1.)); #371746=DIRECTION('center_axis',(0.,0.,1.)); #371747=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371748=DIRECTION('center_axis',(0.,0.,1.)); #371749=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371750=DIRECTION('center_axis',(0.,0.,1.)); #371751=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #371752=DIRECTION('',(0.,0.,1.)); #371753=DIRECTION('center_axis',(0.,-1.,0.)); #371754=DIRECTION('ref_axis',(1.,0.,0.)); #371755=DIRECTION('',(1.,0.,0.)); #371756=DIRECTION('',(1.,0.,0.)); #371757=DIRECTION('',(0.,0.,1.)); #371758=DIRECTION('center_axis',(0.,0.,1.)); #371759=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #371760=DIRECTION('center_axis',(0.,0.,1.)); #371761=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #371762=DIRECTION('center_axis',(0.,0.,1.)); #371763=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #371764=DIRECTION('',(0.,0.,1.)); #371765=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #371766=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #371767=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371768=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371769=DIRECTION('',(0.,0.,1.)); #371770=DIRECTION('center_axis',(0.,-1.,0.)); #371771=DIRECTION('ref_axis',(1.,0.,0.)); #371772=DIRECTION('',(1.,0.,0.)); #371773=DIRECTION('',(1.,0.,0.)); #371774=DIRECTION('',(0.,0.,1.)); #371775=DIRECTION('center_axis',(0.,0.,1.)); #371776=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371777=DIRECTION('center_axis',(0.,0.,1.)); #371778=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371779=DIRECTION('center_axis',(0.,0.,1.)); #371780=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #371781=DIRECTION('',(0.,0.,1.)); #371782=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371783=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371784=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371785=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371786=DIRECTION('',(0.,0.,1.)); #371787=DIRECTION('center_axis',(0.,-1.,0.)); #371788=DIRECTION('ref_axis',(1.,0.,0.)); #371789=DIRECTION('',(1.,0.,0.)); #371790=DIRECTION('',(1.,0.,0.)); #371791=DIRECTION('',(0.,0.,1.)); #371792=DIRECTION('center_axis',(-1.,0.,0.)); #371793=DIRECTION('ref_axis',(0.,-1.,0.)); #371794=DIRECTION('',(0.,-1.,0.)); #371795=DIRECTION('',(0.,-1.,0.)); #371796=DIRECTION('',(0.,0.,1.)); #371797=DIRECTION('center_axis',(0.,1.,0.)); #371798=DIRECTION('ref_axis',(-1.,0.,0.)); #371799=DIRECTION('',(-1.,0.,0.)); #371800=DIRECTION('',(-1.,0.,0.)); #371801=DIRECTION('',(0.,0.,1.)); #371802=DIRECTION('center_axis',(1.,0.,0.)); #371803=DIRECTION('ref_axis',(0.,1.,0.)); #371804=DIRECTION('',(0.,1.,0.)); #371805=DIRECTION('',(0.,1.,0.)); #371806=DIRECTION('',(0.,0.,1.)); #371807=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #371808=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #371809=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #371810=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #371811=DIRECTION('',(0.,0.,1.)); #371812=DIRECTION('center_axis',(0.,1.,0.)); #371813=DIRECTION('ref_axis',(-1.,0.,0.)); #371814=DIRECTION('',(-1.,0.,0.)); #371815=DIRECTION('',(-1.,0.,0.)); #371816=DIRECTION('',(0.,0.,1.)); #371817=DIRECTION('center_axis',(0.,0.,1.)); #371818=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371819=DIRECTION('center_axis',(0.,0.,1.)); #371820=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371821=DIRECTION('center_axis',(0.,0.,1.)); #371822=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #371823=DIRECTION('',(0.,0.,1.)); #371824=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #371825=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #371826=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #371827=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #371828=DIRECTION('',(0.,0.,1.)); #371829=DIRECTION('center_axis',(0.,1.,0.)); #371830=DIRECTION('ref_axis',(-1.,0.,0.)); #371831=DIRECTION('',(-1.,0.,0.)); #371832=DIRECTION('',(-1.,0.,0.)); #371833=DIRECTION('',(0.,0.,1.)); #371834=DIRECTION('center_axis',(0.,0.,1.)); #371835=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #371836=DIRECTION('center_axis',(0.,0.,1.)); #371837=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #371838=DIRECTION('center_axis',(0.,0.,1.)); #371839=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #371840=DIRECTION('',(0.,0.,1.)); #371841=DIRECTION('center_axis',(0.,0.,1.)); #371842=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #371843=DIRECTION('center_axis',(0.,0.,1.)); #371844=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #371845=DIRECTION('center_axis',(0.,0.,1.)); #371846=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #371847=DIRECTION('center_axis',(0.,0.,1.)); #371848=DIRECTION('ref_axis',(1.,0.,0.)); #371849=DIRECTION('center_axis',(0.,0.,1.)); #371850=DIRECTION('ref_axis',(1.,0.,0.)); #371851=DIRECTION('center_axis',(0.,0.,1.)); #371852=DIRECTION('ref_axis',(1.,0.,0.)); #371853=DIRECTION('center_axis',(0.,0.,1.)); #371854=DIRECTION('ref_axis',(1.,0.,0.)); #371855=DIRECTION('',(0.,0.,1.)); #371856=DIRECTION('center_axis',(0.,0.,-1.)); #371857=DIRECTION('ref_axis',(1.,0.,0.)); #371858=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #371859=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #371860=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #371861=DIRECTION('',(0.,0.,1.)); #371862=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #371863=DIRECTION('',(0.,0.,1.)); #371864=DIRECTION('center_axis',(0.,0.,1.)); #371865=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #371866=DIRECTION('center_axis',(0.,0.,1.)); #371867=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #371868=DIRECTION('center_axis',(0.,0.,1.)); #371869=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #371870=DIRECTION('',(0.,0.,1.)); #371871=DIRECTION('center_axis',(-1.,0.,0.)); #371872=DIRECTION('ref_axis',(0.,-1.,0.)); #371873=DIRECTION('',(0.,-1.,0.)); #371874=DIRECTION('',(0.,-1.,0.)); #371875=DIRECTION('',(0.,0.,1.)); #371876=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #371877=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #371878=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #371879=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #371880=DIRECTION('',(0.,0.,1.)); #371881=DIRECTION('center_axis',(0.,0.,1.)); #371882=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #371883=DIRECTION('center_axis',(0.,0.,1.)); #371884=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #371885=DIRECTION('center_axis',(0.,0.,1.)); #371886=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #371887=DIRECTION('',(0.,0.,1.)); #371888=DIRECTION('center_axis',(-1.,0.,0.)); #371889=DIRECTION('ref_axis',(0.,-1.,0.)); #371890=DIRECTION('',(0.,-1.,0.)); #371891=DIRECTION('',(0.,-1.,0.)); #371892=DIRECTION('',(0.,0.,1.)); #371893=DIRECTION('center_axis',(0.,-1.,0.)); #371894=DIRECTION('ref_axis',(1.,0.,0.)); #371895=DIRECTION('',(1.,0.,0.)); #371896=DIRECTION('',(1.,0.,0.)); #371897=DIRECTION('',(0.,0.,1.)); #371898=DIRECTION('center_axis',(-1.,0.,0.)); #371899=DIRECTION('ref_axis',(0.,-1.,0.)); #371900=DIRECTION('',(0.,-1.,0.)); #371901=DIRECTION('',(0.,-1.,0.)); #371902=DIRECTION('',(0.,0.,1.)); #371903=DIRECTION('center_axis',(0.,1.,0.)); #371904=DIRECTION('ref_axis',(-1.,0.,0.)); #371905=DIRECTION('',(-1.,0.,0.)); #371906=DIRECTION('',(-1.,0.,0.)); #371907=DIRECTION('',(0.,0.,1.)); #371908=DIRECTION('center_axis',(1.,0.,0.)); #371909=DIRECTION('ref_axis',(0.,1.,0.)); #371910=DIRECTION('',(0.,1.,0.)); #371911=DIRECTION('',(0.,1.,0.)); #371912=DIRECTION('',(0.,0.,1.)); #371913=DIRECTION('center_axis',(0.,-1.,0.)); #371914=DIRECTION('ref_axis',(1.,0.,0.)); #371915=DIRECTION('',(1.,0.,0.)); #371916=DIRECTION('',(1.,0.,0.)); #371917=DIRECTION('',(0.,0.,1.)); #371918=DIRECTION('center_axis',(1.,0.,0.)); #371919=DIRECTION('ref_axis',(0.,1.,0.)); #371920=DIRECTION('',(0.,1.,0.)); #371921=DIRECTION('',(0.,1.,0.)); #371922=DIRECTION('',(0.,0.,1.)); #371923=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #371924=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #371925=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #371926=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #371927=DIRECTION('',(0.,0.,1.)); #371928=DIRECTION('center_axis',(0.,0.,1.)); #371929=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371930=DIRECTION('center_axis',(0.,0.,1.)); #371931=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371932=DIRECTION('center_axis',(0.,0.,1.)); #371933=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #371934=DIRECTION('',(0.,0.,1.)); #371935=DIRECTION('center_axis',(1.,0.,0.)); #371936=DIRECTION('ref_axis',(0.,1.,0.)); #371937=DIRECTION('',(0.,1.,0.)); #371938=DIRECTION('',(0.,1.,0.)); #371939=DIRECTION('',(0.,0.,1.)); #371940=DIRECTION('center_axis',(0.,0.,1.)); #371941=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #371942=DIRECTION('center_axis',(0.,0.,1.)); #371943=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #371944=DIRECTION('center_axis',(0.,0.,1.)); #371945=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #371946=DIRECTION('center_axis',(0.,0.,1.)); #371947=DIRECTION('ref_axis',(1.,0.,0.)); #371948=DIRECTION('center_axis',(0.,0.,1.)); #371949=DIRECTION('ref_axis',(1.,0.,0.)); #371950=DIRECTION('center_axis',(0.,0.,1.)); #371951=DIRECTION('ref_axis',(1.,0.,0.)); #371952=DIRECTION('center_axis',(0.,0.,1.)); #371953=DIRECTION('ref_axis',(1.,0.,0.)); #371954=DIRECTION('',(0.,0.,1.)); #371955=DIRECTION('center_axis',(0.,0.,-1.)); #371956=DIRECTION('ref_axis',(1.,0.,0.)); #371957=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #371958=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #371959=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #371960=DIRECTION('',(0.,0.,1.)); #371961=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #371962=DIRECTION('',(0.,0.,1.)); #371963=DIRECTION('center_axis',(0.,0.,1.)); #371964=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #371965=DIRECTION('center_axis',(0.,0.,1.)); #371966=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #371967=DIRECTION('center_axis',(0.,0.,1.)); #371968=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #371969=DIRECTION('',(0.,0.,1.)); #371970=DIRECTION('center_axis',(0.,0.,1.)); #371971=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #371972=DIRECTION('center_axis',(0.,0.,1.)); #371973=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #371974=DIRECTION('center_axis',(0.,0.,1.)); #371975=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #371976=DIRECTION('',(0.,0.,1.)); #371977=DIRECTION('center_axis',(0.,1.,0.)); #371978=DIRECTION('ref_axis',(-1.,0.,0.)); #371979=DIRECTION('',(-1.,0.,0.)); #371980=DIRECTION('',(-1.,0.,0.)); #371981=DIRECTION('',(0.,0.,1.)); #371982=DIRECTION('center_axis',(-0.707106781186547,0.707106781186549,0.)); #371983=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186546,0.)); #371984=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #371985=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #371986=DIRECTION('',(0.,0.,1.)); #371987=DIRECTION('center_axis',(-1.,0.,0.)); #371988=DIRECTION('ref_axis',(0.,-1.,0.)); #371989=DIRECTION('',(0.,-1.,0.)); #371990=DIRECTION('',(0.,-1.,0.)); #371991=DIRECTION('',(0.,0.,1.)); #371992=DIRECTION('center_axis',(0.,1.,0.)); #371993=DIRECTION('ref_axis',(-1.,0.,0.)); #371994=DIRECTION('',(-1.,0.,0.)); #371995=DIRECTION('',(-1.,0.,0.)); #371996=DIRECTION('',(0.,0.,1.)); #371997=DIRECTION('center_axis',(1.,0.,0.)); #371998=DIRECTION('ref_axis',(0.,1.,0.)); #371999=DIRECTION('',(0.,1.,0.)); #372000=DIRECTION('',(0.,1.,0.)); #372001=DIRECTION('',(0.,0.,1.)); #372002=DIRECTION('center_axis',(0.,-1.,0.)); #372003=DIRECTION('ref_axis',(1.,0.,0.)); #372004=DIRECTION('',(1.,0.,0.)); #372005=DIRECTION('',(1.,0.,0.)); #372006=DIRECTION('',(0.,0.,1.)); #372007=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372008=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372009=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372010=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372011=DIRECTION('',(0.,0.,1.)); #372012=DIRECTION('center_axis',(0.,0.,1.)); #372013=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #372014=DIRECTION('center_axis',(0.,0.,1.)); #372015=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #372016=DIRECTION('center_axis',(0.,0.,1.)); #372017=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #372018=DIRECTION('',(0.,0.,1.)); #372019=DIRECTION('center_axis',(0.,-1.,0.)); #372020=DIRECTION('ref_axis',(1.,0.,0.)); #372021=DIRECTION('',(1.,0.,0.)); #372022=DIRECTION('',(1.,0.,0.)); #372023=DIRECTION('',(0.,0.,1.)); #372024=DIRECTION('center_axis',(0.,0.,1.)); #372025=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #372026=DIRECTION('center_axis',(0.,0.,1.)); #372027=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #372028=DIRECTION('center_axis',(0.,0.,1.)); #372029=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #372030=DIRECTION('center_axis',(0.,0.,1.)); #372031=DIRECTION('ref_axis',(1.,0.,0.)); #372032=DIRECTION('center_axis',(0.,0.,1.)); #372033=DIRECTION('ref_axis',(1.,0.,0.)); #372034=DIRECTION('center_axis',(0.,0.,1.)); #372035=DIRECTION('ref_axis',(1.,0.,0.)); #372036=DIRECTION('center_axis',(0.,0.,1.)); #372037=DIRECTION('ref_axis',(1.,0.,0.)); #372038=DIRECTION('',(0.,0.,1.)); #372039=DIRECTION('center_axis',(0.,0.,-1.)); #372040=DIRECTION('ref_axis',(1.,0.,0.)); #372041=DIRECTION('center_axis',(1.,0.,0.)); #372042=DIRECTION('ref_axis',(0.,1.,0.)); #372043=DIRECTION('',(0.,1.,0.)); #372044=DIRECTION('',(0.,0.,1.)); #372045=DIRECTION('',(0.,1.,0.)); #372046=DIRECTION('',(0.,0.,1.)); #372047=DIRECTION('center_axis',(0.,-1.,0.)); #372048=DIRECTION('ref_axis',(1.,0.,0.)); #372049=DIRECTION('',(1.,0.,0.)); #372050=DIRECTION('',(1.,0.,0.)); #372051=DIRECTION('',(0.,0.,1.)); #372052=DIRECTION('center_axis',(-1.,0.,0.)); #372053=DIRECTION('ref_axis',(0.,-1.,0.)); #372054=DIRECTION('',(0.,-1.,0.)); #372055=DIRECTION('',(0.,-1.,0.)); #372056=DIRECTION('',(0.,0.,1.)); #372057=DIRECTION('center_axis',(0.,1.,0.)); #372058=DIRECTION('ref_axis',(-1.,0.,0.)); #372059=DIRECTION('',(-1.,0.,0.)); #372060=DIRECTION('',(-1.,0.,0.)); #372061=DIRECTION('',(0.,0.,1.)); #372062=DIRECTION('center_axis',(-1.,0.,0.)); #372063=DIRECTION('ref_axis',(0.,-1.,0.)); #372064=DIRECTION('',(0.,-1.,0.)); #372065=DIRECTION('',(0.,-1.,0.)); #372066=DIRECTION('',(0.,0.,1.)); #372067=DIRECTION('center_axis',(0.,0.,1.)); #372068=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372069=DIRECTION('center_axis',(0.,0.,1.)); #372070=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372071=DIRECTION('center_axis',(0.,0.,1.)); #372072=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372073=DIRECTION('',(0.,0.,1.)); #372074=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #372075=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #372076=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372077=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372078=DIRECTION('',(0.,0.,1.)); #372079=DIRECTION('center_axis',(-1.,0.,0.)); #372080=DIRECTION('ref_axis',(0.,-1.,0.)); #372081=DIRECTION('',(0.,-1.,0.)); #372082=DIRECTION('',(0.,-1.,0.)); #372083=DIRECTION('',(0.,0.,1.)); #372084=DIRECTION('center_axis',(0.,0.,1.)); #372085=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372086=DIRECTION('center_axis',(0.,0.,1.)); #372087=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372088=DIRECTION('center_axis',(0.,0.,1.)); #372089=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #372090=DIRECTION('',(0.,0.,1.)); #372091=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #372092=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #372093=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #372094=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #372095=DIRECTION('',(0.,0.,1.)); #372096=DIRECTION('center_axis',(0.,0.,1.)); #372097=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #372098=DIRECTION('center_axis',(0.,0.,1.)); #372099=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #372100=DIRECTION('center_axis',(0.,0.,1.)); #372101=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #372102=DIRECTION('',(0.,0.,1.)); #372103=DIRECTION('center_axis',(0.,0.,1.)); #372104=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #372105=DIRECTION('center_axis',(0.,0.,1.)); #372106=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #372107=DIRECTION('center_axis',(0.,0.,1.)); #372108=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #372109=DIRECTION('',(0.,0.,1.)); #372110=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #372111=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #372112=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #372113=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #372114=DIRECTION('',(0.,0.,1.)); #372115=DIRECTION('center_axis',(1.,0.,0.)); #372116=DIRECTION('ref_axis',(0.,1.,0.)); #372117=DIRECTION('',(0.,1.,0.)); #372118=DIRECTION('',(0.,1.,0.)); #372119=DIRECTION('',(0.,0.,1.)); #372120=DIRECTION('center_axis',(0.,0.,1.)); #372121=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #372122=DIRECTION('center_axis',(0.,0.,1.)); #372123=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #372124=DIRECTION('center_axis',(0.,0.,1.)); #372125=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #372126=DIRECTION('',(0.,0.,1.)); #372127=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372128=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372129=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372130=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372131=DIRECTION('',(0.,0.,1.)); #372132=DIRECTION('center_axis',(1.,0.,0.)); #372133=DIRECTION('ref_axis',(0.,1.,0.)); #372134=DIRECTION('',(0.,1.,0.)); #372135=DIRECTION('',(0.,1.,0.)); #372136=DIRECTION('',(0.,0.,1.)); #372137=DIRECTION('center_axis',(0.,1.,0.)); #372138=DIRECTION('ref_axis',(-1.,0.,0.)); #372139=DIRECTION('',(-1.,0.,0.)); #372140=DIRECTION('',(-1.,0.,0.)); #372141=DIRECTION('center_axis',(0.,0.,1.)); #372142=DIRECTION('ref_axis',(1.,0.,0.)); #372143=DIRECTION('center_axis',(0.,0.,1.)); #372144=DIRECTION('ref_axis',(1.,0.,0.)); #372145=DIRECTION('center_axis',(0.,0.,1.)); #372146=DIRECTION('ref_axis',(1.,0.,0.)); #372147=DIRECTION('center_axis',(0.,0.,1.)); #372148=DIRECTION('ref_axis',(1.,0.,0.)); #372149=DIRECTION('',(0.,0.,1.)); #372150=DIRECTION('center_axis',(0.,0.,-1.)); #372151=DIRECTION('ref_axis',(1.,0.,0.)); #372152=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #372153=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #372154=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #372155=DIRECTION('',(0.,0.,1.)); #372156=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #372157=DIRECTION('',(0.,0.,1.)); #372158=DIRECTION('center_axis',(0.,0.,1.)); #372159=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #372160=DIRECTION('center_axis',(0.,0.,1.)); #372161=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #372162=DIRECTION('center_axis',(0.,0.,1.)); #372163=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #372164=DIRECTION('',(0.,0.,1.)); #372165=DIRECTION('center_axis',(0.,-1.,0.)); #372166=DIRECTION('ref_axis',(1.,0.,0.)); #372167=DIRECTION('',(1.,0.,0.)); #372168=DIRECTION('',(1.,0.,0.)); #372169=DIRECTION('',(0.,0.,1.)); #372170=DIRECTION('center_axis',(0.,0.,1.)); #372171=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #372172=DIRECTION('center_axis',(0.,0.,1.)); #372173=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #372174=DIRECTION('center_axis',(0.,0.,1.)); #372175=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #372176=DIRECTION('',(0.,0.,1.)); #372177=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #372178=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #372179=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #372180=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #372181=DIRECTION('',(0.,0.,1.)); #372182=DIRECTION('center_axis',(0.,-1.,0.)); #372183=DIRECTION('ref_axis',(1.,0.,0.)); #372184=DIRECTION('',(1.,0.,0.)); #372185=DIRECTION('',(1.,0.,0.)); #372186=DIRECTION('',(0.,0.,1.)); #372187=DIRECTION('center_axis',(-1.,0.,0.)); #372188=DIRECTION('ref_axis',(0.,-1.,0.)); #372189=DIRECTION('',(0.,-1.,0.)); #372190=DIRECTION('',(0.,-1.,0.)); #372191=DIRECTION('',(0.,0.,1.)); #372192=DIRECTION('center_axis',(0.,1.,0.)); #372193=DIRECTION('ref_axis',(-1.,0.,0.)); #372194=DIRECTION('',(-1.,0.,0.)); #372195=DIRECTION('',(-1.,0.,0.)); #372196=DIRECTION('',(0.,0.,1.)); #372197=DIRECTION('center_axis',(1.,0.,0.)); #372198=DIRECTION('ref_axis',(0.,1.,0.)); #372199=DIRECTION('',(0.,1.,0.)); #372200=DIRECTION('',(0.,1.,0.)); #372201=DIRECTION('',(0.,0.,1.)); #372202=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #372203=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #372204=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372205=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372206=DIRECTION('',(0.,0.,1.)); #372207=DIRECTION('center_axis',(0.,1.,0.)); #372208=DIRECTION('ref_axis',(-1.,0.,0.)); #372209=DIRECTION('',(-1.,0.,0.)); #372210=DIRECTION('',(-1.,0.,0.)); #372211=DIRECTION('',(0.,0.,1.)); #372212=DIRECTION('center_axis',(0.,0.,1.)); #372213=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #372214=DIRECTION('center_axis',(0.,0.,1.)); #372215=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #372216=DIRECTION('center_axis',(0.,0.,1.)); #372217=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #372218=DIRECTION('',(0.,0.,1.)); #372219=DIRECTION('center_axis',(0.,0.,1.)); #372220=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #372221=DIRECTION('center_axis',(0.,0.,1.)); #372222=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #372223=DIRECTION('center_axis',(0.,0.,1.)); #372224=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #372225=DIRECTION('center_axis',(0.,0.,1.)); #372226=DIRECTION('ref_axis',(1.,0.,0.)); #372227=DIRECTION('center_axis',(0.,0.,1.)); #372228=DIRECTION('ref_axis',(1.,0.,0.)); #372229=DIRECTION('center_axis',(0.,0.,1.)); #372230=DIRECTION('ref_axis',(1.,0.,0.)); #372231=DIRECTION('center_axis',(0.,0.,1.)); #372232=DIRECTION('ref_axis',(1.,0.,0.)); #372233=DIRECTION('',(0.,0.,1.)); #372234=DIRECTION('center_axis',(0.,0.,-1.)); #372235=DIRECTION('ref_axis',(1.,0.,0.)); #372236=DIRECTION('center_axis',(1.,0.,0.)); #372237=DIRECTION('ref_axis',(0.,1.,0.)); #372238=DIRECTION('',(0.,1.,0.)); #372239=DIRECTION('',(0.,0.,1.)); #372240=DIRECTION('',(0.,1.,0.)); #372241=DIRECTION('',(0.,0.,1.)); #372242=DIRECTION('center_axis',(0.,-1.,0.)); #372243=DIRECTION('ref_axis',(1.,0.,0.)); #372244=DIRECTION('',(1.,0.,0.)); #372245=DIRECTION('',(1.,0.,0.)); #372246=DIRECTION('',(0.,0.,1.)); #372247=DIRECTION('center_axis',(-1.,0.,0.)); #372248=DIRECTION('ref_axis',(0.,-1.,0.)); #372249=DIRECTION('',(0.,-1.,0.)); #372250=DIRECTION('',(0.,-1.,0.)); #372251=DIRECTION('',(0.,0.,1.)); #372252=DIRECTION('center_axis',(0.,1.,0.)); #372253=DIRECTION('ref_axis',(-1.,0.,0.)); #372254=DIRECTION('',(-1.,0.,0.)); #372255=DIRECTION('',(-1.,0.,0.)); #372256=DIRECTION('',(0.,0.,1.)); #372257=DIRECTION('center_axis',(-1.,0.,0.)); #372258=DIRECTION('ref_axis',(0.,-1.,0.)); #372259=DIRECTION('',(0.,-1.,0.)); #372260=DIRECTION('',(0.,-1.,0.)); #372261=DIRECTION('',(0.,0.,1.)); #372262=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372263=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372264=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372265=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372266=DIRECTION('',(0.,0.,1.)); #372267=DIRECTION('center_axis',(0.,0.,1.)); #372268=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372269=DIRECTION('center_axis',(0.,0.,1.)); #372270=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372271=DIRECTION('center_axis',(0.,0.,1.)); #372272=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372273=DIRECTION('',(0.,0.,1.)); #372274=DIRECTION('center_axis',(-1.,0.,0.)); #372275=DIRECTION('ref_axis',(0.,-1.,0.)); #372276=DIRECTION('',(0.,-1.,0.)); #372277=DIRECTION('',(0.,-1.,0.)); #372278=DIRECTION('',(0.,0.,1.)); #372279=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #372280=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #372281=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #372282=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #372283=DIRECTION('',(0.,0.,1.)); #372284=DIRECTION('center_axis',(0.,0.,1.)); #372285=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #372286=DIRECTION('center_axis',(0.,0.,1.)); #372287=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #372288=DIRECTION('center_axis',(0.,0.,1.)); #372289=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #372290=DIRECTION('',(0.,0.,1.)); #372291=DIRECTION('center_axis',(0.,0.,1.)); #372292=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #372293=DIRECTION('center_axis',(0.,0.,1.)); #372294=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #372295=DIRECTION('center_axis',(0.,0.,1.)); #372296=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #372297=DIRECTION('',(0.,0.,1.)); #372298=DIRECTION('center_axis',(0.707106962262367,0.707106600110681,0.)); #372299=DIRECTION('ref_axis',(-0.707106600110681,0.707106962262367,0.)); #372300=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #372301=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #372302=DIRECTION('',(0.,0.,1.)); #372303=DIRECTION('center_axis',(0.,0.,1.)); #372304=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372305=DIRECTION('center_axis',(0.,0.,1.)); #372306=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372307=DIRECTION('center_axis',(0.,0.,1.)); #372308=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372309=DIRECTION('',(0.,0.,1.)); #372310=DIRECTION('center_axis',(1.,0.,0.)); #372311=DIRECTION('ref_axis',(0.,1.,0.)); #372312=DIRECTION('',(0.,1.,0.)); #372313=DIRECTION('',(0.,1.,0.)); #372314=DIRECTION('',(0.,0.,1.)); #372315=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #372316=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #372317=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #372318=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #372319=DIRECTION('',(0.,0.,1.)); #372320=DIRECTION('center_axis',(0.,0.,1.)); #372321=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #372322=DIRECTION('center_axis',(0.,0.,1.)); #372323=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #372324=DIRECTION('center_axis',(0.,0.,1.)); #372325=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #372326=DIRECTION('',(0.,0.,1.)); #372327=DIRECTION('center_axis',(1.,0.,0.)); #372328=DIRECTION('ref_axis',(0.,1.,0.)); #372329=DIRECTION('',(0.,1.,0.)); #372330=DIRECTION('',(0.,1.,0.)); #372331=DIRECTION('',(0.,0.,1.)); #372332=DIRECTION('center_axis',(0.,1.,0.)); #372333=DIRECTION('ref_axis',(-1.,0.,0.)); #372334=DIRECTION('',(-1.,0.,0.)); #372335=DIRECTION('',(-1.,0.,0.)); #372336=DIRECTION('center_axis',(0.,0.,1.)); #372337=DIRECTION('ref_axis',(1.,0.,0.)); #372338=DIRECTION('center_axis',(0.,0.,1.)); #372339=DIRECTION('ref_axis',(1.,0.,0.)); #372340=DIRECTION('center_axis',(0.,0.,1.)); #372341=DIRECTION('ref_axis',(1.,0.,0.)); #372342=DIRECTION('center_axis',(0.,0.,1.)); #372343=DIRECTION('ref_axis',(1.,0.,0.)); #372344=DIRECTION('',(0.,0.,1.)); #372345=DIRECTION('center_axis',(0.,0.,-1.)); #372346=DIRECTION('ref_axis',(1.,0.,0.)); #372347=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #372348=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #372349=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #372350=DIRECTION('',(0.,0.,1.)); #372351=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #372352=DIRECTION('',(0.,0.,1.)); #372353=DIRECTION('center_axis',(0.,0.,1.)); #372354=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #372355=DIRECTION('center_axis',(0.,0.,1.)); #372356=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #372357=DIRECTION('center_axis',(0.,0.,1.)); #372358=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #372359=DIRECTION('',(0.,0.,1.)); #372360=DIRECTION('center_axis',(0.,-1.,0.)); #372361=DIRECTION('ref_axis',(1.,0.,0.)); #372362=DIRECTION('',(1.,0.,0.)); #372363=DIRECTION('',(1.,0.,0.)); #372364=DIRECTION('',(0.,0.,1.)); #372365=DIRECTION('center_axis',(1.,0.,0.)); #372366=DIRECTION('ref_axis',(0.,1.,0.)); #372367=DIRECTION('',(0.,1.,0.)); #372368=DIRECTION('',(0.,1.,0.)); #372369=DIRECTION('',(0.,0.,1.)); #372370=DIRECTION('center_axis',(0.,-1.,0.)); #372371=DIRECTION('ref_axis',(1.,0.,0.)); #372372=DIRECTION('',(1.,0.,0.)); #372373=DIRECTION('',(1.,0.,0.)); #372374=DIRECTION('',(0.,0.,1.)); #372375=DIRECTION('center_axis',(-1.,0.,0.)); #372376=DIRECTION('ref_axis',(0.,-1.,0.)); #372377=DIRECTION('',(0.,-1.,0.)); #372378=DIRECTION('',(0.,-1.,0.)); #372379=DIRECTION('',(0.,0.,1.)); #372380=DIRECTION('center_axis',(0.,1.,0.)); #372381=DIRECTION('ref_axis',(-1.,0.,0.)); #372382=DIRECTION('',(-1.,0.,0.)); #372383=DIRECTION('',(-1.,0.,0.)); #372384=DIRECTION('',(0.,0.,1.)); #372385=DIRECTION('center_axis',(1.,0.,0.)); #372386=DIRECTION('ref_axis',(0.,1.,0.)); #372387=DIRECTION('',(0.,1.,0.)); #372388=DIRECTION('',(0.,1.,0.)); #372389=DIRECTION('',(0.,0.,1.)); #372390=DIRECTION('center_axis',(0.,1.,0.)); #372391=DIRECTION('ref_axis',(-1.,0.,0.)); #372392=DIRECTION('',(-1.,0.,0.)); #372393=DIRECTION('',(-1.,0.,0.)); #372394=DIRECTION('',(0.,0.,1.)); #372395=DIRECTION('center_axis',(0.,0.,1.)); #372396=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #372397=DIRECTION('center_axis',(0.,0.,1.)); #372398=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #372399=DIRECTION('center_axis',(0.,0.,1.)); #372400=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #372401=DIRECTION('',(0.,0.,1.)); #372402=DIRECTION('center_axis',(0.,0.,1.)); #372403=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #372404=DIRECTION('center_axis',(0.,0.,1.)); #372405=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #372406=DIRECTION('center_axis',(0.,0.,1.)); #372407=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #372408=DIRECTION('center_axis',(0.,0.,1.)); #372409=DIRECTION('ref_axis',(1.,0.,0.)); #372410=DIRECTION('center_axis',(0.,0.,1.)); #372411=DIRECTION('ref_axis',(1.,0.,0.)); #372412=DIRECTION('center_axis',(0.,0.,1.)); #372413=DIRECTION('ref_axis',(1.,0.,0.)); #372414=DIRECTION('center_axis',(0.,0.,1.)); #372415=DIRECTION('ref_axis',(1.,0.,0.)); #372416=DIRECTION('',(0.,0.,1.)); #372417=DIRECTION('center_axis',(0.,0.,-1.)); #372418=DIRECTION('ref_axis',(1.,0.,0.)); #372419=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #372420=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #372421=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #372422=DIRECTION('',(0.,0.,1.)); #372423=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #372424=DIRECTION('',(0.,0.,1.)); #372425=DIRECTION('center_axis',(0.,0.,1.)); #372426=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #372427=DIRECTION('center_axis',(0.,0.,1.)); #372428=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #372429=DIRECTION('center_axis',(0.,0.,1.)); #372430=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #372431=DIRECTION('',(0.,0.,1.)); #372432=DIRECTION('center_axis',(0.,0.,1.)); #372433=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #372434=DIRECTION('center_axis',(0.,0.,1.)); #372435=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #372436=DIRECTION('center_axis',(0.,0.,1.)); #372437=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #372438=DIRECTION('',(0.,0.,1.)); #372439=DIRECTION('center_axis',(0.,1.,0.)); #372440=DIRECTION('ref_axis',(-1.,0.,0.)); #372441=DIRECTION('',(-1.,0.,0.)); #372442=DIRECTION('',(-1.,0.,0.)); #372443=DIRECTION('',(0.,0.,1.)); #372444=DIRECTION('center_axis',(-1.,0.,0.)); #372445=DIRECTION('ref_axis',(0.,-1.,0.)); #372446=DIRECTION('',(0.,-1.,0.)); #372447=DIRECTION('',(0.,-1.,0.)); #372448=DIRECTION('',(0.,0.,1.)); #372449=DIRECTION('center_axis',(0.,1.,0.)); #372450=DIRECTION('ref_axis',(-1.,0.,0.)); #372451=DIRECTION('',(-1.,0.,0.)); #372452=DIRECTION('',(-1.,0.,0.)); #372453=DIRECTION('',(0.,0.,1.)); #372454=DIRECTION('center_axis',(1.,0.,0.)); #372455=DIRECTION('ref_axis',(0.,1.,0.)); #372456=DIRECTION('',(0.,1.,0.)); #372457=DIRECTION('',(0.,1.,0.)); #372458=DIRECTION('',(0.,0.,1.)); #372459=DIRECTION('center_axis',(0.,-1.,0.)); #372460=DIRECTION('ref_axis',(1.,0.,0.)); #372461=DIRECTION('',(1.,0.,0.)); #372462=DIRECTION('',(1.,0.,0.)); #372463=DIRECTION('',(0.,0.,1.)); #372464=DIRECTION('center_axis',(-1.,0.,0.)); #372465=DIRECTION('ref_axis',(0.,-1.,0.)); #372466=DIRECTION('',(0.,-1.,0.)); #372467=DIRECTION('',(0.,-1.,0.)); #372468=DIRECTION('',(0.,0.,1.)); #372469=DIRECTION('center_axis',(0.,-1.,0.)); #372470=DIRECTION('ref_axis',(1.,0.,0.)); #372471=DIRECTION('',(1.,0.,0.)); #372472=DIRECTION('',(1.,0.,0.)); #372473=DIRECTION('',(0.,0.,1.)); #372474=DIRECTION('center_axis',(0.,0.,1.)); #372475=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #372476=DIRECTION('center_axis',(0.,0.,1.)); #372477=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #372478=DIRECTION('center_axis',(0.,0.,1.)); #372479=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #372480=DIRECTION('center_axis',(0.,0.,1.)); #372481=DIRECTION('ref_axis',(1.,0.,0.)); #372482=DIRECTION('center_axis',(0.,0.,1.)); #372483=DIRECTION('ref_axis',(1.,0.,0.)); #372484=DIRECTION('center_axis',(0.,0.,1.)); #372485=DIRECTION('ref_axis',(1.,0.,0.)); #372486=DIRECTION('center_axis',(0.,0.,1.)); #372487=DIRECTION('ref_axis',(1.,0.,0.)); #372488=DIRECTION('',(0.,0.,1.)); #372489=DIRECTION('center_axis',(0.,0.,-1.)); #372490=DIRECTION('ref_axis',(1.,0.,0.)); #372491=DIRECTION('center_axis',(1.,0.,0.)); #372492=DIRECTION('ref_axis',(0.,1.,0.)); #372493=DIRECTION('',(0.,1.,0.)); #372494=DIRECTION('',(0.,0.,1.)); #372495=DIRECTION('',(0.,1.,0.)); #372496=DIRECTION('',(0.,0.,1.)); #372497=DIRECTION('center_axis',(0.,-1.,0.)); #372498=DIRECTION('ref_axis',(1.,0.,0.)); #372499=DIRECTION('',(1.,0.,0.)); #372500=DIRECTION('',(1.,0.,0.)); #372501=DIRECTION('',(0.,0.,1.)); #372502=DIRECTION('center_axis',(-1.,0.,0.)); #372503=DIRECTION('ref_axis',(0.,-1.,0.)); #372504=DIRECTION('',(0.,-1.,0.)); #372505=DIRECTION('',(0.,-1.,0.)); #372506=DIRECTION('',(0.,0.,1.)); #372507=DIRECTION('center_axis',(0.,1.,0.)); #372508=DIRECTION('ref_axis',(-1.,0.,0.)); #372509=DIRECTION('',(-1.,0.,0.)); #372510=DIRECTION('',(-1.,0.,0.)); #372511=DIRECTION('',(0.,0.,1.)); #372512=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #372513=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #372514=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372515=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372516=DIRECTION('',(0.,0.,1.)); #372517=DIRECTION('center_axis',(0.,0.,1.)); #372518=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #372519=DIRECTION('center_axis',(0.,0.,1.)); #372520=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #372521=DIRECTION('center_axis',(0.,0.,1.)); #372522=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #372523=DIRECTION('',(0.,0.,1.)); #372524=DIRECTION('center_axis',(0.,1.,0.)); #372525=DIRECTION('ref_axis',(-1.,0.,0.)); #372526=DIRECTION('',(-1.,0.,0.)); #372527=DIRECTION('',(-1.,0.,0.)); #372528=DIRECTION('',(0.,0.,1.)); #372529=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #372530=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #372531=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #372532=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #372533=DIRECTION('',(0.,0.,1.)); #372534=DIRECTION('center_axis',(-1.,0.,0.)); #372535=DIRECTION('ref_axis',(0.,-1.,0.)); #372536=DIRECTION('',(0.,-1.,0.)); #372537=DIRECTION('',(0.,-1.,0.)); #372538=DIRECTION('',(0.,0.,1.)); #372539=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #372540=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #372541=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #372542=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #372543=DIRECTION('',(0.,0.,1.)); #372544=DIRECTION('center_axis',(0.,-1.,0.)); #372545=DIRECTION('ref_axis',(1.,0.,0.)); #372546=DIRECTION('',(1.,0.,0.)); #372547=DIRECTION('',(1.,0.,0.)); #372548=DIRECTION('',(0.,0.,1.)); #372549=DIRECTION('center_axis',(0.,0.,1.)); #372550=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #372551=DIRECTION('center_axis',(0.,0.,1.)); #372552=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #372553=DIRECTION('center_axis',(0.,0.,1.)); #372554=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #372555=DIRECTION('',(0.,0.,1.)); #372556=DIRECTION('center_axis',(0.,0.,1.)); #372557=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #372558=DIRECTION('center_axis',(0.,0.,1.)); #372559=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #372560=DIRECTION('center_axis',(0.,0.,1.)); #372561=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #372562=DIRECTION('',(0.,0.,1.)); #372563=DIRECTION('center_axis',(0.,1.,0.)); #372564=DIRECTION('ref_axis',(-1.,0.,0.)); #372565=DIRECTION('',(-1.,0.,0.)); #372566=DIRECTION('',(-1.,0.,0.)); #372567=DIRECTION('',(0.,0.,1.)); #372568=DIRECTION('center_axis',(0.,0.,1.)); #372569=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372570=DIRECTION('center_axis',(0.,0.,1.)); #372571=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372572=DIRECTION('center_axis',(0.,0.,1.)); #372573=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372574=DIRECTION('',(0.,0.,1.)); #372575=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372576=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372577=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372578=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372579=DIRECTION('',(0.,0.,1.)); #372580=DIRECTION('center_axis',(0.,0.,1.)); #372581=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372582=DIRECTION('center_axis',(0.,0.,1.)); #372583=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372584=DIRECTION('center_axis',(0.,0.,1.)); #372585=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372586=DIRECTION('',(0.,0.,1.)); #372587=DIRECTION('center_axis',(1.,0.,0.)); #372588=DIRECTION('ref_axis',(0.,1.,0.)); #372589=DIRECTION('',(0.,1.,0.)); #372590=DIRECTION('',(0.,1.,0.)); #372591=DIRECTION('',(0.,0.,1.)); #372592=DIRECTION('center_axis',(0.,0.,1.)); #372593=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #372594=DIRECTION('center_axis',(0.,0.,1.)); #372595=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #372596=DIRECTION('center_axis',(0.,0.,1.)); #372597=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #372598=DIRECTION('',(0.,0.,1.)); #372599=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372600=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372601=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372602=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372603=DIRECTION('',(0.,0.,1.)); #372604=DIRECTION('center_axis',(0.,0.,1.)); #372605=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #372606=DIRECTION('center_axis',(0.,0.,1.)); #372607=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #372608=DIRECTION('center_axis',(0.,0.,1.)); #372609=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #372610=DIRECTION('',(0.,0.,1.)); #372611=DIRECTION('center_axis',(0.,-1.,0.)); #372612=DIRECTION('ref_axis',(1.,0.,0.)); #372613=DIRECTION('',(1.,0.,0.)); #372614=DIRECTION('',(1.,0.,0.)); #372615=DIRECTION('',(0.,0.,1.)); #372616=DIRECTION('center_axis',(0.707106781186552,-0.707106781186543,0.)); #372617=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #372618=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #372619=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #372620=DIRECTION('center_axis',(0.,0.,1.)); #372621=DIRECTION('ref_axis',(1.,0.,0.)); #372622=DIRECTION('center_axis',(0.,0.,1.)); #372623=DIRECTION('ref_axis',(1.,0.,0.)); #372624=DIRECTION('center_axis',(0.,0.,1.)); #372625=DIRECTION('ref_axis',(1.,0.,0.)); #372626=DIRECTION('center_axis',(0.,0.,1.)); #372627=DIRECTION('ref_axis',(1.,0.,0.)); #372628=DIRECTION('',(0.,0.,1.)); #372629=DIRECTION('center_axis',(0.,0.,-1.)); #372630=DIRECTION('ref_axis',(1.,0.,0.)); #372631=DIRECTION('center_axis',(1.,0.,0.)); #372632=DIRECTION('ref_axis',(0.,1.,0.)); #372633=DIRECTION('',(0.,1.,0.)); #372634=DIRECTION('',(0.,0.,1.)); #372635=DIRECTION('',(0.,1.,0.)); #372636=DIRECTION('',(0.,0.,1.)); #372637=DIRECTION('center_axis',(0.,-1.,0.)); #372638=DIRECTION('ref_axis',(1.,0.,0.)); #372639=DIRECTION('',(1.,0.,0.)); #372640=DIRECTION('',(1.,0.,0.)); #372641=DIRECTION('',(0.,0.,1.)); #372642=DIRECTION('center_axis',(-1.,0.,0.)); #372643=DIRECTION('ref_axis',(0.,-1.,0.)); #372644=DIRECTION('',(0.,-1.,0.)); #372645=DIRECTION('',(0.,-1.,0.)); #372646=DIRECTION('',(0.,0.,1.)); #372647=DIRECTION('center_axis',(0.,1.,0.)); #372648=DIRECTION('ref_axis',(-1.,0.,0.)); #372649=DIRECTION('',(-1.,0.,0.)); #372650=DIRECTION('',(-1.,0.,0.)); #372651=DIRECTION('',(0.,0.,1.)); #372652=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #372653=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #372654=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #372655=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #372656=DIRECTION('',(0.,0.,1.)); #372657=DIRECTION('center_axis',(-1.,0.,0.)); #372658=DIRECTION('ref_axis',(0.,-1.,0.)); #372659=DIRECTION('',(0.,-1.,0.)); #372660=DIRECTION('',(0.,-1.,0.)); #372661=DIRECTION('',(0.,0.,1.)); #372662=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #372663=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #372664=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #372665=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #372666=DIRECTION('',(0.,0.,1.)); #372667=DIRECTION('center_axis',(0.,-1.,0.)); #372668=DIRECTION('ref_axis',(1.,0.,0.)); #372669=DIRECTION('',(1.,0.,0.)); #372670=DIRECTION('',(1.,0.,0.)); #372671=DIRECTION('',(0.,0.,1.)); #372672=DIRECTION('center_axis',(0.,0.,1.)); #372673=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #372674=DIRECTION('center_axis',(0.,0.,1.)); #372675=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #372676=DIRECTION('center_axis',(0.,0.,1.)); #372677=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #372678=DIRECTION('',(0.,0.,1.)); #372679=DIRECTION('center_axis',(0.,0.,1.)); #372680=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #372681=DIRECTION('center_axis',(0.,0.,1.)); #372682=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #372683=DIRECTION('center_axis',(0.,0.,1.)); #372684=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #372685=DIRECTION('',(0.,0.,1.)); #372686=DIRECTION('center_axis',(0.,1.,0.)); #372687=DIRECTION('ref_axis',(-1.,0.,0.)); #372688=DIRECTION('',(-1.,0.,0.)); #372689=DIRECTION('',(-1.,0.,0.)); #372690=DIRECTION('',(0.,0.,1.)); #372691=DIRECTION('center_axis',(0.,0.,1.)); #372692=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372693=DIRECTION('center_axis',(0.,0.,1.)); #372694=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372695=DIRECTION('center_axis',(0.,0.,1.)); #372696=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #372697=DIRECTION('',(0.,0.,1.)); #372698=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #372699=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #372700=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #372701=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #372702=DIRECTION('',(0.,0.,1.)); #372703=DIRECTION('center_axis',(0.,0.,1.)); #372704=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372705=DIRECTION('center_axis',(0.,0.,1.)); #372706=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372707=DIRECTION('center_axis',(0.,0.,1.)); #372708=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #372709=DIRECTION('',(0.,0.,1.)); #372710=DIRECTION('center_axis',(1.,0.,0.)); #372711=DIRECTION('ref_axis',(0.,1.,0.)); #372712=DIRECTION('',(0.,1.,0.)); #372713=DIRECTION('',(0.,1.,0.)); #372714=DIRECTION('',(0.,0.,1.)); #372715=DIRECTION('center_axis',(0.,0.,1.)); #372716=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #372717=DIRECTION('center_axis',(0.,0.,1.)); #372718=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #372719=DIRECTION('center_axis',(0.,0.,1.)); #372720=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #372721=DIRECTION('',(0.,0.,1.)); #372722=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #372723=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #372724=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #372725=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #372726=DIRECTION('center_axis',(0.,0.,1.)); #372727=DIRECTION('ref_axis',(1.,0.,0.)); #372728=DIRECTION('center_axis',(0.,0.,1.)); #372729=DIRECTION('ref_axis',(1.,0.,0.)); #372730=DIRECTION('center_axis',(0.,0.,1.)); #372731=DIRECTION('ref_axis',(1.,0.,0.)); #372732=DIRECTION('center_axis',(0.,0.,1.)); #372733=DIRECTION('ref_axis',(1.,0.,0.)); #372734=DIRECTION('',(0.,0.,1.)); #372735=DIRECTION('center_axis',(0.,0.,-1.)); #372736=DIRECTION('ref_axis',(1.,0.,0.)); #372737=DIRECTION('center_axis',(1.,0.,0.)); #372738=DIRECTION('ref_axis',(0.,1.,0.)); #372739=DIRECTION('',(0.,1.,0.)); #372740=DIRECTION('',(0.,0.,1.)); #372741=DIRECTION('',(0.,1.,0.)); #372742=DIRECTION('',(0.,0.,1.)); #372743=DIRECTION('center_axis',(0.,-1.,0.)); #372744=DIRECTION('ref_axis',(1.,0.,0.)); #372745=DIRECTION('',(1.,0.,0.)); #372746=DIRECTION('',(1.,0.,0.)); #372747=DIRECTION('',(0.,0.,1.)); #372748=DIRECTION('center_axis',(-1.,0.,0.)); #372749=DIRECTION('ref_axis',(0.,-1.,0.)); #372750=DIRECTION('',(0.,-1.,0.)); #372751=DIRECTION('',(0.,-1.,0.)); #372752=DIRECTION('',(0.,0.,1.)); #372753=DIRECTION('center_axis',(-0.707106781186557,-0.707106781186538, 0.)); #372754=DIRECTION('ref_axis',(0.707106781186538,-0.707106781186557,0.)); #372755=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #372756=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #372757=DIRECTION('',(0.,0.,1.)); #372758=DIRECTION('center_axis',(0.,-1.,0.)); #372759=DIRECTION('ref_axis',(1.,0.,0.)); #372760=DIRECTION('',(1.,0.,0.)); #372761=DIRECTION('',(1.,0.,0.)); #372762=DIRECTION('',(0.,0.,1.)); #372763=DIRECTION('center_axis',(0.,0.,1.)); #372764=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #372765=DIRECTION('center_axis',(0.,0.,1.)); #372766=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #372767=DIRECTION('center_axis',(0.,0.,1.)); #372768=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #372769=DIRECTION('',(0.,0.,1.)); #372770=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372771=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372772=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372773=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372774=DIRECTION('',(0.,0.,1.)); #372775=DIRECTION('center_axis',(0.,0.,1.)); #372776=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #372777=DIRECTION('center_axis',(0.,0.,1.)); #372778=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #372779=DIRECTION('center_axis',(0.,0.,1.)); #372780=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #372781=DIRECTION('',(0.,0.,1.)); #372782=DIRECTION('center_axis',(-1.,0.,0.)); #372783=DIRECTION('ref_axis',(0.,-1.,0.)); #372784=DIRECTION('',(0.,-1.,0.)); #372785=DIRECTION('',(0.,-1.,0.)); #372786=DIRECTION('',(0.,0.,1.)); #372787=DIRECTION('center_axis',(0.,0.,1.)); #372788=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #372789=DIRECTION('center_axis',(0.,0.,1.)); #372790=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #372791=DIRECTION('center_axis',(0.,0.,1.)); #372792=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #372793=DIRECTION('',(0.,0.,1.)); #372794=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #372795=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #372796=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372797=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372798=DIRECTION('',(0.,0.,1.)); #372799=DIRECTION('center_axis',(0.,0.,1.)); #372800=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #372801=DIRECTION('center_axis',(0.,0.,1.)); #372802=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #372803=DIRECTION('center_axis',(0.,0.,1.)); #372804=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #372805=DIRECTION('',(0.,0.,1.)); #372806=DIRECTION('center_axis',(0.,1.,0.)); #372807=DIRECTION('ref_axis',(-1.,0.,0.)); #372808=DIRECTION('',(-1.,0.,0.)); #372809=DIRECTION('',(-1.,0.,0.)); #372810=DIRECTION('',(0.,0.,1.)); #372811=DIRECTION('center_axis',(0.,0.,1.)); #372812=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #372813=DIRECTION('center_axis',(0.,0.,1.)); #372814=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #372815=DIRECTION('center_axis',(0.,0.,1.)); #372816=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #372817=DIRECTION('',(0.,0.,1.)); #372818=DIRECTION('center_axis',(0.,0.,1.)); #372819=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #372820=DIRECTION('center_axis',(0.,0.,1.)); #372821=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #372822=DIRECTION('center_axis',(0.,0.,1.)); #372823=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #372824=DIRECTION('',(0.,0.,1.)); #372825=DIRECTION('center_axis',(0.,-1.,0.)); #372826=DIRECTION('ref_axis',(1.,0.,0.)); #372827=DIRECTION('',(1.,0.,0.)); #372828=DIRECTION('',(1.,0.,0.)); #372829=DIRECTION('',(0.,0.,1.)); #372830=DIRECTION('center_axis',(0.70710678118654,-0.707106781186555,0.)); #372831=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #372832=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #372833=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #372834=DIRECTION('',(0.,0.,1.)); #372835=DIRECTION('center_axis',(1.,0.,0.)); #372836=DIRECTION('ref_axis',(0.,1.,0.)); #372837=DIRECTION('',(0.,1.,0.)); #372838=DIRECTION('',(0.,1.,0.)); #372839=DIRECTION('',(0.,0.,1.)); #372840=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372841=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372842=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372843=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372844=DIRECTION('',(0.,0.,1.)); #372845=DIRECTION('center_axis',(0.,1.,0.)); #372846=DIRECTION('ref_axis',(-1.,0.,0.)); #372847=DIRECTION('',(-1.,0.,0.)); #372848=DIRECTION('',(-1.,0.,0.)); #372849=DIRECTION('',(0.,0.,1.)); #372850=DIRECTION('center_axis',(0.,0.,1.)); #372851=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #372852=DIRECTION('center_axis',(0.,0.,1.)); #372853=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #372854=DIRECTION('center_axis',(0.,0.,1.)); #372855=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #372856=DIRECTION('',(0.,0.,1.)); #372857=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #372858=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #372859=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #372860=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #372861=DIRECTION('',(0.,0.,1.)); #372862=DIRECTION('center_axis',(0.,1.,0.)); #372863=DIRECTION('ref_axis',(-1.,0.,0.)); #372864=DIRECTION('',(-1.,0.,0.)); #372865=DIRECTION('',(-1.,0.,0.)); #372866=DIRECTION('center_axis',(0.,0.,1.)); #372867=DIRECTION('ref_axis',(1.,0.,0.)); #372868=DIRECTION('center_axis',(0.,0.,1.)); #372869=DIRECTION('ref_axis',(1.,0.,0.)); #372870=DIRECTION('center_axis',(1.,0.,0.)); #372871=DIRECTION('ref_axis',(0.,1.,0.)); #372872=DIRECTION('',(0.,1.,0.)); #372873=DIRECTION('',(0.,0.,1.)); #372874=DIRECTION('',(0.,1.,0.)); #372875=DIRECTION('',(0.,0.,1.)); #372876=DIRECTION('center_axis',(0.,-1.,0.)); #372877=DIRECTION('ref_axis',(1.,0.,0.)); #372878=DIRECTION('',(1.,0.,0.)); #372879=DIRECTION('',(1.,0.,0.)); #372880=DIRECTION('',(0.,0.,1.)); #372881=DIRECTION('center_axis',(-1.,0.,0.)); #372882=DIRECTION('ref_axis',(0.,-1.,0.)); #372883=DIRECTION('',(0.,-1.,0.)); #372884=DIRECTION('',(0.,-1.,0.)); #372885=DIRECTION('',(0.,0.,1.)); #372886=DIRECTION('center_axis',(0.,-1.,0.)); #372887=DIRECTION('ref_axis',(1.,0.,0.)); #372888=DIRECTION('',(1.,0.,0.)); #372889=DIRECTION('',(1.,0.,0.)); #372890=DIRECTION('',(0.,0.,1.)); #372891=DIRECTION('center_axis',(0.,0.,1.)); #372892=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #372893=DIRECTION('center_axis',(0.,0.,1.)); #372894=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #372895=DIRECTION('center_axis',(0.,0.,1.)); #372896=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #372897=DIRECTION('',(0.,0.,1.)); #372898=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #372899=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #372900=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #372901=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #372902=DIRECTION('',(0.,0.,1.)); #372903=DIRECTION('center_axis',(0.,0.,1.)); #372904=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372905=DIRECTION('center_axis',(0.,0.,1.)); #372906=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372907=DIRECTION('center_axis',(0.,0.,1.)); #372908=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #372909=DIRECTION('',(0.,0.,1.)); #372910=DIRECTION('center_axis',(-1.,0.,0.)); #372911=DIRECTION('ref_axis',(0.,-1.,0.)); #372912=DIRECTION('',(0.,-1.,0.)); #372913=DIRECTION('',(0.,-1.,0.)); #372914=DIRECTION('',(0.,0.,1.)); #372915=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372916=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372917=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372918=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372919=DIRECTION('',(0.,0.,1.)); #372920=DIRECTION('center_axis',(0.,-1.,0.)); #372921=DIRECTION('ref_axis',(1.,0.,0.)); #372922=DIRECTION('',(1.,0.,0.)); #372923=DIRECTION('',(1.,0.,0.)); #372924=DIRECTION('',(0.,0.,1.)); #372925=DIRECTION('center_axis',(1.,0.,0.)); #372926=DIRECTION('ref_axis',(0.,1.,0.)); #372927=DIRECTION('',(0.,1.,0.)); #372928=DIRECTION('',(0.,1.,0.)); #372929=DIRECTION('',(0.,0.,1.)); #372930=DIRECTION('center_axis',(0.,-1.,0.)); #372931=DIRECTION('ref_axis',(1.,0.,0.)); #372932=DIRECTION('',(1.,0.,0.)); #372933=DIRECTION('',(1.,0.,0.)); #372934=DIRECTION('',(0.,0.,1.)); #372935=DIRECTION('center_axis',(-1.,0.,0.)); #372936=DIRECTION('ref_axis',(0.,-1.,0.)); #372937=DIRECTION('',(0.,-1.,0.)); #372938=DIRECTION('',(0.,-1.,0.)); #372939=DIRECTION('',(0.,0.,1.)); #372940=DIRECTION('center_axis',(0.,1.,0.)); #372941=DIRECTION('ref_axis',(-1.,0.,0.)); #372942=DIRECTION('',(-1.,0.,0.)); #372943=DIRECTION('',(-1.,0.,0.)); #372944=DIRECTION('',(0.,0.,1.)); #372945=DIRECTION('center_axis',(1.,0.,0.)); #372946=DIRECTION('ref_axis',(0.,1.,0.)); #372947=DIRECTION('',(0.,1.,0.)); #372948=DIRECTION('',(0.,1.,0.)); #372949=DIRECTION('',(0.,0.,1.)); #372950=DIRECTION('center_axis',(0.,1.,0.)); #372951=DIRECTION('ref_axis',(-1.,0.,0.)); #372952=DIRECTION('',(-1.,0.,0.)); #372953=DIRECTION('',(-1.,0.,0.)); #372954=DIRECTION('',(0.,0.,1.)); #372955=DIRECTION('center_axis',(0.,0.,1.)); #372956=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #372957=DIRECTION('center_axis',(0.,0.,1.)); #372958=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #372959=DIRECTION('center_axis',(0.,0.,1.)); #372960=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #372961=DIRECTION('',(0.,0.,1.)); #372962=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372963=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372964=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372965=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372966=DIRECTION('',(0.,0.,1.)); #372967=DIRECTION('center_axis',(0.,0.,1.)); #372968=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372969=DIRECTION('center_axis',(0.,0.,1.)); #372970=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372971=DIRECTION('center_axis',(0.,0.,1.)); #372972=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #372973=DIRECTION('',(0.,0.,1.)); #372974=DIRECTION('center_axis',(1.,0.,0.)); #372975=DIRECTION('ref_axis',(0.,1.,0.)); #372976=DIRECTION('',(0.,1.,0.)); #372977=DIRECTION('',(0.,1.,0.)); #372978=DIRECTION('',(0.,0.,1.)); #372979=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #372980=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #372981=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372982=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372983=DIRECTION('',(0.,0.,1.)); #372984=DIRECTION('center_axis',(0.,1.,0.)); #372985=DIRECTION('ref_axis',(-1.,0.,0.)); #372986=DIRECTION('',(-1.,0.,0.)); #372987=DIRECTION('',(-1.,0.,0.)); #372988=DIRECTION('',(0.,0.,1.)); #372989=DIRECTION('center_axis',(-1.,0.,0.)); #372990=DIRECTION('ref_axis',(0.,-1.,0.)); #372991=DIRECTION('',(0.,-1.,0.)); #372992=DIRECTION('',(0.,-1.,0.)); #372993=DIRECTION('',(0.,0.,1.)); #372994=DIRECTION('center_axis',(0.,1.,0.)); #372995=DIRECTION('ref_axis',(-1.,0.,0.)); #372996=DIRECTION('',(-1.,0.,0.)); #372997=DIRECTION('',(-1.,0.,0.)); #372998=DIRECTION('center_axis',(0.,0.,1.)); #372999=DIRECTION('ref_axis',(1.,0.,0.)); #373000=DIRECTION('center_axis',(0.,0.,1.)); #373001=DIRECTION('ref_axis',(1.,0.,0.)); #373002=DIRECTION('center_axis',(0.,0.,1.)); #373003=DIRECTION('ref_axis',(1.,0.,0.)); #373004=DIRECTION('center_axis',(0.,0.,1.)); #373005=DIRECTION('ref_axis',(1.,0.,0.)); #373006=DIRECTION('',(0.,0.,1.)); #373007=DIRECTION('center_axis',(0.,0.,-1.)); #373008=DIRECTION('ref_axis',(1.,0.,0.)); #373009=DIRECTION('center_axis',(0.,0.,1.)); #373010=DIRECTION('ref_axis',(1.,0.,0.)); #373011=DIRECTION('center_axis',(0.,0.,1.)); #373012=DIRECTION('ref_axis',(1.,0.,0.)); #373013=DIRECTION('',(0.,0.,1.)); #373014=DIRECTION('center_axis',(0.,0.,-1.)); #373015=DIRECTION('ref_axis',(1.,0.,0.)); #373016=DIRECTION('center_axis',(0.156447762252148,-0.987686234431915,0.)); #373017=DIRECTION('ref_axis',(0.987686234431915,0.156447762252148,0.)); #373018=DIRECTION('',(0.987686234431915,0.156447762252148,0.)); #373019=DIRECTION('',(0.,0.,1.)); #373020=DIRECTION('',(0.987686234431915,0.156447762252148,0.)); #373021=DIRECTION('',(0.,0.,1.)); #373022=DIRECTION('center_axis',(0.,0.,1.)); #373023=DIRECTION('ref_axis',(-0.923881211222877,-0.38267937957166,0.)); #373024=DIRECTION('center_axis',(0.,0.,1.)); #373025=DIRECTION('ref_axis',(-0.923881211222877,-0.38267937957166,0.)); #373026=DIRECTION('center_axis',(0.,0.,1.)); #373027=DIRECTION('ref_axis',(-0.923881211222877,-0.38267937957166,0.)); #373028=DIRECTION('',(0.,0.,1.)); #373029=DIRECTION('center_axis',(0.,0.,1.)); #373030=DIRECTION('ref_axis',(0.887013571520816,0.46174335288977,0.)); #373031=DIRECTION('center_axis',(0.,0.,1.)); #373032=DIRECTION('ref_axis',(0.887013571520816,0.46174335288977,0.)); #373033=DIRECTION('center_axis',(0.,0.,1.)); #373034=DIRECTION('ref_axis',(0.887013571520816,0.46174335288977,0.)); #373035=DIRECTION('',(0.,0.,1.)); #373036=DIRECTION('center_axis',(0.,1.,0.)); #373037=DIRECTION('ref_axis',(-1.,0.,0.)); #373038=DIRECTION('',(-1.,0.,0.)); #373039=DIRECTION('',(-1.,0.,0.)); #373040=DIRECTION('',(0.,0.,1.)); #373041=DIRECTION('center_axis',(0.,0.,1.)); #373042=DIRECTION('ref_axis',(0.923607696029131,0.383339045540316,0.)); #373043=DIRECTION('center_axis',(0.,0.,1.)); #373044=DIRECTION('ref_axis',(0.923607696029131,0.383339045540316,0.)); #373045=DIRECTION('center_axis',(0.,0.,1.)); #373046=DIRECTION('ref_axis',(0.923607696029131,0.383339045540316,0.)); #373047=DIRECTION('',(0.,0.,1.)); #373048=DIRECTION('center_axis',(0.,0.,1.)); #373049=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #373050=DIRECTION('center_axis',(0.,0.,1.)); #373051=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #373052=DIRECTION('center_axis',(0.,0.,1.)); #373053=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #373054=DIRECTION('',(0.,0.,1.)); #373055=DIRECTION('center_axis',(0.,-1.,0.)); #373056=DIRECTION('ref_axis',(1.,0.,0.)); #373057=DIRECTION('',(1.,0.,0.)); #373058=DIRECTION('',(1.,0.,0.)); #373059=DIRECTION('',(0.,0.,1.)); #373060=DIRECTION('center_axis',(0.,0.,1.)); #373061=DIRECTION('ref_axis',(0.236706046226624,-0.971581312953146,0.)); #373062=DIRECTION('center_axis',(0.,0.,1.)); #373063=DIRECTION('ref_axis',(0.236706046226624,-0.971581312953146,0.)); #373064=DIRECTION('center_axis',(0.,0.,1.)); #373065=DIRECTION('ref_axis',(0.236706046226624,-0.971581312953146,0.)); #373066=DIRECTION('center_axis',(0.,0.,1.)); #373067=DIRECTION('ref_axis',(1.,0.,0.)); #373068=DIRECTION('center_axis',(0.,0.,1.)); #373069=DIRECTION('ref_axis',(1.,0.,0.)); #373070=DIRECTION('center_axis',(0.,0.,1.)); #373071=DIRECTION('ref_axis',(1.,0.,0.)); #373072=DIRECTION('center_axis',(0.,0.,1.)); #373073=DIRECTION('ref_axis',(1.,0.,0.)); #373074=DIRECTION('',(0.,0.,1.)); #373075=DIRECTION('center_axis',(0.,0.,-1.)); #373076=DIRECTION('ref_axis',(1.,0.,0.)); #373077=DIRECTION('center_axis',(1.,0.,0.)); #373078=DIRECTION('ref_axis',(0.,1.,0.)); #373079=DIRECTION('',(0.,1.,0.)); #373080=DIRECTION('',(0.,0.,1.)); #373081=DIRECTION('',(0.,1.,0.)); #373082=DIRECTION('',(0.,0.,1.)); #373083=DIRECTION('center_axis',(0.,-1.,0.)); #373084=DIRECTION('ref_axis',(1.,0.,0.)); #373085=DIRECTION('',(1.,0.,0.)); #373086=DIRECTION('',(1.,0.,0.)); #373087=DIRECTION('',(0.,0.,1.)); #373088=DIRECTION('center_axis',(-1.,0.,0.)); #373089=DIRECTION('ref_axis',(0.,-1.,0.)); #373090=DIRECTION('',(0.,-1.,0.)); #373091=DIRECTION('',(0.,-1.,0.)); #373092=DIRECTION('',(0.,0.,1.)); #373093=DIRECTION('center_axis',(0.,1.,0.)); #373094=DIRECTION('ref_axis',(-1.,0.,0.)); #373095=DIRECTION('',(-1.,0.,0.)); #373096=DIRECTION('',(-1.,0.,0.)); #373097=DIRECTION('center_axis',(0.,0.,1.)); #373098=DIRECTION('ref_axis',(1.,0.,0.)); #373099=DIRECTION('center_axis',(0.,0.,1.)); #373100=DIRECTION('ref_axis',(1.,0.,0.)); #373101=DIRECTION('center_axis',(0.,0.,1.)); #373102=DIRECTION('ref_axis',(1.,0.,0.)); #373103=DIRECTION('center_axis',(0.,0.,1.)); #373104=DIRECTION('ref_axis',(1.,0.,0.)); #373105=DIRECTION('',(0.,0.,1.)); #373106=DIRECTION('center_axis',(0.,0.,-1.)); #373107=DIRECTION('ref_axis',(1.,0.,0.)); #373108=DIRECTION('center_axis',(1.,0.,0.)); #373109=DIRECTION('ref_axis',(0.,1.,0.)); #373110=DIRECTION('',(0.,1.,0.)); #373111=DIRECTION('',(0.,0.,1.)); #373112=DIRECTION('',(0.,1.,0.)); #373113=DIRECTION('',(0.,0.,1.)); #373114=DIRECTION('center_axis',(0.,-1.,0.)); #373115=DIRECTION('ref_axis',(1.,0.,0.)); #373116=DIRECTION('',(1.,0.,0.)); #373117=DIRECTION('',(1.,0.,0.)); #373118=DIRECTION('',(0.,0.,1.)); #373119=DIRECTION('center_axis',(-1.,0.,0.)); #373120=DIRECTION('ref_axis',(0.,-1.,0.)); #373121=DIRECTION('',(0.,-1.,0.)); #373122=DIRECTION('',(0.,-1.,0.)); #373123=DIRECTION('',(0.,0.,1.)); #373124=DIRECTION('center_axis',(0.,1.,0.)); #373125=DIRECTION('ref_axis',(-1.,0.,0.)); #373126=DIRECTION('',(-1.,0.,0.)); #373127=DIRECTION('',(-1.,0.,0.)); #373128=DIRECTION('center_axis',(0.,0.,1.)); #373129=DIRECTION('ref_axis',(1.,0.,0.)); #373130=DIRECTION('center_axis',(0.,0.,1.)); #373131=DIRECTION('ref_axis',(1.,0.,0.)); #373132=DIRECTION('center_axis',(0.,0.,1.)); #373133=DIRECTION('ref_axis',(1.,0.,0.)); #373134=DIRECTION('center_axis',(0.,0.,1.)); #373135=DIRECTION('ref_axis',(1.,0.,0.)); #373136=DIRECTION('',(0.,0.,1.)); #373137=DIRECTION('center_axis',(0.,0.,-1.)); #373138=DIRECTION('ref_axis',(1.,0.,0.)); #373139=DIRECTION('center_axis',(1.,0.,0.)); #373140=DIRECTION('ref_axis',(0.,1.,0.)); #373141=DIRECTION('',(0.,1.,0.)); #373142=DIRECTION('',(0.,0.,1.)); #373143=DIRECTION('',(0.,1.,0.)); #373144=DIRECTION('',(0.,0.,1.)); #373145=DIRECTION('center_axis',(0.,1.,0.)); #373146=DIRECTION('ref_axis',(-1.,0.,0.)); #373147=DIRECTION('',(-1.,0.,0.)); #373148=DIRECTION('',(-1.,0.,0.)); #373149=DIRECTION('',(0.,0.,1.)); #373150=DIRECTION('center_axis',(-1.,0.,0.)); #373151=DIRECTION('ref_axis',(0.,-1.,0.)); #373152=DIRECTION('',(0.,-1.,0.)); #373153=DIRECTION('',(0.,-1.,0.)); #373154=DIRECTION('',(0.,0.,1.)); #373155=DIRECTION('center_axis',(0.,1.,0.)); #373156=DIRECTION('ref_axis',(-1.,0.,0.)); #373157=DIRECTION('',(-1.,0.,0.)); #373158=DIRECTION('',(-1.,0.,0.)); #373159=DIRECTION('',(0.,0.,1.)); #373160=DIRECTION('center_axis',(1.,0.,0.)); #373161=DIRECTION('ref_axis',(0.,1.,0.)); #373162=DIRECTION('',(0.,1.,0.)); #373163=DIRECTION('',(0.,1.,0.)); #373164=DIRECTION('',(0.,0.,1.)); #373165=DIRECTION('center_axis',(0.,-1.,0.)); #373166=DIRECTION('ref_axis',(1.,0.,0.)); #373167=DIRECTION('',(1.,0.,0.)); #373168=DIRECTION('',(1.,0.,0.)); #373169=DIRECTION('',(0.,0.,1.)); #373170=DIRECTION('center_axis',(-1.,0.,0.)); #373171=DIRECTION('ref_axis',(0.,-1.,0.)); #373172=DIRECTION('',(0.,-1.,0.)); #373173=DIRECTION('',(0.,-1.,0.)); #373174=DIRECTION('',(0.,0.,1.)); #373175=DIRECTION('center_axis',(0.,-1.,0.)); #373176=DIRECTION('ref_axis',(1.,0.,0.)); #373177=DIRECTION('',(1.,0.,0.)); #373178=DIRECTION('',(1.,0.,0.)); #373179=DIRECTION('',(0.,0.,1.)); #373180=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373181=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373182=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373183=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373184=DIRECTION('',(0.,0.,1.)); #373185=DIRECTION('center_axis',(1.,0.,0.)); #373186=DIRECTION('ref_axis',(0.,1.,0.)); #373187=DIRECTION('',(0.,1.,0.)); #373188=DIRECTION('',(0.,1.,0.)); #373189=DIRECTION('',(0.,0.,1.)); #373190=DIRECTION('center_axis',(0.,0.,1.)); #373191=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #373192=DIRECTION('center_axis',(0.,0.,1.)); #373193=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #373194=DIRECTION('center_axis',(0.,0.,1.)); #373195=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #373196=DIRECTION('',(0.,0.,1.)); #373197=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373198=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373199=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373200=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373201=DIRECTION('',(0.,0.,1.)); #373202=DIRECTION('center_axis',(0.,0.,1.)); #373203=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #373204=DIRECTION('center_axis',(0.,0.,1.)); #373205=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #373206=DIRECTION('center_axis',(0.,0.,1.)); #373207=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #373208=DIRECTION('',(0.,0.,1.)); #373209=DIRECTION('center_axis',(0.,-1.,0.)); #373210=DIRECTION('ref_axis',(1.,0.,0.)); #373211=DIRECTION('',(1.,0.,0.)); #373212=DIRECTION('',(1.,0.,0.)); #373213=DIRECTION('',(0.,0.,1.)); #373214=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373215=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373216=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373217=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #373218=DIRECTION('',(0.,0.,1.)); #373219=DIRECTION('center_axis',(1.,0.,0.)); #373220=DIRECTION('ref_axis',(0.,1.,0.)); #373221=DIRECTION('',(0.,1.,0.)); #373222=DIRECTION('',(0.,1.,0.)); #373223=DIRECTION('',(0.,0.,1.)); #373224=DIRECTION('center_axis',(0.,0.,1.)); #373225=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #373226=DIRECTION('center_axis',(0.,0.,1.)); #373227=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #373228=DIRECTION('center_axis',(0.,0.,1.)); #373229=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #373230=DIRECTION('',(0.,0.,1.)); #373231=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #373232=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #373233=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #373234=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #373235=DIRECTION('',(0.,0.,1.)); #373236=DIRECTION('center_axis',(0.,0.,1.)); #373237=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #373238=DIRECTION('center_axis',(0.,0.,1.)); #373239=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #373240=DIRECTION('center_axis',(0.,0.,1.)); #373241=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #373242=DIRECTION('',(0.,0.,1.)); #373243=DIRECTION('center_axis',(0.,-1.,0.)); #373244=DIRECTION('ref_axis',(1.,0.,0.)); #373245=DIRECTION('',(1.,0.,0.)); #373246=DIRECTION('',(1.,0.,0.)); #373247=DIRECTION('',(0.,0.,1.)); #373248=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #373249=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #373250=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #373251=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #373252=DIRECTION('',(0.,0.,1.)); #373253=DIRECTION('center_axis',(1.,0.,0.)); #373254=DIRECTION('ref_axis',(0.,1.,0.)); #373255=DIRECTION('',(0.,1.,0.)); #373256=DIRECTION('',(0.,1.,0.)); #373257=DIRECTION('',(0.,0.,1.)); #373258=DIRECTION('center_axis',(0.,0.,1.)); #373259=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #373260=DIRECTION('center_axis',(0.,0.,1.)); #373261=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #373262=DIRECTION('center_axis',(0.,0.,1.)); #373263=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #373264=DIRECTION('',(0.,0.,1.)); #373265=DIRECTION('center_axis',(0.,0.,1.)); #373266=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #373267=DIRECTION('center_axis',(0.,0.,1.)); #373268=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #373269=DIRECTION('center_axis',(0.,0.,1.)); #373270=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #373271=DIRECTION('',(0.,0.,1.)); #373272=DIRECTION('center_axis',(-1.,0.,0.)); #373273=DIRECTION('ref_axis',(0.,-1.,0.)); #373274=DIRECTION('',(0.,-1.,0.)); #373275=DIRECTION('',(0.,-1.,0.)); #373276=DIRECTION('',(0.,0.,1.)); #373277=DIRECTION('center_axis',(0.,0.,1.)); #373278=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #373279=DIRECTION('center_axis',(0.,0.,1.)); #373280=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #373281=DIRECTION('center_axis',(0.,0.,1.)); #373282=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #373283=DIRECTION('',(0.,0.,1.)); #373284=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #373285=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #373286=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #373287=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #373288=DIRECTION('',(0.,0.,1.)); #373289=DIRECTION('center_axis',(0.,0.,1.)); #373290=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #373291=DIRECTION('center_axis',(0.,0.,1.)); #373292=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #373293=DIRECTION('center_axis',(0.,0.,1.)); #373294=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #373295=DIRECTION('',(0.,0.,1.)); #373296=DIRECTION('center_axis',(0.,1.,0.)); #373297=DIRECTION('ref_axis',(-1.,0.,0.)); #373298=DIRECTION('',(-1.,0.,0.)); #373299=DIRECTION('',(-1.,0.,0.)); #373300=DIRECTION('',(0.,0.,1.)); #373301=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #373302=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #373303=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373304=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373305=DIRECTION('',(0.,0.,1.)); #373306=DIRECTION('center_axis',(-1.,0.,0.)); #373307=DIRECTION('ref_axis',(0.,-1.,0.)); #373308=DIRECTION('',(0.,-1.,0.)); #373309=DIRECTION('',(0.,-1.,0.)); #373310=DIRECTION('',(0.,0.,1.)); #373311=DIRECTION('center_axis',(0.,0.,1.)); #373312=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #373313=DIRECTION('center_axis',(0.,0.,1.)); #373314=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #373315=DIRECTION('center_axis',(0.,0.,1.)); #373316=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #373317=DIRECTION('',(0.,0.,1.)); #373318=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #373319=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #373320=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #373321=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #373322=DIRECTION('',(0.,0.,1.)); #373323=DIRECTION('center_axis',(0.,0.,1.)); #373324=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #373325=DIRECTION('center_axis',(0.,0.,1.)); #373326=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #373327=DIRECTION('center_axis',(0.,0.,1.)); #373328=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #373329=DIRECTION('',(0.,0.,1.)); #373330=DIRECTION('center_axis',(0.,1.,0.)); #373331=DIRECTION('ref_axis',(-1.,0.,0.)); #373332=DIRECTION('',(-1.,0.,0.)); #373333=DIRECTION('',(-1.,0.,0.)); #373334=DIRECTION('',(0.,0.,1.)); #373335=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #373336=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #373337=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373338=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373339=DIRECTION('',(0.,0.,1.)); #373340=DIRECTION('center_axis',(-1.,0.,0.)); #373341=DIRECTION('ref_axis',(0.,-1.,0.)); #373342=DIRECTION('',(0.,-1.,0.)); #373343=DIRECTION('',(0.,-1.,0.)); #373344=DIRECTION('',(0.,0.,1.)); #373345=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #373346=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #373347=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #373348=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #373349=DIRECTION('',(0.,0.,1.)); #373350=DIRECTION('center_axis',(0.,-1.,0.)); #373351=DIRECTION('ref_axis',(1.,0.,0.)); #373352=DIRECTION('',(1.,0.,0.)); #373353=DIRECTION('',(1.,0.,0.)); #373354=DIRECTION('',(0.,0.,1.)); #373355=DIRECTION('center_axis',(1.,0.,0.)); #373356=DIRECTION('ref_axis',(0.,1.,0.)); #373357=DIRECTION('',(0.,1.,0.)); #373358=DIRECTION('',(0.,1.,0.)); #373359=DIRECTION('',(0.,0.,1.)); #373360=DIRECTION('center_axis',(0.,-1.,0.)); #373361=DIRECTION('ref_axis',(1.,0.,0.)); #373362=DIRECTION('',(1.,0.,0.)); #373363=DIRECTION('',(1.,0.,0.)); #373364=DIRECTION('',(0.,0.,1.)); #373365=DIRECTION('center_axis',(-1.,0.,0.)); #373366=DIRECTION('ref_axis',(0.,-1.,0.)); #373367=DIRECTION('',(0.,-1.,0.)); #373368=DIRECTION('',(0.,-1.,0.)); #373369=DIRECTION('',(0.,0.,1.)); #373370=DIRECTION('center_axis',(0.,1.,0.)); #373371=DIRECTION('ref_axis',(-1.,0.,0.)); #373372=DIRECTION('',(-1.,0.,0.)); #373373=DIRECTION('',(-1.,0.,0.)); #373374=DIRECTION('center_axis',(0.,0.,1.)); #373375=DIRECTION('ref_axis',(1.,0.,0.)); #373376=DIRECTION('center_axis',(0.,0.,1.)); #373377=DIRECTION('ref_axis',(1.,0.,0.)); #373378=DIRECTION('center_axis',(0.,0.,1.)); #373379=DIRECTION('ref_axis',(1.,0.,0.)); #373380=DIRECTION('center_axis',(0.,0.,1.)); #373381=DIRECTION('ref_axis',(1.,0.,0.)); #373382=DIRECTION('',(0.,0.,1.)); #373383=DIRECTION('center_axis',(0.,0.,-1.)); #373384=DIRECTION('ref_axis',(1.,0.,0.)); #373385=DIRECTION('center_axis',(1.,0.,0.)); #373386=DIRECTION('ref_axis',(0.,1.,0.)); #373387=DIRECTION('',(0.,1.,0.)); #373388=DIRECTION('',(0.,0.,1.)); #373389=DIRECTION('',(0.,1.,0.)); #373390=DIRECTION('',(0.,0.,1.)); #373391=DIRECTION('center_axis',(0.,-1.,0.)); #373392=DIRECTION('ref_axis',(1.,0.,0.)); #373393=DIRECTION('',(1.,0.,0.)); #373394=DIRECTION('',(1.,0.,0.)); #373395=DIRECTION('',(0.,0.,1.)); #373396=DIRECTION('center_axis',(-1.,0.,0.)); #373397=DIRECTION('ref_axis',(0.,-1.,0.)); #373398=DIRECTION('',(0.,-1.,0.)); #373399=DIRECTION('',(0.,-1.,0.)); #373400=DIRECTION('',(0.,0.,1.)); #373401=DIRECTION('center_axis',(0.,1.,0.)); #373402=DIRECTION('ref_axis',(-1.,0.,0.)); #373403=DIRECTION('',(-1.,0.,0.)); #373404=DIRECTION('',(-1.,0.,0.)); #373405=DIRECTION('',(0.,0.,1.)); #373406=DIRECTION('center_axis',(-1.,0.,0.)); #373407=DIRECTION('ref_axis',(0.,-1.,0.)); #373408=DIRECTION('',(0.,-1.,0.)); #373409=DIRECTION('',(0.,-1.,0.)); #373410=DIRECTION('',(0.,0.,1.)); #373411=DIRECTION('center_axis',(0.,0.,1.)); #373412=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373413=DIRECTION('center_axis',(0.,0.,1.)); #373414=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373415=DIRECTION('center_axis',(0.,0.,1.)); #373416=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373417=DIRECTION('',(0.,0.,1.)); #373418=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #373419=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #373420=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #373421=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #373422=DIRECTION('',(0.,0.,1.)); #373423=DIRECTION('center_axis',(-1.,0.,0.)); #373424=DIRECTION('ref_axis',(0.,-1.,0.)); #373425=DIRECTION('',(0.,-1.,0.)); #373426=DIRECTION('',(0.,-1.,0.)); #373427=DIRECTION('',(0.,0.,1.)); #373428=DIRECTION('center_axis',(0.,0.,1.)); #373429=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373430=DIRECTION('center_axis',(0.,0.,1.)); #373431=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373432=DIRECTION('center_axis',(0.,0.,1.)); #373433=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #373434=DIRECTION('',(0.,0.,1.)); #373435=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #373436=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #373437=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #373438=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #373439=DIRECTION('',(0.,0.,1.)); #373440=DIRECTION('center_axis',(-1.,0.,0.)); #373441=DIRECTION('ref_axis',(0.,-1.,0.)); #373442=DIRECTION('',(0.,-1.,0.)); #373443=DIRECTION('',(0.,-1.,0.)); #373444=DIRECTION('',(0.,0.,1.)); #373445=DIRECTION('center_axis',(0.,0.,1.)); #373446=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #373447=DIRECTION('center_axis',(0.,0.,1.)); #373448=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #373449=DIRECTION('center_axis',(0.,0.,1.)); #373450=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #373451=DIRECTION('',(0.,0.,1.)); #373452=DIRECTION('center_axis',(0.,0.,1.)); #373453=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #373454=DIRECTION('center_axis',(0.,0.,1.)); #373455=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #373456=DIRECTION('center_axis',(0.,0.,1.)); #373457=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #373458=DIRECTION('',(0.,0.,1.)); #373459=DIRECTION('center_axis',(1.,0.,0.)); #373460=DIRECTION('ref_axis',(0.,1.,0.)); #373461=DIRECTION('',(0.,1.,0.)); #373462=DIRECTION('',(0.,1.,0.)); #373463=DIRECTION('',(0.,0.,1.)); #373464=DIRECTION('center_axis',(0.,0.,1.)); #373465=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #373466=DIRECTION('center_axis',(0.,0.,1.)); #373467=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #373468=DIRECTION('center_axis',(0.,0.,1.)); #373469=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #373470=DIRECTION('',(0.,0.,1.)); #373471=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373472=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373473=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373474=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373475=DIRECTION('',(0.,0.,1.)); #373476=DIRECTION('center_axis',(1.,0.,0.)); #373477=DIRECTION('ref_axis',(0.,1.,0.)); #373478=DIRECTION('',(0.,1.,0.)); #373479=DIRECTION('',(0.,1.,0.)); #373480=DIRECTION('',(0.,0.,1.)); #373481=DIRECTION('center_axis',(0.,0.,1.)); #373482=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #373483=DIRECTION('center_axis',(0.,0.,1.)); #373484=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #373485=DIRECTION('center_axis',(0.,0.,1.)); #373486=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #373487=DIRECTION('',(0.,0.,1.)); #373488=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #373489=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #373490=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #373491=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #373492=DIRECTION('',(0.,0.,1.)); #373493=DIRECTION('center_axis',(1.,0.,0.)); #373494=DIRECTION('ref_axis',(0.,1.,0.)); #373495=DIRECTION('',(0.,1.,0.)); #373496=DIRECTION('',(0.,1.,0.)); #373497=DIRECTION('',(0.,0.,1.)); #373498=DIRECTION('center_axis',(0.,1.,0.)); #373499=DIRECTION('ref_axis',(-1.,0.,0.)); #373500=DIRECTION('',(-1.,0.,0.)); #373501=DIRECTION('',(-1.,0.,0.)); #373502=DIRECTION('center_axis',(0.,0.,1.)); #373503=DIRECTION('ref_axis',(1.,0.,0.)); #373504=DIRECTION('center_axis',(0.,0.,1.)); #373505=DIRECTION('ref_axis',(1.,0.,0.)); #373506=DIRECTION('center_axis',(0.,0.,1.)); #373507=DIRECTION('ref_axis',(1.,0.,0.)); #373508=DIRECTION('center_axis',(0.,0.,1.)); #373509=DIRECTION('ref_axis',(1.,0.,0.)); #373510=DIRECTION('',(0.,0.,1.)); #373511=DIRECTION('center_axis',(0.,0.,-1.)); #373512=DIRECTION('ref_axis',(1.,0.,0.)); #373513=DIRECTION('center_axis',(1.,0.,0.)); #373514=DIRECTION('ref_axis',(0.,1.,0.)); #373515=DIRECTION('',(0.,1.,0.)); #373516=DIRECTION('',(0.,0.,1.)); #373517=DIRECTION('',(0.,1.,0.)); #373518=DIRECTION('',(0.,0.,1.)); #373519=DIRECTION('center_axis',(0.,-1.,0.)); #373520=DIRECTION('ref_axis',(1.,0.,0.)); #373521=DIRECTION('',(1.,0.,0.)); #373522=DIRECTION('',(1.,0.,0.)); #373523=DIRECTION('',(0.,0.,1.)); #373524=DIRECTION('center_axis',(-1.,0.,0.)); #373525=DIRECTION('ref_axis',(0.,-1.,0.)); #373526=DIRECTION('',(0.,-1.,0.)); #373527=DIRECTION('',(0.,-1.,0.)); #373528=DIRECTION('',(0.,0.,1.)); #373529=DIRECTION('center_axis',(0.,1.,0.)); #373530=DIRECTION('ref_axis',(-1.,0.,0.)); #373531=DIRECTION('',(-1.,0.,0.)); #373532=DIRECTION('',(-1.,0.,0.)); #373533=DIRECTION('center_axis',(0.,0.,1.)); #373534=DIRECTION('ref_axis',(1.,0.,0.)); #373535=DIRECTION('center_axis',(0.,0.,1.)); #373536=DIRECTION('ref_axis',(1.,0.,0.)); #373537=DIRECTION('center_axis',(0.,0.,1.)); #373538=DIRECTION('ref_axis',(1.,0.,0.)); #373539=DIRECTION('center_axis',(0.,0.,1.)); #373540=DIRECTION('ref_axis',(1.,0.,0.)); #373541=DIRECTION('',(0.,0.,1.)); #373542=DIRECTION('center_axis',(0.,0.,-1.)); #373543=DIRECTION('ref_axis',(1.,0.,0.)); #373544=DIRECTION('center_axis',(1.,0.,0.)); #373545=DIRECTION('ref_axis',(0.,1.,0.)); #373546=DIRECTION('',(0.,1.,0.)); #373547=DIRECTION('',(0.,0.,1.)); #373548=DIRECTION('',(0.,1.,0.)); #373549=DIRECTION('',(0.,0.,1.)); #373550=DIRECTION('center_axis',(0.,-1.,0.)); #373551=DIRECTION('ref_axis',(1.,0.,0.)); #373552=DIRECTION('',(1.,0.,0.)); #373553=DIRECTION('',(1.,0.,0.)); #373554=DIRECTION('',(0.,0.,1.)); #373555=DIRECTION('center_axis',(-1.,0.,0.)); #373556=DIRECTION('ref_axis',(0.,-1.,0.)); #373557=DIRECTION('',(0.,-1.,0.)); #373558=DIRECTION('',(0.,-1.,0.)); #373559=DIRECTION('',(0.,0.,1.)); #373560=DIRECTION('center_axis',(0.,1.,0.)); #373561=DIRECTION('ref_axis',(-1.,0.,0.)); #373562=DIRECTION('',(-1.,0.,0.)); #373563=DIRECTION('',(-1.,0.,0.)); #373564=DIRECTION('center_axis',(0.,0.,1.)); #373565=DIRECTION('ref_axis',(1.,0.,0.)); #373566=DIRECTION('center_axis',(0.,0.,1.)); #373567=DIRECTION('ref_axis',(1.,0.,0.)); #373568=DIRECTION('center_axis',(0.,0.,1.)); #373569=DIRECTION('ref_axis',(1.,0.,0.)); #373570=DIRECTION('center_axis',(0.,0.,1.)); #373571=DIRECTION('ref_axis',(1.,0.,0.)); #373572=DIRECTION('',(0.,0.,1.)); #373573=DIRECTION('center_axis',(0.,0.,-1.)); #373574=DIRECTION('ref_axis',(1.,0.,0.)); #373575=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #373576=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #373577=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #373578=DIRECTION('',(0.,0.,1.)); #373579=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #373580=DIRECTION('',(0.,0.,1.)); #373581=DIRECTION('center_axis',(0.,0.,1.)); #373582=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #373583=DIRECTION('center_axis',(0.,0.,1.)); #373584=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #373585=DIRECTION('center_axis',(0.,0.,1.)); #373586=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #373587=DIRECTION('',(0.,0.,1.)); #373588=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #373589=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #373590=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #373591=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #373592=DIRECTION('',(0.,0.,1.)); #373593=DIRECTION('center_axis',(0.,0.,1.)); #373594=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #373595=DIRECTION('center_axis',(0.,0.,1.)); #373596=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #373597=DIRECTION('center_axis',(0.,0.,1.)); #373598=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #373599=DIRECTION('',(0.,0.,1.)); #373600=DIRECTION('center_axis',(-1.,0.,0.)); #373601=DIRECTION('ref_axis',(0.,-1.,0.)); #373602=DIRECTION('',(0.,-1.,0.)); #373603=DIRECTION('',(0.,-1.,0.)); #373604=DIRECTION('',(0.,0.,1.)); #373605=DIRECTION('center_axis',(0.,-1.,0.)); #373606=DIRECTION('ref_axis',(1.,0.,0.)); #373607=DIRECTION('',(1.,0.,0.)); #373608=DIRECTION('',(1.,0.,0.)); #373609=DIRECTION('',(0.,0.,1.)); #373610=DIRECTION('center_axis',(-1.,0.,0.)); #373611=DIRECTION('ref_axis',(0.,-1.,0.)); #373612=DIRECTION('',(0.,-1.,0.)); #373613=DIRECTION('',(0.,-1.,0.)); #373614=DIRECTION('',(0.,0.,1.)); #373615=DIRECTION('center_axis',(0.,1.,0.)); #373616=DIRECTION('ref_axis',(-1.,0.,0.)); #373617=DIRECTION('',(-1.,0.,0.)); #373618=DIRECTION('',(-1.,0.,0.)); #373619=DIRECTION('',(0.,0.,1.)); #373620=DIRECTION('center_axis',(1.,0.,0.)); #373621=DIRECTION('ref_axis',(0.,1.,0.)); #373622=DIRECTION('',(0.,1.,0.)); #373623=DIRECTION('',(0.,1.,0.)); #373624=DIRECTION('',(0.,0.,1.)); #373625=DIRECTION('center_axis',(0.,-1.,0.)); #373626=DIRECTION('ref_axis',(1.,0.,0.)); #373627=DIRECTION('',(1.,0.,0.)); #373628=DIRECTION('',(1.,0.,0.)); #373629=DIRECTION('',(0.,0.,1.)); #373630=DIRECTION('center_axis',(1.,0.,0.)); #373631=DIRECTION('ref_axis',(0.,1.,0.)); #373632=DIRECTION('',(0.,1.,0.)); #373633=DIRECTION('',(0.,1.,0.)); #373634=DIRECTION('',(0.,0.,1.)); #373635=DIRECTION('center_axis',(0.707103342561715,0.707110219794658,0.)); #373636=DIRECTION('ref_axis',(-0.707110219794658,0.707103342561715,0.)); #373637=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #373638=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #373639=DIRECTION('',(0.,0.,1.)); #373640=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #373641=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #373642=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #373643=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #373644=DIRECTION('',(0.,0.,1.)); #373645=DIRECTION('center_axis',(0.,0.,1.)); #373646=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #373647=DIRECTION('center_axis',(0.,0.,1.)); #373648=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #373649=DIRECTION('center_axis',(0.,0.,1.)); #373650=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #373651=DIRECTION('center_axis',(0.,0.,1.)); #373652=DIRECTION('ref_axis',(1.,0.,0.)); #373653=DIRECTION('center_axis',(0.,0.,1.)); #373654=DIRECTION('ref_axis',(1.,0.,0.)); #373655=DIRECTION('center_axis',(0.,0.,1.)); #373656=DIRECTION('ref_axis',(1.,0.,0.)); #373657=DIRECTION('center_axis',(0.,0.,1.)); #373658=DIRECTION('ref_axis',(1.,0.,0.)); #373659=DIRECTION('',(0.,0.,1.)); #373660=DIRECTION('center_axis',(0.,0.,-1.)); #373661=DIRECTION('ref_axis',(1.,0.,0.)); #373662=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #373663=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #373664=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #373665=DIRECTION('',(0.,0.,1.)); #373666=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #373667=DIRECTION('',(0.,0.,1.)); #373668=DIRECTION('center_axis',(0.,0.,1.)); #373669=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373670=DIRECTION('center_axis',(0.,0.,1.)); #373671=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373672=DIRECTION('center_axis',(0.,0.,1.)); #373673=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373674=DIRECTION('',(0.,0.,1.)); #373675=DIRECTION('center_axis',(0.,0.,1.)); #373676=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #373677=DIRECTION('center_axis',(0.,0.,1.)); #373678=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #373679=DIRECTION('center_axis',(0.,0.,1.)); #373680=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #373681=DIRECTION('center_axis',(0.,0.,1.)); #373682=DIRECTION('ref_axis',(1.,0.,0.)); #373683=DIRECTION('center_axis',(0.,0.,1.)); #373684=DIRECTION('ref_axis',(1.,0.,0.)); #373685=DIRECTION('center_axis',(0.,0.,1.)); #373686=DIRECTION('ref_axis',(1.,0.,0.)); #373687=DIRECTION('center_axis',(0.,0.,1.)); #373688=DIRECTION('ref_axis',(1.,0.,0.)); #373689=DIRECTION('',(0.,0.,1.)); #373690=DIRECTION('center_axis',(0.,0.,-1.)); #373691=DIRECTION('ref_axis',(1.,0.,0.)); #373692=DIRECTION('center_axis',(0.,0.,1.)); #373693=DIRECTION('ref_axis',(1.,0.,0.)); #373694=DIRECTION('center_axis',(0.,0.,1.)); #373695=DIRECTION('ref_axis',(1.,0.,0.)); #373696=DIRECTION('',(0.,0.,1.)); #373697=DIRECTION('center_axis',(0.,0.,-1.)); #373698=DIRECTION('ref_axis',(1.,0.,0.)); #373699=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #373700=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #373701=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #373702=DIRECTION('',(0.,0.,1.)); #373703=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #373704=DIRECTION('',(0.,0.,1.)); #373705=DIRECTION('center_axis',(0.,0.,1.)); #373706=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #373707=DIRECTION('center_axis',(0.,0.,1.)); #373708=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #373709=DIRECTION('center_axis',(0.,0.,1.)); #373710=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #373711=DIRECTION('',(0.,0.,1.)); #373712=DIRECTION('center_axis',(-1.,0.,0.)); #373713=DIRECTION('ref_axis',(0.,-1.,0.)); #373714=DIRECTION('',(0.,-1.,0.)); #373715=DIRECTION('',(0.,-1.,0.)); #373716=DIRECTION('',(0.,0.,1.)); #373717=DIRECTION('center_axis',(0.,0.,1.)); #373718=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #373719=DIRECTION('center_axis',(0.,0.,1.)); #373720=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #373721=DIRECTION('center_axis',(0.,0.,1.)); #373722=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #373723=DIRECTION('',(0.,0.,1.)); #373724=DIRECTION('center_axis',(0.,0.,1.)); #373725=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #373726=DIRECTION('center_axis',(0.,0.,1.)); #373727=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #373728=DIRECTION('center_axis',(0.,0.,1.)); #373729=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #373730=DIRECTION('',(0.,0.,1.)); #373731=DIRECTION('center_axis',(1.,0.,0.)); #373732=DIRECTION('ref_axis',(0.,1.,0.)); #373733=DIRECTION('',(0.,1.,0.)); #373734=DIRECTION('',(0.,1.,0.)); #373735=DIRECTION('',(0.,0.,1.)); #373736=DIRECTION('center_axis',(0.,0.,1.)); #373737=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #373738=DIRECTION('center_axis',(0.,0.,1.)); #373739=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #373740=DIRECTION('center_axis',(0.,0.,1.)); #373741=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #373742=DIRECTION('center_axis',(0.,0.,1.)); #373743=DIRECTION('ref_axis',(1.,0.,0.)); #373744=DIRECTION('center_axis',(0.,0.,1.)); #373745=DIRECTION('ref_axis',(1.,0.,0.)); #373746=DIRECTION('center_axis',(0.,0.,1.)); #373747=DIRECTION('ref_axis',(1.,0.,0.)); #373748=DIRECTION('center_axis',(0.,0.,1.)); #373749=DIRECTION('ref_axis',(1.,0.,0.)); #373750=DIRECTION('',(0.,0.,1.)); #373751=DIRECTION('center_axis',(0.,0.,-1.)); #373752=DIRECTION('ref_axis',(1.,0.,0.)); #373753=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #373754=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #373755=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #373756=DIRECTION('',(0.,0.,1.)); #373757=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #373758=DIRECTION('',(0.,0.,1.)); #373759=DIRECTION('center_axis',(0.,0.,1.)); #373760=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373761=DIRECTION('center_axis',(0.,0.,1.)); #373762=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373763=DIRECTION('center_axis',(0.,0.,1.)); #373764=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373765=DIRECTION('',(0.,0.,1.)); #373766=DIRECTION('center_axis',(0.,0.,1.)); #373767=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373768=DIRECTION('center_axis',(0.,0.,1.)); #373769=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373770=DIRECTION('center_axis',(0.,0.,1.)); #373771=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373772=DIRECTION('center_axis',(0.,0.,1.)); #373773=DIRECTION('ref_axis',(1.,0.,0.)); #373774=DIRECTION('center_axis',(0.,0.,1.)); #373775=DIRECTION('ref_axis',(1.,0.,0.)); #373776=DIRECTION('center_axis',(0.,0.,1.)); #373777=DIRECTION('ref_axis',(1.,0.,0.)); #373778=DIRECTION('center_axis',(0.,0.,1.)); #373779=DIRECTION('ref_axis',(1.,0.,0.)); #373780=DIRECTION('',(0.,0.,1.)); #373781=DIRECTION('center_axis',(0.,0.,-1.)); #373782=DIRECTION('ref_axis',(1.,0.,0.)); #373783=DIRECTION('center_axis',(1.,0.,0.)); #373784=DIRECTION('ref_axis',(0.,1.,0.)); #373785=DIRECTION('',(0.,1.,0.)); #373786=DIRECTION('',(0.,0.,1.)); #373787=DIRECTION('',(0.,1.,0.)); #373788=DIRECTION('',(0.,0.,1.)); #373789=DIRECTION('center_axis',(0.,-1.,0.)); #373790=DIRECTION('ref_axis',(1.,0.,0.)); #373791=DIRECTION('',(1.,0.,0.)); #373792=DIRECTION('',(1.,0.,0.)); #373793=DIRECTION('',(0.,0.,1.)); #373794=DIRECTION('center_axis',(-1.,0.,0.)); #373795=DIRECTION('ref_axis',(0.,-1.,0.)); #373796=DIRECTION('',(0.,-1.,0.)); #373797=DIRECTION('',(0.,-1.,0.)); #373798=DIRECTION('',(0.,0.,1.)); #373799=DIRECTION('center_axis',(0.,1.,0.)); #373800=DIRECTION('ref_axis',(-1.,0.,0.)); #373801=DIRECTION('',(-1.,0.,0.)); #373802=DIRECTION('',(-1.,0.,0.)); #373803=DIRECTION('center_axis',(0.,0.,1.)); #373804=DIRECTION('ref_axis',(1.,0.,0.)); #373805=DIRECTION('center_axis',(0.,0.,1.)); #373806=DIRECTION('ref_axis',(1.,0.,0.)); #373807=DIRECTION('center_axis',(0.,0.,1.)); #373808=DIRECTION('ref_axis',(1.,0.,0.)); #373809=DIRECTION('center_axis',(0.,0.,1.)); #373810=DIRECTION('ref_axis',(1.,0.,0.)); #373811=DIRECTION('',(0.,0.,1.)); #373812=DIRECTION('center_axis',(0.,0.,-1.)); #373813=DIRECTION('ref_axis',(1.,0.,0.)); #373814=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #373815=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #373816=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #373817=DIRECTION('',(0.,0.,1.)); #373818=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #373819=DIRECTION('',(0.,0.,1.)); #373820=DIRECTION('center_axis',(0.,-1.,0.)); #373821=DIRECTION('ref_axis',(1.,0.,0.)); #373822=DIRECTION('',(1.,0.,0.)); #373823=DIRECTION('',(1.,0.,0.)); #373824=DIRECTION('',(0.,0.,1.)); #373825=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #373826=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #373827=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #373828=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #373829=DIRECTION('',(0.,0.,1.)); #373830=DIRECTION('center_axis',(-1.,0.,0.)); #373831=DIRECTION('ref_axis',(0.,-1.,0.)); #373832=DIRECTION('',(0.,-1.,0.)); #373833=DIRECTION('',(0.,-1.,0.)); #373834=DIRECTION('',(0.,0.,1.)); #373835=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #373836=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #373837=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373838=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373839=DIRECTION('',(0.,0.,1.)); #373840=DIRECTION('center_axis',(0.,1.,0.)); #373841=DIRECTION('ref_axis',(-1.,0.,0.)); #373842=DIRECTION('',(-1.,0.,0.)); #373843=DIRECTION('',(-1.,0.,0.)); #373844=DIRECTION('',(0.,0.,1.)); #373845=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #373846=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #373847=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373848=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373849=DIRECTION('',(0.,0.,1.)); #373850=DIRECTION('center_axis',(1.,0.,0.)); #373851=DIRECTION('ref_axis',(0.,1.,0.)); #373852=DIRECTION('',(0.,1.,0.)); #373853=DIRECTION('',(0.,1.,0.)); #373854=DIRECTION('center_axis',(0.,0.,1.)); #373855=DIRECTION('ref_axis',(1.,0.,0.)); #373856=DIRECTION('center_axis',(0.,0.,1.)); #373857=DIRECTION('ref_axis',(1.,0.,0.)); #373858=DIRECTION('center_axis',(0.,0.,1.)); #373859=DIRECTION('ref_axis',(1.,0.,0.)); #373860=DIRECTION('center_axis',(0.,0.,1.)); #373861=DIRECTION('ref_axis',(1.,0.,0.)); #373862=DIRECTION('',(0.,0.,1.)); #373863=DIRECTION('center_axis',(0.,0.,-1.)); #373864=DIRECTION('ref_axis',(1.,0.,0.)); #373865=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #373866=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #373867=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #373868=DIRECTION('',(0.,0.,1.)); #373869=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #373870=DIRECTION('',(0.,0.,1.)); #373871=DIRECTION('center_axis',(0.,0.,1.)); #373872=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373873=DIRECTION('center_axis',(0.,0.,1.)); #373874=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373875=DIRECTION('center_axis',(0.,0.,1.)); #373876=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #373877=DIRECTION('',(0.,0.,1.)); #373878=DIRECTION('center_axis',(0.,0.,1.)); #373879=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373880=DIRECTION('center_axis',(0.,0.,1.)); #373881=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373882=DIRECTION('center_axis',(0.,0.,1.)); #373883=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #373884=DIRECTION('center_axis',(0.,0.,1.)); #373885=DIRECTION('ref_axis',(1.,0.,0.)); #373886=DIRECTION('center_axis',(0.,0.,1.)); #373887=DIRECTION('ref_axis',(1.,0.,0.)); #373888=DIRECTION('center_axis',(0.,0.,1.)); #373889=DIRECTION('ref_axis',(1.,0.,0.)); #373890=DIRECTION('center_axis',(0.,0.,1.)); #373891=DIRECTION('ref_axis',(1.,0.,0.)); #373892=DIRECTION('',(0.,0.,1.)); #373893=DIRECTION('center_axis',(0.,0.,-1.)); #373894=DIRECTION('ref_axis',(1.,0.,0.)); #373895=DIRECTION('center_axis',(1.,0.,0.)); #373896=DIRECTION('ref_axis',(0.,1.,0.)); #373897=DIRECTION('',(0.,1.,0.)); #373898=DIRECTION('',(0.,0.,1.)); #373899=DIRECTION('',(0.,1.,0.)); #373900=DIRECTION('',(0.,0.,1.)); #373901=DIRECTION('center_axis',(0.,1.,0.)); #373902=DIRECTION('ref_axis',(-1.,0.,0.)); #373903=DIRECTION('',(-1.,0.,0.)); #373904=DIRECTION('',(-1.,0.,0.)); #373905=DIRECTION('',(0.,0.,1.)); #373906=DIRECTION('center_axis',(-1.,0.,0.)); #373907=DIRECTION('ref_axis',(0.,-1.,0.)); #373908=DIRECTION('',(0.,-1.,0.)); #373909=DIRECTION('',(0.,-1.,0.)); #373910=DIRECTION('',(0.,0.,1.)); #373911=DIRECTION('center_axis',(0.,1.,0.)); #373912=DIRECTION('ref_axis',(-1.,0.,0.)); #373913=DIRECTION('',(-1.,0.,0.)); #373914=DIRECTION('',(-1.,0.,0.)); #373915=DIRECTION('',(0.,0.,1.)); #373916=DIRECTION('center_axis',(1.,0.,0.)); #373917=DIRECTION('ref_axis',(0.,1.,0.)); #373918=DIRECTION('',(0.,1.,0.)); #373919=DIRECTION('',(0.,1.,0.)); #373920=DIRECTION('',(0.,0.,1.)); #373921=DIRECTION('center_axis',(0.,-1.,0.)); #373922=DIRECTION('ref_axis',(1.,0.,0.)); #373923=DIRECTION('',(1.,0.,0.)); #373924=DIRECTION('',(1.,0.,0.)); #373925=DIRECTION('',(0.,0.,1.)); #373926=DIRECTION('center_axis',(-1.,0.,0.)); #373927=DIRECTION('ref_axis',(0.,-1.,0.)); #373928=DIRECTION('',(0.,-1.,0.)); #373929=DIRECTION('',(0.,-1.,0.)); #373930=DIRECTION('',(0.,0.,1.)); #373931=DIRECTION('center_axis',(0.,-1.,0.)); #373932=DIRECTION('ref_axis',(1.,0.,0.)); #373933=DIRECTION('',(1.,0.,0.)); #373934=DIRECTION('',(1.,0.,0.)); #373935=DIRECTION('',(0.,0.,1.)); #373936=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #373937=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #373938=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #373939=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #373940=DIRECTION('',(0.,0.,1.)); #373941=DIRECTION('center_axis',(1.,0.,0.)); #373942=DIRECTION('ref_axis',(0.,1.,0.)); #373943=DIRECTION('',(0.,1.,0.)); #373944=DIRECTION('',(0.,1.,0.)); #373945=DIRECTION('',(0.,0.,1.)); #373946=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #373947=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #373948=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #373949=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #373950=DIRECTION('',(0.,0.,1.)); #373951=DIRECTION('center_axis',(0.,1.,0.)); #373952=DIRECTION('ref_axis',(-1.,0.,0.)); #373953=DIRECTION('',(-1.,0.,0.)); #373954=DIRECTION('',(-1.,0.,0.)); #373955=DIRECTION('',(0.,0.,1.)); #373956=DIRECTION('center_axis',(0.,0.,1.)); #373957=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #373958=DIRECTION('center_axis',(0.,0.,1.)); #373959=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #373960=DIRECTION('center_axis',(0.,0.,1.)); #373961=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #373962=DIRECTION('',(0.,0.,1.)); #373963=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #373964=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #373965=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #373966=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #373967=DIRECTION('',(0.,0.,1.)); #373968=DIRECTION('center_axis',(0.,0.,1.)); #373969=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #373970=DIRECTION('center_axis',(0.,0.,1.)); #373971=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #373972=DIRECTION('center_axis',(0.,0.,1.)); #373973=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #373974=DIRECTION('',(0.,0.,1.)); #373975=DIRECTION('center_axis',(1.,0.,0.)); #373976=DIRECTION('ref_axis',(0.,1.,0.)); #373977=DIRECTION('',(0.,1.,0.)); #373978=DIRECTION('',(0.,1.,0.)); #373979=DIRECTION('',(0.,0.,1.)); #373980=DIRECTION('center_axis',(0.707106781186542,0.707106781186554,0.)); #373981=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186541,0.)); #373982=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #373983=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #373984=DIRECTION('',(0.,0.,1.)); #373985=DIRECTION('center_axis',(0.,1.,0.)); #373986=DIRECTION('ref_axis',(-1.,0.,0.)); #373987=DIRECTION('',(-1.,0.,0.)); #373988=DIRECTION('',(-1.,0.,0.)); #373989=DIRECTION('',(0.,0.,1.)); #373990=DIRECTION('center_axis',(0.,0.,1.)); #373991=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #373992=DIRECTION('center_axis',(0.,0.,1.)); #373993=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #373994=DIRECTION('center_axis',(0.,0.,1.)); #373995=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #373996=DIRECTION('',(0.,0.,1.)); #373997=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #373998=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #373999=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #374000=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #374001=DIRECTION('',(0.,0.,1.)); #374002=DIRECTION('center_axis',(0.,0.,1.)); #374003=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #374004=DIRECTION('center_axis',(0.,0.,1.)); #374005=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #374006=DIRECTION('center_axis',(0.,0.,1.)); #374007=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #374008=DIRECTION('',(0.,0.,1.)); #374009=DIRECTION('center_axis',(1.,0.,0.)); #374010=DIRECTION('ref_axis',(0.,1.,0.)); #374011=DIRECTION('',(0.,1.,0.)); #374012=DIRECTION('',(0.,1.,0.)); #374013=DIRECTION('',(0.,0.,1.)); #374014=DIRECTION('center_axis',(0.,0.,1.)); #374015=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #374016=DIRECTION('center_axis',(0.,0.,1.)); #374017=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #374018=DIRECTION('center_axis',(0.,0.,1.)); #374019=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #374020=DIRECTION('',(0.,0.,1.)); #374021=DIRECTION('center_axis',(0.,0.,1.)); #374022=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #374023=DIRECTION('center_axis',(0.,0.,1.)); #374024=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #374025=DIRECTION('center_axis',(0.,0.,1.)); #374026=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #374027=DIRECTION('',(0.,0.,1.)); #374028=DIRECTION('center_axis',(-1.,0.,0.)); #374029=DIRECTION('ref_axis',(0.,-1.,0.)); #374030=DIRECTION('',(0.,-1.,0.)); #374031=DIRECTION('',(0.,-1.,0.)); #374032=DIRECTION('',(0.,0.,1.)); #374033=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #374034=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #374035=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374036=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374037=DIRECTION('',(0.,0.,1.)); #374038=DIRECTION('center_axis',(0.,-1.,0.)); #374039=DIRECTION('ref_axis',(1.,0.,0.)); #374040=DIRECTION('',(1.,0.,0.)); #374041=DIRECTION('',(1.,0.,0.)); #374042=DIRECTION('',(0.,0.,1.)); #374043=DIRECTION('center_axis',(0.,0.,1.)); #374044=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #374045=DIRECTION('center_axis',(0.,0.,1.)); #374046=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #374047=DIRECTION('center_axis',(0.,0.,1.)); #374048=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #374049=DIRECTION('',(0.,0.,1.)); #374050=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #374051=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #374052=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #374053=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #374054=DIRECTION('',(0.,0.,1.)); #374055=DIRECTION('center_axis',(0.,0.,1.)); #374056=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #374057=DIRECTION('center_axis',(0.,0.,1.)); #374058=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #374059=DIRECTION('center_axis',(0.,0.,1.)); #374060=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #374061=DIRECTION('',(0.,0.,1.)); #374062=DIRECTION('center_axis',(-1.,0.,0.)); #374063=DIRECTION('ref_axis',(0.,-1.,0.)); #374064=DIRECTION('',(0.,-1.,0.)); #374065=DIRECTION('',(0.,-1.,0.)); #374066=DIRECTION('',(0.,0.,1.)); #374067=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #374068=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #374069=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #374070=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #374071=DIRECTION('',(0.,0.,1.)); #374072=DIRECTION('center_axis',(0.,-1.,0.)); #374073=DIRECTION('ref_axis',(1.,0.,0.)); #374074=DIRECTION('',(1.,0.,0.)); #374075=DIRECTION('',(1.,0.,0.)); #374076=DIRECTION('',(0.,0.,1.)); #374077=DIRECTION('center_axis',(0.,0.,1.)); #374078=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #374079=DIRECTION('center_axis',(0.,0.,1.)); #374080=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #374081=DIRECTION('center_axis',(0.,0.,1.)); #374082=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #374083=DIRECTION('',(0.,0.,1.)); #374084=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #374085=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #374086=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374087=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374088=DIRECTION('',(0.,0.,1.)); #374089=DIRECTION('center_axis',(0.,0.,1.)); #374090=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #374091=DIRECTION('center_axis',(0.,0.,1.)); #374092=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #374093=DIRECTION('center_axis',(0.,0.,1.)); #374094=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #374095=DIRECTION('',(0.,0.,1.)); #374096=DIRECTION('center_axis',(-1.,0.,0.)); #374097=DIRECTION('ref_axis',(0.,-1.,0.)); #374098=DIRECTION('',(0.,-1.,0.)); #374099=DIRECTION('',(0.,-1.,0.)); #374100=DIRECTION('',(0.,0.,1.)); #374101=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #374102=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #374103=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #374104=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #374105=DIRECTION('',(0.,0.,1.)); #374106=DIRECTION('center_axis',(0.,-1.,0.)); #374107=DIRECTION('ref_axis',(1.,0.,0.)); #374108=DIRECTION('',(1.,0.,0.)); #374109=DIRECTION('',(1.,0.,0.)); #374110=DIRECTION('',(0.,0.,1.)); #374111=DIRECTION('center_axis',(1.,0.,0.)); #374112=DIRECTION('ref_axis',(0.,1.,0.)); #374113=DIRECTION('',(0.,1.,0.)); #374114=DIRECTION('',(0.,1.,0.)); #374115=DIRECTION('',(0.,0.,1.)); #374116=DIRECTION('center_axis',(0.,-1.,0.)); #374117=DIRECTION('ref_axis',(1.,0.,0.)); #374118=DIRECTION('',(1.,0.,0.)); #374119=DIRECTION('',(1.,0.,0.)); #374120=DIRECTION('',(0.,0.,1.)); #374121=DIRECTION('center_axis',(-1.,0.,0.)); #374122=DIRECTION('ref_axis',(0.,-1.,0.)); #374123=DIRECTION('',(0.,-1.,0.)); #374124=DIRECTION('',(0.,-1.,0.)); #374125=DIRECTION('',(0.,0.,1.)); #374126=DIRECTION('center_axis',(0.,1.,0.)); #374127=DIRECTION('ref_axis',(-1.,0.,0.)); #374128=DIRECTION('',(-1.,0.,0.)); #374129=DIRECTION('',(-1.,0.,0.)); #374130=DIRECTION('center_axis',(0.,0.,1.)); #374131=DIRECTION('ref_axis',(1.,0.,0.)); #374132=DIRECTION('center_axis',(0.,0.,1.)); #374133=DIRECTION('ref_axis',(1.,0.,0.)); #374134=DIRECTION('center_axis',(0.,0.,1.)); #374135=DIRECTION('ref_axis',(1.,0.,0.)); #374136=DIRECTION('center_axis',(0.,0.,1.)); #374137=DIRECTION('ref_axis',(1.,0.,0.)); #374138=DIRECTION('',(0.,0.,1.)); #374139=DIRECTION('center_axis',(0.,0.,-1.)); #374140=DIRECTION('ref_axis',(1.,0.,0.)); #374141=DIRECTION('center_axis',(1.,0.,0.)); #374142=DIRECTION('ref_axis',(0.,1.,0.)); #374143=DIRECTION('',(0.,1.,0.)); #374144=DIRECTION('',(0.,0.,1.)); #374145=DIRECTION('',(0.,1.,0.)); #374146=DIRECTION('',(0.,0.,1.)); #374147=DIRECTION('center_axis',(0.,-1.,0.)); #374148=DIRECTION('ref_axis',(1.,0.,0.)); #374149=DIRECTION('',(1.,0.,0.)); #374150=DIRECTION('',(1.,0.,0.)); #374151=DIRECTION('',(0.,0.,1.)); #374152=DIRECTION('center_axis',(-1.,0.,0.)); #374153=DIRECTION('ref_axis',(0.,-1.,0.)); #374154=DIRECTION('',(0.,-1.,0.)); #374155=DIRECTION('',(0.,-1.,0.)); #374156=DIRECTION('',(0.,0.,1.)); #374157=DIRECTION('center_axis',(0.,-1.,0.)); #374158=DIRECTION('ref_axis',(1.,0.,0.)); #374159=DIRECTION('',(1.,0.,0.)); #374160=DIRECTION('',(1.,0.,0.)); #374161=DIRECTION('',(0.,0.,1.)); #374162=DIRECTION('center_axis',(0.,0.,1.)); #374163=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374164=DIRECTION('center_axis',(0.,0.,1.)); #374165=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374166=DIRECTION('center_axis',(0.,0.,1.)); #374167=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374168=DIRECTION('',(0.,0.,1.)); #374169=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #374170=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #374171=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374172=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #374173=DIRECTION('',(0.,0.,1.)); #374174=DIRECTION('center_axis',(0.,0.,1.)); #374175=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374176=DIRECTION('center_axis',(0.,0.,1.)); #374177=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374178=DIRECTION('center_axis',(0.,0.,1.)); #374179=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374180=DIRECTION('',(0.,0.,1.)); #374181=DIRECTION('center_axis',(-1.,0.,0.)); #374182=DIRECTION('ref_axis',(0.,-1.,0.)); #374183=DIRECTION('',(0.,-1.,0.)); #374184=DIRECTION('',(0.,-1.,0.)); #374185=DIRECTION('',(0.,0.,1.)); #374186=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #374187=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #374188=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #374189=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #374190=DIRECTION('',(0.,0.,1.)); #374191=DIRECTION('center_axis',(0.,0.,1.)); #374192=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374193=DIRECTION('center_axis',(0.,0.,1.)); #374194=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374195=DIRECTION('center_axis',(0.,0.,1.)); #374196=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374197=DIRECTION('',(0.,0.,1.)); #374198=DIRECTION('center_axis',(-1.,0.,0.)); #374199=DIRECTION('ref_axis',(0.,-1.,0.)); #374200=DIRECTION('',(0.,-1.,0.)); #374201=DIRECTION('',(0.,-1.,0.)); #374202=DIRECTION('',(0.,0.,1.)); #374203=DIRECTION('center_axis',(0.,0.,1.)); #374204=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #374205=DIRECTION('center_axis',(0.,0.,1.)); #374206=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #374207=DIRECTION('center_axis',(0.,0.,1.)); #374208=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #374209=DIRECTION('',(0.,0.,1.)); #374210=DIRECTION('center_axis',(0.,0.,1.)); #374211=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #374212=DIRECTION('center_axis',(0.,0.,1.)); #374213=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #374214=DIRECTION('center_axis',(0.,0.,1.)); #374215=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #374216=DIRECTION('',(0.,0.,1.)); #374217=DIRECTION('center_axis',(1.,0.,0.)); #374218=DIRECTION('ref_axis',(0.,1.,0.)); #374219=DIRECTION('',(0.,1.,0.)); #374220=DIRECTION('',(0.,1.,0.)); #374221=DIRECTION('',(0.,0.,1.)); #374222=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374223=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374224=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374225=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374226=DIRECTION('',(0.,0.,1.)); #374227=DIRECTION('center_axis',(0.,0.,1.)); #374228=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374229=DIRECTION('center_axis',(0.,0.,1.)); #374230=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374231=DIRECTION('center_axis',(0.,0.,1.)); #374232=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374233=DIRECTION('',(0.,0.,1.)); #374234=DIRECTION('center_axis',(1.,0.,0.)); #374235=DIRECTION('ref_axis',(0.,1.,0.)); #374236=DIRECTION('',(0.,1.,0.)); #374237=DIRECTION('',(0.,1.,0.)); #374238=DIRECTION('',(0.,0.,1.)); #374239=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #374240=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #374241=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #374242=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #374243=DIRECTION('',(0.,0.,1.)); #374244=DIRECTION('center_axis',(0.,1.,0.)); #374245=DIRECTION('ref_axis',(-1.,0.,0.)); #374246=DIRECTION('',(-1.,0.,0.)); #374247=DIRECTION('',(-1.,0.,0.)); #374248=DIRECTION('',(0.,0.,1.)); #374249=DIRECTION('center_axis',(-1.,0.,0.)); #374250=DIRECTION('ref_axis',(0.,-1.,0.)); #374251=DIRECTION('',(0.,-1.,0.)); #374252=DIRECTION('',(0.,-1.,0.)); #374253=DIRECTION('',(0.,0.,1.)); #374254=DIRECTION('center_axis',(0.,1.,0.)); #374255=DIRECTION('ref_axis',(-1.,0.,0.)); #374256=DIRECTION('',(-1.,0.,0.)); #374257=DIRECTION('',(-1.,0.,0.)); #374258=DIRECTION('center_axis',(0.,0.,1.)); #374259=DIRECTION('ref_axis',(1.,0.,0.)); #374260=DIRECTION('center_axis',(0.,0.,1.)); #374261=DIRECTION('ref_axis',(1.,0.,0.)); #374262=DIRECTION('center_axis',(1.,0.,0.)); #374263=DIRECTION('ref_axis',(0.,1.,0.)); #374264=DIRECTION('',(0.,1.,0.)); #374265=DIRECTION('',(0.,0.,1.)); #374266=DIRECTION('',(0.,1.,0.)); #374267=DIRECTION('',(0.,0.,1.)); #374268=DIRECTION('center_axis',(0.,-1.,0.)); #374269=DIRECTION('ref_axis',(1.,0.,0.)); #374270=DIRECTION('',(1.,0.,0.)); #374271=DIRECTION('',(1.,0.,0.)); #374272=DIRECTION('',(0.,0.,1.)); #374273=DIRECTION('center_axis',(-1.,0.,0.)); #374274=DIRECTION('ref_axis',(0.,-1.,0.)); #374275=DIRECTION('',(0.,-1.,0.)); #374276=DIRECTION('',(0.,-1.,0.)); #374277=DIRECTION('',(0.,0.,1.)); #374278=DIRECTION('center_axis',(0.,1.,0.)); #374279=DIRECTION('ref_axis',(-1.,0.,0.)); #374280=DIRECTION('',(-1.,0.,0.)); #374281=DIRECTION('',(-1.,0.,0.)); #374282=DIRECTION('',(0.,0.,1.)); #374283=DIRECTION('center_axis',(-1.,0.,0.)); #374284=DIRECTION('ref_axis',(0.,-1.,0.)); #374285=DIRECTION('',(0.,-1.,0.)); #374286=DIRECTION('',(0.,-1.,0.)); #374287=DIRECTION('',(0.,0.,1.)); #374288=DIRECTION('center_axis',(0.,-1.,0.)); #374289=DIRECTION('ref_axis',(1.,0.,0.)); #374290=DIRECTION('',(1.,0.,0.)); #374291=DIRECTION('',(1.,0.,0.)); #374292=DIRECTION('',(0.,0.,1.)); #374293=DIRECTION('center_axis',(-1.,0.,0.)); #374294=DIRECTION('ref_axis',(0.,-1.,0.)); #374295=DIRECTION('',(0.,-1.,0.)); #374296=DIRECTION('',(0.,-1.,0.)); #374297=DIRECTION('',(0.,0.,1.)); #374298=DIRECTION('center_axis',(0.,1.,0.)); #374299=DIRECTION('ref_axis',(-1.,0.,0.)); #374300=DIRECTION('',(-1.,0.,0.)); #374301=DIRECTION('',(-1.,0.,0.)); #374302=DIRECTION('',(0.,0.,1.)); #374303=DIRECTION('center_axis',(1.,0.,0.)); #374304=DIRECTION('ref_axis',(0.,1.,0.)); #374305=DIRECTION('',(0.,1.,0.)); #374306=DIRECTION('',(0.,1.,0.)); #374307=DIRECTION('',(0.,0.,1.)); #374308=DIRECTION('center_axis',(0.,-1.,0.)); #374309=DIRECTION('ref_axis',(1.,0.,0.)); #374310=DIRECTION('',(1.,0.,0.)); #374311=DIRECTION('',(1.,0.,0.)); #374312=DIRECTION('',(0.,0.,1.)); #374313=DIRECTION('center_axis',(1.,0.,0.)); #374314=DIRECTION('ref_axis',(0.,1.,0.)); #374315=DIRECTION('',(0.,1.,0.)); #374316=DIRECTION('',(0.,1.,0.)); #374317=DIRECTION('',(0.,0.,1.)); #374318=DIRECTION('center_axis',(0.,1.,0.)); #374319=DIRECTION('ref_axis',(-1.,0.,0.)); #374320=DIRECTION('',(-1.,0.,0.)); #374321=DIRECTION('',(-1.,0.,0.)); #374322=DIRECTION('center_axis',(0.,0.,1.)); #374323=DIRECTION('ref_axis',(1.,0.,0.)); #374324=DIRECTION('center_axis',(0.,0.,1.)); #374325=DIRECTION('ref_axis',(1.,0.,0.)); #374326=DIRECTION('center_axis',(1.,0.,0.)); #374327=DIRECTION('ref_axis',(0.,1.,0.)); #374328=DIRECTION('',(0.,1.,0.)); #374329=DIRECTION('',(0.,0.,1.)); #374330=DIRECTION('',(0.,1.,0.)); #374331=DIRECTION('',(0.,0.,1.)); #374332=DIRECTION('center_axis',(0.,-1.,0.)); #374333=DIRECTION('ref_axis',(1.,0.,0.)); #374334=DIRECTION('',(1.,0.,0.)); #374335=DIRECTION('',(1.,0.,0.)); #374336=DIRECTION('',(0.,0.,1.)); #374337=DIRECTION('center_axis',(-1.,0.,0.)); #374338=DIRECTION('ref_axis',(0.,-1.,0.)); #374339=DIRECTION('',(0.,-1.,0.)); #374340=DIRECTION('',(0.,-1.,0.)); #374341=DIRECTION('',(0.,0.,1.)); #374342=DIRECTION('center_axis',(0.,1.,0.)); #374343=DIRECTION('ref_axis',(-1.,0.,0.)); #374344=DIRECTION('',(-1.,0.,0.)); #374345=DIRECTION('',(-1.,0.,0.)); #374346=DIRECTION('',(0.,0.,1.)); #374347=DIRECTION('center_axis',(-1.,0.,0.)); #374348=DIRECTION('ref_axis',(0.,-1.,0.)); #374349=DIRECTION('',(0.,-1.,0.)); #374350=DIRECTION('',(0.,-1.,0.)); #374351=DIRECTION('',(0.,0.,1.)); #374352=DIRECTION('center_axis',(0.,-1.,0.)); #374353=DIRECTION('ref_axis',(1.,0.,0.)); #374354=DIRECTION('',(1.,0.,0.)); #374355=DIRECTION('',(1.,0.,0.)); #374356=DIRECTION('',(0.,0.,1.)); #374357=DIRECTION('center_axis',(-1.,0.,0.)); #374358=DIRECTION('ref_axis',(0.,-1.,0.)); #374359=DIRECTION('',(0.,-1.,0.)); #374360=DIRECTION('',(0.,-1.,0.)); #374361=DIRECTION('',(0.,0.,1.)); #374362=DIRECTION('center_axis',(0.,1.,0.)); #374363=DIRECTION('ref_axis',(-1.,0.,0.)); #374364=DIRECTION('',(-1.,0.,0.)); #374365=DIRECTION('',(-1.,0.,0.)); #374366=DIRECTION('',(0.,0.,1.)); #374367=DIRECTION('center_axis',(1.,0.,0.)); #374368=DIRECTION('ref_axis',(0.,1.,0.)); #374369=DIRECTION('',(0.,1.,0.)); #374370=DIRECTION('',(0.,1.,0.)); #374371=DIRECTION('',(0.,0.,1.)); #374372=DIRECTION('center_axis',(0.,-1.,0.)); #374373=DIRECTION('ref_axis',(1.,0.,0.)); #374374=DIRECTION('',(1.,0.,0.)); #374375=DIRECTION('',(1.,0.,0.)); #374376=DIRECTION('',(0.,0.,1.)); #374377=DIRECTION('center_axis',(1.,0.,0.)); #374378=DIRECTION('ref_axis',(0.,1.,0.)); #374379=DIRECTION('',(0.,1.,0.)); #374380=DIRECTION('',(0.,1.,0.)); #374381=DIRECTION('',(0.,0.,1.)); #374382=DIRECTION('center_axis',(0.,1.,0.)); #374383=DIRECTION('ref_axis',(-1.,0.,0.)); #374384=DIRECTION('',(-1.,0.,0.)); #374385=DIRECTION('',(-1.,0.,0.)); #374386=DIRECTION('center_axis',(0.,0.,1.)); #374387=DIRECTION('ref_axis',(1.,0.,0.)); #374388=DIRECTION('center_axis',(0.,0.,1.)); #374389=DIRECTION('ref_axis',(1.,0.,0.)); #374390=DIRECTION('center_axis',(0.,0.,1.)); #374391=DIRECTION('ref_axis',(1.,0.,0.)); #374392=DIRECTION('center_axis',(0.,0.,1.)); #374393=DIRECTION('ref_axis',(1.,0.,0.)); #374394=DIRECTION('',(0.,0.,1.)); #374395=DIRECTION('center_axis',(0.,0.,-1.)); #374396=DIRECTION('ref_axis',(1.,0.,0.)); #374397=DIRECTION('center_axis',(1.,0.,0.)); #374398=DIRECTION('ref_axis',(0.,1.,0.)); #374399=DIRECTION('',(0.,1.,0.)); #374400=DIRECTION('',(0.,0.,1.)); #374401=DIRECTION('',(0.,1.,0.)); #374402=DIRECTION('',(0.,0.,1.)); #374403=DIRECTION('center_axis',(0.,-1.,0.)); #374404=DIRECTION('ref_axis',(1.,0.,0.)); #374405=DIRECTION('',(1.,0.,0.)); #374406=DIRECTION('',(1.,0.,0.)); #374407=DIRECTION('',(0.,0.,1.)); #374408=DIRECTION('center_axis',(-1.,0.,0.)); #374409=DIRECTION('ref_axis',(0.,-1.,0.)); #374410=DIRECTION('',(0.,-1.,0.)); #374411=DIRECTION('',(0.,-1.,0.)); #374412=DIRECTION('',(0.,0.,1.)); #374413=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #374414=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #374415=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #374416=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #374417=DIRECTION('',(0.,0.,1.)); #374418=DIRECTION('center_axis',(0.,0.,1.)); #374419=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #374420=DIRECTION('center_axis',(0.,0.,1.)); #374421=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #374422=DIRECTION('center_axis',(0.,0.,1.)); #374423=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #374424=DIRECTION('',(0.,0.,1.)); #374425=DIRECTION('center_axis',(-1.,0.,0.)); #374426=DIRECTION('ref_axis',(0.,-1.,0.)); #374427=DIRECTION('',(0.,-1.,0.)); #374428=DIRECTION('',(0.,-1.,0.)); #374429=DIRECTION('',(0.,0.,1.)); #374430=DIRECTION('center_axis',(0.,0.,1.)); #374431=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #374432=DIRECTION('center_axis',(0.,0.,1.)); #374433=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #374434=DIRECTION('center_axis',(0.,0.,1.)); #374435=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #374436=DIRECTION('',(0.,0.,1.)); #374437=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #374438=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #374439=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #374440=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #374441=DIRECTION('',(0.,0.,1.)); #374442=DIRECTION('center_axis',(0.,0.,1.)); #374443=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #374444=DIRECTION('center_axis',(0.,0.,1.)); #374445=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #374446=DIRECTION('center_axis',(0.,0.,1.)); #374447=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #374448=DIRECTION('',(0.,0.,1.)); #374449=DIRECTION('center_axis',(0.,1.,0.)); #374450=DIRECTION('ref_axis',(-1.,0.,0.)); #374451=DIRECTION('',(-1.,0.,0.)); #374452=DIRECTION('',(-1.,0.,0.)); #374453=DIRECTION('',(0.,0.,1.)); #374454=DIRECTION('center_axis',(0.,0.,1.)); #374455=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #374456=DIRECTION('center_axis',(0.,0.,1.)); #374457=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #374458=DIRECTION('center_axis',(0.,0.,1.)); #374459=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #374460=DIRECTION('',(0.,0.,1.)); #374461=DIRECTION('center_axis',(0.,0.,1.)); #374462=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #374463=DIRECTION('center_axis',(0.,0.,1.)); #374464=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #374465=DIRECTION('center_axis',(0.,0.,1.)); #374466=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #374467=DIRECTION('',(0.,0.,1.)); #374468=DIRECTION('center_axis',(0.,-1.,0.)); #374469=DIRECTION('ref_axis',(1.,0.,0.)); #374470=DIRECTION('',(1.,0.,0.)); #374471=DIRECTION('',(1.,0.,0.)); #374472=DIRECTION('',(0.,0.,1.)); #374473=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #374474=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #374475=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #374476=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #374477=DIRECTION('',(0.,0.,1.)); #374478=DIRECTION('center_axis',(1.,0.,0.)); #374479=DIRECTION('ref_axis',(0.,1.,0.)); #374480=DIRECTION('',(0.,1.,0.)); #374481=DIRECTION('',(0.,1.,0.)); #374482=DIRECTION('',(0.,0.,1.)); #374483=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374484=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374485=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374486=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374487=DIRECTION('',(0.,0.,1.)); #374488=DIRECTION('center_axis',(0.,1.,0.)); #374489=DIRECTION('ref_axis',(-1.,0.,0.)); #374490=DIRECTION('',(-1.,0.,0.)); #374491=DIRECTION('',(-1.,0.,0.)); #374492=DIRECTION('center_axis',(0.,0.,1.)); #374493=DIRECTION('ref_axis',(1.,0.,0.)); #374494=DIRECTION('center_axis',(0.,0.,1.)); #374495=DIRECTION('ref_axis',(1.,0.,0.)); #374496=DIRECTION('center_axis',(0.,0.,1.)); #374497=DIRECTION('ref_axis',(1.,0.,0.)); #374498=DIRECTION('center_axis',(0.,0.,1.)); #374499=DIRECTION('ref_axis',(1.,0.,0.)); #374500=DIRECTION('',(0.,0.,1.)); #374501=DIRECTION('center_axis',(0.,0.,-1.)); #374502=DIRECTION('ref_axis',(1.,0.,0.)); #374503=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #374504=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #374505=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #374506=DIRECTION('',(0.,0.,1.)); #374507=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #374508=DIRECTION('',(0.,0.,1.)); #374509=DIRECTION('center_axis',(0.,0.,1.)); #374510=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #374511=DIRECTION('center_axis',(0.,0.,1.)); #374512=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #374513=DIRECTION('center_axis',(0.,0.,1.)); #374514=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #374515=DIRECTION('',(0.,0.,1.)); #374516=DIRECTION('center_axis',(-1.,0.,0.)); #374517=DIRECTION('ref_axis',(0.,-1.,0.)); #374518=DIRECTION('',(0.,-1.,0.)); #374519=DIRECTION('',(0.,-1.,0.)); #374520=DIRECTION('',(0.,0.,1.)); #374521=DIRECTION('center_axis',(0.,0.,1.)); #374522=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #374523=DIRECTION('center_axis',(0.,0.,1.)); #374524=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #374525=DIRECTION('center_axis',(0.,0.,1.)); #374526=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #374527=DIRECTION('',(0.,0.,1.)); #374528=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #374529=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #374530=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #374531=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #374532=DIRECTION('',(0.,0.,1.)); #374533=DIRECTION('center_axis',(0.,0.,1.)); #374534=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #374535=DIRECTION('center_axis',(0.,0.,1.)); #374536=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #374537=DIRECTION('center_axis',(0.,0.,1.)); #374538=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #374539=DIRECTION('',(0.,0.,1.)); #374540=DIRECTION('center_axis',(0.,1.,0.)); #374541=DIRECTION('ref_axis',(-1.,0.,0.)); #374542=DIRECTION('',(-1.,0.,0.)); #374543=DIRECTION('',(-1.,0.,0.)); #374544=DIRECTION('',(0.,0.,1.)); #374545=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #374546=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #374547=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #374548=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #374549=DIRECTION('',(0.,0.,1.)); #374550=DIRECTION('center_axis',(-1.,0.,0.)); #374551=DIRECTION('ref_axis',(0.,-1.,0.)); #374552=DIRECTION('',(0.,-1.,0.)); #374553=DIRECTION('',(0.,-1.,0.)); #374554=DIRECTION('',(0.,0.,1.)); #374555=DIRECTION('center_axis',(0.,-1.,0.)); #374556=DIRECTION('ref_axis',(1.,0.,0.)); #374557=DIRECTION('',(1.,0.,0.)); #374558=DIRECTION('',(1.,0.,0.)); #374559=DIRECTION('',(0.,0.,1.)); #374560=DIRECTION('center_axis',(-1.,0.,0.)); #374561=DIRECTION('ref_axis',(0.,-1.,0.)); #374562=DIRECTION('',(0.,-1.,0.)); #374563=DIRECTION('',(0.,-1.,0.)); #374564=DIRECTION('',(0.,0.,1.)); #374565=DIRECTION('center_axis',(0.,1.,0.)); #374566=DIRECTION('ref_axis',(-1.,0.,0.)); #374567=DIRECTION('',(-1.,0.,0.)); #374568=DIRECTION('',(-1.,0.,0.)); #374569=DIRECTION('',(0.,0.,1.)); #374570=DIRECTION('center_axis',(1.,0.,0.)); #374571=DIRECTION('ref_axis',(0.,1.,0.)); #374572=DIRECTION('',(0.,1.,0.)); #374573=DIRECTION('',(0.,1.,0.)); #374574=DIRECTION('',(0.,0.,1.)); #374575=DIRECTION('center_axis',(0.,-1.,0.)); #374576=DIRECTION('ref_axis',(1.,0.,0.)); #374577=DIRECTION('',(1.,0.,0.)); #374578=DIRECTION('',(1.,0.,0.)); #374579=DIRECTION('',(0.,0.,1.)); #374580=DIRECTION('center_axis',(1.,0.,0.)); #374581=DIRECTION('ref_axis',(0.,1.,0.)); #374582=DIRECTION('',(0.,1.,0.)); #374583=DIRECTION('',(0.,1.,0.)); #374584=DIRECTION('',(0.,0.,1.)); #374585=DIRECTION('center_axis',(0.,0.,1.)); #374586=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #374587=DIRECTION('center_axis',(0.,0.,1.)); #374588=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #374589=DIRECTION('center_axis',(0.,0.,1.)); #374590=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #374591=DIRECTION('',(0.,0.,1.)); #374592=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #374593=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #374594=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #374595=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #374596=DIRECTION('',(0.,0.,1.)); #374597=DIRECTION('center_axis',(0.,0.,1.)); #374598=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #374599=DIRECTION('center_axis',(0.,0.,1.)); #374600=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #374601=DIRECTION('center_axis',(0.,0.,1.)); #374602=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #374603=DIRECTION('',(0.,0.,1.)); #374604=DIRECTION('center_axis',(0.,-1.,0.)); #374605=DIRECTION('ref_axis',(1.,0.,0.)); #374606=DIRECTION('',(1.,0.,0.)); #374607=DIRECTION('',(1.,0.,0.)); #374608=DIRECTION('',(0.,0.,1.)); #374609=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #374610=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #374611=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #374612=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #374613=DIRECTION('',(0.,0.,1.)); #374614=DIRECTION('center_axis',(1.,0.,0.)); #374615=DIRECTION('ref_axis',(0.,1.,0.)); #374616=DIRECTION('',(0.,1.,0.)); #374617=DIRECTION('',(0.,1.,0.)); #374618=DIRECTION('',(0.,0.,1.)); #374619=DIRECTION('center_axis',(0.,0.,1.)); #374620=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374621=DIRECTION('center_axis',(0.,0.,1.)); #374622=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374623=DIRECTION('center_axis',(0.,0.,1.)); #374624=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374625=DIRECTION('center_axis',(0.,0.,1.)); #374626=DIRECTION('ref_axis',(1.,0.,0.)); #374627=DIRECTION('center_axis',(0.,0.,1.)); #374628=DIRECTION('ref_axis',(1.,0.,0.)); #374629=DIRECTION('center_axis',(0.,0.,1.)); #374630=DIRECTION('ref_axis',(1.,0.,0.)); #374631=DIRECTION('center_axis',(0.,0.,1.)); #374632=DIRECTION('ref_axis',(1.,0.,0.)); #374633=DIRECTION('',(0.,0.,1.)); #374634=DIRECTION('center_axis',(0.,0.,-1.)); #374635=DIRECTION('ref_axis',(1.,0.,0.)); #374636=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #374637=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #374638=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #374639=DIRECTION('',(0.,0.,1.)); #374640=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #374641=DIRECTION('',(0.,0.,1.)); #374642=DIRECTION('center_axis',(0.,0.,1.)); #374643=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #374644=DIRECTION('center_axis',(0.,0.,1.)); #374645=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #374646=DIRECTION('center_axis',(0.,0.,1.)); #374647=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #374648=DIRECTION('',(0.,0.,1.)); #374649=DIRECTION('center_axis',(0.,0.,1.)); #374650=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #374651=DIRECTION('center_axis',(0.,0.,1.)); #374652=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #374653=DIRECTION('center_axis',(0.,0.,1.)); #374654=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #374655=DIRECTION('',(0.,0.,1.)); #374656=DIRECTION('center_axis',(-0.707094924240216,0.707118637934062,0.)); #374657=DIRECTION('ref_axis',(-0.707118637934062,-0.707094924240216,0.)); #374658=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #374659=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #374660=DIRECTION('',(0.,0.,1.)); #374661=DIRECTION('center_axis',(-1.,0.,0.)); #374662=DIRECTION('ref_axis',(0.,-1.,0.)); #374663=DIRECTION('',(0.,-1.,0.)); #374664=DIRECTION('',(0.,-1.,0.)); #374665=DIRECTION('',(0.,0.,1.)); #374666=DIRECTION('center_axis',(0.,1.,0.)); #374667=DIRECTION('ref_axis',(-1.,0.,0.)); #374668=DIRECTION('',(-1.,0.,0.)); #374669=DIRECTION('',(-1.,0.,0.)); #374670=DIRECTION('',(0.,0.,1.)); #374671=DIRECTION('center_axis',(1.,0.,0.)); #374672=DIRECTION('ref_axis',(0.,1.,0.)); #374673=DIRECTION('',(0.,1.,0.)); #374674=DIRECTION('',(0.,1.,0.)); #374675=DIRECTION('',(0.,0.,1.)); #374676=DIRECTION('center_axis',(0.,-1.,0.)); #374677=DIRECTION('ref_axis',(1.,0.,0.)); #374678=DIRECTION('',(1.,0.,0.)); #374679=DIRECTION('',(1.,0.,0.)); #374680=DIRECTION('',(0.,0.,1.)); #374681=DIRECTION('center_axis',(1.,0.,0.)); #374682=DIRECTION('ref_axis',(0.,1.,0.)); #374683=DIRECTION('',(0.,1.,0.)); #374684=DIRECTION('',(0.,1.,0.)); #374685=DIRECTION('',(0.,0.,1.)); #374686=DIRECTION('center_axis',(0.,0.,1.)); #374687=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #374688=DIRECTION('center_axis',(0.,0.,1.)); #374689=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #374690=DIRECTION('center_axis',(0.,0.,1.)); #374691=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #374692=DIRECTION('',(0.,0.,1.)); #374693=DIRECTION('center_axis',(0.70711134223886,-0.707102220104815,0.)); #374694=DIRECTION('ref_axis',(0.707102220104815,0.70711134223886,0.)); #374695=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #374696=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #374697=DIRECTION('',(0.,0.,1.)); #374698=DIRECTION('center_axis',(0.,0.,1.)); #374699=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #374700=DIRECTION('center_axis',(0.,0.,1.)); #374701=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #374702=DIRECTION('center_axis',(0.,0.,1.)); #374703=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #374704=DIRECTION('center_axis',(0.,0.,1.)); #374705=DIRECTION('ref_axis',(1.,0.,0.)); #374706=DIRECTION('center_axis',(0.,0.,1.)); #374707=DIRECTION('ref_axis',(1.,0.,0.)); #374708=DIRECTION('center_axis',(1.,0.,0.)); #374709=DIRECTION('ref_axis',(0.,1.,0.)); #374710=DIRECTION('',(0.,1.,0.)); #374711=DIRECTION('',(0.,0.,1.)); #374712=DIRECTION('',(0.,1.,0.)); #374713=DIRECTION('',(0.,0.,1.)); #374714=DIRECTION('center_axis',(0.,-1.,0.)); #374715=DIRECTION('ref_axis',(1.,0.,0.)); #374716=DIRECTION('',(1.,0.,0.)); #374717=DIRECTION('',(1.,0.,0.)); #374718=DIRECTION('',(0.,0.,1.)); #374719=DIRECTION('center_axis',(-1.,0.,0.)); #374720=DIRECTION('ref_axis',(0.,-1.,0.)); #374721=DIRECTION('',(0.,-1.,0.)); #374722=DIRECTION('',(0.,-1.,0.)); #374723=DIRECTION('',(0.,0.,1.)); #374724=DIRECTION('center_axis',(0.,-1.,0.)); #374725=DIRECTION('ref_axis',(1.,0.,0.)); #374726=DIRECTION('',(1.,0.,0.)); #374727=DIRECTION('',(1.,0.,0.)); #374728=DIRECTION('',(0.,0.,1.)); #374729=DIRECTION('center_axis',(0.,0.,1.)); #374730=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #374731=DIRECTION('center_axis',(0.,0.,1.)); #374732=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #374733=DIRECTION('center_axis',(0.,0.,1.)); #374734=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #374735=DIRECTION('',(0.,0.,1.)); #374736=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #374737=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #374738=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374739=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374740=DIRECTION('',(0.,0.,1.)); #374741=DIRECTION('center_axis',(0.,0.,1.)); #374742=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374743=DIRECTION('center_axis',(0.,0.,1.)); #374744=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374745=DIRECTION('center_axis',(0.,0.,1.)); #374746=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #374747=DIRECTION('',(0.,0.,1.)); #374748=DIRECTION('center_axis',(-1.,0.,0.)); #374749=DIRECTION('ref_axis',(0.,-1.,0.)); #374750=DIRECTION('',(0.,-1.,0.)); #374751=DIRECTION('',(0.,-1.,0.)); #374752=DIRECTION('',(0.,0.,1.)); #374753=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #374754=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #374755=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #374756=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #374757=DIRECTION('',(0.,0.,1.)); #374758=DIRECTION('center_axis',(0.,-1.,0.)); #374759=DIRECTION('ref_axis',(1.,0.,0.)); #374760=DIRECTION('',(1.,0.,0.)); #374761=DIRECTION('',(1.,0.,0.)); #374762=DIRECTION('',(0.,0.,1.)); #374763=DIRECTION('center_axis',(0.,0.,1.)); #374764=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374765=DIRECTION('center_axis',(0.,0.,1.)); #374766=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374767=DIRECTION('center_axis',(0.,0.,1.)); #374768=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #374769=DIRECTION('',(0.,0.,1.)); #374770=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #374771=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #374772=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374773=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374774=DIRECTION('',(0.,0.,1.)); #374775=DIRECTION('center_axis',(0.,0.,1.)); #374776=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374777=DIRECTION('center_axis',(0.,0.,1.)); #374778=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374779=DIRECTION('center_axis',(0.,0.,1.)); #374780=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #374781=DIRECTION('',(0.,0.,1.)); #374782=DIRECTION('center_axis',(-1.,0.,0.)); #374783=DIRECTION('ref_axis',(0.,-1.,0.)); #374784=DIRECTION('',(0.,-1.,0.)); #374785=DIRECTION('',(0.,-1.,0.)); #374786=DIRECTION('',(0.,0.,1.)); #374787=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #374788=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #374789=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374790=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374791=DIRECTION('',(0.,0.,1.)); #374792=DIRECTION('center_axis',(0.,-1.,0.)); #374793=DIRECTION('ref_axis',(1.,0.,0.)); #374794=DIRECTION('',(1.,0.,0.)); #374795=DIRECTION('',(1.,0.,0.)); #374796=DIRECTION('',(0.,0.,1.)); #374797=DIRECTION('center_axis',(1.,0.,0.)); #374798=DIRECTION('ref_axis',(0.,1.,0.)); #374799=DIRECTION('',(0.,1.,0.)); #374800=DIRECTION('',(0.,1.,0.)); #374801=DIRECTION('',(0.,0.,1.)); #374802=DIRECTION('center_axis',(0.,-1.,0.)); #374803=DIRECTION('ref_axis',(1.,0.,0.)); #374804=DIRECTION('',(1.,0.,0.)); #374805=DIRECTION('',(1.,0.,0.)); #374806=DIRECTION('',(0.,0.,1.)); #374807=DIRECTION('center_axis',(-1.,0.,0.)); #374808=DIRECTION('ref_axis',(0.,-1.,0.)); #374809=DIRECTION('',(0.,-1.,0.)); #374810=DIRECTION('',(0.,-1.,0.)); #374811=DIRECTION('',(0.,0.,1.)); #374812=DIRECTION('center_axis',(0.,1.,0.)); #374813=DIRECTION('ref_axis',(-1.,0.,0.)); #374814=DIRECTION('',(-1.,0.,0.)); #374815=DIRECTION('',(-1.,0.,0.)); #374816=DIRECTION('',(0.,0.,1.)); #374817=DIRECTION('center_axis',(1.,0.,0.)); #374818=DIRECTION('ref_axis',(0.,1.,0.)); #374819=DIRECTION('',(0.,1.,0.)); #374820=DIRECTION('',(0.,1.,0.)); #374821=DIRECTION('',(0.,0.,1.)); #374822=DIRECTION('center_axis',(0.,1.,0.)); #374823=DIRECTION('ref_axis',(-1.,0.,0.)); #374824=DIRECTION('',(-1.,0.,0.)); #374825=DIRECTION('',(-1.,0.,0.)); #374826=DIRECTION('',(0.,0.,1.)); #374827=DIRECTION('center_axis',(0.,0.,1.)); #374828=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374829=DIRECTION('center_axis',(0.,0.,1.)); #374830=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374831=DIRECTION('center_axis',(0.,0.,1.)); #374832=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374833=DIRECTION('',(0.,0.,1.)); #374834=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374835=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374836=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374837=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374838=DIRECTION('',(0.,0.,1.)); #374839=DIRECTION('center_axis',(0.,0.,1.)); #374840=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374841=DIRECTION('center_axis',(0.,0.,1.)); #374842=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374843=DIRECTION('center_axis',(0.,0.,1.)); #374844=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374845=DIRECTION('',(0.,0.,1.)); #374846=DIRECTION('center_axis',(1.,0.,0.)); #374847=DIRECTION('ref_axis',(0.,1.,0.)); #374848=DIRECTION('',(0.,1.,0.)); #374849=DIRECTION('',(0.,1.,0.)); #374850=DIRECTION('',(0.,0.,1.)); #374851=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374852=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374853=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374854=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374855=DIRECTION('',(0.,0.,1.)); #374856=DIRECTION('center_axis',(0.,1.,0.)); #374857=DIRECTION('ref_axis',(-1.,0.,0.)); #374858=DIRECTION('',(-1.,0.,0.)); #374859=DIRECTION('',(-1.,0.,0.)); #374860=DIRECTION('',(0.,0.,1.)); #374861=DIRECTION('center_axis',(0.,0.,1.)); #374862=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374863=DIRECTION('center_axis',(0.,0.,1.)); #374864=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374865=DIRECTION('center_axis',(0.,0.,1.)); #374866=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #374867=DIRECTION('',(0.,0.,1.)); #374868=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374869=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374870=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374871=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374872=DIRECTION('',(0.,0.,1.)); #374873=DIRECTION('center_axis',(0.,0.,1.)); #374874=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374875=DIRECTION('center_axis',(0.,0.,1.)); #374876=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374877=DIRECTION('center_axis',(0.,0.,1.)); #374878=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #374879=DIRECTION('',(0.,0.,1.)); #374880=DIRECTION('center_axis',(1.,0.,0.)); #374881=DIRECTION('ref_axis',(0.,1.,0.)); #374882=DIRECTION('',(0.,1.,0.)); #374883=DIRECTION('',(0.,1.,0.)); #374884=DIRECTION('',(0.,0.,1.)); #374885=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374886=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374887=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374888=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374889=DIRECTION('',(0.,0.,1.)); #374890=DIRECTION('center_axis',(0.,1.,0.)); #374891=DIRECTION('ref_axis',(-1.,0.,0.)); #374892=DIRECTION('',(-1.,0.,0.)); #374893=DIRECTION('',(-1.,0.,0.)); #374894=DIRECTION('',(0.,0.,1.)); #374895=DIRECTION('center_axis',(-1.,0.,0.)); #374896=DIRECTION('ref_axis',(0.,-1.,0.)); #374897=DIRECTION('',(0.,-1.,0.)); #374898=DIRECTION('',(0.,-1.,0.)); #374899=DIRECTION('',(0.,0.,1.)); #374900=DIRECTION('center_axis',(0.,1.,0.)); #374901=DIRECTION('ref_axis',(-1.,0.,0.)); #374902=DIRECTION('',(-1.,0.,0.)); #374903=DIRECTION('',(-1.,0.,0.)); #374904=DIRECTION('center_axis',(0.,0.,1.)); #374905=DIRECTION('ref_axis',(1.,0.,0.)); #374906=DIRECTION('center_axis',(0.,0.,1.)); #374907=DIRECTION('ref_axis',(1.,0.,0.)); #374908=DIRECTION('center_axis',(0.,0.,1.)); #374909=DIRECTION('ref_axis',(1.,0.,0.)); #374910=DIRECTION('center_axis',(0.,0.,1.)); #374911=DIRECTION('ref_axis',(1.,0.,0.)); #374912=DIRECTION('',(0.,0.,1.)); #374913=DIRECTION('center_axis',(0.,0.,-1.)); #374914=DIRECTION('ref_axis',(1.,0.,0.)); #374915=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #374916=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #374917=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #374918=DIRECTION('',(0.,0.,1.)); #374919=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #374920=DIRECTION('',(0.,0.,1.)); #374921=DIRECTION('center_axis',(0.,0.,1.)); #374922=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #374923=DIRECTION('center_axis',(0.,0.,1.)); #374924=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #374925=DIRECTION('center_axis',(0.,0.,1.)); #374926=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #374927=DIRECTION('',(0.,0.,1.)); #374928=DIRECTION('center_axis',(-1.,0.,0.)); #374929=DIRECTION('ref_axis',(0.,-1.,0.)); #374930=DIRECTION('',(0.,-1.,0.)); #374931=DIRECTION('',(0.,-1.,0.)); #374932=DIRECTION('',(0.,0.,1.)); #374933=DIRECTION('center_axis',(0.,-1.,0.)); #374934=DIRECTION('ref_axis',(1.,0.,0.)); #374935=DIRECTION('',(1.,0.,0.)); #374936=DIRECTION('',(1.,0.,0.)); #374937=DIRECTION('',(0.,0.,1.)); #374938=DIRECTION('center_axis',(-1.,0.,0.)); #374939=DIRECTION('ref_axis',(0.,-1.,0.)); #374940=DIRECTION('',(0.,-1.,0.)); #374941=DIRECTION('',(0.,-1.,0.)); #374942=DIRECTION('',(0.,0.,1.)); #374943=DIRECTION('center_axis',(0.,1.,0.)); #374944=DIRECTION('ref_axis',(-1.,0.,0.)); #374945=DIRECTION('',(-1.,0.,0.)); #374946=DIRECTION('',(-1.,0.,0.)); #374947=DIRECTION('',(0.,0.,1.)); #374948=DIRECTION('center_axis',(1.,0.,0.)); #374949=DIRECTION('ref_axis',(0.,1.,0.)); #374950=DIRECTION('',(0.,1.,0.)); #374951=DIRECTION('',(0.,1.,0.)); #374952=DIRECTION('',(0.,0.,1.)); #374953=DIRECTION('center_axis',(0.,-1.,0.)); #374954=DIRECTION('ref_axis',(1.,0.,0.)); #374955=DIRECTION('',(1.,0.,0.)); #374956=DIRECTION('',(1.,0.,0.)); #374957=DIRECTION('',(0.,0.,1.)); #374958=DIRECTION('center_axis',(1.,0.,0.)); #374959=DIRECTION('ref_axis',(0.,1.,0.)); #374960=DIRECTION('',(0.,1.,0.)); #374961=DIRECTION('',(0.,1.,0.)); #374962=DIRECTION('',(0.,0.,1.)); #374963=DIRECTION('center_axis',(0.,0.,1.)); #374964=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374965=DIRECTION('center_axis',(0.,0.,1.)); #374966=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374967=DIRECTION('center_axis',(0.,0.,1.)); #374968=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #374969=DIRECTION('center_axis',(0.,0.,1.)); #374970=DIRECTION('ref_axis',(1.,0.,0.)); #374971=DIRECTION('center_axis',(0.,0.,1.)); #374972=DIRECTION('ref_axis',(1.,0.,0.)); #374973=DIRECTION('center_axis',(0.,0.,1.)); #374974=DIRECTION('ref_axis',(1.,0.,0.)); #374975=DIRECTION('center_axis',(0.,0.,1.)); #374976=DIRECTION('ref_axis',(1.,0.,0.)); #374977=DIRECTION('',(0.,0.,1.)); #374978=DIRECTION('center_axis',(0.,0.,-1.)); #374979=DIRECTION('ref_axis',(1.,0.,0.)); #374980=DIRECTION('center_axis',(0.,0.,1.)); #374981=DIRECTION('ref_axis',(1.,0.,0.)); #374982=DIRECTION('center_axis',(0.,0.,1.)); #374983=DIRECTION('ref_axis',(1.,0.,0.)); #374984=DIRECTION('',(0.,0.,1.)); #374985=DIRECTION('center_axis',(0.,0.,-1.)); #374986=DIRECTION('ref_axis',(1.,0.,0.)); #374987=DIRECTION('center_axis',(-0.993822986070332,-0.11097690011101,0.)); #374988=DIRECTION('ref_axis',(0.11097690011101,-0.993822986070332,0.)); #374989=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #374990=DIRECTION('',(0.,0.,1.)); #374991=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #374992=DIRECTION('',(0.,0.,1.)); #374993=DIRECTION('center_axis',(-1.,0.,0.)); #374994=DIRECTION('ref_axis',(0.,-1.,0.)); #374995=DIRECTION('',(0.,-1.,0.)); #374996=DIRECTION('',(0.,-1.,0.)); #374997=DIRECTION('',(0.,0.,1.)); #374998=DIRECTION('center_axis',(0.,0.,1.)); #374999=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #375000=DIRECTION('center_axis',(0.,0.,1.)); #375001=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #375002=DIRECTION('center_axis',(0.,0.,1.)); #375003=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #375004=DIRECTION('',(0.,0.,1.)); #375005=DIRECTION('center_axis',(0.,0.,1.)); #375006=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #375007=DIRECTION('center_axis',(0.,0.,1.)); #375008=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #375009=DIRECTION('center_axis',(0.,0.,1.)); #375010=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #375011=DIRECTION('',(0.,0.,1.)); #375012=DIRECTION('center_axis',(1.,0.,0.)); #375013=DIRECTION('ref_axis',(0.,1.,0.)); #375014=DIRECTION('',(0.,1.,0.)); #375015=DIRECTION('',(0.,1.,0.)); #375016=DIRECTION('',(0.,0.,1.)); #375017=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375018=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375019=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375020=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375021=DIRECTION('',(0.,0.,1.)); #375022=DIRECTION('center_axis',(0.,0.,1.)); #375023=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #375024=DIRECTION('center_axis',(0.,0.,1.)); #375025=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #375026=DIRECTION('center_axis',(0.,0.,1.)); #375027=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #375028=DIRECTION('',(0.,0.,1.)); #375029=DIRECTION('center_axis',(0.,0.,1.)); #375030=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #375031=DIRECTION('center_axis',(0.,0.,1.)); #375032=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #375033=DIRECTION('center_axis',(0.,0.,1.)); #375034=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #375035=DIRECTION('',(0.,0.,1.)); #375036=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #375037=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #375038=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #375039=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #375040=DIRECTION('',(0.,0.,1.)); #375041=DIRECTION('center_axis',(1.,0.,0.)); #375042=DIRECTION('ref_axis',(0.,1.,0.)); #375043=DIRECTION('',(0.,1.,0.)); #375044=DIRECTION('',(0.,1.,0.)); #375045=DIRECTION('',(0.,0.,1.)); #375046=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #375047=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #375048=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #375049=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #375050=DIRECTION('',(0.,0.,1.)); #375051=DIRECTION('center_axis',(0.,1.,0.)); #375052=DIRECTION('ref_axis',(-1.,0.,0.)); #375053=DIRECTION('',(-1.,0.,0.)); #375054=DIRECTION('',(-1.,0.,0.)); #375055=DIRECTION('',(0.,0.,1.)); #375056=DIRECTION('center_axis',(-1.,0.,0.)); #375057=DIRECTION('ref_axis',(0.,-1.,0.)); #375058=DIRECTION('',(0.,-1.,0.)); #375059=DIRECTION('',(0.,-1.,0.)); #375060=DIRECTION('',(0.,0.,1.)); #375061=DIRECTION('center_axis',(0.,1.,0.)); #375062=DIRECTION('ref_axis',(-1.,0.,0.)); #375063=DIRECTION('',(-1.,0.,0.)); #375064=DIRECTION('',(-1.,0.,0.)); #375065=DIRECTION('',(0.,0.,1.)); #375066=DIRECTION('center_axis',(1.,0.,0.)); #375067=DIRECTION('ref_axis',(0.,1.,0.)); #375068=DIRECTION('',(0.,1.,0.)); #375069=DIRECTION('',(0.,1.,0.)); #375070=DIRECTION('',(0.,0.,1.)); #375071=DIRECTION('center_axis',(0.,-1.,0.)); #375072=DIRECTION('ref_axis',(1.,0.,0.)); #375073=DIRECTION('',(1.,0.,0.)); #375074=DIRECTION('',(1.,0.,0.)); #375075=DIRECTION('',(0.,0.,1.)); #375076=DIRECTION('center_axis',(-1.,0.,0.)); #375077=DIRECTION('ref_axis',(0.,-1.,0.)); #375078=DIRECTION('',(0.,-1.,0.)); #375079=DIRECTION('',(0.,-1.,0.)); #375080=DIRECTION('',(0.,0.,1.)); #375081=DIRECTION('center_axis',(0.,-1.,0.)); #375082=DIRECTION('ref_axis',(1.,0.,0.)); #375083=DIRECTION('',(1.,0.,0.)); #375084=DIRECTION('',(1.,0.,0.)); #375085=DIRECTION('',(0.,0.,1.)); #375086=DIRECTION('center_axis',(0.,0.,1.)); #375087=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #375088=DIRECTION('center_axis',(0.,0.,1.)); #375089=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #375090=DIRECTION('center_axis',(0.,0.,1.)); #375091=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #375092=DIRECTION('',(0.,0.,1.)); #375093=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375094=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375095=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375096=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375097=DIRECTION('',(0.,0.,1.)); #375098=DIRECTION('center_axis',(0.,0.,1.)); #375099=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #375100=DIRECTION('center_axis',(0.,0.,1.)); #375101=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #375102=DIRECTION('center_axis',(0.,0.,1.)); #375103=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #375104=DIRECTION('center_axis',(0.,0.,1.)); #375105=DIRECTION('ref_axis',(1.,0.,0.)); #375106=DIRECTION('center_axis',(0.,0.,1.)); #375107=DIRECTION('ref_axis',(1.,0.,0.)); #375108=DIRECTION('center_axis',(1.,0.,0.)); #375109=DIRECTION('ref_axis',(0.,1.,0.)); #375110=DIRECTION('',(0.,1.,0.)); #375111=DIRECTION('',(0.,0.,1.)); #375112=DIRECTION('',(0.,1.,0.)); #375113=DIRECTION('',(0.,0.,1.)); #375114=DIRECTION('center_axis',(0.,-1.,0.)); #375115=DIRECTION('ref_axis',(1.,0.,0.)); #375116=DIRECTION('',(1.,0.,0.)); #375117=DIRECTION('',(1.,0.,0.)); #375118=DIRECTION('',(0.,0.,1.)); #375119=DIRECTION('center_axis',(-1.,0.,0.)); #375120=DIRECTION('ref_axis',(0.,-1.,0.)); #375121=DIRECTION('',(0.,-1.,0.)); #375122=DIRECTION('',(0.,-1.,0.)); #375123=DIRECTION('',(0.,0.,1.)); #375124=DIRECTION('center_axis',(0.,-1.,0.)); #375125=DIRECTION('ref_axis',(1.,0.,0.)); #375126=DIRECTION('',(1.,0.,0.)); #375127=DIRECTION('',(1.,0.,0.)); #375128=DIRECTION('',(0.,0.,1.)); #375129=DIRECTION('center_axis',(0.,0.,1.)); #375130=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #375131=DIRECTION('center_axis',(0.,0.,1.)); #375132=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #375133=DIRECTION('center_axis',(0.,0.,1.)); #375134=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #375135=DIRECTION('',(0.,0.,1.)); #375136=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #375137=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #375138=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #375139=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #375140=DIRECTION('',(0.,0.,1.)); #375141=DIRECTION('center_axis',(0.,-1.,0.)); #375142=DIRECTION('ref_axis',(1.,0.,0.)); #375143=DIRECTION('',(1.,0.,0.)); #375144=DIRECTION('',(1.,0.,0.)); #375145=DIRECTION('',(0.,0.,1.)); #375146=DIRECTION('center_axis',(-1.,0.,0.)); #375147=DIRECTION('ref_axis',(0.,-1.,0.)); #375148=DIRECTION('',(0.,-1.,0.)); #375149=DIRECTION('',(0.,-1.,0.)); #375150=DIRECTION('',(0.,0.,1.)); #375151=DIRECTION('center_axis',(0.,1.,0.)); #375152=DIRECTION('ref_axis',(-1.,0.,0.)); #375153=DIRECTION('',(-1.,0.,0.)); #375154=DIRECTION('',(-1.,0.,0.)); #375155=DIRECTION('',(0.,0.,1.)); #375156=DIRECTION('center_axis',(1.,0.,0.)); #375157=DIRECTION('ref_axis',(0.,1.,0.)); #375158=DIRECTION('',(0.,1.,0.)); #375159=DIRECTION('',(0.,1.,0.)); #375160=DIRECTION('',(0.,0.,1.)); #375161=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #375162=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #375163=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #375164=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #375165=DIRECTION('',(0.,0.,1.)); #375166=DIRECTION('center_axis',(0.,1.,0.)); #375167=DIRECTION('ref_axis',(-1.,0.,0.)); #375168=DIRECTION('',(-1.,0.,0.)); #375169=DIRECTION('',(-1.,0.,0.)); #375170=DIRECTION('',(0.,0.,1.)); #375171=DIRECTION('center_axis',(-1.,0.,0.)); #375172=DIRECTION('ref_axis',(0.,-1.,0.)); #375173=DIRECTION('',(0.,-1.,0.)); #375174=DIRECTION('',(0.,-1.,0.)); #375175=DIRECTION('',(0.,0.,1.)); #375176=DIRECTION('center_axis',(0.,1.,0.)); #375177=DIRECTION('ref_axis',(-1.,0.,0.)); #375178=DIRECTION('',(-1.,0.,0.)); #375179=DIRECTION('',(-1.,0.,0.)); #375180=DIRECTION('center_axis',(0.,0.,1.)); #375181=DIRECTION('ref_axis',(1.,0.,0.)); #375182=DIRECTION('center_axis',(0.,0.,1.)); #375183=DIRECTION('ref_axis',(1.,0.,0.)); #375184=DIRECTION('center_axis',(0.,0.,1.)); #375185=DIRECTION('ref_axis',(1.,0.,0.)); #375186=DIRECTION('center_axis',(0.,0.,1.)); #375187=DIRECTION('ref_axis',(1.,0.,0.)); #375188=DIRECTION('',(0.,0.,1.)); #375189=DIRECTION('center_axis',(0.,0.,-1.)); #375190=DIRECTION('ref_axis',(1.,0.,0.)); #375191=DIRECTION('center_axis',(0.,0.,1.)); #375192=DIRECTION('ref_axis',(1.,0.,0.)); #375193=DIRECTION('center_axis',(0.,0.,1.)); #375194=DIRECTION('ref_axis',(1.,0.,0.)); #375195=DIRECTION('',(0.,0.,1.)); #375196=DIRECTION('center_axis',(0.,0.,-1.)); #375197=DIRECTION('ref_axis',(1.,0.,0.)); #375198=DIRECTION('center_axis',(0.,0.,1.)); #375199=DIRECTION('ref_axis',(1.,0.,0.)); #375200=DIRECTION('center_axis',(0.,0.,1.)); #375201=DIRECTION('ref_axis',(1.,0.,0.)); #375202=DIRECTION('',(0.,0.,1.)); #375203=DIRECTION('center_axis',(0.,0.,-1.)); #375204=DIRECTION('ref_axis',(1.,0.,0.)); #375205=DIRECTION('center_axis',(0.,0.,1.)); #375206=DIRECTION('ref_axis',(1.,0.,0.)); #375207=DIRECTION('center_axis',(0.,0.,1.)); #375208=DIRECTION('ref_axis',(1.,0.,0.)); #375209=DIRECTION('',(0.,0.,1.)); #375210=DIRECTION('center_axis',(0.,0.,-1.)); #375211=DIRECTION('ref_axis',(1.,0.,0.)); #375212=DIRECTION('center_axis',(0.,0.,1.)); #375213=DIRECTION('ref_axis',(1.,0.,0.)); #375214=DIRECTION('center_axis',(0.,0.,1.)); #375215=DIRECTION('ref_axis',(1.,0.,0.)); #375216=DIRECTION('',(0.,0.,1.)); #375217=DIRECTION('center_axis',(0.,0.,-1.)); #375218=DIRECTION('ref_axis',(1.,0.,0.)); #375219=DIRECTION('center_axis',(0.,0.,1.)); #375220=DIRECTION('ref_axis',(1.,0.,0.)); #375221=DIRECTION('center_axis',(0.,0.,1.)); #375222=DIRECTION('ref_axis',(1.,0.,0.)); #375223=DIRECTION('',(0.,0.,1.)); #375224=DIRECTION('center_axis',(0.,0.,-1.)); #375225=DIRECTION('ref_axis',(1.,0.,0.)); #375226=DIRECTION('center_axis',(0.,0.,1.)); #375227=DIRECTION('ref_axis',(1.,0.,0.)); #375228=DIRECTION('center_axis',(0.,0.,1.)); #375229=DIRECTION('ref_axis',(1.,0.,0.)); #375230=DIRECTION('',(0.,0.,1.)); #375231=DIRECTION('center_axis',(0.,0.,-1.)); #375232=DIRECTION('ref_axis',(1.,0.,0.)); #375233=DIRECTION('center_axis',(0.,0.,1.)); #375234=DIRECTION('ref_axis',(1.,0.,0.)); #375235=DIRECTION('center_axis',(0.,0.,1.)); #375236=DIRECTION('ref_axis',(1.,0.,0.)); #375237=DIRECTION('',(0.,0.,1.)); #375238=DIRECTION('center_axis',(0.,0.,-1.)); #375239=DIRECTION('ref_axis',(1.,0.,0.)); #375240=DIRECTION('center_axis',(0.,0.,1.)); #375241=DIRECTION('ref_axis',(1.,0.,0.)); #375242=DIRECTION('center_axis',(0.,0.,1.)); #375243=DIRECTION('ref_axis',(1.,0.,0.)); #375244=DIRECTION('',(0.,0.,1.)); #375245=DIRECTION('center_axis',(0.,0.,-1.)); #375246=DIRECTION('ref_axis',(1.,0.,0.)); #375247=DIRECTION('center_axis',(0.,0.,1.)); #375248=DIRECTION('ref_axis',(1.,0.,0.)); #375249=DIRECTION('center_axis',(0.,0.,1.)); #375250=DIRECTION('ref_axis',(1.,0.,0.)); #375251=DIRECTION('',(0.,0.,1.)); #375252=DIRECTION('center_axis',(0.,0.,-1.)); #375253=DIRECTION('ref_axis',(1.,0.,0.)); #375254=DIRECTION('center_axis',(0.,0.,1.)); #375255=DIRECTION('ref_axis',(1.,0.,0.)); #375256=DIRECTION('center_axis',(0.,0.,1.)); #375257=DIRECTION('ref_axis',(1.,0.,0.)); #375258=DIRECTION('',(0.,0.,1.)); #375259=DIRECTION('center_axis',(0.,0.,-1.)); #375260=DIRECTION('ref_axis',(1.,0.,0.)); #375261=DIRECTION('center_axis',(0.,0.,1.)); #375262=DIRECTION('ref_axis',(1.,0.,0.)); #375263=DIRECTION('center_axis',(0.,0.,1.)); #375264=DIRECTION('ref_axis',(1.,0.,0.)); #375265=DIRECTION('',(0.,0.,1.)); #375266=DIRECTION('center_axis',(0.,0.,-1.)); #375267=DIRECTION('ref_axis',(1.,0.,0.)); #375268=DIRECTION('center_axis',(0.,0.,1.)); #375269=DIRECTION('ref_axis',(1.,0.,0.)); #375270=DIRECTION('center_axis',(0.,0.,1.)); #375271=DIRECTION('ref_axis',(1.,0.,0.)); #375272=DIRECTION('',(0.,0.,1.)); #375273=DIRECTION('center_axis',(0.,0.,-1.)); #375274=DIRECTION('ref_axis',(1.,0.,0.)); #375275=DIRECTION('center_axis',(0.,1.,0.)); #375276=DIRECTION('ref_axis',(-1.,0.,0.)); #375277=DIRECTION('',(-1.,0.,0.)); #375278=DIRECTION('',(0.,0.,1.)); #375279=DIRECTION('',(-1.,0.,0.)); #375280=DIRECTION('',(0.,0.,1.)); #375281=DIRECTION('center_axis',(-0.382685866754958,0.92387852415023,0.)); #375282=DIRECTION('ref_axis',(-0.92387852415023,-0.382685866754958,0.)); #375283=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #375284=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #375285=DIRECTION('',(0.,0.,1.)); #375286=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #375287=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #375288=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #375289=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #375290=DIRECTION('',(0.,0.,1.)); #375291=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #375292=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #375293=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #375294=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #375295=DIRECTION('',(0.,0.,1.)); #375296=DIRECTION('center_axis',(-1.,0.,0.)); #375297=DIRECTION('ref_axis',(0.,-1.,0.)); #375298=DIRECTION('',(0.,-1.,0.)); #375299=DIRECTION('',(0.,-1.,0.)); #375300=DIRECTION('',(0.,0.,1.)); #375301=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #375302=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #375303=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #375304=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #375305=DIRECTION('',(0.,0.,1.)); #375306=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #375307=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #375308=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #375309=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #375310=DIRECTION('',(0.,0.,1.)); #375311=DIRECTION('center_axis',(-0.382685866754976,-0.923878524150222, 0.)); #375312=DIRECTION('ref_axis',(0.923878524150222,-0.382685866754976,0.)); #375313=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #375314=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #375315=DIRECTION('',(0.,0.,1.)); #375316=DIRECTION('center_axis',(0.,-1.,0.)); #375317=DIRECTION('ref_axis',(1.,0.,0.)); #375318=DIRECTION('',(1.,0.,0.)); #375319=DIRECTION('',(1.,0.,0.)); #375320=DIRECTION('',(0.,0.,1.)); #375321=DIRECTION('center_axis',(0.382685022283815,-0.923878873943785,0.)); #375322=DIRECTION('ref_axis',(0.923878873943785,0.382685022283815,0.)); #375323=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #375324=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #375325=DIRECTION('',(0.,0.,1.)); #375326=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #375327=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #375328=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #375329=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #375330=DIRECTION('',(0.,0.,1.)); #375331=DIRECTION('center_axis',(0.923878524150223,-0.382685866754975,0.)); #375332=DIRECTION('ref_axis',(0.382685866754975,0.923878524150223,0.)); #375333=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #375334=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #375335=DIRECTION('',(0.,0.,1.)); #375336=DIRECTION('center_axis',(1.,0.,0.)); #375337=DIRECTION('ref_axis',(0.,1.,0.)); #375338=DIRECTION('',(0.,1.,0.)); #375339=DIRECTION('',(0.,1.,0.)); #375340=DIRECTION('',(0.,0.,1.)); #375341=DIRECTION('center_axis',(0.923878524150226,0.382685866754967,0.)); #375342=DIRECTION('ref_axis',(-0.382685866754967,0.923878524150226,0.)); #375343=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #375344=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #375345=DIRECTION('',(0.,0.,1.)); #375346=DIRECTION('center_axis',(0.707107975447159,0.707105586923919,0.)); #375347=DIRECTION('ref_axis',(-0.707105586923919,0.707107975447159,0.)); #375348=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #375349=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #375350=DIRECTION('',(0.,0.,1.)); #375351=DIRECTION('center_axis',(0.382685022283797,0.923878873943793,0.)); #375352=DIRECTION('ref_axis',(-0.923878873943792,0.382685022283797,0.)); #375353=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #375354=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #375355=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #375356=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #375357=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #375358=DIRECTION('',(0.,0.,1.)); #375359=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #375360=DIRECTION('',(0.,0.,1.)); #375361=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #375362=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #375363=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #375364=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #375365=DIRECTION('',(0.,0.,1.)); #375366=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #375367=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #375368=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #375369=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #375370=DIRECTION('',(0.,0.,1.)); #375371=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #375372=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #375373=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #375374=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #375375=DIRECTION('',(0.,0.,1.)); #375376=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #375377=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #375378=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #375379=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #375380=DIRECTION('',(0.,0.,1.)); #375381=DIRECTION('center_axis',(0.,-1.,0.)); #375382=DIRECTION('ref_axis',(1.,0.,0.)); #375383=DIRECTION('',(1.,0.,0.)); #375384=DIRECTION('',(1.,0.,0.)); #375385=DIRECTION('',(0.,0.,1.)); #375386=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #375387=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #375388=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #375389=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #375390=DIRECTION('',(0.,0.,1.)); #375391=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #375392=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #375393=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #375394=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #375395=DIRECTION('',(0.,0.,1.)); #375396=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #375397=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #375398=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #375399=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #375400=DIRECTION('',(0.,0.,1.)); #375401=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #375402=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #375403=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #375404=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #375405=DIRECTION('',(0.,0.,1.)); #375406=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #375407=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #375408=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #375409=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #375410=DIRECTION('',(0.,0.,1.)); #375411=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #375412=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #375413=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #375414=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #375415=DIRECTION('',(0.,0.,1.)); #375416=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #375417=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #375418=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #375419=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #375420=DIRECTION('',(0.,0.,1.)); #375421=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #375422=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #375423=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #375424=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #375425=DIRECTION('',(0.,0.,1.)); #375426=DIRECTION('center_axis',(-1.,0.,0.)); #375427=DIRECTION('ref_axis',(0.,-1.,0.)); #375428=DIRECTION('',(0.,-1.,0.)); #375429=DIRECTION('',(0.,-1.,0.)); #375430=DIRECTION('',(0.,0.,1.)); #375431=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #375432=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #375433=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #375434=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #375435=DIRECTION('',(0.,0.,1.)); #375436=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #375437=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #375438=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #375439=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #375440=DIRECTION('',(0.,0.,1.)); #375441=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375442=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375443=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375444=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375445=DIRECTION('',(0.,0.,1.)); #375446=DIRECTION('center_axis',(-1.,0.,0.)); #375447=DIRECTION('ref_axis',(0.,-1.,0.)); #375448=DIRECTION('',(0.,-1.,0.)); #375449=DIRECTION('',(0.,-1.,0.)); #375450=DIRECTION('',(0.,0.,1.)); #375451=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375452=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375453=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375454=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375455=DIRECTION('',(0.,0.,1.)); #375456=DIRECTION('center_axis',(0.,-1.,0.)); #375457=DIRECTION('ref_axis',(1.,0.,0.)); #375458=DIRECTION('',(1.,0.,0.)); #375459=DIRECTION('',(1.,0.,0.)); #375460=DIRECTION('',(0.,0.,1.)); #375461=DIRECTION('center_axis',(0.707102206471886,-0.707111355871613,0.)); #375462=DIRECTION('ref_axis',(0.707111355871613,0.707102206471886,0.)); #375463=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #375464=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #375465=DIRECTION('',(0.,0.,1.)); #375466=DIRECTION('center_axis',(0.572058771549358,-0.820212632122573,0.)); #375467=DIRECTION('ref_axis',(0.820212632122573,0.572058771549358,0.)); #375468=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #375469=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #375470=DIRECTION('',(0.,0.,1.)); #375471=DIRECTION('center_axis',(0.23019690701918,-0.973144071553027,0.)); #375472=DIRECTION('ref_axis',(0.973144071553027,0.23019690701918,0.)); #375473=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #375474=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #375475=DIRECTION('',(0.,0.,1.)); #375476=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #375477=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #375478=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #375479=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #375480=DIRECTION('',(0.,0.,1.)); #375481=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #375482=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #375483=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #375484=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #375485=DIRECTION('',(0.,0.,1.)); #375486=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #375487=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #375488=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #375489=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #375490=DIRECTION('',(0.,0.,1.)); #375491=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375492=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375493=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375494=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375495=DIRECTION('',(0.,0.,1.)); #375496=DIRECTION('center_axis',(-1.,0.,0.)); #375497=DIRECTION('ref_axis',(0.,-1.,0.)); #375498=DIRECTION('',(0.,-1.,0.)); #375499=DIRECTION('',(0.,-1.,0.)); #375500=DIRECTION('',(0.,0.,1.)); #375501=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #375502=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #375503=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #375504=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #375505=DIRECTION('',(0.,0.,1.)); #375506=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #375507=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #375508=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #375509=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #375510=DIRECTION('',(0.,0.,1.)); #375511=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #375512=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #375513=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #375514=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #375515=DIRECTION('',(0.,0.,1.)); #375516=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #375517=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #375518=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #375519=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #375520=DIRECTION('',(0.,0.,1.)); #375521=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #375522=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #375523=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375524=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375525=DIRECTION('',(0.,0.,1.)); #375526=DIRECTION('center_axis',(0.,1.,0.)); #375527=DIRECTION('ref_axis',(-1.,0.,0.)); #375528=DIRECTION('',(-1.,0.,0.)); #375529=DIRECTION('',(-1.,0.,0.)); #375530=DIRECTION('',(0.,0.,1.)); #375531=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #375532=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #375533=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #375534=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #375535=DIRECTION('',(0.,0.,1.)); #375536=DIRECTION('center_axis',(-1.,0.,0.)); #375537=DIRECTION('ref_axis',(0.,-1.,0.)); #375538=DIRECTION('',(0.,-1.,0.)); #375539=DIRECTION('',(0.,-1.,0.)); #375540=DIRECTION('',(0.,0.,1.)); #375541=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375542=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375543=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375544=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375545=DIRECTION('',(0.,0.,1.)); #375546=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #375547=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #375548=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #375549=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #375550=DIRECTION('',(0.,0.,1.)); #375551=DIRECTION('center_axis',(-0.98000841956133,-0.198956019232654,0.)); #375552=DIRECTION('ref_axis',(0.198956019232654,-0.98000841956133,0.)); #375553=DIRECTION('',(0.198956019232654,-0.98000841956133,0.)); #375554=DIRECTION('',(0.198956019232654,-0.98000841956133,0.)); #375555=DIRECTION('',(0.,0.,1.)); #375556=DIRECTION('center_axis',(-1.,0.,0.)); #375557=DIRECTION('ref_axis',(0.,-1.,0.)); #375558=DIRECTION('',(0.,-1.,0.)); #375559=DIRECTION('',(0.,-1.,0.)); #375560=DIRECTION('',(0.,0.,1.)); #375561=DIRECTION('center_axis',(-0.981559611053301,0.191156297173,0.)); #375562=DIRECTION('ref_axis',(-0.191156297173,-0.981559611053301,0.)); #375563=DIRECTION('',(-0.191156297173,-0.981559611053301,0.)); #375564=DIRECTION('',(-0.191156297173,-0.981559611053301,0.)); #375565=DIRECTION('',(0.,0.,1.)); #375566=DIRECTION('center_axis',(-0.833669916115236,0.552263045083061,0.)); #375567=DIRECTION('ref_axis',(-0.552263045083061,-0.833669916115236,0.)); #375568=DIRECTION('',(-0.552263045083061,-0.833669916115236,0.)); #375569=DIRECTION('',(-0.552263045083061,-0.833669916115236,0.)); #375570=DIRECTION('',(0.,0.,1.)); #375571=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #375572=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #375573=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #375574=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #375575=DIRECTION('',(0.,0.,1.)); #375576=DIRECTION('center_axis',(-0.558930424365757,0.829214556504114,0.)); #375577=DIRECTION('ref_axis',(-0.829214556504114,-0.558930424365757,0.)); #375578=DIRECTION('',(-0.829214556504114,-0.558930424365757,0.)); #375579=DIRECTION('',(-0.829214556504114,-0.558930424365757,0.)); #375580=DIRECTION('',(0.,0.,1.)); #375581=DIRECTION('center_axis',(-0.198962448365408,0.980007114331545,0.)); #375582=DIRECTION('ref_axis',(-0.980007114331545,-0.198962448365408,0.)); #375583=DIRECTION('',(-0.980007114331545,-0.198962448365408,0.)); #375584=DIRECTION('',(-0.980007114331545,-0.198962448365408,0.)); #375585=DIRECTION('',(0.,0.,1.)); #375586=DIRECTION('center_axis',(0.,1.,0.)); #375587=DIRECTION('ref_axis',(-1.,0.,0.)); #375588=DIRECTION('',(-1.,0.,0.)); #375589=DIRECTION('',(-1.,0.,0.)); #375590=DIRECTION('',(0.,0.,1.)); #375591=DIRECTION('center_axis',(0.191156297172706,0.981559611053358,0.)); #375592=DIRECTION('ref_axis',(-0.981559611053358,0.191156297172706,0.)); #375593=DIRECTION('',(-0.981559611053358,0.191156297172706,0.)); #375594=DIRECTION('',(-0.981559611053358,0.191156297172706,0.)); #375595=DIRECTION('',(0.,0.,1.)); #375596=DIRECTION('center_axis',(0.552263045083264,0.833669916115101,0.)); #375597=DIRECTION('ref_axis',(-0.833669916115101,0.552263045083264,0.)); #375598=DIRECTION('',(-0.833669916115101,0.552263045083264,0.)); #375599=DIRECTION('',(-0.833669916115101,0.552263045083264,0.)); #375600=DIRECTION('',(0.,0.,1.)); #375601=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375602=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375603=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375604=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375605=DIRECTION('',(0.,0.,1.)); #375606=DIRECTION('center_axis',(0.829214556504233,0.558930424365581,0.)); #375607=DIRECTION('ref_axis',(-0.558930424365581,0.829214556504233,0.)); #375608=DIRECTION('',(-0.558930424365581,0.829214556504233,0.)); #375609=DIRECTION('',(-0.558930424365581,0.829214556504233,0.)); #375610=DIRECTION('',(0.,0.,1.)); #375611=DIRECTION('center_axis',(0.980007114331482,0.198962448365718,0.)); #375612=DIRECTION('ref_axis',(-0.198962448365718,0.980007114331482,0.)); #375613=DIRECTION('',(-0.198962448365718,0.980007114331482,0.)); #375614=DIRECTION('',(-0.198962448365718,0.980007114331482,0.)); #375615=DIRECTION('',(0.,0.,1.)); #375616=DIRECTION('center_axis',(1.,0.,0.)); #375617=DIRECTION('ref_axis',(0.,1.,0.)); #375618=DIRECTION('',(0.,1.,0.)); #375619=DIRECTION('',(0.,1.,0.)); #375620=DIRECTION('',(0.,0.,1.)); #375621=DIRECTION('center_axis',(0.981560865252922,-0.191149856928888,0.)); #375622=DIRECTION('ref_axis',(0.191149856928888,0.981560865252922,0.)); #375623=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #375624=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #375625=DIRECTION('',(0.,0.,1.)); #375626=DIRECTION('center_axis',(0.833669916115124,-0.55226304508323,0.)); #375627=DIRECTION('ref_axis',(0.55226304508323,0.833669916115124,0.)); #375628=DIRECTION('',(0.55226304508323,0.833669916115124,0.)); #375629=DIRECTION('',(0.55226304508323,0.833669916115124,0.)); #375630=DIRECTION('',(0.,0.,1.)); #375631=DIRECTION('center_axis',(0.707106781186573,-0.707106781186522,0.)); #375632=DIRECTION('ref_axis',(0.707106781186522,0.707106781186573,0.)); #375633=DIRECTION('',(0.707106781186522,0.707106781186573,0.)); #375634=DIRECTION('',(0.707106781186522,0.707106781186573,0.)); #375635=DIRECTION('',(0.,0.,1.)); #375636=DIRECTION('center_axis',(1.,0.,0.)); #375637=DIRECTION('ref_axis',(0.,1.,0.)); #375638=DIRECTION('',(0.,1.,0.)); #375639=DIRECTION('',(0.,1.,0.)); #375640=DIRECTION('',(0.,0.,1.)); #375641=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375642=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375643=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375644=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375645=DIRECTION('',(0.,0.,1.)); #375646=DIRECTION('center_axis',(0.,1.,0.)); #375647=DIRECTION('ref_axis',(-1.,0.,0.)); #375648=DIRECTION('',(-1.,0.,0.)); #375649=DIRECTION('',(-1.,0.,0.)); #375650=DIRECTION('',(0.,0.,1.)); #375651=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #375652=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #375653=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #375654=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #375655=DIRECTION('',(0.,0.,1.)); #375656=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #375657=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #375658=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #375659=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #375660=DIRECTION('',(0.,0.,1.)); #375661=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375662=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375663=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375664=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375665=DIRECTION('',(0.,0.,1.)); #375666=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #375667=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #375668=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #375669=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #375670=DIRECTION('',(0.,0.,1.)); #375671=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #375672=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #375673=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375674=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375675=DIRECTION('',(0.,0.,1.)); #375676=DIRECTION('center_axis',(1.,0.,0.)); #375677=DIRECTION('ref_axis',(0.,1.,0.)); #375678=DIRECTION('',(0.,1.,0.)); #375679=DIRECTION('',(0.,1.,0.)); #375680=DIRECTION('',(0.,0.,1.)); #375681=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #375682=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #375683=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375684=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375685=DIRECTION('',(0.,0.,1.)); #375686=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #375687=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #375688=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #375689=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #375690=DIRECTION('',(0.,0.,1.)); #375691=DIRECTION('center_axis',(0.578461888589193,-0.815709411156831,0.)); #375692=DIRECTION('ref_axis',(0.815709411156831,0.578461888589193,0.)); #375693=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #375694=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #375695=DIRECTION('',(0.,0.,1.)); #375696=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #375697=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #375698=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #375699=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #375700=DIRECTION('',(0.,0.,1.)); #375701=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #375702=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #375703=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #375704=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #375705=DIRECTION('',(0.,0.,1.)); #375706=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #375707=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #375708=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #375709=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #375710=DIRECTION('',(0.,0.,1.)); #375711=DIRECTION('center_axis',(-0.707102206471886,-0.707111355871613, 0.)); #375712=DIRECTION('ref_axis',(0.707111355871613,-0.707102206471886,0.)); #375713=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #375714=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #375715=DIRECTION('',(0.,0.,1.)); #375716=DIRECTION('center_axis',(0.,-1.,0.)); #375717=DIRECTION('ref_axis',(1.,0.,0.)); #375718=DIRECTION('',(1.,0.,0.)); #375719=DIRECTION('',(1.,0.,0.)); #375720=DIRECTION('',(0.,0.,1.)); #375721=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #375722=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #375723=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #375724=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #375725=DIRECTION('',(0.,0.,1.)); #375726=DIRECTION('center_axis',(1.,0.,0.)); #375727=DIRECTION('ref_axis',(0.,1.,0.)); #375728=DIRECTION('',(0.,1.,0.)); #375729=DIRECTION('',(0.,1.,0.)); #375730=DIRECTION('',(0.,0.,1.)); #375731=DIRECTION('center_axis',(0.98156086525294,-0.191149856928798,0.)); #375732=DIRECTION('ref_axis',(0.191149856928798,0.98156086525294,0.)); #375733=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #375734=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #375735=DIRECTION('',(0.,0.,1.)); #375736=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #375737=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #375738=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #375739=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #375740=DIRECTION('',(0.,0.,1.)); #375741=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #375742=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #375743=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #375744=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #375745=DIRECTION('',(0.,0.,1.)); #375746=DIRECTION('center_axis',(1.,0.,0.)); #375747=DIRECTION('ref_axis',(0.,1.,0.)); #375748=DIRECTION('',(0.,1.,0.)); #375749=DIRECTION('',(0.,1.,0.)); #375750=DIRECTION('',(0.,0.,1.)); #375751=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #375752=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #375753=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375754=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375755=DIRECTION('',(0.,0.,1.)); #375756=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #375757=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #375758=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #375759=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #375760=DIRECTION('',(0.,0.,1.)); #375761=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #375762=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #375763=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #375764=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #375765=DIRECTION('center_axis',(0.,0.,1.)); #375766=DIRECTION('ref_axis',(1.,0.,0.)); #375767=DIRECTION('center_axis',(0.,0.,1.)); #375768=DIRECTION('ref_axis',(1.,0.,0.)); #375769=DIRECTION('center_axis',(0.,0.,1.)); #375770=DIRECTION('ref_axis',(1.,0.,0.)); #375771=DIRECTION('center_axis',(0.,0.,1.)); #375772=DIRECTION('ref_axis',(1.,0.,0.)); #375773=DIRECTION('',(0.,0.,1.)); #375774=DIRECTION('center_axis',(0.,0.,-1.)); #375775=DIRECTION('ref_axis',(1.,0.,0.)); #375776=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #375777=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #375778=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #375779=DIRECTION('',(0.,0.,1.)); #375780=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #375781=DIRECTION('',(0.,0.,1.)); #375782=DIRECTION('center_axis',(0.,0.,1.)); #375783=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #375784=DIRECTION('center_axis',(0.,0.,1.)); #375785=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #375786=DIRECTION('center_axis',(0.,0.,1.)); #375787=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #375788=DIRECTION('',(0.,0.,1.)); #375789=DIRECTION('center_axis',(0.,0.,1.)); #375790=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #375791=DIRECTION('center_axis',(0.,0.,1.)); #375792=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #375793=DIRECTION('center_axis',(0.,0.,1.)); #375794=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #375795=DIRECTION('center_axis',(0.,0.,1.)); #375796=DIRECTION('ref_axis',(1.,0.,0.)); #375797=DIRECTION('center_axis',(0.,0.,1.)); #375798=DIRECTION('ref_axis',(1.,0.,0.)); #375799=DIRECTION('center_axis',(0.,0.,1.)); #375800=DIRECTION('ref_axis',(1.,0.,0.)); #375801=DIRECTION('center_axis',(0.,0.,1.)); #375802=DIRECTION('ref_axis',(1.,0.,0.)); #375803=DIRECTION('',(0.,0.,1.)); #375804=DIRECTION('center_axis',(0.,0.,-1.)); #375805=DIRECTION('ref_axis',(1.,0.,0.)); #375806=DIRECTION('center_axis',(0.,0.,1.)); #375807=DIRECTION('ref_axis',(1.,0.,0.)); #375808=DIRECTION('center_axis',(0.,0.,1.)); #375809=DIRECTION('ref_axis',(1.,0.,0.)); #375810=DIRECTION('',(0.,0.,1.)); #375811=DIRECTION('center_axis',(0.,0.,-1.)); #375812=DIRECTION('ref_axis',(1.,0.,0.)); #375813=DIRECTION('center_axis',(0.,0.,1.)); #375814=DIRECTION('ref_axis',(1.,0.,0.)); #375815=DIRECTION('center_axis',(0.,0.,1.)); #375816=DIRECTION('ref_axis',(1.,0.,0.)); #375817=DIRECTION('',(0.,0.,1.)); #375818=DIRECTION('center_axis',(0.,0.,-1.)); #375819=DIRECTION('ref_axis',(1.,0.,0.)); #375820=DIRECTION('center_axis',(0.,0.,1.)); #375821=DIRECTION('ref_axis',(1.,0.,0.)); #375822=DIRECTION('center_axis',(0.,0.,1.)); #375823=DIRECTION('ref_axis',(1.,0.,0.)); #375824=DIRECTION('',(0.,0.,1.)); #375825=DIRECTION('center_axis',(0.,0.,-1.)); #375826=DIRECTION('ref_axis',(1.,0.,0.)); #375827=DIRECTION('center_axis',(0.,0.,1.)); #375828=DIRECTION('ref_axis',(1.,0.,0.)); #375829=DIRECTION('center_axis',(0.,0.,1.)); #375830=DIRECTION('ref_axis',(1.,0.,0.)); #375831=DIRECTION('',(0.,0.,1.)); #375832=DIRECTION('center_axis',(0.,0.,-1.)); #375833=DIRECTION('ref_axis',(1.,0.,0.)); #375834=DIRECTION('center_axis',(0.,0.,1.)); #375835=DIRECTION('ref_axis',(1.,0.,0.)); #375836=DIRECTION('center_axis',(0.,0.,1.)); #375837=DIRECTION('ref_axis',(1.,0.,0.)); #375838=DIRECTION('',(0.,0.,1.)); #375839=DIRECTION('center_axis',(0.,0.,-1.)); #375840=DIRECTION('ref_axis',(1.,0.,0.)); #375841=DIRECTION('center_axis',(0.,0.,1.)); #375842=DIRECTION('ref_axis',(1.,0.,0.)); #375843=DIRECTION('center_axis',(0.,0.,1.)); #375844=DIRECTION('ref_axis',(1.,0.,0.)); #375845=DIRECTION('',(0.,0.,1.)); #375846=DIRECTION('center_axis',(0.,0.,-1.)); #375847=DIRECTION('ref_axis',(1.,0.,0.)); #375848=DIRECTION('center_axis',(0.,0.,1.)); #375849=DIRECTION('ref_axis',(1.,0.,0.)); #375850=DIRECTION('center_axis',(0.,0.,1.)); #375851=DIRECTION('ref_axis',(1.,0.,0.)); #375852=DIRECTION('',(0.,0.,1.)); #375853=DIRECTION('center_axis',(0.,0.,-1.)); #375854=DIRECTION('ref_axis',(1.,0.,0.)); #375855=DIRECTION('center_axis',(0.,0.,1.)); #375856=DIRECTION('ref_axis',(1.,0.,0.)); #375857=DIRECTION('center_axis',(0.,0.,1.)); #375858=DIRECTION('ref_axis',(1.,0.,0.)); #375859=DIRECTION('',(0.,0.,1.)); #375860=DIRECTION('center_axis',(0.,0.,-1.)); #375861=DIRECTION('ref_axis',(1.,0.,0.)); #375862=DIRECTION('center_axis',(0.,0.,1.)); #375863=DIRECTION('ref_axis',(1.,0.,0.)); #375864=DIRECTION('center_axis',(0.,0.,1.)); #375865=DIRECTION('ref_axis',(1.,0.,0.)); #375866=DIRECTION('',(0.,0.,1.)); #375867=DIRECTION('center_axis',(0.,0.,-1.)); #375868=DIRECTION('ref_axis',(1.,0.,0.)); #375869=DIRECTION('center_axis',(0.,0.,1.)); #375870=DIRECTION('ref_axis',(1.,0.,0.)); #375871=DIRECTION('center_axis',(0.,0.,1.)); #375872=DIRECTION('ref_axis',(1.,0.,0.)); #375873=DIRECTION('',(0.,0.,1.)); #375874=DIRECTION('center_axis',(0.,0.,-1.)); #375875=DIRECTION('ref_axis',(1.,0.,0.)); #375876=DIRECTION('center_axis',(1.,0.,0.)); #375877=DIRECTION('ref_axis',(0.,1.,0.)); #375878=DIRECTION('',(0.,1.,0.)); #375879=DIRECTION('',(0.,0.,1.)); #375880=DIRECTION('',(0.,1.,0.)); #375881=DIRECTION('',(0.,0.,1.)); #375882=DIRECTION('center_axis',(0.,-1.,0.)); #375883=DIRECTION('ref_axis',(1.,0.,0.)); #375884=DIRECTION('',(1.,0.,0.)); #375885=DIRECTION('',(1.,0.,0.)); #375886=DIRECTION('',(0.,0.,1.)); #375887=DIRECTION('center_axis',(-1.,0.,0.)); #375888=DIRECTION('ref_axis',(0.,-1.,0.)); #375889=DIRECTION('',(0.,-1.,0.)); #375890=DIRECTION('',(0.,-1.,0.)); #375891=DIRECTION('',(0.,0.,1.)); #375892=DIRECTION('center_axis',(0.,-1.,0.)); #375893=DIRECTION('ref_axis',(1.,0.,0.)); #375894=DIRECTION('',(1.,0.,0.)); #375895=DIRECTION('',(1.,0.,0.)); #375896=DIRECTION('',(0.,0.,1.)); #375897=DIRECTION('center_axis',(0.,0.,1.)); #375898=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #375899=DIRECTION('center_axis',(0.,0.,1.)); #375900=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #375901=DIRECTION('center_axis',(0.,0.,1.)); #375902=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #375903=DIRECTION('',(0.,0.,1.)); #375904=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375905=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375906=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375907=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375908=DIRECTION('',(0.,0.,1.)); #375909=DIRECTION('center_axis',(0.,0.,1.)); #375910=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #375911=DIRECTION('center_axis',(0.,0.,1.)); #375912=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #375913=DIRECTION('center_axis',(0.,0.,1.)); #375914=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #375915=DIRECTION('',(0.,0.,1.)); #375916=DIRECTION('center_axis',(-1.,0.,0.)); #375917=DIRECTION('ref_axis',(0.,-1.,0.)); #375918=DIRECTION('',(0.,-1.,0.)); #375919=DIRECTION('',(0.,-1.,0.)); #375920=DIRECTION('',(0.,0.,1.)); #375921=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375922=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375923=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375924=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375925=DIRECTION('',(0.,0.,1.)); #375926=DIRECTION('center_axis',(0.,-1.,0.)); #375927=DIRECTION('ref_axis',(1.,0.,0.)); #375928=DIRECTION('',(1.,0.,0.)); #375929=DIRECTION('',(1.,0.,0.)); #375930=DIRECTION('',(0.,0.,1.)); #375931=DIRECTION('center_axis',(1.,0.,0.)); #375932=DIRECTION('ref_axis',(0.,1.,0.)); #375933=DIRECTION('',(0.,1.,0.)); #375934=DIRECTION('',(0.,1.,0.)); #375935=DIRECTION('',(0.,0.,1.)); #375936=DIRECTION('center_axis',(0.987649512185963,0.156679421363592,0.)); #375937=DIRECTION('ref_axis',(-0.156679421363592,0.987649512185963,0.)); #375938=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #375939=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #375940=DIRECTION('',(0.,0.,1.)); #375941=DIRECTION('center_axis',(0.12543811388941,0.992101446215994,0.)); #375942=DIRECTION('ref_axis',(-0.992101446215994,0.12543811388941,0.)); #375943=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #375944=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #375945=DIRECTION('',(0.,0.,1.)); #375946=DIRECTION('center_axis',(0.,1.,0.)); #375947=DIRECTION('ref_axis',(-1.,0.,0.)); #375948=DIRECTION('',(-1.,0.,0.)); #375949=DIRECTION('',(-1.,0.,0.)); #375950=DIRECTION('',(0.,0.,1.)); #375951=DIRECTION('center_axis',(-0.156679421363592,0.987649512185963,0.)); #375952=DIRECTION('ref_axis',(-0.987649512185963,-0.156679421363592,0.)); #375953=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #375954=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #375955=DIRECTION('',(0.,0.,1.)); #375956=DIRECTION('center_axis',(-0.992101446215994,0.12543811388941,0.)); #375957=DIRECTION('ref_axis',(-0.12543811388941,-0.992101446215994,0.)); #375958=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #375959=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #375960=DIRECTION('',(0.,0.,1.)); #375961=DIRECTION('center_axis',(-1.,0.,0.)); #375962=DIRECTION('ref_axis',(0.,-1.,0.)); #375963=DIRECTION('',(0.,-1.,0.)); #375964=DIRECTION('',(0.,-1.,0.)); #375965=DIRECTION('',(0.,0.,1.)); #375966=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #375967=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #375968=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #375969=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #375970=DIRECTION('',(0.,0.,1.)); #375971=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #375972=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #375973=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #375974=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #375975=DIRECTION('',(0.,0.,1.)); #375976=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #375977=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #375978=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #375979=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #375980=DIRECTION('',(0.,0.,1.)); #375981=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #375982=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #375983=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #375984=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #375985=DIRECTION('',(0.,0.,1.)); #375986=DIRECTION('center_axis',(0.,1.,0.)); #375987=DIRECTION('ref_axis',(-1.,0.,0.)); #375988=DIRECTION('',(-1.,0.,0.)); #375989=DIRECTION('',(-1.,0.,0.)); #375990=DIRECTION('',(0.,0.,1.)); #375991=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #375992=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #375993=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #375994=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #375995=DIRECTION('',(0.,0.,1.)); #375996=DIRECTION('center_axis',(0.552227809242827,0.833693256958978,0.)); #375997=DIRECTION('ref_axis',(-0.833693256958978,0.552227809242827,0.)); #375998=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #375999=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #376000=DIRECTION('',(0.,0.,1.)); #376001=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376002=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376003=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #376004=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #376005=DIRECTION('',(0.,0.,1.)); #376006=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #376007=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #376008=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #376009=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #376010=DIRECTION('',(0.,0.,1.)); #376011=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #376012=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #376013=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #376014=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #376015=DIRECTION('',(0.,0.,1.)); #376016=DIRECTION('center_axis',(1.,0.,0.)); #376017=DIRECTION('ref_axis',(0.,1.,0.)); #376018=DIRECTION('',(0.,1.,0.)); #376019=DIRECTION('',(0.,1.,0.)); #376020=DIRECTION('',(0.,0.,1.)); #376021=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #376022=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #376023=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #376024=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #376025=DIRECTION('',(0.,0.,1.)); #376026=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #376027=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #376028=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #376029=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #376030=DIRECTION('',(0.,0.,1.)); #376031=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #376032=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #376033=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #376034=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #376035=DIRECTION('',(0.,0.,1.)); #376036=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #376037=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #376038=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #376039=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #376040=DIRECTION('',(0.,0.,1.)); #376041=DIRECTION('center_axis',(0.,-1.,0.)); #376042=DIRECTION('ref_axis',(1.,0.,0.)); #376043=DIRECTION('',(1.,0.,0.)); #376044=DIRECTION('',(1.,0.,0.)); #376045=DIRECTION('',(0.,0.,1.)); #376046=DIRECTION('center_axis',(0.140944937191828,-0.990017436553514,0.)); #376047=DIRECTION('ref_axis',(0.990017436553514,0.140944937191828,0.)); #376048=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #376049=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #376050=DIRECTION('',(0.,0.,1.)); #376051=DIRECTION('center_axis',(0.613211584811163,-0.789918699774466,0.)); #376052=DIRECTION('ref_axis',(0.789918699774466,0.613211584811163,0.)); #376053=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #376054=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #376055=DIRECTION('',(0.,0.,1.)); #376056=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #376057=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #376058=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376059=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376060=DIRECTION('',(0.,0.,1.)); #376061=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #376062=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #376063=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #376064=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #376065=DIRECTION('',(0.,0.,1.)); #376066=DIRECTION('center_axis',(0.992121345390277,-0.125280629073241,0.)); #376067=DIRECTION('ref_axis',(0.125280629073241,0.992121345390277,0.)); #376068=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #376069=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #376070=DIRECTION('',(0.,0.,1.)); #376071=DIRECTION('center_axis',(1.,0.,0.)); #376072=DIRECTION('ref_axis',(0.,1.,0.)); #376073=DIRECTION('',(0.,1.,0.)); #376074=DIRECTION('',(0.,1.,0.)); #376075=DIRECTION('',(0.,0.,1.)); #376076=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #376077=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #376078=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #376079=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #376080=DIRECTION('',(0.,0.,1.)); #376081=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #376082=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #376083=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #376084=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #376085=DIRECTION('',(0.,0.,1.)); #376086=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #376087=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #376088=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376089=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376090=DIRECTION('',(0.,0.,1.)); #376091=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #376092=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #376093=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #376094=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #376095=DIRECTION('',(0.,0.,1.)); #376096=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #376097=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #376098=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #376099=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #376100=DIRECTION('',(0.,0.,1.)); #376101=DIRECTION('center_axis',(0.,-1.,0.)); #376102=DIRECTION('ref_axis',(1.,0.,0.)); #376103=DIRECTION('',(1.,0.,0.)); #376104=DIRECTION('',(1.,0.,0.)); #376105=DIRECTION('',(0.,0.,1.)); #376106=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #376107=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #376108=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #376109=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #376110=DIRECTION('',(0.,0.,1.)); #376111=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #376112=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #376113=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #376114=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #376115=DIRECTION('',(0.,0.,1.)); #376116=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #376117=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #376118=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #376119=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #376120=DIRECTION('',(0.,0.,1.)); #376121=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #376122=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #376123=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #376124=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #376125=DIRECTION('',(0.,0.,1.)); #376126=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #376127=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #376128=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #376129=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #376130=DIRECTION('',(0.,0.,1.)); #376131=DIRECTION('center_axis',(-1.,0.,0.)); #376132=DIRECTION('ref_axis',(0.,-1.,0.)); #376133=DIRECTION('',(0.,-1.,0.)); #376134=DIRECTION('',(0.,-1.,0.)); #376135=DIRECTION('',(0.,0.,1.)); #376136=DIRECTION('center_axis',(-0.995393973877089,-0.095868851923743, 0.)); #376137=DIRECTION('ref_axis',(0.095868851923743,-0.995393973877089,0.)); #376138=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #376139=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #376140=DIRECTION('',(0.,0.,1.)); #376141=DIRECTION('center_axis',(-0.94971778516927,-0.313107215715603,0.)); #376142=DIRECTION('ref_axis',(0.313107215715603,-0.94971778516927,0.)); #376143=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #376144=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #376145=DIRECTION('',(0.,0.,1.)); #376146=DIRECTION('center_axis',(-0.842364599557948,-0.538908045413667, 0.)); #376147=DIRECTION('ref_axis',(0.538908045413667,-0.842364599557948,0.)); #376148=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #376149=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #376150=DIRECTION('',(0.,0.,1.)); #376151=DIRECTION('center_axis',(-0.768221180734969,-0.640184518299349, 0.)); #376152=DIRECTION('ref_axis',(0.640184518299349,-0.768221180734969,0.)); #376153=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #376154=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #376155=DIRECTION('',(0.,0.,1.)); #376156=DIRECTION('center_axis',(-0.853752470878315,-0.52067909355876,0.)); #376157=DIRECTION('ref_axis',(0.52067909355876,-0.853752470878315,0.)); #376158=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #376159=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #376160=DIRECTION('',(0.,0.,1.)); #376161=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #376162=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #376163=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #376164=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #376165=DIRECTION('',(0.,0.,1.)); #376166=DIRECTION('center_axis',(-1.,0.,0.)); #376167=DIRECTION('ref_axis',(0.,-1.,0.)); #376168=DIRECTION('',(0.,-1.,0.)); #376169=DIRECTION('',(0.,-1.,0.)); #376170=DIRECTION('',(0.,0.,1.)); #376171=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #376172=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #376173=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #376174=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #376175=DIRECTION('',(0.,0.,1.)); #376176=DIRECTION('center_axis',(-0.833699290017718,0.552218701081331,0.)); #376177=DIRECTION('ref_axis',(-0.552218701081331,-0.833699290017718,0.)); #376178=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #376179=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #376180=DIRECTION('',(0.,0.,1.)); #376181=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #376182=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #376183=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #376184=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #376185=DIRECTION('',(0.,0.,1.)); #376186=DIRECTION('center_axis',(-0.558856232804961,0.829264560351549,0.)); #376187=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804961,0.)); #376188=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #376189=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #376190=DIRECTION('',(0.,0.,1.)); #376191=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #376192=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #376193=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #376194=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #376195=DIRECTION('',(0.,0.,1.)); #376196=DIRECTION('center_axis',(0.,1.,0.)); #376197=DIRECTION('ref_axis',(-1.,0.,0.)); #376198=DIRECTION('',(-1.,0.,0.)); #376199=DIRECTION('',(-1.,0.,0.)); #376200=DIRECTION('',(0.,0.,1.)); #376201=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #376202=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #376203=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #376204=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #376205=DIRECTION('',(0.,0.,1.)); #376206=DIRECTION('center_axis',(0.538928498327897,0.842351514327622,0.)); #376207=DIRECTION('ref_axis',(-0.842351514327622,0.538928498327897,0.)); #376208=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #376209=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #376210=DIRECTION('',(0.,0.,1.)); #376211=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #376212=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #376213=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #376214=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #376215=DIRECTION('',(0.,0.,1.)); #376216=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #376217=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #376218=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #376219=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #376220=DIRECTION('',(0.,0.,1.)); #376221=DIRECTION('center_axis',(1.,0.,0.)); #376222=DIRECTION('ref_axis',(0.,1.,0.)); #376223=DIRECTION('',(0.,1.,0.)); #376224=DIRECTION('',(0.,1.,0.)); #376225=DIRECTION('',(0.,0.,1.)); #376226=DIRECTION('center_axis',(0.707106781186521,0.707106781186574,0.)); #376227=DIRECTION('ref_axis',(-0.707106781186574,0.707106781186521,0.)); #376228=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #376229=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #376230=DIRECTION('',(0.,0.,1.)); #376231=DIRECTION('center_axis',(0.,1.,0.)); #376232=DIRECTION('ref_axis',(-1.,0.,0.)); #376233=DIRECTION('',(-1.,0.,0.)); #376234=DIRECTION('',(-1.,0.,0.)); #376235=DIRECTION('',(0.,0.,1.)); #376236=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #376237=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #376238=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #376239=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #376240=DIRECTION('',(0.,0.,1.)); #376241=DIRECTION('center_axis',(-1.,0.,0.)); #376242=DIRECTION('ref_axis',(0.,-1.,0.)); #376243=DIRECTION('',(0.,-1.,0.)); #376244=DIRECTION('',(0.,-1.,0.)); #376245=DIRECTION('',(0.,0.,1.)); #376246=DIRECTION('center_axis',(-0.983054265471482,0.183314787014918,0.)); #376247=DIRECTION('ref_axis',(-0.183314787014918,-0.983054265471482,0.)); #376248=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #376249=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #376250=DIRECTION('',(0.,0.,1.)); #376251=DIRECTION('center_axis',(-0.842351514327714,0.538928498327753,0.)); #376252=DIRECTION('ref_axis',(-0.538928498327753,-0.842351514327714,0.)); #376253=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #376254=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #376255=DIRECTION('',(0.,0.,1.)); #376256=DIRECTION('center_axis',(-0.565473758099673,0.824766287441862,0.)); #376257=DIRECTION('ref_axis',(-0.824766287441862,-0.565473758099673,0.)); #376258=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #376259=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #376260=DIRECTION('',(0.,0.,1.)); #376261=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #376262=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #376263=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #376264=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #376265=DIRECTION('',(0.,0.,1.)); #376266=DIRECTION('center_axis',(0.,1.,0.)); #376267=DIRECTION('ref_axis',(-1.,0.,0.)); #376268=DIRECTION('',(-1.,0.,0.)); #376269=DIRECTION('',(-1.,0.,0.)); #376270=DIRECTION('',(0.,0.,1.)); #376271=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #376272=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #376273=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376274=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376275=DIRECTION('',(0.,0.,1.)); #376276=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #376277=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #376278=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #376279=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #376280=DIRECTION('',(0.,0.,1.)); #376281=DIRECTION('center_axis',(0.707106781186566,0.707106781186529,0.)); #376282=DIRECTION('ref_axis',(-0.707106781186529,0.707106781186566,0.)); #376283=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #376284=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #376285=DIRECTION('',(0.,0.,1.)); #376286=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #376287=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #376288=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #376289=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #376290=DIRECTION('',(0.,0.,1.)); #376291=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #376292=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #376293=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #376294=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #376295=DIRECTION('',(0.,0.,1.)); #376296=DIRECTION('center_axis',(1.,0.,0.)); #376297=DIRECTION('ref_axis',(0.,1.,0.)); #376298=DIRECTION('',(0.,1.,0.)); #376299=DIRECTION('',(0.,1.,0.)); #376300=DIRECTION('',(0.,0.,1.)); #376301=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #376302=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #376303=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #376304=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #376305=DIRECTION('',(0.,0.,1.)); #376306=DIRECTION('center_axis',(0.,1.,0.)); #376307=DIRECTION('ref_axis',(-1.,0.,0.)); #376308=DIRECTION('',(-1.,0.,0.)); #376309=DIRECTION('',(-1.,0.,0.)); #376310=DIRECTION('',(0.,0.,1.)); #376311=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #376312=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #376313=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376314=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376315=DIRECTION('',(0.,0.,1.)); #376316=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #376317=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #376318=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #376319=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #376320=DIRECTION('',(0.,0.,1.)); #376321=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #376322=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #376323=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #376324=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #376325=DIRECTION('',(0.,0.,1.)); #376326=DIRECTION('center_axis',(0.829258128535986,0.558865776602034,0.)); #376327=DIRECTION('ref_axis',(-0.558865776602034,0.829258128535985,0.)); #376328=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #376329=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #376330=DIRECTION('',(0.,0.,1.)); #376331=DIRECTION('center_axis',(0.979998112340244,0.199006783325492,0.)); #376332=DIRECTION('ref_axis',(-0.199006783325492,0.979998112340244,0.)); #376333=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #376334=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #376335=DIRECTION('',(0.,0.,1.)); #376336=DIRECTION('center_axis',(1.,0.,0.)); #376337=DIRECTION('ref_axis',(0.,1.,0.)); #376338=DIRECTION('',(0.,1.,0.)); #376339=DIRECTION('',(0.,1.,0.)); #376340=DIRECTION('',(0.,0.,1.)); #376341=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #376342=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #376343=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #376344=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #376345=DIRECTION('',(0.,0.,1.)); #376346=DIRECTION('center_axis',(0.,1.,0.)); #376347=DIRECTION('ref_axis',(-1.,0.,0.)); #376348=DIRECTION('',(-1.,0.,0.)); #376349=DIRECTION('',(-1.,0.,0.)); #376350=DIRECTION('',(0.,0.,1.)); #376351=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #376352=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #376353=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376354=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #376355=DIRECTION('',(0.,0.,1.)); #376356=DIRECTION('center_axis',(0.552227809242867,0.833693256958951,0.)); #376357=DIRECTION('ref_axis',(-0.833693256958951,0.552227809242867,0.)); #376358=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #376359=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #376360=DIRECTION('',(0.,0.,1.)); #376361=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #376362=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #376363=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #376364=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #376365=DIRECTION('',(0.,0.,1.)); #376366=DIRECTION('center_axis',(0.829258128536035,0.55886577660196,0.)); #376367=DIRECTION('ref_axis',(-0.55886577660196,0.829258128536035,0.)); #376368=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #376369=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #376370=DIRECTION('',(0.,0.,1.)); #376371=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #376372=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #376373=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #376374=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #376375=DIRECTION('',(0.,0.,1.)); #376376=DIRECTION('center_axis',(1.,0.,0.)); #376377=DIRECTION('ref_axis',(0.,1.,0.)); #376378=DIRECTION('',(0.,1.,0.)); #376379=DIRECTION('',(0.,1.,0.)); #376380=DIRECTION('',(0.,0.,1.)); #376381=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #376382=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #376383=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #376384=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #376385=DIRECTION('',(0.,0.,1.)); #376386=DIRECTION('center_axis',(0.833693256958951,-0.552227809242867,0.)); #376387=DIRECTION('ref_axis',(0.552227809242867,0.833693256958951,0.)); #376388=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #376389=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #376390=DIRECTION('',(0.,0.,1.)); #376391=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #376392=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #376393=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #376394=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #376395=DIRECTION('',(0.,0.,1.)); #376396=DIRECTION('center_axis',(1.,0.,0.)); #376397=DIRECTION('ref_axis',(0.,1.,0.)); #376398=DIRECTION('',(0.,1.,0.)); #376399=DIRECTION('',(0.,1.,0.)); #376400=DIRECTION('',(0.,0.,1.)); #376401=DIRECTION('center_axis',(0.983054265471485,-0.183314787014901,0.)); #376402=DIRECTION('ref_axis',(0.183314787014901,0.983054265471485,0.)); #376403=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #376404=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #376405=DIRECTION('',(0.,0.,1.)); #376406=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #376407=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #376408=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #376409=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #376410=DIRECTION('',(0.,0.,1.)); #376411=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #376412=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #376413=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #376414=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #376415=DIRECTION('',(0.,0.,1.)); #376416=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #376417=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #376418=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #376419=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #376420=DIRECTION('',(0.,0.,1.)); #376421=DIRECTION('center_axis',(0.,-1.,0.)); #376422=DIRECTION('ref_axis',(1.,0.,0.)); #376423=DIRECTION('',(1.,0.,0.)); #376424=DIRECTION('',(1.,0.,0.)); #376425=DIRECTION('',(0.,0.,1.)); #376426=DIRECTION('center_axis',(0.140944937191896,-0.990017436553505,0.)); #376427=DIRECTION('ref_axis',(0.990017436553505,0.140944937191896,0.)); #376428=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #376429=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #376430=DIRECTION('',(0.,0.,1.)); #376431=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #376432=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #376433=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #376434=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #376435=DIRECTION('',(0.,0.,1.)); #376436=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #376437=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #376438=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376439=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #376440=DIRECTION('',(0.,0.,1.)); #376441=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #376442=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #376443=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #376444=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #376445=DIRECTION('',(0.,0.,1.)); #376446=DIRECTION('center_axis',(0.992121345390267,-0.125280629073318,0.)); #376447=DIRECTION('ref_axis',(0.125280629073318,0.992121345390267,0.)); #376448=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #376449=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #376450=DIRECTION('',(0.,0.,1.)); #376451=DIRECTION('center_axis',(1.,0.,0.)); #376452=DIRECTION('ref_axis',(0.,1.,0.)); #376453=DIRECTION('',(0.,1.,0.)); #376454=DIRECTION('',(0.,1.,0.)); #376455=DIRECTION('',(0.,0.,1.)); #376456=DIRECTION('center_axis',(0.981552099144143,-0.191194865688719,0.)); #376457=DIRECTION('ref_axis',(0.191194865688719,0.981552099144143,0.)); #376458=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #376459=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #376460=DIRECTION('',(0.,0.,1.)); #376461=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #376462=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #376463=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #376464=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #376465=DIRECTION('',(0.,0.,1.)); #376466=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #376467=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #376468=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #376469=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #376470=DIRECTION('',(0.,0.,1.)); #376471=DIRECTION('center_axis',(0.558856232804919,-0.829264560351577,0.)); #376472=DIRECTION('ref_axis',(0.829264560351577,0.558856232804919,0.)); #376473=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #376474=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #376475=DIRECTION('',(0.,0.,1.)); #376476=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #376477=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #376478=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #376479=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #376480=DIRECTION('',(0.,0.,1.)); #376481=DIRECTION('center_axis',(0.,-1.,0.)); #376482=DIRECTION('ref_axis',(1.,0.,0.)); #376483=DIRECTION('',(1.,0.,0.)); #376484=DIRECTION('',(1.,0.,0.)); #376485=DIRECTION('',(0.,0.,1.)); #376486=DIRECTION('center_axis',(0.156679421363592,-0.987649512185963,0.)); #376487=DIRECTION('ref_axis',(0.987649512185963,0.156679421363592,0.)); #376488=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #376489=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #376490=DIRECTION('',(0.,0.,1.)); #376491=DIRECTION('center_axis',(0.992101446215994,-0.12543811388941,0.)); #376492=DIRECTION('ref_axis',(0.12543811388941,0.992101446215994,0.)); #376493=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #376494=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #376495=DIRECTION('',(0.,0.,1.)); #376496=DIRECTION('center_axis',(1.,0.,0.)); #376497=DIRECTION('ref_axis',(0.,1.,0.)); #376498=DIRECTION('',(0.,1.,0.)); #376499=DIRECTION('',(0.,1.,0.)); #376500=DIRECTION('',(0.,0.,1.)); #376501=DIRECTION('center_axis',(0.,1.,0.)); #376502=DIRECTION('ref_axis',(-1.,0.,0.)); #376503=DIRECTION('',(-1.,0.,0.)); #376504=DIRECTION('',(-1.,0.,0.)); #376505=DIRECTION('',(0.,0.,1.)); #376506=DIRECTION('center_axis',(0.,0.,1.)); #376507=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #376508=DIRECTION('center_axis',(0.,0.,1.)); #376509=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #376510=DIRECTION('center_axis',(0.,0.,1.)); #376511=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #376512=DIRECTION('',(0.,0.,1.)); #376513=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376514=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376515=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376516=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376517=DIRECTION('',(0.,0.,1.)); #376518=DIRECTION('center_axis',(0.,0.,1.)); #376519=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #376520=DIRECTION('center_axis',(0.,0.,1.)); #376521=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #376522=DIRECTION('center_axis',(0.,0.,1.)); #376523=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #376524=DIRECTION('',(0.,0.,1.)); #376525=DIRECTION('center_axis',(1.,0.,0.)); #376526=DIRECTION('ref_axis',(0.,1.,0.)); #376527=DIRECTION('',(0.,1.,0.)); #376528=DIRECTION('',(0.,1.,0.)); #376529=DIRECTION('',(0.,0.,1.)); #376530=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376531=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376532=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376533=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376534=DIRECTION('',(0.,0.,1.)); #376535=DIRECTION('center_axis',(0.,1.,0.)); #376536=DIRECTION('ref_axis',(-1.,0.,0.)); #376537=DIRECTION('',(-1.,0.,0.)); #376538=DIRECTION('',(-1.,0.,0.)); #376539=DIRECTION('',(0.,0.,1.)); #376540=DIRECTION('center_axis',(-1.,0.,0.)); #376541=DIRECTION('ref_axis',(0.,-1.,0.)); #376542=DIRECTION('',(0.,-1.,0.)); #376543=DIRECTION('',(0.,-1.,0.)); #376544=DIRECTION('',(0.,0.,1.)); #376545=DIRECTION('center_axis',(0.,1.,0.)); #376546=DIRECTION('ref_axis',(-1.,0.,0.)); #376547=DIRECTION('',(-1.,0.,0.)); #376548=DIRECTION('',(-1.,0.,0.)); #376549=DIRECTION('center_axis',(0.,0.,1.)); #376550=DIRECTION('ref_axis',(1.,0.,0.)); #376551=DIRECTION('center_axis',(0.,0.,1.)); #376552=DIRECTION('ref_axis',(1.,0.,0.)); #376553=DIRECTION('center_axis',(0.,0.,1.)); #376554=DIRECTION('ref_axis',(1.,0.,0.)); #376555=DIRECTION('center_axis',(0.,0.,1.)); #376556=DIRECTION('ref_axis',(1.,0.,0.)); #376557=DIRECTION('',(0.,0.,1.)); #376558=DIRECTION('center_axis',(0.,0.,-1.)); #376559=DIRECTION('ref_axis',(1.,0.,0.)); #376560=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #376561=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #376562=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #376563=DIRECTION('',(0.,0.,1.)); #376564=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #376565=DIRECTION('',(0.,0.,1.)); #376566=DIRECTION('center_axis',(0.,-1.,0.)); #376567=DIRECTION('ref_axis',(1.,0.,0.)); #376568=DIRECTION('',(1.,0.,0.)); #376569=DIRECTION('',(1.,0.,0.)); #376570=DIRECTION('',(0.,0.,1.)); #376571=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #376572=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #376573=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #376574=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #376575=DIRECTION('',(0.,0.,1.)); #376576=DIRECTION('center_axis',(-1.,0.,0.)); #376577=DIRECTION('ref_axis',(0.,-1.,0.)); #376578=DIRECTION('',(0.,-1.,0.)); #376579=DIRECTION('',(0.,-1.,0.)); #376580=DIRECTION('',(0.,0.,1.)); #376581=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #376582=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #376583=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #376584=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #376585=DIRECTION('',(0.,0.,1.)); #376586=DIRECTION('center_axis',(0.,1.,0.)); #376587=DIRECTION('ref_axis',(-1.,0.,0.)); #376588=DIRECTION('',(-1.,0.,0.)); #376589=DIRECTION('',(-1.,0.,0.)); #376590=DIRECTION('',(0.,0.,1.)); #376591=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376592=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376593=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #376594=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #376595=DIRECTION('',(0.,0.,1.)); #376596=DIRECTION('center_axis',(1.,0.,0.)); #376597=DIRECTION('ref_axis',(0.,1.,0.)); #376598=DIRECTION('',(0.,1.,0.)); #376599=DIRECTION('',(0.,1.,0.)); #376600=DIRECTION('center_axis',(0.,0.,1.)); #376601=DIRECTION('ref_axis',(1.,0.,0.)); #376602=DIRECTION('center_axis',(0.,0.,1.)); #376603=DIRECTION('ref_axis',(1.,0.,0.)); #376604=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #376605=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #376606=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #376607=DIRECTION('',(0.,0.,1.)); #376608=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #376609=DIRECTION('',(0.,0.,1.)); #376610=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #376611=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #376612=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #376613=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #376614=DIRECTION('',(0.,0.,1.)); #376615=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #376616=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #376617=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #376618=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #376619=DIRECTION('',(0.,0.,1.)); #376620=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #376621=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #376622=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #376623=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #376624=DIRECTION('',(0.,0.,1.)); #376625=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #376626=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #376627=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #376628=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #376629=DIRECTION('',(0.,0.,1.)); #376630=DIRECTION('center_axis',(-1.,0.,0.)); #376631=DIRECTION('ref_axis',(0.,-1.,0.)); #376632=DIRECTION('',(0.,-1.,0.)); #376633=DIRECTION('',(0.,-1.,0.)); #376634=DIRECTION('',(0.,0.,1.)); #376635=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #376636=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #376637=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #376638=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #376639=DIRECTION('',(0.,0.,1.)); #376640=DIRECTION('center_axis',(-0.842362410729396,0.538911466745847,0.)); #376641=DIRECTION('ref_axis',(-0.538911466745847,-0.842362410729396,0.)); #376642=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #376643=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #376644=DIRECTION('',(0.,0.,1.)); #376645=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #376646=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #376647=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #376648=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #376649=DIRECTION('',(0.,0.,1.)); #376650=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #376651=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #376652=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #376653=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #376654=DIRECTION('',(0.,0.,1.)); #376655=DIRECTION('center_axis',(0.,1.,0.)); #376656=DIRECTION('ref_axis',(-1.,0.,0.)); #376657=DIRECTION('',(-1.,0.,0.)); #376658=DIRECTION('',(-1.,0.,0.)); #376659=DIRECTION('',(0.,0.,1.)); #376660=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #376661=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #376662=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #376663=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #376664=DIRECTION('',(0.,0.,1.)); #376665=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #376666=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #376667=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #376668=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #376669=DIRECTION('',(0.,0.,1.)); #376670=DIRECTION('center_axis',(0.815693852178841,0.57848382822482,0.)); #376671=DIRECTION('ref_axis',(-0.57848382822482,0.815693852178841,0.)); #376672=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #376673=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #376674=DIRECTION('',(0.,0.,1.)); #376675=DIRECTION('center_axis',(0.97669260445365,0.214642857802316,0.)); #376676=DIRECTION('ref_axis',(-0.214642857802316,0.97669260445365,0.)); #376677=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #376678=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #376679=DIRECTION('',(0.,0.,1.)); #376680=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #376681=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #376682=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #376683=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #376684=DIRECTION('',(0.,0.,1.)); #376685=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #376686=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #376687=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #376688=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #376689=DIRECTION('',(0.,0.,1.)); #376690=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #376691=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #376692=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #376693=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #376694=DIRECTION('',(0.,0.,1.)); #376695=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #376696=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #376697=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #376698=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #376699=DIRECTION('center_axis',(0.,0.,1.)); #376700=DIRECTION('ref_axis',(1.,0.,0.)); #376701=DIRECTION('center_axis',(0.,0.,1.)); #376702=DIRECTION('ref_axis',(1.,0.,0.)); #376703=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #376704=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #376705=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #376706=DIRECTION('',(0.,0.,1.)); #376707=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #376708=DIRECTION('',(0.,0.,1.)); #376709=DIRECTION('center_axis',(-0.167675934907869,-0.985842168327553, 0.)); #376710=DIRECTION('ref_axis',(0.985842168327553,-0.167675934907869,0.)); #376711=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #376712=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #376713=DIRECTION('',(0.,0.,1.)); #376714=DIRECTION('center_axis',(-0.538888069292009,-0.842377379073495, 0.)); #376715=DIRECTION('ref_axis',(0.842377379073495,-0.538888069292009,0.)); #376716=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #376717=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #376718=DIRECTION('',(0.,0.,1.)); #376719=DIRECTION('center_axis',(-0.824756093514948,-0.565488626065955, 0.)); #376720=DIRECTION('ref_axis',(0.565488626065955,-0.824756093514948,0.)); #376721=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #376722=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #376723=DIRECTION('',(0.,0.,1.)); #376724=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #376725=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #376726=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #376727=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #376728=DIRECTION('',(0.,0.,1.)); #376729=DIRECTION('center_axis',(-1.,0.,0.)); #376730=DIRECTION('ref_axis',(0.,-1.,0.)); #376731=DIRECTION('',(0.,-1.,0.)); #376732=DIRECTION('',(0.,-1.,0.)); #376733=DIRECTION('',(0.,0.,1.)); #376734=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #376735=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #376736=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #376737=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #376738=DIRECTION('',(0.,0.,1.)); #376739=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #376740=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #376741=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #376742=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #376743=DIRECTION('',(0.,0.,1.)); #376744=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #376745=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #376746=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #376747=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #376748=DIRECTION('',(0.,0.,1.)); #376749=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #376750=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #376751=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #376752=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #376753=DIRECTION('',(0.,0.,1.)); #376754=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #376755=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #376756=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #376757=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #376758=DIRECTION('',(0.,0.,1.)); #376759=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #376760=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #376761=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #376762=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #376763=DIRECTION('',(0.,0.,1.)); #376764=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #376765=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #376766=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376767=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376768=DIRECTION('',(0.,0.,1.)); #376769=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376770=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376771=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376772=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376773=DIRECTION('',(0.,0.,1.)); #376774=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #376775=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #376776=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #376777=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #376778=DIRECTION('',(0.,0.,1.)); #376779=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #376780=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #376781=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #376782=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #376783=DIRECTION('',(0.,0.,1.)); #376784=DIRECTION('center_axis',(1.,0.,0.)); #376785=DIRECTION('ref_axis',(0.,1.,0.)); #376786=DIRECTION('',(0.,1.,0.)); #376787=DIRECTION('',(0.,1.,0.)); #376788=DIRECTION('',(0.,0.,1.)); #376789=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #376790=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #376791=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #376792=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #376793=DIRECTION('',(0.,0.,1.)); #376794=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #376795=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #376796=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #376797=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #376798=DIRECTION('',(0.,0.,1.)); #376799=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #376800=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #376801=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #376802=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #376803=DIRECTION('',(0.,0.,1.)); #376804=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #376805=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #376806=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #376807=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #376808=DIRECTION('center_axis',(0.,0.,1.)); #376809=DIRECTION('ref_axis',(1.,0.,0.)); #376810=DIRECTION('center_axis',(0.,0.,1.)); #376811=DIRECTION('ref_axis',(1.,0.,0.)); #376812=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #376813=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #376814=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376815=DIRECTION('',(0.,0.,1.)); #376816=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376817=DIRECTION('',(0.,0.,1.)); #376818=DIRECTION('center_axis',(0.,-1.,0.)); #376819=DIRECTION('ref_axis',(1.,0.,0.)); #376820=DIRECTION('',(1.,0.,0.)); #376821=DIRECTION('',(1.,0.,0.)); #376822=DIRECTION('',(0.,0.,1.)); #376823=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #376824=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #376825=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #376826=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #376827=DIRECTION('',(0.,0.,1.)); #376828=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #376829=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #376830=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #376831=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #376832=DIRECTION('',(0.,0.,1.)); #376833=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #376834=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #376835=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #376836=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #376837=DIRECTION('',(0.,0.,1.)); #376838=DIRECTION('center_axis',(-0.820212632122596,-0.572058771549325, 0.)); #376839=DIRECTION('ref_axis',(0.572058771549325,-0.820212632122596,0.)); #376840=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #376841=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #376842=DIRECTION('',(0.,0.,1.)); #376843=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #376844=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #376845=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #376846=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #376847=DIRECTION('',(0.,0.,1.)); #376848=DIRECTION('center_axis',(-0.985847618607373,0.167643887112447,0.)); #376849=DIRECTION('ref_axis',(-0.167643887112447,-0.985847618607373,0.)); #376850=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #376851=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #376852=DIRECTION('',(0.,0.,1.)); #376853=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #376854=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #376855=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #376856=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #376857=DIRECTION('',(0.,0.,1.)); #376858=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #376859=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #376860=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #376861=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #376862=DIRECTION('',(0.,0.,1.)); #376863=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #376864=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #376865=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #376866=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #376867=DIRECTION('',(0.,0.,1.)); #376868=DIRECTION('center_axis',(0.,1.,0.)); #376869=DIRECTION('ref_axis',(-1.,0.,0.)); #376870=DIRECTION('',(-1.,0.,0.)); #376871=DIRECTION('',(-1.,0.,0.)); #376872=DIRECTION('',(0.,0.,1.)); #376873=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #376874=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #376875=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #376876=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #376877=DIRECTION('',(0.,0.,1.)); #376878=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #376879=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #376880=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376881=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376882=DIRECTION('',(0.,0.,1.)); #376883=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #376884=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #376885=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #376886=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #376887=DIRECTION('',(0.,0.,1.)); #376888=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #376889=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #376890=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #376891=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #376892=DIRECTION('',(0.,0.,1.)); #376893=DIRECTION('center_axis',(0.973144071552987,0.230196907019351,0.)); #376894=DIRECTION('ref_axis',(-0.230196907019351,0.973144071552987,0.)); #376895=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #376896=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #376897=DIRECTION('',(0.,0.,1.)); #376898=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #376899=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #376900=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #376901=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #376902=DIRECTION('',(0.,0.,1.)); #376903=DIRECTION('center_axis',(0.842377379073538,-0.538888069291942,0.)); #376904=DIRECTION('ref_axis',(0.538888069291942,0.842377379073538,0.)); #376905=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #376906=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #376907=DIRECTION('',(0.,0.,1.)); #376908=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #376909=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #376910=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #376911=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #376912=DIRECTION('center_axis',(0.,0.,1.)); #376913=DIRECTION('ref_axis',(1.,0.,0.)); #376914=DIRECTION('center_axis',(0.,0.,1.)); #376915=DIRECTION('ref_axis',(1.,0.,0.)); #376916=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #376917=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #376918=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #376919=DIRECTION('',(0.,0.,1.)); #376920=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #376921=DIRECTION('',(0.,0.,1.)); #376922=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #376923=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #376924=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #376925=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #376926=DIRECTION('',(0.,0.,1.)); #376927=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #376928=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #376929=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #376930=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #376931=DIRECTION('',(0.,0.,1.)); #376932=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #376933=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #376934=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #376935=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #376936=DIRECTION('',(0.,0.,1.)); #376937=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #376938=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #376939=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #376940=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #376941=DIRECTION('',(0.,0.,1.)); #376942=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #376943=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #376944=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #376945=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #376946=DIRECTION('',(0.,0.,1.)); #376947=DIRECTION('center_axis',(-1.,0.,0.)); #376948=DIRECTION('ref_axis',(0.,-1.,0.)); #376949=DIRECTION('',(0.,-1.,0.)); #376950=DIRECTION('',(0.,-1.,0.)); #376951=DIRECTION('',(0.,0.,1.)); #376952=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #376953=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #376954=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #376955=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #376956=DIRECTION('',(0.,0.,1.)); #376957=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #376958=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #376959=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #376960=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #376961=DIRECTION('',(0.,0.,1.)); #376962=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #376963=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #376964=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #376965=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #376966=DIRECTION('',(0.,0.,1.)); #376967=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #376968=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #376969=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #376970=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #376971=DIRECTION('',(0.,0.,1.)); #376972=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #376973=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #376974=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #376975=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #376976=DIRECTION('',(0.,0.,1.)); #376977=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #376978=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #376979=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #376980=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #376981=DIRECTION('',(0.,0.,1.)); #376982=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #376983=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #376984=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376985=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #376986=DIRECTION('',(0.,0.,1.)); #376987=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376988=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376989=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376990=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376991=DIRECTION('',(0.,0.,1.)); #376992=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #376993=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #376994=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #376995=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #376996=DIRECTION('',(0.,0.,1.)); #376997=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #376998=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #376999=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #377000=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #377001=DIRECTION('',(0.,0.,1.)); #377002=DIRECTION('center_axis',(1.,0.,0.)); #377003=DIRECTION('ref_axis',(0.,1.,0.)); #377004=DIRECTION('',(0.,1.,0.)); #377005=DIRECTION('',(0.,1.,0.)); #377006=DIRECTION('',(0.,0.,1.)); #377007=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #377008=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #377009=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #377010=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #377011=DIRECTION('',(0.,0.,1.)); #377012=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #377013=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #377014=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #377015=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #377016=DIRECTION('',(0.,0.,1.)); #377017=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #377018=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #377019=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #377020=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #377021=DIRECTION('center_axis',(0.,0.,1.)); #377022=DIRECTION('ref_axis',(1.,0.,0.)); #377023=DIRECTION('center_axis',(0.,0.,1.)); #377024=DIRECTION('ref_axis',(1.,0.,0.)); #377025=DIRECTION('center_axis',(0.,0.,1.)); #377026=DIRECTION('ref_axis',(1.,0.,0.)); #377027=DIRECTION('center_axis',(0.,0.,1.)); #377028=DIRECTION('ref_axis',(1.,0.,0.)); #377029=DIRECTION('',(0.,0.,1.)); #377030=DIRECTION('center_axis',(0.,0.,-1.)); #377031=DIRECTION('ref_axis',(1.,0.,0.)); #377032=DIRECTION('center_axis',(0.,0.,1.)); #377033=DIRECTION('ref_axis',(1.,0.,0.)); #377034=DIRECTION('center_axis',(0.,0.,1.)); #377035=DIRECTION('ref_axis',(1.,0.,0.)); #377036=DIRECTION('',(0.,0.,1.)); #377037=DIRECTION('center_axis',(0.,0.,-1.)); #377038=DIRECTION('ref_axis',(1.,0.,0.)); #377039=DIRECTION('center_axis',(0.,0.,1.)); #377040=DIRECTION('ref_axis',(1.,0.,0.)); #377041=DIRECTION('center_axis',(0.,0.,1.)); #377042=DIRECTION('ref_axis',(1.,0.,0.)); #377043=DIRECTION('',(0.,0.,1.)); #377044=DIRECTION('center_axis',(0.,0.,-1.)); #377045=DIRECTION('ref_axis',(1.,0.,0.)); #377046=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #377047=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #377048=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #377049=DIRECTION('',(0.,0.,1.)); #377050=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #377051=DIRECTION('',(0.,0.,1.)); #377052=DIRECTION('center_axis',(0.,-1.,0.)); #377053=DIRECTION('ref_axis',(1.,0.,0.)); #377054=DIRECTION('',(1.,0.,0.)); #377055=DIRECTION('',(1.,0.,0.)); #377056=DIRECTION('',(0.,0.,1.)); #377057=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #377058=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #377059=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #377060=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #377061=DIRECTION('',(0.,0.,1.)); #377062=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #377063=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #377064=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #377065=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #377066=DIRECTION('',(0.,0.,1.)); #377067=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #377068=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #377069=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #377070=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #377071=DIRECTION('',(0.,0.,1.)); #377072=DIRECTION('center_axis',(0.,-1.,0.)); #377073=DIRECTION('ref_axis',(1.,0.,0.)); #377074=DIRECTION('',(1.,0.,0.)); #377075=DIRECTION('',(1.,0.,0.)); #377076=DIRECTION('',(0.,0.,1.)); #377077=DIRECTION('center_axis',(0.707087858650815,-0.707125703215917,0.)); #377078=DIRECTION('ref_axis',(0.707125703215917,0.707087858650815,0.)); #377079=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #377080=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #377081=DIRECTION('',(0.,0.,1.)); #377082=DIRECTION('center_axis',(0.572058771549057,-0.820212632122783,0.)); #377083=DIRECTION('ref_axis',(0.820212632122783,0.572058771549057,0.)); #377084=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #377085=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #377086=DIRECTION('',(0.,0.,1.)); #377087=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #377088=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #377089=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #377090=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #377091=DIRECTION('',(0.,0.,1.)); #377092=DIRECTION('center_axis',(-0.167675934908035,-0.985842168327525, 0.)); #377093=DIRECTION('ref_axis',(0.985842168327525,-0.167675934908035,0.)); #377094=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #377095=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #377096=DIRECTION('',(0.,0.,1.)); #377097=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #377098=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #377099=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #377100=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #377101=DIRECTION('',(0.,0.,1.)); #377102=DIRECTION('center_axis',(-0.824745549033945,-0.565504004714994, 0.)); #377103=DIRECTION('ref_axis',(0.565504004714994,-0.824745549033945,0.)); #377104=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #377105=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #377106=DIRECTION('',(0.,0.,1.)); #377107=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #377108=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #377109=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #377110=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #377111=DIRECTION('',(0.,0.,1.)); #377112=DIRECTION('center_axis',(-1.,0.,0.)); #377113=DIRECTION('ref_axis',(0.,-1.,0.)); #377114=DIRECTION('',(0.,-1.,0.)); #377115=DIRECTION('',(0.,-1.,0.)); #377116=DIRECTION('',(0.,0.,1.)); #377117=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #377118=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #377119=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #377120=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #377121=DIRECTION('',(0.,0.,1.)); #377122=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #377123=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #377124=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #377125=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #377126=DIRECTION('',(0.,0.,1.)); #377127=DIRECTION('center_axis',(-0.707106781186708,0.707106781186387,0.)); #377128=DIRECTION('ref_axis',(-0.707106781186387,-0.707106781186708,0.)); #377129=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #377130=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #377131=DIRECTION('',(0.,0.,1.)); #377132=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #377133=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #377134=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #377135=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #377136=DIRECTION('',(0.,0.,1.)); #377137=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #377138=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #377139=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #377140=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #377141=DIRECTION('',(0.,0.,1.)); #377142=DIRECTION('center_axis',(0.,1.,0.)); #377143=DIRECTION('ref_axis',(-1.,0.,0.)); #377144=DIRECTION('',(-1.,0.,0.)); #377145=DIRECTION('',(-1.,0.,0.)); #377146=DIRECTION('',(0.,0.,1.)); #377147=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #377148=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #377149=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377150=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377151=DIRECTION('',(0.,0.,1.)); #377152=DIRECTION('center_axis',(-1.,0.,0.)); #377153=DIRECTION('ref_axis',(0.,-1.,0.)); #377154=DIRECTION('',(0.,-1.,0.)); #377155=DIRECTION('',(0.,-1.,0.)); #377156=DIRECTION('',(0.,0.,1.)); #377157=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #377158=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #377159=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #377160=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #377161=DIRECTION('',(0.,0.,1.)); #377162=DIRECTION('center_axis',(0.,-1.,0.)); #377163=DIRECTION('ref_axis',(1.,0.,0.)); #377164=DIRECTION('',(1.,0.,0.)); #377165=DIRECTION('',(1.,0.,0.)); #377166=DIRECTION('',(0.,0.,1.)); #377167=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #377168=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #377169=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #377170=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #377171=DIRECTION('',(0.,0.,1.)); #377172=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #377173=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #377174=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #377175=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #377176=DIRECTION('',(0.,0.,1.)); #377177=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #377178=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #377179=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #377180=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #377181=DIRECTION('',(0.,0.,1.)); #377182=DIRECTION('center_axis',(-0.976692604453637,-0.214642857802378, 0.)); #377183=DIRECTION('ref_axis',(0.214642857802378,-0.976692604453637,0.)); #377184=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #377185=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #377186=DIRECTION('',(0.,0.,1.)); #377187=DIRECTION('center_axis',(-0.983056472197929,0.183302952703337,0.)); #377188=DIRECTION('ref_axis',(-0.183302952703337,-0.983056472197929,0.)); #377189=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #377190=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #377191=DIRECTION('',(0.,0.,1.)); #377192=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #377193=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #377194=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #377195=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #377196=DIRECTION('',(0.,0.,1.)); #377197=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #377198=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #377199=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #377200=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #377201=DIRECTION('',(0.,0.,1.)); #377202=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #377203=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #377204=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #377205=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #377206=DIRECTION('',(0.,0.,1.)); #377207=DIRECTION('center_axis',(-0.198846786199896,0.980030589123612,0.)); #377208=DIRECTION('ref_axis',(-0.980030589123612,-0.198846786199896,0.)); #377209=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #377210=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #377211=DIRECTION('',(0.,0.,1.)); #377212=DIRECTION('center_axis',(-0.707102906342199,0.707110656009662,0.)); #377213=DIRECTION('ref_axis',(-0.707110656009662,-0.707102906342199,0.)); #377214=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #377215=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #377216=DIRECTION('',(0.,0.,1.)); #377217=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #377218=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #377219=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #377220=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #377221=DIRECTION('',(0.,0.,1.)); #377222=DIRECTION('center_axis',(-0.214667400660304,0.976687210469016,0.)); #377223=DIRECTION('ref_axis',(-0.976687210469016,-0.214667400660304,0.)); #377224=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #377225=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #377226=DIRECTION('',(0.,0.,1.)); #377227=DIRECTION('center_axis',(0.183340761560929,0.983049421519721,0.)); #377228=DIRECTION('ref_axis',(-0.983049421519721,0.183340761560929,0.)); #377229=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #377230=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #377231=DIRECTION('',(0.,0.,1.)); #377232=DIRECTION('center_axis',(0.552263045083061,0.833669916115236,0.)); #377233=DIRECTION('ref_axis',(-0.833669916115236,0.552263045083061,0.)); #377234=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #377235=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #377236=DIRECTION('',(0.,0.,1.)); #377237=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #377238=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #377239=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #377240=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #377241=DIRECTION('',(0.,0.,1.)); #377242=DIRECTION('center_axis',(0.829214556504162,0.558930424365686,0.)); #377243=DIRECTION('ref_axis',(-0.558930424365686,0.829214556504162,0.)); #377244=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #377245=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #377246=DIRECTION('',(0.,0.,1.)); #377247=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #377248=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #377249=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #377250=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #377251=DIRECTION('',(0.,0.,1.)); #377252=DIRECTION('center_axis',(1.,0.,0.)); #377253=DIRECTION('ref_axis',(0.,1.,0.)); #377254=DIRECTION('',(0.,1.,0.)); #377255=DIRECTION('',(0.,1.,0.)); #377256=DIRECTION('',(0.,0.,1.)); #377257=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #377258=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #377259=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #377260=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #377261=DIRECTION('',(0.,0.,1.)); #377262=DIRECTION('center_axis',(0.,1.,0.)); #377263=DIRECTION('ref_axis',(-1.,0.,0.)); #377264=DIRECTION('',(-1.,0.,0.)); #377265=DIRECTION('',(-1.,0.,0.)); #377266=DIRECTION('',(0.,0.,1.)); #377267=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #377268=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #377269=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #377270=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #377271=DIRECTION('',(0.,0.,1.)); #377272=DIRECTION('center_axis',(-1.,0.,0.)); #377273=DIRECTION('ref_axis',(0.,-1.,0.)); #377274=DIRECTION('',(0.,-1.,0.)); #377275=DIRECTION('',(0.,-1.,0.)); #377276=DIRECTION('',(0.,0.,1.)); #377277=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #377278=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #377279=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #377280=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #377281=DIRECTION('',(0.,0.,1.)); #377282=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #377283=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #377284=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #377285=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #377286=DIRECTION('',(0.,0.,1.)); #377287=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #377288=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #377289=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #377290=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #377291=DIRECTION('',(0.,0.,1.)); #377292=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #377293=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #377294=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #377295=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #377296=DIRECTION('',(0.,0.,1.)); #377297=DIRECTION('center_axis',(0.,1.,0.)); #377298=DIRECTION('ref_axis',(-1.,0.,0.)); #377299=DIRECTION('',(-1.,0.,0.)); #377300=DIRECTION('',(-1.,0.,0.)); #377301=DIRECTION('',(0.,0.,1.)); #377302=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #377303=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #377304=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #377305=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #377306=DIRECTION('',(0.,0.,1.)); #377307=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #377308=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #377309=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #377310=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #377311=DIRECTION('',(0.,0.,1.)); #377312=DIRECTION('center_axis',(0.707106781186531,0.707106781186564,0.)); #377313=DIRECTION('ref_axis',(-0.707106781186564,0.707106781186531,0.)); #377314=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #377315=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #377316=DIRECTION('',(0.,0.,1.)); #377317=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #377318=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #377319=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #377320=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #377321=DIRECTION('',(0.,0.,1.)); #377322=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #377323=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #377324=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #377325=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #377326=DIRECTION('',(0.,0.,1.)); #377327=DIRECTION('center_axis',(1.,0.,0.)); #377328=DIRECTION('ref_axis',(0.,1.,0.)); #377329=DIRECTION('',(0.,1.,0.)); #377330=DIRECTION('',(0.,1.,0.)); #377331=DIRECTION('',(0.,0.,1.)); #377332=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #377333=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #377334=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #377335=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #377336=DIRECTION('',(0.,0.,1.)); #377337=DIRECTION('center_axis',(0.,1.,0.)); #377338=DIRECTION('ref_axis',(-1.,0.,0.)); #377339=DIRECTION('',(-1.,0.,0.)); #377340=DIRECTION('',(-1.,0.,0.)); #377341=DIRECTION('',(0.,0.,1.)); #377342=DIRECTION('center_axis',(0.183305290257358,0.983056036329398,0.)); #377343=DIRECTION('ref_axis',(-0.983056036329397,0.183305290257358,0.)); #377344=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #377345=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #377346=DIRECTION('',(0.,0.,1.)); #377347=DIRECTION('center_axis',(0.53891146674598,0.842362410729311,0.)); #377348=DIRECTION('ref_axis',(-0.842362410729311,0.53891146674598,0.)); #377349=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #377350=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #377351=DIRECTION('',(0.,0.,1.)); #377352=DIRECTION('center_axis',(0.82474554903406,0.565504004714826,0.)); #377353=DIRECTION('ref_axis',(-0.565504004714826,0.82474554903406,0.)); #377354=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #377355=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #377356=DIRECTION('',(0.,0.,1.)); #377357=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #377358=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #377359=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #377360=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #377361=DIRECTION('',(0.,0.,1.)); #377362=DIRECTION('center_axis',(1.,0.,0.)); #377363=DIRECTION('ref_axis',(0.,1.,0.)); #377364=DIRECTION('',(0.,1.,0.)); #377365=DIRECTION('',(0.,1.,0.)); #377366=DIRECTION('',(0.,0.,1.)); #377367=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #377368=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #377369=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #377370=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #377371=DIRECTION('',(0.,0.,1.)); #377372=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #377373=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #377374=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #377375=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #377376=DIRECTION('',(0.,0.,1.)); #377377=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #377378=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #377379=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #377380=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #377381=DIRECTION('',(0.,0.,1.)); #377382=DIRECTION('center_axis',(0.198956019232402,-0.980008419561381,0.)); #377383=DIRECTION('ref_axis',(0.980008419561381,0.198956019232402,0.)); #377384=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #377385=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #377386=DIRECTION('',(0.,0.,1.)); #377387=DIRECTION('center_axis',(0.,-1.,0.)); #377388=DIRECTION('ref_axis',(1.,0.,0.)); #377389=DIRECTION('',(1.,0.,0.)); #377390=DIRECTION('',(1.,0.,0.)); #377391=DIRECTION('',(0.,0.,1.)); #377392=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #377393=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #377394=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #377395=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #377396=DIRECTION('',(0.,0.,1.)); #377397=DIRECTION('center_axis',(1.,0.,0.)); #377398=DIRECTION('ref_axis',(0.,1.,0.)); #377399=DIRECTION('',(0.,1.,0.)); #377400=DIRECTION('',(0.,1.,0.)); #377401=DIRECTION('',(0.,0.,1.)); #377402=DIRECTION('center_axis',(0.981560865252968,-0.191149856928652,0.)); #377403=DIRECTION('ref_axis',(0.191149856928652,0.981560865252968,0.)); #377404=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #377405=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #377406=DIRECTION('',(0.,0.,1.)); #377407=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #377408=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #377409=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #377410=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #377411=DIRECTION('',(0.,0.,1.)); #377412=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #377413=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #377414=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #377415=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #377416=DIRECTION('',(0.,0.,1.)); #377417=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #377418=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #377419=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #377420=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #377421=DIRECTION('',(0.,0.,1.)); #377422=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #377423=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #377424=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #377425=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #377426=DIRECTION('',(0.,0.,1.)); #377427=DIRECTION('center_axis',(0.,-1.,0.)); #377428=DIRECTION('ref_axis',(1.,0.,0.)); #377429=DIRECTION('',(1.,0.,0.)); #377430=DIRECTION('',(1.,0.,0.)); #377431=DIRECTION('',(0.,0.,1.)); #377432=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #377433=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #377434=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #377435=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #377436=DIRECTION('',(0.,0.,1.)); #377437=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #377438=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #377439=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #377440=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #377441=DIRECTION('',(0.,0.,1.)); #377442=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #377443=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #377444=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #377445=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #377446=DIRECTION('',(0.,0.,1.)); #377447=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #377448=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #377449=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377450=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377451=DIRECTION('',(0.,0.,1.)); #377452=DIRECTION('center_axis',(-1.,0.,0.)); #377453=DIRECTION('ref_axis',(0.,-1.,0.)); #377454=DIRECTION('',(0.,-1.,0.)); #377455=DIRECTION('',(0.,-1.,0.)); #377456=DIRECTION('',(0.,0.,1.)); #377457=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #377458=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #377459=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #377460=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #377461=DIRECTION('',(0.,0.,1.)); #377462=DIRECTION('center_axis',(0.,-1.,0.)); #377463=DIRECTION('ref_axis',(1.,0.,0.)); #377464=DIRECTION('',(1.,0.,0.)); #377465=DIRECTION('',(1.,0.,0.)); #377466=DIRECTION('',(0.,0.,1.)); #377467=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #377468=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #377469=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #377470=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #377471=DIRECTION('',(0.,0.,1.)); #377472=DIRECTION('center_axis',(1.,0.,0.)); #377473=DIRECTION('ref_axis',(0.,1.,0.)); #377474=DIRECTION('',(0.,1.,0.)); #377475=DIRECTION('',(0.,1.,0.)); #377476=DIRECTION('',(0.,0.,1.)); #377477=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #377478=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #377479=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #377480=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #377481=DIRECTION('',(0.,0.,1.)); #377482=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #377483=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #377484=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #377485=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #377486=DIRECTION('',(0.,0.,1.)); #377487=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #377488=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #377489=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #377490=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #377491=DIRECTION('',(0.,0.,1.)); #377492=DIRECTION('center_axis',(0.558930424365581,-0.829214556504233,0.)); #377493=DIRECTION('ref_axis',(0.829214556504233,0.558930424365581,0.)); #377494=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #377495=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #377496=DIRECTION('center_axis',(0.,0.,1.)); #377497=DIRECTION('ref_axis',(1.,0.,0.)); #377498=DIRECTION('center_axis',(0.,0.,1.)); #377499=DIRECTION('ref_axis',(1.,0.,0.)); #377500=DIRECTION('center_axis',(0.,0.,1.)); #377501=DIRECTION('ref_axis',(1.,0.,0.)); #377502=DIRECTION('center_axis',(0.,0.,1.)); #377503=DIRECTION('ref_axis',(1.,0.,0.)); #377504=DIRECTION('',(0.,0.,1.)); #377505=DIRECTION('center_axis',(0.,0.,-1.)); #377506=DIRECTION('ref_axis',(1.,0.,0.)); #377507=DIRECTION('center_axis',(0.,0.,1.)); #377508=DIRECTION('ref_axis',(1.,0.,0.)); #377509=DIRECTION('center_axis',(0.,0.,1.)); #377510=DIRECTION('ref_axis',(1.,0.,0.)); #377511=DIRECTION('',(0.,0.,1.)); #377512=DIRECTION('center_axis',(0.,0.,-1.)); #377513=DIRECTION('ref_axis',(1.,0.,0.)); #377514=DIRECTION('center_axis',(0.,0.,1.)); #377515=DIRECTION('ref_axis',(1.,0.,0.)); #377516=DIRECTION('center_axis',(0.,0.,1.)); #377517=DIRECTION('ref_axis',(1.,0.,0.)); #377518=DIRECTION('',(0.,0.,1.)); #377519=DIRECTION('center_axis',(0.,0.,-1.)); #377520=DIRECTION('ref_axis',(1.,0.,0.)); #377521=DIRECTION('center_axis',(0.,0.,1.)); #377522=DIRECTION('ref_axis',(1.,0.,0.)); #377523=DIRECTION('center_axis',(0.,0.,1.)); #377524=DIRECTION('ref_axis',(1.,0.,0.)); #377525=DIRECTION('',(0.,0.,1.)); #377526=DIRECTION('center_axis',(0.,0.,-1.)); #377527=DIRECTION('ref_axis',(1.,0.,0.)); #377528=DIRECTION('center_axis',(0.,0.,1.)); #377529=DIRECTION('ref_axis',(1.,0.,0.)); #377530=DIRECTION('center_axis',(0.,0.,1.)); #377531=DIRECTION('ref_axis',(1.,0.,0.)); #377532=DIRECTION('',(0.,0.,1.)); #377533=DIRECTION('center_axis',(0.,0.,-1.)); #377534=DIRECTION('ref_axis',(1.,0.,0.)); #377535=DIRECTION('center_axis',(0.,0.,1.)); #377536=DIRECTION('ref_axis',(1.,0.,0.)); #377537=DIRECTION('center_axis',(0.,0.,1.)); #377538=DIRECTION('ref_axis',(1.,0.,0.)); #377539=DIRECTION('',(0.,0.,1.)); #377540=DIRECTION('center_axis',(0.,0.,-1.)); #377541=DIRECTION('ref_axis',(1.,0.,0.)); #377542=DIRECTION('center_axis',(0.,0.,1.)); #377543=DIRECTION('ref_axis',(1.,0.,0.)); #377544=DIRECTION('center_axis',(0.,0.,1.)); #377545=DIRECTION('ref_axis',(1.,0.,0.)); #377546=DIRECTION('',(0.,0.,1.)); #377547=DIRECTION('center_axis',(0.,0.,-1.)); #377548=DIRECTION('ref_axis',(1.,0.,0.)); #377549=DIRECTION('center_axis',(0.,0.,1.)); #377550=DIRECTION('ref_axis',(1.,0.,0.)); #377551=DIRECTION('center_axis',(0.,0.,1.)); #377552=DIRECTION('ref_axis',(1.,0.,0.)); #377553=DIRECTION('',(0.,0.,1.)); #377554=DIRECTION('center_axis',(0.,0.,-1.)); #377555=DIRECTION('ref_axis',(1.,0.,0.)); #377556=DIRECTION('center_axis',(0.,0.,1.)); #377557=DIRECTION('ref_axis',(1.,0.,0.)); #377558=DIRECTION('center_axis',(0.,0.,1.)); #377559=DIRECTION('ref_axis',(1.,0.,0.)); #377560=DIRECTION('',(0.,0.,1.)); #377561=DIRECTION('center_axis',(0.,0.,-1.)); #377562=DIRECTION('ref_axis',(1.,0.,0.)); #377563=DIRECTION('center_axis',(1.,0.,0.)); #377564=DIRECTION('ref_axis',(0.,1.,0.)); #377565=DIRECTION('',(0.,-1.,0.)); #377566=DIRECTION('',(0.,0.,1.)); #377567=DIRECTION('',(0.,1.,0.)); #377568=DIRECTION('',(0.,0.,1.)); #377569=DIRECTION('center_axis',(0.,0.,1.)); #377570=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377571=DIRECTION('center_axis',(0.,0.,-1.)); #377572=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377573=DIRECTION('center_axis',(0.,0.,1.)); #377574=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377575=DIRECTION('',(0.,0.,1.)); #377576=DIRECTION('center_axis',(-1.,0.,0.)); #377577=DIRECTION('ref_axis',(0.,-1.,0.)); #377578=DIRECTION('',(0.,1.,0.)); #377579=DIRECTION('',(0.,-1.,0.)); #377580=DIRECTION('',(0.,0.,1.)); #377581=DIRECTION('center_axis',(0.,0.,1.)); #377582=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377583=DIRECTION('center_axis',(0.,0.,-1.)); #377584=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377585=DIRECTION('center_axis',(0.,0.,1.)); #377586=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377587=DIRECTION('center_axis',(1.,0.,0.)); #377588=DIRECTION('ref_axis',(0.,1.,0.)); #377589=DIRECTION('',(0.,-1.,0.)); #377590=DIRECTION('',(0.,0.,1.)); #377591=DIRECTION('',(0.,1.,0.)); #377592=DIRECTION('',(0.,0.,1.)); #377593=DIRECTION('center_axis',(0.,0.,1.)); #377594=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377595=DIRECTION('center_axis',(0.,0.,-1.)); #377596=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377597=DIRECTION('center_axis',(0.,0.,1.)); #377598=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #377599=DIRECTION('',(0.,0.,1.)); #377600=DIRECTION('center_axis',(-1.,0.,0.)); #377601=DIRECTION('ref_axis',(0.,-1.,0.)); #377602=DIRECTION('',(0.,1.,0.)); #377603=DIRECTION('',(0.,-1.,0.)); #377604=DIRECTION('',(0.,0.,1.)); #377605=DIRECTION('center_axis',(0.,0.,1.)); #377606=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377607=DIRECTION('center_axis',(0.,0.,-1.)); #377608=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377609=DIRECTION('center_axis',(0.,0.,1.)); #377610=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #377611=DIRECTION('center_axis',(0.,0.,1.)); #377612=DIRECTION('ref_axis',(1.,0.,0.)); #377613=DIRECTION('center_axis',(0.,0.,1.)); #377614=DIRECTION('ref_axis',(1.,0.,0.)); #377615=DIRECTION('',(0.,0.,1.)); #377616=DIRECTION('center_axis',(0.,0.,-1.)); #377617=DIRECTION('ref_axis',(1.,0.,0.)); #377618=DIRECTION('center_axis',(0.,0.,1.)); #377619=DIRECTION('ref_axis',(1.,0.,0.)); #377620=DIRECTION('center_axis',(0.,0.,1.)); #377621=DIRECTION('ref_axis',(1.,0.,0.)); #377622=DIRECTION('',(0.,0.,1.)); #377623=DIRECTION('center_axis',(0.,0.,-1.)); #377624=DIRECTION('ref_axis',(1.,0.,0.)); #377625=DIRECTION('center_axis',(0.,0.,1.)); #377626=DIRECTION('ref_axis',(1.,0.,0.)); #377627=DIRECTION('center_axis',(0.,0.,1.)); #377628=DIRECTION('ref_axis',(1.,0.,0.)); #377629=DIRECTION('',(0.,0.,1.)); #377630=DIRECTION('center_axis',(0.,0.,-1.)); #377631=DIRECTION('ref_axis',(1.,0.,0.)); #377632=DIRECTION('center_axis',(0.,0.,1.)); #377633=DIRECTION('ref_axis',(1.,0.,0.)); #377634=DIRECTION('center_axis',(0.,0.,1.)); #377635=DIRECTION('ref_axis',(1.,0.,0.)); #377636=DIRECTION('',(0.,0.,1.)); #377637=DIRECTION('center_axis',(0.,0.,-1.)); #377638=DIRECTION('ref_axis',(1.,0.,0.)); #377639=DIRECTION('center_axis',(1.,0.,0.)); #377640=DIRECTION('ref_axis',(0.,1.,0.)); #377641=DIRECTION('',(0.,-1.,0.)); #377642=DIRECTION('',(0.,0.,1.)); #377643=DIRECTION('',(0.,1.,0.)); #377644=DIRECTION('',(0.,0.,1.)); #377645=DIRECTION('center_axis',(0.,0.,1.)); #377646=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377647=DIRECTION('center_axis',(0.,0.,-1.)); #377648=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377649=DIRECTION('center_axis',(0.,0.,1.)); #377650=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377651=DIRECTION('',(0.,0.,1.)); #377652=DIRECTION('center_axis',(-1.,0.,0.)); #377653=DIRECTION('ref_axis',(0.,-1.,0.)); #377654=DIRECTION('',(0.,1.,0.)); #377655=DIRECTION('',(0.,-1.,0.)); #377656=DIRECTION('',(0.,0.,1.)); #377657=DIRECTION('center_axis',(0.,0.,1.)); #377658=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377659=DIRECTION('center_axis',(0.,0.,-1.)); #377660=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377661=DIRECTION('center_axis',(0.,0.,1.)); #377662=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377663=DIRECTION('center_axis',(1.,0.,0.)); #377664=DIRECTION('ref_axis',(0.,1.,0.)); #377665=DIRECTION('',(0.,-1.,0.)); #377666=DIRECTION('',(0.,0.,1.)); #377667=DIRECTION('',(0.,1.,0.)); #377668=DIRECTION('',(0.,0.,1.)); #377669=DIRECTION('center_axis',(0.,0.,1.)); #377670=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377671=DIRECTION('center_axis',(0.,0.,-1.)); #377672=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377673=DIRECTION('center_axis',(0.,0.,1.)); #377674=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #377675=DIRECTION('',(0.,0.,1.)); #377676=DIRECTION('center_axis',(-1.,0.,0.)); #377677=DIRECTION('ref_axis',(0.,-1.,0.)); #377678=DIRECTION('',(0.,1.,0.)); #377679=DIRECTION('',(0.,-1.,0.)); #377680=DIRECTION('',(0.,0.,1.)); #377681=DIRECTION('center_axis',(0.,0.,1.)); #377682=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377683=DIRECTION('center_axis',(0.,0.,-1.)); #377684=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377685=DIRECTION('center_axis',(0.,0.,1.)); #377686=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #377687=DIRECTION('center_axis',(0.,0.,1.)); #377688=DIRECTION('ref_axis',(1.,0.,0.)); #377689=DIRECTION('center_axis',(0.,0.,1.)); #377690=DIRECTION('ref_axis',(1.,0.,0.)); #377691=DIRECTION('',(0.,0.,1.)); #377692=DIRECTION('center_axis',(0.,0.,-1.)); #377693=DIRECTION('ref_axis',(1.,0.,0.)); #377694=DIRECTION('center_axis',(0.,0.,1.)); #377695=DIRECTION('ref_axis',(1.,0.,0.)); #377696=DIRECTION('center_axis',(0.,0.,1.)); #377697=DIRECTION('ref_axis',(1.,0.,0.)); #377698=DIRECTION('',(0.,0.,1.)); #377699=DIRECTION('center_axis',(0.,0.,-1.)); #377700=DIRECTION('ref_axis',(1.,0.,0.)); #377701=DIRECTION('center_axis',(0.,0.,1.)); #377702=DIRECTION('ref_axis',(1.,0.,0.)); #377703=DIRECTION('center_axis',(0.,0.,1.)); #377704=DIRECTION('ref_axis',(1.,0.,0.)); #377705=DIRECTION('',(0.,0.,1.)); #377706=DIRECTION('center_axis',(0.,0.,-1.)); #377707=DIRECTION('ref_axis',(1.,0.,0.)); #377708=DIRECTION('center_axis',(0.,0.,1.)); #377709=DIRECTION('ref_axis',(1.,0.,0.)); #377710=DIRECTION('center_axis',(0.,0.,1.)); #377711=DIRECTION('ref_axis',(1.,0.,0.)); #377712=DIRECTION('',(0.,0.,1.)); #377713=DIRECTION('center_axis',(0.,0.,-1.)); #377714=DIRECTION('ref_axis',(1.,0.,0.)); #377715=DIRECTION('center_axis',(0.,0.,1.)); #377716=DIRECTION('ref_axis',(1.,0.,0.)); #377717=DIRECTION('center_axis',(0.,0.,1.)); #377718=DIRECTION('ref_axis',(1.,0.,0.)); #377719=DIRECTION('',(0.,0.,1.)); #377720=DIRECTION('center_axis',(0.,0.,-1.)); #377721=DIRECTION('ref_axis',(1.,0.,0.)); #377722=DIRECTION('center_axis',(0.,0.,1.)); #377723=DIRECTION('ref_axis',(1.,0.,0.)); #377724=DIRECTION('center_axis',(0.,0.,1.)); #377725=DIRECTION('ref_axis',(1.,0.,0.)); #377726=DIRECTION('',(0.,0.,1.)); #377727=DIRECTION('center_axis',(0.,0.,-1.)); #377728=DIRECTION('ref_axis',(1.,0.,0.)); #377729=DIRECTION('center_axis',(0.,0.,1.)); #377730=DIRECTION('ref_axis',(1.,0.,0.)); #377731=DIRECTION('center_axis',(0.,0.,1.)); #377732=DIRECTION('ref_axis',(1.,0.,0.)); #377733=DIRECTION('',(0.,0.,1.)); #377734=DIRECTION('center_axis',(0.,0.,-1.)); #377735=DIRECTION('ref_axis',(1.,0.,0.)); #377736=DIRECTION('center_axis',(0.,0.,1.)); #377737=DIRECTION('ref_axis',(1.,0.,0.)); #377738=DIRECTION('center_axis',(0.,0.,1.)); #377739=DIRECTION('ref_axis',(1.,0.,0.)); #377740=DIRECTION('',(0.,0.,1.)); #377741=DIRECTION('center_axis',(0.,0.,-1.)); #377742=DIRECTION('ref_axis',(1.,0.,0.)); #377743=DIRECTION('center_axis',(0.,0.,1.)); #377744=DIRECTION('ref_axis',(1.,0.,0.)); #377745=DIRECTION('center_axis',(0.,0.,1.)); #377746=DIRECTION('ref_axis',(1.,0.,0.)); #377747=DIRECTION('',(0.,0.,1.)); #377748=DIRECTION('center_axis',(0.,0.,-1.)); #377749=DIRECTION('ref_axis',(1.,0.,0.)); #377750=DIRECTION('center_axis',(0.,0.,1.)); #377751=DIRECTION('ref_axis',(1.,0.,0.)); #377752=DIRECTION('center_axis',(0.,0.,1.)); #377753=DIRECTION('ref_axis',(1.,0.,0.)); #377754=DIRECTION('',(0.,0.,1.)); #377755=DIRECTION('center_axis',(0.,0.,-1.)); #377756=DIRECTION('ref_axis',(1.,0.,0.)); #377757=DIRECTION('center_axis',(0.,0.,1.)); #377758=DIRECTION('ref_axis',(1.,0.,0.)); #377759=DIRECTION('center_axis',(0.,0.,1.)); #377760=DIRECTION('ref_axis',(1.,0.,0.)); #377761=DIRECTION('',(0.,0.,1.)); #377762=DIRECTION('center_axis',(0.,0.,-1.)); #377763=DIRECTION('ref_axis',(1.,0.,0.)); #377764=DIRECTION('center_axis',(0.,0.,1.)); #377765=DIRECTION('ref_axis',(1.,0.,0.)); #377766=DIRECTION('center_axis',(0.,0.,1.)); #377767=DIRECTION('ref_axis',(1.,0.,0.)); #377768=DIRECTION('',(0.,0.,1.)); #377769=DIRECTION('center_axis',(0.,0.,-1.)); #377770=DIRECTION('ref_axis',(1.,0.,0.)); #377771=DIRECTION('center_axis',(0.,0.,1.)); #377772=DIRECTION('ref_axis',(1.,0.,0.)); #377773=DIRECTION('center_axis',(0.,0.,1.)); #377774=DIRECTION('ref_axis',(1.,0.,0.)); #377775=DIRECTION('',(0.,0.,1.)); #377776=DIRECTION('center_axis',(0.,0.,-1.)); #377777=DIRECTION('ref_axis',(1.,0.,0.)); #377778=DIRECTION('center_axis',(0.,0.,1.)); #377779=DIRECTION('ref_axis',(1.,0.,0.)); #377780=DIRECTION('center_axis',(0.,0.,1.)); #377781=DIRECTION('ref_axis',(1.,0.,0.)); #377782=DIRECTION('',(0.,0.,1.)); #377783=DIRECTION('center_axis',(0.,0.,-1.)); #377784=DIRECTION('ref_axis',(1.,0.,0.)); #377785=DIRECTION('center_axis',(0.,0.,1.)); #377786=DIRECTION('ref_axis',(1.,0.,0.)); #377787=DIRECTION('center_axis',(0.,0.,1.)); #377788=DIRECTION('ref_axis',(1.,0.,0.)); #377789=DIRECTION('',(0.,0.,1.)); #377790=DIRECTION('center_axis',(0.,0.,-1.)); #377791=DIRECTION('ref_axis',(1.,0.,0.)); #377792=DIRECTION('center_axis',(0.,0.,1.)); #377793=DIRECTION('ref_axis',(1.,0.,0.)); #377794=DIRECTION('center_axis',(0.,0.,1.)); #377795=DIRECTION('ref_axis',(1.,0.,0.)); #377796=DIRECTION('',(0.,0.,1.)); #377797=DIRECTION('center_axis',(0.,0.,-1.)); #377798=DIRECTION('ref_axis',(1.,0.,0.)); #377799=DIRECTION('center_axis',(0.,0.,1.)); #377800=DIRECTION('ref_axis',(1.,0.,0.)); #377801=DIRECTION('center_axis',(0.,0.,1.)); #377802=DIRECTION('ref_axis',(1.,0.,0.)); #377803=DIRECTION('',(0.,0.,1.)); #377804=DIRECTION('center_axis',(0.,0.,-1.)); #377805=DIRECTION('ref_axis',(1.,0.,0.)); #377806=DIRECTION('center_axis',(0.,0.,1.)); #377807=DIRECTION('ref_axis',(1.,0.,0.)); #377808=DIRECTION('center_axis',(0.,0.,1.)); #377809=DIRECTION('ref_axis',(1.,0.,0.)); #377810=DIRECTION('',(0.,0.,1.)); #377811=DIRECTION('center_axis',(0.,0.,-1.)); #377812=DIRECTION('ref_axis',(1.,0.,0.)); #377813=DIRECTION('center_axis',(0.,0.,1.)); #377814=DIRECTION('ref_axis',(1.,0.,0.)); #377815=DIRECTION('center_axis',(0.,0.,1.)); #377816=DIRECTION('ref_axis',(1.,0.,0.)); #377817=DIRECTION('',(0.,0.,1.)); #377818=DIRECTION('center_axis',(0.,0.,-1.)); #377819=DIRECTION('ref_axis',(1.,0.,0.)); #377820=DIRECTION('center_axis',(0.,0.,1.)); #377821=DIRECTION('ref_axis',(1.,0.,0.)); #377822=DIRECTION('center_axis',(0.,0.,1.)); #377823=DIRECTION('ref_axis',(1.,0.,0.)); #377824=DIRECTION('',(0.,0.,1.)); #377825=DIRECTION('center_axis',(0.,0.,-1.)); #377826=DIRECTION('ref_axis',(1.,0.,0.)); #377827=DIRECTION('center_axis',(0.,0.,1.)); #377828=DIRECTION('ref_axis',(1.,0.,0.)); #377829=DIRECTION('center_axis',(0.,0.,1.)); #377830=DIRECTION('ref_axis',(1.,0.,0.)); #377831=DIRECTION('',(0.,0.,1.)); #377832=DIRECTION('center_axis',(0.,0.,-1.)); #377833=DIRECTION('ref_axis',(1.,0.,0.)); #377834=DIRECTION('center_axis',(0.,0.,1.)); #377835=DIRECTION('ref_axis',(1.,0.,0.)); #377836=DIRECTION('center_axis',(0.,0.,1.)); #377837=DIRECTION('ref_axis',(1.,0.,0.)); #377838=DIRECTION('',(0.,0.,1.)); #377839=DIRECTION('center_axis',(0.,0.,-1.)); #377840=DIRECTION('ref_axis',(1.,0.,0.)); #377841=DIRECTION('center_axis',(0.,0.,1.)); #377842=DIRECTION('ref_axis',(1.,0.,0.)); #377843=DIRECTION('center_axis',(0.,0.,1.)); #377844=DIRECTION('ref_axis',(1.,0.,0.)); #377845=DIRECTION('',(0.,0.,1.)); #377846=DIRECTION('center_axis',(0.,0.,-1.)); #377847=DIRECTION('ref_axis',(1.,0.,0.)); #377848=DIRECTION('center_axis',(0.,0.,1.)); #377849=DIRECTION('ref_axis',(1.,0.,0.)); #377850=DIRECTION('center_axis',(0.,0.,1.)); #377851=DIRECTION('ref_axis',(1.,0.,0.)); #377852=DIRECTION('',(0.,0.,1.)); #377853=DIRECTION('center_axis',(0.,0.,-1.)); #377854=DIRECTION('ref_axis',(1.,0.,0.)); #377855=DIRECTION('center_axis',(0.,0.,1.)); #377856=DIRECTION('ref_axis',(1.,0.,0.)); #377857=DIRECTION('center_axis',(0.,0.,1.)); #377858=DIRECTION('ref_axis',(1.,0.,0.)); #377859=DIRECTION('',(0.,0.,1.)); #377860=DIRECTION('center_axis',(0.,0.,-1.)); #377861=DIRECTION('ref_axis',(1.,0.,0.)); #377862=DIRECTION('center_axis',(0.,0.,1.)); #377863=DIRECTION('ref_axis',(1.,0.,0.)); #377864=DIRECTION('center_axis',(0.,0.,1.)); #377865=DIRECTION('ref_axis',(1.,0.,0.)); #377866=DIRECTION('',(0.,0.,1.)); #377867=DIRECTION('center_axis',(0.,0.,-1.)); #377868=DIRECTION('ref_axis',(1.,0.,0.)); #377869=DIRECTION('center_axis',(0.,0.,1.)); #377870=DIRECTION('ref_axis',(1.,0.,0.)); #377871=DIRECTION('center_axis',(0.,0.,1.)); #377872=DIRECTION('ref_axis',(1.,0.,0.)); #377873=DIRECTION('',(0.,0.,1.)); #377874=DIRECTION('center_axis',(0.,0.,-1.)); #377875=DIRECTION('ref_axis',(1.,0.,0.)); #377876=DIRECTION('center_axis',(0.,0.,1.)); #377877=DIRECTION('ref_axis',(1.,0.,0.)); #377878=DIRECTION('center_axis',(0.,0.,1.)); #377879=DIRECTION('ref_axis',(1.,0.,0.)); #377880=DIRECTION('',(0.,0.,1.)); #377881=DIRECTION('center_axis',(0.,0.,-1.)); #377882=DIRECTION('ref_axis',(1.,0.,0.)); #377883=DIRECTION('center_axis',(0.,0.,1.)); #377884=DIRECTION('ref_axis',(1.,0.,0.)); #377885=DIRECTION('center_axis',(0.,0.,1.)); #377886=DIRECTION('ref_axis',(1.,0.,0.)); #377887=DIRECTION('',(0.,0.,1.)); #377888=DIRECTION('center_axis',(0.,0.,-1.)); #377889=DIRECTION('ref_axis',(1.,0.,0.)); #377890=DIRECTION('center_axis',(0.,0.,1.)); #377891=DIRECTION('ref_axis',(1.,0.,0.)); #377892=DIRECTION('center_axis',(0.,0.,1.)); #377893=DIRECTION('ref_axis',(1.,0.,0.)); #377894=DIRECTION('',(0.,0.,1.)); #377895=DIRECTION('center_axis',(0.,0.,-1.)); #377896=DIRECTION('ref_axis',(1.,0.,0.)); #377897=DIRECTION('center_axis',(0.,0.,1.)); #377898=DIRECTION('ref_axis',(1.,0.,0.)); #377899=DIRECTION('center_axis',(0.,0.,1.)); #377900=DIRECTION('ref_axis',(1.,0.,0.)); #377901=DIRECTION('',(0.,0.,1.)); #377902=DIRECTION('center_axis',(0.,0.,-1.)); #377903=DIRECTION('ref_axis',(1.,0.,0.)); #377904=DIRECTION('center_axis',(0.,0.,1.)); #377905=DIRECTION('ref_axis',(1.,0.,0.)); #377906=DIRECTION('center_axis',(0.,0.,1.)); #377907=DIRECTION('ref_axis',(1.,0.,0.)); #377908=DIRECTION('',(0.,0.,1.)); #377909=DIRECTION('center_axis',(0.,0.,-1.)); #377910=DIRECTION('ref_axis',(1.,0.,0.)); #377911=DIRECTION('center_axis',(0.,0.,1.)); #377912=DIRECTION('ref_axis',(1.,0.,0.)); #377913=DIRECTION('center_axis',(0.,0.,1.)); #377914=DIRECTION('ref_axis',(1.,0.,0.)); #377915=DIRECTION('',(0.,0.,1.)); #377916=DIRECTION('center_axis',(0.,0.,-1.)); #377917=DIRECTION('ref_axis',(1.,0.,0.)); #377918=DIRECTION('center_axis',(0.,0.,1.)); #377919=DIRECTION('ref_axis',(1.,0.,0.)); #377920=DIRECTION('center_axis',(0.,0.,1.)); #377921=DIRECTION('ref_axis',(1.,0.,0.)); #377922=DIRECTION('',(0.,0.,1.)); #377923=DIRECTION('center_axis',(0.,0.,-1.)); #377924=DIRECTION('ref_axis',(1.,0.,0.)); #377925=DIRECTION('center_axis',(0.,0.,1.)); #377926=DIRECTION('ref_axis',(1.,0.,0.)); #377927=DIRECTION('center_axis',(0.,0.,1.)); #377928=DIRECTION('ref_axis',(1.,0.,0.)); #377929=DIRECTION('',(0.,0.,1.)); #377930=DIRECTION('center_axis',(0.,0.,-1.)); #377931=DIRECTION('ref_axis',(1.,0.,0.)); #377932=DIRECTION('center_axis',(0.,0.,1.)); #377933=DIRECTION('ref_axis',(1.,0.,0.)); #377934=DIRECTION('center_axis',(0.,0.,1.)); #377935=DIRECTION('ref_axis',(1.,0.,0.)); #377936=DIRECTION('',(0.,0.,1.)); #377937=DIRECTION('center_axis',(0.,0.,-1.)); #377938=DIRECTION('ref_axis',(1.,0.,0.)); #377939=DIRECTION('center_axis',(0.,0.,1.)); #377940=DIRECTION('ref_axis',(1.,0.,0.)); #377941=DIRECTION('center_axis',(0.,0.,1.)); #377942=DIRECTION('ref_axis',(1.,0.,0.)); #377943=DIRECTION('',(0.,0.,1.)); #377944=DIRECTION('center_axis',(0.,0.,-1.)); #377945=DIRECTION('ref_axis',(1.,0.,0.)); #377946=DIRECTION('center_axis',(0.,0.,1.)); #377947=DIRECTION('ref_axis',(1.,0.,0.)); #377948=DIRECTION('center_axis',(0.,0.,1.)); #377949=DIRECTION('ref_axis',(1.,0.,0.)); #377950=DIRECTION('',(0.,0.,1.)); #377951=DIRECTION('center_axis',(0.,0.,-1.)); #377952=DIRECTION('ref_axis',(1.,0.,0.)); #377953=DIRECTION('center_axis',(0.,0.,1.)); #377954=DIRECTION('ref_axis',(1.,0.,0.)); #377955=DIRECTION('center_axis',(0.,0.,1.)); #377956=DIRECTION('ref_axis',(1.,0.,0.)); #377957=DIRECTION('',(0.,0.,1.)); #377958=DIRECTION('center_axis',(0.,0.,-1.)); #377959=DIRECTION('ref_axis',(1.,0.,0.)); #377960=DIRECTION('center_axis',(0.,0.,1.)); #377961=DIRECTION('ref_axis',(1.,0.,0.)); #377962=DIRECTION('center_axis',(0.,0.,1.)); #377963=DIRECTION('ref_axis',(1.,0.,0.)); #377964=DIRECTION('',(0.,0.,1.)); #377965=DIRECTION('center_axis',(0.,0.,-1.)); #377966=DIRECTION('ref_axis',(1.,0.,0.)); #377967=DIRECTION('center_axis',(0.,0.,1.)); #377968=DIRECTION('ref_axis',(1.,0.,0.)); #377969=DIRECTION('center_axis',(0.,0.,1.)); #377970=DIRECTION('ref_axis',(1.,0.,0.)); #377971=DIRECTION('',(0.,0.,1.)); #377972=DIRECTION('center_axis',(0.,0.,-1.)); #377973=DIRECTION('ref_axis',(1.,0.,0.)); #377974=DIRECTION('center_axis',(0.,0.,1.)); #377975=DIRECTION('ref_axis',(1.,0.,0.)); #377976=DIRECTION('center_axis',(0.,0.,1.)); #377977=DIRECTION('ref_axis',(1.,0.,0.)); #377978=DIRECTION('',(0.,0.,1.)); #377979=DIRECTION('center_axis',(0.,0.,-1.)); #377980=DIRECTION('ref_axis',(1.,0.,0.)); #377981=DIRECTION('center_axis',(0.,0.,1.)); #377982=DIRECTION('ref_axis',(1.,0.,0.)); #377983=DIRECTION('center_axis',(0.,0.,1.)); #377984=DIRECTION('ref_axis',(1.,0.,0.)); #377985=DIRECTION('',(0.,0.,1.)); #377986=DIRECTION('center_axis',(0.,0.,-1.)); #377987=DIRECTION('ref_axis',(1.,0.,0.)); #377988=DIRECTION('center_axis',(0.,0.,1.)); #377989=DIRECTION('ref_axis',(1.,0.,0.)); #377990=DIRECTION('center_axis',(0.,0.,1.)); #377991=DIRECTION('ref_axis',(1.,0.,0.)); #377992=DIRECTION('',(0.,0.,1.)); #377993=DIRECTION('center_axis',(0.,0.,-1.)); #377994=DIRECTION('ref_axis',(1.,0.,0.)); #377995=DIRECTION('center_axis',(0.,0.,1.)); #377996=DIRECTION('ref_axis',(1.,0.,0.)); #377997=DIRECTION('center_axis',(0.,0.,1.)); #377998=DIRECTION('ref_axis',(1.,0.,0.)); #377999=DIRECTION('',(0.,0.,1.)); #378000=DIRECTION('center_axis',(0.,0.,-1.)); #378001=DIRECTION('ref_axis',(1.,0.,0.)); #378002=DIRECTION('center_axis',(0.,1.,0.)); #378003=DIRECTION('ref_axis',(-1.,0.,0.)); #378004=DIRECTION('',(-1.,0.,0.)); #378005=DIRECTION('',(0.,0.,1.)); #378006=DIRECTION('',(-1.,0.,0.)); #378007=DIRECTION('',(0.,0.,1.)); #378008=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #378009=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #378010=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378011=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378012=DIRECTION('',(0.,0.,1.)); #378013=DIRECTION('center_axis',(-1.,0.,0.)); #378014=DIRECTION('ref_axis',(0.,-1.,0.)); #378015=DIRECTION('',(0.,-1.,0.)); #378016=DIRECTION('',(0.,-1.,0.)); #378017=DIRECTION('',(0.,0.,1.)); #378018=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #378019=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #378020=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378021=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378022=DIRECTION('',(0.,0.,1.)); #378023=DIRECTION('center_axis',(0.,-1.,0.)); #378024=DIRECTION('ref_axis',(1.,0.,0.)); #378025=DIRECTION('',(1.,0.,0.)); #378026=DIRECTION('',(1.,0.,0.)); #378027=DIRECTION('',(0.,0.,1.)); #378028=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378029=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #378030=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #378031=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #378032=DIRECTION('',(0.,0.,1.)); #378033=DIRECTION('center_axis',(1.,0.,0.)); #378034=DIRECTION('ref_axis',(0.,1.,0.)); #378035=DIRECTION('',(0.,1.,0.)); #378036=DIRECTION('',(0.,1.,0.)); #378037=DIRECTION('',(0.,0.,1.)); #378038=DIRECTION('center_axis',(0.707106781186583,0.707106781186512,0.)); #378039=DIRECTION('ref_axis',(-0.707106781186512,0.707106781186583,0.)); #378040=DIRECTION('',(-0.707106781186512,0.707106781186583,0.)); #378041=DIRECTION('',(-0.707106781186512,0.707106781186583,0.)); #378042=DIRECTION('center_axis',(0.,1.,0.)); #378043=DIRECTION('ref_axis',(-1.,0.,0.)); #378044=DIRECTION('',(-1.,0.,0.)); #378045=DIRECTION('',(0.,0.,1.)); #378046=DIRECTION('',(-1.,0.,0.)); #378047=DIRECTION('',(0.,0.,1.)); #378048=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #378049=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #378050=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378051=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378052=DIRECTION('',(0.,0.,1.)); #378053=DIRECTION('center_axis',(-1.,0.,0.)); #378054=DIRECTION('ref_axis',(0.,-1.,0.)); #378055=DIRECTION('',(0.,-1.,0.)); #378056=DIRECTION('',(0.,-1.,0.)); #378057=DIRECTION('',(0.,0.,1.)); #378058=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #378059=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #378060=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378061=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378062=DIRECTION('',(0.,0.,1.)); #378063=DIRECTION('center_axis',(0.,-1.,0.)); #378064=DIRECTION('ref_axis',(1.,0.,0.)); #378065=DIRECTION('',(1.,0.,0.)); #378066=DIRECTION('',(1.,0.,0.)); #378067=DIRECTION('',(0.,0.,1.)); #378068=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #378069=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #378070=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378071=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378072=DIRECTION('',(0.,0.,1.)); #378073=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #378074=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #378075=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378076=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378077=DIRECTION('',(0.,0.,1.)); #378078=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #378079=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #378080=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378081=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378082=DIRECTION('',(0.,0.,1.)); #378083=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #378084=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #378085=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #378086=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #378087=DIRECTION('',(0.,0.,1.)); #378088=DIRECTION('center_axis',(-1.,0.,0.)); #378089=DIRECTION('ref_axis',(0.,-1.,0.)); #378090=DIRECTION('',(0.,-1.,0.)); #378091=DIRECTION('',(0.,-1.,0.)); #378092=DIRECTION('',(0.,0.,1.)); #378093=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #378094=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #378095=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378096=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378097=DIRECTION('',(0.,0.,1.)); #378098=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #378099=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #378100=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #378101=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #378102=DIRECTION('',(0.,0.,1.)); #378103=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #378104=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #378105=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #378106=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #378107=DIRECTION('',(0.,0.,1.)); #378108=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #378109=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #378110=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378111=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378112=DIRECTION('',(0.,0.,1.)); #378113=DIRECTION('center_axis',(0.,1.,0.)); #378114=DIRECTION('ref_axis',(-1.,0.,0.)); #378115=DIRECTION('',(-1.,0.,0.)); #378116=DIRECTION('',(-1.,0.,0.)); #378117=DIRECTION('',(0.,0.,1.)); #378118=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #378119=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #378120=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378121=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378122=DIRECTION('',(0.,0.,1.)); #378123=DIRECTION('center_axis',(-1.,0.,0.)); #378124=DIRECTION('ref_axis',(0.,-1.,0.)); #378125=DIRECTION('',(0.,-1.,0.)); #378126=DIRECTION('',(0.,-1.,0.)); #378127=DIRECTION('',(0.,0.,1.)); #378128=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #378129=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #378130=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #378131=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #378132=DIRECTION('',(0.,0.,1.)); #378133=DIRECTION('center_axis',(0.,-1.,0.)); #378134=DIRECTION('ref_axis',(1.,0.,0.)); #378135=DIRECTION('',(1.,0.,0.)); #378136=DIRECTION('',(1.,0.,0.)); #378137=DIRECTION('',(0.,0.,1.)); #378138=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #378139=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #378140=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #378141=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #378142=DIRECTION('',(0.,0.,1.)); #378143=DIRECTION('center_axis',(1.,0.,0.)); #378144=DIRECTION('ref_axis',(0.,1.,0.)); #378145=DIRECTION('',(0.,1.,0.)); #378146=DIRECTION('',(0.,1.,0.)); #378147=DIRECTION('',(0.,0.,1.)); #378148=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378149=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378150=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378151=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378152=DIRECTION('',(0.,0.,1.)); #378153=DIRECTION('center_axis',(0.,1.,0.)); #378154=DIRECTION('ref_axis',(-1.,0.,0.)); #378155=DIRECTION('',(-1.,0.,0.)); #378156=DIRECTION('',(-1.,0.,0.)); #378157=DIRECTION('',(0.,0.,1.)); #378158=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #378159=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #378160=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #378161=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #378162=DIRECTION('',(0.,0.,1.)); #378163=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #378164=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #378165=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #378166=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #378167=DIRECTION('',(0.,0.,1.)); #378168=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #378169=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #378170=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #378171=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #378172=DIRECTION('',(0.,0.,1.)); #378173=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #378174=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #378175=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #378176=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #378177=DIRECTION('',(0.,0.,1.)); #378178=DIRECTION('center_axis',(1.,0.,0.)); #378179=DIRECTION('ref_axis',(0.,1.,0.)); #378180=DIRECTION('',(0.,1.,0.)); #378181=DIRECTION('',(0.,1.,0.)); #378182=DIRECTION('',(0.,0.,1.)); #378183=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #378184=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #378185=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #378186=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #378187=DIRECTION('',(0.,0.,1.)); #378188=DIRECTION('center_axis',(0.842362410729415,-0.538911466745818,0.)); #378189=DIRECTION('ref_axis',(0.538911466745818,0.842362410729414,0.)); #378190=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #378191=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #378192=DIRECTION('',(0.,0.,1.)); #378193=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #378194=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #378195=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378196=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378197=DIRECTION('',(0.,0.,1.)); #378198=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #378199=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #378200=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #378201=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #378202=DIRECTION('',(0.,0.,1.)); #378203=DIRECTION('center_axis',(0.,-1.,0.)); #378204=DIRECTION('ref_axis',(1.,0.,0.)); #378205=DIRECTION('',(1.,0.,0.)); #378206=DIRECTION('',(1.,0.,0.)); #378207=DIRECTION('',(0.,0.,1.)); #378208=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #378209=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #378210=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #378211=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #378212=DIRECTION('',(0.,0.,1.)); #378213=DIRECTION('center_axis',(1.,0.,0.)); #378214=DIRECTION('ref_axis',(0.,1.,0.)); #378215=DIRECTION('',(0.,1.,0.)); #378216=DIRECTION('',(0.,1.,0.)); #378217=DIRECTION('',(0.,0.,1.)); #378218=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378219=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378220=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378221=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378222=DIRECTION('center_axis',(0.,1.,0.)); #378223=DIRECTION('ref_axis',(-1.,0.,0.)); #378224=DIRECTION('',(-1.,0.,0.)); #378225=DIRECTION('',(0.,0.,1.)); #378226=DIRECTION('',(-1.,0.,0.)); #378227=DIRECTION('',(0.,0.,1.)); #378228=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #378229=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #378230=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378231=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378232=DIRECTION('',(0.,0.,1.)); #378233=DIRECTION('center_axis',(-1.,0.,0.)); #378234=DIRECTION('ref_axis',(0.,-1.,0.)); #378235=DIRECTION('',(0.,-1.,0.)); #378236=DIRECTION('',(0.,-1.,0.)); #378237=DIRECTION('',(0.,0.,1.)); #378238=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #378239=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #378240=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378241=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #378242=DIRECTION('',(0.,0.,1.)); #378243=DIRECTION('center_axis',(0.,-1.,0.)); #378244=DIRECTION('ref_axis',(1.,0.,0.)); #378245=DIRECTION('',(1.,0.,0.)); #378246=DIRECTION('',(1.,0.,0.)); #378247=DIRECTION('',(0.,0.,1.)); #378248=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #378249=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #378250=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #378251=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #378252=DIRECTION('',(0.,0.,1.)); #378253=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #378254=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #378255=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378256=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378257=DIRECTION('',(0.,0.,1.)); #378258=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #378259=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #378260=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #378261=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #378262=DIRECTION('',(0.,0.,1.)); #378263=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #378264=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #378265=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #378266=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #378267=DIRECTION('',(0.,0.,1.)); #378268=DIRECTION('center_axis',(-1.,0.,0.)); #378269=DIRECTION('ref_axis',(0.,-1.,0.)); #378270=DIRECTION('',(0.,-1.,0.)); #378271=DIRECTION('',(0.,-1.,0.)); #378272=DIRECTION('',(0.,0.,1.)); #378273=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #378274=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #378275=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #378276=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #378277=DIRECTION('',(0.,0.,1.)); #378278=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #378279=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #378280=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #378281=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #378282=DIRECTION('',(0.,0.,1.)); #378283=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #378284=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #378285=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #378286=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #378287=DIRECTION('',(0.,0.,1.)); #378288=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #378289=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #378290=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378291=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378292=DIRECTION('',(0.,0.,1.)); #378293=DIRECTION('center_axis',(0.,1.,0.)); #378294=DIRECTION('ref_axis',(-1.,0.,0.)); #378295=DIRECTION('',(-1.,0.,0.)); #378296=DIRECTION('',(-1.,0.,0.)); #378297=DIRECTION('',(0.,0.,1.)); #378298=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #378299=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #378300=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378301=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #378302=DIRECTION('',(0.,0.,1.)); #378303=DIRECTION('center_axis',(-1.,0.,0.)); #378304=DIRECTION('ref_axis',(0.,-1.,0.)); #378305=DIRECTION('',(0.,-1.,0.)); #378306=DIRECTION('',(0.,-1.,0.)); #378307=DIRECTION('',(0.,0.,1.)); #378308=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #378309=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #378310=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #378311=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #378312=DIRECTION('',(0.,0.,1.)); #378313=DIRECTION('center_axis',(0.,-1.,0.)); #378314=DIRECTION('ref_axis',(1.,0.,0.)); #378315=DIRECTION('',(1.,0.,0.)); #378316=DIRECTION('',(1.,0.,0.)); #378317=DIRECTION('',(0.,0.,1.)); #378318=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #378319=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #378320=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #378321=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #378322=DIRECTION('',(0.,0.,1.)); #378323=DIRECTION('center_axis',(1.,0.,0.)); #378324=DIRECTION('ref_axis',(0.,1.,0.)); #378325=DIRECTION('',(0.,1.,0.)); #378326=DIRECTION('',(0.,1.,0.)); #378327=DIRECTION('',(0.,0.,1.)); #378328=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #378329=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #378330=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #378331=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #378332=DIRECTION('',(0.,0.,1.)); #378333=DIRECTION('center_axis',(0.,1.,0.)); #378334=DIRECTION('ref_axis',(-1.,0.,0.)); #378335=DIRECTION('',(-1.,0.,0.)); #378336=DIRECTION('',(-1.,0.,0.)); #378337=DIRECTION('',(0.,0.,1.)); #378338=DIRECTION('center_axis',(0.183305290257387,0.983056036329392,0.)); #378339=DIRECTION('ref_axis',(-0.983056036329392,0.183305290257387,0.)); #378340=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #378341=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #378342=DIRECTION('',(0.,0.,1.)); #378343=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #378344=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #378345=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #378346=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #378347=DIRECTION('',(0.,0.,1.)); #378348=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #378349=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #378350=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #378351=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #378352=DIRECTION('',(0.,0.,1.)); #378353=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #378354=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #378355=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #378356=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #378357=DIRECTION('',(0.,0.,1.)); #378358=DIRECTION('center_axis',(1.,0.,0.)); #378359=DIRECTION('ref_axis',(0.,1.,0.)); #378360=DIRECTION('',(0.,1.,0.)); #378361=DIRECTION('',(0.,1.,0.)); #378362=DIRECTION('',(0.,0.,1.)); #378363=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #378364=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #378365=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #378366=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #378367=DIRECTION('',(0.,0.,1.)); #378368=DIRECTION('center_axis',(0.842362410729481,-0.538911466745714,0.)); #378369=DIRECTION('ref_axis',(0.538911466745714,0.842362410729481,0.)); #378370=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #378371=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #378372=DIRECTION('',(0.,0.,1.)); #378373=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #378374=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #378375=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378376=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378377=DIRECTION('',(0.,0.,1.)); #378378=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #378379=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #378380=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #378381=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #378382=DIRECTION('',(0.,0.,1.)); #378383=DIRECTION('center_axis',(0.,-1.,0.)); #378384=DIRECTION('ref_axis',(1.,0.,0.)); #378385=DIRECTION('',(1.,0.,0.)); #378386=DIRECTION('',(1.,0.,0.)); #378387=DIRECTION('',(0.,0.,1.)); #378388=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #378389=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #378390=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #378391=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #378392=DIRECTION('',(0.,0.,1.)); #378393=DIRECTION('center_axis',(1.,0.,0.)); #378394=DIRECTION('ref_axis',(0.,1.,0.)); #378395=DIRECTION('',(0.,1.,0.)); #378396=DIRECTION('',(0.,1.,0.)); #378397=DIRECTION('',(0.,0.,1.)); #378398=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #378399=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #378400=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #378401=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #378402=DIRECTION('center_axis',(0.,1.,0.)); #378403=DIRECTION('ref_axis',(-1.,0.,0.)); #378404=DIRECTION('',(-1.,0.,0.)); #378405=DIRECTION('',(0.,0.,1.)); #378406=DIRECTION('',(-1.,0.,0.)); #378407=DIRECTION('',(0.,0.,1.)); #378408=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #378409=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #378410=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #378411=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #378412=DIRECTION('',(0.,0.,1.)); #378413=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #378414=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #378415=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #378416=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #378417=DIRECTION('',(0.,0.,1.)); #378418=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #378419=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #378420=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #378421=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #378422=DIRECTION('',(0.,0.,1.)); #378423=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #378424=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #378425=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #378426=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #378427=DIRECTION('',(0.,0.,1.)); #378428=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #378429=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #378430=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #378431=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #378432=DIRECTION('',(0.,0.,1.)); #378433=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #378434=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #378435=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #378436=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #378437=DIRECTION('',(0.,0.,1.)); #378438=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #378439=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #378440=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #378441=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #378442=DIRECTION('',(0.,0.,1.)); #378443=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #378444=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #378445=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #378446=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #378447=DIRECTION('',(0.,0.,1.)); #378448=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #378449=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #378450=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #378451=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #378452=DIRECTION('',(0.,0.,1.)); #378453=DIRECTION('center_axis',(0.,1.,0.)); #378454=DIRECTION('ref_axis',(-1.,0.,0.)); #378455=DIRECTION('',(-1.,0.,0.)); #378456=DIRECTION('',(-1.,0.,0.)); #378457=DIRECTION('',(0.,0.,1.)); #378458=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #378459=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #378460=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #378461=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #378462=DIRECTION('',(0.,0.,1.)); #378463=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #378464=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #378465=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #378466=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #378467=DIRECTION('',(0.,0.,1.)); #378468=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #378469=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #378470=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #378471=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #378472=DIRECTION('',(0.,0.,1.)); #378473=DIRECTION('center_axis',(-1.,0.,0.)); #378474=DIRECTION('ref_axis',(0.,-1.,0.)); #378475=DIRECTION('',(0.,-1.,0.)); #378476=DIRECTION('',(0.,-1.,0.)); #378477=DIRECTION('',(0.,0.,1.)); #378478=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #378479=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #378480=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #378481=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #378482=DIRECTION('',(0.,0.,1.)); #378483=DIRECTION('center_axis',(-0.707105976813813,-0.707107585558367, 0.)); #378484=DIRECTION('ref_axis',(0.707107585558367,-0.707105976813813,0.)); #378485=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #378486=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #378487=DIRECTION('',(0.,0.,1.)); #378488=DIRECTION('center_axis',(-0.38268403024089,-0.923879284862795,0.)); #378489=DIRECTION('ref_axis',(0.923879284862795,-0.38268403024089,0.)); #378490=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #378491=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #378492=DIRECTION('',(0.,0.,1.)); #378493=DIRECTION('center_axis',(0.,-1.,0.)); #378494=DIRECTION('ref_axis',(1.,0.,0.)); #378495=DIRECTION('',(1.,0.,0.)); #378496=DIRECTION('',(1.,0.,0.)); #378497=DIRECTION('',(0.,0.,1.)); #378498=DIRECTION('center_axis',(-0.183301831720629,-0.983056681218261, 0.)); #378499=DIRECTION('ref_axis',(0.983056681218261,-0.183301831720629,0.)); #378500=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #378501=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #378502=DIRECTION('',(0.,0.,1.)); #378503=DIRECTION('center_axis',(-0.538904447640605,-0.842366901245042, 0.)); #378504=DIRECTION('ref_axis',(0.842366901245042,-0.538904447640605,0.)); #378505=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #378506=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #378507=DIRECTION('',(0.,0.,1.)); #378508=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #378509=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #378510=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #378511=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #378512=DIRECTION('',(0.,0.,1.)); #378513=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #378514=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #378515=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #378516=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #378517=DIRECTION('',(0.,0.,1.)); #378518=DIRECTION('center_axis',(-1.,0.,0.)); #378519=DIRECTION('ref_axis',(0.,-1.,0.)); #378520=DIRECTION('',(0.,-1.,0.)); #378521=DIRECTION('',(0.,-1.,0.)); #378522=DIRECTION('',(0.,0.,1.)); #378523=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #378524=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #378525=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #378526=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #378527=DIRECTION('',(0.,0.,1.)); #378528=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #378529=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #378530=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #378531=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #378532=DIRECTION('',(0.,0.,1.)); #378533=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #378534=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #378535=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #378536=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #378537=DIRECTION('',(0.,0.,1.)); #378538=DIRECTION('center_axis',(-0.198968686113148,0.980005847914393,0.)); #378539=DIRECTION('ref_axis',(-0.980005847914393,-0.198968686113148,0.)); #378540=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #378541=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #378542=DIRECTION('',(0.,0.,1.)); #378543=DIRECTION('center_axis',(0.,1.,0.)); #378544=DIRECTION('ref_axis',(-1.,0.,0.)); #378545=DIRECTION('',(-1.,0.,0.)); #378546=DIRECTION('',(-1.,0.,0.)); #378547=DIRECTION('',(0.,0.,1.)); #378548=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #378549=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #378550=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #378551=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #378552=DIRECTION('',(0.,0.,1.)); #378553=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #378554=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #378555=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #378556=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #378557=DIRECTION('',(0.,0.,1.)); #378558=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #378559=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #378560=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #378561=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #378562=DIRECTION('',(0.,0.,1.)); #378563=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #378564=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #378565=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #378566=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #378567=DIRECTION('',(0.,0.,1.)); #378568=DIRECTION('center_axis',(-0.707106939765109,0.707106622607951,0.)); #378569=DIRECTION('ref_axis',(-0.707106622607951,-0.707106939765109,0.)); #378570=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #378571=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #378572=DIRECTION('',(0.,0.,1.)); #378573=DIRECTION('center_axis',(-0.55892981188434,0.829214969345668,0.)); #378574=DIRECTION('ref_axis',(-0.829214969345668,-0.55892981188434,0.)); #378575=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #378576=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #378577=DIRECTION('',(0.,0.,1.)); #378578=DIRECTION('center_axis',(-0.198968686113154,0.980005847914391,0.)); #378579=DIRECTION('ref_axis',(-0.980005847914391,-0.198968686113154,0.)); #378580=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #378581=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #378582=DIRECTION('',(0.,0.,1.)); #378583=DIRECTION('center_axis',(0.,1.,0.)); #378584=DIRECTION('ref_axis',(-1.,0.,0.)); #378585=DIRECTION('',(-1.,0.,0.)); #378586=DIRECTION('',(-1.,0.,0.)); #378587=DIRECTION('',(0.,0.,1.)); #378588=DIRECTION('center_axis',(-0.105885061288483,0.994378375567336,0.)); #378589=DIRECTION('ref_axis',(-0.994378375567336,-0.105885061288483,0.)); #378590=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #378591=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #378592=DIRECTION('',(0.,0.,1.)); #378593=DIRECTION('center_axis',(-0.312934735821426,0.949774631750277,0.)); #378594=DIRECTION('ref_axis',(-0.949774631750277,-0.312934735821426,0.)); #378595=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #378596=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #378597=DIRECTION('',(0.,0.,1.)); #378598=DIRECTION('center_axis',(-0.506098478960332,0.862475697973015,0.)); #378599=DIRECTION('ref_axis',(-0.862475697973015,-0.506098478960332,0.)); #378600=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #378601=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #378602=DIRECTION('',(0.,0.,1.)); #378603=DIRECTION('center_axis',(-0.652519128376101,0.757772252793208,0.)); #378604=DIRECTION('ref_axis',(-0.757772252793208,-0.652519128376101,0.)); #378605=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #378606=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #378607=DIRECTION('',(0.,0.,1.)); #378608=DIRECTION('center_axis',(-0.707106922915761,0.707106639457305,0.)); #378609=DIRECTION('ref_axis',(-0.707106639457305,-0.707106922915761,0.)); #378610=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #378611=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #378612=DIRECTION('',(0.,0.,1.)); #378613=DIRECTION('center_axis',(-0.778048811381514,0.628203826084985,0.)); #378614=DIRECTION('ref_axis',(-0.628203826084984,-0.778048811381514,0.)); #378615=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #378616=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #378617=DIRECTION('',(0.,0.,1.)); #378618=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #378619=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #378620=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #378621=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #378622=DIRECTION('',(0.,0.,1.)); #378623=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #378624=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #378625=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #378626=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #378627=DIRECTION('',(0.,0.,1.)); #378628=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #378629=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #378630=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #378631=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #378632=DIRECTION('',(0.,0.,1.)); #378633=DIRECTION('center_axis',(-1.,0.,0.)); #378634=DIRECTION('ref_axis',(0.,-1.,0.)); #378635=DIRECTION('',(0.,-1.,0.)); #378636=DIRECTION('',(0.,-1.,0.)); #378637=DIRECTION('',(0.,0.,1.)); #378638=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #378639=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #378640=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378641=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378642=DIRECTION('',(0.,0.,1.)); #378643=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #378644=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #378645=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #378646=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #378647=DIRECTION('',(0.,0.,1.)); #378648=DIRECTION('center_axis',(-0.565504004715149,0.824745549033839,0.)); #378649=DIRECTION('ref_axis',(-0.824745549033839,-0.565504004715149,0.)); #378650=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #378651=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #378652=DIRECTION('',(0.,0.,1.)); #378653=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #378654=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #378655=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #378656=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #378657=DIRECTION('',(0.,0.,1.)); #378658=DIRECTION('center_axis',(0.,1.,0.)); #378659=DIRECTION('ref_axis',(-1.,0.,0.)); #378660=DIRECTION('',(-1.,0.,0.)); #378661=DIRECTION('',(-1.,0.,0.)); #378662=DIRECTION('',(0.,0.,1.)); #378663=DIRECTION('center_axis',(-0.105885061288489,0.994378375567336,0.)); #378664=DIRECTION('ref_axis',(-0.994378375567335,-0.105885061288489,0.)); #378665=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #378666=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #378667=DIRECTION('',(0.,0.,1.)); #378668=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #378669=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #378670=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #378671=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #378672=DIRECTION('',(0.,0.,1.)); #378673=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #378674=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #378675=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #378676=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #378677=DIRECTION('',(0.,0.,1.)); #378678=DIRECTION('center_axis',(-0.652508824452228,0.757781125399657,0.)); #378679=DIRECTION('ref_axis',(-0.757781125399657,-0.652508824452228,0.)); #378680=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #378681=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #378682=DIRECTION('',(0.,0.,1.)); #378683=DIRECTION('center_axis',(-0.707106869683021,0.707106692690063,0.)); #378684=DIRECTION('ref_axis',(-0.707106692690063,-0.707106869683021,0.)); #378685=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #378686=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #378687=DIRECTION('',(0.,0.,1.)); #378688=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #378689=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #378690=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #378691=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #378692=DIRECTION('',(0.,0.,1.)); #378693=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #378694=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #378695=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #378696=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #378697=DIRECTION('',(0.,0.,1.)); #378698=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #378699=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #378700=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #378701=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #378702=DIRECTION('',(0.,0.,1.)); #378703=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #378704=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #378705=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #378706=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #378707=DIRECTION('',(0.,0.,1.)); #378708=DIRECTION('center_axis',(-1.,0.,0.)); #378709=DIRECTION('ref_axis',(0.,-1.,0.)); #378710=DIRECTION('',(0.,-1.,0.)); #378711=DIRECTION('',(0.,-1.,0.)); #378712=DIRECTION('',(0.,0.,1.)); #378713=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #378714=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #378715=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #378716=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #378717=DIRECTION('',(0.,0.,1.)); #378718=DIRECTION('center_axis',(-0.833659859749867,0.552278225391724,0.)); #378719=DIRECTION('ref_axis',(-0.552278225391724,-0.833659859749867,0.)); #378720=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #378721=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #378722=DIRECTION('',(0.,0.,1.)); #378723=DIRECTION('center_axis',(-0.707106876869274,0.707106685503809,0.)); #378724=DIRECTION('ref_axis',(-0.707106685503809,-0.707106876869274,0.)); #378725=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #378726=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #378727=DIRECTION('',(0.,0.,1.)); #378728=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #378729=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #378730=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #378731=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #378732=DIRECTION('',(0.,0.,1.)); #378733=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #378734=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #378735=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #378736=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #378737=DIRECTION('',(0.,0.,1.)); #378738=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #378739=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #378740=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #378741=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #378742=DIRECTION('',(0.,0.,1.)); #378743=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #378744=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #378745=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #378746=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #378747=DIRECTION('',(0.,0.,1.)); #378748=DIRECTION('center_axis',(-1.,0.,0.)); #378749=DIRECTION('ref_axis',(0.,-1.,0.)); #378750=DIRECTION('',(0.,-1.,0.)); #378751=DIRECTION('',(0.,-1.,0.)); #378752=DIRECTION('',(0.,0.,1.)); #378753=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #378754=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #378755=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #378756=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #378757=DIRECTION('',(0.,0.,1.)); #378758=DIRECTION('center_axis',(-0.949766016990435,-0.312960880894282, 0.)); #378759=DIRECTION('ref_axis',(0.312960880894282,-0.949766016990435,0.)); #378760=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #378761=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #378762=DIRECTION('',(0.,0.,1.)); #378763=DIRECTION('center_axis',(-0.837174075245197,-0.546936529898442, 0.)); #378764=DIRECTION('ref_axis',(0.546936529898442,-0.837174075245197,0.)); #378765=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #378766=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #378767=DIRECTION('',(0.,0.,1.)); #378768=DIRECTION('center_axis',(-0.74329456719604,-0.668964263901183,0.)); #378769=DIRECTION('ref_axis',(0.668964263901183,-0.74329456719604,0.)); #378770=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #378771=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #378772=DIRECTION('',(0.,0.,1.)); #378773=DIRECTION('center_axis',(-0.668310465897522,-0.743882464621823, 0.)); #378774=DIRECTION('ref_axis',(0.743882464621823,-0.668310465897522,0.)); #378775=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #378776=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #378777=DIRECTION('',(0.,0.,1.)); #378778=DIRECTION('center_axis',(-0.496576102786833,-0.867993187842532, 0.)); #378779=DIRECTION('ref_axis',(0.867993187842532,-0.496576102786833,0.)); #378780=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #378781=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #378782=DIRECTION('',(0.,0.,1.)); #378783=DIRECTION('center_axis',(-0.30251116059194,-0.953145842836928,0.)); #378784=DIRECTION('ref_axis',(0.953145842836928,-0.30251116059194,0.)); #378785=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #378786=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #378787=DIRECTION('',(0.,0.,1.)); #378788=DIRECTION('center_axis',(-0.100530782529678,-0.994933948442795, 0.)); #378789=DIRECTION('ref_axis',(0.994933948442795,-0.100530782529678,0.)); #378790=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #378791=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #378792=DIRECTION('',(0.,0.,1.)); #378793=DIRECTION('center_axis',(0.,-1.,0.)); #378794=DIRECTION('ref_axis',(1.,0.,0.)); #378795=DIRECTION('',(1.,0.,0.)); #378796=DIRECTION('',(1.,0.,0.)); #378797=DIRECTION('',(0.,0.,1.)); #378798=DIRECTION('center_axis',(0.105885061288662,-0.994378375567317,0.)); #378799=DIRECTION('ref_axis',(0.994378375567317,0.105885061288662,0.)); #378800=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #378801=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #378802=DIRECTION('',(0.,0.,1.)); #378803=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #378804=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #378805=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #378806=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #378807=DIRECTION('',(0.,0.,1.)); #378808=DIRECTION('center_axis',(0.506057198050737,-0.86249992017451,0.)); #378809=DIRECTION('ref_axis',(0.86249992017451,0.506057198050737,0.)); #378810=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #378811=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #378812=DIRECTION('',(0.,0.,1.)); #378813=DIRECTION('center_axis',(0.676309465188481,-0.736617612670557,0.)); #378814=DIRECTION('ref_axis',(0.736617612670557,0.676309465188481,0.)); #378815=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #378816=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #378817=DIRECTION('',(0.,0.,1.)); #378818=DIRECTION('center_axis',(0.816318974247621,-0.57760136104697,0.)); #378819=DIRECTION('ref_axis',(0.57760136104697,0.816318974247621,0.)); #378820=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #378821=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #378822=DIRECTION('',(0.,0.,1.)); #378823=DIRECTION('center_axis',(0.919633143145632,-0.392778413393717,0.)); #378824=DIRECTION('ref_axis',(0.392778413393717,0.919633143145632,0.)); #378825=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #378826=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #378827=DIRECTION('',(0.,0.,1.)); #378828=DIRECTION('center_axis',(0.988961531561705,-0.148172497755578,0.)); #378829=DIRECTION('ref_axis',(0.148172497755578,0.988961531561705,0.)); #378830=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #378831=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #378832=DIRECTION('',(0.,0.,1.)); #378833=DIRECTION('center_axis',(1.,0.,0.)); #378834=DIRECTION('ref_axis',(0.,1.,0.)); #378835=DIRECTION('',(0.,1.,0.)); #378836=DIRECTION('',(0.,1.,0.)); #378837=DIRECTION('',(0.,0.,1.)); #378838=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #378839=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #378840=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #378841=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #378842=DIRECTION('',(0.,0.,1.)); #378843=DIRECTION('center_axis',(0.842362410729178,-0.538911466746188,0.)); #378844=DIRECTION('ref_axis',(0.538911466746188,0.842362410729178,0.)); #378845=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #378846=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #378847=DIRECTION('',(0.,0.,1.)); #378848=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #378849=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #378850=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378851=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #378852=DIRECTION('',(0.,0.,1.)); #378853=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #378854=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #378855=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #378856=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #378857=DIRECTION('',(0.,0.,1.)); #378858=DIRECTION('center_axis',(0.,-1.,0.)); #378859=DIRECTION('ref_axis',(1.,0.,0.)); #378860=DIRECTION('',(1.,0.,0.)); #378861=DIRECTION('',(1.,0.,0.)); #378862=DIRECTION('',(0.,0.,1.)); #378863=DIRECTION('center_axis',(-0.183305290257473,-0.983056036329376, 0.)); #378864=DIRECTION('ref_axis',(0.983056036329376,-0.183305290257473,0.)); #378865=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #378866=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #378867=DIRECTION('',(0.,0.,1.)); #378868=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #378869=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #378870=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #378871=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #378872=DIRECTION('',(0.,0.,1.)); #378873=DIRECTION('center_axis',(-0.82474554903383,-0.565504004715162,0.)); #378874=DIRECTION('ref_axis',(0.565504004715162,-0.82474554903383,0.)); #378875=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #378876=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #378877=DIRECTION('',(0.,0.,1.)); #378878=DIRECTION('center_axis',(-0.980008419561381,-0.198956019232402, 0.)); #378879=DIRECTION('ref_axis',(0.198956019232402,-0.980008419561381,0.)); #378880=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #378881=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #378882=DIRECTION('',(0.,0.,1.)); #378883=DIRECTION('center_axis',(-1.,0.,0.)); #378884=DIRECTION('ref_axis',(0.,-1.,0.)); #378885=DIRECTION('',(0.,-1.,0.)); #378886=DIRECTION('',(0.,-1.,0.)); #378887=DIRECTION('',(0.,0.,1.)); #378888=DIRECTION('center_axis',(-0.994378375567313,-0.105885061288698, 0.)); #378889=DIRECTION('ref_axis',(0.105885061288698,-0.994378375567313,0.)); #378890=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #378891=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #378892=DIRECTION('',(0.,0.,1.)); #378893=DIRECTION('center_axis',(-0.94977879238451,-0.312922107778631,0.)); #378894=DIRECTION('ref_axis',(0.312922107778631,-0.94977879238451,0.)); #378895=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #378896=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #378897=DIRECTION('',(0.,0.,1.)); #378898=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #378899=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #378900=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #378901=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #378902=DIRECTION('',(0.,0.,1.)); #378903=DIRECTION('center_axis',(-0.73663894537961,-0.676286229454671,0.)); #378904=DIRECTION('ref_axis',(0.676286229454671,-0.73663894537961,0.)); #378905=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #378906=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #378907=DIRECTION('',(0.,0.,1.)); #378908=DIRECTION('center_axis',(-0.577570241664856,-0.816340992443231, 0.)); #378909=DIRECTION('ref_axis',(0.816340992443231,-0.577570241664856,0.)); #378910=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #378911=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #378912=DIRECTION('',(0.,0.,1.)); #378913=DIRECTION('center_axis',(-0.392778413393338,-0.919633143145794, 0.)); #378914=DIRECTION('ref_axis',(0.919633143145794,-0.392778413393338,0.)); #378915=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #378916=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #378917=DIRECTION('',(0.,0.,1.)); #378918=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #378919=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #378920=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #378921=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #378922=DIRECTION('',(0.,0.,1.)); #378923=DIRECTION('center_axis',(0.,-1.,0.)); #378924=DIRECTION('ref_axis',(1.,0.,0.)); #378925=DIRECTION('',(1.,0.,0.)); #378926=DIRECTION('',(1.,0.,0.)); #378927=DIRECTION('',(0.,0.,1.)); #378928=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #378929=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #378930=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #378931=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #378932=DIRECTION('',(0.,0.,1.)); #378933=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #378934=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #378935=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378936=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #378937=DIRECTION('',(0.,0.,1.)); #378938=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #378939=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #378940=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378941=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378942=DIRECTION('',(0.,0.,1.)); #378943=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #378944=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #378945=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #378946=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #378947=DIRECTION('',(0.,0.,1.)); #378948=DIRECTION('center_axis',(-1.,0.,0.)); #378949=DIRECTION('ref_axis',(0.,-1.,0.)); #378950=DIRECTION('',(0.,-1.,0.)); #378951=DIRECTION('',(0.,-1.,0.)); #378952=DIRECTION('',(0.,0.,1.)); #378953=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #378954=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #378955=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #378956=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #378957=DIRECTION('',(0.,0.,1.)); #378958=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #378959=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #378960=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #378961=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #378962=DIRECTION('',(0.,0.,1.)); #378963=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #378964=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #378965=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #378966=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #378967=DIRECTION('',(0.,0.,1.)); #378968=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #378969=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #378970=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #378971=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #378972=DIRECTION('',(0.,0.,1.)); #378973=DIRECTION('center_axis',(-0.707107551522362,-0.707106010849894, 0.)); #378974=DIRECTION('ref_axis',(0.707106010849894,-0.707107551522362,0.)); #378975=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #378976=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #378977=DIRECTION('',(0.,0.,1.)); #378978=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #378979=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #378980=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #378981=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #378982=DIRECTION('',(0.,0.,1.)); #378983=DIRECTION('center_axis',(-0.976687210469078,-0.214667400660024, 0.)); #378984=DIRECTION('ref_axis',(0.214667400660024,-0.976687210469078,0.)); #378985=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #378986=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #378987=DIRECTION('',(0.,0.,1.)); #378988=DIRECTION('center_axis',(-0.983049421519731,0.183340761560876,0.)); #378989=DIRECTION('ref_axis',(-0.183340761560876,-0.983049421519731,0.)); #378990=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #378991=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #378992=DIRECTION('',(0.,0.,1.)); #378993=DIRECTION('center_axis',(-0.833659859749868,0.552278225391723,0.)); #378994=DIRECTION('ref_axis',(-0.552278225391723,-0.833659859749868,0.)); #378995=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #378996=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #378997=DIRECTION('',(0.,0.,1.)); #378998=DIRECTION('center_axis',(-0.707131237125211,0.707082324402024,0.)); #378999=DIRECTION('ref_axis',(-0.707082324402024,-0.707131237125211,0.)); #379000=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #379001=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #379002=DIRECTION('',(0.,0.,1.)); #379003=DIRECTION('center_axis',(-1.,0.,0.)); #379004=DIRECTION('ref_axis',(0.,-1.,0.)); #379005=DIRECTION('',(0.,-1.,0.)); #379006=DIRECTION('',(0.,-1.,0.)); #379007=DIRECTION('',(0.,0.,1.)); #379008=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #379009=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379010=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379011=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379012=DIRECTION('',(0.,0.,1.)); #379013=DIRECTION('center_axis',(0.,-1.,0.)); #379014=DIRECTION('ref_axis',(1.,0.,0.)); #379015=DIRECTION('',(1.,0.,0.)); #379016=DIRECTION('',(1.,0.,0.)); #379017=DIRECTION('',(0.,0.,1.)); #379018=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #379019=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #379020=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #379021=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #379022=DIRECTION('',(0.,0.,1.)); #379023=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #379024=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #379025=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #379026=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #379027=DIRECTION('',(0.,0.,1.)); #379028=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #379029=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #379030=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #379031=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #379032=DIRECTION('',(0.,0.,1.)); #379033=DIRECTION('center_axis',(0.,-1.,0.)); #379034=DIRECTION('ref_axis',(1.,0.,0.)); #379035=DIRECTION('',(1.,0.,0.)); #379036=DIRECTION('',(1.,0.,0.)); #379037=DIRECTION('',(0.,0.,1.)); #379038=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #379039=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #379040=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #379041=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #379042=DIRECTION('',(0.,0.,1.)); #379043=DIRECTION('center_axis',(1.,0.,0.)); #379044=DIRECTION('ref_axis',(0.,1.,0.)); #379045=DIRECTION('',(0.,1.,0.)); #379046=DIRECTION('',(0.,1.,0.)); #379047=DIRECTION('',(0.,0.,1.)); #379048=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #379049=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #379050=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #379051=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #379052=DIRECTION('',(0.,0.,1.)); #379053=DIRECTION('center_axis',(0.850871695642028,-0.525373540973715,0.)); #379054=DIRECTION('ref_axis',(0.525373540973715,0.850871695642028,0.)); #379055=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #379056=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #379057=DIRECTION('',(0.,0.,1.)); #379058=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #379059=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #379060=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #379061=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #379062=DIRECTION('',(0.,0.,1.)); #379063=DIRECTION('center_axis',(0.214642857802378,-0.976692604453637,0.)); #379064=DIRECTION('ref_axis',(0.976692604453637,0.214642857802378,0.)); #379065=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #379066=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #379067=DIRECTION('',(0.,0.,1.)); #379068=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #379069=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #379070=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #379071=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #379072=DIRECTION('',(0.,0.,1.)); #379073=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #379074=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #379075=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379076=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379077=DIRECTION('',(0.,0.,1.)); #379078=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #379079=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379080=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379081=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379082=DIRECTION('',(0.,0.,1.)); #379083=DIRECTION('center_axis',(0.,-1.,0.)); #379084=DIRECTION('ref_axis',(1.,0.,0.)); #379085=DIRECTION('',(1.,0.,0.)); #379086=DIRECTION('',(1.,0.,0.)); #379087=DIRECTION('',(0.,0.,1.)); #379088=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #379089=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #379090=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #379091=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #379092=DIRECTION('',(0.,0.,1.)); #379093=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #379094=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #379095=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #379096=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #379097=DIRECTION('',(0.,0.,1.)); #379098=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #379099=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #379100=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #379101=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #379102=DIRECTION('',(0.,0.,1.)); #379103=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #379104=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #379105=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #379106=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #379107=DIRECTION('',(0.,0.,1.)); #379108=DIRECTION('center_axis',(-1.,0.,0.)); #379109=DIRECTION('ref_axis',(0.,-1.,0.)); #379110=DIRECTION('',(0.,-1.,0.)); #379111=DIRECTION('',(0.,-1.,0.)); #379112=DIRECTION('',(0.,0.,1.)); #379113=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #379114=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379115=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #379116=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #379117=DIRECTION('',(0.,0.,1.)); #379118=DIRECTION('center_axis',(0.,-1.,0.)); #379119=DIRECTION('ref_axis',(1.,0.,0.)); #379120=DIRECTION('',(1.,0.,0.)); #379121=DIRECTION('',(1.,0.,0.)); #379122=DIRECTION('',(0.,0.,1.)); #379123=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #379124=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #379125=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #379126=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #379127=DIRECTION('',(0.,0.,1.)); #379128=DIRECTION('center_axis',(1.,0.,0.)); #379129=DIRECTION('ref_axis',(0.,1.,0.)); #379130=DIRECTION('',(0.,1.,0.)); #379131=DIRECTION('',(0.,1.,0.)); #379132=DIRECTION('',(0.,0.,1.)); #379133=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #379134=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #379135=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #379136=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #379137=DIRECTION('',(0.,0.,1.)); #379138=DIRECTION('center_axis',(0.,1.,0.)); #379139=DIRECTION('ref_axis',(-1.,0.,0.)); #379140=DIRECTION('',(-1.,0.,0.)); #379141=DIRECTION('',(-1.,0.,0.)); #379142=DIRECTION('',(0.,0.,1.)); #379143=DIRECTION('center_axis',(-0.707106781186583,0.707106781186512,0.)); #379144=DIRECTION('ref_axis',(-0.707106781186512,-0.707106781186583,0.)); #379145=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #379146=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #379147=DIRECTION('',(0.,0.,1.)); #379148=DIRECTION('center_axis',(-1.,0.,0.)); #379149=DIRECTION('ref_axis',(0.,-1.,0.)); #379150=DIRECTION('',(0.,-1.,0.)); #379151=DIRECTION('',(0.,-1.,0.)); #379152=DIRECTION('',(0.,0.,1.)); #379153=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #379154=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #379155=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #379156=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #379157=DIRECTION('',(0.,0.,1.)); #379158=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #379159=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #379160=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #379161=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #379162=DIRECTION('',(0.,0.,1.)); #379163=DIRECTION('center_axis',(-0.565504004714826,0.82474554903406,0.)); #379164=DIRECTION('ref_axis',(-0.82474554903406,-0.565504004714826,0.)); #379165=DIRECTION('',(-0.82474554903406,-0.565504004714826,0.)); #379166=DIRECTION('',(-0.82474554903406,-0.565504004714826,0.)); #379167=DIRECTION('',(0.,0.,1.)); #379168=DIRECTION('center_axis',(-0.19895601923274,0.980008419561313,0.)); #379169=DIRECTION('ref_axis',(-0.980008419561313,-0.19895601923274,0.)); #379170=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #379171=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #379172=DIRECTION('',(0.,0.,1.)); #379173=DIRECTION('center_axis',(0.,1.,0.)); #379174=DIRECTION('ref_axis',(-1.,0.,0.)); #379175=DIRECTION('',(-1.,0.,0.)); #379176=DIRECTION('',(-1.,0.,0.)); #379177=DIRECTION('',(0.,0.,1.)); #379178=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #379179=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #379180=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #379181=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #379182=DIRECTION('',(0.,0.,1.)); #379183=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #379184=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #379185=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #379186=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #379187=DIRECTION('',(0.,0.,1.)); #379188=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379189=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #379190=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #379191=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #379192=DIRECTION('',(0.,0.,1.)); #379193=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #379194=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #379195=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #379196=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #379197=DIRECTION('',(0.,0.,1.)); #379198=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #379199=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #379200=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379201=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379202=DIRECTION('',(0.,0.,1.)); #379203=DIRECTION('center_axis',(1.,0.,0.)); #379204=DIRECTION('ref_axis',(0.,1.,0.)); #379205=DIRECTION('',(0.,1.,0.)); #379206=DIRECTION('',(0.,1.,0.)); #379207=DIRECTION('',(0.,0.,1.)); #379208=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #379209=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #379210=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379211=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379212=DIRECTION('',(0.,0.,1.)); #379213=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #379214=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #379215=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #379216=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #379217=DIRECTION('',(0.,0.,1.)); #379218=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379219=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379220=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379221=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379222=DIRECTION('',(0.,0.,1.)); #379223=DIRECTION('center_axis',(0.558946331949003,-0.829203833807318,0.)); #379224=DIRECTION('ref_axis',(0.829203833807318,0.558946331949003,0.)); #379225=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #379226=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #379227=DIRECTION('',(0.,0.,1.)); #379228=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #379229=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #379230=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #379231=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #379232=DIRECTION('',(0.,0.,1.)); #379233=DIRECTION('center_axis',(0.,-1.,0.)); #379234=DIRECTION('ref_axis',(1.,0.,0.)); #379235=DIRECTION('',(1.,0.,0.)); #379236=DIRECTION('',(1.,0.,0.)); #379237=DIRECTION('',(0.,0.,1.)); #379238=DIRECTION('center_axis',(-0.18330529025729,-0.98305603632941,0.)); #379239=DIRECTION('ref_axis',(0.98305603632941,-0.18330529025729,0.)); #379240=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #379241=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #379242=DIRECTION('',(0.,0.,1.)); #379243=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #379244=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #379245=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #379246=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #379247=DIRECTION('',(0.,0.,1.)); #379248=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #379249=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #379250=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #379251=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #379252=DIRECTION('',(0.,0.,1.)); #379253=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #379254=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #379255=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379256=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379257=DIRECTION('',(0.,0.,1.)); #379258=DIRECTION('center_axis',(-1.,0.,0.)); #379259=DIRECTION('ref_axis',(0.,-1.,0.)); #379260=DIRECTION('',(0.,-1.,0.)); #379261=DIRECTION('',(0.,-1.,0.)); #379262=DIRECTION('',(0.,0.,1.)); #379263=DIRECTION('center_axis',(-0.994378375567354,-0.105885061288317, 0.)); #379264=DIRECTION('ref_axis',(0.105885061288317,-0.994378375567354,0.)); #379265=DIRECTION('',(0.105885061288317,-0.994378375567354,0.)); #379266=DIRECTION('',(0.105885061288317,-0.994378375567354,0.)); #379267=DIRECTION('',(0.,0.,1.)); #379268=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #379269=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #379270=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #379271=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #379272=DIRECTION('',(0.,0.,1.)); #379273=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #379274=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #379275=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #379276=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #379277=DIRECTION('',(0.,0.,1.)); #379278=DIRECTION('center_axis',(-0.757781125399949,-0.65250882445189,0.)); #379279=DIRECTION('ref_axis',(0.65250882445189,-0.757781125399949,0.)); #379280=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #379281=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #379282=DIRECTION('',(0.,0.,1.)); #379283=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #379284=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #379285=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #379286=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #379287=DIRECTION('',(0.,0.,1.)); #379288=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #379289=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #379290=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #379291=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #379292=DIRECTION('',(0.,0.,1.)); #379293=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #379294=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #379295=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #379296=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #379297=DIRECTION('',(0.,0.,1.)); #379298=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #379299=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #379300=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #379301=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #379302=DIRECTION('',(0.,0.,1.)); #379303=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #379304=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #379305=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #379306=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #379307=DIRECTION('',(0.,0.,1.)); #379308=DIRECTION('center_axis',(0.,-1.,0.)); #379309=DIRECTION('ref_axis',(1.,0.,0.)); #379310=DIRECTION('',(1.,0.,0.)); #379311=DIRECTION('',(1.,0.,0.)); #379312=DIRECTION('',(0.,0.,1.)); #379313=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #379314=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #379315=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #379316=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #379317=DIRECTION('',(0.,0.,1.)); #379318=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #379319=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #379320=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #379321=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #379322=DIRECTION('',(0.,0.,1.)); #379323=DIRECTION('center_axis',(0.506095628368247,-0.862477370686646,0.)); #379324=DIRECTION('ref_axis',(0.862477370686645,0.506095628368247,0.)); #379325=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #379326=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #379327=DIRECTION('',(0.,0.,1.)); #379328=DIRECTION('center_axis',(0.652508824452436,-0.757781125399478,0.)); #379329=DIRECTION('ref_axis',(0.757781125399478,0.652508824452436,0.)); #379330=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #379331=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #379332=DIRECTION('',(0.,0.,1.)); #379333=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379334=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379335=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379336=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379337=DIRECTION('',(0.,0.,1.)); #379338=DIRECTION('center_axis',(0.558946331948794,-0.82920383380746,0.)); #379339=DIRECTION('ref_axis',(0.82920383380746,0.558946331948794,0.)); #379340=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #379341=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #379342=DIRECTION('',(0.,0.,1.)); #379343=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #379344=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #379345=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379346=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379347=DIRECTION('',(0.,0.,1.)); #379348=DIRECTION('center_axis',(0.,-1.,0.)); #379349=DIRECTION('ref_axis',(1.,0.,0.)); #379350=DIRECTION('',(1.,0.,0.)); #379351=DIRECTION('',(1.,0.,0.)); #379352=DIRECTION('',(0.,0.,1.)); #379353=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379354=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379355=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379356=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379357=DIRECTION('',(0.,0.,1.)); #379358=DIRECTION('center_axis',(1.,0.,0.)); #379359=DIRECTION('ref_axis',(0.,1.,0.)); #379360=DIRECTION('',(0.,1.,0.)); #379361=DIRECTION('',(0.,1.,0.)); #379362=DIRECTION('',(0.,0.,1.)); #379363=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #379364=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #379365=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #379366=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #379367=DIRECTION('',(0.,0.,1.)); #379368=DIRECTION('center_axis',(0.,1.,0.)); #379369=DIRECTION('ref_axis',(-1.,0.,0.)); #379370=DIRECTION('',(-1.,0.,0.)); #379371=DIRECTION('',(-1.,0.,0.)); #379372=DIRECTION('',(0.,0.,1.)); #379373=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #379374=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #379375=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379376=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379377=DIRECTION('',(0.,0.,1.)); #379378=DIRECTION('center_axis',(0.538911466745989,0.842362410729306,0.)); #379379=DIRECTION('ref_axis',(-0.842362410729306,0.538911466745989,0.)); #379380=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #379381=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #379382=DIRECTION('',(0.,0.,1.)); #379383=DIRECTION('center_axis',(0.824745549034035,0.565504004714863,0.)); #379384=DIRECTION('ref_axis',(-0.565504004714863,0.824745549034035,0.)); #379385=DIRECTION('',(-0.565504004714863,0.824745549034035,0.)); #379386=DIRECTION('',(-0.565504004714863,0.824745549034035,0.)); #379387=DIRECTION('',(0.,0.,1.)); #379388=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #379389=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #379390=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379391=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379392=DIRECTION('',(0.,0.,1.)); #379393=DIRECTION('center_axis',(1.,0.,0.)); #379394=DIRECTION('ref_axis',(0.,1.,0.)); #379395=DIRECTION('',(0.,1.,0.)); #379396=DIRECTION('',(0.,1.,0.)); #379397=DIRECTION('',(0.,0.,1.)); #379398=DIRECTION('center_axis',(0.983056036329382,-0.183305290257444,0.)); #379399=DIRECTION('ref_axis',(0.183305290257444,0.983056036329381,0.)); #379400=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #379401=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #379402=DIRECTION('',(0.,0.,1.)); #379403=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #379404=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #379405=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #379406=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #379407=DIRECTION('',(0.,0.,1.)); #379408=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #379409=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #379410=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #379411=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #379412=DIRECTION('',(0.,0.,1.)); #379413=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #379414=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #379415=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #379416=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #379417=DIRECTION('',(0.,0.,1.)); #379418=DIRECTION('center_axis',(0.,-1.,0.)); #379419=DIRECTION('ref_axis',(1.,0.,0.)); #379420=DIRECTION('',(1.,0.,0.)); #379421=DIRECTION('',(1.,0.,0.)); #379422=DIRECTION('',(0.,0.,1.)); #379423=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #379424=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #379425=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #379426=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #379427=DIRECTION('',(0.,0.,1.)); #379428=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #379429=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #379430=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #379431=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #379432=DIRECTION('',(0.,0.,1.)); #379433=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #379434=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #379435=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #379436=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #379437=DIRECTION('',(0.,0.,1.)); #379438=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #379439=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #379440=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #379441=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #379442=DIRECTION('',(0.,0.,1.)); #379443=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #379444=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #379445=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #379446=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #379447=DIRECTION('',(0.,0.,1.)); #379448=DIRECTION('center_axis',(-1.,0.,0.)); #379449=DIRECTION('ref_axis',(0.,-1.,0.)); #379450=DIRECTION('',(0.,-1.,0.)); #379451=DIRECTION('',(0.,-1.,0.)); #379452=DIRECTION('',(0.,0.,1.)); #379453=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #379454=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #379455=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #379456=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #379457=DIRECTION('',(0.,0.,1.)); #379458=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #379459=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #379460=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #379461=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #379462=DIRECTION('',(0.,0.,1.)); #379463=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #379464=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #379465=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #379466=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #379467=DIRECTION('',(0.,0.,1.)); #379468=DIRECTION('center_axis',(-0.558946331948935,0.829203833807364,0.)); #379469=DIRECTION('ref_axis',(-0.829203833807364,-0.558946331948935,0.)); #379470=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #379471=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #379472=DIRECTION('',(0.,0.,1.)); #379473=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #379474=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #379475=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #379476=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #379477=DIRECTION('',(0.,0.,1.)); #379478=DIRECTION('center_axis',(0.,1.,0.)); #379479=DIRECTION('ref_axis',(-1.,0.,0.)); #379480=DIRECTION('',(-1.,0.,0.)); #379481=DIRECTION('',(-1.,0.,0.)); #379482=DIRECTION('',(0.,0.,1.)); #379483=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #379484=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #379485=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379486=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379487=DIRECTION('',(0.,0.,1.)); #379488=DIRECTION('center_axis',(0.538911466745914,0.842362410729353,0.)); #379489=DIRECTION('ref_axis',(-0.842362410729353,0.538911466745914,0.)); #379490=DIRECTION('',(-0.842362410729353,0.538911466745914,0.)); #379491=DIRECTION('',(-0.842362410729353,0.538911466745914,0.)); #379492=DIRECTION('',(0.,0.,1.)); #379493=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #379494=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #379495=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #379496=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #379497=DIRECTION('',(0.,0.,1.)); #379498=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #379499=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #379500=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #379501=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #379502=DIRECTION('',(0.,0.,1.)); #379503=DIRECTION('center_axis',(1.,0.,0.)); #379504=DIRECTION('ref_axis',(0.,1.,0.)); #379505=DIRECTION('',(0.,1.,0.)); #379506=DIRECTION('',(0.,1.,0.)); #379507=DIRECTION('',(0.,0.,1.)); #379508=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379509=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #379510=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #379511=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #379512=DIRECTION('',(0.,0.,1.)); #379513=DIRECTION('center_axis',(0.,1.,0.)); #379514=DIRECTION('ref_axis',(-1.,0.,0.)); #379515=DIRECTION('',(-1.,0.,0.)); #379516=DIRECTION('',(-1.,0.,0.)); #379517=DIRECTION('',(0.,0.,1.)); #379518=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #379519=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #379520=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #379521=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #379522=DIRECTION('',(0.,0.,1.)); #379523=DIRECTION('center_axis',(-1.,0.,0.)); #379524=DIRECTION('ref_axis',(0.,-1.,0.)); #379525=DIRECTION('',(0.,-1.,0.)); #379526=DIRECTION('',(0.,-1.,0.)); #379527=DIRECTION('',(0.,0.,1.)); #379528=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #379529=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #379530=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #379531=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #379532=DIRECTION('',(0.,0.,1.)); #379533=DIRECTION('center_axis',(0.,-1.,0.)); #379534=DIRECTION('ref_axis',(1.,0.,0.)); #379535=DIRECTION('',(1.,0.,0.)); #379536=DIRECTION('',(1.,0.,0.)); #379537=DIRECTION('',(0.,0.,1.)); #379538=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #379539=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #379540=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #379541=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #379542=DIRECTION('',(0.,0.,1.)); #379543=DIRECTION('center_axis',(1.,0.,0.)); #379544=DIRECTION('ref_axis',(0.,1.,0.)); #379545=DIRECTION('',(0.,1.,0.)); #379546=DIRECTION('',(0.,1.,0.)); #379547=DIRECTION('',(0.,0.,1.)); #379548=DIRECTION('center_axis',(0.983056036329426,-0.183305290257204,0.)); #379549=DIRECTION('ref_axis',(0.183305290257204,0.983056036329426,0.)); #379550=DIRECTION('',(0.183305290257204,0.983056036329426,0.)); #379551=DIRECTION('',(0.183305290257204,0.983056036329426,0.)); #379552=DIRECTION('',(0.,0.,1.)); #379553=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #379554=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #379555=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #379556=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #379557=DIRECTION('',(0.,0.,1.)); #379558=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #379559=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #379560=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #379561=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #379562=DIRECTION('',(0.,0.,1.)); #379563=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #379564=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #379565=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379566=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379567=DIRECTION('',(0.,0.,1.)); #379568=DIRECTION('center_axis',(0.,-1.,0.)); #379569=DIRECTION('ref_axis',(1.,0.,0.)); #379570=DIRECTION('',(1.,0.,0.)); #379571=DIRECTION('',(1.,0.,0.)); #379572=DIRECTION('',(0.,0.,1.)); #379573=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #379574=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #379575=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #379576=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #379577=DIRECTION('',(0.,0.,1.)); #379578=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #379579=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #379580=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #379581=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #379582=DIRECTION('',(0.,0.,1.)); #379583=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #379584=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #379585=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #379586=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #379587=DIRECTION('',(0.,0.,1.)); #379588=DIRECTION('center_axis',(-0.167643887112495,-0.985847618607365, 0.)); #379589=DIRECTION('ref_axis',(0.985847618607365,-0.167643887112495,0.)); #379590=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #379591=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #379592=DIRECTION('',(0.,0.,1.)); #379593=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #379594=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #379595=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #379596=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #379597=DIRECTION('',(0.,0.,1.)); #379598=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #379599=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #379600=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #379601=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #379602=DIRECTION('',(0.,0.,1.)); #379603=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #379604=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #379605=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #379606=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #379607=DIRECTION('',(0.,0.,1.)); #379608=DIRECTION('center_axis',(-1.,0.,0.)); #379609=DIRECTION('ref_axis',(0.,-1.,0.)); #379610=DIRECTION('',(0.,-1.,0.)); #379611=DIRECTION('',(0.,-1.,0.)); #379612=DIRECTION('',(0.,0.,1.)); #379613=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #379614=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #379615=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #379616=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #379617=DIRECTION('',(0.,0.,1.)); #379618=DIRECTION('center_axis',(0.,-1.,0.)); #379619=DIRECTION('ref_axis',(1.,0.,0.)); #379620=DIRECTION('',(1.,0.,0.)); #379621=DIRECTION('',(1.,0.,0.)); #379622=DIRECTION('',(0.,0.,1.)); #379623=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #379624=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #379625=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #379626=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #379627=DIRECTION('',(0.,0.,1.)); #379628=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #379629=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #379630=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379631=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379632=DIRECTION('',(0.,0.,1.)); #379633=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #379634=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #379635=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #379636=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #379637=DIRECTION('',(0.,0.,1.)); #379638=DIRECTION('center_axis',(0.,-1.,0.)); #379639=DIRECTION('ref_axis',(1.,0.,0.)); #379640=DIRECTION('',(1.,0.,0.)); #379641=DIRECTION('',(1.,0.,0.)); #379642=DIRECTION('',(0.,0.,1.)); #379643=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #379644=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #379645=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #379646=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #379647=DIRECTION('',(0.,0.,1.)); #379648=DIRECTION('center_axis',(0.983052942270862,-0.183321882743445,0.)); #379649=DIRECTION('ref_axis',(0.183321882743445,0.983052942270862,0.)); #379650=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #379651=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #379652=DIRECTION('',(0.,0.,1.)); #379653=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #379654=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #379655=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #379656=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #379657=DIRECTION('',(0.,0.,1.)); #379658=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #379659=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #379660=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #379661=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #379662=DIRECTION('',(0.,0.,1.)); #379663=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #379664=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #379665=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379666=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #379667=DIRECTION('',(0.,0.,1.)); #379668=DIRECTION('center_axis',(0.,-1.,0.)); #379669=DIRECTION('ref_axis',(1.,0.,0.)); #379670=DIRECTION('',(1.,0.,0.)); #379671=DIRECTION('',(1.,0.,0.)); #379672=DIRECTION('',(0.,0.,1.)); #379673=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #379674=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #379675=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #379676=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #379677=DIRECTION('',(0.,0.,1.)); #379678=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #379679=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #379680=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #379681=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #379682=DIRECTION('',(0.,0.,1.)); #379683=DIRECTION('center_axis',(0.506095628368247,-0.862477370686646,0.)); #379684=DIRECTION('ref_axis',(0.862477370686645,0.506095628368247,0.)); #379685=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #379686=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #379687=DIRECTION('',(0.,0.,1.)); #379688=DIRECTION('center_axis',(0.652508824452436,-0.757781125399478,0.)); #379689=DIRECTION('ref_axis',(0.757781125399478,0.652508824452436,0.)); #379690=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #379691=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #379692=DIRECTION('',(0.,0.,1.)); #379693=DIRECTION('center_axis',(0.707106983782849,-0.707106578590188,0.)); #379694=DIRECTION('ref_axis',(0.707106578590188,0.707106983782849,0.)); #379695=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #379696=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #379697=DIRECTION('',(0.,0.,1.)); #379698=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #379699=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #379700=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #379701=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #379702=DIRECTION('',(0.,0.,1.)); #379703=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #379704=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #379705=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #379706=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #379707=DIRECTION('',(0.,0.,1.)); #379708=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #379709=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #379710=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #379711=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #379712=DIRECTION('',(0.,0.,1.)); #379713=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #379714=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #379715=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #379716=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #379717=DIRECTION('',(0.,0.,1.)); #379718=DIRECTION('center_axis',(1.,0.,0.)); #379719=DIRECTION('ref_axis',(0.,1.,0.)); #379720=DIRECTION('',(0.,1.,0.)); #379721=DIRECTION('',(0.,1.,0.)); #379722=DIRECTION('',(0.,0.,1.)); #379723=DIRECTION('center_axis',(0.983056036329391,-0.183305290257396,0.)); #379724=DIRECTION('ref_axis',(0.183305290257396,0.983056036329391,0.)); #379725=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #379726=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #379727=DIRECTION('',(0.,0.,1.)); #379728=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #379729=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #379730=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #379731=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #379732=DIRECTION('',(0.,0.,1.)); #379733=DIRECTION('center_axis',(0.565504004715196,-0.824745549033807,0.)); #379734=DIRECTION('ref_axis',(0.824745549033807,0.565504004715196,0.)); #379735=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #379736=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #379737=DIRECTION('',(0.,0.,1.)); #379738=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #379739=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #379740=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #379741=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #379742=DIRECTION('',(0.,0.,1.)); #379743=DIRECTION('center_axis',(0.,-1.,0.)); #379744=DIRECTION('ref_axis',(1.,0.,0.)); #379745=DIRECTION('',(1.,0.,0.)); #379746=DIRECTION('',(1.,0.,0.)); #379747=DIRECTION('',(0.,0.,1.)); #379748=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #379749=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #379750=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #379751=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #379752=DIRECTION('',(0.,0.,1.)); #379753=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #379754=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #379755=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #379756=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #379757=DIRECTION('',(0.,0.,1.)); #379758=DIRECTION('center_axis',(0.506057198050692,-0.862499920174537,0.)); #379759=DIRECTION('ref_axis',(0.862499920174537,0.506057198050692,0.)); #379760=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #379761=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #379762=DIRECTION('',(0.,0.,1.)); #379763=DIRECTION('center_axis',(0.676309465188533,-0.73661761267051,0.)); #379764=DIRECTION('ref_axis',(0.736617612670509,0.676309465188533,0.)); #379765=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #379766=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #379767=DIRECTION('',(0.,0.,1.)); #379768=DIRECTION('center_axis',(0.816318974247523,-0.577601361047108,0.)); #379769=DIRECTION('ref_axis',(0.577601361047108,0.816318974247523,0.)); #379770=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #379771=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #379772=DIRECTION('',(0.,0.,1.)); #379773=DIRECTION('center_axis',(0.919633143145591,-0.392778413393812,0.)); #379774=DIRECTION('ref_axis',(0.392778413393812,0.919633143145591,0.)); #379775=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #379776=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #379777=DIRECTION('',(0.,0.,1.)); #379778=DIRECTION('center_axis',(0.988961531561696,-0.148172497755637,0.)); #379779=DIRECTION('ref_axis',(0.148172497755637,0.988961531561696,0.)); #379780=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #379781=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #379782=DIRECTION('',(0.,0.,1.)); #379783=DIRECTION('center_axis',(1.,0.,0.)); #379784=DIRECTION('ref_axis',(0.,1.,0.)); #379785=DIRECTION('',(0.,1.,0.)); #379786=DIRECTION('',(0.,1.,0.)); #379787=DIRECTION('',(0.,0.,1.)); #379788=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #379789=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #379790=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #379791=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #379792=DIRECTION('',(0.,0.,1.)); #379793=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #379794=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #379795=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #379796=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #379797=DIRECTION('',(0.,0.,1.)); #379798=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #379799=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #379800=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #379801=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #379802=DIRECTION('',(0.,0.,1.)); #379803=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #379804=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #379805=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #379806=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #379807=DIRECTION('',(0.,0.,1.)); #379808=DIRECTION('center_axis',(0.,-1.,0.)); #379809=DIRECTION('ref_axis',(1.,0.,0.)); #379810=DIRECTION('',(1.,0.,0.)); #379811=DIRECTION('',(1.,0.,0.)); #379812=DIRECTION('',(0.,0.,1.)); #379813=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #379814=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #379815=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #379816=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #379817=DIRECTION('',(0.,0.,1.)); #379818=DIRECTION('center_axis',(-0.538911466745881,-0.842362410729375, 0.)); #379819=DIRECTION('ref_axis',(0.842362410729375,-0.538911466745881,0.)); #379820=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #379821=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #379822=DIRECTION('',(0.,0.,1.)); #379823=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #379824=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #379825=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #379826=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #379827=DIRECTION('',(0.,0.,1.)); #379828=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #379829=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #379830=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #379831=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #379832=DIRECTION('',(0.,0.,1.)); #379833=DIRECTION('center_axis',(-1.,0.,0.)); #379834=DIRECTION('ref_axis',(0.,-1.,0.)); #379835=DIRECTION('',(0.,-1.,0.)); #379836=DIRECTION('',(0.,-1.,0.)); #379837=DIRECTION('',(0.,0.,1.)); #379838=DIRECTION('center_axis',(-0.994378375567341,-0.10588506128844,0.)); #379839=DIRECTION('ref_axis',(0.10588506128844,-0.994378375567341,0.)); #379840=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #379841=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #379842=DIRECTION('',(0.,0.,1.)); #379843=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #379844=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #379845=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #379846=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #379847=DIRECTION('',(0.,0.,1.)); #379848=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #379849=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #379850=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #379851=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #379852=DIRECTION('',(0.,0.,1.)); #379853=DIRECTION('center_axis',(-0.736638945379662,-0.676286229454615, 0.)); #379854=DIRECTION('ref_axis',(0.676286229454615,-0.736638945379662,0.)); #379855=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #379856=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #379857=DIRECTION('',(0.,0.,1.)); #379858=DIRECTION('center_axis',(-0.577570241664954,-0.816340992443162, 0.)); #379859=DIRECTION('ref_axis',(0.816340992443162,-0.577570241664954,0.)); #379860=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #379861=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #379862=DIRECTION('',(0.,0.,1.)); #379863=DIRECTION('center_axis',(-0.392778413393378,-0.919633143145777, 0.)); #379864=DIRECTION('ref_axis',(0.919633143145777,-0.392778413393378,0.)); #379865=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #379866=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #379867=DIRECTION('',(0.,0.,1.)); #379868=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #379869=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #379870=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #379871=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #379872=DIRECTION('',(0.,0.,1.)); #379873=DIRECTION('center_axis',(0.,-1.,0.)); #379874=DIRECTION('ref_axis',(1.,0.,0.)); #379875=DIRECTION('',(1.,0.,0.)); #379876=DIRECTION('',(1.,0.,0.)); #379877=DIRECTION('',(0.,0.,1.)); #379878=DIRECTION('center_axis',(0.105885061288689,-0.994378375567314,0.)); #379879=DIRECTION('ref_axis',(0.994378375567314,0.105885061288689,0.)); #379880=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #379881=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #379882=DIRECTION('',(0.,0.,1.)); #379883=DIRECTION('center_axis',(0.31293597692126,-0.949774222827898,0.)); #379884=DIRECTION('ref_axis',(0.949774222827897,0.31293597692126,0.)); #379885=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #379886=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #379887=DIRECTION('',(0.,0.,1.)); #379888=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #379889=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #379890=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #379891=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #379892=DIRECTION('',(0.,0.,1.)); #379893=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #379894=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #379895=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #379896=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #379897=DIRECTION('',(0.,0.,1.)); #379898=DIRECTION('center_axis',(0.707107039233482,-0.707106523139519,0.)); #379899=DIRECTION('ref_axis',(0.707106523139519,0.707107039233482,0.)); #379900=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #379901=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #379902=DIRECTION('',(0.,0.,1.)); #379903=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #379904=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #379905=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #379906=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #379907=DIRECTION('',(0.,0.,1.)); #379908=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #379909=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #379910=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #379911=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #379912=DIRECTION('',(0.,0.,1.)); #379913=DIRECTION('center_axis',(0.967662278077973,-0.25224931235773,0.)); #379914=DIRECTION('ref_axis',(0.25224931235773,0.967662278077973,0.)); #379915=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #379916=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #379917=DIRECTION('',(0.,0.,1.)); #379918=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #379919=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #379920=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #379921=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #379922=DIRECTION('',(0.,0.,1.)); #379923=DIRECTION('center_axis',(1.,0.,0.)); #379924=DIRECTION('ref_axis',(0.,1.,0.)); #379925=DIRECTION('',(0.,1.,0.)); #379926=DIRECTION('',(0.,1.,0.)); #379927=DIRECTION('',(0.,0.,1.)); #379928=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #379929=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #379930=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #379931=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #379932=DIRECTION('',(0.,0.,1.)); #379933=DIRECTION('center_axis',(0.949761034136728,0.312976002331701,0.)); #379934=DIRECTION('ref_axis',(-0.312976002331701,0.949761034136728,0.)); #379935=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #379936=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #379937=DIRECTION('',(0.,0.,1.)); #379938=DIRECTION('center_axis',(0.847486929710934,0.530816261967486,0.)); #379939=DIRECTION('ref_axis',(-0.530816261967486,0.847486929710934,0.)); #379940=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #379941=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #379942=DIRECTION('',(0.,0.,1.)); #379943=DIRECTION('center_axis',(0.768221251274941,0.6401844336514,0.)); #379944=DIRECTION('ref_axis',(-0.6401844336514,0.768221251274941,0.)); #379945=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #379946=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #379947=DIRECTION('',(0.,0.,1.)); #379948=DIRECTION('center_axis',(0.853663582771797,0.520824814548251,0.)); #379949=DIRECTION('ref_axis',(-0.520824814548251,0.853663582771797,0.)); #379950=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #379951=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #379952=DIRECTION('',(0.,0.,1.)); #379953=DIRECTION('center_axis',(0.980008419561338,0.198956019232613,0.)); #379954=DIRECTION('ref_axis',(-0.198956019232613,0.980008419561339,0.)); #379955=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #379956=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #379957=DIRECTION('',(0.,0.,1.)); #379958=DIRECTION('center_axis',(1.,0.,0.)); #379959=DIRECTION('ref_axis',(0.,1.,0.)); #379960=DIRECTION('',(0.,1.,0.)); #379961=DIRECTION('',(0.,1.,0.)); #379962=DIRECTION('',(0.,0.,1.)); #379963=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #379964=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #379965=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #379966=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #379967=DIRECTION('',(0.,0.,1.)); #379968=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #379969=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #379970=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #379971=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #379972=DIRECTION('',(0.,0.,1.)); #379973=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #379974=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #379975=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #379976=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #379977=DIRECTION('',(0.,0.,1.)); #379978=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #379979=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #379980=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #379981=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #379982=DIRECTION('',(0.,0.,1.)); #379983=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #379984=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #379985=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #379986=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #379987=DIRECTION('',(0.,0.,1.)); #379988=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #379989=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #379990=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #379991=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #379992=DIRECTION('',(0.,0.,1.)); #379993=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #379994=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #379995=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #379996=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #379997=DIRECTION('',(0.,0.,1.)); #379998=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #379999=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #380000=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #380001=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #380002=DIRECTION('',(0.,0.,1.)); #380003=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #380004=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #380005=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #380006=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #380007=DIRECTION('',(0.,0.,1.)); #380008=DIRECTION('center_axis',(0.,1.,0.)); #380009=DIRECTION('ref_axis',(-1.,0.,0.)); #380010=DIRECTION('',(-1.,0.,0.)); #380011=DIRECTION('',(-1.,0.,0.)); #380012=DIRECTION('',(0.,0.,1.)); #380013=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #380014=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #380015=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #380016=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #380017=DIRECTION('',(0.,0.,1.)); #380018=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #380019=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #380020=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #380021=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #380022=DIRECTION('',(0.,0.,1.)); #380023=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #380024=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #380025=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #380026=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #380027=DIRECTION('',(0.,0.,1.)); #380028=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #380029=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #380030=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #380031=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #380032=DIRECTION('',(0.,0.,1.)); #380033=DIRECTION('center_axis',(-0.707107065139459,0.707106497233522,0.)); #380034=DIRECTION('ref_axis',(-0.707106497233522,-0.707107065139459,0.)); #380035=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #380036=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #380037=DIRECTION('',(0.,0.,1.)); #380038=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #380039=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #380040=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #380041=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #380042=DIRECTION('',(0.,0.,1.)); #380043=DIRECTION('center_axis',(-0.892938781493067,0.450178111979778,0.)); #380044=DIRECTION('ref_axis',(-0.450178111979778,-0.892938781493066,0.)); #380045=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #380046=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #380047=DIRECTION('',(0.,0.,1.)); #380048=DIRECTION('center_axis',(-0.967662278077938,0.252249312357865,0.)); #380049=DIRECTION('ref_axis',(-0.252249312357865,-0.967662278077938,0.)); #380050=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #380051=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #380052=DIRECTION('',(0.,0.,1.)); #380053=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #380054=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #380055=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #380056=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #380057=DIRECTION('',(0.,0.,1.)); #380058=DIRECTION('center_axis',(-1.,0.,0.)); #380059=DIRECTION('ref_axis',(0.,-1.,0.)); #380060=DIRECTION('',(0.,-1.,0.)); #380061=DIRECTION('',(0.,-1.,0.)); #380062=DIRECTION('',(0.,0.,1.)); #380063=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #380064=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #380065=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380066=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380067=DIRECTION('',(0.,0.,1.)); #380068=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #380069=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #380070=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #380071=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #380072=DIRECTION('',(0.,0.,1.)); #380073=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #380074=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #380075=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380076=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380077=DIRECTION('',(0.,0.,1.)); #380078=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #380079=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #380080=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #380081=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #380082=DIRECTION('',(0.,0.,1.)); #380083=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #380084=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #380085=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #380086=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #380087=DIRECTION('',(0.,0.,1.)); #380088=DIRECTION('center_axis',(0.,1.,0.)); #380089=DIRECTION('ref_axis',(-1.,0.,0.)); #380090=DIRECTION('',(-1.,0.,0.)); #380091=DIRECTION('',(-1.,0.,0.)); #380092=DIRECTION('',(0.,0.,1.)); #380093=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #380094=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #380095=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #380096=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #380097=DIRECTION('',(0.,0.,1.)); #380098=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #380099=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #380100=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #380101=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #380102=DIRECTION('',(0.,0.,1.)); #380103=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #380104=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #380105=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #380106=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #380107=DIRECTION('',(0.,0.,1.)); #380108=DIRECTION('center_axis',(0.,1.,0.)); #380109=DIRECTION('ref_axis',(-1.,0.,0.)); #380110=DIRECTION('',(-1.,0.,0.)); #380111=DIRECTION('',(-1.,0.,0.)); #380112=DIRECTION('',(0.,0.,1.)); #380113=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #380114=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #380115=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #380116=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #380117=DIRECTION('',(0.,0.,1.)); #380118=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #380119=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #380120=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380121=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380122=DIRECTION('',(0.,0.,1.)); #380123=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380124=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380125=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380126=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380127=DIRECTION('',(0.,0.,1.)); #380128=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #380129=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #380130=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #380131=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #380132=DIRECTION('',(0.,0.,1.)); #380133=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #380134=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #380135=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #380136=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #380137=DIRECTION('',(0.,0.,1.)); #380138=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #380139=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #380140=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #380141=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #380142=DIRECTION('',(0.,0.,1.)); #380143=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #380144=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #380145=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #380146=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #380147=DIRECTION('',(0.,0.,1.)); #380148=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #380149=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #380150=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #380151=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #380152=DIRECTION('',(0.,0.,1.)); #380153=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #380154=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #380155=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #380156=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #380157=DIRECTION('',(0.,0.,1.)); #380158=DIRECTION('center_axis',(0.,-1.,0.)); #380159=DIRECTION('ref_axis',(1.,0.,0.)); #380160=DIRECTION('',(1.,0.,0.)); #380161=DIRECTION('',(1.,0.,0.)); #380162=DIRECTION('',(0.,0.,1.)); #380163=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380164=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380165=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380166=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380167=DIRECTION('',(0.,0.,1.)); #380168=DIRECTION('center_axis',(1.,0.,0.)); #380169=DIRECTION('ref_axis',(0.,1.,0.)); #380170=DIRECTION('',(0.,1.,0.)); #380171=DIRECTION('',(0.,1.,0.)); #380172=DIRECTION('',(0.,0.,1.)); #380173=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #380174=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #380175=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #380176=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #380177=DIRECTION('',(0.,0.,1.)); #380178=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #380179=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #380180=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #380181=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #380182=DIRECTION('',(0.,0.,1.)); #380183=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #380184=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #380185=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #380186=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #380187=DIRECTION('',(0.,0.,1.)); #380188=DIRECTION('center_axis',(1.,0.,0.)); #380189=DIRECTION('ref_axis',(0.,1.,0.)); #380190=DIRECTION('',(0.,1.,0.)); #380191=DIRECTION('',(0.,1.,0.)); #380192=DIRECTION('',(0.,0.,1.)); #380193=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #380194=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #380195=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #380196=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #380197=DIRECTION('',(0.,0.,1.)); #380198=DIRECTION('center_axis',(0.850871695642224,-0.525373540973398,0.)); #380199=DIRECTION('ref_axis',(0.525373540973398,0.850871695642224,0.)); #380200=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #380201=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #380202=DIRECTION('',(0.,0.,1.)); #380203=DIRECTION('center_axis',(0.578483828224848,-0.815693852178821,0.)); #380204=DIRECTION('ref_axis',(0.815693852178821,0.578483828224848,0.)); #380205=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #380206=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #380207=DIRECTION('',(0.,0.,1.)); #380208=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #380209=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #380210=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #380211=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #380212=DIRECTION('',(0.,0.,1.)); #380213=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #380214=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #380215=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #380216=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #380217=DIRECTION('',(0.,0.,1.)); #380218=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #380219=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #380220=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #380221=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #380222=DIRECTION('',(0.,0.,1.)); #380223=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380224=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380225=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380226=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380227=DIRECTION('',(0.,0.,1.)); #380228=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #380229=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #380230=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #380231=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #380232=DIRECTION('',(0.,0.,1.)); #380233=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #380234=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #380235=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380236=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380237=DIRECTION('',(0.,0.,1.)); #380238=DIRECTION('center_axis',(-1.,0.,0.)); #380239=DIRECTION('ref_axis',(0.,-1.,0.)); #380240=DIRECTION('',(0.,-1.,0.)); #380241=DIRECTION('',(0.,-1.,0.)); #380242=DIRECTION('',(0.,0.,1.)); #380243=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380244=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380245=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #380246=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #380247=DIRECTION('',(0.,0.,1.)); #380248=DIRECTION('center_axis',(0.,-1.,0.)); #380249=DIRECTION('ref_axis',(1.,0.,0.)); #380250=DIRECTION('',(1.,0.,0.)); #380251=DIRECTION('',(1.,0.,0.)); #380252=DIRECTION('',(0.,0.,1.)); #380253=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #380254=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #380255=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #380256=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #380257=DIRECTION('',(0.,0.,1.)); #380258=DIRECTION('center_axis',(1.,0.,0.)); #380259=DIRECTION('ref_axis',(0.,1.,0.)); #380260=DIRECTION('',(0.,1.,0.)); #380261=DIRECTION('',(0.,1.,0.)); #380262=DIRECTION('',(0.,0.,1.)); #380263=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #380264=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #380265=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380266=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380267=DIRECTION('',(0.,0.,1.)); #380268=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #380269=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #380270=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #380271=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #380272=DIRECTION('',(0.,0.,1.)); #380273=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #380274=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #380275=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #380276=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #380277=DIRECTION('',(0.,0.,1.)); #380278=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #380279=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #380280=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380281=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380282=DIRECTION('',(0.,0.,1.)); #380283=DIRECTION('center_axis',(0.,-1.,0.)); #380284=DIRECTION('ref_axis',(1.,0.,0.)); #380285=DIRECTION('',(1.,0.,0.)); #380286=DIRECTION('',(1.,0.,0.)); #380287=DIRECTION('',(0.,0.,1.)); #380288=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #380289=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #380290=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #380291=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #380292=DIRECTION('',(0.,0.,1.)); #380293=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #380294=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #380295=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #380296=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #380297=DIRECTION('',(0.,0.,1.)); #380298=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #380299=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #380300=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #380301=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #380302=DIRECTION('',(0.,0.,1.)); #380303=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #380304=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #380305=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #380306=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #380307=DIRECTION('',(0.,0.,1.)); #380308=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #380309=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #380310=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #380311=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #380312=DIRECTION('',(0.,0.,1.)); #380313=DIRECTION('center_axis',(-1.,0.,0.)); #380314=DIRECTION('ref_axis',(0.,-1.,0.)); #380315=DIRECTION('',(0.,-1.,0.)); #380316=DIRECTION('',(0.,-1.,0.)); #380317=DIRECTION('',(0.,0.,1.)); #380318=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380319=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380320=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #380321=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #380322=DIRECTION('',(0.,0.,1.)); #380323=DIRECTION('center_axis',(0.,-1.,0.)); #380324=DIRECTION('ref_axis',(1.,0.,0.)); #380325=DIRECTION('',(1.,0.,0.)); #380326=DIRECTION('',(1.,0.,0.)); #380327=DIRECTION('',(0.,0.,1.)); #380328=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380329=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380330=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380331=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380332=DIRECTION('',(0.,0.,1.)); #380333=DIRECTION('center_axis',(1.,0.,0.)); #380334=DIRECTION('ref_axis',(0.,1.,0.)); #380335=DIRECTION('',(0.,1.,0.)); #380336=DIRECTION('',(0.,1.,0.)); #380337=DIRECTION('',(0.,0.,1.)); #380338=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380339=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380340=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380341=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380342=DIRECTION('',(0.,0.,1.)); #380343=DIRECTION('center_axis',(0.,1.,0.)); #380344=DIRECTION('ref_axis',(-1.,0.,0.)); #380345=DIRECTION('',(-1.,0.,0.)); #380346=DIRECTION('',(-1.,0.,0.)); #380347=DIRECTION('',(0.,0.,1.)); #380348=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #380349=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #380350=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380351=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380352=DIRECTION('',(0.,0.,1.)); #380353=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #380354=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #380355=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380356=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380357=DIRECTION('',(0.,0.,1.)); #380358=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380359=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380360=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #380361=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #380362=DIRECTION('',(0.,0.,1.)); #380363=DIRECTION('center_axis',(0.,1.,0.)); #380364=DIRECTION('ref_axis',(-1.,0.,0.)); #380365=DIRECTION('',(-1.,0.,0.)); #380366=DIRECTION('',(-1.,0.,0.)); #380367=DIRECTION('',(0.,0.,1.)); #380368=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #380369=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #380370=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #380371=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #380372=DIRECTION('',(0.,0.,1.)); #380373=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #380374=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #380375=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #380376=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #380377=DIRECTION('',(0.,0.,1.)); #380378=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #380379=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #380380=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #380381=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #380382=DIRECTION('',(0.,0.,1.)); #380383=DIRECTION('center_axis',(0.829203833807388,0.558946331948899,0.)); #380384=DIRECTION('ref_axis',(-0.558946331948899,0.829203833807388,0.)); #380385=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #380386=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #380387=DIRECTION('',(0.,0.,1.)); #380388=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #380389=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #380390=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380391=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380392=DIRECTION('',(0.,0.,1.)); #380393=DIRECTION('center_axis',(1.,0.,0.)); #380394=DIRECTION('ref_axis',(0.,1.,0.)); #380395=DIRECTION('',(0.,1.,0.)); #380396=DIRECTION('',(0.,1.,0.)); #380397=DIRECTION('',(0.,0.,1.)); #380398=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #380399=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #380400=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #380401=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #380402=DIRECTION('',(0.,0.,1.)); #380403=DIRECTION('center_axis',(0.842362410729351,-0.538911466745918,0.)); #380404=DIRECTION('ref_axis',(0.538911466745918,0.842362410729351,0.)); #380405=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #380406=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #380407=DIRECTION('',(0.,0.,1.)); #380408=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #380409=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #380410=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #380411=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #380412=DIRECTION('',(0.,0.,1.)); #380413=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #380414=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #380415=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380416=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380417=DIRECTION('',(0.,0.,1.)); #380418=DIRECTION('center_axis',(0.,-1.,0.)); #380419=DIRECTION('ref_axis',(1.,0.,0.)); #380420=DIRECTION('',(1.,0.,0.)); #380421=DIRECTION('',(1.,0.,0.)); #380422=DIRECTION('',(0.,0.,1.)); #380423=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #380424=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #380425=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #380426=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #380427=DIRECTION('',(0.,0.,1.)); #380428=DIRECTION('center_axis',(1.,0.,0.)); #380429=DIRECTION('ref_axis',(0.,1.,0.)); #380430=DIRECTION('',(0.,1.,0.)); #380431=DIRECTION('',(0.,1.,0.)); #380432=DIRECTION('',(0.,0.,1.)); #380433=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #380434=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #380435=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380436=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380437=DIRECTION('',(0.,0.,1.)); #380438=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #380439=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #380440=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380441=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380442=DIRECTION('',(0.,0.,1.)); #380443=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #380444=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #380445=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #380446=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #380447=DIRECTION('',(0.,0.,1.)); #380448=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #380449=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #380450=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380451=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380452=DIRECTION('',(0.,0.,1.)); #380453=DIRECTION('center_axis',(0.,-1.,0.)); #380454=DIRECTION('ref_axis',(1.,0.,0.)); #380455=DIRECTION('',(1.,0.,0.)); #380456=DIRECTION('',(1.,0.,0.)); #380457=DIRECTION('',(0.,0.,1.)); #380458=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #380459=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #380460=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #380461=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #380462=DIRECTION('',(0.,0.,1.)); #380463=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #380464=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #380465=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #380466=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #380467=DIRECTION('',(0.,0.,1.)); #380468=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #380469=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #380470=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #380471=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #380472=DIRECTION('',(0.,0.,1.)); #380473=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #380474=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #380475=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #380476=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #380477=DIRECTION('',(0.,0.,1.)); #380478=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #380479=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #380480=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #380481=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #380482=DIRECTION('',(0.,0.,1.)); #380483=DIRECTION('center_axis',(-1.,0.,0.)); #380484=DIRECTION('ref_axis',(0.,-1.,0.)); #380485=DIRECTION('',(0.,-1.,0.)); #380486=DIRECTION('',(0.,-1.,0.)); #380487=DIRECTION('',(0.,0.,1.)); #380488=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #380489=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #380490=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #380491=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #380492=DIRECTION('',(0.,0.,1.)); #380493=DIRECTION('center_axis',(0.,-1.,0.)); #380494=DIRECTION('ref_axis',(1.,0.,0.)); #380495=DIRECTION('',(1.,0.,0.)); #380496=DIRECTION('',(1.,0.,0.)); #380497=DIRECTION('',(0.,0.,1.)); #380498=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #380499=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #380500=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #380501=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #380502=DIRECTION('',(0.,0.,1.)); #380503=DIRECTION('center_axis',(1.,0.,0.)); #380504=DIRECTION('ref_axis',(0.,1.,0.)); #380505=DIRECTION('',(0.,1.,0.)); #380506=DIRECTION('',(0.,1.,0.)); #380507=DIRECTION('',(0.,0.,1.)); #380508=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380509=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380510=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380511=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380512=DIRECTION('',(0.,0.,1.)); #380513=DIRECTION('center_axis',(0.,1.,0.)); #380514=DIRECTION('ref_axis',(-1.,0.,0.)); #380515=DIRECTION('',(-1.,0.,0.)); #380516=DIRECTION('',(-1.,0.,0.)); #380517=DIRECTION('',(0.,0.,1.)); #380518=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #380519=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #380520=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380521=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380522=DIRECTION('',(0.,0.,1.)); #380523=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #380524=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #380525=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380526=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380527=DIRECTION('',(0.,0.,1.)); #380528=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #380529=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #380530=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #380531=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #380532=DIRECTION('',(0.,0.,1.)); #380533=DIRECTION('center_axis',(0.,1.,0.)); #380534=DIRECTION('ref_axis',(-1.,0.,0.)); #380535=DIRECTION('',(-1.,0.,0.)); #380536=DIRECTION('',(-1.,0.,0.)); #380537=DIRECTION('',(0.,0.,1.)); #380538=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #380539=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #380540=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #380541=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #380542=DIRECTION('',(0.,0.,1.)); #380543=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #380544=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #380545=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #380546=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #380547=DIRECTION('',(0.,0.,1.)); #380548=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #380549=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #380550=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #380551=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #380552=DIRECTION('',(0.,0.,1.)); #380553=DIRECTION('center_axis',(0.,1.,0.)); #380554=DIRECTION('ref_axis',(-1.,0.,0.)); #380555=DIRECTION('',(-1.,0.,0.)); #380556=DIRECTION('',(-1.,0.,0.)); #380557=DIRECTION('',(0.,0.,1.)); #380558=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #380559=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #380560=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #380561=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #380562=DIRECTION('',(0.,0.,1.)); #380563=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #380564=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #380565=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #380566=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #380567=DIRECTION('',(0.,0.,1.)); #380568=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #380569=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #380570=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #380571=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #380572=DIRECTION('',(0.,0.,1.)); #380573=DIRECTION('center_axis',(0.,1.,0.)); #380574=DIRECTION('ref_axis',(-1.,0.,0.)); #380575=DIRECTION('',(-1.,0.,0.)); #380576=DIRECTION('',(-1.,0.,0.)); #380577=DIRECTION('',(0.,0.,1.)); #380578=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #380579=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #380580=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #380581=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #380582=DIRECTION('',(0.,0.,1.)); #380583=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #380584=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #380585=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #380586=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #380587=DIRECTION('',(0.,0.,1.)); #380588=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #380589=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #380590=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #380591=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #380592=DIRECTION('',(0.,0.,1.)); #380593=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #380594=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #380595=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #380596=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #380597=DIRECTION('',(0.,0.,1.)); #380598=DIRECTION('center_axis',(1.,0.,0.)); #380599=DIRECTION('ref_axis',(0.,1.,0.)); #380600=DIRECTION('',(0.,1.,0.)); #380601=DIRECTION('',(0.,1.,0.)); #380602=DIRECTION('',(0.,0.,1.)); #380603=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #380604=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #380605=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380606=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380607=DIRECTION('',(0.,0.,1.)); #380608=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #380609=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #380610=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #380611=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #380612=DIRECTION('',(0.,0.,1.)); #380613=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #380614=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #380615=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #380616=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #380617=DIRECTION('',(0.,0.,1.)); #380618=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #380619=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #380620=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380621=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380622=DIRECTION('',(0.,0.,1.)); #380623=DIRECTION('center_axis',(0.,-1.,0.)); #380624=DIRECTION('ref_axis',(1.,0.,0.)); #380625=DIRECTION('',(1.,0.,0.)); #380626=DIRECTION('',(1.,0.,0.)); #380627=DIRECTION('',(0.,0.,1.)); #380628=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380629=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380630=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380631=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380632=DIRECTION('',(0.,0.,1.)); #380633=DIRECTION('center_axis',(1.,0.,0.)); #380634=DIRECTION('ref_axis',(0.,1.,0.)); #380635=DIRECTION('',(0.,1.,0.)); #380636=DIRECTION('',(0.,1.,0.)); #380637=DIRECTION('',(0.,0.,1.)); #380638=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380639=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380640=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #380641=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #380642=DIRECTION('',(0.,0.,1.)); #380643=DIRECTION('center_axis',(0.,1.,0.)); #380644=DIRECTION('ref_axis',(-1.,0.,0.)); #380645=DIRECTION('',(-1.,0.,0.)); #380646=DIRECTION('',(-1.,0.,0.)); #380647=DIRECTION('',(0.,0.,1.)); #380648=DIRECTION('center_axis',(-0.707105131259446,0.7071084311098,0.)); #380649=DIRECTION('ref_axis',(-0.7071084311098,-0.707105131259446,0.)); #380650=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #380651=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #380652=DIRECTION('',(0.,0.,1.)); #380653=DIRECTION('center_axis',(-0.572058771549303,0.820212632122612,0.)); #380654=DIRECTION('ref_axis',(-0.820212632122612,-0.572058771549303,0.)); #380655=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #380656=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #380657=DIRECTION('',(0.,0.,1.)); #380658=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #380659=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #380660=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #380661=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #380662=DIRECTION('',(0.,0.,1.)); #380663=DIRECTION('center_axis',(0.16767593490806,0.985842168327521,0.)); #380664=DIRECTION('ref_axis',(-0.985842168327521,0.16767593490806,0.)); #380665=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #380666=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #380667=DIRECTION('',(0.,0.,1.)); #380668=DIRECTION('center_axis',(0.538888069291876,0.84237737907358,0.)); #380669=DIRECTION('ref_axis',(-0.84237737907358,0.538888069291876,0.)); #380670=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #380671=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #380672=DIRECTION('',(0.,0.,1.)); #380673=DIRECTION('center_axis',(0.824756093515062,0.56548862606579,0.)); #380674=DIRECTION('ref_axis',(-0.56548862606579,0.824756093515062,0.)); #380675=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #380676=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #380677=DIRECTION('',(0.,0.,1.)); #380678=DIRECTION('center_axis',(0.980008419561321,0.198956019232697,0.)); #380679=DIRECTION('ref_axis',(-0.198956019232697,0.980008419561321,0.)); #380680=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #380681=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #380682=DIRECTION('',(0.,0.,1.)); #380683=DIRECTION('center_axis',(1.,0.,0.)); #380684=DIRECTION('ref_axis',(0.,1.,0.)); #380685=DIRECTION('',(0.,1.,0.)); #380686=DIRECTION('',(0.,1.,0.)); #380687=DIRECTION('',(0.,0.,1.)); #380688=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #380689=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #380690=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #380691=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #380692=DIRECTION('',(0.,0.,1.)); #380693=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #380694=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #380695=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380696=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380697=DIRECTION('',(0.,0.,1.)); #380698=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #380699=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #380700=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #380701=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #380702=DIRECTION('',(0.,0.,1.)); #380703=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #380704=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #380705=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #380706=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #380707=DIRECTION('',(0.,0.,1.)); #380708=DIRECTION('center_axis',(0.,-1.,0.)); #380709=DIRECTION('ref_axis',(1.,0.,0.)); #380710=DIRECTION('',(1.,0.,0.)); #380711=DIRECTION('',(1.,0.,0.)); #380712=DIRECTION('',(0.,0.,1.)); #380713=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #380714=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #380715=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #380716=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #380717=DIRECTION('',(0.,0.,1.)); #380718=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #380719=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #380720=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #380721=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #380722=DIRECTION('',(0.,0.,1.)); #380723=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #380724=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #380725=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #380726=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #380727=DIRECTION('',(0.,0.,1.)); #380728=DIRECTION('center_axis',(-0.98000382879404,-0.198978630885383,0.)); #380729=DIRECTION('ref_axis',(0.198978630885383,-0.98000382879404,0.)); #380730=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #380731=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #380732=DIRECTION('',(0.,0.,1.)); #380733=DIRECTION('center_axis',(-0.707105370129516,-0.707108192240763, 0.)); #380734=DIRECTION('ref_axis',(0.707108192240763,-0.707105370129516,0.)); #380735=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #380736=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #380737=DIRECTION('',(0.,0.,1.)); #380738=DIRECTION('center_axis',(0.,-1.,0.)); #380739=DIRECTION('ref_axis',(1.,0.,0.)); #380740=DIRECTION('',(1.,0.,0.)); #380741=DIRECTION('',(1.,0.,0.)); #380742=DIRECTION('',(0.,0.,1.)); #380743=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #380744=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #380745=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #380746=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #380747=DIRECTION('',(0.,0.,1.)); #380748=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #380749=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #380750=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #380751=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #380752=DIRECTION('',(0.,0.,1.)); #380753=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #380754=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #380755=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380756=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380757=DIRECTION('',(0.,0.,1.)); #380758=DIRECTION('center_axis',(0.,-1.,0.)); #380759=DIRECTION('ref_axis',(1.,0.,0.)); #380760=DIRECTION('',(1.,0.,0.)); #380761=DIRECTION('',(1.,0.,0.)); #380762=DIRECTION('',(0.,0.,1.)); #380763=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #380764=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #380765=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #380766=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #380767=DIRECTION('',(0.,0.,1.)); #380768=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #380769=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #380770=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #380771=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #380772=DIRECTION('',(0.,0.,1.)); #380773=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380774=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380775=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380776=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380777=DIRECTION('',(0.,0.,1.)); #380778=DIRECTION('center_axis',(-0.829214556504279,-0.558930424365512, 0.)); #380779=DIRECTION('ref_axis',(0.558930424365512,-0.829214556504279,0.)); #380780=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #380781=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #380782=DIRECTION('',(0.,0.,1.)); #380783=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #380784=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #380785=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380786=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380787=DIRECTION('',(0.,0.,1.)); #380788=DIRECTION('center_axis',(-1.,0.,0.)); #380789=DIRECTION('ref_axis',(0.,-1.,0.)); #380790=DIRECTION('',(0.,-1.,0.)); #380791=DIRECTION('',(0.,-1.,0.)); #380792=DIRECTION('',(0.,0.,1.)); #380793=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #380794=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #380795=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #380796=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #380797=DIRECTION('',(0.,0.,1.)); #380798=DIRECTION('center_axis',(0.,-1.,0.)); #380799=DIRECTION('ref_axis',(1.,0.,0.)); #380800=DIRECTION('',(1.,0.,0.)); #380801=DIRECTION('',(1.,0.,0.)); #380802=DIRECTION('',(0.,0.,1.)); #380803=DIRECTION('center_axis',(0.707106781186521,-0.707106781186574,0.)); #380804=DIRECTION('ref_axis',(0.707106781186574,0.707106781186521,0.)); #380805=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #380806=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #380807=DIRECTION('',(0.,0.,1.)); #380808=DIRECTION('center_axis',(1.,0.,0.)); #380809=DIRECTION('ref_axis',(0.,1.,0.)); #380810=DIRECTION('',(0.,1.,0.)); #380811=DIRECTION('',(0.,1.,0.)); #380812=DIRECTION('',(0.,0.,1.)); #380813=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #380814=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #380815=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380816=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380817=DIRECTION('',(0.,0.,1.)); #380818=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #380819=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #380820=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380821=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #380822=DIRECTION('',(0.,0.,1.)); #380823=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #380824=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #380825=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #380826=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #380827=DIRECTION('',(0.,0.,1.)); #380828=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #380829=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #380830=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380831=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #380832=DIRECTION('',(0.,0.,1.)); #380833=DIRECTION('center_axis',(0.,-1.,0.)); #380834=DIRECTION('ref_axis',(1.,0.,0.)); #380835=DIRECTION('',(1.,0.,0.)); #380836=DIRECTION('',(1.,0.,0.)); #380837=DIRECTION('',(0.,0.,1.)); #380838=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #380839=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #380840=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #380841=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #380842=DIRECTION('',(0.,0.,1.)); #380843=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #380844=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #380845=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #380846=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #380847=DIRECTION('',(0.,0.,1.)); #380848=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #380849=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #380850=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #380851=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #380852=DIRECTION('',(0.,0.,1.)); #380853=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #380854=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #380855=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #380856=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #380857=DIRECTION('',(0.,0.,1.)); #380858=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #380859=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #380860=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #380861=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #380862=DIRECTION('',(0.,0.,1.)); #380863=DIRECTION('center_axis',(-1.,0.,0.)); #380864=DIRECTION('ref_axis',(0.,-1.,0.)); #380865=DIRECTION('',(0.,-1.,0.)); #380866=DIRECTION('',(0.,-1.,0.)); #380867=DIRECTION('',(0.,0.,1.)); #380868=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #380869=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #380870=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #380871=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #380872=DIRECTION('',(0.,0.,1.)); #380873=DIRECTION('center_axis',(0.,-1.,0.)); #380874=DIRECTION('ref_axis',(1.,0.,0.)); #380875=DIRECTION('',(1.,0.,0.)); #380876=DIRECTION('',(1.,0.,0.)); #380877=DIRECTION('',(0.,0.,1.)); #380878=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #380879=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #380880=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #380881=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #380882=DIRECTION('',(0.,0.,1.)); #380883=DIRECTION('center_axis',(1.,0.,0.)); #380884=DIRECTION('ref_axis',(0.,1.,0.)); #380885=DIRECTION('',(0.,1.,0.)); #380886=DIRECTION('',(0.,1.,0.)); #380887=DIRECTION('',(0.,0.,1.)); #380888=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #380889=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #380890=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #380891=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #380892=DIRECTION('',(0.,0.,1.)); #380893=DIRECTION('center_axis',(0.,1.,0.)); #380894=DIRECTION('ref_axis',(-1.,0.,0.)); #380895=DIRECTION('',(-1.,0.,0.)); #380896=DIRECTION('',(-1.,0.,0.)); #380897=DIRECTION('',(0.,0.,1.)); #380898=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #380899=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #380900=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #380901=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #380902=DIRECTION('',(0.,0.,1.)); #380903=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #380904=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #380905=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #380906=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #380907=DIRECTION('',(0.,0.,1.)); #380908=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #380909=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #380910=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #380911=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #380912=DIRECTION('',(0.,0.,1.)); #380913=DIRECTION('center_axis',(0.,1.,0.)); #380914=DIRECTION('ref_axis',(-1.,0.,0.)); #380915=DIRECTION('',(-1.,0.,0.)); #380916=DIRECTION('',(-1.,0.,0.)); #380917=DIRECTION('',(0.,0.,1.)); #380918=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #380919=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #380920=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #380921=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #380922=DIRECTION('',(0.,0.,1.)); #380923=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #380924=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #380925=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #380926=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #380927=DIRECTION('',(0.,0.,1.)); #380928=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #380929=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #380930=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #380931=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #380932=DIRECTION('',(0.,0.,1.)); #380933=DIRECTION('center_axis',(0.,1.,0.)); #380934=DIRECTION('ref_axis',(-1.,0.,0.)); #380935=DIRECTION('',(-1.,0.,0.)); #380936=DIRECTION('',(-1.,0.,0.)); #380937=DIRECTION('',(0.,0.,1.)); #380938=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #380939=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #380940=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #380941=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #380942=DIRECTION('',(0.,0.,1.)); #380943=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #380944=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #380945=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #380946=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #380947=DIRECTION('',(0.,0.,1.)); #380948=DIRECTION('center_axis',(0.824745549033943,0.565504004714997,0.)); #380949=DIRECTION('ref_axis',(-0.565504004714997,0.824745549033943,0.)); #380950=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #380951=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #380952=DIRECTION('',(0.,0.,1.)); #380953=DIRECTION('center_axis',(0.98000841956135,0.198956019232557,0.)); #380954=DIRECTION('ref_axis',(-0.198956019232557,0.98000841956135,0.)); #380955=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #380956=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #380957=DIRECTION('',(0.,0.,1.)); #380958=DIRECTION('center_axis',(1.,0.,0.)); #380959=DIRECTION('ref_axis',(0.,1.,0.)); #380960=DIRECTION('',(0.,1.,0.)); #380961=DIRECTION('',(0.,1.,0.)); #380962=DIRECTION('',(0.,0.,1.)); #380963=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #380964=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #380965=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #380966=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #380967=DIRECTION('',(0.,0.,1.)); #380968=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #380969=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #380970=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #380971=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #380972=DIRECTION('',(0.,0.,1.)); #380973=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #380974=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #380975=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #380976=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #380977=DIRECTION('',(0.,0.,1.)); #380978=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #380979=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #380980=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #380981=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #380982=DIRECTION('',(0.,0.,1.)); #380983=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #380984=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #380985=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #380986=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #380987=DIRECTION('',(0.,0.,1.)); #380988=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #380989=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #380990=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #380991=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #380992=DIRECTION('',(0.,0.,1.)); #380993=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #380994=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #380995=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #380996=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #380997=DIRECTION('',(0.,0.,1.)); #380998=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #380999=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #381000=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #381001=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #381002=DIRECTION('',(0.,0.,1.)); #381003=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #381004=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #381005=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #381006=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #381007=DIRECTION('',(0.,0.,1.)); #381008=DIRECTION('center_axis',(0.,1.,0.)); #381009=DIRECTION('ref_axis',(-1.,0.,0.)); #381010=DIRECTION('',(-1.,0.,0.)); #381011=DIRECTION('',(-1.,0.,0.)); #381012=DIRECTION('',(0.,0.,1.)); #381013=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #381014=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #381015=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #381016=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #381017=DIRECTION('',(0.,0.,1.)); #381018=DIRECTION('center_axis',(0.538904447640657,0.842366901245009,0.)); #381019=DIRECTION('ref_axis',(-0.842366901245009,0.538904447640657,0.)); #381020=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #381021=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #381022=DIRECTION('',(0.,0.,1.)); #381023=DIRECTION('center_axis',(0.824750821382105,0.565496315310316,0.)); #381024=DIRECTION('ref_axis',(-0.565496315310316,0.824750821382105,0.)); #381025=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #381026=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #381027=DIRECTION('',(0.,0.,1.)); #381028=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #381029=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #381030=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #381031=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #381032=DIRECTION('',(0.,0.,1.)); #381033=DIRECTION('center_axis',(1.,0.,0.)); #381034=DIRECTION('ref_axis',(0.,1.,0.)); #381035=DIRECTION('',(0.,1.,0.)); #381036=DIRECTION('',(0.,1.,0.)); #381037=DIRECTION('',(0.,0.,1.)); #381038=DIRECTION('center_axis',(0.983055675573396,-0.183307224961088,0.)); #381039=DIRECTION('ref_axis',(0.183307224961088,0.983055675573396,0.)); #381040=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #381041=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #381042=DIRECTION('',(0.,0.,1.)); #381043=DIRECTION('center_axis',(0.842361453103755,-0.538912963589605,0.)); #381044=DIRECTION('ref_axis',(0.538912963589605,0.842361453103755,0.)); #381045=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #381046=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #381047=DIRECTION('',(0.,0.,1.)); #381048=DIRECTION('center_axis',(0.565504004715056,-0.824745549033903,0.)); #381049=DIRECTION('ref_axis',(0.824745549033903,0.565504004715056,0.)); #381050=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #381051=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #381052=DIRECTION('',(0.,0.,1.)); #381053=DIRECTION('center_axis',(0.198968686113119,-0.980005847914398,0.)); #381054=DIRECTION('ref_axis',(0.980005847914398,0.198968686113119,0.)); #381055=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #381056=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #381057=DIRECTION('',(0.,0.,1.)); #381058=DIRECTION('center_axis',(0.,-1.,0.)); #381059=DIRECTION('ref_axis',(1.,0.,0.)); #381060=DIRECTION('',(1.,0.,0.)); #381061=DIRECTION('',(1.,0.,0.)); #381062=DIRECTION('',(0.,0.,1.)); #381063=DIRECTION('center_axis',(0.38268403024089,-0.923879284862795,0.)); #381064=DIRECTION('ref_axis',(0.923879284862795,0.38268403024089,0.)); #381065=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #381066=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #381067=DIRECTION('',(0.,0.,1.)); #381068=DIRECTION('center_axis',(0.707105976813804,-0.707107585558376,0.)); #381069=DIRECTION('ref_axis',(0.707107585558376,0.707105976813804,0.)); #381070=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #381071=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #381072=DIRECTION('',(0.,0.,1.)); #381073=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #381074=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #381075=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #381076=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #381077=DIRECTION('',(0.,0.,1.)); #381078=DIRECTION('center_axis',(1.,0.,0.)); #381079=DIRECTION('ref_axis',(0.,1.,0.)); #381080=DIRECTION('',(0.,1.,0.)); #381081=DIRECTION('',(0.,1.,0.)); #381082=DIRECTION('',(0.,0.,1.)); #381083=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #381084=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #381085=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #381086=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #381087=DIRECTION('',(0.,0.,1.)); #381088=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #381089=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #381090=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #381091=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #381092=DIRECTION('',(0.,0.,1.)); #381093=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #381094=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #381095=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #381096=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #381097=DIRECTION('',(0.,0.,1.)); #381098=DIRECTION('center_axis',(0.,1.,0.)); #381099=DIRECTION('ref_axis',(-1.,0.,0.)); #381100=DIRECTION('',(-1.,0.,0.)); #381101=DIRECTION('',(-1.,0.,0.)); #381102=DIRECTION('',(0.,0.,1.)); #381103=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #381104=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #381105=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #381106=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #381107=DIRECTION('',(0.,0.,1.)); #381108=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #381109=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #381110=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #381111=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #381112=DIRECTION('',(0.,0.,1.)); #381113=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #381114=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #381115=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #381116=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #381117=DIRECTION('',(0.,0.,1.)); #381118=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #381119=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #381120=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #381121=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #381122=DIRECTION('',(0.,0.,1.)); #381123=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #381124=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #381125=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #381126=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #381127=DIRECTION('',(0.,0.,1.)); #381128=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #381129=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #381130=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #381131=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #381132=DIRECTION('',(0.,0.,1.)); #381133=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #381134=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #381135=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #381136=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #381137=DIRECTION('',(0.,0.,1.)); #381138=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #381139=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #381140=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #381141=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #381142=DIRECTION('',(0.,0.,1.)); #381143=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #381144=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #381145=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #381146=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #381147=DIRECTION('center_axis',(0.,1.,0.)); #381148=DIRECTION('ref_axis',(-1.,0.,0.)); #381149=DIRECTION('',(-1.,0.,0.)); #381150=DIRECTION('',(0.,0.,1.)); #381151=DIRECTION('',(-1.,0.,0.)); #381152=DIRECTION('',(0.,0.,1.)); #381153=DIRECTION('center_axis',(-0.707104327390314,0.707109234974266,0.)); #381154=DIRECTION('ref_axis',(-0.707109234974266,-0.707104327390314,0.)); #381155=DIRECTION('',(-0.707109234974266,-0.707104327390314,0.)); #381156=DIRECTION('',(-0.707109234974266,-0.707104327390314,0.)); #381157=DIRECTION('',(0.,0.,1.)); #381158=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #381159=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #381160=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #381161=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #381162=DIRECTION('',(0.,0.,1.)); #381163=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #381164=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #381165=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #381166=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #381167=DIRECTION('',(0.,0.,1.)); #381168=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #381169=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #381170=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #381171=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #381172=DIRECTION('',(0.,0.,1.)); #381173=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #381174=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #381175=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #381176=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #381177=DIRECTION('',(0.,0.,1.)); #381178=DIRECTION('center_axis',(0.707104327390325,0.707109234974255,0.)); #381179=DIRECTION('ref_axis',(-0.707109234974255,0.707104327390324,0.)); #381180=DIRECTION('',(-0.707109234974255,0.707104327390324,0.)); #381181=DIRECTION('',(-0.707109234974255,0.707104327390324,0.)); #381182=DIRECTION('',(0.,0.,1.)); #381183=DIRECTION('center_axis',(0.,1.,0.)); #381184=DIRECTION('ref_axis',(-1.,0.,0.)); #381185=DIRECTION('',(-1.,0.,0.)); #381186=DIRECTION('',(-1.,0.,0.)); #381187=DIRECTION('',(0.,0.,1.)); #381188=DIRECTION('center_axis',(-0.707104327390314,0.707109234974266,0.)); #381189=DIRECTION('ref_axis',(-0.707109234974266,-0.707104327390314,0.)); #381190=DIRECTION('',(-0.707109234974266,-0.707104327390314,0.)); #381191=DIRECTION('',(-0.707109234974266,-0.707104327390314,0.)); #381192=DIRECTION('',(0.,0.,1.)); #381193=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #381194=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #381195=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #381196=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #381197=DIRECTION('',(0.,0.,1.)); #381198=DIRECTION('center_axis',(-0.21467431451647,0.976685690837581,0.)); #381199=DIRECTION('ref_axis',(-0.976685690837581,-0.21467431451647,0.)); #381200=DIRECTION('',(-0.976685690837581,-0.21467431451647,0.)); #381201=DIRECTION('',(-0.976685690837581,-0.21467431451647,0.)); #381202=DIRECTION('',(0.,0.,1.)); #381203=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #381204=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #381205=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #381206=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #381207=DIRECTION('',(0.,0.,1.)); #381208=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #381209=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #381210=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #381211=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #381212=DIRECTION('',(0.,0.,1.)); #381213=DIRECTION('center_axis',(0.707104327390314,0.707109234974266,0.)); #381214=DIRECTION('ref_axis',(-0.707109234974266,0.707104327390314,0.)); #381215=DIRECTION('',(-0.707109234974266,0.707104327390314,0.)); #381216=DIRECTION('',(-0.707109234974266,0.707104327390314,0.)); #381217=DIRECTION('',(0.,0.,1.)); #381218=DIRECTION('center_axis',(0.,1.,0.)); #381219=DIRECTION('ref_axis',(-1.,0.,0.)); #381220=DIRECTION('',(-1.,0.,0.)); #381221=DIRECTION('',(-1.,0.,0.)); #381222=DIRECTION('',(0.,0.,1.)); #381223=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #381224=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #381225=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #381226=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #381227=DIRECTION('',(0.,0.,1.)); #381228=DIRECTION('center_axis',(-1.,0.,0.)); #381229=DIRECTION('ref_axis',(0.,-1.,0.)); #381230=DIRECTION('',(0.,-1.,0.)); #381231=DIRECTION('',(0.,-1.,0.)); #381232=DIRECTION('',(0.,0.,1.)); #381233=DIRECTION('center_axis',(-0.707108784529064,-0.707104777838355, 0.)); #381234=DIRECTION('ref_axis',(0.707104777838355,-0.707108784529064,0.)); #381235=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #381236=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #381237=DIRECTION('',(0.,0.,1.)); #381238=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #381239=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #381240=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381241=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381242=DIRECTION('',(0.,0.,1.)); #381243=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #381244=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #381245=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #381246=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #381247=DIRECTION('',(0.,0.,1.)); #381248=DIRECTION('center_axis',(-1.,0.,0.)); #381249=DIRECTION('ref_axis',(0.,-1.,0.)); #381250=DIRECTION('',(0.,-1.,0.)); #381251=DIRECTION('',(0.,-1.,0.)); #381252=DIRECTION('',(0.,0.,1.)); #381253=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #381254=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #381255=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #381256=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #381257=DIRECTION('',(0.,0.,1.)); #381258=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #381259=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #381260=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381261=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381262=DIRECTION('',(0.,0.,1.)); #381263=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #381264=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #381265=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381266=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381267=DIRECTION('',(0.,0.,1.)); #381268=DIRECTION('center_axis',(-1.,0.,0.)); #381269=DIRECTION('ref_axis',(0.,-1.,0.)); #381270=DIRECTION('',(0.,-1.,0.)); #381271=DIRECTION('',(0.,-1.,0.)); #381272=DIRECTION('',(0.,0.,1.)); #381273=DIRECTION('center_axis',(-0.981560865252906,0.191149856928974,0.)); #381274=DIRECTION('ref_axis',(-0.191149856928974,-0.981560865252906,0.)); #381275=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #381276=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #381277=DIRECTION('',(0.,0.,1.)); #381278=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #381279=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #381280=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #381281=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #381282=DIRECTION('',(0.,0.,1.)); #381283=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #381284=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #381285=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #381286=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #381287=DIRECTION('',(0.,0.,1.)); #381288=DIRECTION('center_axis',(-0.558946331949144,0.829203833807223,0.)); #381289=DIRECTION('ref_axis',(-0.829203833807223,-0.558946331949144,0.)); #381290=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #381291=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #381292=DIRECTION('',(0.,0.,1.)); #381293=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #381294=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #381295=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #381296=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #381297=DIRECTION('',(0.,0.,1.)); #381298=DIRECTION('center_axis',(0.,1.,0.)); #381299=DIRECTION('ref_axis',(-1.,0.,0.)); #381300=DIRECTION('',(-1.,0.,0.)); #381301=DIRECTION('',(-1.,0.,0.)); #381302=DIRECTION('',(0.,0.,1.)); #381303=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #381304=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #381305=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #381306=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #381307=DIRECTION('',(0.,0.,1.)); #381308=DIRECTION('center_axis',(-0.558946331948935,0.829203833807364,0.)); #381309=DIRECTION('ref_axis',(-0.829203833807364,-0.558946331948935,0.)); #381310=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #381311=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #381312=DIRECTION('',(0.,0.,1.)); #381313=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #381314=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #381315=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #381316=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #381317=DIRECTION('',(0.,0.,1.)); #381318=DIRECTION('center_axis',(0.,1.,0.)); #381319=DIRECTION('ref_axis',(-1.,0.,0.)); #381320=DIRECTION('',(-1.,0.,0.)); #381321=DIRECTION('',(-1.,0.,0.)); #381322=DIRECTION('',(0.,0.,1.)); #381323=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #381324=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #381325=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #381326=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #381327=DIRECTION('',(0.,0.,1.)); #381328=DIRECTION('center_axis',(-1.,0.,0.)); #381329=DIRECTION('ref_axis',(0.,-1.,0.)); #381330=DIRECTION('',(0.,-1.,0.)); #381331=DIRECTION('',(0.,-1.,0.)); #381332=DIRECTION('',(0.,0.,1.)); #381333=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #381334=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #381335=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381336=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381337=DIRECTION('',(0.,0.,1.)); #381338=DIRECTION('center_axis',(0.,-1.,0.)); #381339=DIRECTION('ref_axis',(1.,0.,0.)); #381340=DIRECTION('',(1.,0.,0.)); #381341=DIRECTION('',(1.,0.,0.)); #381342=DIRECTION('',(0.,0.,1.)); #381343=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #381344=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #381345=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381346=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381347=DIRECTION('',(0.,0.,1.)); #381348=DIRECTION('center_axis',(1.,0.,0.)); #381349=DIRECTION('ref_axis',(0.,1.,0.)); #381350=DIRECTION('',(0.,1.,0.)); #381351=DIRECTION('',(0.,1.,0.)); #381352=DIRECTION('',(0.,0.,1.)); #381353=DIRECTION('center_axis',(0.981560865252906,-0.191149856928974,0.)); #381354=DIRECTION('ref_axis',(0.191149856928974,0.981560865252906,0.)); #381355=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #381356=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #381357=DIRECTION('',(0.,0.,1.)); #381358=DIRECTION('center_axis',(0.83365985974989,-0.55227822539169,0.)); #381359=DIRECTION('ref_axis',(0.55227822539169,0.83365985974989,0.)); #381360=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #381361=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #381362=DIRECTION('',(0.,0.,1.)); #381363=DIRECTION('center_axis',(0.707106781186534,-0.707106781186561,0.)); #381364=DIRECTION('ref_axis',(0.707106781186561,0.707106781186534,0.)); #381365=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #381366=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #381367=DIRECTION('',(0.,0.,1.)); #381368=DIRECTION('center_axis',(0.558946331949074,-0.829203833807271,0.)); #381369=DIRECTION('ref_axis',(0.829203833807271,0.558946331949074,0.)); #381370=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #381371=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #381372=DIRECTION('',(0.,0.,1.)); #381373=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #381374=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #381375=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381376=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381377=DIRECTION('',(0.,0.,1.)); #381378=DIRECTION('center_axis',(0.,-1.,0.)); #381379=DIRECTION('ref_axis',(1.,0.,0.)); #381380=DIRECTION('',(1.,0.,0.)); #381381=DIRECTION('',(1.,0.,0.)); #381382=DIRECTION('',(0.,0.,1.)); #381383=DIRECTION('center_axis',(-0.18330529025708,-0.983056036329449,0.)); #381384=DIRECTION('ref_axis',(0.983056036329449,-0.18330529025708,0.)); #381385=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #381386=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #381387=DIRECTION('',(0.,0.,1.)); #381388=DIRECTION('center_axis',(-0.538911466746188,-0.842362410729178, 0.)); #381389=DIRECTION('ref_axis',(0.842362410729178,-0.538911466746188,0.)); #381390=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #381391=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #381392=DIRECTION('',(0.,0.,1.)); #381393=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #381394=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #381395=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #381396=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #381397=DIRECTION('',(0.,0.,1.)); #381398=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #381399=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #381400=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #381401=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #381402=DIRECTION('',(0.,0.,1.)); #381403=DIRECTION('center_axis',(-1.,0.,0.)); #381404=DIRECTION('ref_axis',(0.,-1.,0.)); #381405=DIRECTION('',(0.,-1.,0.)); #381406=DIRECTION('',(0.,-1.,0.)); #381407=DIRECTION('',(0.,0.,1.)); #381408=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381409=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381410=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381411=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381412=DIRECTION('',(0.,0.,1.)); #381413=DIRECTION('center_axis',(0.,-1.,0.)); #381414=DIRECTION('ref_axis',(1.,0.,0.)); #381415=DIRECTION('',(1.,0.,0.)); #381416=DIRECTION('',(1.,0.,0.)); #381417=DIRECTION('',(0.,0.,1.)); #381418=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #381419=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #381420=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381421=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381422=DIRECTION('',(0.,0.,1.)); #381423=DIRECTION('center_axis',(1.,0.,0.)); #381424=DIRECTION('ref_axis',(0.,1.,0.)); #381425=DIRECTION('',(0.,1.,0.)); #381426=DIRECTION('',(0.,1.,0.)); #381427=DIRECTION('',(0.,0.,1.)); #381428=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #381429=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #381430=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #381431=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #381432=DIRECTION('',(0.,0.,1.)); #381433=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #381434=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #381435=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #381436=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #381437=DIRECTION('',(0.,0.,1.)); #381438=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #381439=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #381440=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #381441=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #381442=DIRECTION('',(0.,0.,1.)); #381443=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #381444=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #381445=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #381446=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #381447=DIRECTION('',(0.,0.,1.)); #381448=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #381449=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #381450=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #381451=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #381452=DIRECTION('',(0.,0.,1.)); #381453=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #381454=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #381455=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381456=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381457=DIRECTION('',(0.,0.,1.)); #381458=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #381459=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #381460=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #381461=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #381462=DIRECTION('',(0.,0.,1.)); #381463=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #381464=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #381465=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381466=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #381467=DIRECTION('',(0.,0.,1.)); #381468=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #381469=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #381470=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #381471=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #381472=DIRECTION('',(0.,0.,1.)); #381473=DIRECTION('center_axis',(-1.,0.,0.)); #381474=DIRECTION('ref_axis',(0.,-1.,0.)); #381475=DIRECTION('',(0.,-1.,0.)); #381476=DIRECTION('',(0.,-1.,0.)); #381477=DIRECTION('',(0.,0.,1.)); #381478=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381479=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381480=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381481=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381482=DIRECTION('',(0.,0.,1.)); #381483=DIRECTION('center_axis',(0.,-1.,0.)); #381484=DIRECTION('ref_axis',(1.,0.,0.)); #381485=DIRECTION('',(1.,0.,0.)); #381486=DIRECTION('',(1.,0.,0.)); #381487=DIRECTION('',(0.,0.,1.)); #381488=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #381489=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #381490=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381491=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381492=DIRECTION('',(0.,0.,1.)); #381493=DIRECTION('center_axis',(1.,0.,0.)); #381494=DIRECTION('ref_axis',(0.,1.,0.)); #381495=DIRECTION('',(0.,1.,0.)); #381496=DIRECTION('',(0.,1.,0.)); #381497=DIRECTION('',(0.,0.,1.)); #381498=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #381499=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #381500=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #381501=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #381502=DIRECTION('',(0.,0.,1.)); #381503=DIRECTION('center_axis',(0.842362410729311,-0.53891146674598,0.)); #381504=DIRECTION('ref_axis',(0.53891146674598,0.842362410729311,0.)); #381505=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #381506=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #381507=DIRECTION('',(0.,0.,1.)); #381508=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #381509=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #381510=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #381511=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #381512=DIRECTION('',(0.,0.,1.)); #381513=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #381514=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #381515=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381516=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381517=DIRECTION('',(0.,0.,1.)); #381518=DIRECTION('center_axis',(0.,-1.,0.)); #381519=DIRECTION('ref_axis',(1.,0.,0.)); #381520=DIRECTION('',(1.,0.,0.)); #381521=DIRECTION('',(1.,0.,0.)); #381522=DIRECTION('',(0.,0.,1.)); #381523=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #381524=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #381525=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #381526=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #381527=DIRECTION('',(0.,0.,1.)); #381528=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #381529=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #381530=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #381531=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #381532=DIRECTION('',(0.,0.,1.)); #381533=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #381534=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #381535=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #381536=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #381537=DIRECTION('',(0.,0.,1.)); #381538=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #381539=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #381540=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381541=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381542=DIRECTION('',(0.,0.,1.)); #381543=DIRECTION('center_axis',(-1.,0.,0.)); #381544=DIRECTION('ref_axis',(0.,-1.,0.)); #381545=DIRECTION('',(0.,-1.,0.)); #381546=DIRECTION('',(0.,-1.,0.)); #381547=DIRECTION('',(0.,0.,1.)); #381548=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #381549=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #381550=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381551=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381552=DIRECTION('',(0.,0.,1.)); #381553=DIRECTION('center_axis',(0.,-1.,0.)); #381554=DIRECTION('ref_axis',(1.,0.,0.)); #381555=DIRECTION('',(1.,0.,0.)); #381556=DIRECTION('',(1.,0.,0.)); #381557=DIRECTION('',(0.,0.,1.)); #381558=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381559=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381560=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381561=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381562=DIRECTION('',(0.,0.,1.)); #381563=DIRECTION('center_axis',(1.,0.,0.)); #381564=DIRECTION('ref_axis',(0.,1.,0.)); #381565=DIRECTION('',(0.,1.,0.)); #381566=DIRECTION('',(0.,1.,0.)); #381567=DIRECTION('',(0.,0.,1.)); #381568=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #381569=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #381570=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #381571=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #381572=DIRECTION('',(0.,0.,1.)); #381573=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #381574=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #381575=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #381576=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #381577=DIRECTION('',(0.,0.,1.)); #381578=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #381579=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #381580=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #381581=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #381582=DIRECTION('',(0.,0.,1.)); #381583=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #381584=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #381585=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381586=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #381587=DIRECTION('',(0.,0.,1.)); #381588=DIRECTION('center_axis',(-0.190847644948435,-0.981619669942303, 0.)); #381589=DIRECTION('ref_axis',(0.981619669942303,-0.190847644948435,0.)); #381590=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #381591=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #381592=DIRECTION('',(0.,0.,1.)); #381593=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #381594=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #381595=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381596=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381597=DIRECTION('',(0.,0.,1.)); #381598=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #381599=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #381600=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #381601=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #381602=DIRECTION('',(0.,0.,1.)); #381603=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #381604=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #381605=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #381606=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #381607=DIRECTION('',(0.,0.,1.)); #381608=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #381609=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #381610=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381611=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #381612=DIRECTION('',(0.,0.,1.)); #381613=DIRECTION('center_axis',(-1.,0.,0.)); #381614=DIRECTION('ref_axis',(0.,-1.,0.)); #381615=DIRECTION('',(0.,-1.,0.)); #381616=DIRECTION('',(0.,-1.,0.)); #381617=DIRECTION('',(0.,0.,1.)); #381618=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #381619=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #381620=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381621=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381622=DIRECTION('',(0.,0.,1.)); #381623=DIRECTION('center_axis',(0.,-1.,0.)); #381624=DIRECTION('ref_axis',(1.,0.,0.)); #381625=DIRECTION('',(1.,0.,0.)); #381626=DIRECTION('',(1.,0.,0.)); #381627=DIRECTION('',(0.,0.,1.)); #381628=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381629=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381630=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381631=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381632=DIRECTION('',(0.,0.,1.)); #381633=DIRECTION('center_axis',(1.,0.,0.)); #381634=DIRECTION('ref_axis',(0.,1.,0.)); #381635=DIRECTION('',(0.,1.,0.)); #381636=DIRECTION('',(0.,1.,0.)); #381637=DIRECTION('',(0.,0.,1.)); #381638=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381639=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381640=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381641=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381642=DIRECTION('',(0.,0.,1.)); #381643=DIRECTION('center_axis',(0.,1.,0.)); #381644=DIRECTION('ref_axis',(-1.,0.,0.)); #381645=DIRECTION('',(-1.,0.,0.)); #381646=DIRECTION('',(-1.,0.,0.)); #381647=DIRECTION('',(0.,0.,1.)); #381648=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #381649=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #381650=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #381651=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #381652=DIRECTION('',(0.,0.,1.)); #381653=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #381654=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #381655=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #381656=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #381657=DIRECTION('',(0.,0.,1.)); #381658=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381659=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381660=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381661=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381662=DIRECTION('',(0.,0.,1.)); #381663=DIRECTION('center_axis',(0.,1.,0.)); #381664=DIRECTION('ref_axis',(-1.,0.,0.)); #381665=DIRECTION('',(-1.,0.,0.)); #381666=DIRECTION('',(-1.,0.,0.)); #381667=DIRECTION('',(0.,0.,1.)); #381668=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #381669=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #381670=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #381671=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #381672=DIRECTION('',(0.,0.,1.)); #381673=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #381674=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #381675=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #381676=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #381677=DIRECTION('',(0.,0.,1.)); #381678=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #381679=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #381680=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #381681=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #381682=DIRECTION('',(0.,0.,1.)); #381683=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #381684=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #381685=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #381686=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #381687=DIRECTION('',(0.,0.,1.)); #381688=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #381689=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #381690=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #381691=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #381692=DIRECTION('',(0.,0.,1.)); #381693=DIRECTION('center_axis',(1.,0.,0.)); #381694=DIRECTION('ref_axis',(0.,1.,0.)); #381695=DIRECTION('',(0.,1.,0.)); #381696=DIRECTION('',(0.,1.,0.)); #381697=DIRECTION('',(0.,0.,1.)); #381698=DIRECTION('center_axis',(0.981560865252906,-0.191149856928974,0.)); #381699=DIRECTION('ref_axis',(0.191149856928974,0.981560865252906,0.)); #381700=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #381701=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #381702=DIRECTION('',(0.,0.,1.)); #381703=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #381704=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #381705=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #381706=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #381707=DIRECTION('',(0.,0.,1.)); #381708=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #381709=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #381710=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #381711=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #381712=DIRECTION('',(0.,0.,1.)); #381713=DIRECTION('center_axis',(1.,0.,0.)); #381714=DIRECTION('ref_axis',(0.,1.,0.)); #381715=DIRECTION('',(0.,1.,0.)); #381716=DIRECTION('',(0.,1.,0.)); #381717=DIRECTION('',(0.,0.,1.)); #381718=DIRECTION('center_axis',(0.981560865252957,-0.191149856928713,0.)); #381719=DIRECTION('ref_axis',(0.191149856928713,0.981560865252957,0.)); #381720=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #381721=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #381722=DIRECTION('',(0.,0.,1.)); #381723=DIRECTION('center_axis',(0.833659859749598,-0.55227822539213,0.)); #381724=DIRECTION('ref_axis',(0.55227822539213,0.833659859749598,0.)); #381725=DIRECTION('',(0.55227822539213,0.833659859749598,0.)); #381726=DIRECTION('',(0.55227822539213,0.833659859749598,0.)); #381727=DIRECTION('',(0.,0.,1.)); #381728=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #381729=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #381730=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #381731=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #381732=DIRECTION('',(0.,0.,1.)); #381733=DIRECTION('center_axis',(1.,0.,0.)); #381734=DIRECTION('ref_axis',(0.,1.,0.)); #381735=DIRECTION('',(0.,1.,0.)); #381736=DIRECTION('',(0.,1.,0.)); #381737=DIRECTION('',(0.,0.,1.)); #381738=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381739=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381740=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381741=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381742=DIRECTION('center_axis',(0.,1.,0.)); #381743=DIRECTION('ref_axis',(-1.,0.,0.)); #381744=DIRECTION('',(-1.,0.,0.)); #381745=DIRECTION('',(0.,0.,1.)); #381746=DIRECTION('',(-1.,0.,0.)); #381747=DIRECTION('',(0.,0.,1.)); #381748=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #381749=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #381750=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #381751=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #381752=DIRECTION('',(0.,0.,1.)); #381753=DIRECTION('center_axis',(-1.,0.,0.)); #381754=DIRECTION('ref_axis',(0.,-1.,0.)); #381755=DIRECTION('',(0.,-1.,0.)); #381756=DIRECTION('',(0.,-1.,0.)); #381757=DIRECTION('',(0.,0.,1.)); #381758=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #381759=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #381760=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381761=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #381762=DIRECTION('',(0.,0.,1.)); #381763=DIRECTION('center_axis',(0.,-1.,0.)); #381764=DIRECTION('ref_axis',(1.,0.,0.)); #381765=DIRECTION('',(1.,0.,0.)); #381766=DIRECTION('',(1.,0.,0.)); #381767=DIRECTION('',(0.,0.,1.)); #381768=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #381769=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #381770=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381771=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #381772=DIRECTION('',(0.,0.,1.)); #381773=DIRECTION('center_axis',(1.,0.,0.)); #381774=DIRECTION('ref_axis',(0.,1.,0.)); #381775=DIRECTION('',(0.,1.,0.)); #381776=DIRECTION('',(0.,1.,0.)); #381777=DIRECTION('',(0.,0.,1.)); #381778=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #381779=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #381780=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #381781=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #381782=DIRECTION('center_axis',(0.,1.,0.)); #381783=DIRECTION('ref_axis',(-1.,0.,0.)); #381784=DIRECTION('',(-1.,0.,0.)); #381785=DIRECTION('',(0.,0.,1.)); #381786=DIRECTION('',(-1.,0.,0.)); #381787=DIRECTION('',(0.,0.,1.)); #381788=DIRECTION('center_axis',(-0.707104777838364,0.707108784529055,0.)); #381789=DIRECTION('ref_axis',(-0.707108784529055,-0.707104777838364,0.)); #381790=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #381791=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #381792=DIRECTION('',(0.,0.,1.)); #381793=DIRECTION('center_axis',(-0.558946331949074,0.829203833807271,0.)); #381794=DIRECTION('ref_axis',(-0.829203833807271,-0.558946331949074,0.)); #381795=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #381796=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #381797=DIRECTION('',(0.,0.,1.)); #381798=DIRECTION('center_axis',(-0.19895601923243,0.980008419561376,0.)); #381799=DIRECTION('ref_axis',(-0.980008419561375,-0.19895601923243,0.)); #381800=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #381801=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #381802=DIRECTION('',(0.,0.,1.)); #381803=DIRECTION('center_axis',(0.,1.,0.)); #381804=DIRECTION('ref_axis',(-1.,0.,0.)); #381805=DIRECTION('',(-1.,0.,0.)); #381806=DIRECTION('',(-1.,0.,0.)); #381807=DIRECTION('',(0.,0.,1.)); #381808=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #381809=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #381810=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #381811=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #381812=DIRECTION('',(0.,0.,1.)); #381813=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #381814=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #381815=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #381816=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #381817=DIRECTION('',(0.,0.,1.)); #381818=DIRECTION('center_axis',(0.707104777838373,0.707108784529046,0.)); #381819=DIRECTION('ref_axis',(-0.707108784529046,0.707104777838373,0.)); #381820=DIRECTION('',(-0.707108784529046,0.707104777838373,0.)); #381821=DIRECTION('',(-0.707108784529046,0.707104777838373,0.)); #381822=DIRECTION('',(0.,0.,1.)); #381823=DIRECTION('center_axis',(0.,1.,0.)); #381824=DIRECTION('ref_axis',(-1.,0.,0.)); #381825=DIRECTION('',(-1.,0.,0.)); #381826=DIRECTION('',(-1.,0.,0.)); #381827=DIRECTION('',(0.,0.,1.)); #381828=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #381829=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #381830=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #381831=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #381832=DIRECTION('',(0.,0.,1.)); #381833=DIRECTION('center_axis',(-1.,0.,0.)); #381834=DIRECTION('ref_axis',(0.,-1.,0.)); #381835=DIRECTION('',(0.,-1.,0.)); #381836=DIRECTION('',(0.,-1.,0.)); #381837=DIRECTION('',(0.,0.,1.)); #381838=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381839=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381840=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381841=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381842=DIRECTION('',(0.,0.,1.)); #381843=DIRECTION('center_axis',(0.,-1.,0.)); #381844=DIRECTION('ref_axis',(1.,0.,0.)); #381845=DIRECTION('',(1.,0.,0.)); #381846=DIRECTION('',(1.,0.,0.)); #381847=DIRECTION('',(0.,0.,1.)); #381848=DIRECTION('center_axis',(0.707104777838355,-0.707108784529064,0.)); #381849=DIRECTION('ref_axis',(0.707108784529064,0.707104777838355,0.)); #381850=DIRECTION('',(0.707108784529064,0.707104777838355,0.)); #381851=DIRECTION('',(0.707108784529064,0.707104777838355,0.)); #381852=DIRECTION('',(0.,0.,1.)); #381853=DIRECTION('center_axis',(0.558946331949074,-0.829203833807271,0.)); #381854=DIRECTION('ref_axis',(0.829203833807271,0.558946331949074,0.)); #381855=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #381856=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #381857=DIRECTION('',(0.,0.,1.)); #381858=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #381859=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #381860=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #381861=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #381862=DIRECTION('',(0.,0.,1.)); #381863=DIRECTION('center_axis',(0.,-1.,0.)); #381864=DIRECTION('ref_axis',(1.,0.,0.)); #381865=DIRECTION('',(1.,0.,0.)); #381866=DIRECTION('',(1.,0.,0.)); #381867=DIRECTION('',(0.,0.,1.)); #381868=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #381869=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #381870=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #381871=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #381872=DIRECTION('',(0.,0.,1.)); #381873=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #381874=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #381875=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381876=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #381877=DIRECTION('',(0.,0.,1.)); #381878=DIRECTION('center_axis',(-0.707104777838346,-0.707108784529073, 0.)); #381879=DIRECTION('ref_axis',(0.707108784529073,-0.707104777838346,0.)); #381880=DIRECTION('',(0.707108784529073,-0.707104777838346,0.)); #381881=DIRECTION('',(0.707108784529073,-0.707104777838346,0.)); #381882=DIRECTION('',(0.,0.,1.)); #381883=DIRECTION('center_axis',(0.,-1.,0.)); #381884=DIRECTION('ref_axis',(1.,0.,0.)); #381885=DIRECTION('',(1.,0.,0.)); #381886=DIRECTION('',(1.,0.,0.)); #381887=DIRECTION('',(0.,0.,1.)); #381888=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381889=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381890=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #381891=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #381892=DIRECTION('',(0.,0.,1.)); #381893=DIRECTION('center_axis',(1.,0.,0.)); #381894=DIRECTION('ref_axis',(0.,1.,0.)); #381895=DIRECTION('',(0.,1.,0.)); #381896=DIRECTION('',(0.,1.,0.)); #381897=DIRECTION('',(0.,0.,1.)); #381898=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381899=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381900=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381901=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381902=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #381903=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #381904=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #381905=DIRECTION('',(0.,0.,1.)); #381906=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #381907=DIRECTION('',(0.,0.,1.)); #381908=DIRECTION('center_axis',(0.,-1.,0.)); #381909=DIRECTION('ref_axis',(1.,0.,0.)); #381910=DIRECTION('',(1.,0.,0.)); #381911=DIRECTION('',(1.,0.,0.)); #381912=DIRECTION('',(0.,0.,1.)); #381913=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #381914=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #381915=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #381916=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #381917=DIRECTION('',(0.,0.,1.)); #381918=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #381919=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #381920=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #381921=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #381922=DIRECTION('',(0.,0.,1.)); #381923=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #381924=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #381925=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #381926=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #381927=DIRECTION('',(0.,0.,1.)); #381928=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #381929=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #381930=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #381931=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #381932=DIRECTION('',(0.,0.,1.)); #381933=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #381934=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #381935=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #381936=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #381937=DIRECTION('',(0.,0.,1.)); #381938=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #381939=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #381940=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #381941=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #381942=DIRECTION('',(0.,0.,1.)); #381943=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #381944=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #381945=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #381946=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #381947=DIRECTION('',(0.,0.,1.)); #381948=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #381949=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #381950=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #381951=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #381952=DIRECTION('',(0.,0.,1.)); #381953=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #381954=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #381955=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #381956=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #381957=DIRECTION('',(0.,0.,1.)); #381958=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #381959=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #381960=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #381961=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #381962=DIRECTION('',(0.,0.,1.)); #381963=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #381964=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #381965=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #381966=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #381967=DIRECTION('',(0.,0.,1.)); #381968=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #381969=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #381970=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #381971=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #381972=DIRECTION('',(0.,0.,1.)); #381973=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #381974=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #381975=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #381976=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #381977=DIRECTION('',(0.,0.,1.)); #381978=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #381979=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #381980=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #381981=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #381982=DIRECTION('',(0.,0.,1.)); #381983=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381984=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381985=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381986=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #381987=DIRECTION('',(0.,0.,1.)); #381988=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #381989=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #381990=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #381991=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #381992=DIRECTION('',(0.,0.,1.)); #381993=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #381994=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #381995=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #381996=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #381997=DIRECTION('',(0.,0.,1.)); #381998=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #381999=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #382000=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #382001=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #382002=DIRECTION('',(0.,0.,1.)); #382003=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #382004=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #382005=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #382006=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #382007=DIRECTION('',(0.,0.,1.)); #382008=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #382009=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #382010=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #382011=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #382012=DIRECTION('',(0.,0.,1.)); #382013=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #382014=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #382015=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #382016=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #382017=DIRECTION('',(0.,0.,1.)); #382018=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #382019=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #382020=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #382021=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #382022=DIRECTION('',(0.,0.,1.)); #382023=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #382024=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #382025=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #382026=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #382027=DIRECTION('',(0.,0.,1.)); #382028=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #382029=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #382030=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #382031=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #382032=DIRECTION('',(0.,0.,1.)); #382033=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #382034=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #382035=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #382036=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #382037=DIRECTION('',(0.,0.,1.)); #382038=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #382039=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #382040=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #382041=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #382042=DIRECTION('',(0.,0.,1.)); #382043=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #382044=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #382045=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #382046=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #382047=DIRECTION('',(0.,0.,1.)); #382048=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #382049=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #382050=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #382051=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #382052=DIRECTION('',(0.,0.,1.)); #382053=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #382054=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #382055=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #382056=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #382057=DIRECTION('',(0.,0.,1.)); #382058=DIRECTION('center_axis',(-1.,0.,0.)); #382059=DIRECTION('ref_axis',(0.,-1.,0.)); #382060=DIRECTION('',(0.,-1.,0.)); #382061=DIRECTION('',(0.,-1.,0.)); #382062=DIRECTION('',(0.,0.,1.)); #382063=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #382064=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #382065=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #382066=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #382067=DIRECTION('',(0.,0.,1.)); #382068=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #382069=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #382070=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #382071=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #382072=DIRECTION('',(0.,0.,1.)); #382073=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #382074=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #382075=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #382076=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #382077=DIRECTION('',(0.,0.,1.)); #382078=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #382079=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #382080=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #382081=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #382082=DIRECTION('',(0.,0.,1.)); #382083=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #382084=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #382085=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #382086=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #382087=DIRECTION('',(0.,0.,1.)); #382088=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #382089=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #382090=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #382091=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #382092=DIRECTION('',(0.,0.,1.)); #382093=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #382094=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #382095=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #382096=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #382097=DIRECTION('',(0.,0.,1.)); #382098=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #382099=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #382100=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #382101=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #382102=DIRECTION('',(0.,0.,1.)); #382103=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #382104=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #382105=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #382106=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #382107=DIRECTION('',(0.,0.,1.)); #382108=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #382109=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #382110=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #382111=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #382112=DIRECTION('',(0.,0.,1.)); #382113=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #382114=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #382115=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #382116=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #382117=DIRECTION('',(0.,0.,1.)); #382118=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #382119=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #382120=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #382121=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #382122=DIRECTION('',(0.,0.,1.)); #382123=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #382124=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #382125=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #382126=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #382127=DIRECTION('',(0.,0.,1.)); #382128=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #382129=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #382130=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #382131=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #382132=DIRECTION('',(0.,0.,1.)); #382133=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #382134=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #382135=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #382136=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #382137=DIRECTION('',(0.,0.,1.)); #382138=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #382139=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #382140=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #382141=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #382142=DIRECTION('',(0.,0.,1.)); #382143=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #382144=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #382145=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #382146=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #382147=DIRECTION('',(0.,0.,1.)); #382148=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #382149=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #382150=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #382151=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #382152=DIRECTION('',(0.,0.,1.)); #382153=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #382154=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #382155=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #382156=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #382157=DIRECTION('',(0.,0.,1.)); #382158=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #382159=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #382160=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #382161=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #382162=DIRECTION('',(0.,0.,1.)); #382163=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #382164=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #382165=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #382166=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #382167=DIRECTION('',(0.,0.,1.)); #382168=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #382169=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #382170=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #382171=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #382172=DIRECTION('',(0.,0.,1.)); #382173=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #382174=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #382175=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #382176=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #382177=DIRECTION('',(0.,0.,1.)); #382178=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #382179=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #382180=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #382181=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #382182=DIRECTION('',(0.,0.,1.)); #382183=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #382184=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #382185=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #382186=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #382187=DIRECTION('',(0.,0.,1.)); #382188=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #382189=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #382190=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #382191=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #382192=DIRECTION('',(0.,0.,1.)); #382193=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #382194=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #382195=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #382196=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #382197=DIRECTION('',(0.,0.,1.)); #382198=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #382199=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #382200=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #382201=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #382202=DIRECTION('',(0.,0.,1.)); #382203=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #382204=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #382205=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #382206=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #382207=DIRECTION('',(0.,0.,1.)); #382208=DIRECTION('center_axis',(0.,1.,0.)); #382209=DIRECTION('ref_axis',(-1.,0.,0.)); #382210=DIRECTION('',(-1.,0.,0.)); #382211=DIRECTION('',(-1.,0.,0.)); #382212=DIRECTION('',(0.,0.,1.)); #382213=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #382214=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #382215=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #382216=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #382217=DIRECTION('',(0.,0.,1.)); #382218=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #382219=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #382220=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #382221=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #382222=DIRECTION('',(0.,0.,1.)); #382223=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #382224=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #382225=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #382226=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #382227=DIRECTION('',(0.,0.,1.)); #382228=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #382229=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #382230=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #382231=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #382232=DIRECTION('',(0.,0.,1.)); #382233=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #382234=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #382235=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #382236=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #382237=DIRECTION('',(0.,0.,1.)); #382238=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #382239=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #382240=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #382241=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #382242=DIRECTION('',(0.,0.,1.)); #382243=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #382244=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #382245=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #382246=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #382247=DIRECTION('',(0.,0.,1.)); #382248=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #382249=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #382250=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #382251=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #382252=DIRECTION('',(0.,0.,1.)); #382253=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #382254=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #382255=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #382256=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #382257=DIRECTION('',(0.,0.,1.)); #382258=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #382259=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #382260=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #382261=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #382262=DIRECTION('',(0.,0.,1.)); #382263=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #382264=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #382265=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #382266=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #382267=DIRECTION('',(0.,0.,1.)); #382268=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #382269=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #382270=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #382271=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #382272=DIRECTION('',(0.,0.,1.)); #382273=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #382274=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #382275=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #382276=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #382277=DIRECTION('',(0.,0.,1.)); #382278=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #382279=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #382280=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #382281=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #382282=DIRECTION('',(0.,0.,1.)); #382283=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382284=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382285=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382286=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382287=DIRECTION('',(0.,0.,1.)); #382288=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #382289=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #382290=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #382291=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #382292=DIRECTION('',(0.,0.,1.)); #382293=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #382294=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #382295=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #382296=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #382297=DIRECTION('',(0.,0.,1.)); #382298=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #382299=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #382300=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #382301=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #382302=DIRECTION('',(0.,0.,1.)); #382303=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #382304=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #382305=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #382306=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #382307=DIRECTION('',(0.,0.,1.)); #382308=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #382309=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #382310=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #382311=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #382312=DIRECTION('',(0.,0.,1.)); #382313=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #382314=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #382315=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #382316=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #382317=DIRECTION('',(0.,0.,1.)); #382318=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #382319=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #382320=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #382321=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #382322=DIRECTION('',(0.,0.,1.)); #382323=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #382324=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #382325=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #382326=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #382327=DIRECTION('',(0.,0.,1.)); #382328=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #382329=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #382330=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #382331=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #382332=DIRECTION('',(0.,0.,1.)); #382333=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #382334=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #382335=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #382336=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #382337=DIRECTION('',(0.,0.,1.)); #382338=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #382339=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #382340=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #382341=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #382342=DIRECTION('',(0.,0.,1.)); #382343=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #382344=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #382345=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #382346=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #382347=DIRECTION('',(0.,0.,1.)); #382348=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #382349=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #382350=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #382351=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #382352=DIRECTION('',(0.,0.,1.)); #382353=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #382354=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #382355=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #382356=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #382357=DIRECTION('',(0.,0.,1.)); #382358=DIRECTION('center_axis',(1.,0.,0.)); #382359=DIRECTION('ref_axis',(0.,1.,0.)); #382360=DIRECTION('',(0.,1.,0.)); #382361=DIRECTION('',(0.,1.,0.)); #382362=DIRECTION('',(0.,0.,1.)); #382363=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #382364=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #382365=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #382366=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #382367=DIRECTION('',(0.,0.,1.)); #382368=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #382369=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #382370=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #382371=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #382372=DIRECTION('',(0.,0.,1.)); #382373=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #382374=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #382375=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #382376=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #382377=DIRECTION('',(0.,0.,1.)); #382378=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #382379=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #382380=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #382381=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #382382=DIRECTION('',(0.,0.,1.)); #382383=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #382384=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #382385=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #382386=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #382387=DIRECTION('',(0.,0.,1.)); #382388=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #382389=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #382390=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #382391=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #382392=DIRECTION('',(0.,0.,1.)); #382393=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #382394=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #382395=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #382396=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #382397=DIRECTION('',(0.,0.,1.)); #382398=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #382399=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #382400=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #382401=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #382402=DIRECTION('',(0.,0.,1.)); #382403=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #382404=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #382405=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #382406=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #382407=DIRECTION('',(0.,0.,1.)); #382408=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #382409=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #382410=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #382411=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #382412=DIRECTION('',(0.,0.,1.)); #382413=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #382414=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #382415=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #382416=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #382417=DIRECTION('',(0.,0.,1.)); #382418=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #382419=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #382420=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #382421=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #382422=DIRECTION('',(0.,0.,1.)); #382423=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #382424=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #382425=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #382426=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #382427=DIRECTION('',(0.,0.,1.)); #382428=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #382429=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #382430=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #382431=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #382432=DIRECTION('',(0.,0.,1.)); #382433=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #382434=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #382435=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #382436=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #382437=DIRECTION('',(0.,0.,1.)); #382438=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #382439=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #382440=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #382441=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #382442=DIRECTION('',(0.,0.,1.)); #382443=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #382444=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #382445=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #382446=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #382447=DIRECTION('',(0.,0.,1.)); #382448=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #382449=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #382450=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #382451=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #382452=DIRECTION('',(0.,0.,1.)); #382453=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #382454=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #382455=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #382456=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #382457=DIRECTION('',(0.,0.,1.)); #382458=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #382459=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #382460=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #382461=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #382462=DIRECTION('',(0.,0.,1.)); #382463=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #382464=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #382465=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #382466=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #382467=DIRECTION('',(0.,0.,1.)); #382468=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #382469=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #382470=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #382471=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #382472=DIRECTION('',(0.,0.,1.)); #382473=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #382474=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #382475=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #382476=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #382477=DIRECTION('',(0.,0.,1.)); #382478=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #382479=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #382480=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #382481=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #382482=DIRECTION('',(0.,0.,1.)); #382483=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #382484=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #382485=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #382486=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #382487=DIRECTION('',(0.,0.,1.)); #382488=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #382489=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #382490=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #382491=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #382492=DIRECTION('',(0.,0.,1.)); #382493=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #382494=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #382495=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #382496=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #382497=DIRECTION('',(0.,0.,1.)); #382498=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #382499=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #382500=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #382501=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #382502=DIRECTION('center_axis',(0.,0.,1.)); #382503=DIRECTION('ref_axis',(1.,0.,0.)); #382504=DIRECTION('center_axis',(0.,0.,1.)); #382505=DIRECTION('ref_axis',(1.,0.,0.)); #382506=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #382507=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #382508=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #382509=DIRECTION('',(0.,0.,1.)); #382510=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #382511=DIRECTION('',(0.,0.,1.)); #382512=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #382513=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #382514=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #382515=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #382516=DIRECTION('',(0.,0.,1.)); #382517=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #382518=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #382519=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #382520=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #382521=DIRECTION('',(0.,0.,1.)); #382522=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #382523=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #382524=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #382525=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #382526=DIRECTION('',(0.,0.,1.)); #382527=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #382528=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #382529=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #382530=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #382531=DIRECTION('',(0.,0.,1.)); #382532=DIRECTION('center_axis',(-1.,0.,0.)); #382533=DIRECTION('ref_axis',(0.,-1.,0.)); #382534=DIRECTION('',(0.,-1.,0.)); #382535=DIRECTION('',(0.,-1.,0.)); #382536=DIRECTION('',(0.,0.,1.)); #382537=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #382538=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #382539=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #382540=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #382541=DIRECTION('',(0.,0.,1.)); #382542=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #382543=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #382544=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #382545=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #382546=DIRECTION('',(0.,0.,1.)); #382547=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #382548=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #382549=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #382550=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #382551=DIRECTION('',(0.,0.,1.)); #382552=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #382553=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #382554=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #382555=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #382556=DIRECTION('',(0.,0.,1.)); #382557=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #382558=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #382559=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #382560=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #382561=DIRECTION('',(0.,0.,1.)); #382562=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #382563=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #382564=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #382565=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #382566=DIRECTION('',(0.,0.,1.)); #382567=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #382568=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #382569=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #382570=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #382571=DIRECTION('',(0.,0.,1.)); #382572=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #382573=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #382574=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #382575=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #382576=DIRECTION('',(0.,0.,1.)); #382577=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #382578=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #382579=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #382580=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #382581=DIRECTION('',(0.,0.,1.)); #382582=DIRECTION('center_axis',(1.,0.,0.)); #382583=DIRECTION('ref_axis',(0.,1.,0.)); #382584=DIRECTION('',(0.,1.,0.)); #382585=DIRECTION('',(0.,1.,0.)); #382586=DIRECTION('',(0.,0.,1.)); #382587=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #382588=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #382589=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #382590=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #382591=DIRECTION('',(0.,0.,1.)); #382592=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #382593=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #382594=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #382595=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #382596=DIRECTION('',(0.,0.,1.)); #382597=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #382598=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #382599=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382600=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382601=DIRECTION('',(0.,0.,1.)); #382602=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #382603=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #382604=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #382605=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #382606=DIRECTION('center_axis',(0.,0.,1.)); #382607=DIRECTION('ref_axis',(1.,0.,0.)); #382608=DIRECTION('center_axis',(0.,0.,1.)); #382609=DIRECTION('ref_axis',(1.,0.,0.)); #382610=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #382611=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #382612=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #382613=DIRECTION('',(0.,0.,1.)); #382614=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #382615=DIRECTION('',(0.,0.,1.)); #382616=DIRECTION('center_axis',(0.,-1.,0.)); #382617=DIRECTION('ref_axis',(1.,0.,0.)); #382618=DIRECTION('',(1.,0.,0.)); #382619=DIRECTION('',(1.,0.,0.)); #382620=DIRECTION('',(0.,0.,1.)); #382621=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #382622=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #382623=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #382624=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #382625=DIRECTION('',(0.,0.,1.)); #382626=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #382627=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #382628=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #382629=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #382630=DIRECTION('',(0.,0.,1.)); #382631=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #382632=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #382633=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #382634=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #382635=DIRECTION('',(0.,0.,1.)); #382636=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #382637=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #382638=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #382639=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #382640=DIRECTION('',(0.,0.,1.)); #382641=DIRECTION('center_axis',(-1.,0.,0.)); #382642=DIRECTION('ref_axis',(0.,-1.,0.)); #382643=DIRECTION('',(0.,-1.,0.)); #382644=DIRECTION('',(0.,-1.,0.)); #382645=DIRECTION('',(0.,0.,1.)); #382646=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #382647=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #382648=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #382649=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #382650=DIRECTION('',(0.,0.,1.)); #382651=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #382652=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #382653=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #382654=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #382655=DIRECTION('',(0.,0.,1.)); #382656=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #382657=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #382658=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #382659=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #382660=DIRECTION('',(0.,0.,1.)); #382661=DIRECTION('center_axis',(-0.833664013512907,0.5522719552662,0.)); #382662=DIRECTION('ref_axis',(-0.5522719552662,-0.833664013512907,0.)); #382663=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #382664=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #382665=DIRECTION('',(0.,0.,1.)); #382666=DIRECTION('center_axis',(-1.,0.,0.)); #382667=DIRECTION('ref_axis',(0.,-1.,0.)); #382668=DIRECTION('',(0.,-1.,0.)); #382669=DIRECTION('',(0.,-1.,0.)); #382670=DIRECTION('',(0.,0.,1.)); #382671=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #382672=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #382673=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #382674=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #382675=DIRECTION('',(0.,0.,1.)); #382676=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #382677=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #382678=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #382679=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #382680=DIRECTION('',(0.,0.,1.)); #382681=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #382682=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #382683=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #382684=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #382685=DIRECTION('',(0.,0.,1.)); #382686=DIRECTION('center_axis',(-0.833664013512907,0.5522719552662,0.)); #382687=DIRECTION('ref_axis',(-0.5522719552662,-0.833664013512907,0.)); #382688=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #382689=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #382690=DIRECTION('',(0.,0.,1.)); #382691=DIRECTION('center_axis',(-1.,0.,0.)); #382692=DIRECTION('ref_axis',(0.,-1.,0.)); #382693=DIRECTION('',(0.,-1.,0.)); #382694=DIRECTION('',(0.,-1.,0.)); #382695=DIRECTION('',(0.,0.,1.)); #382696=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #382697=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #382698=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #382699=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #382700=DIRECTION('',(0.,0.,1.)); #382701=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #382702=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #382703=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #382704=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #382705=DIRECTION('',(0.,0.,1.)); #382706=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #382707=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #382708=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #382709=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #382710=DIRECTION('',(0.,0.,1.)); #382711=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #382712=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #382713=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #382714=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #382715=DIRECTION('',(0.,0.,1.)); #382716=DIRECTION('center_axis',(0.,1.,0.)); #382717=DIRECTION('ref_axis',(-1.,0.,0.)); #382718=DIRECTION('',(-1.,0.,0.)); #382719=DIRECTION('',(-1.,0.,0.)); #382720=DIRECTION('',(0.,0.,1.)); #382721=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #382722=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #382723=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #382724=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #382725=DIRECTION('',(0.,0.,1.)); #382726=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #382727=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #382728=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #382729=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #382730=DIRECTION('',(0.,0.,1.)); #382731=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #382732=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #382733=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #382734=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #382735=DIRECTION('',(0.,0.,1.)); #382736=DIRECTION('center_axis',(0.,1.,0.)); #382737=DIRECTION('ref_axis',(-1.,0.,0.)); #382738=DIRECTION('',(-1.,0.,0.)); #382739=DIRECTION('',(-1.,0.,0.)); #382740=DIRECTION('',(0.,0.,1.)); #382741=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #382742=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #382743=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #382744=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #382745=DIRECTION('',(0.,0.,1.)); #382746=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #382747=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #382748=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #382749=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #382750=DIRECTION('',(0.,0.,1.)); #382751=DIRECTION('center_axis',(0.707104779822943,0.707108782544487,0.)); #382752=DIRECTION('ref_axis',(-0.707108782544487,0.707104779822943,0.)); #382753=DIRECTION('',(-0.707108782544487,0.707104779822943,0.)); #382754=DIRECTION('',(-0.707108782544487,0.707104779822943,0.)); #382755=DIRECTION('',(0.,0.,1.)); #382756=DIRECTION('center_axis',(0.183321882743206,0.983052942270907,0.)); #382757=DIRECTION('ref_axis',(-0.983052942270906,0.183321882743206,0.)); #382758=DIRECTION('',(-0.983052942270906,0.183321882743206,0.)); #382759=DIRECTION('',(-0.983052942270906,0.183321882743206,0.)); #382760=DIRECTION('',(0.,0.,1.)); #382761=DIRECTION('center_axis',(0.538911466746003,0.842362410729296,0.)); #382762=DIRECTION('ref_axis',(-0.842362410729296,0.538911466746003,0.)); #382763=DIRECTION('',(-0.842362410729296,0.538911466746003,0.)); #382764=DIRECTION('',(-0.842362410729296,0.538911466746003,0.)); #382765=DIRECTION('',(0.,0.,1.)); #382766=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #382767=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #382768=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #382769=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #382770=DIRECTION('',(0.,0.,1.)); #382771=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #382772=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #382773=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #382774=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #382775=DIRECTION('',(0.,0.,1.)); #382776=DIRECTION('center_axis',(1.,0.,0.)); #382777=DIRECTION('ref_axis',(0.,1.,0.)); #382778=DIRECTION('',(0.,1.,0.)); #382779=DIRECTION('',(0.,1.,0.)); #382780=DIRECTION('',(0.,0.,1.)); #382781=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #382782=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #382783=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #382784=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #382785=DIRECTION('',(0.,0.,1.)); #382786=DIRECTION('center_axis',(0.842362410729242,-0.538911466746088,0.)); #382787=DIRECTION('ref_axis',(0.538911466746088,0.842362410729242,0.)); #382788=DIRECTION('',(0.538911466746088,0.842362410729242,0.)); #382789=DIRECTION('',(0.538911466746088,0.842362410729242,0.)); #382790=DIRECTION('',(0.,0.,1.)); #382791=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #382792=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #382793=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #382794=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #382795=DIRECTION('',(0.,0.,1.)); #382796=DIRECTION('center_axis',(0.198978630885354,-0.980003828794046,0.)); #382797=DIRECTION('ref_axis',(0.980003828794046,0.198978630885354,0.)); #382798=DIRECTION('',(0.980003828794046,0.198978630885354,0.)); #382799=DIRECTION('',(0.980003828794046,0.198978630885354,0.)); #382800=DIRECTION('',(0.,0.,1.)); #382801=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #382802=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #382803=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #382804=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #382805=DIRECTION('',(0.,0.,1.)); #382806=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #382807=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #382808=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #382809=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #382810=DIRECTION('center_axis',(0.,0.,1.)); #382811=DIRECTION('ref_axis',(1.,0.,0.)); #382812=DIRECTION('center_axis',(0.,0.,1.)); #382813=DIRECTION('ref_axis',(1.,0.,0.)); #382814=DIRECTION('center_axis',(0.,1.,0.)); #382815=DIRECTION('ref_axis',(-1.,0.,0.)); #382816=DIRECTION('',(1.,0.,0.)); #382817=DIRECTION('',(0.,0.,1.)); #382818=DIRECTION('',(-1.,0.,0.)); #382819=DIRECTION('',(0.,0.,1.)); #382820=DIRECTION('center_axis',(0.,0.,1.)); #382821=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #382822=DIRECTION('center_axis',(0.,0.,-1.)); #382823=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #382824=DIRECTION('center_axis',(0.,0.,1.)); #382825=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #382826=DIRECTION('',(0.,0.,1.)); #382827=DIRECTION('center_axis',(0.,-1.,0.)); #382828=DIRECTION('ref_axis',(1.,0.,0.)); #382829=DIRECTION('',(-1.,0.,0.)); #382830=DIRECTION('',(1.,0.,0.)); #382831=DIRECTION('',(0.,0.,1.)); #382832=DIRECTION('center_axis',(0.,0.,1.)); #382833=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #382834=DIRECTION('center_axis',(0.,0.,-1.)); #382835=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #382836=DIRECTION('center_axis',(0.,0.,1.)); #382837=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #382838=DIRECTION('center_axis',(0.,0.,1.)); #382839=DIRECTION('ref_axis',(1.,0.,0.)); #382840=DIRECTION('center_axis',(0.,0.,1.)); #382841=DIRECTION('ref_axis',(1.,0.,0.)); #382842=DIRECTION('',(0.,0.,1.)); #382843=DIRECTION('center_axis',(0.,0.,-1.)); #382844=DIRECTION('ref_axis',(1.,0.,0.)); #382845=DIRECTION('center_axis',(0.,0.,1.)); #382846=DIRECTION('ref_axis',(1.,0.,0.)); #382847=DIRECTION('center_axis',(0.,0.,1.)); #382848=DIRECTION('ref_axis',(1.,0.,0.)); #382849=DIRECTION('',(0.,0.,1.)); #382850=DIRECTION('center_axis',(0.,0.,-1.)); #382851=DIRECTION('ref_axis',(1.,0.,0.)); #382852=DIRECTION('center_axis',(0.,0.,1.)); #382853=DIRECTION('ref_axis',(1.,0.,0.)); #382854=DIRECTION('center_axis',(0.,0.,1.)); #382855=DIRECTION('ref_axis',(1.,0.,0.)); #382856=DIRECTION('',(0.,0.,1.)); #382857=DIRECTION('center_axis',(0.,0.,-1.)); #382858=DIRECTION('ref_axis',(1.,0.,0.)); #382859=DIRECTION('center_axis',(0.,0.,1.)); #382860=DIRECTION('ref_axis',(1.,0.,0.)); #382861=DIRECTION('center_axis',(0.,0.,1.)); #382862=DIRECTION('ref_axis',(1.,0.,0.)); #382863=DIRECTION('',(0.,0.,1.)); #382864=DIRECTION('center_axis',(0.,0.,-1.)); #382865=DIRECTION('ref_axis',(1.,0.,0.)); #382866=DIRECTION('center_axis',(0.,0.,1.)); #382867=DIRECTION('ref_axis',(1.,0.,0.)); #382868=DIRECTION('center_axis',(0.,0.,1.)); #382869=DIRECTION('ref_axis',(1.,0.,0.)); #382870=DIRECTION('',(0.,0.,1.)); #382871=DIRECTION('center_axis',(0.,0.,-1.)); #382872=DIRECTION('ref_axis',(1.,0.,0.)); #382873=DIRECTION('center_axis',(0.,0.,1.)); #382874=DIRECTION('ref_axis',(1.,0.,0.)); #382875=DIRECTION('center_axis',(0.,0.,1.)); #382876=DIRECTION('ref_axis',(1.,0.,0.)); #382877=DIRECTION('',(0.,0.,1.)); #382878=DIRECTION('center_axis',(0.,0.,-1.)); #382879=DIRECTION('ref_axis',(1.,0.,0.)); #382880=DIRECTION('center_axis',(0.,0.,1.)); #382881=DIRECTION('ref_axis',(1.,0.,0.)); #382882=DIRECTION('center_axis',(0.,0.,1.)); #382883=DIRECTION('ref_axis',(1.,0.,0.)); #382884=DIRECTION('',(0.,0.,1.)); #382885=DIRECTION('center_axis',(0.,0.,-1.)); #382886=DIRECTION('ref_axis',(1.,0.,0.)); #382887=DIRECTION('center_axis',(0.,0.,1.)); #382888=DIRECTION('ref_axis',(1.,0.,0.)); #382889=DIRECTION('center_axis',(0.,0.,1.)); #382890=DIRECTION('ref_axis',(1.,0.,0.)); #382891=DIRECTION('',(0.,0.,1.)); #382892=DIRECTION('center_axis',(0.,0.,-1.)); #382893=DIRECTION('ref_axis',(1.,0.,0.)); #382894=DIRECTION('center_axis',(0.,0.,1.)); #382895=DIRECTION('ref_axis',(1.,0.,0.)); #382896=DIRECTION('center_axis',(0.,0.,1.)); #382897=DIRECTION('ref_axis',(1.,0.,0.)); #382898=DIRECTION('',(0.,0.,1.)); #382899=DIRECTION('center_axis',(0.,0.,-1.)); #382900=DIRECTION('ref_axis',(1.,0.,0.)); #382901=DIRECTION('center_axis',(0.,0.,1.)); #382902=DIRECTION('ref_axis',(1.,0.,0.)); #382903=DIRECTION('center_axis',(0.,0.,1.)); #382904=DIRECTION('ref_axis',(1.,0.,0.)); #382905=DIRECTION('',(0.,0.,1.)); #382906=DIRECTION('center_axis',(0.,0.,-1.)); #382907=DIRECTION('ref_axis',(1.,0.,0.)); #382908=DIRECTION('center_axis',(0.,0.,1.)); #382909=DIRECTION('ref_axis',(1.,0.,0.)); #382910=DIRECTION('center_axis',(0.,0.,1.)); #382911=DIRECTION('ref_axis',(1.,0.,0.)); #382912=DIRECTION('',(0.,0.,1.)); #382913=DIRECTION('center_axis',(0.,0.,-1.)); #382914=DIRECTION('ref_axis',(1.,0.,0.)); #382915=DIRECTION('center_axis',(0.,1.,0.)); #382916=DIRECTION('ref_axis',(-1.,0.,0.)); #382917=DIRECTION('',(-1.,0.,0.)); #382918=DIRECTION('',(0.,0.,1.)); #382919=DIRECTION('',(-1.,0.,0.)); #382920=DIRECTION('',(0.,0.,1.)); #382921=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #382922=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #382923=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #382924=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #382925=DIRECTION('',(0.,0.,1.)); #382926=DIRECTION('center_axis',(-1.,0.,0.)); #382927=DIRECTION('ref_axis',(0.,-1.,0.)); #382928=DIRECTION('',(0.,-1.,0.)); #382929=DIRECTION('',(0.,-1.,0.)); #382930=DIRECTION('',(0.,0.,1.)); #382931=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #382932=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #382933=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382934=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382935=DIRECTION('',(0.,0.,1.)); #382936=DIRECTION('center_axis',(0.,-1.,0.)); #382937=DIRECTION('ref_axis',(1.,0.,0.)); #382938=DIRECTION('',(1.,0.,0.)); #382939=DIRECTION('',(1.,0.,0.)); #382940=DIRECTION('',(0.,0.,1.)); #382941=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #382942=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #382943=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382944=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382945=DIRECTION('',(0.,0.,1.)); #382946=DIRECTION('center_axis',(1.,0.,0.)); #382947=DIRECTION('ref_axis',(0.,1.,0.)); #382948=DIRECTION('',(0.,1.,0.)); #382949=DIRECTION('',(0.,1.,0.)); #382950=DIRECTION('',(0.,0.,1.)); #382951=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382952=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382953=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382954=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382955=DIRECTION('center_axis',(0.,1.,0.)); #382956=DIRECTION('ref_axis',(-1.,0.,0.)); #382957=DIRECTION('',(-1.,0.,0.)); #382958=DIRECTION('',(0.,0.,1.)); #382959=DIRECTION('',(-1.,0.,0.)); #382960=DIRECTION('',(0.,0.,1.)); #382961=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #382962=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #382963=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #382964=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #382965=DIRECTION('',(0.,0.,1.)); #382966=DIRECTION('center_axis',(-1.,0.,0.)); #382967=DIRECTION('ref_axis',(0.,-1.,0.)); #382968=DIRECTION('',(0.,-1.,0.)); #382969=DIRECTION('',(0.,-1.,0.)); #382970=DIRECTION('',(0.,0.,1.)); #382971=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #382972=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #382973=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #382974=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #382975=DIRECTION('',(0.,0.,1.)); #382976=DIRECTION('center_axis',(0.,-1.,0.)); #382977=DIRECTION('ref_axis',(1.,0.,0.)); #382978=DIRECTION('',(1.,0.,0.)); #382979=DIRECTION('',(1.,0.,0.)); #382980=DIRECTION('',(0.,0.,1.)); #382981=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #382982=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #382983=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #382984=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #382985=DIRECTION('',(0.,0.,1.)); #382986=DIRECTION('center_axis',(1.,0.,0.)); #382987=DIRECTION('ref_axis',(0.,1.,0.)); #382988=DIRECTION('',(0.,1.,0.)); #382989=DIRECTION('',(0.,1.,0.)); #382990=DIRECTION('',(0.,0.,1.)); #382991=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #382992=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #382993=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #382994=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #382995=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #382996=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #382997=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #382998=DIRECTION('',(0.,0.,1.)); #382999=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #383000=DIRECTION('',(0.,0.,1.)); #383001=DIRECTION('center_axis',(0.,-1.,0.)); #383002=DIRECTION('ref_axis',(1.,0.,0.)); #383003=DIRECTION('',(1.,0.,0.)); #383004=DIRECTION('',(1.,0.,0.)); #383005=DIRECTION('',(0.,0.,1.)); #383006=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #383007=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #383008=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #383009=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #383010=DIRECTION('',(0.,0.,1.)); #383011=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #383012=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #383013=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #383014=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #383015=DIRECTION('',(0.,0.,1.)); #383016=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #383017=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #383018=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #383019=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #383020=DIRECTION('',(0.,0.,1.)); #383021=DIRECTION('center_axis',(0.,-1.,0.)); #383022=DIRECTION('ref_axis',(1.,0.,0.)); #383023=DIRECTION('',(1.,0.,0.)); #383024=DIRECTION('',(1.,0.,0.)); #383025=DIRECTION('',(0.,0.,1.)); #383026=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #383027=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #383028=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #383029=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #383030=DIRECTION('',(0.,0.,1.)); #383031=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #383032=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #383033=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #383034=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #383035=DIRECTION('',(0.,0.,1.)); #383036=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #383037=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #383038=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #383039=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #383040=DIRECTION('',(0.,0.,1.)); #383041=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #383042=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #383043=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383044=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383045=DIRECTION('',(0.,0.,1.)); #383046=DIRECTION('center_axis',(-1.,0.,0.)); #383047=DIRECTION('ref_axis',(0.,-1.,0.)); #383048=DIRECTION('',(0.,-1.,0.)); #383049=DIRECTION('',(0.,-1.,0.)); #383050=DIRECTION('',(0.,0.,1.)); #383051=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #383052=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #383053=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #383054=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #383055=DIRECTION('',(0.,0.,1.)); #383056=DIRECTION('center_axis',(0.,-1.,0.)); #383057=DIRECTION('ref_axis',(1.,0.,0.)); #383058=DIRECTION('',(1.,0.,0.)); #383059=DIRECTION('',(1.,0.,0.)); #383060=DIRECTION('',(0.,0.,1.)); #383061=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #383062=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #383063=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #383064=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #383065=DIRECTION('',(0.,0.,1.)); #383066=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #383067=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #383068=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383069=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383070=DIRECTION('',(0.,0.,1.)); #383071=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #383072=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #383073=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #383074=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #383075=DIRECTION('',(0.,0.,1.)); #383076=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #383077=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #383078=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #383079=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #383080=DIRECTION('',(0.,0.,1.)); #383081=DIRECTION('center_axis',(-1.,0.,0.)); #383082=DIRECTION('ref_axis',(0.,-1.,0.)); #383083=DIRECTION('',(0.,-1.,0.)); #383084=DIRECTION('',(0.,-1.,0.)); #383085=DIRECTION('',(0.,0.,1.)); #383086=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #383087=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #383088=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #383089=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #383090=DIRECTION('',(0.,0.,1.)); #383091=DIRECTION('center_axis',(0.,-1.,0.)); #383092=DIRECTION('ref_axis',(1.,0.,0.)); #383093=DIRECTION('',(1.,0.,0.)); #383094=DIRECTION('',(1.,0.,0.)); #383095=DIRECTION('',(0.,0.,1.)); #383096=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #383097=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #383098=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #383099=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #383100=DIRECTION('',(0.,0.,1.)); #383101=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #383102=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #383103=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383104=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383105=DIRECTION('',(0.,0.,1.)); #383106=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #383107=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #383108=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #383109=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #383110=DIRECTION('',(0.,0.,1.)); #383111=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #383112=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #383113=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #383114=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #383115=DIRECTION('',(0.,0.,1.)); #383116=DIRECTION('center_axis',(-1.,0.,0.)); #383117=DIRECTION('ref_axis',(0.,-1.,0.)); #383118=DIRECTION('',(0.,-1.,0.)); #383119=DIRECTION('',(0.,-1.,0.)); #383120=DIRECTION('',(0.,0.,1.)); #383121=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #383122=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #383123=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #383124=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #383125=DIRECTION('',(0.,0.,1.)); #383126=DIRECTION('center_axis',(-0.833669916115124,0.55226304508323,0.)); #383127=DIRECTION('ref_axis',(-0.55226304508323,-0.833669916115124,0.)); #383128=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #383129=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #383130=DIRECTION('',(0.,0.,1.)); #383131=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #383132=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #383133=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #383134=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #383135=DIRECTION('',(0.,0.,1.)); #383136=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #383137=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #383138=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #383139=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #383140=DIRECTION('',(0.,0.,1.)); #383141=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #383142=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #383143=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #383144=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #383145=DIRECTION('',(0.,0.,1.)); #383146=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #383147=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #383148=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #383149=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #383150=DIRECTION('',(0.,0.,1.)); #383151=DIRECTION('center_axis',(0.538888069291942,0.842377379073538,0.)); #383152=DIRECTION('ref_axis',(-0.842377379073538,0.538888069291942,0.)); #383153=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #383154=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #383155=DIRECTION('',(0.,0.,1.)); #383156=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #383157=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #383158=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #383159=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #383160=DIRECTION('',(0.,0.,1.)); #383161=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #383162=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #383163=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383164=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383165=DIRECTION('',(0.,0.,1.)); #383166=DIRECTION('center_axis',(1.,0.,0.)); #383167=DIRECTION('ref_axis',(0.,1.,0.)); #383168=DIRECTION('',(0.,1.,0.)); #383169=DIRECTION('',(0.,1.,0.)); #383170=DIRECTION('',(0.,0.,1.)); #383171=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #383172=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #383173=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383174=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383175=DIRECTION('',(0.,0.,1.)); #383176=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #383177=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #383178=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #383179=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #383180=DIRECTION('',(0.,0.,1.)); #383181=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #383182=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #383183=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #383184=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #383185=DIRECTION('',(0.,0.,1.)); #383186=DIRECTION('center_axis',(1.,0.,0.)); #383187=DIRECTION('ref_axis',(0.,1.,0.)); #383188=DIRECTION('',(0.,1.,0.)); #383189=DIRECTION('',(0.,1.,0.)); #383190=DIRECTION('',(0.,0.,1.)); #383191=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #383192=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #383193=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383194=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383195=DIRECTION('',(0.,0.,1.)); #383196=DIRECTION('center_axis',(0.,1.,0.)); #383197=DIRECTION('ref_axis',(-1.,0.,0.)); #383198=DIRECTION('',(-1.,0.,0.)); #383199=DIRECTION('',(-1.,0.,0.)); #383200=DIRECTION('',(0.,0.,1.)); #383201=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383202=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383203=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383204=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383205=DIRECTION('',(0.,0.,1.)); #383206=DIRECTION('center_axis',(-1.,0.,0.)); #383207=DIRECTION('ref_axis',(0.,-1.,0.)); #383208=DIRECTION('',(0.,-1.,0.)); #383209=DIRECTION('',(0.,-1.,0.)); #383210=DIRECTION('',(0.,0.,1.)); #383211=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #383212=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #383213=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #383214=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #383215=DIRECTION('',(0.,0.,1.)); #383216=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #383217=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #383218=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #383219=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #383220=DIRECTION('',(0.,0.,1.)); #383221=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #383222=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #383223=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383224=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383225=DIRECTION('',(0.,0.,1.)); #383226=DIRECTION('center_axis',(-1.,0.,0.)); #383227=DIRECTION('ref_axis',(0.,-1.,0.)); #383228=DIRECTION('',(0.,-1.,0.)); #383229=DIRECTION('',(0.,-1.,0.)); #383230=DIRECTION('',(0.,0.,1.)); #383231=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #383232=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #383233=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383234=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383235=DIRECTION('',(0.,0.,1.)); #383236=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #383237=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #383238=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #383239=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #383240=DIRECTION('',(0.,0.,1.)); #383241=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #383242=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #383243=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #383244=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #383245=DIRECTION('',(0.,0.,1.)); #383246=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #383247=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #383248=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #383249=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #383250=DIRECTION('',(0.,0.,1.)); #383251=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #383252=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #383253=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #383254=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #383255=DIRECTION('',(0.,0.,1.)); #383256=DIRECTION('center_axis',(0.,1.,0.)); #383257=DIRECTION('ref_axis',(-1.,0.,0.)); #383258=DIRECTION('',(-1.,0.,0.)); #383259=DIRECTION('',(-1.,0.,0.)); #383260=DIRECTION('',(0.,0.,1.)); #383261=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383262=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383263=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383264=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383265=DIRECTION('',(0.,0.,1.)); #383266=DIRECTION('center_axis',(-1.,0.,0.)); #383267=DIRECTION('ref_axis',(0.,-1.,0.)); #383268=DIRECTION('',(0.,-1.,0.)); #383269=DIRECTION('',(0.,-1.,0.)); #383270=DIRECTION('',(0.,0.,1.)); #383271=DIRECTION('center_axis',(-0.983056036329444,0.183305290257108,0.)); #383272=DIRECTION('ref_axis',(-0.183305290257108,-0.983056036329444,0.)); #383273=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #383274=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #383275=DIRECTION('',(0.,0.,1.)); #383276=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #383277=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #383278=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #383279=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #383280=DIRECTION('',(0.,0.,1.)); #383281=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #383282=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #383283=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #383284=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #383285=DIRECTION('',(0.,0.,1.)); #383286=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #383287=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #383288=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #383289=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #383290=DIRECTION('',(0.,0.,1.)); #383291=DIRECTION('center_axis',(0.,1.,0.)); #383292=DIRECTION('ref_axis',(-1.,0.,0.)); #383293=DIRECTION('',(-1.,0.,0.)); #383294=DIRECTION('',(-1.,0.,0.)); #383295=DIRECTION('',(0.,0.,1.)); #383296=DIRECTION('center_axis',(-0.707106781186583,0.707106781186512,0.)); #383297=DIRECTION('ref_axis',(-0.707106781186512,-0.707106781186583,0.)); #383298=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #383299=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #383300=DIRECTION('',(0.,0.,1.)); #383301=DIRECTION('center_axis',(-1.,0.,0.)); #383302=DIRECTION('ref_axis',(0.,-1.,0.)); #383303=DIRECTION('',(0.,-1.,0.)); #383304=DIRECTION('',(0.,-1.,0.)); #383305=DIRECTION('',(0.,0.,1.)); #383306=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #383307=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #383308=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #383309=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #383310=DIRECTION('',(0.,0.,1.)); #383311=DIRECTION('center_axis',(0.,-1.,0.)); #383312=DIRECTION('ref_axis',(1.,0.,0.)); #383313=DIRECTION('',(1.,0.,0.)); #383314=DIRECTION('',(1.,0.,0.)); #383315=DIRECTION('',(0.,0.,1.)); #383316=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #383317=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #383318=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #383319=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #383320=DIRECTION('',(0.,0.,1.)); #383321=DIRECTION('center_axis',(1.,0.,0.)); #383322=DIRECTION('ref_axis',(0.,1.,0.)); #383323=DIRECTION('',(0.,1.,0.)); #383324=DIRECTION('',(0.,1.,0.)); #383325=DIRECTION('',(0.,0.,1.)); #383326=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #383327=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #383328=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #383329=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #383330=DIRECTION('',(0.,0.,1.)); #383331=DIRECTION('center_axis',(0.,1.,0.)); #383332=DIRECTION('ref_axis',(-1.,0.,0.)); #383333=DIRECTION('',(-1.,0.,0.)); #383334=DIRECTION('',(-1.,0.,0.)); #383335=DIRECTION('',(0.,0.,1.)); #383336=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #383337=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #383338=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #383339=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #383340=DIRECTION('',(0.,0.,1.)); #383341=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #383342=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #383343=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #383344=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #383345=DIRECTION('',(0.,0.,1.)); #383346=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #383347=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #383348=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #383349=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #383350=DIRECTION('',(0.,0.,1.)); #383351=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #383352=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #383353=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #383354=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #383355=DIRECTION('',(0.,0.,1.)); #383356=DIRECTION('center_axis',(1.,0.,0.)); #383357=DIRECTION('ref_axis',(0.,1.,0.)); #383358=DIRECTION('',(0.,1.,0.)); #383359=DIRECTION('',(0.,1.,0.)); #383360=DIRECTION('',(0.,0.,1.)); #383361=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #383362=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #383363=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #383364=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #383365=DIRECTION('',(0.,0.,1.)); #383366=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #383367=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #383368=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #383369=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #383370=DIRECTION('',(0.,0.,1.)); #383371=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #383372=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #383373=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #383374=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #383375=DIRECTION('',(0.,0.,1.)); #383376=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #383377=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #383378=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #383379=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #383380=DIRECTION('',(0.,0.,1.)); #383381=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #383382=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #383383=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #383384=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #383385=DIRECTION('',(0.,0.,1.)); #383386=DIRECTION('center_axis',(0.,-1.,0.)); #383387=DIRECTION('ref_axis',(1.,0.,0.)); #383388=DIRECTION('',(1.,0.,0.)); #383389=DIRECTION('',(1.,0.,0.)); #383390=DIRECTION('',(0.,0.,1.)); #383391=DIRECTION('center_axis',(-0.175495599689754,-0.984480215387558, 0.)); #383392=DIRECTION('ref_axis',(0.984480215387559,-0.175495599689754,0.)); #383393=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #383394=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #383395=DIRECTION('',(0.,0.,1.)); #383396=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #383397=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #383398=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #383399=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #383400=DIRECTION('',(0.,0.,1.)); #383401=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #383402=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #383403=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #383404=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #383405=DIRECTION('',(0.,0.,1.)); #383406=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #383407=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #383408=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #383409=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #383410=DIRECTION('',(0.,0.,1.)); #383411=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #383412=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #383413=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #383414=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #383415=DIRECTION('',(0.,0.,1.)); #383416=DIRECTION('center_axis',(-0.833659859749845,0.552278225391757,0.)); #383417=DIRECTION('ref_axis',(-0.552278225391757,-0.833659859749845,0.)); #383418=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #383419=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #383420=DIRECTION('',(0.,0.,1.)); #383421=DIRECTION('center_axis',(-0.707106781186542,0.707106781186553,0.)); #383422=DIRECTION('ref_axis',(-0.707106781186553,-0.707106781186542,0.)); #383423=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #383424=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #383425=DIRECTION('',(0.,0.,1.)); #383426=DIRECTION('center_axis',(-1.,0.,0.)); #383427=DIRECTION('ref_axis',(0.,-1.,0.)); #383428=DIRECTION('',(0.,-1.,0.)); #383429=DIRECTION('',(0.,-1.,0.)); #383430=DIRECTION('',(0.,0.,1.)); #383431=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #383432=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #383433=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #383434=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #383435=DIRECTION('',(0.,0.,1.)); #383436=DIRECTION('center_axis',(-0.833669916115057,0.552263045083332,0.)); #383437=DIRECTION('ref_axis',(-0.552263045083332,-0.833669916115057,0.)); #383438=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #383439=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #383440=DIRECTION('',(0.,0.,1.)); #383441=DIRECTION('center_axis',(-0.707106781186549,0.707106781186547,0.)); #383442=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186548,0.)); #383443=DIRECTION('',(-0.707106781186546,-0.707106781186548,0.)); #383444=DIRECTION('',(-0.707106781186546,-0.707106781186548,0.)); #383445=DIRECTION('',(0.,0.,1.)); #383446=DIRECTION('center_axis',(-1.,0.,0.)); #383447=DIRECTION('ref_axis',(0.,-1.,0.)); #383448=DIRECTION('',(0.,-1.,0.)); #383449=DIRECTION('',(0.,-1.,0.)); #383450=DIRECTION('',(0.,0.,1.)); #383451=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #383452=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #383453=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383454=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383455=DIRECTION('',(0.,0.,1.)); #383456=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #383457=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #383458=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #383459=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #383460=DIRECTION('',(0.,0.,1.)); #383461=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #383462=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #383463=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #383464=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #383465=DIRECTION('',(0.,0.,1.)); #383466=DIRECTION('center_axis',(-1.,0.,0.)); #383467=DIRECTION('ref_axis',(0.,-1.,0.)); #383468=DIRECTION('',(0.,-1.,0.)); #383469=DIRECTION('',(0.,-1.,0.)); #383470=DIRECTION('',(0.,0.,1.)); #383471=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #383472=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #383473=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #383474=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #383475=DIRECTION('',(0.,0.,1.)); #383476=DIRECTION('center_axis',(0.,-1.,0.)); #383477=DIRECTION('ref_axis',(1.,0.,0.)); #383478=DIRECTION('',(1.,0.,0.)); #383479=DIRECTION('',(1.,0.,0.)); #383480=DIRECTION('',(0.,0.,1.)); #383481=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #383482=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #383483=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #383484=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #383485=DIRECTION('',(0.,0.,1.)); #383486=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #383487=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #383488=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #383489=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #383490=DIRECTION('',(0.,0.,1.)); #383491=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #383492=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #383493=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #383494=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #383495=DIRECTION('',(0.,0.,1.)); #383496=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #383497=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #383498=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #383499=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #383500=DIRECTION('',(0.,0.,1.)); #383501=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #383502=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #383503=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #383504=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #383505=DIRECTION('',(0.,0.,1.)); #383506=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #383507=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #383508=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #383509=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #383510=DIRECTION('',(0.,0.,1.)); #383511=DIRECTION('center_axis',(0.,-1.,0.)); #383512=DIRECTION('ref_axis',(1.,0.,0.)); #383513=DIRECTION('',(1.,0.,0.)); #383514=DIRECTION('',(1.,0.,0.)); #383515=DIRECTION('',(0.,0.,1.)); #383516=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #383517=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #383518=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #383519=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #383520=DIRECTION('',(0.,0.,1.)); #383521=DIRECTION('center_axis',(1.,0.,0.)); #383522=DIRECTION('ref_axis',(0.,1.,0.)); #383523=DIRECTION('',(0.,1.,0.)); #383524=DIRECTION('',(0.,1.,0.)); #383525=DIRECTION('',(0.,0.,1.)); #383526=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #383527=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #383528=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #383529=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #383530=DIRECTION('',(0.,0.,1.)); #383531=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #383532=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #383533=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #383534=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #383535=DIRECTION('',(0.,0.,1.)); #383536=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #383537=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #383538=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #383539=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #383540=DIRECTION('',(0.,0.,1.)); #383541=DIRECTION('center_axis',(1.,0.,0.)); #383542=DIRECTION('ref_axis',(0.,1.,0.)); #383543=DIRECTION('',(0.,1.,0.)); #383544=DIRECTION('',(0.,1.,0.)); #383545=DIRECTION('',(0.,0.,1.)); #383546=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #383547=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #383548=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383549=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383550=DIRECTION('',(0.,0.,1.)); #383551=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #383552=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #383553=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #383554=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #383555=DIRECTION('',(0.,0.,1.)); #383556=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #383557=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #383558=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #383559=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #383560=DIRECTION('',(0.,0.,1.)); #383561=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #383562=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #383563=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #383564=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #383565=DIRECTION('',(0.,0.,1.)); #383566=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #383567=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #383568=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #383569=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #383570=DIRECTION('',(0.,0.,1.)); #383571=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #383572=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #383573=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #383574=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #383575=DIRECTION('',(0.,0.,1.)); #383576=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #383577=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #383578=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383579=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #383580=DIRECTION('',(0.,0.,1.)); #383581=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #383582=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #383583=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #383584=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #383585=DIRECTION('',(0.,0.,1.)); #383586=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #383587=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #383588=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #383589=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #383590=DIRECTION('',(0.,0.,1.)); #383591=DIRECTION('center_axis',(-1.,0.,0.)); #383592=DIRECTION('ref_axis',(0.,-1.,0.)); #383593=DIRECTION('',(0.,-1.,0.)); #383594=DIRECTION('',(0.,-1.,0.)); #383595=DIRECTION('',(0.,0.,1.)); #383596=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #383597=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #383598=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #383599=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #383600=DIRECTION('',(0.,0.,1.)); #383601=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #383602=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #383603=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #383604=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #383605=DIRECTION('',(0.,0.,1.)); #383606=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #383607=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #383608=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383609=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383610=DIRECTION('',(0.,0.,1.)); #383611=DIRECTION('center_axis',(-1.,0.,0.)); #383612=DIRECTION('ref_axis',(0.,-1.,0.)); #383613=DIRECTION('',(0.,-1.,0.)); #383614=DIRECTION('',(0.,-1.,0.)); #383615=DIRECTION('',(0.,0.,1.)); #383616=DIRECTION('center_axis',(-0.981560865252922,0.191149856928888,0.)); #383617=DIRECTION('ref_axis',(-0.191149856928888,-0.981560865252922,0.)); #383618=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #383619=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #383620=DIRECTION('',(0.,0.,1.)); #383621=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #383622=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #383623=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #383624=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #383625=DIRECTION('',(0.,0.,1.)); #383626=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383627=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383628=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383629=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383630=DIRECTION('',(0.,0.,1.)); #383631=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #383632=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #383633=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #383634=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #383635=DIRECTION('',(0.,0.,1.)); #383636=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #383637=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #383638=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #383639=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #383640=DIRECTION('',(0.,0.,1.)); #383641=DIRECTION('center_axis',(0.,1.,0.)); #383642=DIRECTION('ref_axis',(-1.,0.,0.)); #383643=DIRECTION('',(-1.,0.,0.)); #383644=DIRECTION('',(-1.,0.,0.)); #383645=DIRECTION('',(0.,0.,1.)); #383646=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #383647=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #383648=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #383649=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #383650=DIRECTION('',(0.,0.,1.)); #383651=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #383652=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #383653=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #383654=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #383655=DIRECTION('',(0.,0.,1.)); #383656=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #383657=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #383658=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #383659=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #383660=DIRECTION('',(0.,0.,1.)); #383661=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #383662=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #383663=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #383664=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #383665=DIRECTION('',(0.,0.,1.)); #383666=DIRECTION('center_axis',(0.538911466745818,0.842362410729415,0.)); #383667=DIRECTION('ref_axis',(-0.842362410729414,0.538911466745818,0.)); #383668=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #383669=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #383670=DIRECTION('',(0.,0.,1.)); #383671=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #383672=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #383673=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #383674=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #383675=DIRECTION('',(0.,0.,1.)); #383676=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #383677=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #383678=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383679=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383680=DIRECTION('',(0.,0.,1.)); #383681=DIRECTION('center_axis',(1.,0.,0.)); #383682=DIRECTION('ref_axis',(0.,1.,0.)); #383683=DIRECTION('',(0.,1.,0.)); #383684=DIRECTION('',(0.,1.,0.)); #383685=DIRECTION('',(0.,0.,1.)); #383686=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #383687=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #383688=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383689=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383690=DIRECTION('',(0.,0.,1.)); #383691=DIRECTION('center_axis',(0.,1.,0.)); #383692=DIRECTION('ref_axis',(-1.,0.,0.)); #383693=DIRECTION('',(-1.,0.,0.)); #383694=DIRECTION('',(-1.,0.,0.)); #383695=DIRECTION('',(0.,0.,1.)); #383696=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #383697=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #383698=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #383699=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #383700=DIRECTION('',(0.,0.,1.)); #383701=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #383702=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #383703=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #383704=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #383705=DIRECTION('',(0.,0.,1.)); #383706=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #383707=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #383708=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #383709=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #383710=DIRECTION('',(0.,0.,1.)); #383711=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #383712=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #383713=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #383714=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #383715=DIRECTION('',(0.,0.,1.)); #383716=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #383717=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #383718=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #383719=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #383720=DIRECTION('',(0.,0.,1.)); #383721=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #383722=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #383723=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #383724=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #383725=DIRECTION('',(0.,0.,1.)); #383726=DIRECTION('center_axis',(0.,1.,0.)); #383727=DIRECTION('ref_axis',(-1.,0.,0.)); #383728=DIRECTION('',(-1.,0.,0.)); #383729=DIRECTION('',(-1.,0.,0.)); #383730=DIRECTION('',(0.,0.,1.)); #383731=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383732=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383733=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383734=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383735=DIRECTION('',(0.,0.,1.)); #383736=DIRECTION('center_axis',(-1.,0.,0.)); #383737=DIRECTION('ref_axis',(0.,-1.,0.)); #383738=DIRECTION('',(0.,-1.,0.)); #383739=DIRECTION('',(0.,-1.,0.)); #383740=DIRECTION('',(0.,0.,1.)); #383741=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #383742=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #383743=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #383744=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #383745=DIRECTION('',(0.,0.,1.)); #383746=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #383747=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #383748=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #383749=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #383750=DIRECTION('',(0.,0.,1.)); #383751=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #383752=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #383753=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383754=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383755=DIRECTION('',(0.,0.,1.)); #383756=DIRECTION('center_axis',(-1.,0.,0.)); #383757=DIRECTION('ref_axis',(0.,-1.,0.)); #383758=DIRECTION('',(0.,-1.,0.)); #383759=DIRECTION('',(0.,-1.,0.)); #383760=DIRECTION('',(0.,0.,1.)); #383761=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #383762=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #383763=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383764=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #383765=DIRECTION('',(0.,0.,1.)); #383766=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #383767=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #383768=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #383769=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #383770=DIRECTION('',(0.,0.,1.)); #383771=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383772=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383773=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383774=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383775=DIRECTION('',(0.,0.,1.)); #383776=DIRECTION('center_axis',(-0.55894633194911,0.829203833807246,0.)); #383777=DIRECTION('ref_axis',(-0.829203833807246,-0.55894633194911,0.)); #383778=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #383779=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #383780=DIRECTION('',(0.,0.,1.)); #383781=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #383782=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #383783=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #383784=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #383785=DIRECTION('',(0.,0.,1.)); #383786=DIRECTION('center_axis',(0.,1.,0.)); #383787=DIRECTION('ref_axis',(-1.,0.,0.)); #383788=DIRECTION('',(-1.,0.,0.)); #383789=DIRECTION('',(-1.,0.,0.)); #383790=DIRECTION('',(0.,0.,1.)); #383791=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #383792=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #383793=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #383794=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #383795=DIRECTION('',(0.,0.,1.)); #383796=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #383797=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #383798=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #383799=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #383800=DIRECTION('',(0.,0.,1.)); #383801=DIRECTION('center_axis',(0.824745549034014,0.565504004714894,0.)); #383802=DIRECTION('ref_axis',(-0.565504004714894,0.824745549034014,0.)); #383803=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #383804=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #383805=DIRECTION('',(0.,0.,1.)); #383806=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #383807=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #383808=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383809=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383810=DIRECTION('',(0.,0.,1.)); #383811=DIRECTION('center_axis',(1.,0.,0.)); #383812=DIRECTION('ref_axis',(0.,1.,0.)); #383813=DIRECTION('',(0.,1.,0.)); #383814=DIRECTION('',(0.,1.,0.)); #383815=DIRECTION('',(0.,0.,1.)); #383816=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #383817=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #383818=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383819=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #383820=DIRECTION('',(0.,0.,1.)); #383821=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #383822=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #383823=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #383824=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #383825=DIRECTION('',(0.,0.,1.)); #383826=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #383827=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #383828=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #383829=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #383830=DIRECTION('',(0.,0.,1.)); #383831=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #383832=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #383833=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #383834=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #383835=DIRECTION('',(0.,0.,1.)); #383836=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #383837=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #383838=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #383839=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #383840=DIRECTION('',(0.,0.,1.)); #383841=DIRECTION('center_axis',(0.,-1.,0.)); #383842=DIRECTION('ref_axis',(1.,0.,0.)); #383843=DIRECTION('',(1.,0.,0.)); #383844=DIRECTION('',(1.,0.,0.)); #383845=DIRECTION('',(0.,0.,1.)); #383846=DIRECTION('center_axis',(0.105885061288489,-0.994378375567336,0.)); #383847=DIRECTION('ref_axis',(0.994378375567335,0.105885061288489,0.)); #383848=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #383849=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #383850=DIRECTION('',(0.,0.,1.)); #383851=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #383852=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #383853=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #383854=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #383855=DIRECTION('',(0.,0.,1.)); #383856=DIRECTION('center_axis',(0.506114900434878,-0.862466061684628,0.)); #383857=DIRECTION('ref_axis',(0.862466061684628,0.506114900434878,0.)); #383858=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #383859=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #383860=DIRECTION('',(0.,0.,1.)); #383861=DIRECTION('center_axis',(0.65250882445189,-0.757781125399949,0.)); #383862=DIRECTION('ref_axis',(0.757781125399949,0.65250882445189,0.)); #383863=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #383864=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #383865=DIRECTION('',(0.,0.,1.)); #383866=DIRECTION('center_axis',(0.70710706513946,-0.707106497233521,0.)); #383867=DIRECTION('ref_axis',(0.707106497233521,0.70710706513946,0.)); #383868=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #383869=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #383870=DIRECTION('',(0.,0.,1.)); #383871=DIRECTION('center_axis',(0.77804881138165,-0.628203826084816,0.)); #383872=DIRECTION('ref_axis',(0.628203826084816,0.77804881138165,0.)); #383873=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #383874=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #383875=DIRECTION('',(0.,0.,1.)); #383876=DIRECTION('center_axis',(0.892938781493067,-0.450178111979777,0.)); #383877=DIRECTION('ref_axis',(0.450178111979777,0.892938781493067,0.)); #383878=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #383879=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #383880=DIRECTION('',(0.,0.,1.)); #383881=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #383882=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #383883=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #383884=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #383885=DIRECTION('',(0.,0.,1.)); #383886=DIRECTION('center_axis',(0.997238118319985,-0.0742706898420613, 0.)); #383887=DIRECTION('ref_axis',(0.0742706898420613,0.997238118319985,0.)); #383888=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #383889=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #383890=DIRECTION('',(0.,0.,1.)); #383891=DIRECTION('center_axis',(1.,0.,0.)); #383892=DIRECTION('ref_axis',(0.,1.,0.)); #383893=DIRECTION('',(0.,1.,0.)); #383894=DIRECTION('',(0.,1.,0.)); #383895=DIRECTION('',(0.,0.,1.)); #383896=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #383897=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #383898=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #383899=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #383900=DIRECTION('',(0.,0.,1.)); #383901=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #383902=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #383903=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #383904=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #383905=DIRECTION('',(0.,0.,1.)); #383906=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #383907=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #383908=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #383909=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #383910=DIRECTION('center_axis',(0.,0.,1.)); #383911=DIRECTION('ref_axis',(1.,0.,0.)); #383912=DIRECTION('center_axis',(0.,0.,1.)); #383913=DIRECTION('ref_axis',(1.,0.,0.)); #383914=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #383915=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #383916=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #383917=DIRECTION('',(0.,0.,1.)); #383918=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #383919=DIRECTION('',(0.,0.,1.)); #383920=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #383921=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #383922=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #383923=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #383924=DIRECTION('',(0.,0.,1.)); #383925=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #383926=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #383927=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #383928=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #383929=DIRECTION('',(0.,0.,1.)); #383930=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #383931=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #383932=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #383933=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #383934=DIRECTION('',(0.,0.,1.)); #383935=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #383936=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #383937=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #383938=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #383939=DIRECTION('',(0.,0.,1.)); #383940=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #383941=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #383942=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383943=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #383944=DIRECTION('',(0.,0.,1.)); #383945=DIRECTION('center_axis',(-1.,0.,0.)); #383946=DIRECTION('ref_axis',(0.,-1.,0.)); #383947=DIRECTION('',(0.,-1.,0.)); #383948=DIRECTION('',(0.,-1.,0.)); #383949=DIRECTION('',(0.,0.,1.)); #383950=DIRECTION('center_axis',(-0.984479063846087,0.175502059386013,0.)); #383951=DIRECTION('ref_axis',(-0.175502059386013,-0.984479063846087,0.)); #383952=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #383953=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #383954=DIRECTION('',(0.,0.,1.)); #383955=DIRECTION('center_axis',(-0.850880796063459,0.525358802049051,0.)); #383956=DIRECTION('ref_axis',(-0.525358802049051,-0.850880796063459,0.)); #383957=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #383958=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #383959=DIRECTION('',(0.,0.,1.)); #383960=DIRECTION('center_axis',(-0.578461888589359,0.815709411156713,0.)); #383961=DIRECTION('ref_axis',(-0.815709411156713,-0.578461888589359,0.)); #383962=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #383963=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #383964=DIRECTION('',(0.,0.,1.)); #383965=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #383966=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #383967=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #383968=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #383969=DIRECTION('',(0.,0.,1.)); #383970=DIRECTION('center_axis',(0.18333481857362,0.983050529880625,0.)); #383971=DIRECTION('ref_axis',(-0.983050529880625,0.18333481857362,0.)); #383972=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #383973=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #383974=DIRECTION('',(0.,0.,1.)); #383975=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #383976=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #383977=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #383978=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #383979=DIRECTION('',(0.,0.,1.)); #383980=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #383981=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #383982=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383983=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #383984=DIRECTION('',(0.,0.,1.)); #383985=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #383986=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #383987=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #383988=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #383989=DIRECTION('',(0.,0.,1.)); #383990=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #383991=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #383992=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383993=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #383994=DIRECTION('',(0.,0.,1.)); #383995=DIRECTION('center_axis',(1.,0.,0.)); #383996=DIRECTION('ref_axis',(0.,1.,0.)); #383997=DIRECTION('',(0.,1.,0.)); #383998=DIRECTION('',(0.,1.,0.)); #383999=DIRECTION('',(0.,0.,1.)); #384000=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #384001=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #384002=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #384003=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #384004=DIRECTION('',(0.,0.,1.)); #384005=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #384006=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #384007=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #384008=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #384009=DIRECTION('',(0.,0.,1.)); #384010=DIRECTION('center_axis',(0.57848382822482,-0.815693852178841,0.)); #384011=DIRECTION('ref_axis',(0.815693852178841,0.57848382822482,0.)); #384012=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #384013=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #384014=DIRECTION('center_axis',(0.,0.,1.)); #384015=DIRECTION('ref_axis',(1.,0.,0.)); #384016=DIRECTION('center_axis',(0.,0.,1.)); #384017=DIRECTION('ref_axis',(1.,0.,0.)); #384018=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #384019=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #384020=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #384021=DIRECTION('',(0.,0.,1.)); #384022=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #384023=DIRECTION('',(0.,0.,1.)); #384024=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #384025=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #384026=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #384027=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #384028=DIRECTION('',(0.,0.,1.)); #384029=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #384030=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #384031=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #384032=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #384033=DIRECTION('',(0.,0.,1.)); #384034=DIRECTION('center_axis',(-0.70710678118657,-0.707106781186525,0.)); #384035=DIRECTION('ref_axis',(0.707106781186525,-0.70710678118657,0.)); #384036=DIRECTION('',(0.707106781186525,-0.70710678118657,0.)); #384037=DIRECTION('',(0.707106781186525,-0.70710678118657,0.)); #384038=DIRECTION('',(0.,0.,1.)); #384039=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #384040=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #384041=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #384042=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #384043=DIRECTION('',(0.,0.,1.)); #384044=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #384045=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #384046=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #384047=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #384048=DIRECTION('',(0.,0.,1.)); #384049=DIRECTION('center_axis',(-1.,0.,0.)); #384050=DIRECTION('ref_axis',(0.,-1.,0.)); #384051=DIRECTION('',(0.,-1.,0.)); #384052=DIRECTION('',(0.,-1.,0.)); #384053=DIRECTION('',(0.,0.,1.)); #384054=DIRECTION('center_axis',(-0.984479063846087,0.175502059386013,0.)); #384055=DIRECTION('ref_axis',(-0.175502059386013,-0.984479063846087,0.)); #384056=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #384057=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #384058=DIRECTION('',(0.,0.,1.)); #384059=DIRECTION('center_axis',(-0.850871695642093,0.525373540973609,0.)); #384060=DIRECTION('ref_axis',(-0.525373540973609,-0.850871695642093,0.)); #384061=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #384062=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #384063=DIRECTION('',(0.,0.,1.)); #384064=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #384065=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #384066=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #384067=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #384068=DIRECTION('',(0.,0.,1.)); #384069=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #384070=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #384071=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #384072=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #384073=DIRECTION('',(0.,0.,1.)); #384074=DIRECTION('center_axis',(0.183334818573814,0.983050529880589,0.)); #384075=DIRECTION('ref_axis',(-0.983050529880589,0.183334818573814,0.)); #384076=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #384077=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #384078=DIRECTION('',(0.,0.,1.)); #384079=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #384080=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #384081=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #384082=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #384083=DIRECTION('',(0.,0.,1.)); #384084=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #384085=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #384086=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #384087=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #384088=DIRECTION('',(0.,0.,1.)); #384089=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #384090=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #384091=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #384092=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #384093=DIRECTION('',(0.,0.,1.)); #384094=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #384095=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #384096=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #384097=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #384098=DIRECTION('',(0.,0.,1.)); #384099=DIRECTION('center_axis',(1.,0.,0.)); #384100=DIRECTION('ref_axis',(0.,1.,0.)); #384101=DIRECTION('',(0.,1.,0.)); #384102=DIRECTION('',(0.,1.,0.)); #384103=DIRECTION('',(0.,0.,1.)); #384104=DIRECTION('center_axis',(0.981560865252957,-0.191149856928713,0.)); #384105=DIRECTION('ref_axis',(0.191149856928713,0.981560865252957,0.)); #384106=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #384107=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #384108=DIRECTION('',(0.,0.,1.)); #384109=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #384110=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #384111=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #384112=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #384113=DIRECTION('',(0.,0.,1.)); #384114=DIRECTION('center_axis',(0.70710678118657,-0.707106781186525,0.)); #384115=DIRECTION('ref_axis',(0.707106781186525,0.70710678118657,0.)); #384116=DIRECTION('',(0.707106781186525,0.70710678118657,0.)); #384117=DIRECTION('',(0.707106781186525,0.70710678118657,0.)); #384118=DIRECTION('',(0.,0.,1.)); #384119=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #384120=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #384121=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #384122=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #384123=DIRECTION('center_axis',(0.,0.,1.)); #384124=DIRECTION('ref_axis',(1.,0.,0.)); #384125=DIRECTION('center_axis',(0.,0.,1.)); #384126=DIRECTION('ref_axis',(1.,0.,0.)); #384127=DIRECTION('center_axis',(0.,0.,1.)); #384128=DIRECTION('ref_axis',(1.,0.,0.)); #384129=DIRECTION('center_axis',(0.,0.,1.)); #384130=DIRECTION('ref_axis',(1.,0.,0.)); #384131=DIRECTION('',(0.,0.,1.)); #384132=DIRECTION('center_axis',(0.,0.,-1.)); #384133=DIRECTION('ref_axis',(1.,0.,0.)); #384134=DIRECTION('center_axis',(0.,0.,1.)); #384135=DIRECTION('ref_axis',(1.,0.,0.)); #384136=DIRECTION('center_axis',(0.,0.,1.)); #384137=DIRECTION('ref_axis',(1.,0.,0.)); #384138=DIRECTION('',(0.,0.,1.)); #384139=DIRECTION('center_axis',(0.,0.,-1.)); #384140=DIRECTION('ref_axis',(1.,0.,0.)); #384141=DIRECTION('center_axis',(0.,0.,1.)); #384142=DIRECTION('ref_axis',(1.,0.,0.)); #384143=DIRECTION('center_axis',(0.,0.,1.)); #384144=DIRECTION('ref_axis',(1.,0.,0.)); #384145=DIRECTION('',(0.,0.,1.)); #384146=DIRECTION('center_axis',(0.,0.,-1.)); #384147=DIRECTION('ref_axis',(1.,0.,0.)); #384148=DIRECTION('center_axis',(0.,0.,1.)); #384149=DIRECTION('ref_axis',(1.,0.,0.)); #384150=DIRECTION('center_axis',(0.,0.,1.)); #384151=DIRECTION('ref_axis',(1.,0.,0.)); #384152=DIRECTION('',(0.,0.,1.)); #384153=DIRECTION('center_axis',(0.,0.,-1.)); #384154=DIRECTION('ref_axis',(1.,0.,0.)); #384155=DIRECTION('center_axis',(0.,0.,1.)); #384156=DIRECTION('ref_axis',(1.,0.,0.)); #384157=DIRECTION('center_axis',(0.,0.,1.)); #384158=DIRECTION('ref_axis',(1.,0.,0.)); #384159=DIRECTION('',(0.,0.,1.)); #384160=DIRECTION('center_axis',(0.,0.,-1.)); #384161=DIRECTION('ref_axis',(1.,0.,0.)); #384162=DIRECTION('center_axis',(0.,0.,1.)); #384163=DIRECTION('ref_axis',(1.,0.,0.)); #384164=DIRECTION('center_axis',(0.,0.,1.)); #384165=DIRECTION('ref_axis',(1.,0.,0.)); #384166=DIRECTION('',(0.,0.,1.)); #384167=DIRECTION('center_axis',(0.,0.,-1.)); #384168=DIRECTION('ref_axis',(1.,0.,0.)); #384169=DIRECTION('center_axis',(0.,0.,1.)); #384170=DIRECTION('ref_axis',(1.,0.,0.)); #384171=DIRECTION('center_axis',(0.,0.,1.)); #384172=DIRECTION('ref_axis',(1.,0.,0.)); #384173=DIRECTION('',(0.,0.,1.)); #384174=DIRECTION('center_axis',(0.,0.,-1.)); #384175=DIRECTION('ref_axis',(1.,0.,0.)); #384176=DIRECTION('center_axis',(0.,0.,1.)); #384177=DIRECTION('ref_axis',(1.,0.,0.)); #384178=DIRECTION('center_axis',(0.,0.,1.)); #384179=DIRECTION('ref_axis',(1.,0.,0.)); #384180=DIRECTION('',(0.,0.,1.)); #384181=DIRECTION('center_axis',(0.,0.,-1.)); #384182=DIRECTION('ref_axis',(1.,0.,0.)); #384183=DIRECTION('center_axis',(0.,0.,1.)); #384184=DIRECTION('ref_axis',(1.,0.,0.)); #384185=DIRECTION('center_axis',(0.,0.,1.)); #384186=DIRECTION('ref_axis',(1.,0.,0.)); #384187=DIRECTION('',(0.,0.,1.)); #384188=DIRECTION('center_axis',(0.,0.,-1.)); #384189=DIRECTION('ref_axis',(1.,0.,0.)); #384190=DIRECTION('center_axis',(0.,0.,1.)); #384191=DIRECTION('ref_axis',(1.,0.,0.)); #384192=DIRECTION('center_axis',(0.,0.,1.)); #384193=DIRECTION('ref_axis',(1.,0.,0.)); #384194=DIRECTION('',(0.,0.,1.)); #384195=DIRECTION('center_axis',(0.,0.,-1.)); #384196=DIRECTION('ref_axis',(1.,0.,0.)); #384197=DIRECTION('center_axis',(0.,0.,1.)); #384198=DIRECTION('ref_axis',(1.,0.,0.)); #384199=DIRECTION('center_axis',(0.,0.,1.)); #384200=DIRECTION('ref_axis',(1.,0.,0.)); #384201=DIRECTION('',(0.,0.,1.)); #384202=DIRECTION('center_axis',(0.,0.,-1.)); #384203=DIRECTION('ref_axis',(1.,0.,0.)); #384204=DIRECTION('center_axis',(0.,0.,1.)); #384205=DIRECTION('ref_axis',(1.,0.,0.)); #384206=DIRECTION('center_axis',(0.,0.,1.)); #384207=DIRECTION('ref_axis',(1.,0.,0.)); #384208=DIRECTION('',(0.,0.,1.)); #384209=DIRECTION('center_axis',(0.,0.,-1.)); #384210=DIRECTION('ref_axis',(1.,0.,0.)); #384211=DIRECTION('center_axis',(0.,0.,1.)); #384212=DIRECTION('ref_axis',(1.,0.,0.)); #384213=DIRECTION('center_axis',(0.,0.,1.)); #384214=DIRECTION('ref_axis',(1.,0.,0.)); #384215=DIRECTION('',(0.,0.,1.)); #384216=DIRECTION('center_axis',(0.,0.,-1.)); #384217=DIRECTION('ref_axis',(1.,0.,0.)); #384218=DIRECTION('center_axis',(0.,0.,1.)); #384219=DIRECTION('ref_axis',(1.,0.,0.)); #384220=DIRECTION('center_axis',(0.,0.,1.)); #384221=DIRECTION('ref_axis',(1.,0.,0.)); #384222=DIRECTION('',(0.,0.,1.)); #384223=DIRECTION('center_axis',(0.,0.,-1.)); #384224=DIRECTION('ref_axis',(1.,0.,0.)); #384225=DIRECTION('center_axis',(0.,0.,1.)); #384226=DIRECTION('ref_axis',(1.,0.,0.)); #384227=DIRECTION('center_axis',(0.,0.,1.)); #384228=DIRECTION('ref_axis',(1.,0.,0.)); #384229=DIRECTION('',(0.,0.,1.)); #384230=DIRECTION('center_axis',(0.,0.,-1.)); #384231=DIRECTION('ref_axis',(1.,0.,0.)); #384232=DIRECTION('center_axis',(0.,0.,1.)); #384233=DIRECTION('ref_axis',(1.,0.,0.)); #384234=DIRECTION('center_axis',(0.,0.,1.)); #384235=DIRECTION('ref_axis',(1.,0.,0.)); #384236=DIRECTION('',(0.,0.,1.)); #384237=DIRECTION('center_axis',(0.,0.,-1.)); #384238=DIRECTION('ref_axis',(1.,0.,0.)); #384239=DIRECTION('center_axis',(0.,0.,1.)); #384240=DIRECTION('ref_axis',(1.,0.,0.)); #384241=DIRECTION('center_axis',(0.,0.,1.)); #384242=DIRECTION('ref_axis',(1.,0.,0.)); #384243=DIRECTION('',(0.,0.,1.)); #384244=DIRECTION('center_axis',(0.,0.,-1.)); #384245=DIRECTION('ref_axis',(1.,0.,0.)); #384246=DIRECTION('center_axis',(0.,0.,1.)); #384247=DIRECTION('ref_axis',(1.,0.,0.)); #384248=DIRECTION('center_axis',(0.,0.,1.)); #384249=DIRECTION('ref_axis',(1.,0.,0.)); #384250=DIRECTION('',(0.,0.,1.)); #384251=DIRECTION('center_axis',(0.,0.,-1.)); #384252=DIRECTION('ref_axis',(1.,0.,0.)); #384253=DIRECTION('center_axis',(0.,0.,1.)); #384254=DIRECTION('ref_axis',(1.,0.,0.)); #384255=DIRECTION('center_axis',(0.,0.,1.)); #384256=DIRECTION('ref_axis',(1.,0.,0.)); #384257=DIRECTION('',(0.,0.,1.)); #384258=DIRECTION('center_axis',(0.,0.,-1.)); #384259=DIRECTION('ref_axis',(1.,0.,0.)); #384260=DIRECTION('center_axis',(0.,0.,1.)); #384261=DIRECTION('ref_axis',(1.,0.,0.)); #384262=DIRECTION('center_axis',(0.,0.,1.)); #384263=DIRECTION('ref_axis',(1.,0.,0.)); #384264=DIRECTION('',(0.,0.,1.)); #384265=DIRECTION('center_axis',(0.,0.,-1.)); #384266=DIRECTION('ref_axis',(1.,0.,0.)); #384267=DIRECTION('center_axis',(0.,0.,1.)); #384268=DIRECTION('ref_axis',(1.,0.,0.)); #384269=DIRECTION('center_axis',(0.,0.,1.)); #384270=DIRECTION('ref_axis',(1.,0.,0.)); #384271=DIRECTION('',(0.,0.,1.)); #384272=DIRECTION('center_axis',(0.,0.,-1.)); #384273=DIRECTION('ref_axis',(1.,0.,0.)); #384274=DIRECTION('center_axis',(0.,0.,1.)); #384275=DIRECTION('ref_axis',(1.,0.,0.)); #384276=DIRECTION('center_axis',(0.,0.,1.)); #384277=DIRECTION('ref_axis',(1.,0.,0.)); #384278=DIRECTION('',(0.,0.,1.)); #384279=DIRECTION('center_axis',(0.,0.,-1.)); #384280=DIRECTION('ref_axis',(1.,0.,0.)); #384281=DIRECTION('center_axis',(0.,0.,1.)); #384282=DIRECTION('ref_axis',(1.,0.,0.)); #384283=DIRECTION('center_axis',(0.,0.,1.)); #384284=DIRECTION('ref_axis',(1.,0.,0.)); #384285=DIRECTION('',(0.,0.,1.)); #384286=DIRECTION('center_axis',(0.,0.,-1.)); #384287=DIRECTION('ref_axis',(1.,0.,0.)); #384288=DIRECTION('center_axis',(0.,0.,1.)); #384289=DIRECTION('ref_axis',(1.,0.,0.)); #384290=DIRECTION('center_axis',(0.,0.,1.)); #384291=DIRECTION('ref_axis',(1.,0.,0.)); #384292=DIRECTION('',(0.,0.,1.)); #384293=DIRECTION('center_axis',(0.,0.,-1.)); #384294=DIRECTION('ref_axis',(1.,0.,0.)); #384295=DIRECTION('center_axis',(0.,0.,1.)); #384296=DIRECTION('ref_axis',(1.,0.,0.)); #384297=DIRECTION('center_axis',(0.,0.,1.)); #384298=DIRECTION('ref_axis',(1.,0.,0.)); #384299=DIRECTION('',(0.,0.,1.)); #384300=DIRECTION('center_axis',(0.,0.,-1.)); #384301=DIRECTION('ref_axis',(1.,0.,0.)); #384302=DIRECTION('center_axis',(0.,0.,1.)); #384303=DIRECTION('ref_axis',(1.,0.,0.)); #384304=DIRECTION('center_axis',(0.,0.,1.)); #384305=DIRECTION('ref_axis',(1.,0.,0.)); #384306=DIRECTION('',(0.,0.,1.)); #384307=DIRECTION('center_axis',(0.,0.,-1.)); #384308=DIRECTION('ref_axis',(1.,0.,0.)); #384309=DIRECTION('center_axis',(0.,0.,1.)); #384310=DIRECTION('ref_axis',(1.,0.,0.)); #384311=DIRECTION('center_axis',(0.,0.,1.)); #384312=DIRECTION('ref_axis',(1.,0.,0.)); #384313=DIRECTION('',(0.,0.,1.)); #384314=DIRECTION('center_axis',(0.,0.,-1.)); #384315=DIRECTION('ref_axis',(1.,0.,0.)); #384316=DIRECTION('center_axis',(0.,0.,1.)); #384317=DIRECTION('ref_axis',(1.,0.,0.)); #384318=DIRECTION('center_axis',(0.,0.,1.)); #384319=DIRECTION('ref_axis',(1.,0.,0.)); #384320=DIRECTION('',(0.,0.,1.)); #384321=DIRECTION('center_axis',(0.,0.,-1.)); #384322=DIRECTION('ref_axis',(1.,0.,0.)); #384323=DIRECTION('center_axis',(0.,0.,1.)); #384324=DIRECTION('ref_axis',(1.,0.,0.)); #384325=DIRECTION('center_axis',(0.,0.,1.)); #384326=DIRECTION('ref_axis',(1.,0.,0.)); #384327=DIRECTION('',(0.,0.,1.)); #384328=DIRECTION('center_axis',(0.,0.,-1.)); #384329=DIRECTION('ref_axis',(1.,0.,0.)); #384330=DIRECTION('center_axis',(0.,0.,1.)); #384331=DIRECTION('ref_axis',(1.,0.,0.)); #384332=DIRECTION('center_axis',(0.,0.,1.)); #384333=DIRECTION('ref_axis',(1.,0.,0.)); #384334=DIRECTION('',(0.,0.,1.)); #384335=DIRECTION('center_axis',(0.,0.,-1.)); #384336=DIRECTION('ref_axis',(1.,0.,0.)); #384337=DIRECTION('center_axis',(0.,0.,1.)); #384338=DIRECTION('ref_axis',(1.,0.,0.)); #384339=DIRECTION('center_axis',(0.,0.,1.)); #384340=DIRECTION('ref_axis',(1.,0.,0.)); #384341=DIRECTION('',(0.,0.,1.)); #384342=DIRECTION('center_axis',(0.,0.,-1.)); #384343=DIRECTION('ref_axis',(1.,0.,0.)); #384344=DIRECTION('center_axis',(0.,0.,1.)); #384345=DIRECTION('ref_axis',(1.,0.,0.)); #384346=DIRECTION('center_axis',(0.,0.,1.)); #384347=DIRECTION('ref_axis',(1.,0.,0.)); #384348=DIRECTION('',(0.,0.,1.)); #384349=DIRECTION('center_axis',(0.,0.,-1.)); #384350=DIRECTION('ref_axis',(1.,0.,0.)); #384351=DIRECTION('center_axis',(0.,0.,1.)); #384352=DIRECTION('ref_axis',(1.,0.,0.)); #384353=DIRECTION('center_axis',(0.,0.,1.)); #384354=DIRECTION('ref_axis',(1.,0.,0.)); #384355=DIRECTION('',(0.,0.,1.)); #384356=DIRECTION('center_axis',(0.,0.,-1.)); #384357=DIRECTION('ref_axis',(1.,0.,0.)); #384358=DIRECTION('center_axis',(0.,0.,1.)); #384359=DIRECTION('ref_axis',(1.,0.,0.)); #384360=DIRECTION('center_axis',(0.,0.,1.)); #384361=DIRECTION('ref_axis',(1.,0.,0.)); #384362=DIRECTION('',(0.,0.,1.)); #384363=DIRECTION('center_axis',(0.,0.,-1.)); #384364=DIRECTION('ref_axis',(1.,0.,0.)); #384365=DIRECTION('center_axis',(0.,0.,1.)); #384366=DIRECTION('ref_axis',(1.,0.,0.)); #384367=DIRECTION('center_axis',(0.,0.,1.)); #384368=DIRECTION('ref_axis',(1.,0.,0.)); #384369=DIRECTION('',(0.,0.,1.)); #384370=DIRECTION('center_axis',(0.,0.,-1.)); #384371=DIRECTION('ref_axis',(1.,0.,0.)); #384372=DIRECTION('center_axis',(0.,0.,1.)); #384373=DIRECTION('ref_axis',(1.,0.,0.)); #384374=DIRECTION('center_axis',(0.,0.,1.)); #384375=DIRECTION('ref_axis',(1.,0.,0.)); #384376=DIRECTION('',(0.,0.,1.)); #384377=DIRECTION('center_axis',(0.,0.,-1.)); #384378=DIRECTION('ref_axis',(1.,0.,0.)); #384379=DIRECTION('center_axis',(0.,0.,1.)); #384380=DIRECTION('ref_axis',(1.,0.,0.)); #384381=DIRECTION('center_axis',(0.,0.,1.)); #384382=DIRECTION('ref_axis',(1.,0.,0.)); #384383=DIRECTION('',(0.,0.,1.)); #384384=DIRECTION('center_axis',(0.,0.,-1.)); #384385=DIRECTION('ref_axis',(1.,0.,0.)); #384386=DIRECTION('center_axis',(0.,0.,1.)); #384387=DIRECTION('ref_axis',(1.,0.,0.)); #384388=DIRECTION('center_axis',(0.,0.,1.)); #384389=DIRECTION('ref_axis',(1.,0.,0.)); #384390=DIRECTION('',(0.,0.,1.)); #384391=DIRECTION('center_axis',(0.,0.,-1.)); #384392=DIRECTION('ref_axis',(1.,0.,0.)); #384393=DIRECTION('center_axis',(0.,0.,1.)); #384394=DIRECTION('ref_axis',(1.,0.,0.)); #384395=DIRECTION('center_axis',(0.,0.,1.)); #384396=DIRECTION('ref_axis',(1.,0.,0.)); #384397=DIRECTION('',(0.,0.,1.)); #384398=DIRECTION('center_axis',(0.,0.,-1.)); #384399=DIRECTION('ref_axis',(1.,0.,0.)); #384400=DIRECTION('center_axis',(0.,0.,1.)); #384401=DIRECTION('ref_axis',(1.,0.,0.)); #384402=DIRECTION('center_axis',(0.,0.,1.)); #384403=DIRECTION('ref_axis',(1.,0.,0.)); #384404=DIRECTION('',(0.,0.,1.)); #384405=DIRECTION('center_axis',(0.,0.,-1.)); #384406=DIRECTION('ref_axis',(1.,0.,0.)); #384407=DIRECTION('center_axis',(0.,0.,1.)); #384408=DIRECTION('ref_axis',(1.,0.,0.)); #384409=DIRECTION('center_axis',(0.,0.,1.)); #384410=DIRECTION('ref_axis',(1.,0.,0.)); #384411=DIRECTION('',(0.,0.,1.)); #384412=DIRECTION('center_axis',(0.,0.,-1.)); #384413=DIRECTION('ref_axis',(1.,0.,0.)); #384414=DIRECTION('center_axis',(0.,0.,1.)); #384415=DIRECTION('ref_axis',(1.,0.,0.)); #384416=DIRECTION('center_axis',(0.,0.,1.)); #384417=DIRECTION('ref_axis',(1.,0.,0.)); #384418=DIRECTION('',(0.,0.,1.)); #384419=DIRECTION('center_axis',(0.,0.,-1.)); #384420=DIRECTION('ref_axis',(1.,0.,0.)); #384421=DIRECTION('center_axis',(0.,0.,1.)); #384422=DIRECTION('ref_axis',(1.,0.,0.)); #384423=DIRECTION('center_axis',(0.,0.,1.)); #384424=DIRECTION('ref_axis',(1.,0.,0.)); #384425=DIRECTION('',(0.,0.,1.)); #384426=DIRECTION('center_axis',(0.,0.,-1.)); #384427=DIRECTION('ref_axis',(1.,0.,0.)); #384428=DIRECTION('center_axis',(0.,0.,1.)); #384429=DIRECTION('ref_axis',(1.,0.,0.)); #384430=DIRECTION('center_axis',(0.,0.,1.)); #384431=DIRECTION('ref_axis',(1.,0.,0.)); #384432=DIRECTION('',(0.,0.,1.)); #384433=DIRECTION('center_axis',(0.,0.,-1.)); #384434=DIRECTION('ref_axis',(1.,0.,0.)); #384435=DIRECTION('center_axis',(0.,0.,1.)); #384436=DIRECTION('ref_axis',(1.,0.,0.)); #384437=DIRECTION('center_axis',(0.,0.,1.)); #384438=DIRECTION('ref_axis',(1.,0.,0.)); #384439=DIRECTION('',(0.,0.,1.)); #384440=DIRECTION('center_axis',(0.,0.,-1.)); #384441=DIRECTION('ref_axis',(1.,0.,0.)); #384442=DIRECTION('center_axis',(0.,0.,1.)); #384443=DIRECTION('ref_axis',(1.,0.,0.)); #384444=DIRECTION('center_axis',(0.,0.,1.)); #384445=DIRECTION('ref_axis',(1.,0.,0.)); #384446=DIRECTION('',(0.,0.,1.)); #384447=DIRECTION('center_axis',(0.,0.,-1.)); #384448=DIRECTION('ref_axis',(1.,0.,0.)); #384449=DIRECTION('center_axis',(0.,0.,1.)); #384450=DIRECTION('ref_axis',(1.,0.,0.)); #384451=DIRECTION('center_axis',(0.,0.,1.)); #384452=DIRECTION('ref_axis',(1.,0.,0.)); #384453=DIRECTION('',(0.,0.,1.)); #384454=DIRECTION('center_axis',(0.,0.,-1.)); #384455=DIRECTION('ref_axis',(1.,0.,0.)); #384456=DIRECTION('center_axis',(0.,0.,1.)); #384457=DIRECTION('ref_axis',(1.,0.,0.)); #384458=DIRECTION('center_axis',(0.,0.,1.)); #384459=DIRECTION('ref_axis',(1.,0.,0.)); #384460=DIRECTION('',(0.,0.,1.)); #384461=DIRECTION('center_axis',(0.,0.,-1.)); #384462=DIRECTION('ref_axis',(1.,0.,0.)); #384463=DIRECTION('center_axis',(0.,0.,1.)); #384464=DIRECTION('ref_axis',(1.,0.,0.)); #384465=DIRECTION('center_axis',(0.,0.,1.)); #384466=DIRECTION('ref_axis',(1.,0.,0.)); #384467=DIRECTION('',(0.,0.,1.)); #384468=DIRECTION('center_axis',(0.,0.,-1.)); #384469=DIRECTION('ref_axis',(1.,0.,0.)); #384470=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #384471=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #384472=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384473=DIRECTION('',(0.,0.,1.)); #384474=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384475=DIRECTION('',(0.,0.,1.)); #384476=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #384477=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #384478=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384479=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384480=DIRECTION('',(0.,0.,1.)); #384481=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384482=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384483=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384484=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384485=DIRECTION('',(0.,0.,1.)); #384486=DIRECTION('center_axis',(0.,-1.,0.)); #384487=DIRECTION('ref_axis',(1.,0.,0.)); #384488=DIRECTION('',(1.,0.,0.)); #384489=DIRECTION('',(1.,0.,0.)); #384490=DIRECTION('',(0.,0.,1.)); #384491=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384492=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384493=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384494=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384495=DIRECTION('',(0.,0.,1.)); #384496=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384497=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384498=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384499=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384500=DIRECTION('',(0.,0.,1.)); #384501=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384502=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384503=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384504=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384505=DIRECTION('',(0.,0.,1.)); #384506=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384507=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384508=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384509=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384510=DIRECTION('',(0.,0.,1.)); #384511=DIRECTION('center_axis',(0.,-1.,0.)); #384512=DIRECTION('ref_axis',(1.,0.,0.)); #384513=DIRECTION('',(1.,0.,0.)); #384514=DIRECTION('',(1.,0.,0.)); #384515=DIRECTION('',(0.,0.,1.)); #384516=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384517=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384518=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384519=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384520=DIRECTION('',(0.,0.,1.)); #384521=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384522=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384523=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384524=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384525=DIRECTION('',(0.,0.,1.)); #384526=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384527=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384528=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384529=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384530=DIRECTION('',(0.,0.,1.)); #384531=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384532=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384533=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384534=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384535=DIRECTION('',(0.,0.,1.)); #384536=DIRECTION('center_axis',(0.,-1.,0.)); #384537=DIRECTION('ref_axis',(1.,0.,0.)); #384538=DIRECTION('',(1.,0.,0.)); #384539=DIRECTION('',(1.,0.,0.)); #384540=DIRECTION('',(0.,0.,1.)); #384541=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #384542=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #384543=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384544=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384545=DIRECTION('',(0.,0.,1.)); #384546=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384547=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384548=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384549=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384550=DIRECTION('',(0.,0.,1.)); #384551=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384552=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384553=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384554=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384555=DIRECTION('',(0.,0.,1.)); #384556=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384557=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384558=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384559=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384560=DIRECTION('',(0.,0.,1.)); #384561=DIRECTION('center_axis',(0.,-1.,0.)); #384562=DIRECTION('ref_axis',(1.,0.,0.)); #384563=DIRECTION('',(1.,0.,0.)); #384564=DIRECTION('',(1.,0.,0.)); #384565=DIRECTION('',(0.,0.,1.)); #384566=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384567=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384568=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384569=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384570=DIRECTION('',(0.,0.,1.)); #384571=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384572=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384573=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384574=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384575=DIRECTION('',(0.,0.,1.)); #384576=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384577=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384578=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384579=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384580=DIRECTION('',(0.,0.,1.)); #384581=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384582=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384583=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384584=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384585=DIRECTION('',(0.,0.,1.)); #384586=DIRECTION('center_axis',(0.,-1.,0.)); #384587=DIRECTION('ref_axis',(1.,0.,0.)); #384588=DIRECTION('',(1.,0.,0.)); #384589=DIRECTION('',(1.,0.,0.)); #384590=DIRECTION('',(0.,0.,1.)); #384591=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #384592=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #384593=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384594=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384595=DIRECTION('',(0.,0.,1.)); #384596=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #384597=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #384598=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384599=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384600=DIRECTION('',(0.,0.,1.)); #384601=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #384602=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #384603=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384604=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384605=DIRECTION('',(0.,0.,1.)); #384606=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384607=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384608=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384609=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384610=DIRECTION('',(0.,0.,1.)); #384611=DIRECTION('center_axis',(0.,-1.,0.)); #384612=DIRECTION('ref_axis',(1.,0.,0.)); #384613=DIRECTION('',(1.,0.,0.)); #384614=DIRECTION('',(1.,0.,0.)); #384615=DIRECTION('',(0.,0.,1.)); #384616=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384617=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384618=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384619=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384620=DIRECTION('',(0.,0.,1.)); #384621=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384622=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384623=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384624=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384625=DIRECTION('',(0.,0.,1.)); #384626=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384627=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384628=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384629=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384630=DIRECTION('',(0.,0.,1.)); #384631=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384632=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384633=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384634=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384635=DIRECTION('',(0.,0.,1.)); #384636=DIRECTION('center_axis',(0.,-1.,0.)); #384637=DIRECTION('ref_axis',(1.,0.,0.)); #384638=DIRECTION('',(1.,0.,0.)); #384639=DIRECTION('',(1.,0.,0.)); #384640=DIRECTION('',(0.,0.,1.)); #384641=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384642=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384643=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384644=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384645=DIRECTION('',(0.,0.,1.)); #384646=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384647=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384648=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384649=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384650=DIRECTION('',(0.,0.,1.)); #384651=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384652=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384653=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384654=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384655=DIRECTION('',(0.,0.,1.)); #384656=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384657=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384658=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384659=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384660=DIRECTION('',(0.,0.,1.)); #384661=DIRECTION('center_axis',(0.,-1.,0.)); #384662=DIRECTION('ref_axis',(1.,0.,0.)); #384663=DIRECTION('',(1.,0.,0.)); #384664=DIRECTION('',(1.,0.,0.)); #384665=DIRECTION('',(0.,0.,1.)); #384666=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #384667=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #384668=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384669=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384670=DIRECTION('',(0.,0.,1.)); #384671=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384672=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384673=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384674=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384675=DIRECTION('',(0.,0.,1.)); #384676=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #384677=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #384678=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384679=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #384680=DIRECTION('',(0.,0.,1.)); #384681=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384682=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384683=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384684=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384685=DIRECTION('',(0.,0.,1.)); #384686=DIRECTION('center_axis',(0.,-1.,0.)); #384687=DIRECTION('ref_axis',(1.,0.,0.)); #384688=DIRECTION('',(1.,0.,0.)); #384689=DIRECTION('',(1.,0.,0.)); #384690=DIRECTION('',(0.,0.,1.)); #384691=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #384692=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #384693=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384694=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #384695=DIRECTION('',(0.,0.,1.)); #384696=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #384697=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #384698=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384699=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #384700=DIRECTION('',(0.,0.,1.)); #384701=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #384702=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #384703=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384704=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384705=DIRECTION('',(0.,0.,1.)); #384706=DIRECTION('center_axis',(-0.55227195526634,-0.833664013512815,0.)); #384707=DIRECTION('ref_axis',(0.833664013512814,-0.55227195526634,0.)); #384708=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #384709=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #384710=DIRECTION('',(0.,0.,1.)); #384711=DIRECTION('center_axis',(0.,-1.,0.)); #384712=DIRECTION('ref_axis',(1.,0.,0.)); #384713=DIRECTION('',(1.,0.,0.)); #384714=DIRECTION('',(1.,0.,0.)); #384715=DIRECTION('',(0.,0.,1.)); #384716=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #384717=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #384718=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384719=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #384720=DIRECTION('',(0.,0.,1.)); #384721=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #384722=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #384723=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384724=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #384725=DIRECTION('',(0.,0.,1.)); #384726=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #384727=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #384728=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384729=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #384730=DIRECTION('',(0.,0.,1.)); #384731=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #384732=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #384733=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384734=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #384735=DIRECTION('',(0.,0.,1.)); #384736=DIRECTION('center_axis',(0.,-1.,0.)); #384737=DIRECTION('ref_axis',(1.,0.,0.)); #384738=DIRECTION('',(1.,0.,0.)); #384739=DIRECTION('',(1.,0.,0.)); #384740=DIRECTION('',(0.,0.,1.)); #384741=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #384742=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #384743=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #384744=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #384745=DIRECTION('',(0.,0.,1.)); #384746=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #384747=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #384748=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #384749=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #384750=DIRECTION('',(0.,0.,1.)); #384751=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #384752=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #384753=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #384754=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #384755=DIRECTION('',(0.,0.,1.)); #384756=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #384757=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #384758=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #384759=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #384760=DIRECTION('',(0.,0.,1.)); #384761=DIRECTION('center_axis',(-1.,0.,0.)); #384762=DIRECTION('ref_axis',(0.,-1.,0.)); #384763=DIRECTION('',(0.,-1.,0.)); #384764=DIRECTION('',(0.,-1.,0.)); #384765=DIRECTION('',(0.,0.,1.)); #384766=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #384767=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #384768=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384769=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384770=DIRECTION('',(0.,0.,1.)); #384771=DIRECTION('center_axis',(-0.976692604453647,-0.214642857802332, 0.)); #384772=DIRECTION('ref_axis',(0.214642857802332,-0.976692604453647,0.)); #384773=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #384774=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #384775=DIRECTION('',(0.,0.,1.)); #384776=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #384777=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #384778=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #384779=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #384780=DIRECTION('',(0.,0.,1.)); #384781=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #384782=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #384783=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #384784=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #384785=DIRECTION('',(0.,0.,1.)); #384786=DIRECTION('center_axis',(-1.,0.,0.)); #384787=DIRECTION('ref_axis',(0.,-1.,0.)); #384788=DIRECTION('',(0.,-1.,0.)); #384789=DIRECTION('',(0.,-1.,0.)); #384790=DIRECTION('',(0.,0.,1.)); #384791=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #384792=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #384793=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384794=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384795=DIRECTION('',(0.,0.,1.)); #384796=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #384797=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #384798=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384799=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384800=DIRECTION('',(0.,0.,1.)); #384801=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #384802=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #384803=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384804=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384805=DIRECTION('',(0.,0.,1.)); #384806=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #384807=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #384808=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #384809=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #384810=DIRECTION('',(0.,0.,1.)); #384811=DIRECTION('center_axis',(-1.,0.,0.)); #384812=DIRECTION('ref_axis',(0.,-1.,0.)); #384813=DIRECTION('',(0.,-1.,0.)); #384814=DIRECTION('',(0.,-1.,0.)); #384815=DIRECTION('',(0.,0.,1.)); #384816=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #384817=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #384818=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384819=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384820=DIRECTION('',(0.,0.,1.)); #384821=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #384822=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #384823=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384824=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384825=DIRECTION('',(0.,0.,1.)); #384826=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #384827=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #384828=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #384829=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #384830=DIRECTION('',(0.,0.,1.)); #384831=DIRECTION('center_axis',(-0.833664013512784,0.552271955266387,0.)); #384832=DIRECTION('ref_axis',(-0.552271955266387,-0.833664013512783,0.)); #384833=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #384834=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #384835=DIRECTION('',(0.,0.,1.)); #384836=DIRECTION('center_axis',(-1.,0.,0.)); #384837=DIRECTION('ref_axis',(0.,-1.,0.)); #384838=DIRECTION('',(0.,-1.,0.)); #384839=DIRECTION('',(0.,-1.,0.)); #384840=DIRECTION('',(0.,0.,1.)); #384841=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #384842=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #384843=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384844=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #384845=DIRECTION('',(0.,0.,1.)); #384846=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #384847=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #384848=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384849=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384850=DIRECTION('',(0.,0.,1.)); #384851=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #384852=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #384853=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384854=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384855=DIRECTION('',(0.,0.,1.)); #384856=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #384857=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #384858=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #384859=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #384860=DIRECTION('',(0.,0.,1.)); #384861=DIRECTION('center_axis',(-1.,0.,0.)); #384862=DIRECTION('ref_axis',(0.,-1.,0.)); #384863=DIRECTION('',(0.,-1.,0.)); #384864=DIRECTION('',(0.,-1.,0.)); #384865=DIRECTION('',(0.,0.,1.)); #384866=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #384867=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #384868=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #384869=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #384870=DIRECTION('',(0.,0.,1.)); #384871=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #384872=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #384873=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384874=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #384875=DIRECTION('',(0.,0.,1.)); #384876=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #384877=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #384878=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384879=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #384880=DIRECTION('',(0.,0.,1.)); #384881=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #384882=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #384883=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #384884=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #384885=DIRECTION('',(0.,0.,1.)); #384886=DIRECTION('center_axis',(-1.,0.,0.)); #384887=DIRECTION('ref_axis',(0.,-1.,0.)); #384888=DIRECTION('',(0.,-1.,0.)); #384889=DIRECTION('',(0.,-1.,0.)); #384890=DIRECTION('',(0.,0.,1.)); #384891=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #384892=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #384893=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #384894=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #384895=DIRECTION('',(0.,0.,1.)); #384896=DIRECTION('center_axis',(0.,-1.,0.)); #384897=DIRECTION('ref_axis',(1.,0.,0.)); #384898=DIRECTION('',(1.,0.,0.)); #384899=DIRECTION('',(1.,0.,0.)); #384900=DIRECTION('',(0.,0.,1.)); #384901=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #384902=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #384903=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #384904=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #384905=DIRECTION('',(0.,0.,1.)); #384906=DIRECTION('center_axis',(1.,0.,0.)); #384907=DIRECTION('ref_axis',(0.,1.,0.)); #384908=DIRECTION('',(0.,1.,0.)); #384909=DIRECTION('',(0.,1.,0.)); #384910=DIRECTION('',(0.,0.,1.)); #384911=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #384912=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #384913=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #384914=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #384915=DIRECTION('',(0.,0.,1.)); #384916=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #384917=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #384918=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #384919=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #384920=DIRECTION('',(0.,0.,1.)); #384921=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #384922=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #384923=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #384924=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #384925=DIRECTION('',(0.,0.,1.)); #384926=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #384927=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #384928=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #384929=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #384930=DIRECTION('',(0.,0.,1.)); #384931=DIRECTION('center_axis',(0.,-1.,0.)); #384932=DIRECTION('ref_axis',(1.,0.,0.)); #384933=DIRECTION('',(1.,0.,0.)); #384934=DIRECTION('',(1.,0.,0.)); #384935=DIRECTION('',(0.,0.,1.)); #384936=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #384937=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #384938=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #384939=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #384940=DIRECTION('',(0.,0.,1.)); #384941=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #384942=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #384943=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #384944=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #384945=DIRECTION('',(0.,0.,1.)); #384946=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #384947=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #384948=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #384949=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #384950=DIRECTION('',(0.,0.,1.)); #384951=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #384952=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #384953=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #384954=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #384955=DIRECTION('',(0.,0.,1.)); #384956=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #384957=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #384958=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #384959=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #384960=DIRECTION('',(0.,0.,1.)); #384961=DIRECTION('center_axis',(-1.,0.,0.)); #384962=DIRECTION('ref_axis',(0.,-1.,0.)); #384963=DIRECTION('',(0.,-1.,0.)); #384964=DIRECTION('',(0.,-1.,0.)); #384965=DIRECTION('',(0.,0.,1.)); #384966=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #384967=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #384968=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #384969=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #384970=DIRECTION('',(0.,0.,1.)); #384971=DIRECTION('center_axis',(-0.842362410729308,0.538911466745984,0.)); #384972=DIRECTION('ref_axis',(-0.538911466745984,-0.842362410729308,0.)); #384973=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #384974=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #384975=DIRECTION('',(0.,0.,1.)); #384976=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #384977=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #384978=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #384979=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #384980=DIRECTION('',(0.,0.,1.)); #384981=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #384982=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #384983=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #384984=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #384985=DIRECTION('',(0.,0.,1.)); #384986=DIRECTION('center_axis',(0.,1.,0.)); #384987=DIRECTION('ref_axis',(-1.,0.,0.)); #384988=DIRECTION('',(-1.,0.,0.)); #384989=DIRECTION('',(-1.,0.,0.)); #384990=DIRECTION('',(0.,0.,1.)); #384991=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384992=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384993=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384994=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384995=DIRECTION('',(0.,0.,1.)); #384996=DIRECTION('center_axis',(-1.,0.,0.)); #384997=DIRECTION('ref_axis',(0.,-1.,0.)); #384998=DIRECTION('',(0.,-1.,0.)); #384999=DIRECTION('',(0.,-1.,0.)); #385000=DIRECTION('',(0.,0.,1.)); #385001=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #385002=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #385003=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #385004=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #385005=DIRECTION('',(0.,0.,1.)); #385006=DIRECTION('center_axis',(0.,-1.,0.)); #385007=DIRECTION('ref_axis',(1.,0.,0.)); #385008=DIRECTION('',(1.,0.,0.)); #385009=DIRECTION('',(1.,0.,0.)); #385010=DIRECTION('',(0.,0.,1.)); #385011=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #385012=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #385013=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #385014=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #385015=DIRECTION('',(0.,0.,1.)); #385016=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #385017=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #385018=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #385019=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #385020=DIRECTION('',(0.,0.,1.)); #385021=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #385022=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #385023=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #385024=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #385025=DIRECTION('',(0.,0.,1.)); #385026=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #385027=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #385028=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #385029=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #385030=DIRECTION('',(0.,0.,1.)); #385031=DIRECTION('center_axis',(-1.,0.,0.)); #385032=DIRECTION('ref_axis',(0.,-1.,0.)); #385033=DIRECTION('',(0.,-1.,0.)); #385034=DIRECTION('',(0.,-1.,0.)); #385035=DIRECTION('',(0.,0.,1.)); #385036=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #385037=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #385038=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #385039=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #385040=DIRECTION('',(0.,0.,1.)); #385041=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #385042=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #385043=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #385044=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #385045=DIRECTION('',(0.,0.,1.)); #385046=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385047=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385048=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385049=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385050=DIRECTION('',(0.,0.,1.)); #385051=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #385052=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #385053=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #385054=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #385055=DIRECTION('',(0.,0.,1.)); #385056=DIRECTION('center_axis',(-0.230196907019457,0.973144071552962,0.)); #385057=DIRECTION('ref_axis',(-0.973144071552962,-0.230196907019457,0.)); #385058=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #385059=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #385060=DIRECTION('',(0.,0.,1.)); #385061=DIRECTION('center_axis',(0.167675934908202,0.985842168327497,0.)); #385062=DIRECTION('ref_axis',(-0.985842168327497,0.167675934908202,0.)); #385063=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #385064=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #385065=DIRECTION('',(0.,0.,1.)); #385066=DIRECTION('center_axis',(0.538888069291772,0.842377379073647,0.)); #385067=DIRECTION('ref_axis',(-0.842377379073647,0.538888069291772,0.)); #385068=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #385069=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #385070=DIRECTION('',(0.,0.,1.)); #385071=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #385072=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #385073=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #385074=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #385075=DIRECTION('',(0.,0.,1.)); #385076=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #385077=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #385078=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #385079=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #385080=DIRECTION('',(0.,0.,1.)); #385081=DIRECTION('center_axis',(1.,0.,0.)); #385082=DIRECTION('ref_axis',(0.,1.,0.)); #385083=DIRECTION('',(0.,1.,0.)); #385084=DIRECTION('',(0.,1.,0.)); #385085=DIRECTION('',(0.,0.,1.)); #385086=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #385087=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #385088=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #385089=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #385090=DIRECTION('',(0.,0.,1.)); #385091=DIRECTION('center_axis',(0.,1.,0.)); #385092=DIRECTION('ref_axis',(-1.,0.,0.)); #385093=DIRECTION('',(-1.,0.,0.)); #385094=DIRECTION('',(-1.,0.,0.)); #385095=DIRECTION('',(0.,0.,1.)); #385096=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #385097=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #385098=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #385099=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #385100=DIRECTION('',(0.,0.,1.)); #385101=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #385102=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #385103=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #385104=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #385105=DIRECTION('',(0.,0.,1.)); #385106=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #385107=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #385108=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #385109=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #385110=DIRECTION('',(0.,0.,1.)); #385111=DIRECTION('center_axis',(0.,1.,0.)); #385112=DIRECTION('ref_axis',(-1.,0.,0.)); #385113=DIRECTION('',(-1.,0.,0.)); #385114=DIRECTION('',(-1.,0.,0.)); #385115=DIRECTION('',(0.,0.,1.)); #385116=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #385117=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #385118=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #385119=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #385120=DIRECTION('',(0.,0.,1.)); #385121=DIRECTION('center_axis',(-1.,0.,0.)); #385122=DIRECTION('ref_axis',(0.,-1.,0.)); #385123=DIRECTION('',(0.,-1.,0.)); #385124=DIRECTION('',(0.,-1.,0.)); #385125=DIRECTION('',(0.,0.,1.)); #385126=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #385127=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #385128=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385129=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385130=DIRECTION('',(0.,0.,1.)); #385131=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #385132=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #385133=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #385134=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #385135=DIRECTION('',(0.,0.,1.)); #385136=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #385137=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #385138=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385139=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385140=DIRECTION('',(0.,0.,1.)); #385141=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #385142=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #385143=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #385144=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #385145=DIRECTION('',(0.,0.,1.)); #385146=DIRECTION('center_axis',(-1.,0.,0.)); #385147=DIRECTION('ref_axis',(0.,-1.,0.)); #385148=DIRECTION('',(0.,-1.,0.)); #385149=DIRECTION('',(0.,-1.,0.)); #385150=DIRECTION('',(0.,0.,1.)); #385151=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #385152=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #385153=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #385154=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #385155=DIRECTION('',(0.,0.,1.)); #385156=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #385157=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #385158=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385159=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385160=DIRECTION('',(0.,0.,1.)); #385161=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #385162=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #385163=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385164=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385165=DIRECTION('',(0.,0.,1.)); #385166=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #385167=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #385168=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385169=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385170=DIRECTION('',(0.,0.,1.)); #385171=DIRECTION('center_axis',(-1.,0.,0.)); #385172=DIRECTION('ref_axis',(0.,-1.,0.)); #385173=DIRECTION('',(0.,-1.,0.)); #385174=DIRECTION('',(0.,-1.,0.)); #385175=DIRECTION('',(0.,0.,1.)); #385176=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #385177=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #385178=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385179=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385180=DIRECTION('',(0.,0.,1.)); #385181=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #385182=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #385183=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385184=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385185=DIRECTION('',(0.,0.,1.)); #385186=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #385187=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #385188=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385189=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385190=DIRECTION('',(0.,0.,1.)); #385191=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #385192=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #385193=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385194=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385195=DIRECTION('',(0.,0.,1.)); #385196=DIRECTION('center_axis',(-1.,0.,0.)); #385197=DIRECTION('ref_axis',(0.,-1.,0.)); #385198=DIRECTION('',(0.,-1.,0.)); #385199=DIRECTION('',(0.,-1.,0.)); #385200=DIRECTION('',(0.,0.,1.)); #385201=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #385202=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #385203=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385204=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #385205=DIRECTION('',(0.,0.,1.)); #385206=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #385207=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #385208=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385209=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #385210=DIRECTION('',(0.,0.,1.)); #385211=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #385212=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #385213=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385214=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #385215=DIRECTION('',(0.,0.,1.)); #385216=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #385217=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #385218=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385219=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #385220=DIRECTION('',(0.,0.,1.)); #385221=DIRECTION('center_axis',(-1.,0.,0.)); #385222=DIRECTION('ref_axis',(0.,-1.,0.)); #385223=DIRECTION('',(0.,-1.,0.)); #385224=DIRECTION('',(0.,-1.,0.)); #385225=DIRECTION('',(0.,0.,1.)); #385226=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #385227=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #385228=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #385229=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #385230=DIRECTION('',(0.,0.,1.)); #385231=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #385232=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #385233=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #385234=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #385235=DIRECTION('',(0.,0.,1.)); #385236=DIRECTION('center_axis',(-0.56550400471505,0.824745549033907,0.)); #385237=DIRECTION('ref_axis',(-0.824745549033907,-0.565504004715049,0.)); #385238=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #385239=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #385240=DIRECTION('',(0.,0.,1.)); #385241=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #385242=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #385243=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #385244=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #385245=DIRECTION('',(0.,0.,1.)); #385246=DIRECTION('center_axis',(0.,1.,0.)); #385247=DIRECTION('ref_axis',(-1.,0.,0.)); #385248=DIRECTION('',(-1.,0.,0.)); #385249=DIRECTION('',(-1.,0.,0.)); #385250=DIRECTION('',(0.,0.,1.)); #385251=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #385252=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #385253=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385254=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385255=DIRECTION('',(0.,0.,1.)); #385256=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385257=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385258=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385259=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385260=DIRECTION('',(0.,0.,1.)); #385261=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #385262=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #385263=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385264=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385265=DIRECTION('',(0.,0.,1.)); #385266=DIRECTION('center_axis',(0.552271955266479,0.833664013512722,0.)); #385267=DIRECTION('ref_axis',(-0.833664013512722,0.552271955266479,0.)); #385268=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #385269=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #385270=DIRECTION('',(0.,0.,1.)); #385271=DIRECTION('center_axis',(0.,1.,0.)); #385272=DIRECTION('ref_axis',(-1.,0.,0.)); #385273=DIRECTION('',(-1.,0.,0.)); #385274=DIRECTION('',(-1.,0.,0.)); #385275=DIRECTION('',(0.,0.,1.)); #385276=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385277=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385278=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385279=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385280=DIRECTION('',(0.,0.,1.)); #385281=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385282=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385283=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385284=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385285=DIRECTION('',(0.,0.,1.)); #385286=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385287=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385288=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385289=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385290=DIRECTION('',(0.,0.,1.)); #385291=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385292=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385293=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385294=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385295=DIRECTION('',(0.,0.,1.)); #385296=DIRECTION('center_axis',(0.,1.,0.)); #385297=DIRECTION('ref_axis',(-1.,0.,0.)); #385298=DIRECTION('',(-1.,0.,0.)); #385299=DIRECTION('',(-1.,0.,0.)); #385300=DIRECTION('',(0.,0.,1.)); #385301=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #385302=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #385303=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385304=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385305=DIRECTION('',(0.,0.,1.)); #385306=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #385307=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #385308=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #385309=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #385310=DIRECTION('',(0.,0.,1.)); #385311=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #385312=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #385313=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385314=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385315=DIRECTION('',(0.,0.,1.)); #385316=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385317=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385318=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385319=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385320=DIRECTION('',(0.,0.,1.)); #385321=DIRECTION('center_axis',(0.,1.,0.)); #385322=DIRECTION('ref_axis',(-1.,0.,0.)); #385323=DIRECTION('',(-1.,0.,0.)); #385324=DIRECTION('',(-1.,0.,0.)); #385325=DIRECTION('',(0.,0.,1.)); #385326=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385327=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385328=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385329=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385330=DIRECTION('',(0.,0.,1.)); #385331=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385332=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385333=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385334=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385335=DIRECTION('',(0.,0.,1.)); #385336=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385337=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385338=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385339=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385340=DIRECTION('',(0.,0.,1.)); #385341=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385342=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385343=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385344=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385345=DIRECTION('',(0.,0.,1.)); #385346=DIRECTION('center_axis',(0.,1.,0.)); #385347=DIRECTION('ref_axis',(-1.,0.,0.)); #385348=DIRECTION('',(-1.,0.,0.)); #385349=DIRECTION('',(-1.,0.,0.)); #385350=DIRECTION('',(0.,0.,1.)); #385351=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385352=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385353=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385354=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385355=DIRECTION('',(0.,0.,1.)); #385356=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385357=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385358=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385359=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385360=DIRECTION('',(0.,0.,1.)); #385361=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385362=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385363=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385364=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385365=DIRECTION('',(0.,0.,1.)); #385366=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385367=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385368=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385369=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385370=DIRECTION('',(0.,0.,1.)); #385371=DIRECTION('center_axis',(0.,1.,0.)); #385372=DIRECTION('ref_axis',(-1.,0.,0.)); #385373=DIRECTION('',(-1.,0.,0.)); #385374=DIRECTION('',(-1.,0.,0.)); #385375=DIRECTION('',(0.,0.,1.)); #385376=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #385377=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #385378=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385379=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385380=DIRECTION('',(0.,0.,1.)); #385381=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385382=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385383=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385384=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385385=DIRECTION('',(0.,0.,1.)); #385386=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385387=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385388=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385389=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385390=DIRECTION('',(0.,0.,1.)); #385391=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385392=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385393=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385394=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385395=DIRECTION('',(0.,0.,1.)); #385396=DIRECTION('center_axis',(0.,1.,0.)); #385397=DIRECTION('ref_axis',(-1.,0.,0.)); #385398=DIRECTION('',(-1.,0.,0.)); #385399=DIRECTION('',(-1.,0.,0.)); #385400=DIRECTION('',(0.,0.,1.)); #385401=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385402=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385403=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385404=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385405=DIRECTION('',(0.,0.,1.)); #385406=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385407=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385408=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385409=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385410=DIRECTION('',(0.,0.,1.)); #385411=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385412=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385413=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385414=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385415=DIRECTION('',(0.,0.,1.)); #385416=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385417=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385418=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385419=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385420=DIRECTION('',(0.,0.,1.)); #385421=DIRECTION('center_axis',(0.,1.,0.)); #385422=DIRECTION('ref_axis',(-1.,0.,0.)); #385423=DIRECTION('',(-1.,0.,0.)); #385424=DIRECTION('',(-1.,0.,0.)); #385425=DIRECTION('',(0.,0.,1.)); #385426=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #385427=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #385428=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385429=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385430=DIRECTION('',(0.,0.,1.)); #385431=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #385432=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #385433=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #385434=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #385435=DIRECTION('',(0.,0.,1.)); #385436=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #385437=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #385438=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385439=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #385440=DIRECTION('',(0.,0.,1.)); #385441=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385442=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385443=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385444=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385445=DIRECTION('',(0.,0.,1.)); #385446=DIRECTION('center_axis',(0.,1.,0.)); #385447=DIRECTION('ref_axis',(-1.,0.,0.)); #385448=DIRECTION('',(-1.,0.,0.)); #385449=DIRECTION('',(-1.,0.,0.)); #385450=DIRECTION('',(0.,0.,1.)); #385451=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385452=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385453=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385454=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385455=DIRECTION('',(0.,0.,1.)); #385456=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385457=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385458=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385459=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385460=DIRECTION('',(0.,0.,1.)); #385461=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385462=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385463=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385464=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385465=DIRECTION('',(0.,0.,1.)); #385466=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385467=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385468=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385469=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385470=DIRECTION('',(0.,0.,1.)); #385471=DIRECTION('center_axis',(0.,1.,0.)); #385472=DIRECTION('ref_axis',(-1.,0.,0.)); #385473=DIRECTION('',(-1.,0.,0.)); #385474=DIRECTION('',(-1.,0.,0.)); #385475=DIRECTION('',(0.,0.,1.)); #385476=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #385477=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #385478=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385479=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #385480=DIRECTION('',(0.,0.,1.)); #385481=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385482=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385483=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385484=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385485=DIRECTION('',(0.,0.,1.)); #385486=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385487=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385488=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385489=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385490=DIRECTION('',(0.,0.,1.)); #385491=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385492=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385493=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385494=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385495=DIRECTION('',(0.,0.,1.)); #385496=DIRECTION('center_axis',(0.,1.,0.)); #385497=DIRECTION('ref_axis',(-1.,0.,0.)); #385498=DIRECTION('',(-1.,0.,0.)); #385499=DIRECTION('',(-1.,0.,0.)); #385500=DIRECTION('',(0.,0.,1.)); #385501=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #385502=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #385503=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385504=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #385505=DIRECTION('',(0.,0.,1.)); #385506=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #385507=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #385508=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385509=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #385510=DIRECTION('',(0.,0.,1.)); #385511=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #385512=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #385513=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385514=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #385515=DIRECTION('',(0.,0.,1.)); #385516=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #385517=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #385518=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385519=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #385520=DIRECTION('',(0.,0.,1.)); #385521=DIRECTION('center_axis',(0.,1.,0.)); #385522=DIRECTION('ref_axis',(-1.,0.,0.)); #385523=DIRECTION('',(-1.,0.,0.)); #385524=DIRECTION('',(-1.,0.,0.)); #385525=DIRECTION('',(0.,0.,1.)); #385526=DIRECTION('center_axis',(0.18330529025731,0.983056036329407,0.)); #385527=DIRECTION('ref_axis',(-0.983056036329407,0.18330529025731,0.)); #385528=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #385529=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #385530=DIRECTION('',(0.,0.,1.)); #385531=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #385532=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #385533=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #385534=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #385535=DIRECTION('',(0.,0.,1.)); #385536=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #385537=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #385538=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #385539=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #385540=DIRECTION('',(0.,0.,1.)); #385541=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #385542=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #385543=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #385544=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #385545=DIRECTION('',(0.,0.,1.)); #385546=DIRECTION('center_axis',(1.,0.,0.)); #385547=DIRECTION('ref_axis',(0.,1.,0.)); #385548=DIRECTION('',(0.,1.,0.)); #385549=DIRECTION('',(0.,1.,0.)); #385550=DIRECTION('',(0.,0.,1.)); #385551=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #385552=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #385553=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #385554=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #385555=DIRECTION('',(0.,0.,1.)); #385556=DIRECTION('center_axis',(0.,1.,0.)); #385557=DIRECTION('ref_axis',(-1.,0.,0.)); #385558=DIRECTION('',(-1.,0.,0.)); #385559=DIRECTION('',(-1.,0.,0.)); #385560=DIRECTION('',(0.,0.,1.)); #385561=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385562=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385563=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385564=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385565=DIRECTION('',(0.,0.,1.)); #385566=DIRECTION('center_axis',(-0.558930424365529,0.829214556504268,0.)); #385567=DIRECTION('ref_axis',(-0.829214556504268,-0.558930424365529,0.)); #385568=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #385569=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #385570=DIRECTION('',(0.,0.,1.)); #385571=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #385572=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #385573=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #385574=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #385575=DIRECTION('',(0.,0.,1.)); #385576=DIRECTION('center_axis',(0.,1.,0.)); #385577=DIRECTION('ref_axis',(-1.,0.,0.)); #385578=DIRECTION('',(-1.,0.,0.)); #385579=DIRECTION('',(-1.,0.,0.)); #385580=DIRECTION('',(0.,0.,1.)); #385581=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #385582=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #385583=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #385584=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #385585=DIRECTION('',(0.,0.,1.)); #385586=DIRECTION('center_axis',(0.525358802048827,0.850880796063598,0.)); #385587=DIRECTION('ref_axis',(-0.850880796063598,0.525358802048827,0.)); #385588=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #385589=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #385590=DIRECTION('',(0.,0.,1.)); #385591=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #385592=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #385593=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #385594=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #385595=DIRECTION('',(0.,0.,1.)); #385596=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #385597=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #385598=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #385599=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #385600=DIRECTION('',(0.,0.,1.)); #385601=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #385602=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #385603=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #385604=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #385605=DIRECTION('',(0.,0.,1.)); #385606=DIRECTION('center_axis',(0.833659859749665,-0.552278225392029,0.)); #385607=DIRECTION('ref_axis',(0.552278225392029,0.833659859749665,0.)); #385608=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #385609=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #385610=DIRECTION('',(0.,0.,1.)); #385611=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #385612=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #385613=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #385614=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #385615=DIRECTION('',(0.,0.,1.)); #385616=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #385617=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #385618=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #385619=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #385620=DIRECTION('',(0.,0.,1.)); #385621=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #385622=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #385623=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #385624=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #385625=DIRECTION('',(0.,0.,1.)); #385626=DIRECTION('center_axis',(0.,-1.,0.)); #385627=DIRECTION('ref_axis',(1.,0.,0.)); #385628=DIRECTION('',(1.,0.,0.)); #385629=DIRECTION('',(1.,0.,0.)); #385630=DIRECTION('',(0.,0.,1.)); #385631=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #385632=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #385633=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #385634=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #385635=DIRECTION('',(0.,0.,1.)); #385636=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #385637=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #385638=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #385639=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #385640=DIRECTION('',(0.,0.,1.)); #385641=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #385642=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #385643=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #385644=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #385645=DIRECTION('',(0.,0.,1.)); #385646=DIRECTION('center_axis',(0.,-1.,0.)); #385647=DIRECTION('ref_axis',(1.,0.,0.)); #385648=DIRECTION('',(1.,0.,0.)); #385649=DIRECTION('',(1.,0.,0.)); #385650=DIRECTION('',(0.,0.,1.)); #385651=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #385652=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #385653=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #385654=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #385655=DIRECTION('',(0.,0.,1.)); #385656=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #385657=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #385658=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #385659=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #385660=DIRECTION('',(0.,0.,1.)); #385661=DIRECTION('center_axis',(-0.707106781186568,-0.707106781186527, 0.)); #385662=DIRECTION('ref_axis',(0.707106781186527,-0.707106781186568,0.)); #385663=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #385664=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #385665=DIRECTION('',(0.,0.,1.)); #385666=DIRECTION('center_axis',(0.,-1.,0.)); #385667=DIRECTION('ref_axis',(1.,0.,0.)); #385668=DIRECTION('',(1.,0.,0.)); #385669=DIRECTION('',(1.,0.,0.)); #385670=DIRECTION('',(0.,0.,1.)); #385671=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #385672=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #385673=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #385674=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #385675=DIRECTION('',(0.,0.,1.)); #385676=DIRECTION('center_axis',(1.,0.,0.)); #385677=DIRECTION('ref_axis',(0.,1.,0.)); #385678=DIRECTION('',(0.,1.,0.)); #385679=DIRECTION('',(0.,1.,0.)); #385680=DIRECTION('',(0.,0.,1.)); #385681=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #385682=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #385683=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385684=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385685=DIRECTION('',(0.,0.,1.)); #385686=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #385687=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #385688=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385689=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385690=DIRECTION('',(0.,0.,1.)); #385691=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385692=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385693=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385694=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385695=DIRECTION('',(0.,0.,1.)); #385696=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #385697=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #385698=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385699=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385700=DIRECTION('',(0.,0.,1.)); #385701=DIRECTION('center_axis',(1.,0.,0.)); #385702=DIRECTION('ref_axis',(0.,1.,0.)); #385703=DIRECTION('',(0.,1.,0.)); #385704=DIRECTION('',(0.,1.,0.)); #385705=DIRECTION('',(0.,0.,1.)); #385706=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #385707=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #385708=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385709=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385710=DIRECTION('',(0.,0.,1.)); #385711=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #385712=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #385713=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385714=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385715=DIRECTION('',(0.,0.,1.)); #385716=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385717=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385718=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385719=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385720=DIRECTION('',(0.,0.,1.)); #385721=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #385722=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #385723=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385724=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385725=DIRECTION('',(0.,0.,1.)); #385726=DIRECTION('center_axis',(1.,0.,0.)); #385727=DIRECTION('ref_axis',(0.,1.,0.)); #385728=DIRECTION('',(0.,1.,0.)); #385729=DIRECTION('',(0.,1.,0.)); #385730=DIRECTION('',(0.,0.,1.)); #385731=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #385732=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #385733=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385734=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385735=DIRECTION('',(0.,0.,1.)); #385736=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #385737=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #385738=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385739=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385740=DIRECTION('',(0.,0.,1.)); #385741=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385742=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385743=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385744=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385745=DIRECTION('',(0.,0.,1.)); #385746=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #385747=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #385748=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385749=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #385750=DIRECTION('',(0.,0.,1.)); #385751=DIRECTION('center_axis',(1.,0.,0.)); #385752=DIRECTION('ref_axis',(0.,1.,0.)); #385753=DIRECTION('',(0.,1.,0.)); #385754=DIRECTION('',(0.,1.,0.)); #385755=DIRECTION('',(0.,0.,1.)); #385756=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #385757=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #385758=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385759=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385760=DIRECTION('',(0.,0.,1.)); #385761=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #385762=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #385763=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #385764=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #385765=DIRECTION('',(0.,0.,1.)); #385766=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385767=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385768=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385769=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385770=DIRECTION('',(0.,0.,1.)); #385771=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385772=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385773=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385774=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385775=DIRECTION('',(0.,0.,1.)); #385776=DIRECTION('center_axis',(1.,0.,0.)); #385777=DIRECTION('ref_axis',(0.,1.,0.)); #385778=DIRECTION('',(0.,1.,0.)); #385779=DIRECTION('',(0.,1.,0.)); #385780=DIRECTION('',(0.,0.,1.)); #385781=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #385782=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #385783=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385784=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #385785=DIRECTION('',(0.,0.,1.)); #385786=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #385787=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #385788=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #385789=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #385790=DIRECTION('',(0.,0.,1.)); #385791=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385792=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385793=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385794=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385795=DIRECTION('',(0.,0.,1.)); #385796=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385797=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385798=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385799=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385800=DIRECTION('',(0.,0.,1.)); #385801=DIRECTION('center_axis',(1.,0.,0.)); #385802=DIRECTION('ref_axis',(0.,1.,0.)); #385803=DIRECTION('',(0.,1.,0.)); #385804=DIRECTION('',(0.,1.,0.)); #385805=DIRECTION('',(0.,0.,1.)); #385806=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #385807=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #385808=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385809=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385810=DIRECTION('',(0.,0.,1.)); #385811=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #385812=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #385813=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385814=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385815=DIRECTION('',(0.,0.,1.)); #385816=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #385817=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #385818=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385819=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385820=DIRECTION('',(0.,0.,1.)); #385821=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385822=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385823=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385824=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385825=DIRECTION('',(0.,0.,1.)); #385826=DIRECTION('center_axis',(1.,0.,0.)); #385827=DIRECTION('ref_axis',(0.,1.,0.)); #385828=DIRECTION('',(0.,1.,0.)); #385829=DIRECTION('',(0.,1.,0.)); #385830=DIRECTION('',(0.,0.,1.)); #385831=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #385832=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #385833=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385834=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385835=DIRECTION('',(0.,0.,1.)); #385836=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #385837=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #385838=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #385839=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #385840=DIRECTION('',(0.,0.,1.)); #385841=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #385842=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #385843=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385844=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385845=DIRECTION('',(0.,0.,1.)); #385846=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385847=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385848=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385849=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385850=DIRECTION('',(0.,0.,1.)); #385851=DIRECTION('center_axis',(1.,0.,0.)); #385852=DIRECTION('ref_axis',(0.,1.,0.)); #385853=DIRECTION('',(0.,1.,0.)); #385854=DIRECTION('',(0.,1.,0.)); #385855=DIRECTION('',(0.,0.,1.)); #385856=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #385857=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #385858=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385859=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385860=DIRECTION('',(0.,0.,1.)); #385861=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #385862=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #385863=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #385864=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #385865=DIRECTION('',(0.,0.,1.)); #385866=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #385867=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #385868=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385869=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #385870=DIRECTION('',(0.,0.,1.)); #385871=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385872=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385873=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385874=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385875=DIRECTION('',(0.,0.,1.)); #385876=DIRECTION('center_axis',(1.,0.,0.)); #385877=DIRECTION('ref_axis',(0.,1.,0.)); #385878=DIRECTION('',(0.,1.,0.)); #385879=DIRECTION('',(0.,1.,0.)); #385880=DIRECTION('',(0.,0.,1.)); #385881=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #385882=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #385883=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385884=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #385885=DIRECTION('',(0.,0.,1.)); #385886=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #385887=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #385888=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385889=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #385890=DIRECTION('',(0.,0.,1.)); #385891=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #385892=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #385893=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385894=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #385895=DIRECTION('',(0.,0.,1.)); #385896=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #385897=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #385898=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385899=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #385900=DIRECTION('',(0.,0.,1.)); #385901=DIRECTION('center_axis',(1.,0.,0.)); #385902=DIRECTION('ref_axis',(0.,1.,0.)); #385903=DIRECTION('',(0.,1.,0.)); #385904=DIRECTION('',(0.,1.,0.)); #385905=DIRECTION('',(0.,0.,1.)); #385906=DIRECTION('center_axis',(0.994222572580556,-0.107338139406743,0.)); #385907=DIRECTION('ref_axis',(0.107338139406743,0.994222572580556,0.)); #385908=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #385909=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #385910=DIRECTION('',(0.,0.,1.)); #385911=DIRECTION('center_axis',(0.9149217411843,-0.40363127667252,0.)); #385912=DIRECTION('ref_axis',(0.40363127667252,0.9149217411843,0.)); #385913=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #385914=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #385915=DIRECTION('',(0.,0.,1.)); #385916=DIRECTION('center_axis',(0.816341541946411,-0.577569464993221,0.)); #385917=DIRECTION('ref_axis',(0.577569464993221,0.816341541946411,0.)); #385918=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #385919=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #385920=DIRECTION('',(0.,0.,1.)); #385921=DIRECTION('center_axis',(0.919559317647945,-0.39295122003481,0.)); #385922=DIRECTION('ref_axis',(0.39295122003481,0.919559317647945,0.)); #385923=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #385924=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #385925=DIRECTION('',(0.,0.,1.)); #385926=DIRECTION('center_axis',(0.832914872222971,-0.553401134467388,0.)); #385927=DIRECTION('ref_axis',(0.553401134467388,0.832914872222971,0.)); #385928=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #385929=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #385930=DIRECTION('',(0.,0.,1.)); #385931=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #385932=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #385933=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #385934=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #385935=DIRECTION('',(0.,0.,1.)); #385936=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #385937=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #385938=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #385939=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #385940=DIRECTION('',(0.,0.,1.)); #385941=DIRECTION('center_axis',(0.,-1.,0.)); #385942=DIRECTION('ref_axis',(1.,0.,0.)); #385943=DIRECTION('',(1.,0.,0.)); #385944=DIRECTION('',(1.,0.,0.)); #385945=DIRECTION('',(0.,0.,1.)); #385946=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #385947=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #385948=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #385949=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #385950=DIRECTION('center_axis',(0.,0.,1.)); #385951=DIRECTION('ref_axis',(1.,0.,0.)); #385952=DIRECTION('center_axis',(0.,0.,1.)); #385953=DIRECTION('ref_axis',(1.,0.,0.)); #385954=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #385955=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #385956=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #385957=DIRECTION('',(0.,0.,1.)); #385958=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #385959=DIRECTION('',(0.,0.,1.)); #385960=DIRECTION('center_axis',(0.,-1.,0.)); #385961=DIRECTION('ref_axis',(1.,0.,0.)); #385962=DIRECTION('',(1.,0.,0.)); #385963=DIRECTION('',(1.,0.,0.)); #385964=DIRECTION('',(0.,0.,1.)); #385965=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #385966=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #385967=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #385968=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #385969=DIRECTION('',(0.,0.,1.)); #385970=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #385971=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #385972=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #385973=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #385974=DIRECTION('',(0.,0.,1.)); #385975=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #385976=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #385977=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #385978=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #385979=DIRECTION('',(0.,0.,1.)); #385980=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #385981=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #385982=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #385983=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #385984=DIRECTION('',(0.,0.,1.)); #385985=DIRECTION('center_axis',(-1.,0.,0.)); #385986=DIRECTION('ref_axis',(0.,-1.,0.)); #385987=DIRECTION('',(0.,-1.,0.)); #385988=DIRECTION('',(0.,-1.,0.)); #385989=DIRECTION('',(0.,0.,1.)); #385990=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #385991=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #385992=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #385993=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #385994=DIRECTION('',(0.,0.,1.)); #385995=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #385996=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #385997=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #385998=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #385999=DIRECTION('',(0.,0.,1.)); #386000=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #386001=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #386002=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #386003=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #386004=DIRECTION('',(0.,0.,1.)); #386005=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #386006=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #386007=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #386008=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #386009=DIRECTION('',(0.,0.,1.)); #386010=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #386011=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #386012=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #386013=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #386014=DIRECTION('',(0.,0.,1.)); #386015=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #386016=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #386017=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #386018=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #386019=DIRECTION('',(0.,0.,1.)); #386020=DIRECTION('center_axis',(0.538911466746188,0.842362410729178,0.)); #386021=DIRECTION('ref_axis',(-0.842362410729178,0.538911466746188,0.)); #386022=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #386023=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #386024=DIRECTION('',(0.,0.,1.)); #386025=DIRECTION('center_axis',(0.824745549033805,0.565504004715199,0.)); #386026=DIRECTION('ref_axis',(-0.565504004715199,0.824745549033805,0.)); #386027=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #386028=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #386029=DIRECTION('',(0.,0.,1.)); #386030=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #386031=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #386032=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #386033=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #386034=DIRECTION('',(0.,0.,1.)); #386035=DIRECTION('center_axis',(1.,0.,0.)); #386036=DIRECTION('ref_axis',(0.,1.,0.)); #386037=DIRECTION('',(0.,1.,0.)); #386038=DIRECTION('',(0.,1.,0.)); #386039=DIRECTION('',(0.,0.,1.)); #386040=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #386041=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #386042=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #386043=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #386044=DIRECTION('',(0.,0.,1.)); #386045=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #386046=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #386047=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386048=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386049=DIRECTION('',(0.,0.,1.)); #386050=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #386051=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #386052=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #386053=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #386054=DIRECTION('center_axis',(0.,0.,1.)); #386055=DIRECTION('ref_axis',(1.,0.,0.)); #386056=DIRECTION('center_axis',(0.,0.,1.)); #386057=DIRECTION('ref_axis',(1.,0.,0.)); #386058=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #386059=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #386060=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #386061=DIRECTION('',(0.,0.,1.)); #386062=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #386063=DIRECTION('',(0.,0.,1.)); #386064=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #386065=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #386066=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #386067=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #386068=DIRECTION('',(0.,0.,1.)); #386069=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #386070=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #386071=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #386072=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #386073=DIRECTION('',(0.,0.,1.)); #386074=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #386075=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #386076=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386077=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386078=DIRECTION('',(0.,0.,1.)); #386079=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #386080=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #386081=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386082=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386083=DIRECTION('',(0.,0.,1.)); #386084=DIRECTION('center_axis',(-1.,0.,0.)); #386085=DIRECTION('ref_axis',(0.,-1.,0.)); #386086=DIRECTION('',(0.,-1.,0.)); #386087=DIRECTION('',(0.,-1.,0.)); #386088=DIRECTION('',(0.,0.,1.)); #386089=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #386090=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #386091=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #386092=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #386093=DIRECTION('',(0.,0.,1.)); #386094=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #386095=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #386096=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #386097=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #386098=DIRECTION('',(0.,0.,1.)); #386099=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #386100=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #386101=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386102=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386103=DIRECTION('',(0.,0.,1.)); #386104=DIRECTION('center_axis',(-1.,0.,0.)); #386105=DIRECTION('ref_axis',(0.,-1.,0.)); #386106=DIRECTION('',(0.,-1.,0.)); #386107=DIRECTION('',(0.,-1.,0.)); #386108=DIRECTION('',(0.,0.,1.)); #386109=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #386110=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #386111=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #386112=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #386113=DIRECTION('',(0.,0.,1.)); #386114=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #386115=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #386116=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #386117=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #386118=DIRECTION('',(0.,0.,1.)); #386119=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #386120=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #386121=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #386122=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #386123=DIRECTION('',(0.,0.,1.)); #386124=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #386125=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #386126=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #386127=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #386128=DIRECTION('',(0.,0.,1.)); #386129=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #386130=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #386131=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #386132=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #386133=DIRECTION('',(0.,0.,1.)); #386134=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #386135=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #386136=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #386137=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #386138=DIRECTION('',(0.,0.,1.)); #386139=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #386140=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #386141=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #386142=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #386143=DIRECTION('',(0.,0.,1.)); #386144=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #386145=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #386146=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #386147=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #386148=DIRECTION('',(0.,0.,1.)); #386149=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #386150=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #386151=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #386152=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #386153=DIRECTION('',(0.,0.,1.)); #386154=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #386155=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #386156=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #386157=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #386158=DIRECTION('',(0.,0.,1.)); #386159=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #386160=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #386161=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386162=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386163=DIRECTION('',(0.,0.,1.)); #386164=DIRECTION('center_axis',(1.,0.,0.)); #386165=DIRECTION('ref_axis',(0.,1.,0.)); #386166=DIRECTION('',(0.,1.,0.)); #386167=DIRECTION('',(0.,1.,0.)); #386168=DIRECTION('',(0.,0.,1.)); #386169=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #386170=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #386171=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #386172=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #386173=DIRECTION('',(0.,0.,1.)); #386174=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #386175=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #386176=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386177=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386178=DIRECTION('',(0.,0.,1.)); #386179=DIRECTION('center_axis',(0.70710678118656,-0.707106781186535,0.)); #386180=DIRECTION('ref_axis',(0.707106781186535,0.70710678118656,0.)); #386181=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #386182=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #386183=DIRECTION('',(0.,0.,1.)); #386184=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #386185=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #386186=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #386187=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #386188=DIRECTION('center_axis',(0.,0.,1.)); #386189=DIRECTION('ref_axis',(1.,0.,0.)); #386190=DIRECTION('center_axis',(0.,0.,1.)); #386191=DIRECTION('ref_axis',(1.,0.,0.)); #386192=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #386193=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #386194=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #386195=DIRECTION('',(0.,0.,1.)); #386196=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #386197=DIRECTION('',(0.,0.,1.)); #386198=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #386199=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #386200=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #386201=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #386202=DIRECTION('',(0.,0.,1.)); #386203=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #386204=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #386205=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #386206=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #386207=DIRECTION('',(0.,0.,1.)); #386208=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #386209=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #386210=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #386211=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #386212=DIRECTION('',(0.,0.,1.)); #386213=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #386214=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #386215=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #386216=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #386217=DIRECTION('',(0.,0.,1.)); #386218=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #386219=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #386220=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #386221=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #386222=DIRECTION('',(0.,0.,1.)); #386223=DIRECTION('center_axis',(-1.,0.,0.)); #386224=DIRECTION('ref_axis',(0.,-1.,0.)); #386225=DIRECTION('',(0.,-1.,0.)); #386226=DIRECTION('',(0.,-1.,0.)); #386227=DIRECTION('',(0.,0.,1.)); #386228=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #386229=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #386230=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #386231=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #386232=DIRECTION('',(0.,0.,1.)); #386233=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #386234=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #386235=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #386236=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #386237=DIRECTION('',(0.,0.,1.)); #386238=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #386239=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #386240=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #386241=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #386242=DIRECTION('',(0.,0.,1.)); #386243=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #386244=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #386245=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #386246=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #386247=DIRECTION('',(0.,0.,1.)); #386248=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #386249=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #386250=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386251=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386252=DIRECTION('',(0.,0.,1.)); #386253=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #386254=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #386255=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #386256=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #386257=DIRECTION('',(0.,0.,1.)); #386258=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #386259=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #386260=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #386261=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #386262=DIRECTION('',(0.,0.,1.)); #386263=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #386264=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #386265=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #386266=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #386267=DIRECTION('',(0.,0.,1.)); #386268=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #386269=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #386270=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386271=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386272=DIRECTION('',(0.,0.,1.)); #386273=DIRECTION('center_axis',(1.,0.,0.)); #386274=DIRECTION('ref_axis',(0.,1.,0.)); #386275=DIRECTION('',(0.,1.,0.)); #386276=DIRECTION('',(0.,1.,0.)); #386277=DIRECTION('',(0.,0.,1.)); #386278=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #386279=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #386280=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #386281=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #386282=DIRECTION('',(0.,0.,1.)); #386283=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #386284=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #386285=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386286=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386287=DIRECTION('',(0.,0.,1.)); #386288=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #386289=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #386290=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #386291=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #386292=DIRECTION('',(0.,0.,1.)); #386293=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #386294=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #386295=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #386296=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #386297=DIRECTION('center_axis',(0.,0.,1.)); #386298=DIRECTION('ref_axis',(1.,0.,0.)); #386299=DIRECTION('center_axis',(0.,0.,1.)); #386300=DIRECTION('ref_axis',(1.,0.,0.)); #386301=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #386302=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #386303=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #386304=DIRECTION('',(0.,0.,1.)); #386305=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #386306=DIRECTION('',(0.,0.,1.)); #386307=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #386308=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #386309=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #386310=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #386311=DIRECTION('',(0.,0.,1.)); #386312=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #386313=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #386314=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #386315=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #386316=DIRECTION('',(0.,0.,1.)); #386317=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #386318=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #386319=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #386320=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #386321=DIRECTION('',(0.,0.,1.)); #386322=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #386323=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #386324=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #386325=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #386326=DIRECTION('',(0.,0.,1.)); #386327=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #386328=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #386329=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386330=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386331=DIRECTION('',(0.,0.,1.)); #386332=DIRECTION('center_axis',(-1.,0.,0.)); #386333=DIRECTION('ref_axis',(0.,-1.,0.)); #386334=DIRECTION('',(0.,-1.,0.)); #386335=DIRECTION('',(0.,-1.,0.)); #386336=DIRECTION('',(0.,0.,1.)); #386337=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #386338=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #386339=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386340=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386341=DIRECTION('',(0.,0.,1.)); #386342=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #386343=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #386344=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #386345=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #386346=DIRECTION('',(0.,0.,1.)); #386347=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #386348=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #386349=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #386350=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #386351=DIRECTION('',(0.,0.,1.)); #386352=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #386353=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #386354=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #386355=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #386356=DIRECTION('',(0.,0.,1.)); #386357=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #386358=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #386359=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386360=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386361=DIRECTION('',(0.,0.,1.)); #386362=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #386363=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #386364=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #386365=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #386366=DIRECTION('',(0.,0.,1.)); #386367=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #386368=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #386369=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386370=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386371=DIRECTION('',(0.,0.,1.)); #386372=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #386373=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #386374=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #386375=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #386376=DIRECTION('',(0.,0.,1.)); #386377=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #386378=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #386379=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #386380=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #386381=DIRECTION('',(0.,0.,1.)); #386382=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #386383=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #386384=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #386385=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #386386=DIRECTION('',(0.,0.,1.)); #386387=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #386388=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #386389=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #386390=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #386391=DIRECTION('',(0.,0.,1.)); #386392=DIRECTION('center_axis',(1.,0.,0.)); #386393=DIRECTION('ref_axis',(0.,1.,0.)); #386394=DIRECTION('',(0.,1.,0.)); #386395=DIRECTION('',(0.,1.,0.)); #386396=DIRECTION('',(0.,0.,1.)); #386397=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #386398=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #386399=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #386400=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #386401=DIRECTION('',(0.,0.,1.)); #386402=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #386403=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #386404=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #386405=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #386406=DIRECTION('',(0.,0.,1.)); #386407=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #386408=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #386409=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #386410=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #386411=DIRECTION('',(0.,0.,1.)); #386412=DIRECTION('center_axis',(1.,0.,0.)); #386413=DIRECTION('ref_axis',(0.,1.,0.)); #386414=DIRECTION('',(0.,1.,0.)); #386415=DIRECTION('',(0.,1.,0.)); #386416=DIRECTION('',(0.,0.,1.)); #386417=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #386418=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #386419=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #386420=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #386421=DIRECTION('',(0.,0.,1.)); #386422=DIRECTION('center_axis',(0.,1.,0.)); #386423=DIRECTION('ref_axis',(-1.,0.,0.)); #386424=DIRECTION('',(-1.,0.,0.)); #386425=DIRECTION('',(-1.,0.,0.)); #386426=DIRECTION('',(0.,0.,1.)); #386427=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #386428=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #386429=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #386430=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #386431=DIRECTION('',(0.,0.,1.)); #386432=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #386433=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #386434=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #386435=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #386436=DIRECTION('',(0.,0.,1.)); #386437=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #386438=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #386439=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #386440=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #386441=DIRECTION('',(0.,0.,1.)); #386442=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #386443=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #386444=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #386445=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #386446=DIRECTION('',(0.,0.,1.)); #386447=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #386448=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #386449=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #386450=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #386451=DIRECTION('',(0.,0.,1.)); #386452=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #386453=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #386454=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #386455=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #386456=DIRECTION('',(0.,0.,1.)); #386457=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #386458=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #386459=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #386460=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #386461=DIRECTION('',(0.,0.,1.)); #386462=DIRECTION('center_axis',(0.565439331101364,-0.824789890119685,0.)); #386463=DIRECTION('ref_axis',(0.824789890119685,0.565439331101364,0.)); #386464=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #386465=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #386466=DIRECTION('center_axis',(0.,0.,1.)); #386467=DIRECTION('ref_axis',(1.,0.,0.)); #386468=DIRECTION('center_axis',(0.,0.,1.)); #386469=DIRECTION('ref_axis',(1.,0.,0.)); #386470=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #386471=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #386472=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #386473=DIRECTION('',(0.,0.,1.)); #386474=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #386475=DIRECTION('',(0.,0.,1.)); #386476=DIRECTION('center_axis',(0.,-1.,0.)); #386477=DIRECTION('ref_axis',(1.,0.,0.)); #386478=DIRECTION('',(1.,0.,0.)); #386479=DIRECTION('',(1.,0.,0.)); #386480=DIRECTION('',(0.,0.,1.)); #386481=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #386482=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #386483=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #386484=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #386485=DIRECTION('',(0.,0.,1.)); #386486=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #386487=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #386488=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #386489=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #386490=DIRECTION('',(0.,0.,1.)); #386491=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #386492=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #386493=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386494=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386495=DIRECTION('',(0.,0.,1.)); #386496=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #386497=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #386498=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #386499=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #386500=DIRECTION('',(0.,0.,1.)); #386501=DIRECTION('center_axis',(-1.,0.,0.)); #386502=DIRECTION('ref_axis',(0.,-1.,0.)); #386503=DIRECTION('',(0.,-1.,0.)); #386504=DIRECTION('',(0.,-1.,0.)); #386505=DIRECTION('',(0.,0.,1.)); #386506=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #386507=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #386508=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386509=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386510=DIRECTION('',(0.,0.,1.)); #386511=DIRECTION('center_axis',(-0.850871695642265,0.525373540973332,0.)); #386512=DIRECTION('ref_axis',(-0.525373540973332,-0.850871695642265,0.)); #386513=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #386514=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #386515=DIRECTION('',(0.,0.,1.)); #386516=DIRECTION('center_axis',(-0.578483828224751,0.81569385217889,0.)); #386517=DIRECTION('ref_axis',(-0.81569385217889,-0.578483828224751,0.)); #386518=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #386519=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #386520=DIRECTION('',(0.,0.,1.)); #386521=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #386522=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #386523=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #386524=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #386525=DIRECTION('',(0.,0.,1.)); #386526=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #386527=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #386528=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #386529=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #386530=DIRECTION('',(0.,0.,1.)); #386531=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #386532=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #386533=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #386534=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #386535=DIRECTION('',(0.,0.,1.)); #386536=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #386537=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #386538=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386539=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386540=DIRECTION('',(0.,0.,1.)); #386541=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #386542=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #386543=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #386544=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #386545=DIRECTION('',(0.,0.,1.)); #386546=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #386547=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #386548=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386549=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #386550=DIRECTION('',(0.,0.,1.)); #386551=DIRECTION('center_axis',(1.,0.,0.)); #386552=DIRECTION('ref_axis',(0.,1.,0.)); #386553=DIRECTION('',(0.,1.,0.)); #386554=DIRECTION('',(0.,1.,0.)); #386555=DIRECTION('',(0.,0.,1.)); #386556=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #386557=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #386558=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #386559=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #386560=DIRECTION('',(0.,0.,1.)); #386561=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #386562=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #386563=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386564=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386565=DIRECTION('',(0.,0.,1.)); #386566=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #386567=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #386568=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #386569=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #386570=DIRECTION('center_axis',(0.,0.,1.)); #386571=DIRECTION('ref_axis',(1.,0.,0.)); #386572=DIRECTION('center_axis',(0.,0.,1.)); #386573=DIRECTION('ref_axis',(1.,0.,0.)); #386574=DIRECTION('center_axis',(0.23016568371465,-0.973151456886423,0.)); #386575=DIRECTION('ref_axis',(0.973151456886423,0.23016568371465,0.)); #386576=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #386577=DIRECTION('',(0.,0.,1.)); #386578=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #386579=DIRECTION('',(0.,0.,1.)); #386580=DIRECTION('center_axis',(-0.167643887112304,-0.985847618607398, 0.)); #386581=DIRECTION('ref_axis',(0.985847618607398,-0.167643887112304,0.)); #386582=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #386583=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #386584=DIRECTION('',(0.,0.,1.)); #386585=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #386586=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #386587=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #386588=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #386589=DIRECTION('',(0.,0.,1.)); #386590=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #386591=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #386592=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386593=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #386594=DIRECTION('',(0.,0.,1.)); #386595=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #386596=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #386597=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386598=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #386599=DIRECTION('',(0.,0.,1.)); #386600=DIRECTION('center_axis',(-1.,0.,0.)); #386601=DIRECTION('ref_axis',(0.,-1.,0.)); #386602=DIRECTION('',(0.,-1.,0.)); #386603=DIRECTION('',(0.,-1.,0.)); #386604=DIRECTION('',(0.,0.,1.)); #386605=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #386606=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #386607=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386608=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #386609=DIRECTION('',(0.,0.,1.)); #386610=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #386611=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #386612=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #386613=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #386614=DIRECTION('',(0.,0.,1.)); #386615=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #386616=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #386617=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #386618=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #386619=DIRECTION('',(0.,0.,1.)); #386620=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #386621=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #386622=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #386623=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #386624=DIRECTION('',(0.,0.,1.)); #386625=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #386626=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #386627=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386628=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #386629=DIRECTION('',(0.,0.,1.)); #386630=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #386631=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #386632=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #386633=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #386634=DIRECTION('',(0.,0.,1.)); #386635=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #386636=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #386637=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386638=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #386639=DIRECTION('',(0.,0.,1.)); #386640=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #386641=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #386642=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #386643=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #386644=DIRECTION('',(0.,0.,1.)); #386645=DIRECTION('center_axis',(0.,1.,0.)); #386646=DIRECTION('ref_axis',(-1.,0.,0.)); #386647=DIRECTION('',(-1.,0.,0.)); #386648=DIRECTION('',(-1.,0.,0.)); #386649=DIRECTION('',(0.,0.,1.)); #386650=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #386651=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #386652=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #386653=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #386654=DIRECTION('',(0.,0.,1.)); #386655=DIRECTION('center_axis',(0.525373540973438,0.850871695642199,0.)); #386656=DIRECTION('ref_axis',(-0.850871695642199,0.525373540973438,0.)); #386657=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #386658=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #386659=DIRECTION('',(0.,0.,1.)); #386660=DIRECTION('center_axis',(0.81569385217889,0.578483828224751,0.)); #386661=DIRECTION('ref_axis',(-0.578483828224751,0.81569385217889,0.)); #386662=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #386663=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #386664=DIRECTION('',(0.,0.,1.)); #386665=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #386666=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #386667=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #386668=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #386669=DIRECTION('',(0.,0.,1.)); #386670=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #386671=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #386672=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #386673=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #386674=DIRECTION('',(0.,0.,1.)); #386675=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #386676=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #386677=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386678=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #386679=DIRECTION('',(0.,0.,1.)); #386680=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #386681=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #386682=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #386683=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #386684=DIRECTION('',(0.,0.,1.)); #386685=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #386686=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #386687=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #386688=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #386689=DIRECTION('center_axis',(0.,0.,1.)); #386690=DIRECTION('ref_axis',(1.,0.,0.)); #386691=DIRECTION('center_axis',(0.,0.,1.)); #386692=DIRECTION('ref_axis',(1.,0.,0.)); #386693=DIRECTION('center_axis',(0.,0.,1.)); #386694=DIRECTION('ref_axis',(1.,0.,0.)); #386695=DIRECTION('center_axis',(0.,0.,1.)); #386696=DIRECTION('ref_axis',(1.,0.,0.)); #386697=DIRECTION('',(0.,0.,1.)); #386698=DIRECTION('center_axis',(0.,0.,-1.)); #386699=DIRECTION('ref_axis',(1.,0.,0.)); #386700=DIRECTION('center_axis',(0.,0.,1.)); #386701=DIRECTION('ref_axis',(1.,0.,0.)); #386702=DIRECTION('center_axis',(0.,0.,1.)); #386703=DIRECTION('ref_axis',(1.,0.,0.)); #386704=DIRECTION('',(0.,0.,1.)); #386705=DIRECTION('center_axis',(0.,0.,-1.)); #386706=DIRECTION('ref_axis',(1.,0.,0.)); #386707=DIRECTION('center_axis',(0.,0.,1.)); #386708=DIRECTION('ref_axis',(1.,0.,0.)); #386709=DIRECTION('center_axis',(0.,0.,1.)); #386710=DIRECTION('ref_axis',(1.,0.,0.)); #386711=DIRECTION('',(0.,0.,1.)); #386712=DIRECTION('center_axis',(0.,0.,-1.)); #386713=DIRECTION('ref_axis',(1.,0.,0.)); #386714=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #386715=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #386716=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #386717=DIRECTION('',(0.,0.,1.)); #386718=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #386719=DIRECTION('',(0.,0.,1.)); #386720=DIRECTION('center_axis',(0.,-1.,0.)); #386721=DIRECTION('ref_axis',(1.,0.,0.)); #386722=DIRECTION('',(1.,0.,0.)); #386723=DIRECTION('',(1.,0.,0.)); #386724=DIRECTION('',(0.,0.,1.)); #386725=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #386726=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #386727=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #386728=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #386729=DIRECTION('',(0.,0.,1.)); #386730=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #386731=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #386732=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #386733=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #386734=DIRECTION('',(0.,0.,1.)); #386735=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #386736=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #386737=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #386738=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #386739=DIRECTION('',(0.,0.,1.)); #386740=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #386741=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #386742=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #386743=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #386744=DIRECTION('',(0.,0.,1.)); #386745=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #386746=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #386747=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386748=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386749=DIRECTION('',(0.,0.,1.)); #386750=DIRECTION('center_axis',(-1.,0.,0.)); #386751=DIRECTION('ref_axis',(0.,-1.,0.)); #386752=DIRECTION('',(0.,-1.,0.)); #386753=DIRECTION('',(0.,-1.,0.)); #386754=DIRECTION('',(0.,0.,1.)); #386755=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #386756=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #386757=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #386758=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #386759=DIRECTION('',(0.,0.,1.)); #386760=DIRECTION('center_axis',(0.,-1.,0.)); #386761=DIRECTION('ref_axis',(1.,0.,0.)); #386762=DIRECTION('',(1.,0.,0.)); #386763=DIRECTION('',(1.,0.,0.)); #386764=DIRECTION('',(0.,0.,1.)); #386765=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #386766=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #386767=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #386768=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #386769=DIRECTION('',(0.,0.,1.)); #386770=DIRECTION('center_axis',(1.,0.,0.)); #386771=DIRECTION('ref_axis',(0.,1.,0.)); #386772=DIRECTION('',(0.,1.,0.)); #386773=DIRECTION('',(0.,1.,0.)); #386774=DIRECTION('',(0.,0.,1.)); #386775=DIRECTION('center_axis',(0.983056036329382,-0.183305290257444,0.)); #386776=DIRECTION('ref_axis',(0.183305290257444,0.983056036329381,0.)); #386777=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #386778=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #386779=DIRECTION('',(0.,0.,1.)); #386780=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #386781=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #386782=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386783=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #386784=DIRECTION('',(0.,0.,1.)); #386785=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #386786=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #386787=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #386788=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #386789=DIRECTION('',(0.,0.,1.)); #386790=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #386791=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #386792=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #386793=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #386794=DIRECTION('',(0.,0.,1.)); #386795=DIRECTION('center_axis',(0.,-1.,0.)); #386796=DIRECTION('ref_axis',(1.,0.,0.)); #386797=DIRECTION('',(1.,0.,0.)); #386798=DIRECTION('',(1.,0.,0.)); #386799=DIRECTION('',(0.,0.,1.)); #386800=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #386801=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #386802=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #386803=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #386804=DIRECTION('',(0.,0.,1.)); #386805=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #386806=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #386807=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #386808=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #386809=DIRECTION('',(0.,0.,1.)); #386810=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #386811=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #386812=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386813=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386814=DIRECTION('',(0.,0.,1.)); #386815=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #386816=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #386817=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #386818=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #386819=DIRECTION('',(0.,0.,1.)); #386820=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #386821=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #386822=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386823=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #386824=DIRECTION('',(0.,0.,1.)); #386825=DIRECTION('center_axis',(-1.,0.,0.)); #386826=DIRECTION('ref_axis',(0.,-1.,0.)); #386827=DIRECTION('',(0.,-1.,0.)); #386828=DIRECTION('',(0.,-1.,0.)); #386829=DIRECTION('',(0.,0.,1.)); #386830=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #386831=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #386832=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #386833=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #386834=DIRECTION('',(0.,0.,1.)); #386835=DIRECTION('center_axis',(0.,-1.,0.)); #386836=DIRECTION('ref_axis',(1.,0.,0.)); #386837=DIRECTION('',(1.,0.,0.)); #386838=DIRECTION('',(1.,0.,0.)); #386839=DIRECTION('',(0.,0.,1.)); #386840=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #386841=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #386842=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #386843=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #386844=DIRECTION('',(0.,0.,1.)); #386845=DIRECTION('center_axis',(-0.538911466746088,-0.842362410729242, 0.)); #386846=DIRECTION('ref_axis',(0.842362410729242,-0.538911466746088,0.)); #386847=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #386848=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #386849=DIRECTION('',(0.,0.,1.)); #386850=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #386851=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #386852=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #386853=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #386854=DIRECTION('',(0.,0.,1.)); #386855=DIRECTION('center_axis',(-0.980008419561327,-0.19895601923267,0.)); #386856=DIRECTION('ref_axis',(0.19895601923267,-0.980008419561327,0.)); #386857=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #386858=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #386859=DIRECTION('',(0.,0.,1.)); #386860=DIRECTION('center_axis',(-1.,0.,0.)); #386861=DIRECTION('ref_axis',(0.,-1.,0.)); #386862=DIRECTION('',(0.,-1.,0.)); #386863=DIRECTION('',(0.,-1.,0.)); #386864=DIRECTION('',(0.,0.,1.)); #386865=DIRECTION('center_axis',(-0.983056036329391,0.183305290257396,0.)); #386866=DIRECTION('ref_axis',(-0.183305290257396,-0.983056036329391,0.)); #386867=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #386868=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #386869=DIRECTION('',(0.,0.,1.)); #386870=DIRECTION('center_axis',(-0.842362410729415,0.538911466745818,0.)); #386871=DIRECTION('ref_axis',(-0.538911466745818,-0.842362410729414,0.)); #386872=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #386873=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #386874=DIRECTION('',(0.,0.,1.)); #386875=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #386876=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #386877=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #386878=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #386879=DIRECTION('',(0.,0.,1.)); #386880=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #386881=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #386882=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #386883=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #386884=DIRECTION('',(0.,0.,1.)); #386885=DIRECTION('center_axis',(0.,1.,0.)); #386886=DIRECTION('ref_axis',(-1.,0.,0.)); #386887=DIRECTION('',(-1.,0.,0.)); #386888=DIRECTION('',(-1.,0.,0.)); #386889=DIRECTION('',(0.,0.,1.)); #386890=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #386891=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #386892=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #386893=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #386894=DIRECTION('',(0.,0.,1.)); #386895=DIRECTION('center_axis',(-1.,0.,0.)); #386896=DIRECTION('ref_axis',(0.,-1.,0.)); #386897=DIRECTION('',(0.,-1.,0.)); #386898=DIRECTION('',(0.,-1.,0.)); #386899=DIRECTION('',(0.,0.,1.)); #386900=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #386901=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #386902=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #386903=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #386904=DIRECTION('',(0.,0.,1.)); #386905=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #386906=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #386907=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #386908=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #386909=DIRECTION('',(0.,0.,1.)); #386910=DIRECTION('center_axis',(-0.707106781186532,0.707106781186563,0.)); #386911=DIRECTION('ref_axis',(-0.707106781186563,-0.707106781186532,0.)); #386912=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #386913=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #386914=DIRECTION('',(0.,0.,1.)); #386915=DIRECTION('center_axis',(-0.558946331949074,0.829203833807271,0.)); #386916=DIRECTION('ref_axis',(-0.829203833807271,-0.558946331949074,0.)); #386917=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #386918=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #386919=DIRECTION('',(0.,0.,1.)); #386920=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #386921=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #386922=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #386923=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #386924=DIRECTION('',(0.,0.,1.)); #386925=DIRECTION('center_axis',(0.,1.,0.)); #386926=DIRECTION('ref_axis',(-1.,0.,0.)); #386927=DIRECTION('',(-1.,0.,0.)); #386928=DIRECTION('',(-1.,0.,0.)); #386929=DIRECTION('',(0.,0.,1.)); #386930=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #386931=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #386932=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #386933=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #386934=DIRECTION('',(0.,0.,1.)); #386935=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #386936=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #386937=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #386938=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #386939=DIRECTION('',(0.,0.,1.)); #386940=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #386941=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #386942=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #386943=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #386944=DIRECTION('',(0.,0.,1.)); #386945=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #386946=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #386947=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #386948=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #386949=DIRECTION('',(0.,0.,1.)); #386950=DIRECTION('center_axis',(1.,0.,0.)); #386951=DIRECTION('ref_axis',(0.,1.,0.)); #386952=DIRECTION('',(0.,1.,0.)); #386953=DIRECTION('',(0.,1.,0.)); #386954=DIRECTION('',(0.,0.,1.)); #386955=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #386956=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #386957=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #386958=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #386959=DIRECTION('',(0.,0.,1.)); #386960=DIRECTION('center_axis',(0.,1.,0.)); #386961=DIRECTION('ref_axis',(-1.,0.,0.)); #386962=DIRECTION('',(-1.,0.,0.)); #386963=DIRECTION('',(-1.,0.,0.)); #386964=DIRECTION('',(0.,0.,1.)); #386965=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #386966=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #386967=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #386968=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #386969=DIRECTION('',(0.,0.,1.)); #386970=DIRECTION('center_axis',(-1.,0.,0.)); #386971=DIRECTION('ref_axis',(0.,-1.,0.)); #386972=DIRECTION('',(0.,-1.,0.)); #386973=DIRECTION('',(0.,-1.,0.)); #386974=DIRECTION('',(0.,0.,1.)); #386975=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #386976=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #386977=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #386978=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #386979=DIRECTION('',(0.,0.,1.)); #386980=DIRECTION('center_axis',(-0.833669916115169,0.552263045083163,0.)); #386981=DIRECTION('ref_axis',(-0.552263045083163,-0.833669916115169,0.)); #386982=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #386983=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #386984=DIRECTION('',(0.,0.,1.)); #386985=DIRECTION('center_axis',(-0.707106364293931,0.707107198078918,0.)); #386986=DIRECTION('ref_axis',(-0.707107198078918,-0.707106364293931,0.)); #386987=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #386988=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #386989=DIRECTION('',(0.,0.,1.)); #386990=DIRECTION('center_axis',(-0.565456021552409,0.824778447639196,0.)); #386991=DIRECTION('ref_axis',(-0.824778447639196,-0.565456021552409,0.)); #386992=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #386993=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #386994=DIRECTION('',(0.,0.,1.)); #386995=DIRECTION('center_axis',(-0.214667400660583,0.976687210468955,0.)); #386996=DIRECTION('ref_axis',(-0.976687210468955,-0.214667400660583,0.)); #386997=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #386998=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #386999=DIRECTION('',(0.,0.,1.)); #387000=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #387001=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #387002=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #387003=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #387004=DIRECTION('',(0.,0.,1.)); #387005=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #387006=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #387007=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #387008=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #387009=DIRECTION('',(0.,0.,1.)); #387010=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #387011=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #387012=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #387013=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #387014=DIRECTION('',(0.,0.,1.)); #387015=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #387016=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #387017=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #387018=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #387019=DIRECTION('',(0.,0.,1.)); #387020=DIRECTION('center_axis',(0.973151456886423,0.23016568371465,0.)); #387021=DIRECTION('ref_axis',(-0.23016568371465,0.973151456886423,0.)); #387022=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #387023=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #387024=DIRECTION('',(0.,0.,1.)); #387025=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #387026=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #387027=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #387028=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #387029=DIRECTION('',(0.,0.,1.)); #387030=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #387031=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #387032=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #387033=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #387034=DIRECTION('',(0.,0.,1.)); #387035=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #387036=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #387037=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #387038=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #387039=DIRECTION('',(0.,0.,1.)); #387040=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #387041=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #387042=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #387043=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #387044=DIRECTION('',(0.,0.,1.)); #387045=DIRECTION('center_axis',(0.,-1.,0.)); #387046=DIRECTION('ref_axis',(1.,0.,0.)); #387047=DIRECTION('',(1.,0.,0.)); #387048=DIRECTION('',(1.,0.,0.)); #387049=DIRECTION('',(0.,0.,1.)); #387050=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #387051=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #387052=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #387053=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #387054=DIRECTION('',(0.,0.,1.)); #387055=DIRECTION('center_axis',(1.,0.,0.)); #387056=DIRECTION('ref_axis',(0.,1.,0.)); #387057=DIRECTION('',(0.,1.,0.)); #387058=DIRECTION('',(0.,1.,0.)); #387059=DIRECTION('',(0.,0.,1.)); #387060=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #387061=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #387062=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #387063=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #387064=DIRECTION('',(0.,0.,1.)); #387065=DIRECTION('center_axis',(0.,1.,0.)); #387066=DIRECTION('ref_axis',(-1.,0.,0.)); #387067=DIRECTION('',(-1.,0.,0.)); #387068=DIRECTION('',(-1.,0.,0.)); #387069=DIRECTION('',(0.,0.,1.)); #387070=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #387071=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #387072=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #387073=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #387074=DIRECTION('',(0.,0.,1.)); #387075=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #387076=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #387077=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #387078=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #387079=DIRECTION('',(0.,0.,1.)); #387080=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #387081=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #387082=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387083=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387084=DIRECTION('',(0.,0.,1.)); #387085=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #387086=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #387087=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #387088=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #387089=DIRECTION('',(0.,0.,1.)); #387090=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #387091=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #387092=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387093=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387094=DIRECTION('',(0.,0.,1.)); #387095=DIRECTION('center_axis',(1.,0.,0.)); #387096=DIRECTION('ref_axis',(0.,1.,0.)); #387097=DIRECTION('',(0.,1.,0.)); #387098=DIRECTION('',(0.,1.,0.)); #387099=DIRECTION('',(0.,0.,1.)); #387100=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #387101=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #387102=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #387103=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #387104=DIRECTION('',(0.,0.,1.)); #387105=DIRECTION('center_axis',(0.85088079606363,-0.525358802048774,0.)); #387106=DIRECTION('ref_axis',(0.525358802048774,0.85088079606363,0.)); #387107=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #387108=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #387109=DIRECTION('',(0.,0.,1.)); #387110=DIRECTION('center_axis',(0.578461888589124,-0.81570941115688,0.)); #387111=DIRECTION('ref_axis',(0.81570941115688,0.578461888589123,0.)); #387112=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #387113=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #387114=DIRECTION('',(0.,0.,1.)); #387115=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #387116=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #387117=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #387118=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #387119=DIRECTION('',(0.,0.,1.)); #387120=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #387121=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #387122=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #387123=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #387124=DIRECTION('',(0.,0.,1.)); #387125=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #387126=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #387127=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #387128=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #387129=DIRECTION('',(0.,0.,1.)); #387130=DIRECTION('center_axis',(-0.707093581138329,-0.707119980988356, 0.)); #387131=DIRECTION('ref_axis',(0.707119980988356,-0.707093581138329,0.)); #387132=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #387133=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #387134=DIRECTION('',(0.,0.,1.)); #387135=DIRECTION('center_axis',(0.,-1.,0.)); #387136=DIRECTION('ref_axis',(1.,0.,0.)); #387137=DIRECTION('',(1.,0.,0.)); #387138=DIRECTION('',(1.,0.,0.)); #387139=DIRECTION('',(0.,0.,1.)); #387140=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #387141=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #387142=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #387143=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #387144=DIRECTION('',(0.,0.,1.)); #387145=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #387146=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #387147=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #387148=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #387149=DIRECTION('center_axis',(0.,0.,1.)); #387150=DIRECTION('ref_axis',(1.,0.,0.)); #387151=DIRECTION('center_axis',(0.,0.,1.)); #387152=DIRECTION('ref_axis',(1.,0.,0.)); #387153=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #387154=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #387155=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387156=DIRECTION('',(0.,0.,1.)); #387157=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387158=DIRECTION('',(0.,0.,1.)); #387159=DIRECTION('center_axis',(0.,-1.,0.)); #387160=DIRECTION('ref_axis',(1.,0.,0.)); #387161=DIRECTION('',(1.,0.,0.)); #387162=DIRECTION('',(1.,0.,0.)); #387163=DIRECTION('',(0.,0.,1.)); #387164=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #387165=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #387166=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #387167=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #387168=DIRECTION('',(0.,0.,1.)); #387169=DIRECTION('center_axis',(-0.525373540973385,-0.850871695642232, 0.)); #387170=DIRECTION('ref_axis',(0.850871695642232,-0.525373540973385,0.)); #387171=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #387172=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #387173=DIRECTION('',(0.,0.,1.)); #387174=DIRECTION('center_axis',(-0.815693852178984,-0.578483828224619, 0.)); #387175=DIRECTION('ref_axis',(0.578483828224619,-0.815693852178984,0.)); #387176=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #387177=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #387178=DIRECTION('',(0.,0.,1.)); #387179=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #387180=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #387181=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #387182=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #387183=DIRECTION('',(0.,0.,1.)); #387184=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #387185=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #387186=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #387187=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #387188=DIRECTION('',(0.,0.,1.)); #387189=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #387190=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #387191=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #387192=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #387193=DIRECTION('',(0.,0.,1.)); #387194=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #387195=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #387196=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #387197=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #387198=DIRECTION('',(0.,0.,1.)); #387199=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #387200=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #387201=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #387202=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #387203=DIRECTION('',(0.,0.,1.)); #387204=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #387205=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #387206=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #387207=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #387208=DIRECTION('',(0.,0.,1.)); #387209=DIRECTION('center_axis',(0.,1.,0.)); #387210=DIRECTION('ref_axis',(-1.,0.,0.)); #387211=DIRECTION('',(-1.,0.,0.)); #387212=DIRECTION('',(-1.,0.,0.)); #387213=DIRECTION('',(0.,0.,1.)); #387214=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #387215=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #387216=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #387217=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #387218=DIRECTION('',(0.,0.,1.)); #387219=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #387220=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #387221=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #387222=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #387223=DIRECTION('',(0.,0.,1.)); #387224=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #387225=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #387226=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #387227=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #387228=DIRECTION('',(0.,0.,1.)); #387229=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #387230=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #387231=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #387232=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #387233=DIRECTION('',(0.,0.,1.)); #387234=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #387235=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #387236=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #387237=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #387238=DIRECTION('',(0.,0.,1.)); #387239=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #387240=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #387241=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #387242=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #387243=DIRECTION('',(0.,0.,1.)); #387244=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #387245=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #387246=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #387247=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #387248=DIRECTION('',(0.,0.,1.)); #387249=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #387250=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #387251=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #387252=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #387253=DIRECTION('',(0.,0.,1.)); #387254=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #387255=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #387256=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #387257=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #387258=DIRECTION('center_axis',(0.,0.,1.)); #387259=DIRECTION('ref_axis',(1.,0.,0.)); #387260=DIRECTION('center_axis',(0.,0.,1.)); #387261=DIRECTION('ref_axis',(1.,0.,0.)); #387262=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #387263=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #387264=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #387265=DIRECTION('',(0.,0.,1.)); #387266=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #387267=DIRECTION('',(0.,0.,1.)); #387268=DIRECTION('center_axis',(0.,-1.,0.)); #387269=DIRECTION('ref_axis',(1.,0.,0.)); #387270=DIRECTION('',(1.,0.,0.)); #387271=DIRECTION('',(1.,0.,0.)); #387272=DIRECTION('',(0.,0.,1.)); #387273=DIRECTION('center_axis',(-0.175502059385909,-0.984479063846106, 0.)); #387274=DIRECTION('ref_axis',(0.984479063846106,-0.175502059385909,0.)); #387275=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #387276=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #387277=DIRECTION('',(0.,0.,1.)); #387278=DIRECTION('center_axis',(-0.525373540973544,-0.850871695642134, 0.)); #387279=DIRECTION('ref_axis',(0.850871695642134,-0.525373540973544,0.)); #387280=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #387281=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #387282=DIRECTION('',(0.,0.,1.)); #387283=DIRECTION('center_axis',(-0.815693852178841,-0.57848382822482,0.)); #387284=DIRECTION('ref_axis',(0.57848382822482,-0.815693852178841,0.)); #387285=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #387286=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #387287=DIRECTION('',(0.,0.,1.)); #387288=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #387289=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #387290=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #387291=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #387292=DIRECTION('',(0.,0.,1.)); #387293=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #387294=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #387295=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #387296=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #387297=DIRECTION('',(0.,0.,1.)); #387298=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #387299=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #387300=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #387301=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #387302=DIRECTION('',(0.,0.,1.)); #387303=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #387304=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #387305=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #387306=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #387307=DIRECTION('',(0.,0.,1.)); #387308=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #387309=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #387310=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #387311=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #387312=DIRECTION('',(0.,0.,1.)); #387313=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #387314=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #387315=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #387316=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #387317=DIRECTION('',(0.,0.,1.)); #387318=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #387319=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #387320=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #387321=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #387322=DIRECTION('',(0.,0.,1.)); #387323=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #387324=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #387325=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #387326=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #387327=DIRECTION('',(0.,0.,1.)); #387328=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #387329=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #387330=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #387331=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #387332=DIRECTION('',(0.,0.,1.)); #387333=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #387334=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #387335=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387336=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387337=DIRECTION('',(0.,0.,1.)); #387338=DIRECTION('center_axis',(1.,0.,0.)); #387339=DIRECTION('ref_axis',(0.,1.,0.)); #387340=DIRECTION('',(0.,1.,0.)); #387341=DIRECTION('',(0.,1.,0.)); #387342=DIRECTION('',(0.,0.,1.)); #387343=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #387344=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #387345=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #387346=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #387347=DIRECTION('',(0.,0.,1.)); #387348=DIRECTION('center_axis',(0.842362410729306,-0.538911466745989,0.)); #387349=DIRECTION('ref_axis',(0.538911466745989,0.842362410729306,0.)); #387350=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #387351=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #387352=DIRECTION('',(0.,0.,1.)); #387353=DIRECTION('center_axis',(0.565504004714931,-0.824745549033988,0.)); #387354=DIRECTION('ref_axis',(0.824745549033988,0.565504004714931,0.)); #387355=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #387356=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #387357=DIRECTION('center_axis',(0.,0.,1.)); #387358=DIRECTION('ref_axis',(1.,0.,0.)); #387359=DIRECTION('center_axis',(0.,0.,1.)); #387360=DIRECTION('ref_axis',(1.,0.,0.)); #387361=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #387362=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #387363=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387364=DIRECTION('',(0.,0.,1.)); #387365=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387366=DIRECTION('',(0.,0.,1.)); #387367=DIRECTION('center_axis',(0.,-1.,0.)); #387368=DIRECTION('ref_axis',(1.,0.,0.)); #387369=DIRECTION('',(1.,0.,0.)); #387370=DIRECTION('',(1.,0.,0.)); #387371=DIRECTION('',(0.,0.,1.)); #387372=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #387373=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #387374=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #387375=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #387376=DIRECTION('',(0.,0.,1.)); #387377=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #387378=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #387379=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #387380=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #387381=DIRECTION('',(0.,0.,1.)); #387382=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #387383=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #387384=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #387385=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #387386=DIRECTION('',(0.,0.,1.)); #387387=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #387388=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #387389=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #387390=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #387391=DIRECTION('',(0.,0.,1.)); #387392=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #387393=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #387394=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #387395=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #387396=DIRECTION('',(0.,0.,1.)); #387397=DIRECTION('center_axis',(-1.,0.,0.)); #387398=DIRECTION('ref_axis',(0.,-1.,0.)); #387399=DIRECTION('',(0.,-1.,0.)); #387400=DIRECTION('',(0.,-1.,0.)); #387401=DIRECTION('',(0.,0.,1.)); #387402=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #387403=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #387404=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #387405=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #387406=DIRECTION('',(0.,0.,1.)); #387407=DIRECTION('center_axis',(-0.850871695642159,0.525373540973504,0.)); #387408=DIRECTION('ref_axis',(-0.525373540973504,-0.850871695642159,0.)); #387409=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #387410=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #387411=DIRECTION('',(0.,0.,1.)); #387412=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #387413=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #387414=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #387415=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #387416=DIRECTION('',(0.,0.,1.)); #387417=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #387418=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #387419=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #387420=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #387421=DIRECTION('',(0.,0.,1.)); #387422=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #387423=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #387424=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #387425=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #387426=DIRECTION('',(0.,0.,1.)); #387427=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #387428=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #387429=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #387430=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #387431=DIRECTION('',(0.,0.,1.)); #387432=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #387433=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #387434=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387435=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387436=DIRECTION('',(0.,0.,1.)); #387437=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #387438=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #387439=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #387440=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #387441=DIRECTION('',(0.,0.,1.)); #387442=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #387443=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #387444=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #387445=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #387446=DIRECTION('',(0.,0.,1.)); #387447=DIRECTION('center_axis',(1.,0.,0.)); #387448=DIRECTION('ref_axis',(0.,1.,0.)); #387449=DIRECTION('',(0.,1.,0.)); #387450=DIRECTION('',(0.,1.,0.)); #387451=DIRECTION('',(0.,0.,1.)); #387452=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #387453=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #387454=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #387455=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #387456=DIRECTION('',(0.,0.,1.)); #387457=DIRECTION('center_axis',(0.833669916115192,-0.552263045083128,0.)); #387458=DIRECTION('ref_axis',(0.552263045083128,0.833669916115192,0.)); #387459=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #387460=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #387461=DIRECTION('',(0.,0.,1.)); #387462=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #387463=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #387464=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #387465=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #387466=DIRECTION('',(0.,0.,1.)); #387467=DIRECTION('center_axis',(1.,0.,0.)); #387468=DIRECTION('ref_axis',(0.,1.,0.)); #387469=DIRECTION('',(0.,1.,0.)); #387470=DIRECTION('',(0.,1.,0.)); #387471=DIRECTION('',(0.,0.,1.)); #387472=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #387473=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #387474=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #387475=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #387476=DIRECTION('',(0.,0.,1.)); #387477=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #387478=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #387479=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #387480=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #387481=DIRECTION('',(0.,0.,1.)); #387482=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #387483=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #387484=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #387485=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #387486=DIRECTION('',(0.,0.,1.)); #387487=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #387488=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #387489=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #387490=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #387491=DIRECTION('',(0.,0.,1.)); #387492=DIRECTION('center_axis',(0.,-1.,0.)); #387493=DIRECTION('ref_axis',(1.,0.,0.)); #387494=DIRECTION('',(1.,0.,0.)); #387495=DIRECTION('',(1.,0.,0.)); #387496=DIRECTION('',(0.,0.,1.)); #387497=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #387498=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #387499=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #387500=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #387501=DIRECTION('',(0.,0.,1.)); #387502=DIRECTION('center_axis',(0.5589304243656,-0.82921455650422,0.)); #387503=DIRECTION('ref_axis',(0.82921455650422,0.5589304243656,0.)); #387504=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #387505=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #387506=DIRECTION('center_axis',(0.,0.,1.)); #387507=DIRECTION('ref_axis',(1.,0.,0.)); #387508=DIRECTION('center_axis',(0.,0.,1.)); #387509=DIRECTION('ref_axis',(1.,0.,0.)); #387510=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #387511=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #387512=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387513=DIRECTION('',(0.,0.,1.)); #387514=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387515=DIRECTION('',(0.,0.,1.)); #387516=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #387517=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #387518=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #387519=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #387520=DIRECTION('',(0.,0.,1.)); #387521=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #387522=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #387523=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #387524=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #387525=DIRECTION('',(0.,0.,1.)); #387526=DIRECTION('center_axis',(-0.707106781186561,-0.707106781186534, 0.)); #387527=DIRECTION('ref_axis',(0.707106781186534,-0.707106781186561,0.)); #387528=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #387529=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #387530=DIRECTION('',(0.,0.,1.)); #387531=DIRECTION('center_axis',(-0.829203833807223,-0.558946331949144, 0.)); #387532=DIRECTION('ref_axis',(0.558946331949144,-0.829203833807223,0.)); #387533=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #387534=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #387535=DIRECTION('',(0.,0.,1.)); #387536=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #387537=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #387538=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #387539=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #387540=DIRECTION('',(0.,0.,1.)); #387541=DIRECTION('center_axis',(-1.,0.,0.)); #387542=DIRECTION('ref_axis',(0.,-1.,0.)); #387543=DIRECTION('',(0.,-1.,0.)); #387544=DIRECTION('',(0.,-1.,0.)); #387545=DIRECTION('',(0.,0.,1.)); #387546=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #387547=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #387548=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #387549=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #387550=DIRECTION('',(0.,0.,1.)); #387551=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #387552=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #387553=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #387554=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #387555=DIRECTION('',(0.,0.,1.)); #387556=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #387557=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #387558=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #387559=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #387560=DIRECTION('',(0.,0.,1.)); #387561=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #387562=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #387563=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #387564=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #387565=DIRECTION('',(0.,0.,1.)); #387566=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #387567=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #387568=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #387569=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #387570=DIRECTION('',(0.,0.,1.)); #387571=DIRECTION('center_axis',(0.167643887112353,0.985847618607389,0.)); #387572=DIRECTION('ref_axis',(-0.985847618607389,0.167643887112353,0.)); #387573=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #387574=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #387575=DIRECTION('',(0.,0.,1.)); #387576=DIRECTION('center_axis',(0.538911466745989,0.842362410729306,0.)); #387577=DIRECTION('ref_axis',(-0.842362410729306,0.538911466745989,0.)); #387578=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #387579=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #387580=DIRECTION('',(0.,0.,1.)); #387581=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #387582=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #387583=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #387584=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #387585=DIRECTION('',(0.,0.,1.)); #387586=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #387587=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #387588=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #387589=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #387590=DIRECTION('',(0.,0.,1.)); #387591=DIRECTION('center_axis',(1.,0.,0.)); #387592=DIRECTION('ref_axis',(0.,1.,0.)); #387593=DIRECTION('',(0.,1.,0.)); #387594=DIRECTION('',(0.,1.,0.)); #387595=DIRECTION('',(0.,0.,1.)); #387596=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #387597=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #387598=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #387599=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #387600=DIRECTION('',(0.,0.,1.)); #387601=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #387602=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #387603=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #387604=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #387605=DIRECTION('',(0.,0.,1.)); #387606=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #387607=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #387608=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #387609=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #387610=DIRECTION('',(0.,0.,1.)); #387611=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #387612=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #387613=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #387614=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #387615=DIRECTION('center_axis',(0.,0.,1.)); #387616=DIRECTION('ref_axis',(1.,0.,0.)); #387617=DIRECTION('center_axis',(0.,0.,1.)); #387618=DIRECTION('ref_axis',(1.,0.,0.)); #387619=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #387620=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #387621=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387622=DIRECTION('',(0.,0.,1.)); #387623=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387624=DIRECTION('',(0.,0.,1.)); #387625=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #387626=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #387627=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #387628=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #387629=DIRECTION('',(0.,0.,1.)); #387630=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #387631=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #387632=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #387633=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #387634=DIRECTION('',(0.,0.,1.)); #387635=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #387636=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #387637=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #387638=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #387639=DIRECTION('',(0.,0.,1.)); #387640=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #387641=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #387642=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #387643=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #387644=DIRECTION('',(0.,0.,1.)); #387645=DIRECTION('center_axis',(-0.973151456886361,-0.230165683714911, 0.)); #387646=DIRECTION('ref_axis',(0.230165683714911,-0.973151456886362,0.)); #387647=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #387648=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #387649=DIRECTION('',(0.,0.,1.)); #387650=DIRECTION('center_axis',(-0.985842168327499,0.16767593490819,0.)); #387651=DIRECTION('ref_axis',(-0.16767593490819,-0.985842168327499,0.)); #387652=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #387653=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #387654=DIRECTION('',(0.,0.,1.)); #387655=DIRECTION('center_axis',(-0.842377379073625,0.538888069291805,0.)); #387656=DIRECTION('ref_axis',(-0.538888069291805,-0.842377379073625,0.)); #387657=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #387658=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #387659=DIRECTION('',(0.,0.,1.)); #387660=DIRECTION('center_axis',(-0.565488626065806,0.824756093515051,0.)); #387661=DIRECTION('ref_axis',(-0.824756093515051,-0.565488626065806,0.)); #387662=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #387663=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #387664=DIRECTION('',(0.,0.,1.)); #387665=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #387666=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #387667=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #387668=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #387669=DIRECTION('',(0.,0.,1.)); #387670=DIRECTION('center_axis',(0.,1.,0.)); #387671=DIRECTION('ref_axis',(-1.,0.,0.)); #387672=DIRECTION('',(-1.,0.,0.)); #387673=DIRECTION('',(-1.,0.,0.)); #387674=DIRECTION('',(0.,0.,1.)); #387675=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #387676=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #387677=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #387678=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #387679=DIRECTION('',(0.,0.,1.)); #387680=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #387681=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #387682=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #387683=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #387684=DIRECTION('',(0.,0.,1.)); #387685=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #387686=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #387687=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #387688=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #387689=DIRECTION('',(0.,0.,1.)); #387690=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #387691=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #387692=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387693=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #387694=DIRECTION('',(0.,0.,1.)); #387695=DIRECTION('center_axis',(1.,0.,0.)); #387696=DIRECTION('ref_axis',(0.,1.,0.)); #387697=DIRECTION('',(0.,1.,0.)); #387698=DIRECTION('',(0.,1.,0.)); #387699=DIRECTION('',(0.,0.,1.)); #387700=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #387701=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #387702=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #387703=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #387704=DIRECTION('',(0.,0.,1.)); #387705=DIRECTION('center_axis',(0.850871695642199,-0.525373540973438,0.)); #387706=DIRECTION('ref_axis',(0.525373540973438,0.850871695642199,0.)); #387707=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #387708=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #387709=DIRECTION('',(0.,0.,1.)); #387710=DIRECTION('center_axis',(0.578483828224771,-0.815693852178876,0.)); #387711=DIRECTION('ref_axis',(0.815693852178876,0.578483828224771,0.)); #387712=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #387713=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #387714=DIRECTION('center_axis',(0.,0.,1.)); #387715=DIRECTION('ref_axis',(1.,0.,0.)); #387716=DIRECTION('center_axis',(0.,0.,1.)); #387717=DIRECTION('ref_axis',(1.,0.,0.)); #387718=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #387719=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #387720=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #387721=DIRECTION('',(0.,0.,1.)); #387722=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #387723=DIRECTION('',(0.,0.,1.)); #387724=DIRECTION('center_axis',(0.,-1.,0.)); #387725=DIRECTION('ref_axis',(1.,0.,0.)); #387726=DIRECTION('',(1.,0.,0.)); #387727=DIRECTION('',(1.,0.,0.)); #387728=DIRECTION('',(0.,0.,1.)); #387729=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #387730=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #387731=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #387732=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #387733=DIRECTION('',(0.,0.,1.)); #387734=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #387735=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #387736=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #387737=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #387738=DIRECTION('',(0.,0.,1.)); #387739=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #387740=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #387741=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #387742=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #387743=DIRECTION('',(0.,0.,1.)); #387744=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #387745=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #387746=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #387747=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #387748=DIRECTION('',(0.,0.,1.)); #387749=DIRECTION('center_axis',(-1.,0.,0.)); #387750=DIRECTION('ref_axis',(0.,-1.,0.)); #387751=DIRECTION('',(0.,-1.,0.)); #387752=DIRECTION('',(0.,-1.,0.)); #387753=DIRECTION('',(0.,0.,1.)); #387754=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #387755=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #387756=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #387757=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #387758=DIRECTION('',(0.,0.,1.)); #387759=DIRECTION('center_axis',(-0.850880796063565,0.52535880204888,0.)); #387760=DIRECTION('ref_axis',(-0.52535880204888,-0.850880796063565,0.)); #387761=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #387762=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #387763=DIRECTION('',(0.,0.,1.)); #387764=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #387765=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #387766=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #387767=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #387768=DIRECTION('',(0.,0.,1.)); #387769=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #387770=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #387771=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #387772=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #387773=DIRECTION('',(0.,0.,1.)); #387774=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #387775=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #387776=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #387777=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #387778=DIRECTION('',(0.,0.,1.)); #387779=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #387780=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #387781=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #387782=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #387783=DIRECTION('',(0.,0.,1.)); #387784=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #387785=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #387786=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387787=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #387788=DIRECTION('',(0.,0.,1.)); #387789=DIRECTION('center_axis',(0.820212632122729,0.572058771549135,0.)); #387790=DIRECTION('ref_axis',(-0.572058771549135,0.820212632122729,0.)); #387791=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #387792=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #387793=DIRECTION('',(0.,0.,1.)); #387794=DIRECTION('center_axis',(0.973144071552962,0.230196907019457,0.)); #387795=DIRECTION('ref_axis',(-0.230196907019457,0.973144071552962,0.)); #387796=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #387797=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #387798=DIRECTION('',(0.,0.,1.)); #387799=DIRECTION('center_axis',(0.985842168327497,-0.167675934908202,0.)); #387800=DIRECTION('ref_axis',(0.167675934908202,0.985842168327497,0.)); #387801=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #387802=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #387803=DIRECTION('',(0.,0.,1.)); #387804=DIRECTION('center_axis',(0.842362410729438,-0.538911466745781,0.)); #387805=DIRECTION('ref_axis',(0.538911466745781,0.842362410729438,0.)); #387806=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #387807=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #387808=DIRECTION('',(0.,0.,1.)); #387809=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #387810=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #387811=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #387812=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #387813=DIRECTION('center_axis',(0.,0.,1.)); #387814=DIRECTION('ref_axis',(1.,0.,0.)); #387815=DIRECTION('center_axis',(0.,0.,1.)); #387816=DIRECTION('ref_axis',(1.,0.,0.)); #387817=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #387818=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #387819=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387820=DIRECTION('',(0.,0.,1.)); #387821=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #387822=DIRECTION('',(0.,0.,1.)); #387823=DIRECTION('center_axis',(0.,-1.,0.)); #387824=DIRECTION('ref_axis',(1.,0.,0.)); #387825=DIRECTION('',(1.,0.,0.)); #387826=DIRECTION('',(1.,0.,0.)); #387827=DIRECTION('',(0.,0.,1.)); #387828=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #387829=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #387830=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #387831=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #387832=DIRECTION('',(0.,0.,1.)); #387833=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #387834=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #387835=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #387836=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #387837=DIRECTION('',(0.,0.,1.)); #387838=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #387839=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #387840=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #387841=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #387842=DIRECTION('',(0.,0.,1.)); #387843=DIRECTION('center_axis',(-0.820212632122522,-0.572058771549431, 0.)); #387844=DIRECTION('ref_axis',(0.572058771549431,-0.820212632122522,0.)); #387845=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #387846=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #387847=DIRECTION('',(0.,0.,1.)); #387848=DIRECTION('center_axis',(-0.97315145688646,-0.230165683714495,0.)); #387849=DIRECTION('ref_axis',(0.230165683714495,-0.97315145688646,0.)); #387850=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #387851=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #387852=DIRECTION('',(0.,0.,1.)); #387853=DIRECTION('center_axis',(-0.985847618607416,0.167643887112198,0.)); #387854=DIRECTION('ref_axis',(-0.167643887112198,-0.985847618607416,0.)); #387855=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #387856=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #387857=DIRECTION('',(0.,0.,1.)); #387858=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #387859=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #387860=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #387861=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #387862=DIRECTION('',(0.,0.,1.)); #387863=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #387864=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #387865=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #387866=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #387867=DIRECTION('',(0.,0.,1.)); #387868=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #387869=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #387870=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #387871=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #387872=DIRECTION('',(0.,0.,1.)); #387873=DIRECTION('center_axis',(0.,1.,0.)); #387874=DIRECTION('ref_axis',(-1.,0.,0.)); #387875=DIRECTION('',(-1.,0.,0.)); #387876=DIRECTION('',(-1.,0.,0.)); #387877=DIRECTION('',(0.,0.,1.)); #387878=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #387879=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #387880=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #387881=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #387882=DIRECTION('',(0.,0.,1.)); #387883=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #387884=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #387885=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #387886=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #387887=DIRECTION('',(0.,0.,1.)); #387888=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #387889=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #387890=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #387891=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #387892=DIRECTION('',(0.,0.,1.)); #387893=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #387894=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #387895=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #387896=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #387897=DIRECTION('',(0.,0.,1.)); #387898=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #387899=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #387900=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #387901=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #387902=DIRECTION('',(0.,0.,1.)); #387903=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #387904=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #387905=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #387906=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #387907=DIRECTION('',(0.,0.,1.)); #387908=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #387909=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #387910=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #387911=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #387912=DIRECTION('',(0.,0.,1.)); #387913=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #387914=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #387915=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #387916=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #387917=DIRECTION('',(0.,0.,1.)); #387918=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #387919=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #387920=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #387921=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #387922=DIRECTION('center_axis',(0.,0.,1.)); #387923=DIRECTION('ref_axis',(1.,0.,0.)); #387924=DIRECTION('center_axis',(0.,0.,1.)); #387925=DIRECTION('ref_axis',(1.,0.,0.)); #387926=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #387927=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #387928=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387929=DIRECTION('',(0.,0.,1.)); #387930=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #387931=DIRECTION('',(0.,0.,1.)); #387932=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #387933=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #387934=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #387935=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #387936=DIRECTION('',(0.,0.,1.)); #387937=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #387938=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #387939=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #387940=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #387941=DIRECTION('',(0.,0.,1.)); #387942=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #387943=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #387944=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #387945=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #387946=DIRECTION('',(0.,0.,1.)); #387947=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #387948=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #387949=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #387950=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #387951=DIRECTION('',(0.,0.,1.)); #387952=DIRECTION('center_axis',(-0.973151456886365,-0.230165683714894, 0.)); #387953=DIRECTION('ref_axis',(0.230165683714894,-0.973151456886365,0.)); #387954=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #387955=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #387956=DIRECTION('',(0.,0.,1.)); #387957=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #387958=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #387959=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #387960=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #387961=DIRECTION('',(0.,0.,1.)); #387962=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #387963=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #387964=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #387965=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #387966=DIRECTION('',(0.,0.,1.)); #387967=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #387968=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #387969=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #387970=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #387971=DIRECTION('',(0.,0.,1.)); #387972=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #387973=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #387974=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #387975=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #387976=DIRECTION('',(0.,0.,1.)); #387977=DIRECTION('center_axis',(0.,1.,0.)); #387978=DIRECTION('ref_axis',(-1.,0.,0.)); #387979=DIRECTION('',(-1.,0.,0.)); #387980=DIRECTION('',(-1.,0.,0.)); #387981=DIRECTION('',(0.,0.,1.)); #387982=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #387983=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #387984=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #387985=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #387986=DIRECTION('',(0.,0.,1.)); #387987=DIRECTION('center_axis',(0.552278225391724,0.833659859749867,0.)); #387988=DIRECTION('ref_axis',(-0.833659859749867,0.552278225391724,0.)); #387989=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #387990=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #387991=DIRECTION('',(0.,0.,1.)); #387992=DIRECTION('center_axis',(0.707106781186569,0.707106781186526,0.)); #387993=DIRECTION('ref_axis',(-0.707106781186526,0.707106781186569,0.)); #387994=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #387995=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #387996=DIRECTION('',(0.,0.,1.)); #387997=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #387998=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #387999=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #388000=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #388001=DIRECTION('',(0.,0.,1.)); #388002=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #388003=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #388004=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #388005=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #388006=DIRECTION('',(0.,0.,1.)); #388007=DIRECTION('center_axis',(1.,0.,0.)); #388008=DIRECTION('ref_axis',(0.,1.,0.)); #388009=DIRECTION('',(0.,1.,0.)); #388010=DIRECTION('',(0.,1.,0.)); #388011=DIRECTION('',(0.,0.,1.)); #388012=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #388013=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #388014=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #388015=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #388016=DIRECTION('',(0.,0.,1.)); #388017=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #388018=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #388019=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #388020=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #388021=DIRECTION('',(0.,0.,1.)); #388022=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #388023=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #388024=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #388025=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #388026=DIRECTION('center_axis',(0.,0.,1.)); #388027=DIRECTION('ref_axis',(1.,0.,0.)); #388028=DIRECTION('center_axis',(0.,0.,1.)); #388029=DIRECTION('ref_axis',(1.,0.,0.)); #388030=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #388031=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #388032=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #388033=DIRECTION('',(0.,0.,1.)); #388034=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #388035=DIRECTION('',(0.,0.,1.)); #388036=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #388037=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #388038=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #388039=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #388040=DIRECTION('',(0.,0.,1.)); #388041=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #388042=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #388043=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #388044=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #388045=DIRECTION('',(0.,0.,1.)); #388046=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #388047=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #388048=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #388049=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #388050=DIRECTION('',(0.,0.,1.)); #388051=DIRECTION('center_axis',(0.,-1.,0.)); #388052=DIRECTION('ref_axis',(1.,0.,0.)); #388053=DIRECTION('',(1.,0.,0.)); #388054=DIRECTION('',(1.,0.,0.)); #388055=DIRECTION('',(0.,0.,1.)); #388056=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #388057=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #388058=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #388059=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #388060=DIRECTION('',(0.,0.,1.)); #388061=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #388062=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #388063=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #388064=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #388065=DIRECTION('',(0.,0.,1.)); #388066=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #388067=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #388068=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #388069=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #388070=DIRECTION('',(0.,0.,1.)); #388071=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #388072=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #388073=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #388074=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #388075=DIRECTION('',(0.,0.,1.)); #388076=DIRECTION('center_axis',(-0.983050529880594,0.183334818573788,0.)); #388077=DIRECTION('ref_axis',(-0.183334818573788,-0.983050529880594,0.)); #388078=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #388079=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #388080=DIRECTION('',(0.,0.,1.)); #388081=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #388082=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #388083=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #388084=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #388085=DIRECTION('',(0.,0.,1.)); #388086=DIRECTION('center_axis',(-0.707110741027282,0.707102821323638,0.)); #388087=DIRECTION('ref_axis',(-0.707102821323638,-0.707110741027282,0.)); #388088=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #388089=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #388090=DIRECTION('',(0.,0.,1.)); #388091=DIRECTION('center_axis',(-1.,0.,0.)); #388092=DIRECTION('ref_axis',(0.,-1.,0.)); #388093=DIRECTION('',(0.,-1.,0.)); #388094=DIRECTION('',(0.,-1.,0.)); #388095=DIRECTION('',(0.,0.,1.)); #388096=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #388097=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #388098=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #388099=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #388100=DIRECTION('',(0.,0.,1.)); #388101=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #388102=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #388103=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #388104=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #388105=DIRECTION('',(0.,0.,1.)); #388106=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #388107=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #388108=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388109=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388110=DIRECTION('',(0.,0.,1.)); #388111=DIRECTION('center_axis',(-1.,0.,0.)); #388112=DIRECTION('ref_axis',(0.,-1.,0.)); #388113=DIRECTION('',(0.,-1.,0.)); #388114=DIRECTION('',(0.,-1.,0.)); #388115=DIRECTION('',(0.,0.,1.)); #388116=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #388117=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #388118=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #388119=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #388120=DIRECTION('',(0.,0.,1.)); #388121=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #388122=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #388123=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #388124=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #388125=DIRECTION('',(0.,0.,1.)); #388126=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #388127=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #388128=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #388129=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #388130=DIRECTION('',(0.,0.,1.)); #388131=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #388132=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #388133=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #388134=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #388135=DIRECTION('',(0.,0.,1.)); #388136=DIRECTION('center_axis',(0.,1.,0.)); #388137=DIRECTION('ref_axis',(-1.,0.,0.)); #388138=DIRECTION('',(-1.,0.,0.)); #388139=DIRECTION('',(-1.,0.,0.)); #388140=DIRECTION('',(0.,0.,1.)); #388141=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #388142=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #388143=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #388144=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #388145=DIRECTION('',(0.,0.,1.)); #388146=DIRECTION('center_axis',(-1.,0.,0.)); #388147=DIRECTION('ref_axis',(0.,-1.,0.)); #388148=DIRECTION('',(0.,-1.,0.)); #388149=DIRECTION('',(0.,-1.,0.)); #388150=DIRECTION('',(0.,0.,1.)); #388151=DIRECTION('center_axis',(-0.984479063846111,0.17550205938588,0.)); #388152=DIRECTION('ref_axis',(-0.17550205938588,-0.984479063846111,0.)); #388153=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #388154=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #388155=DIRECTION('',(0.,0.,1.)); #388156=DIRECTION('center_axis',(-0.850871695642134,0.525373540973544,0.)); #388157=DIRECTION('ref_axis',(-0.525373540973544,-0.850871695642134,0.)); #388158=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #388159=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #388160=DIRECTION('',(0.,0.,1.)); #388161=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #388162=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #388163=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #388164=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #388165=DIRECTION('',(0.,0.,1.)); #388166=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #388167=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #388168=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #388169=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #388170=DIRECTION('',(0.,0.,1.)); #388171=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #388172=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #388173=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #388174=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #388175=DIRECTION('',(0.,0.,1.)); #388176=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #388177=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #388178=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #388179=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #388180=DIRECTION('',(0.,0.,1.)); #388181=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #388182=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #388183=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #388184=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #388185=DIRECTION('',(0.,0.,1.)); #388186=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #388187=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #388188=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #388189=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #388190=DIRECTION('',(0.,0.,1.)); #388191=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #388192=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #388193=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388194=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388195=DIRECTION('',(0.,0.,1.)); #388196=DIRECTION('center_axis',(1.,0.,0.)); #388197=DIRECTION('ref_axis',(0.,1.,0.)); #388198=DIRECTION('',(0.,1.,0.)); #388199=DIRECTION('',(0.,1.,0.)); #388200=DIRECTION('',(0.,0.,1.)); #388201=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #388202=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #388203=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #388204=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #388205=DIRECTION('',(0.,0.,1.)); #388206=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #388207=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #388208=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #388209=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #388210=DIRECTION('',(0.,0.,1.)); #388211=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #388212=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #388213=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #388214=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #388215=DIRECTION('center_axis',(0.,0.,1.)); #388216=DIRECTION('ref_axis',(1.,0.,0.)); #388217=DIRECTION('center_axis',(0.,0.,1.)); #388218=DIRECTION('ref_axis',(1.,0.,0.)); #388219=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #388220=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #388221=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #388222=DIRECTION('',(0.,0.,1.)); #388223=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #388224=DIRECTION('',(0.,0.,1.)); #388225=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #388226=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #388227=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #388228=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #388229=DIRECTION('',(0.,0.,1.)); #388230=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #388231=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #388232=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #388233=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #388234=DIRECTION('',(0.,0.,1.)); #388235=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #388236=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #388237=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388238=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388239=DIRECTION('',(0.,0.,1.)); #388240=DIRECTION('center_axis',(-0.829203833807507,-0.558946331948723, 0.)); #388241=DIRECTION('ref_axis',(0.558946331948723,-0.829203833807507,0.)); #388242=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #388243=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #388244=DIRECTION('',(0.,0.,1.)); #388245=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #388246=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #388247=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388248=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388249=DIRECTION('',(0.,0.,1.)); #388250=DIRECTION('center_axis',(-1.,0.,0.)); #388251=DIRECTION('ref_axis',(0.,-1.,0.)); #388252=DIRECTION('',(0.,-1.,0.)); #388253=DIRECTION('',(0.,-1.,0.)); #388254=DIRECTION('',(0.,0.,1.)); #388255=DIRECTION('center_axis',(-0.984480215387569,0.175495599689697,0.)); #388256=DIRECTION('ref_axis',(-0.175495599689697,-0.984480215387569,0.)); #388257=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #388258=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #388259=DIRECTION('',(0.,0.,1.)); #388260=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #388261=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #388262=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #388263=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #388264=DIRECTION('',(0.,0.,1.)); #388265=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #388266=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #388267=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #388268=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #388269=DIRECTION('',(0.,0.,1.)); #388270=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #388271=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #388272=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #388273=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #388274=DIRECTION('',(0.,0.,1.)); #388275=DIRECTION('center_axis',(0.183302952703505,0.983056472197898,0.)); #388276=DIRECTION('ref_axis',(-0.983056472197898,0.183302952703505,0.)); #388277=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #388278=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #388279=DIRECTION('',(0.,0.,1.)); #388280=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #388281=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #388282=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #388283=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #388284=DIRECTION('',(0.,0.,1.)); #388285=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #388286=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #388287=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #388288=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #388289=DIRECTION('',(0.,0.,1.)); #388290=DIRECTION('center_axis',(0.824789890119685,0.565439331101364,0.)); #388291=DIRECTION('ref_axis',(-0.565439331101364,0.824789890119685,0.)); #388292=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #388293=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #388294=DIRECTION('',(0.,0.,1.)); #388295=DIRECTION('center_axis',(0.976694123650575,0.214635944861141,0.)); #388296=DIRECTION('ref_axis',(-0.214635944861141,0.976694123650575,0.)); #388297=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #388298=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #388299=DIRECTION('',(0.,0.,1.)); #388300=DIRECTION('center_axis',(0.983055364215593,-0.183308894729493,0.)); #388301=DIRECTION('ref_axis',(0.183308894729493,0.983055364215593,0.)); #388302=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #388303=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #388304=DIRECTION('',(0.,0.,1.)); #388305=DIRECTION('center_axis',(0.83366991611508,-0.552263045083297,0.)); #388306=DIRECTION('ref_axis',(0.552263045083297,0.83366991611508,0.)); #388307=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #388308=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #388309=DIRECTION('',(0.,0.,1.)); #388310=DIRECTION('center_axis',(0.707061847003295,-0.70715171251457,0.)); #388311=DIRECTION('ref_axis',(0.70715171251457,0.707061847003295,0.)); #388312=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #388313=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #388314=DIRECTION('',(0.,0.,1.)); #388315=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #388316=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #388317=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #388318=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #388319=DIRECTION('center_axis',(0.,0.,1.)); #388320=DIRECTION('ref_axis',(1.,0.,0.)); #388321=DIRECTION('center_axis',(0.,0.,1.)); #388322=DIRECTION('ref_axis',(1.,0.,0.)); #388323=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #388324=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #388325=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #388326=DIRECTION('',(0.,0.,1.)); #388327=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #388328=DIRECTION('',(0.,0.,1.)); #388329=DIRECTION('center_axis',(0.,-1.,0.)); #388330=DIRECTION('ref_axis',(1.,0.,0.)); #388331=DIRECTION('',(1.,0.,0.)); #388332=DIRECTION('',(1.,0.,0.)); #388333=DIRECTION('',(0.,0.,1.)); #388334=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #388335=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #388336=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388337=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388338=DIRECTION('',(0.,0.,1.)); #388339=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #388340=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #388341=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #388342=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #388343=DIRECTION('',(0.,0.,1.)); #388344=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #388345=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #388346=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #388347=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #388348=DIRECTION('',(0.,0.,1.)); #388349=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #388350=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #388351=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #388352=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #388353=DIRECTION('',(0.,0.,1.)); #388354=DIRECTION('center_axis',(-1.,0.,0.)); #388355=DIRECTION('ref_axis',(0.,-1.,0.)); #388356=DIRECTION('',(0.,-1.,0.)); #388357=DIRECTION('',(0.,-1.,0.)); #388358=DIRECTION('',(0.,0.,1.)); #388359=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #388360=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #388361=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388362=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388363=DIRECTION('',(0.,0.,1.)); #388364=DIRECTION('center_axis',(0.,-1.,0.)); #388365=DIRECTION('ref_axis',(1.,0.,0.)); #388366=DIRECTION('',(1.,0.,0.)); #388367=DIRECTION('',(1.,0.,0.)); #388368=DIRECTION('',(0.,0.,1.)); #388369=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #388370=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #388371=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388372=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388373=DIRECTION('',(0.,0.,1.)); #388374=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #388375=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #388376=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #388377=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #388378=DIRECTION('',(0.,0.,1.)); #388379=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #388380=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #388381=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #388382=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #388383=DIRECTION('',(0.,0.,1.)); #388384=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #388385=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #388386=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #388387=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #388388=DIRECTION('',(0.,0.,1.)); #388389=DIRECTION('center_axis',(-1.,0.,0.)); #388390=DIRECTION('ref_axis',(0.,-1.,0.)); #388391=DIRECTION('',(0.,-1.,0.)); #388392=DIRECTION('',(0.,-1.,0.)); #388393=DIRECTION('',(0.,0.,1.)); #388394=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #388395=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #388396=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388397=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #388398=DIRECTION('',(0.,0.,1.)); #388399=DIRECTION('center_axis',(0.,-1.,0.)); #388400=DIRECTION('ref_axis',(1.,0.,0.)); #388401=DIRECTION('',(1.,0.,0.)); #388402=DIRECTION('',(1.,0.,0.)); #388403=DIRECTION('',(0.,0.,1.)); #388404=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #388405=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #388406=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388407=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #388408=DIRECTION('',(0.,0.,1.)); #388409=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #388410=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #388411=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #388412=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #388413=DIRECTION('',(0.,0.,1.)); #388414=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #388415=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #388416=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #388417=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #388418=DIRECTION('',(0.,0.,1.)); #388419=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #388420=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #388421=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #388422=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #388423=DIRECTION('',(0.,0.,1.)); #388424=DIRECTION('center_axis',(-1.,0.,0.)); #388425=DIRECTION('ref_axis',(0.,-1.,0.)); #388426=DIRECTION('',(0.,-1.,0.)); #388427=DIRECTION('',(0.,-1.,0.)); #388428=DIRECTION('',(0.,0.,1.)); #388429=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #388430=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #388431=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #388432=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #388433=DIRECTION('',(0.,0.,1.)); #388434=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #388435=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #388436=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #388437=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #388438=DIRECTION('',(0.,0.,1.)); #388439=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #388440=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #388441=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #388442=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #388443=DIRECTION('',(0.,0.,1.)); #388444=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #388445=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #388446=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #388447=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #388448=DIRECTION('',(0.,0.,1.)); #388449=DIRECTION('center_axis',(0.,1.,0.)); #388450=DIRECTION('ref_axis',(-1.,0.,0.)); #388451=DIRECTION('',(-1.,0.,0.)); #388452=DIRECTION('',(-1.,0.,0.)); #388453=DIRECTION('',(0.,0.,1.)); #388454=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #388455=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #388456=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #388457=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #388458=DIRECTION('',(0.,0.,1.)); #388459=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #388460=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #388461=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #388462=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #388463=DIRECTION('',(0.,0.,1.)); #388464=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #388465=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #388466=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #388467=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #388468=DIRECTION('',(0.,0.,1.)); #388469=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #388470=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #388471=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388472=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388473=DIRECTION('',(0.,0.,1.)); #388474=DIRECTION('center_axis',(1.,0.,0.)); #388475=DIRECTION('ref_axis',(0.,1.,0.)); #388476=DIRECTION('',(0.,1.,0.)); #388477=DIRECTION('',(0.,1.,0.)); #388478=DIRECTION('',(0.,0.,1.)); #388479=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #388480=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #388481=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #388482=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #388483=DIRECTION('',(0.,0.,1.)); #388484=DIRECTION('center_axis',(0.,1.,0.)); #388485=DIRECTION('ref_axis',(-1.,0.,0.)); #388486=DIRECTION('',(-1.,0.,0.)); #388487=DIRECTION('',(-1.,0.,0.)); #388488=DIRECTION('',(0.,0.,1.)); #388489=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #388490=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #388491=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #388492=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #388493=DIRECTION('',(0.,0.,1.)); #388494=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #388495=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #388496=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #388497=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #388498=DIRECTION('',(0.,0.,1.)); #388499=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #388500=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #388501=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #388502=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #388503=DIRECTION('',(0.,0.,1.)); #388504=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #388505=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #388506=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388507=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #388508=DIRECTION('',(0.,0.,1.)); #388509=DIRECTION('center_axis',(1.,0.,0.)); #388510=DIRECTION('ref_axis',(0.,1.,0.)); #388511=DIRECTION('',(0.,1.,0.)); #388512=DIRECTION('',(0.,1.,0.)); #388513=DIRECTION('',(0.,0.,1.)); #388514=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #388515=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #388516=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #388517=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #388518=DIRECTION('',(0.,0.,1.)); #388519=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #388520=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #388521=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #388522=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #388523=DIRECTION('',(0.,0.,1.)); #388524=DIRECTION('center_axis',(0.707108784529046,-0.707104777838373,0.)); #388525=DIRECTION('ref_axis',(0.707104777838373,0.707108784529046,0.)); #388526=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #388527=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #388528=DIRECTION('',(0.,0.,1.)); #388529=DIRECTION('center_axis',(1.,0.,0.)); #388530=DIRECTION('ref_axis',(0.,1.,0.)); #388531=DIRECTION('',(0.,1.,0.)); #388532=DIRECTION('',(0.,1.,0.)); #388533=DIRECTION('',(0.,0.,1.)); #388534=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #388535=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #388536=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #388537=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #388538=DIRECTION('',(0.,0.,1.)); #388539=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #388540=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #388541=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #388542=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #388543=DIRECTION('',(0.,0.,1.)); #388544=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #388545=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #388546=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #388547=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #388548=DIRECTION('',(0.,0.,1.)); #388549=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #388550=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #388551=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #388552=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #388553=DIRECTION('',(0.,0.,1.)); #388554=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #388555=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #388556=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #388557=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #388558=DIRECTION('',(0.,0.,1.)); #388559=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #388560=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #388561=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #388562=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #388563=DIRECTION('center_axis',(0.,0.,1.)); #388564=DIRECTION('ref_axis',(1.,0.,0.)); #388565=DIRECTION('center_axis',(0.,0.,1.)); #388566=DIRECTION('ref_axis',(1.,0.,0.)); #388567=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #388568=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #388569=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #388570=DIRECTION('',(0.,0.,1.)); #388571=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #388572=DIRECTION('',(0.,0.,1.)); #388573=DIRECTION('center_axis',(0.,-1.,0.)); #388574=DIRECTION('ref_axis',(1.,0.,0.)); #388575=DIRECTION('',(1.,0.,0.)); #388576=DIRECTION('',(1.,0.,0.)); #388577=DIRECTION('',(0.,0.,1.)); #388578=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #388579=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #388580=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #388581=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #388582=DIRECTION('',(0.,0.,1.)); #388583=DIRECTION('center_axis',(-0.525373540973373,-0.85087169564224,0.)); #388584=DIRECTION('ref_axis',(0.85087169564224,-0.525373540973373,0.)); #388585=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #388586=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #388587=DIRECTION('',(0.,0.,1.)); #388588=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #388589=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #388590=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #388591=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #388592=DIRECTION('',(0.,0.,1.)); #388593=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #388594=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #388595=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #388596=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #388597=DIRECTION('',(0.,0.,1.)); #388598=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #388599=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #388600=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #388601=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #388602=DIRECTION('',(0.,0.,1.)); #388603=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #388604=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #388605=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #388606=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #388607=DIRECTION('',(0.,0.,1.)); #388608=DIRECTION('center_axis',(-0.707106781186567,0.707106781186528,0.)); #388609=DIRECTION('ref_axis',(-0.707106781186528,-0.707106781186567,0.)); #388610=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #388611=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #388612=DIRECTION('',(0.,0.,1.)); #388613=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #388614=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #388615=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #388616=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #388617=DIRECTION('',(0.,0.,1.)); #388618=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #388619=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #388620=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #388621=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #388622=DIRECTION('',(0.,0.,1.)); #388623=DIRECTION('center_axis',(0.,1.,0.)); #388624=DIRECTION('ref_axis',(-1.,0.,0.)); #388625=DIRECTION('',(-1.,0.,0.)); #388626=DIRECTION('',(-1.,0.,0.)); #388627=DIRECTION('',(0.,0.,1.)); #388628=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #388629=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #388630=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #388631=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #388632=DIRECTION('',(0.,0.,1.)); #388633=DIRECTION('center_axis',(0.525373540973373,0.85087169564224,0.)); #388634=DIRECTION('ref_axis',(-0.85087169564224,0.525373540973373,0.)); #388635=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #388636=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #388637=DIRECTION('',(0.,0.,1.)); #388638=DIRECTION('center_axis',(0.815693852178959,0.578483828224653,0.)); #388639=DIRECTION('ref_axis',(-0.578483828224653,0.815693852178959,0.)); #388640=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #388641=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #388642=DIRECTION('',(0.,0.,1.)); #388643=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #388644=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #388645=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #388646=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #388647=DIRECTION('',(0.,0.,1.)); #388648=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #388649=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #388650=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #388651=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #388652=DIRECTION('',(0.,0.,1.)); #388653=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #388654=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #388655=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #388656=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #388657=DIRECTION('',(0.,0.,1.)); #388658=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #388659=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #388660=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388661=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388662=DIRECTION('',(0.,0.,1.)); #388663=DIRECTION('center_axis',(0.55894633194911,-0.829203833807246,0.)); #388664=DIRECTION('ref_axis',(0.829203833807246,0.55894633194911,0.)); #388665=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #388666=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #388667=DIRECTION('center_axis',(0.,0.,1.)); #388668=DIRECTION('ref_axis',(1.,0.,0.)); #388669=DIRECTION('center_axis',(0.,0.,1.)); #388670=DIRECTION('ref_axis',(1.,0.,0.)); #388671=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #388672=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #388673=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #388674=DIRECTION('',(0.,0.,1.)); #388675=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #388676=DIRECTION('',(0.,0.,1.)); #388677=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #388678=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #388679=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #388680=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #388681=DIRECTION('',(0.,0.,1.)); #388682=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #388683=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #388684=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #388685=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #388686=DIRECTION('',(0.,0.,1.)); #388687=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #388688=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #388689=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #388690=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #388691=DIRECTION('',(0.,0.,1.)); #388692=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #388693=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #388694=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388695=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #388696=DIRECTION('',(0.,0.,1.)); #388697=DIRECTION('center_axis',(-1.,0.,0.)); #388698=DIRECTION('ref_axis',(0.,-1.,0.)); #388699=DIRECTION('',(0.,-1.,0.)); #388700=DIRECTION('',(0.,-1.,0.)); #388701=DIRECTION('',(0.,0.,1.)); #388702=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #388703=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #388704=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #388705=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #388706=DIRECTION('',(0.,0.,1.)); #388707=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #388708=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #388709=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #388710=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #388711=DIRECTION('',(0.,0.,1.)); #388712=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #388713=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #388714=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #388715=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #388716=DIRECTION('',(0.,0.,1.)); #388717=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #388718=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #388719=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #388720=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #388721=DIRECTION('',(0.,0.,1.)); #388722=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #388723=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #388724=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #388725=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #388726=DIRECTION('',(0.,0.,1.)); #388727=DIRECTION('center_axis',(0.,1.,0.)); #388728=DIRECTION('ref_axis',(-1.,0.,0.)); #388729=DIRECTION('',(-1.,0.,0.)); #388730=DIRECTION('',(-1.,0.,0.)); #388731=DIRECTION('',(0.,0.,1.)); #388732=DIRECTION('center_axis',(0.183305290257233,0.983056036329421,0.)); #388733=DIRECTION('ref_axis',(-0.983056036329421,0.183305290257233,0.)); #388734=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #388735=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #388736=DIRECTION('',(0.,0.,1.)); #388737=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #388738=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #388739=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #388740=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #388741=DIRECTION('',(0.,0.,1.)); #388742=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #388743=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #388744=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #388745=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #388746=DIRECTION('',(0.,0.,1.)); #388747=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #388748=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #388749=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #388750=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #388751=DIRECTION('',(0.,0.,1.)); #388752=DIRECTION('center_axis',(1.,0.,0.)); #388753=DIRECTION('ref_axis',(0.,1.,0.)); #388754=DIRECTION('',(0.,1.,0.)); #388755=DIRECTION('',(0.,1.,0.)); #388756=DIRECTION('',(0.,0.,1.)); #388757=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #388758=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #388759=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #388760=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #388761=DIRECTION('',(0.,0.,1.)); #388762=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #388763=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #388764=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #388765=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #388766=DIRECTION('',(0.,0.,1.)); #388767=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #388768=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #388769=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #388770=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #388771=DIRECTION('',(0.,0.,1.)); #388772=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #388773=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #388774=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #388775=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #388776=DIRECTION('',(0.,0.,1.)); #388777=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #388778=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #388779=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #388780=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #388781=DIRECTION('',(0.,0.,1.)); #388782=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #388783=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #388784=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #388785=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #388786=DIRECTION('',(0.,0.,1.)); #388787=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #388788=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #388789=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #388790=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #388791=DIRECTION('',(0.,0.,1.)); #388792=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #388793=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #388794=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #388795=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #388796=DIRECTION('',(0.,0.,1.)); #388797=DIRECTION('center_axis',(0.,-1.,0.)); #388798=DIRECTION('ref_axis',(1.,0.,0.)); #388799=DIRECTION('',(1.,0.,0.)); #388800=DIRECTION('',(1.,0.,0.)); #388801=DIRECTION('',(0.,0.,1.)); #388802=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #388803=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #388804=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #388805=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #388806=DIRECTION('',(0.,0.,1.)); #388807=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #388808=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #388809=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #388810=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #388811=DIRECTION('',(0.,0.,1.)); #388812=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #388813=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #388814=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #388815=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #388816=DIRECTION('',(0.,0.,1.)); #388817=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #388818=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #388819=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #388820=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #388821=DIRECTION('',(0.,0.,1.)); #388822=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #388823=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #388824=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #388825=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #388826=DIRECTION('center_axis',(0.,0.,1.)); #388827=DIRECTION('ref_axis',(1.,0.,0.)); #388828=DIRECTION('center_axis',(0.,0.,1.)); #388829=DIRECTION('ref_axis',(1.,0.,0.)); #388830=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #388831=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #388832=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #388833=DIRECTION('',(0.,0.,1.)); #388834=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #388835=DIRECTION('',(0.,0.,1.)); #388836=DIRECTION('center_axis',(0.,-1.,0.)); #388837=DIRECTION('ref_axis',(1.,0.,0.)); #388838=DIRECTION('',(1.,0.,0.)); #388839=DIRECTION('',(1.,0.,0.)); #388840=DIRECTION('',(0.,0.,1.)); #388841=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #388842=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #388843=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #388844=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #388845=DIRECTION('',(0.,0.,1.)); #388846=DIRECTION('center_axis',(-0.525358802048945,-0.850880796063525, 0.)); #388847=DIRECTION('ref_axis',(0.850880796063525,-0.525358802048945,0.)); #388848=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #388849=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #388850=DIRECTION('',(0.,0.,1.)); #388851=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #388852=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #388853=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #388854=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #388855=DIRECTION('',(0.,0.,1.)); #388856=DIRECTION('center_axis',(-0.976685690837588,-0.21467431451644,0.)); #388857=DIRECTION('ref_axis',(0.21467431451644,-0.976685690837588,0.)); #388858=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #388859=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #388860=DIRECTION('',(0.,0.,1.)); #388861=DIRECTION('center_axis',(-0.983050529880642,0.183334818573531,0.)); #388862=DIRECTION('ref_axis',(-0.183334818573531,-0.983050529880642,0.)); #388863=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #388864=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #388865=DIRECTION('',(0.,0.,1.)); #388866=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #388867=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #388868=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #388869=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #388870=DIRECTION('',(0.,0.,1.)); #388871=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #388872=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #388873=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #388874=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #388875=DIRECTION('',(0.,0.,1.)); #388876=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #388877=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #388878=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #388879=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #388880=DIRECTION('',(0.,0.,1.)); #388881=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #388882=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #388883=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #388884=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #388885=DIRECTION('',(0.,0.,1.)); #388886=DIRECTION('center_axis',(0.,1.,0.)); #388887=DIRECTION('ref_axis',(-1.,0.,0.)); #388888=DIRECTION('',(-1.,0.,0.)); #388889=DIRECTION('',(-1.,0.,0.)); #388890=DIRECTION('',(0.,0.,1.)); #388891=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #388892=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #388893=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #388894=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #388895=DIRECTION('',(0.,0.,1.)); #388896=DIRECTION('center_axis',(0.525373540973504,0.850871695642159,0.)); #388897=DIRECTION('ref_axis',(-0.850871695642159,0.525373540973504,0.)); #388898=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #388899=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #388900=DIRECTION('',(0.,0.,1.)); #388901=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #388902=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #388903=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #388904=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #388905=DIRECTION('',(0.,0.,1.)); #388906=DIRECTION('center_axis',(0.976685690837588,0.21467431451644,0.)); #388907=DIRECTION('ref_axis',(-0.21467431451644,0.976685690837588,0.)); #388908=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #388909=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #388910=DIRECTION('',(0.,0.,1.)); #388911=DIRECTION('center_axis',(0.983050529880642,-0.183334818573531,0.)); #388912=DIRECTION('ref_axis',(0.183334818573531,0.983050529880642,0.)); #388913=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #388914=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #388915=DIRECTION('',(0.,0.,1.)); #388916=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #388917=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #388918=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #388919=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #388920=DIRECTION('',(0.,0.,1.)); #388921=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #388922=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #388923=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #388924=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #388925=DIRECTION('',(0.,0.,1.)); #388926=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #388927=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #388928=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #388929=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #388930=DIRECTION('center_axis',(0.,0.,1.)); #388931=DIRECTION('ref_axis',(1.,0.,0.)); #388932=DIRECTION('center_axis',(0.,0.,1.)); #388933=DIRECTION('ref_axis',(1.,0.,0.)); #388934=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #388935=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #388936=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #388937=DIRECTION('',(0.,0.,1.)); #388938=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #388939=DIRECTION('',(0.,0.,1.)); #388940=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #388941=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #388942=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #388943=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #388944=DIRECTION('',(0.,0.,1.)); #388945=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #388946=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #388947=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #388948=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #388949=DIRECTION('',(0.,0.,1.)); #388950=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #388951=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #388952=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #388953=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #388954=DIRECTION('',(0.,0.,1.)); #388955=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #388956=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #388957=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #388958=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #388959=DIRECTION('',(0.,0.,1.)); #388960=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #388961=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #388962=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #388963=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #388964=DIRECTION('',(0.,0.,1.)); #388965=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #388966=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #388967=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #388968=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #388969=DIRECTION('',(0.,0.,1.)); #388970=DIRECTION('center_axis',(0.,-1.,0.)); #388971=DIRECTION('ref_axis',(1.,0.,0.)); #388972=DIRECTION('',(1.,0.,0.)); #388973=DIRECTION('',(1.,0.,0.)); #388974=DIRECTION('',(0.,0.,1.)); #388975=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #388976=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #388977=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #388978=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #388979=DIRECTION('',(0.,0.,1.)); #388980=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #388981=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #388982=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388983=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388984=DIRECTION('',(0.,0.,1.)); #388985=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #388986=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #388987=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #388988=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #388989=DIRECTION('',(0.,0.,1.)); #388990=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #388991=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #388992=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #388993=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #388994=DIRECTION('',(0.,0.,1.)); #388995=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #388996=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #388997=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #388998=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #388999=DIRECTION('',(0.,0.,1.)); #389000=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #389001=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #389002=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389003=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389004=DIRECTION('',(0.,0.,1.)); #389005=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #389006=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #389007=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389008=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389009=DIRECTION('',(0.,0.,1.)); #389010=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #389011=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #389012=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389013=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389014=DIRECTION('',(0.,0.,1.)); #389015=DIRECTION('center_axis',(-1.,0.,0.)); #389016=DIRECTION('ref_axis',(0.,-1.,0.)); #389017=DIRECTION('',(0.,-1.,0.)); #389018=DIRECTION('',(0.,-1.,0.)); #389019=DIRECTION('',(0.,0.,1.)); #389020=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #389021=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #389022=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #389023=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #389024=DIRECTION('',(0.,0.,1.)); #389025=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #389026=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #389027=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #389028=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #389029=DIRECTION('',(0.,0.,1.)); #389030=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #389031=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #389032=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #389033=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #389034=DIRECTION('',(0.,0.,1.)); #389035=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #389036=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #389037=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #389038=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #389039=DIRECTION('',(0.,0.,1.)); #389040=DIRECTION('center_axis',(0.,1.,0.)); #389041=DIRECTION('ref_axis',(-1.,0.,0.)); #389042=DIRECTION('',(-1.,0.,0.)); #389043=DIRECTION('',(-1.,0.,0.)); #389044=DIRECTION('',(0.,0.,1.)); #389045=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #389046=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #389047=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #389048=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #389049=DIRECTION('',(0.,0.,1.)); #389050=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #389051=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #389052=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #389053=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #389054=DIRECTION('',(0.,0.,1.)); #389055=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #389056=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #389057=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #389058=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #389059=DIRECTION('',(0.,0.,1.)); #389060=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #389061=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #389062=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #389063=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #389064=DIRECTION('',(0.,0.,1.)); #389065=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #389066=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #389067=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389068=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389069=DIRECTION('',(0.,0.,1.)); #389070=DIRECTION('center_axis',(1.,0.,0.)); #389071=DIRECTION('ref_axis',(0.,1.,0.)); #389072=DIRECTION('',(0.,1.,0.)); #389073=DIRECTION('',(0.,1.,0.)); #389074=DIRECTION('',(0.,0.,1.)); #389075=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #389076=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #389077=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #389078=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #389079=DIRECTION('',(0.,0.,1.)); #389080=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #389081=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #389082=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #389083=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #389084=DIRECTION('',(0.,0.,1.)); #389085=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #389086=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #389087=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #389088=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #389089=DIRECTION('center_axis',(0.,0.,1.)); #389090=DIRECTION('ref_axis',(1.,0.,0.)); #389091=DIRECTION('center_axis',(0.,0.,1.)); #389092=DIRECTION('ref_axis',(1.,0.,0.)); #389093=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #389094=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #389095=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #389096=DIRECTION('',(0.,0.,1.)); #389097=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #389098=DIRECTION('',(0.,0.,1.)); #389099=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #389100=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #389101=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #389102=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #389103=DIRECTION('',(0.,0.,1.)); #389104=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #389105=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #389106=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #389107=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #389108=DIRECTION('',(0.,0.,1.)); #389109=DIRECTION('center_axis',(-0.707106781186592,-0.707106781186503, 0.)); #389110=DIRECTION('ref_axis',(0.707106781186503,-0.707106781186592,0.)); #389111=DIRECTION('',(0.707106781186503,-0.707106781186592,0.)); #389112=DIRECTION('',(0.707106781186503,-0.707106781186592,0.)); #389113=DIRECTION('',(0.,0.,1.)); #389114=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #389115=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #389116=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #389117=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #389118=DIRECTION('',(0.,0.,1.)); #389119=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #389120=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #389121=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #389122=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #389123=DIRECTION('',(0.,0.,1.)); #389124=DIRECTION('center_axis',(-1.,0.,0.)); #389125=DIRECTION('ref_axis',(0.,-1.,0.)); #389126=DIRECTION('',(0.,-1.,0.)); #389127=DIRECTION('',(0.,-1.,0.)); #389128=DIRECTION('',(0.,0.,1.)); #389129=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #389130=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #389131=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #389132=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #389133=DIRECTION('',(0.,0.,1.)); #389134=DIRECTION('center_axis',(-0.833659859749598,0.55227822539213,0.)); #389135=DIRECTION('ref_axis',(-0.55227822539213,-0.833659859749598,0.)); #389136=DIRECTION('',(-0.55227822539213,-0.833659859749598,0.)); #389137=DIRECTION('',(-0.55227822539213,-0.833659859749598,0.)); #389138=DIRECTION('',(0.,0.,1.)); #389139=DIRECTION('center_axis',(-0.70710678118657,0.707106781186525,0.)); #389140=DIRECTION('ref_axis',(-0.707106781186525,-0.70710678118657,0.)); #389141=DIRECTION('',(-0.707106781186525,-0.70710678118657,0.)); #389142=DIRECTION('',(-0.707106781186525,-0.70710678118657,0.)); #389143=DIRECTION('',(0.,0.,1.)); #389144=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #389145=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #389146=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #389147=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #389148=DIRECTION('',(0.,0.,1.)); #389149=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #389150=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #389151=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #389152=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #389153=DIRECTION('',(0.,0.,1.)); #389154=DIRECTION('center_axis',(0.167643887112447,0.985847618607373,0.)); #389155=DIRECTION('ref_axis',(-0.985847618607373,0.167643887112447,0.)); #389156=DIRECTION('',(-0.985847618607373,0.167643887112447,0.)); #389157=DIRECTION('',(-0.985847618607373,0.167643887112447,0.)); #389158=DIRECTION('',(0.,0.,1.)); #389159=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #389160=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #389161=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #389162=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #389163=DIRECTION('',(0.,0.,1.)); #389164=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #389165=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #389166=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #389167=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #389168=DIRECTION('',(0.,0.,1.)); #389169=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #389170=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #389171=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #389172=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #389173=DIRECTION('',(0.,0.,1.)); #389174=DIRECTION('center_axis',(1.,0.,0.)); #389175=DIRECTION('ref_axis',(0.,1.,0.)); #389176=DIRECTION('',(0.,1.,0.)); #389177=DIRECTION('',(0.,1.,0.)); #389178=DIRECTION('',(0.,0.,1.)); #389179=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #389180=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #389181=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #389182=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #389183=DIRECTION('',(0.,0.,1.)); #389184=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #389185=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #389186=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #389187=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #389188=DIRECTION('',(0.,0.,1.)); #389189=DIRECTION('center_axis',(0.707106781186592,-0.707106781186503,0.)); #389190=DIRECTION('ref_axis',(0.707106781186503,0.707106781186592,0.)); #389191=DIRECTION('',(0.707106781186503,0.707106781186592,0.)); #389192=DIRECTION('',(0.707106781186503,0.707106781186592,0.)); #389193=DIRECTION('',(0.,0.,1.)); #389194=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #389195=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #389196=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #389197=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #389198=DIRECTION('center_axis',(0.,0.,1.)); #389199=DIRECTION('ref_axis',(1.,0.,0.)); #389200=DIRECTION('center_axis',(0.,0.,1.)); #389201=DIRECTION('ref_axis',(1.,0.,0.)); #389202=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #389203=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #389204=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #389205=DIRECTION('',(0.,0.,1.)); #389206=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #389207=DIRECTION('',(0.,0.,1.)); #389208=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #389209=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #389210=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #389211=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #389212=DIRECTION('',(0.,0.,1.)); #389213=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #389214=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #389215=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #389216=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #389217=DIRECTION('',(0.,0.,1.)); #389218=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #389219=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #389220=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #389221=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #389222=DIRECTION('',(0.,0.,1.)); #389223=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #389224=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #389225=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #389226=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #389227=DIRECTION('',(0.,0.,1.)); #389228=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #389229=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #389230=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #389231=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #389232=DIRECTION('',(0.,0.,1.)); #389233=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #389234=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #389235=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #389236=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #389237=DIRECTION('',(0.,0.,1.)); #389238=DIRECTION('center_axis',(0.,-1.,0.)); #389239=DIRECTION('ref_axis',(1.,0.,0.)); #389240=DIRECTION('',(1.,0.,0.)); #389241=DIRECTION('',(1.,0.,0.)); #389242=DIRECTION('',(0.,0.,1.)); #389243=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #389244=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #389245=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #389246=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #389247=DIRECTION('',(0.,0.,1.)); #389248=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #389249=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #389250=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #389251=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #389252=DIRECTION('',(0.,0.,1.)); #389253=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #389254=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #389255=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #389256=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #389257=DIRECTION('',(0.,0.,1.)); #389258=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #389259=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #389260=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #389261=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #389262=DIRECTION('',(0.,0.,1.)); #389263=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #389264=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #389265=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #389266=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #389267=DIRECTION('',(0.,0.,1.)); #389268=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #389269=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #389270=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389271=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389272=DIRECTION('',(0.,0.,1.)); #389273=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #389274=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #389275=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389276=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389277=DIRECTION('',(0.,0.,1.)); #389278=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #389279=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #389280=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389281=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389282=DIRECTION('',(0.,0.,1.)); #389283=DIRECTION('center_axis',(-1.,0.,0.)); #389284=DIRECTION('ref_axis',(0.,-1.,0.)); #389285=DIRECTION('',(0.,-1.,0.)); #389286=DIRECTION('',(0.,-1.,0.)); #389287=DIRECTION('',(0.,0.,1.)); #389288=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #389289=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #389290=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #389291=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #389292=DIRECTION('',(0.,0.,1.)); #389293=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #389294=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #389295=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #389296=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #389297=DIRECTION('',(0.,0.,1.)); #389298=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #389299=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #389300=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #389301=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #389302=DIRECTION('',(0.,0.,1.)); #389303=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #389304=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #389305=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #389306=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #389307=DIRECTION('',(0.,0.,1.)); #389308=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #389309=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #389310=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #389311=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #389312=DIRECTION('',(0.,0.,1.)); #389313=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #389314=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #389315=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #389316=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #389317=DIRECTION('',(0.,0.,1.)); #389318=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #389319=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #389320=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #389321=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #389322=DIRECTION('',(0.,0.,1.)); #389323=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #389324=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #389325=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #389326=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #389327=DIRECTION('',(0.,0.,1.)); #389328=DIRECTION('center_axis',(0.,1.,0.)); #389329=DIRECTION('ref_axis',(-1.,0.,0.)); #389330=DIRECTION('',(-1.,0.,0.)); #389331=DIRECTION('',(-1.,0.,0.)); #389332=DIRECTION('',(0.,0.,1.)); #389333=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #389334=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #389335=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #389336=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #389337=DIRECTION('',(0.,0.,1.)); #389338=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #389339=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #389340=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #389341=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #389342=DIRECTION('',(0.,0.,1.)); #389343=DIRECTION('center_axis',(-0.923877479452207,0.382688388850561,0.)); #389344=DIRECTION('ref_axis',(-0.382688388850561,-0.923877479452207,0.)); #389345=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #389346=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #389347=DIRECTION('',(0.,0.,1.)); #389348=DIRECTION('center_axis',(-0.844534310044551,0.535501446457032,0.)); #389349=DIRECTION('ref_axis',(-0.535501446457032,-0.844534310044551,0.)); #389350=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #389351=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #389352=DIRECTION('',(0.,0.,1.)); #389353=DIRECTION('center_axis',(-0.591488023935674,0.806313783548732,0.)); #389354=DIRECTION('ref_axis',(-0.806313783548732,-0.591488023935674,0.)); #389355=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #389356=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #389357=DIRECTION('',(0.,0.,1.)); #389358=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #389359=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #389360=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #389361=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #389362=DIRECTION('',(0.,0.,1.)); #389363=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #389364=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #389365=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #389366=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #389367=DIRECTION('',(0.,0.,1.)); #389368=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #389369=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #389370=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #389371=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #389372=DIRECTION('',(0.,0.,1.)); #389373=DIRECTION('center_axis',(0.824756093515132,0.565488626065688,0.)); #389374=DIRECTION('ref_axis',(-0.565488626065688,0.824756093515132,0.)); #389375=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #389376=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #389377=DIRECTION('',(0.,0.,1.)); #389378=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #389379=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #389380=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389381=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389382=DIRECTION('',(0.,0.,1.)); #389383=DIRECTION('center_axis',(1.,0.,0.)); #389384=DIRECTION('ref_axis',(0.,1.,0.)); #389385=DIRECTION('',(0.,1.,0.)); #389386=DIRECTION('',(0.,1.,0.)); #389387=DIRECTION('',(0.,0.,1.)); #389388=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #389389=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #389390=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #389391=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #389392=DIRECTION('',(0.,0.,1.)); #389393=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #389394=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #389395=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #389396=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #389397=DIRECTION('',(0.,0.,1.)); #389398=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #389399=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #389400=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #389401=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #389402=DIRECTION('center_axis',(0.,0.,1.)); #389403=DIRECTION('ref_axis',(1.,0.,0.)); #389404=DIRECTION('center_axis',(0.,0.,1.)); #389405=DIRECTION('ref_axis',(1.,0.,0.)); #389406=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #389407=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #389408=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #389409=DIRECTION('',(0.,0.,1.)); #389410=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #389411=DIRECTION('',(0.,0.,1.)); #389412=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #389413=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #389414=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #389415=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #389416=DIRECTION('',(0.,0.,1.)); #389417=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #389418=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #389419=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #389420=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #389421=DIRECTION('',(0.,0.,1.)); #389422=DIRECTION('center_axis',(-0.707061847002896,-0.707151712514969, 0.)); #389423=DIRECTION('ref_axis',(0.707151712514969,-0.707061847002896,0.)); #389424=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #389425=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #389426=DIRECTION('',(0.,0.,1.)); #389427=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #389428=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #389429=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #389430=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #389431=DIRECTION('',(0.,0.,1.)); #389432=DIRECTION('center_axis',(-0.976694123650575,-0.214635944861141, 0.)); #389433=DIRECTION('ref_axis',(0.214635944861141,-0.976694123650575,0.)); #389434=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #389435=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #389436=DIRECTION('',(0.,0.,1.)); #389437=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #389438=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #389439=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #389440=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #389441=DIRECTION('',(0.,0.,1.)); #389442=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #389443=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #389444=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #389445=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #389446=DIRECTION('',(0.,0.,1.)); #389447=DIRECTION('center_axis',(-0.707106781186527,0.707106781186568,0.)); #389448=DIRECTION('ref_axis',(-0.707106781186568,-0.707106781186527,0.)); #389449=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #389450=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #389451=DIRECTION('',(0.,0.,1.)); #389452=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #389453=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #389454=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #389455=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #389456=DIRECTION('',(0.,0.,1.)); #389457=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #389458=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #389459=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #389460=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #389461=DIRECTION('',(0.,0.,1.)); #389462=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #389463=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #389464=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #389465=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #389466=DIRECTION('',(0.,0.,1.)); #389467=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #389468=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #389469=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #389470=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #389471=DIRECTION('',(0.,0.,1.)); #389472=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #389473=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #389474=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #389475=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #389476=DIRECTION('',(0.,0.,1.)); #389477=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #389478=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #389479=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389480=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #389481=DIRECTION('',(0.,0.,1.)); #389482=DIRECTION('center_axis',(1.,0.,0.)); #389483=DIRECTION('ref_axis',(0.,1.,0.)); #389484=DIRECTION('',(0.,1.,0.)); #389485=DIRECTION('',(0.,1.,0.)); #389486=DIRECTION('',(0.,0.,1.)); #389487=DIRECTION('center_axis',(0.981560865252922,-0.191149856928888,0.)); #389488=DIRECTION('ref_axis',(0.191149856928888,0.981560865252922,0.)); #389489=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #389490=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #389491=DIRECTION('',(0.,0.,1.)); #389492=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #389493=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #389494=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #389495=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #389496=DIRECTION('',(0.,0.,1.)); #389497=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #389498=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #389499=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #389500=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #389501=DIRECTION('',(0.,0.,1.)); #389502=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #389503=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #389504=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #389505=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #389506=DIRECTION('center_axis',(0.,0.,1.)); #389507=DIRECTION('ref_axis',(1.,0.,0.)); #389508=DIRECTION('center_axis',(0.,0.,1.)); #389509=DIRECTION('ref_axis',(1.,0.,0.)); #389510=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #389511=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #389512=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #389513=DIRECTION('',(0.,0.,1.)); #389514=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #389515=DIRECTION('',(0.,0.,1.)); #389516=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #389517=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #389518=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #389519=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #389520=DIRECTION('',(0.,0.,1.)); #389521=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #389522=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #389523=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #389524=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #389525=DIRECTION('',(0.,0.,1.)); #389526=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #389527=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #389528=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #389529=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #389530=DIRECTION('',(0.,0.,1.)); #389531=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #389532=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #389533=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #389534=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #389535=DIRECTION('',(0.,0.,1.)); #389536=DIRECTION('center_axis',(-1.,0.,0.)); #389537=DIRECTION('ref_axis',(0.,-1.,0.)); #389538=DIRECTION('',(0.,-1.,0.)); #389539=DIRECTION('',(0.,-1.,0.)); #389540=DIRECTION('',(0.,0.,1.)); #389541=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #389542=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #389543=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #389544=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #389545=DIRECTION('',(0.,0.,1.)); #389546=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #389547=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #389548=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #389549=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #389550=DIRECTION('',(0.,0.,1.)); #389551=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #389552=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #389553=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #389554=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #389555=DIRECTION('',(0.,0.,1.)); #389556=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #389557=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #389558=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #389559=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #389560=DIRECTION('',(0.,0.,1.)); #389561=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #389562=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #389563=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #389564=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #389565=DIRECTION('',(0.,0.,1.)); #389566=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #389567=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #389568=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #389569=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #389570=DIRECTION('',(0.,0.,1.)); #389571=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #389572=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #389573=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #389574=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #389575=DIRECTION('',(0.,0.,1.)); #389576=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #389577=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #389578=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #389579=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #389580=DIRECTION('',(0.,0.,1.)); #389581=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #389582=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #389583=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #389584=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #389585=DIRECTION('',(0.,0.,1.)); #389586=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #389587=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #389588=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #389589=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #389590=DIRECTION('',(0.,0.,1.)); #389591=DIRECTION('center_axis',(0.,1.,0.)); #389592=DIRECTION('ref_axis',(-1.,0.,0.)); #389593=DIRECTION('',(-1.,0.,0.)); #389594=DIRECTION('',(-1.,0.,0.)); #389595=DIRECTION('',(0.,0.,1.)); #389596=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #389597=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #389598=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #389599=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #389600=DIRECTION('',(0.,0.,1.)); #389601=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #389602=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #389603=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #389604=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #389605=DIRECTION('',(0.,0.,1.)); #389606=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #389607=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #389608=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #389609=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #389610=DIRECTION('',(0.,0.,1.)); #389611=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #389612=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #389613=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #389614=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #389615=DIRECTION('',(0.,0.,1.)); #389616=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #389617=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #389618=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #389619=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #389620=DIRECTION('',(0.,0.,1.)); #389621=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #389622=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #389623=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #389624=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #389625=DIRECTION('',(0.,0.,1.)); #389626=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #389627=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #389628=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #389629=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #389630=DIRECTION('',(0.,0.,1.)); #389631=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #389632=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #389633=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #389634=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #389635=DIRECTION('',(0.,0.,1.)); #389636=DIRECTION('center_axis',(1.,0.,0.)); #389637=DIRECTION('ref_axis',(0.,1.,0.)); #389638=DIRECTION('',(0.,1.,0.)); #389639=DIRECTION('',(0.,1.,0.)); #389640=DIRECTION('',(0.,0.,1.)); #389641=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #389642=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #389643=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #389644=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #389645=DIRECTION('',(0.,0.,1.)); #389646=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #389647=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #389648=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #389649=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #389650=DIRECTION('',(0.,0.,1.)); #389651=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #389652=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #389653=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #389654=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #389655=DIRECTION('',(0.,0.,1.)); #389656=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #389657=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #389658=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #389659=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #389660=DIRECTION('',(0.,0.,1.)); #389661=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #389662=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #389663=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #389664=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #389665=DIRECTION('',(0.,0.,1.)); #389666=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #389667=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #389668=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #389669=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #389670=DIRECTION('',(0.,0.,1.)); #389671=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #389672=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #389673=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #389674=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #389675=DIRECTION('',(0.,0.,1.)); #389676=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #389677=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #389678=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #389679=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #389680=DIRECTION('',(0.,0.,1.)); #389681=DIRECTION('center_axis',(0.,-1.,0.)); #389682=DIRECTION('ref_axis',(1.,0.,0.)); #389683=DIRECTION('',(1.,0.,0.)); #389684=DIRECTION('',(1.,0.,0.)); #389685=DIRECTION('',(0.,0.,1.)); #389686=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #389687=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #389688=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #389689=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #389690=DIRECTION('',(0.,0.,1.)); #389691=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #389692=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #389693=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #389694=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #389695=DIRECTION('',(0.,0.,1.)); #389696=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #389697=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #389698=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #389699=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #389700=DIRECTION('',(0.,0.,1.)); #389701=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #389702=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #389703=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #389704=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #389705=DIRECTION('',(0.,0.,1.)); #389706=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #389707=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #389708=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #389709=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #389710=DIRECTION('center_axis',(0.,0.,1.)); #389711=DIRECTION('ref_axis',(1.,0.,0.)); #389712=DIRECTION('center_axis',(0.,0.,1.)); #389713=DIRECTION('ref_axis',(1.,0.,0.)); #389714=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #389715=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #389716=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #389717=DIRECTION('',(0.,0.,1.)); #389718=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #389719=DIRECTION('',(0.,0.,1.)); #389720=DIRECTION('center_axis',(0.,-1.,0.)); #389721=DIRECTION('ref_axis',(1.,0.,0.)); #389722=DIRECTION('',(1.,0.,0.)); #389723=DIRECTION('',(1.,0.,0.)); #389724=DIRECTION('',(0.,0.,1.)); #389725=DIRECTION('center_axis',(-0.191149856928931,-0.981560865252914, 0.)); #389726=DIRECTION('ref_axis',(0.981560865252914,-0.191149856928931,0.)); #389727=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #389728=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #389729=DIRECTION('',(0.,0.,1.)); #389730=DIRECTION('center_axis',(-0.552278225391927,-0.833659859749733, 0.)); #389731=DIRECTION('ref_axis',(0.833659859749732,-0.552278225391927,0.)); #389732=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #389733=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #389734=DIRECTION('',(0.,0.,1.)); #389735=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #389736=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #389737=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #389738=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #389739=DIRECTION('',(0.,0.,1.)); #389740=DIRECTION('center_axis',(-0.820212632122612,-0.572058771549303, 0.)); #389741=DIRECTION('ref_axis',(0.572058771549303,-0.820212632122612,0.)); #389742=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #389743=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #389744=DIRECTION('',(0.,0.,1.)); #389745=DIRECTION('center_axis',(-0.973151456886399,-0.230165683714752, 0.)); #389746=DIRECTION('ref_axis',(0.230165683714752,-0.973151456886399,0.)); #389747=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #389748=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #389749=DIRECTION('',(0.,0.,1.)); #389750=DIRECTION('center_axis',(-0.985847618607386,0.167643887112376,0.)); #389751=DIRECTION('ref_axis',(-0.167643887112376,-0.985847618607385,0.)); #389752=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #389753=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #389754=DIRECTION('',(0.,0.,1.)); #389755=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #389756=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #389757=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #389758=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #389759=DIRECTION('',(0.,0.,1.)); #389760=DIRECTION('center_axis',(-0.565504004715097,0.824745549033875,0.)); #389761=DIRECTION('ref_axis',(-0.824745549033875,-0.565504004715097,0.)); #389762=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #389763=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #389764=DIRECTION('',(0.,0.,1.)); #389765=DIRECTION('center_axis',(-0.198956019232557,0.98000841956135,0.)); #389766=DIRECTION('ref_axis',(-0.98000841956135,-0.198956019232557,0.)); #389767=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #389768=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #389769=DIRECTION('',(0.,0.,1.)); #389770=DIRECTION('center_axis',(0.,1.,0.)); #389771=DIRECTION('ref_axis',(-1.,0.,0.)); #389772=DIRECTION('',(-1.,0.,0.)); #389773=DIRECTION('',(-1.,0.,0.)); #389774=DIRECTION('',(0.,0.,1.)); #389775=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #389776=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #389777=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #389778=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #389779=DIRECTION('',(0.,0.,1.)); #389780=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #389781=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #389782=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #389783=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #389784=DIRECTION('',(0.,0.,1.)); #389785=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #389786=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #389787=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #389788=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #389789=DIRECTION('',(0.,0.,1.)); #389790=DIRECTION('center_axis',(0.820212632122596,0.572058771549325,0.)); #389791=DIRECTION('ref_axis',(-0.572058771549325,0.820212632122596,0.)); #389792=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #389793=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #389794=DIRECTION('',(0.,0.,1.)); #389795=DIRECTION('center_axis',(0.97315145688639,0.230165683714788,0.)); #389796=DIRECTION('ref_axis',(-0.230165683714788,0.97315145688639,0.)); #389797=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #389798=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #389799=DIRECTION('',(0.,0.,1.)); #389800=DIRECTION('center_axis',(0.985847618607373,-0.167643887112447,0.)); #389801=DIRECTION('ref_axis',(0.167643887112447,0.985847618607373,0.)); #389802=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #389803=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #389804=DIRECTION('',(0.,0.,1.)); #389805=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #389806=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #389807=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #389808=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #389809=DIRECTION('',(0.,0.,1.)); #389810=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #389811=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #389812=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #389813=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #389814=DIRECTION('center_axis',(0.,0.,1.)); #389815=DIRECTION('ref_axis',(1.,0.,0.)); #389816=DIRECTION('center_axis',(0.,0.,1.)); #389817=DIRECTION('ref_axis',(1.,0.,0.)); #389818=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #389819=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #389820=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #389821=DIRECTION('',(0.,0.,1.)); #389822=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #389823=DIRECTION('',(0.,0.,1.)); #389824=DIRECTION('center_axis',(0.,-1.,0.)); #389825=DIRECTION('ref_axis',(1.,0.,0.)); #389826=DIRECTION('',(1.,0.,0.)); #389827=DIRECTION('',(1.,0.,0.)); #389828=DIRECTION('',(0.,0.,1.)); #389829=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #389830=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #389831=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #389832=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #389833=DIRECTION('',(0.,0.,1.)); #389834=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #389835=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #389836=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389837=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #389838=DIRECTION('',(0.,0.,1.)); #389839=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #389840=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #389841=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389842=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #389843=DIRECTION('',(0.,0.,1.)); #389844=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #389845=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #389846=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389847=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #389848=DIRECTION('',(0.,0.,1.)); #389849=DIRECTION('center_axis',(-1.,0.,0.)); #389850=DIRECTION('ref_axis',(0.,-1.,0.)); #389851=DIRECTION('',(0.,-1.,0.)); #389852=DIRECTION('',(0.,-1.,0.)); #389853=DIRECTION('',(0.,0.,1.)); #389854=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #389855=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #389856=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #389857=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #389858=DIRECTION('',(0.,0.,1.)); #389859=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #389860=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #389861=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #389862=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #389863=DIRECTION('',(0.,0.,1.)); #389864=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #389865=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #389866=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #389867=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #389868=DIRECTION('',(0.,0.,1.)); #389869=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #389870=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #389871=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #389872=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #389873=DIRECTION('',(0.,0.,1.)); #389874=DIRECTION('center_axis',(0.,1.,0.)); #389875=DIRECTION('ref_axis',(-1.,0.,0.)); #389876=DIRECTION('',(-1.,0.,0.)); #389877=DIRECTION('',(-1.,0.,0.)); #389878=DIRECTION('',(0.,0.,1.)); #389879=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #389880=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #389881=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #389882=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #389883=DIRECTION('',(0.,0.,1.)); #389884=DIRECTION('center_axis',(0.55226304508323,0.833669916115124,0.)); #389885=DIRECTION('ref_axis',(-0.833669916115124,0.55226304508323,0.)); #389886=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #389887=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #389888=DIRECTION('',(0.,0.,1.)); #389889=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #389890=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #389891=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #389892=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #389893=DIRECTION('',(0.,0.,1.)); #389894=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #389895=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #389896=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #389897=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #389898=DIRECTION('',(0.,0.,1.)); #389899=DIRECTION('center_axis',(0.973151456886398,0.230165683714756,0.)); #389900=DIRECTION('ref_axis',(-0.230165683714756,0.973151456886398,0.)); #389901=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #389902=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #389903=DIRECTION('',(0.,0.,1.)); #389904=DIRECTION('center_axis',(0.985847618607365,-0.167643887112495,0.)); #389905=DIRECTION('ref_axis',(0.167643887112495,0.985847618607365,0.)); #389906=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #389907=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #389908=DIRECTION('',(0.,0.,1.)); #389909=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #389910=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #389911=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #389912=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #389913=DIRECTION('',(0.,0.,1.)); #389914=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #389915=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #389916=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #389917=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #389918=DIRECTION('center_axis',(0.,0.,1.)); #389919=DIRECTION('ref_axis',(1.,0.,0.)); #389920=DIRECTION('center_axis',(0.,0.,1.)); #389921=DIRECTION('ref_axis',(1.,0.,0.)); #389922=DIRECTION('center_axis',(0.,0.,1.)); #389923=DIRECTION('ref_axis',(1.,0.,0.)); #389924=DIRECTION('center_axis',(0.,0.,1.)); #389925=DIRECTION('ref_axis',(1.,0.,0.)); #389926=DIRECTION('',(0.,0.,1.)); #389927=DIRECTION('center_axis',(0.,0.,-1.)); #389928=DIRECTION('ref_axis',(1.,0.,0.)); #389929=DIRECTION('center_axis',(0.,1.,0.)); #389930=DIRECTION('ref_axis',(-1.,0.,0.)); #389931=DIRECTION('',(1.,0.,0.)); #389932=DIRECTION('',(0.,0.,1.)); #389933=DIRECTION('',(-1.,0.,0.)); #389934=DIRECTION('',(0.,0.,1.)); #389935=DIRECTION('center_axis',(0.,0.,1.)); #389936=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #389937=DIRECTION('center_axis',(0.,0.,-1.)); #389938=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #389939=DIRECTION('center_axis',(0.,0.,1.)); #389940=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #389941=DIRECTION('',(0.,0.,1.)); #389942=DIRECTION('center_axis',(0.,-1.,0.)); #389943=DIRECTION('ref_axis',(1.,0.,0.)); #389944=DIRECTION('',(-1.,0.,0.)); #389945=DIRECTION('',(1.,0.,0.)); #389946=DIRECTION('',(0.,0.,1.)); #389947=DIRECTION('center_axis',(0.,0.,1.)); #389948=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #389949=DIRECTION('center_axis',(0.,0.,-1.)); #389950=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #389951=DIRECTION('center_axis',(0.,0.,1.)); #389952=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #389953=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #389954=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #389955=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #389956=DIRECTION('',(0.,0.,1.)); #389957=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #389958=DIRECTION('',(0.,0.,1.)); #389959=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #389960=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #389961=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #389962=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #389963=DIRECTION('',(0.,0.,1.)); #389964=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #389965=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #389966=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #389967=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #389968=DIRECTION('',(0.,0.,1.)); #389969=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #389970=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #389971=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #389972=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #389973=DIRECTION('',(0.,0.,1.)); #389974=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #389975=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #389976=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #389977=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #389978=DIRECTION('',(0.,0.,1.)); #389979=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #389980=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #389981=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #389982=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #389983=DIRECTION('',(0.,0.,1.)); #389984=DIRECTION('center_axis',(-1.,0.,0.)); #389985=DIRECTION('ref_axis',(0.,-1.,0.)); #389986=DIRECTION('',(0.,-1.,0.)); #389987=DIRECTION('',(0.,-1.,0.)); #389988=DIRECTION('',(0.,0.,1.)); #389989=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #389990=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #389991=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #389992=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #389993=DIRECTION('',(0.,0.,1.)); #389994=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #389995=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #389996=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #389997=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #389998=DIRECTION('',(0.,0.,1.)); #389999=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #390000=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #390001=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #390002=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #390003=DIRECTION('',(0.,0.,1.)); #390004=DIRECTION('center_axis',(-1.,0.,0.)); #390005=DIRECTION('ref_axis',(0.,-1.,0.)); #390006=DIRECTION('',(0.,-1.,0.)); #390007=DIRECTION('',(0.,-1.,0.)); #390008=DIRECTION('',(0.,0.,1.)); #390009=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #390010=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #390011=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #390012=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #390013=DIRECTION('',(0.,0.,1.)); #390014=DIRECTION('center_axis',(0.,-1.,0.)); #390015=DIRECTION('ref_axis',(1.,0.,0.)); #390016=DIRECTION('',(1.,0.,0.)); #390017=DIRECTION('',(1.,0.,0.)); #390018=DIRECTION('',(0.,0.,1.)); #390019=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #390020=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #390021=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #390022=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #390023=DIRECTION('',(0.,0.,1.)); #390024=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #390025=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #390026=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #390027=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #390028=DIRECTION('',(0.,0.,1.)); #390029=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #390030=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #390031=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #390032=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #390033=DIRECTION('',(0.,0.,1.)); #390034=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #390035=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #390036=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #390037=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #390038=DIRECTION('',(0.,0.,1.)); #390039=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #390040=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #390041=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #390042=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #390043=DIRECTION('',(0.,0.,1.)); #390044=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #390045=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #390046=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #390047=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #390048=DIRECTION('',(0.,0.,1.)); #390049=DIRECTION('center_axis',(0.,-1.,0.)); #390050=DIRECTION('ref_axis',(1.,0.,0.)); #390051=DIRECTION('',(1.,0.,0.)); #390052=DIRECTION('',(1.,0.,0.)); #390053=DIRECTION('',(0.,0.,1.)); #390054=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390055=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390056=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390057=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #390058=DIRECTION('',(0.,0.,1.)); #390059=DIRECTION('center_axis',(1.,0.,0.)); #390060=DIRECTION('ref_axis',(0.,1.,0.)); #390061=DIRECTION('',(0.,1.,0.)); #390062=DIRECTION('',(0.,1.,0.)); #390063=DIRECTION('',(0.,0.,1.)); #390064=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #390065=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #390066=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #390067=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #390068=DIRECTION('',(0.,0.,1.)); #390069=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #390070=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #390071=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #390072=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #390073=DIRECTION('',(0.,0.,1.)); #390074=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #390075=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #390076=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #390077=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #390078=DIRECTION('',(0.,0.,1.)); #390079=DIRECTION('center_axis',(1.,0.,0.)); #390080=DIRECTION('ref_axis',(0.,1.,0.)); #390081=DIRECTION('',(0.,1.,0.)); #390082=DIRECTION('',(0.,1.,0.)); #390083=DIRECTION('',(0.,0.,1.)); #390084=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #390085=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #390086=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #390087=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #390088=DIRECTION('',(0.,0.,1.)); #390089=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #390090=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #390091=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #390092=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #390093=DIRECTION('',(0.,0.,1.)); #390094=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #390095=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #390096=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #390097=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #390098=DIRECTION('',(0.,0.,1.)); #390099=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #390100=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #390101=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #390102=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #390103=DIRECTION('',(0.,0.,1.)); #390104=DIRECTION('center_axis',(0.,-1.,0.)); #390105=DIRECTION('ref_axis',(1.,0.,0.)); #390106=DIRECTION('',(1.,0.,0.)); #390107=DIRECTION('',(1.,0.,0.)); #390108=DIRECTION('',(0.,0.,1.)); #390109=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #390110=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #390111=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #390112=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #390113=DIRECTION('',(0.,0.,1.)); #390114=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #390115=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #390116=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #390117=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #390118=DIRECTION('',(0.,0.,1.)); #390119=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #390120=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #390121=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #390122=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #390123=DIRECTION('',(0.,0.,1.)); #390124=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #390125=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #390126=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #390127=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #390128=DIRECTION('',(0.,0.,1.)); #390129=DIRECTION('center_axis',(-1.,0.,0.)); #390130=DIRECTION('ref_axis',(0.,-1.,0.)); #390131=DIRECTION('',(0.,-1.,0.)); #390132=DIRECTION('',(0.,-1.,0.)); #390133=DIRECTION('',(0.,0.,1.)); #390134=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #390135=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #390136=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #390137=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #390138=DIRECTION('',(0.,0.,1.)); #390139=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #390140=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #390141=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #390142=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #390143=DIRECTION('',(0.,0.,1.)); #390144=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #390145=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #390146=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #390147=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #390148=DIRECTION('',(0.,0.,1.)); #390149=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #390150=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #390151=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #390152=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #390153=DIRECTION('',(0.,0.,1.)); #390154=DIRECTION('center_axis',(0.,1.,0.)); #390155=DIRECTION('ref_axis',(-1.,0.,0.)); #390156=DIRECTION('',(-1.,0.,0.)); #390157=DIRECTION('',(-1.,0.,0.)); #390158=DIRECTION('',(0.,0.,1.)); #390159=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #390160=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #390161=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #390162=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #390163=DIRECTION('',(0.,0.,1.)); #390164=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #390165=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #390166=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #390167=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #390168=DIRECTION('',(0.,0.,1.)); #390169=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #390170=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #390171=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #390172=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #390173=DIRECTION('',(0.,0.,1.)); #390174=DIRECTION('center_axis',(-0.652508824452284,0.75778112539961,0.)); #390175=DIRECTION('ref_axis',(-0.75778112539961,-0.652508824452284,0.)); #390176=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #390177=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #390178=DIRECTION('',(0.,0.,1.)); #390179=DIRECTION('center_axis',(-0.707107039233478,0.707106523139523,0.)); #390180=DIRECTION('ref_axis',(-0.707106523139523,-0.707107039233478,0.)); #390181=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #390182=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #390183=DIRECTION('',(0.,0.,1.)); #390184=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #390185=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #390186=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #390187=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #390188=DIRECTION('',(0.,0.,1.)); #390189=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #390190=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #390191=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #390192=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #390193=DIRECTION('',(0.,0.,1.)); #390194=DIRECTION('center_axis',(-0.96766227807795,0.252249312357818,0.)); #390195=DIRECTION('ref_axis',(-0.252249312357818,-0.96766227807795,0.)); #390196=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #390197=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #390198=DIRECTION('',(0.,0.,1.)); #390199=DIRECTION('center_axis',(-0.997238118320005,0.074270689841797,0.)); #390200=DIRECTION('ref_axis',(-0.074270689841797,-0.997238118320005,0.)); #390201=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #390202=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #390203=DIRECTION('',(0.,0.,1.)); #390204=DIRECTION('center_axis',(-1.,0.,0.)); #390205=DIRECTION('ref_axis',(0.,-1.,0.)); #390206=DIRECTION('',(0.,-1.,0.)); #390207=DIRECTION('',(0.,-1.,0.)); #390208=DIRECTION('',(0.,0.,1.)); #390209=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #390210=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #390211=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #390212=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #390213=DIRECTION('',(0.,0.,1.)); #390214=DIRECTION('center_axis',(-0.8336598597498,0.552278225391826,0.)); #390215=DIRECTION('ref_axis',(-0.552278225391826,-0.8336598597498,0.)); #390216=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #390217=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #390218=DIRECTION('',(0.,0.,1.)); #390219=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #390220=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #390221=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #390222=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #390223=DIRECTION('',(0.,0.,1.)); #390224=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #390225=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #390226=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #390227=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #390228=DIRECTION('',(0.,0.,1.)); #390229=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #390230=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #390231=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #390232=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #390233=DIRECTION('',(0.,0.,1.)); #390234=DIRECTION('center_axis',(0.,1.,0.)); #390235=DIRECTION('ref_axis',(-1.,0.,0.)); #390236=DIRECTION('',(-1.,0.,0.)); #390237=DIRECTION('',(-1.,0.,0.)); #390238=DIRECTION('',(0.,0.,1.)); #390239=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #390240=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #390241=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #390242=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #390243=DIRECTION('',(0.,0.,1.)); #390244=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #390245=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #390246=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #390247=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #390248=DIRECTION('',(0.,0.,1.)); #390249=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #390250=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #390251=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #390252=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #390253=DIRECTION('',(0.,0.,1.)); #390254=DIRECTION('center_axis',(0.980003828794046,0.198978630885354,0.)); #390255=DIRECTION('ref_axis',(-0.198978630885354,0.980003828794046,0.)); #390256=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #390257=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #390258=DIRECTION('',(0.,0.,1.)); #390259=DIRECTION('center_axis',(0.707105370129509,0.70710819224077,0.)); #390260=DIRECTION('ref_axis',(-0.70710819224077,0.707105370129509,0.)); #390261=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #390262=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #390263=DIRECTION('',(0.,0.,1.)); #390264=DIRECTION('center_axis',(0.,1.,0.)); #390265=DIRECTION('ref_axis',(-1.,0.,0.)); #390266=DIRECTION('',(-1.,0.,0.)); #390267=DIRECTION('',(-1.,0.,0.)); #390268=DIRECTION('',(0.,0.,1.)); #390269=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #390270=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #390271=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #390272=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #390273=DIRECTION('',(0.,0.,1.)); #390274=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #390275=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #390276=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #390277=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #390278=DIRECTION('',(0.,0.,1.)); #390279=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #390280=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #390281=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #390282=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #390283=DIRECTION('',(0.,0.,1.)); #390284=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #390285=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #390286=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #390287=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #390288=DIRECTION('',(0.,0.,1.)); #390289=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #390290=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #390291=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #390292=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #390293=DIRECTION('',(0.,0.,1.)); #390294=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #390295=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #390296=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #390297=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #390298=DIRECTION('',(0.,0.,1.)); #390299=DIRECTION('center_axis',(0.,1.,0.)); #390300=DIRECTION('ref_axis',(-1.,0.,0.)); #390301=DIRECTION('',(-1.,0.,0.)); #390302=DIRECTION('',(-1.,0.,0.)); #390303=DIRECTION('',(0.,0.,1.)); #390304=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #390305=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #390306=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390307=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #390308=DIRECTION('',(0.,0.,1.)); #390309=DIRECTION('center_axis',(-1.,0.,0.)); #390310=DIRECTION('ref_axis',(0.,-1.,0.)); #390311=DIRECTION('',(0.,-1.,0.)); #390312=DIRECTION('',(0.,-1.,0.)); #390313=DIRECTION('',(0.,0.,1.)); #390314=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #390315=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #390316=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #390317=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #390318=DIRECTION('',(0.,0.,1.)); #390319=DIRECTION('center_axis',(-0.850871695642199,0.525373540973438,0.)); #390320=DIRECTION('ref_axis',(-0.525373540973438,-0.850871695642199,0.)); #390321=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #390322=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #390323=DIRECTION('',(0.,0.,1.)); #390324=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #390325=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #390326=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #390327=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #390328=DIRECTION('',(0.,0.,1.)); #390329=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #390330=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #390331=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #390332=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #390333=DIRECTION('',(0.,0.,1.)); #390334=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #390335=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #390336=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #390337=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #390338=DIRECTION('',(0.,0.,1.)); #390339=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #390340=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #390341=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #390342=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #390343=DIRECTION('',(0.,0.,1.)); #390344=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #390345=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #390346=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #390347=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #390348=DIRECTION('',(0.,0.,1.)); #390349=DIRECTION('center_axis',(0.,1.,0.)); #390350=DIRECTION('ref_axis',(-1.,0.,0.)); #390351=DIRECTION('',(-1.,0.,0.)); #390352=DIRECTION('',(-1.,0.,0.)); #390353=DIRECTION('',(0.,0.,1.)); #390354=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #390355=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #390356=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #390357=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #390358=DIRECTION('',(0.,0.,1.)); #390359=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #390360=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #390361=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #390362=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #390363=DIRECTION('',(0.,0.,1.)); #390364=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #390365=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #390366=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390367=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #390368=DIRECTION('',(0.,0.,1.)); #390369=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #390370=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #390371=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #390372=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #390373=DIRECTION('',(0.,0.,1.)); #390374=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #390375=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #390376=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #390377=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #390378=DIRECTION('',(0.,0.,1.)); #390379=DIRECTION('center_axis',(1.,0.,0.)); #390380=DIRECTION('ref_axis',(0.,1.,0.)); #390381=DIRECTION('',(0.,1.,0.)); #390382=DIRECTION('',(0.,1.,0.)); #390383=DIRECTION('',(0.,0.,1.)); #390384=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #390385=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #390386=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #390387=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #390388=DIRECTION('',(0.,0.,1.)); #390389=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #390390=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #390391=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #390392=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #390393=DIRECTION('',(0.,0.,1.)); #390394=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #390395=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #390396=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390397=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #390398=DIRECTION('',(0.,0.,1.)); #390399=DIRECTION('center_axis',(1.,0.,0.)); #390400=DIRECTION('ref_axis',(0.,1.,0.)); #390401=DIRECTION('',(0.,1.,0.)); #390402=DIRECTION('',(0.,1.,0.)); #390403=DIRECTION('',(0.,0.,1.)); #390404=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #390405=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #390406=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #390407=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #390408=DIRECTION('',(0.,0.,1.)); #390409=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #390410=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #390411=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #390412=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #390413=DIRECTION('',(0.,0.,1.)); #390414=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #390415=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #390416=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #390417=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #390418=DIRECTION('center_axis',(0.,0.,1.)); #390419=DIRECTION('ref_axis',(1.,0.,0.)); #390420=DIRECTION('center_axis',(0.,0.,1.)); #390421=DIRECTION('ref_axis',(1.,0.,0.)); #390422=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #390423=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #390424=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #390425=DIRECTION('',(0.,0.,1.)); #390426=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #390427=DIRECTION('',(0.,0.,1.)); #390428=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #390429=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #390430=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #390431=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #390432=DIRECTION('',(0.,0.,1.)); #390433=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #390434=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #390435=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #390436=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #390437=DIRECTION('',(0.,0.,1.)); #390438=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #390439=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #390440=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390441=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #390442=DIRECTION('',(0.,0.,1.)); #390443=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #390444=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #390445=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #390446=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #390447=DIRECTION('',(0.,0.,1.)); #390448=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #390449=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #390450=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #390451=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #390452=DIRECTION('',(0.,0.,1.)); #390453=DIRECTION('center_axis',(-1.,0.,0.)); #390454=DIRECTION('ref_axis',(0.,-1.,0.)); #390455=DIRECTION('',(0.,-1.,0.)); #390456=DIRECTION('',(0.,-1.,0.)); #390457=DIRECTION('',(0.,0.,1.)); #390458=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #390459=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #390460=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #390461=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #390462=DIRECTION('',(0.,0.,1.)); #390463=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #390464=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #390465=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #390466=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #390467=DIRECTION('',(0.,0.,1.)); #390468=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #390469=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #390470=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #390471=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #390472=DIRECTION('',(0.,0.,1.)); #390473=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #390474=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #390475=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #390476=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #390477=DIRECTION('',(0.,0.,1.)); #390478=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #390479=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #390480=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #390481=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #390482=DIRECTION('',(0.,0.,1.)); #390483=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #390484=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #390485=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #390486=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #390487=DIRECTION('',(0.,0.,1.)); #390488=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #390489=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #390490=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #390491=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #390492=DIRECTION('',(0.,0.,1.)); #390493=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #390494=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #390495=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #390496=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #390497=DIRECTION('',(0.,0.,1.)); #390498=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #390499=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #390500=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390501=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #390502=DIRECTION('',(0.,0.,1.)); #390503=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #390504=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #390505=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #390506=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #390507=DIRECTION('',(0.,0.,1.)); #390508=DIRECTION('center_axis',(0.,1.,0.)); #390509=DIRECTION('ref_axis',(-1.,0.,0.)); #390510=DIRECTION('',(-1.,0.,0.)); #390511=DIRECTION('',(-1.,0.,0.)); #390512=DIRECTION('',(0.,0.,1.)); #390513=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #390514=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #390515=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #390516=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #390517=DIRECTION('',(0.,0.,1.)); #390518=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #390519=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #390520=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #390521=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #390522=DIRECTION('',(0.,0.,1.)); #390523=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #390524=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #390525=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #390526=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #390527=DIRECTION('',(0.,0.,1.)); #390528=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #390529=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #390530=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #390531=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #390532=DIRECTION('',(0.,0.,1.)); #390533=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #390534=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #390535=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #390536=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #390537=DIRECTION('',(0.,0.,1.)); #390538=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #390539=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #390540=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #390541=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #390542=DIRECTION('',(0.,0.,1.)); #390543=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #390544=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #390545=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #390546=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #390547=DIRECTION('',(0.,0.,1.)); #390548=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #390549=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #390550=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #390551=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #390552=DIRECTION('',(0.,0.,1.)); #390553=DIRECTION('center_axis',(1.,0.,0.)); #390554=DIRECTION('ref_axis',(0.,1.,0.)); #390555=DIRECTION('',(0.,1.,0.)); #390556=DIRECTION('',(0.,1.,0.)); #390557=DIRECTION('',(0.,0.,1.)); #390558=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #390559=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #390560=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #390561=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #390562=DIRECTION('',(0.,0.,1.)); #390563=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #390564=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #390565=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #390566=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #390567=DIRECTION('',(0.,0.,1.)); #390568=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #390569=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #390570=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #390571=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #390572=DIRECTION('center_axis',(0.,0.,1.)); #390573=DIRECTION('ref_axis',(1.,0.,0.)); #390574=DIRECTION('center_axis',(0.,0.,1.)); #390575=DIRECTION('ref_axis',(1.,0.,0.)); #390576=DIRECTION('center_axis',(0.,0.,1.)); #390577=DIRECTION('ref_axis',(1.,0.,0.)); #390578=DIRECTION('center_axis',(0.,0.,1.)); #390579=DIRECTION('ref_axis',(1.,0.,0.)); #390580=DIRECTION('',(0.,0.,1.)); #390581=DIRECTION('center_axis',(0.,0.,-1.)); #390582=DIRECTION('ref_axis',(1.,0.,0.)); #390583=DIRECTION('center_axis',(0.,0.,1.)); #390584=DIRECTION('ref_axis',(1.,0.,0.)); #390585=DIRECTION('center_axis',(0.,0.,1.)); #390586=DIRECTION('ref_axis',(1.,0.,0.)); #390587=DIRECTION('',(0.,0.,1.)); #390588=DIRECTION('center_axis',(0.,0.,-1.)); #390589=DIRECTION('ref_axis',(1.,0.,0.)); #390590=DIRECTION('center_axis',(0.,0.,1.)); #390591=DIRECTION('ref_axis',(1.,0.,0.)); #390592=DIRECTION('center_axis',(0.,0.,1.)); #390593=DIRECTION('ref_axis',(1.,0.,0.)); #390594=DIRECTION('',(0.,0.,1.)); #390595=DIRECTION('center_axis',(0.,0.,-1.)); #390596=DIRECTION('ref_axis',(1.,0.,0.)); #390597=DIRECTION('center_axis',(0.,0.,1.)); #390598=DIRECTION('ref_axis',(1.,0.,0.)); #390599=DIRECTION('center_axis',(0.,0.,1.)); #390600=DIRECTION('ref_axis',(1.,0.,0.)); #390601=DIRECTION('',(0.,0.,1.)); #390602=DIRECTION('center_axis',(0.,0.,-1.)); #390603=DIRECTION('ref_axis',(1.,0.,0.)); #390604=DIRECTION('center_axis',(0.,0.,1.)); #390605=DIRECTION('ref_axis',(1.,0.,0.)); #390606=DIRECTION('center_axis',(0.,0.,1.)); #390607=DIRECTION('ref_axis',(1.,0.,0.)); #390608=DIRECTION('',(0.,0.,1.)); #390609=DIRECTION('center_axis',(0.,0.,-1.)); #390610=DIRECTION('ref_axis',(1.,0.,0.)); #390611=DIRECTION('center_axis',(0.,0.,1.)); #390612=DIRECTION('ref_axis',(1.,0.,0.)); #390613=DIRECTION('center_axis',(0.,0.,1.)); #390614=DIRECTION('ref_axis',(1.,0.,0.)); #390615=DIRECTION('',(0.,0.,1.)); #390616=DIRECTION('center_axis',(0.,0.,-1.)); #390617=DIRECTION('ref_axis',(1.,0.,0.)); #390618=DIRECTION('center_axis',(0.,0.,1.)); #390619=DIRECTION('ref_axis',(1.,0.,0.)); #390620=DIRECTION('center_axis',(0.,0.,1.)); #390621=DIRECTION('ref_axis',(1.,0.,0.)); #390622=DIRECTION('',(0.,0.,1.)); #390623=DIRECTION('center_axis',(0.,0.,-1.)); #390624=DIRECTION('ref_axis',(1.,0.,0.)); #390625=DIRECTION('center_axis',(0.,0.,1.)); #390626=DIRECTION('ref_axis',(1.,0.,0.)); #390627=DIRECTION('center_axis',(0.,0.,1.)); #390628=DIRECTION('ref_axis',(1.,0.,0.)); #390629=DIRECTION('',(0.,0.,1.)); #390630=DIRECTION('center_axis',(0.,0.,-1.)); #390631=DIRECTION('ref_axis',(1.,0.,0.)); #390632=DIRECTION('center_axis',(0.,0.,1.)); #390633=DIRECTION('ref_axis',(1.,0.,0.)); #390634=DIRECTION('center_axis',(0.,0.,1.)); #390635=DIRECTION('ref_axis',(1.,0.,0.)); #390636=DIRECTION('',(0.,0.,1.)); #390637=DIRECTION('center_axis',(0.,0.,-1.)); #390638=DIRECTION('ref_axis',(1.,0.,0.)); #390639=DIRECTION('center_axis',(0.,0.,1.)); #390640=DIRECTION('ref_axis',(1.,0.,0.)); #390641=DIRECTION('center_axis',(0.,0.,1.)); #390642=DIRECTION('ref_axis',(1.,0.,0.)); #390643=DIRECTION('',(0.,0.,1.)); #390644=DIRECTION('center_axis',(0.,0.,-1.)); #390645=DIRECTION('ref_axis',(1.,0.,0.)); #390646=DIRECTION('center_axis',(0.,0.,1.)); #390647=DIRECTION('ref_axis',(1.,0.,0.)); #390648=DIRECTION('center_axis',(0.,0.,1.)); #390649=DIRECTION('ref_axis',(1.,0.,0.)); #390650=DIRECTION('',(0.,0.,1.)); #390651=DIRECTION('center_axis',(0.,0.,-1.)); #390652=DIRECTION('ref_axis',(1.,0.,0.)); #390653=DIRECTION('center_axis',(0.,0.,1.)); #390654=DIRECTION('ref_axis',(1.,0.,0.)); #390655=DIRECTION('center_axis',(0.,0.,1.)); #390656=DIRECTION('ref_axis',(1.,0.,0.)); #390657=DIRECTION('',(0.,0.,1.)); #390658=DIRECTION('center_axis',(0.,0.,-1.)); #390659=DIRECTION('ref_axis',(1.,0.,0.)); #390660=DIRECTION('center_axis',(0.,0.,1.)); #390661=DIRECTION('ref_axis',(1.,0.,0.)); #390662=DIRECTION('center_axis',(0.,0.,1.)); #390663=DIRECTION('ref_axis',(1.,0.,0.)); #390664=DIRECTION('',(0.,0.,1.)); #390665=DIRECTION('center_axis',(0.,0.,-1.)); #390666=DIRECTION('ref_axis',(1.,0.,0.)); #390667=DIRECTION('center_axis',(0.,0.,1.)); #390668=DIRECTION('ref_axis',(1.,0.,0.)); #390669=DIRECTION('center_axis',(0.,0.,1.)); #390670=DIRECTION('ref_axis',(1.,0.,0.)); #390671=DIRECTION('',(0.,0.,1.)); #390672=DIRECTION('center_axis',(0.,0.,-1.)); #390673=DIRECTION('ref_axis',(1.,0.,0.)); #390674=DIRECTION('center_axis',(0.,0.,1.)); #390675=DIRECTION('ref_axis',(1.,0.,0.)); #390676=DIRECTION('center_axis',(0.,0.,1.)); #390677=DIRECTION('ref_axis',(1.,0.,0.)); #390678=DIRECTION('',(0.,0.,1.)); #390679=DIRECTION('center_axis',(0.,0.,-1.)); #390680=DIRECTION('ref_axis',(1.,0.,0.)); #390681=DIRECTION('center_axis',(0.23011981702834,-0.973162303940532,0.)); #390682=DIRECTION('ref_axis',(0.973162303940531,0.23011981702834,0.)); #390683=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #390684=DIRECTION('',(0.,0.,1.)); #390685=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #390686=DIRECTION('',(0.,0.,1.)); #390687=DIRECTION('center_axis',(-0.167619338301134,-0.985851792830692, 0.)); #390688=DIRECTION('ref_axis',(0.985851792830692,-0.167619338301134,0.)); #390689=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #390690=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #390691=DIRECTION('',(0.,0.,1.)); #390692=DIRECTION('center_axis',(-0.538928498327708,-0.842351514327743, 0.)); #390693=DIRECTION('ref_axis',(0.842351514327743,-0.538928498327708,0.)); #390694=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #390695=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #390696=DIRECTION('',(0.,0.,1.)); #390697=DIRECTION('center_axis',(-0.824759961060292,-0.565482985271728, 0.)); #390698=DIRECTION('ref_axis',(0.565482985271728,-0.824759961060293,0.)); #390699=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #390700=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #390701=DIRECTION('',(0.,0.,1.)); #390702=DIRECTION('center_axis',(-0.979998112340228,-0.199006783325569, 0.)); #390703=DIRECTION('ref_axis',(0.199006783325569,-0.979998112340228,0.)); #390704=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #390705=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #390706=DIRECTION('',(0.,0.,1.)); #390707=DIRECTION('center_axis',(-1.,0.,0.)); #390708=DIRECTION('ref_axis',(0.,-1.,0.)); #390709=DIRECTION('',(0.,-1.,0.)); #390710=DIRECTION('',(0.,-1.,0.)); #390711=DIRECTION('',(0.,0.,1.)); #390712=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #390713=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #390714=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #390715=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #390716=DIRECTION('',(0.,0.,1.)); #390717=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #390718=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #390719=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #390720=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #390721=DIRECTION('',(0.,0.,1.)); #390722=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #390723=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #390724=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #390725=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #390726=DIRECTION('',(0.,0.,1.)); #390727=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #390728=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #390729=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #390730=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #390731=DIRECTION('',(0.,0.,1.)); #390732=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #390733=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #390734=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #390735=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #390736=DIRECTION('',(0.,0.,1.)); #390737=DIRECTION('center_axis',(0.,1.,0.)); #390738=DIRECTION('ref_axis',(-1.,0.,0.)); #390739=DIRECTION('',(-1.,0.,0.)); #390740=DIRECTION('',(-1.,0.,0.)); #390741=DIRECTION('',(0.,0.,1.)); #390742=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #390743=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #390744=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #390745=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #390746=DIRECTION('',(0.,0.,1.)); #390747=DIRECTION('center_axis',(0.552218701081209,0.833699290017799,0.)); #390748=DIRECTION('ref_axis',(-0.833699290017799,0.552218701081209,0.)); #390749=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #390750=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #390751=DIRECTION('',(0.,0.,1.)); #390752=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #390753=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #390754=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #390755=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #390756=DIRECTION('',(0.,0.,1.)); #390757=DIRECTION('center_axis',(0.,1.,0.)); #390758=DIRECTION('ref_axis',(-1.,0.,0.)); #390759=DIRECTION('',(-1.,0.,0.)); #390760=DIRECTION('',(-1.,0.,0.)); #390761=DIRECTION('',(0.,0.,1.)); #390762=DIRECTION('center_axis',(-0.707089434194021,0.707124127753522,0.)); #390763=DIRECTION('ref_axis',(-0.707124127753522,-0.707089434194021,0.)); #390764=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #390765=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #390766=DIRECTION('',(0.,0.,1.)); #390767=DIRECTION('center_axis',(-0.56546033767927,0.824775488549245,0.)); #390768=DIRECTION('ref_axis',(-0.824775488549245,-0.56546033767927,0.)); #390769=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #390770=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #390771=DIRECTION('',(0.,0.,1.)); #390772=DIRECTION('center_axis',(-0.214606632624321,0.976700564775945,0.)); #390773=DIRECTION('ref_axis',(-0.976700564775945,-0.214606632624321,0.)); #390774=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #390775=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #390776=DIRECTION('',(0.,0.,1.)); #390777=DIRECTION('center_axis',(0.183373485867123,0.983043317804938,0.)); #390778=DIRECTION('ref_axis',(-0.983043317804938,0.183373485867123,0.)); #390779=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #390780=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #390781=DIRECTION('',(0.,0.,1.)); #390782=DIRECTION('center_axis',(0.552246026269759,0.83368118994569,0.)); #390783=DIRECTION('ref_axis',(-0.83368118994569,0.552246026269759,0.)); #390784=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #390785=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #390786=DIRECTION('',(0.,0.,1.)); #390787=DIRECTION('center_axis',(0.707107593465437,0.707105968906725,0.)); #390788=DIRECTION('ref_axis',(-0.707105968906725,0.707107593465437,0.)); #390789=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #390790=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #390791=DIRECTION('',(0.,0.,1.)); #390792=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #390793=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #390794=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #390795=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #390796=DIRECTION('',(0.,0.,1.)); #390797=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #390798=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #390799=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #390800=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #390801=DIRECTION('',(0.,0.,1.)); #390802=DIRECTION('center_axis',(1.,0.,0.)); #390803=DIRECTION('ref_axis',(0.,1.,0.)); #390804=DIRECTION('',(0.,1.,0.)); #390805=DIRECTION('',(0.,1.,0.)); #390806=DIRECTION('',(0.,0.,1.)); #390807=DIRECTION('center_axis',(0.969317265597633,-0.245813015551107,0.)); #390808=DIRECTION('ref_axis',(0.245813015551107,0.969317265597633,0.)); #390809=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #390810=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #390811=DIRECTION('',(0.,0.,1.)); #390812=DIRECTION('center_axis',(0.766764693244331,-0.641928271066112,0.)); #390813=DIRECTION('ref_axis',(0.641928271066112,0.766764693244331,0.)); #390814=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #390815=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #390816=DIRECTION('',(0.,0.,1.)); #390817=DIRECTION('center_axis',(0.623671669116095,-0.781686413558496,0.)); #390818=DIRECTION('ref_axis',(0.781686413558496,0.623671669116095,0.)); #390819=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #390820=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #390821=DIRECTION('',(0.,0.,1.)); #390822=DIRECTION('center_axis',(0.707106456213335,-0.707107106159611,0.)); #390823=DIRECTION('ref_axis',(0.707107106159611,0.707106456213335,0.)); #390824=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #390825=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #390826=DIRECTION('',(0.,0.,1.)); #390827=DIRECTION('center_axis',(0.565490380840369,-0.824754890362594,0.)); #390828=DIRECTION('ref_axis',(0.824754890362594,0.565490380840369,0.)); #390829=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #390830=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #390831=DIRECTION('',(0.,0.,1.)); #390832=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #390833=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #390834=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #390835=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #390836=DIRECTION('',(0.,0.,1.)); #390837=DIRECTION('center_axis',(-0.183384185256736,-0.983041321917712, 0.)); #390838=DIRECTION('ref_axis',(0.983041321917712,-0.183384185256736,0.)); #390839=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #390840=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #390841=DIRECTION('',(0.,0.,1.)); #390842=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #390843=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #390844=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #390845=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #390846=DIRECTION('',(0.,0.,1.)); #390847=DIRECTION('center_axis',(-0.707099658048594,-0.707113904252746, 0.)); #390848=DIRECTION('ref_axis',(0.707113904252746,-0.707099658048594,0.)); #390849=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #390850=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #390851=DIRECTION('',(0.,0.,1.)); #390852=DIRECTION('center_axis',(0.,-1.,0.)); #390853=DIRECTION('ref_axis',(1.,0.,0.)); #390854=DIRECTION('',(1.,0.,0.)); #390855=DIRECTION('',(1.,0.,0.)); #390856=DIRECTION('',(0.,0.,1.)); #390857=DIRECTION('center_axis',(0.707106781186528,-0.707106781186567,0.)); #390858=DIRECTION('ref_axis',(0.707106781186567,0.707106781186528,0.)); #390859=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #390860=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #390861=DIRECTION('',(0.,0.,1.)); #390862=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #390863=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #390864=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #390865=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #390866=DIRECTION('',(0.,0.,1.)); #390867=DIRECTION('center_axis',(0.199006783325569,-0.979998112340228,0.)); #390868=DIRECTION('ref_axis',(0.979998112340228,0.199006783325569,0.)); #390869=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #390870=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #390871=DIRECTION('',(0.,0.,1.)); #390872=DIRECTION('center_axis',(0.,-1.,0.)); #390873=DIRECTION('ref_axis',(1.,0.,0.)); #390874=DIRECTION('',(1.,0.,0.)); #390875=DIRECTION('',(1.,0.,0.)); #390876=DIRECTION('',(0.,0.,1.)); #390877=DIRECTION('center_axis',(0.707105725517313,-0.707107836854206,0.)); #390878=DIRECTION('ref_axis',(0.707107836854206,0.707105725517313,0.)); #390879=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #390880=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #390881=DIRECTION('',(0.,0.,1.)); #390882=DIRECTION('center_axis',(0.572023686171414,-0.820237101366957,0.)); #390883=DIRECTION('ref_axis',(0.820237101366957,0.572023686171414,0.)); #390884=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #390885=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #390886=DIRECTION('center_axis',(0.,0.,1.)); #390887=DIRECTION('ref_axis',(1.,0.,0.)); #390888=DIRECTION('center_axis',(0.,0.,1.)); #390889=DIRECTION('ref_axis',(1.,0.,0.)); #390890=DIRECTION('center_axis',(0.,0.,1.)); #390891=DIRECTION('ref_axis',(1.,0.,0.)); #390892=DIRECTION('center_axis',(0.,0.,1.)); #390893=DIRECTION('ref_axis',(1.,0.,0.)); #390894=DIRECTION('',(0.,0.,1.)); #390895=DIRECTION('center_axis',(0.,0.,-1.)); #390896=DIRECTION('ref_axis',(1.,0.,0.)); #390897=DIRECTION('center_axis',(1.,0.,0.)); #390898=DIRECTION('ref_axis',(0.,1.,0.)); #390899=DIRECTION('',(0.,1.,0.)); #390900=DIRECTION('',(0.,0.,1.)); #390901=DIRECTION('',(0.,1.,0.)); #390902=DIRECTION('',(0.,0.,1.)); #390903=DIRECTION('center_axis',(0.,-1.,0.)); #390904=DIRECTION('ref_axis',(1.,0.,0.)); #390905=DIRECTION('',(1.,0.,0.)); #390906=DIRECTION('',(1.,0.,0.)); #390907=DIRECTION('',(0.,0.,1.)); #390908=DIRECTION('center_axis',(-1.,0.,0.)); #390909=DIRECTION('ref_axis',(0.,-1.,0.)); #390910=DIRECTION('',(0.,-1.,0.)); #390911=DIRECTION('',(0.,-1.,0.)); #390912=DIRECTION('',(0.,0.,1.)); #390913=DIRECTION('center_axis',(0.,1.,0.)); #390914=DIRECTION('ref_axis',(-1.,0.,0.)); #390915=DIRECTION('',(-1.,0.,0.)); #390916=DIRECTION('',(-1.,0.,0.)); #390917=DIRECTION('',(0.,0.,1.)); #390918=DIRECTION('center_axis',(-1.,0.,0.)); #390919=DIRECTION('ref_axis',(0.,-1.,0.)); #390920=DIRECTION('',(0.,-1.,0.)); #390921=DIRECTION('',(0.,-1.,0.)); #390922=DIRECTION('',(0.,0.,1.)); #390923=DIRECTION('center_axis',(0.,0.,1.)); #390924=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #390925=DIRECTION('center_axis',(0.,0.,1.)); #390926=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #390927=DIRECTION('center_axis',(0.,0.,1.)); #390928=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #390929=DIRECTION('',(0.,0.,1.)); #390930=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #390931=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #390932=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #390933=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #390934=DIRECTION('',(0.,0.,1.)); #390935=DIRECTION('center_axis',(-1.,0.,0.)); #390936=DIRECTION('ref_axis',(0.,-1.,0.)); #390937=DIRECTION('',(0.,-1.,0.)); #390938=DIRECTION('',(0.,-1.,0.)); #390939=DIRECTION('',(0.,0.,1.)); #390940=DIRECTION('center_axis',(0.,0.,1.)); #390941=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390942=DIRECTION('center_axis',(0.,0.,1.)); #390943=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390944=DIRECTION('center_axis',(0.,0.,1.)); #390945=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #390946=DIRECTION('',(0.,0.,1.)); #390947=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #390948=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #390949=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #390950=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #390951=DIRECTION('',(0.,0.,1.)); #390952=DIRECTION('center_axis',(-1.,0.,0.)); #390953=DIRECTION('ref_axis',(0.,-1.,0.)); #390954=DIRECTION('',(0.,-1.,0.)); #390955=DIRECTION('',(0.,-1.,0.)); #390956=DIRECTION('',(0.,0.,1.)); #390957=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #390958=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #390959=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #390960=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #390961=DIRECTION('',(0.,0.,1.)); #390962=DIRECTION('center_axis',(0.,-1.,0.)); #390963=DIRECTION('ref_axis',(1.,0.,0.)); #390964=DIRECTION('',(1.,0.,0.)); #390965=DIRECTION('',(1.,0.,0.)); #390966=DIRECTION('',(0.,0.,1.)); #390967=DIRECTION('center_axis',(-1.,0.,0.)); #390968=DIRECTION('ref_axis',(0.,-1.,0.)); #390969=DIRECTION('',(0.,-1.,0.)); #390970=DIRECTION('',(0.,-1.,0.)); #390971=DIRECTION('',(0.,0.,1.)); #390972=DIRECTION('center_axis',(0.,1.,0.)); #390973=DIRECTION('ref_axis',(-1.,0.,0.)); #390974=DIRECTION('',(-1.,0.,0.)); #390975=DIRECTION('',(-1.,0.,0.)); #390976=DIRECTION('',(0.,0.,1.)); #390977=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #390978=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #390979=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #390980=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #390981=DIRECTION('',(0.,0.,1.)); #390982=DIRECTION('center_axis',(-1.,0.,0.)); #390983=DIRECTION('ref_axis',(0.,-1.,0.)); #390984=DIRECTION('',(0.,-1.,0.)); #390985=DIRECTION('',(0.,-1.,0.)); #390986=DIRECTION('',(0.,0.,1.)); #390987=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #390988=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #390989=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #390990=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #390991=DIRECTION('',(0.,0.,1.)); #390992=DIRECTION('center_axis',(0.,-1.,0.)); #390993=DIRECTION('ref_axis',(1.,0.,0.)); #390994=DIRECTION('',(1.,0.,0.)); #390995=DIRECTION('',(1.,0.,0.)); #390996=DIRECTION('',(0.,0.,1.)); #390997=DIRECTION('center_axis',(1.,0.,0.)); #390998=DIRECTION('ref_axis',(0.,1.,0.)); #390999=DIRECTION('',(0.,1.,0.)); #391000=DIRECTION('',(0.,1.,0.)); #391001=DIRECTION('',(0.,0.,1.)); #391002=DIRECTION('center_axis',(0.,-1.,0.)); #391003=DIRECTION('ref_axis',(1.,0.,0.)); #391004=DIRECTION('',(1.,0.,0.)); #391005=DIRECTION('',(1.,0.,0.)); #391006=DIRECTION('',(0.,0.,1.)); #391007=DIRECTION('center_axis',(-1.,0.,0.)); #391008=DIRECTION('ref_axis',(0.,-1.,0.)); #391009=DIRECTION('',(0.,-1.,0.)); #391010=DIRECTION('',(0.,-1.,0.)); #391011=DIRECTION('',(0.,0.,1.)); #391012=DIRECTION('center_axis',(0.,1.,0.)); #391013=DIRECTION('ref_axis',(-1.,0.,0.)); #391014=DIRECTION('',(-1.,0.,0.)); #391015=DIRECTION('',(-1.,0.,0.)); #391016=DIRECTION('',(0.,0.,1.)); #391017=DIRECTION('center_axis',(1.,0.,0.)); #391018=DIRECTION('ref_axis',(0.,1.,0.)); #391019=DIRECTION('',(0.,1.,0.)); #391020=DIRECTION('',(0.,1.,0.)); #391021=DIRECTION('',(0.,0.,1.)); #391022=DIRECTION('center_axis',(0.,1.,0.)); #391023=DIRECTION('ref_axis',(-1.,0.,0.)); #391024=DIRECTION('',(-1.,0.,0.)); #391025=DIRECTION('',(-1.,0.,0.)); #391026=DIRECTION('',(0.,0.,1.)); #391027=DIRECTION('center_axis',(0.,0.,1.)); #391028=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #391029=DIRECTION('center_axis',(0.,0.,1.)); #391030=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #391031=DIRECTION('center_axis',(0.,0.,1.)); #391032=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #391033=DIRECTION('',(0.,0.,1.)); #391034=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391035=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391036=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391037=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391038=DIRECTION('',(0.,0.,1.)); #391039=DIRECTION('center_axis',(0.,0.,1.)); #391040=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #391041=DIRECTION('center_axis',(0.,0.,1.)); #391042=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #391043=DIRECTION('center_axis',(0.,0.,1.)); #391044=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #391045=DIRECTION('',(0.,0.,1.)); #391046=DIRECTION('center_axis',(1.,0.,0.)); #391047=DIRECTION('ref_axis',(0.,1.,0.)); #391048=DIRECTION('',(0.,1.,0.)); #391049=DIRECTION('',(0.,1.,0.)); #391050=DIRECTION('',(0.,0.,1.)); #391051=DIRECTION('center_axis',(0.,0.,1.)); #391052=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #391053=DIRECTION('center_axis',(0.,0.,1.)); #391054=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #391055=DIRECTION('center_axis',(0.,0.,1.)); #391056=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #391057=DIRECTION('',(0.,0.,1.)); #391058=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391059=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391060=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391061=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391062=DIRECTION('',(0.,0.,1.)); #391063=DIRECTION('center_axis',(1.,0.,0.)); #391064=DIRECTION('ref_axis',(0.,1.,0.)); #391065=DIRECTION('',(0.,1.,0.)); #391066=DIRECTION('',(0.,1.,0.)); #391067=DIRECTION('',(0.,0.,1.)); #391068=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #391069=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #391070=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #391071=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #391072=DIRECTION('',(0.,0.,1.)); #391073=DIRECTION('center_axis',(0.,0.,1.)); #391074=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391075=DIRECTION('center_axis',(0.,0.,1.)); #391076=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391077=DIRECTION('center_axis',(0.,0.,1.)); #391078=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391079=DIRECTION('',(0.,0.,1.)); #391080=DIRECTION('center_axis',(1.,0.,0.)); #391081=DIRECTION('ref_axis',(0.,1.,0.)); #391082=DIRECTION('',(0.,1.,0.)); #391083=DIRECTION('',(0.,1.,0.)); #391084=DIRECTION('',(0.,0.,1.)); #391085=DIRECTION('center_axis',(0.,0.,1.)); #391086=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #391087=DIRECTION('center_axis',(0.,0.,1.)); #391088=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #391089=DIRECTION('center_axis',(0.,0.,1.)); #391090=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #391091=DIRECTION('',(0.,0.,1.)); #391092=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391093=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391094=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391095=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391096=DIRECTION('',(0.,0.,1.)); #391097=DIRECTION('center_axis',(1.,0.,0.)); #391098=DIRECTION('ref_axis',(0.,1.,0.)); #391099=DIRECTION('',(0.,1.,0.)); #391100=DIRECTION('',(0.,1.,0.)); #391101=DIRECTION('',(0.,0.,1.)); #391102=DIRECTION('center_axis',(0.,0.,1.)); #391103=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391104=DIRECTION('center_axis',(0.,0.,1.)); #391105=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391106=DIRECTION('center_axis',(0.,0.,1.)); #391107=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #391108=DIRECTION('',(0.,0.,1.)); #391109=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391110=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391111=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391112=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391113=DIRECTION('',(0.,0.,1.)); #391114=DIRECTION('center_axis',(1.,0.,0.)); #391115=DIRECTION('ref_axis',(0.,1.,0.)); #391116=DIRECTION('',(0.,1.,0.)); #391117=DIRECTION('',(0.,1.,0.)); #391118=DIRECTION('',(0.,0.,1.)); #391119=DIRECTION('center_axis',(0.,1.,0.)); #391120=DIRECTION('ref_axis',(-1.,0.,0.)); #391121=DIRECTION('',(-1.,0.,0.)); #391122=DIRECTION('',(-1.,0.,0.)); #391123=DIRECTION('center_axis',(0.,0.,1.)); #391124=DIRECTION('ref_axis',(1.,0.,0.)); #391125=DIRECTION('center_axis',(0.,0.,1.)); #391126=DIRECTION('ref_axis',(1.,0.,0.)); #391127=DIRECTION('center_axis',(0.,0.,1.)); #391128=DIRECTION('ref_axis',(1.,0.,0.)); #391129=DIRECTION('center_axis',(0.,0.,1.)); #391130=DIRECTION('ref_axis',(1.,0.,0.)); #391131=DIRECTION('',(0.,0.,1.)); #391132=DIRECTION('center_axis',(0.,0.,-1.)); #391133=DIRECTION('ref_axis',(1.,0.,0.)); #391134=DIRECTION('center_axis',(0.,0.,1.)); #391135=DIRECTION('ref_axis',(1.,0.,0.)); #391136=DIRECTION('center_axis',(0.,0.,1.)); #391137=DIRECTION('ref_axis',(1.,0.,0.)); #391138=DIRECTION('',(0.,0.,1.)); #391139=DIRECTION('center_axis',(0.,0.,-1.)); #391140=DIRECTION('ref_axis',(1.,0.,0.)); #391141=DIRECTION('center_axis',(0.,0.,1.)); #391142=DIRECTION('ref_axis',(1.,0.,0.)); #391143=DIRECTION('center_axis',(0.,0.,1.)); #391144=DIRECTION('ref_axis',(1.,0.,0.)); #391145=DIRECTION('',(0.,0.,1.)); #391146=DIRECTION('center_axis',(0.,0.,-1.)); #391147=DIRECTION('ref_axis',(1.,0.,0.)); #391148=DIRECTION('center_axis',(0.,0.,1.)); #391149=DIRECTION('ref_axis',(1.,0.,0.)); #391150=DIRECTION('center_axis',(0.,0.,1.)); #391151=DIRECTION('ref_axis',(1.,0.,0.)); #391152=DIRECTION('',(0.,0.,1.)); #391153=DIRECTION('center_axis',(0.,0.,-1.)); #391154=DIRECTION('ref_axis',(1.,0.,0.)); #391155=DIRECTION('center_axis',(0.,0.,1.)); #391156=DIRECTION('ref_axis',(1.,0.,0.)); #391157=DIRECTION('center_axis',(0.,0.,1.)); #391158=DIRECTION('ref_axis',(1.,0.,0.)); #391159=DIRECTION('',(0.,0.,1.)); #391160=DIRECTION('center_axis',(0.,0.,-1.)); #391161=DIRECTION('ref_axis',(1.,0.,0.)); #391162=DIRECTION('center_axis',(0.,0.,1.)); #391163=DIRECTION('ref_axis',(1.,0.,0.)); #391164=DIRECTION('center_axis',(0.,0.,1.)); #391165=DIRECTION('ref_axis',(1.,0.,0.)); #391166=DIRECTION('',(0.,0.,1.)); #391167=DIRECTION('center_axis',(0.,0.,-1.)); #391168=DIRECTION('ref_axis',(1.,0.,0.)); #391169=DIRECTION('center_axis',(0.,0.,1.)); #391170=DIRECTION('ref_axis',(1.,0.,0.)); #391171=DIRECTION('center_axis',(0.,0.,1.)); #391172=DIRECTION('ref_axis',(1.,0.,0.)); #391173=DIRECTION('',(0.,0.,1.)); #391174=DIRECTION('center_axis',(0.,0.,-1.)); #391175=DIRECTION('ref_axis',(1.,0.,0.)); #391176=DIRECTION('center_axis',(0.,0.,1.)); #391177=DIRECTION('ref_axis',(1.,0.,0.)); #391178=DIRECTION('center_axis',(0.,0.,1.)); #391179=DIRECTION('ref_axis',(1.,0.,0.)); #391180=DIRECTION('',(0.,0.,1.)); #391181=DIRECTION('center_axis',(0.,0.,-1.)); #391182=DIRECTION('ref_axis',(1.,0.,0.)); #391183=DIRECTION('center_axis',(0.,0.,1.)); #391184=DIRECTION('ref_axis',(1.,0.,0.)); #391185=DIRECTION('center_axis',(0.,0.,1.)); #391186=DIRECTION('ref_axis',(1.,0.,0.)); #391187=DIRECTION('',(0.,0.,1.)); #391188=DIRECTION('center_axis',(0.,0.,-1.)); #391189=DIRECTION('ref_axis',(1.,0.,0.)); #391190=DIRECTION('center_axis',(0.,0.,1.)); #391191=DIRECTION('ref_axis',(1.,0.,0.)); #391192=DIRECTION('center_axis',(0.,0.,1.)); #391193=DIRECTION('ref_axis',(1.,0.,0.)); #391194=DIRECTION('',(0.,0.,1.)); #391195=DIRECTION('center_axis',(0.,0.,-1.)); #391196=DIRECTION('ref_axis',(1.,0.,0.)); #391197=DIRECTION('center_axis',(0.,0.,1.)); #391198=DIRECTION('ref_axis',(1.,0.,0.)); #391199=DIRECTION('center_axis',(0.,0.,1.)); #391200=DIRECTION('ref_axis',(1.,0.,0.)); #391201=DIRECTION('',(0.,0.,1.)); #391202=DIRECTION('center_axis',(0.,0.,-1.)); #391203=DIRECTION('ref_axis',(1.,0.,0.)); #391204=DIRECTION('center_axis',(0.,0.,1.)); #391205=DIRECTION('ref_axis',(1.,0.,0.)); #391206=DIRECTION('center_axis',(0.,0.,1.)); #391207=DIRECTION('ref_axis',(1.,0.,0.)); #391208=DIRECTION('',(0.,0.,1.)); #391209=DIRECTION('center_axis',(0.,0.,-1.)); #391210=DIRECTION('ref_axis',(1.,0.,0.)); #391211=DIRECTION('center_axis',(0.,0.,1.)); #391212=DIRECTION('ref_axis',(1.,0.,0.)); #391213=DIRECTION('center_axis',(0.,0.,1.)); #391214=DIRECTION('ref_axis',(1.,0.,0.)); #391215=DIRECTION('',(0.,0.,1.)); #391216=DIRECTION('center_axis',(0.,0.,-1.)); #391217=DIRECTION('ref_axis',(1.,0.,0.)); #391218=DIRECTION('center_axis',(0.,0.,1.)); #391219=DIRECTION('ref_axis',(1.,0.,0.)); #391220=DIRECTION('center_axis',(0.,0.,1.)); #391221=DIRECTION('ref_axis',(1.,0.,0.)); #391222=DIRECTION('',(0.,0.,1.)); #391223=DIRECTION('center_axis',(0.,0.,-1.)); #391224=DIRECTION('ref_axis',(1.,0.,0.)); #391225=DIRECTION('center_axis',(0.,0.,1.)); #391226=DIRECTION('ref_axis',(1.,0.,0.)); #391227=DIRECTION('center_axis',(0.,0.,1.)); #391228=DIRECTION('ref_axis',(1.,0.,0.)); #391229=DIRECTION('',(0.,0.,1.)); #391230=DIRECTION('center_axis',(0.,0.,-1.)); #391231=DIRECTION('ref_axis',(1.,0.,0.)); #391232=DIRECTION('center_axis',(0.214594192212086,-0.976703298176495,0.)); #391233=DIRECTION('ref_axis',(0.976703298176494,0.214594192212086,0.)); #391234=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #391235=DIRECTION('',(0.,0.,1.)); #391236=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #391237=DIRECTION('',(0.,0.,1.)); #391238=DIRECTION('center_axis',(-0.183380618657178,-0.983041987252279, 0.)); #391239=DIRECTION('ref_axis',(0.983041987252279,-0.183380618657178,0.)); #391240=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #391241=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #391242=DIRECTION('',(0.,0.,1.)); #391243=DIRECTION('center_axis',(-0.552227809242766,-0.833693256959018, 0.)); #391244=DIRECTION('ref_axis',(0.833693256959018,-0.552227809242766,0.)); #391245=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #391246=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #391247=DIRECTION('',(0.,0.,1.)); #391248=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #391249=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #391250=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #391251=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #391252=DIRECTION('',(0.,0.,1.)); #391253=DIRECTION('center_axis',(0.,-1.,0.)); #391254=DIRECTION('ref_axis',(1.,0.,0.)); #391255=DIRECTION('',(1.,0.,0.)); #391256=DIRECTION('',(1.,0.,0.)); #391257=DIRECTION('',(0.,0.,1.)); #391258=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #391259=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #391260=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #391261=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #391262=DIRECTION('',(0.,0.,1.)); #391263=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #391264=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #391265=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #391266=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #391267=DIRECTION('',(0.,0.,1.)); #391268=DIRECTION('center_axis',(-0.707104593400144,-0.707108968966182, 0.)); #391269=DIRECTION('ref_axis',(0.707108968966182,-0.707104593400144,0.)); #391270=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #391271=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #391272=DIRECTION('',(0.,0.,1.)); #391273=DIRECTION('center_axis',(0.,-1.,0.)); #391274=DIRECTION('ref_axis',(1.,0.,0.)); #391275=DIRECTION('',(1.,0.,0.)); #391276=DIRECTION('',(1.,0.,0.)); #391277=DIRECTION('',(0.,0.,1.)); #391278=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #391279=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #391280=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #391281=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #391282=DIRECTION('',(0.,0.,1.)); #391283=DIRECTION('center_axis',(0.842341965840975,-0.538943422432412,0.)); #391284=DIRECTION('ref_axis',(0.538943422432413,0.842341965840975,0.)); #391285=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #391286=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #391287=DIRECTION('',(0.,0.,1.)); #391288=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #391289=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #391290=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #391291=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #391292=DIRECTION('',(0.,0.,1.)); #391293=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #391294=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #391295=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #391296=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #391297=DIRECTION('',(0.,0.,1.)); #391298=DIRECTION('center_axis',(0.,-1.,0.)); #391299=DIRECTION('ref_axis',(1.,0.,0.)); #391300=DIRECTION('',(1.,0.,0.)); #391301=DIRECTION('',(1.,0.,0.)); #391302=DIRECTION('',(0.,0.,1.)); #391303=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #391304=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #391305=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #391306=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #391307=DIRECTION('',(0.,0.,1.)); #391308=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #391309=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #391310=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #391311=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #391312=DIRECTION('',(0.,0.,1.)); #391313=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #391314=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #391315=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391316=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391317=DIRECTION('',(0.,0.,1.)); #391318=DIRECTION('center_axis',(-0.637992511441951,-0.770042567228586, 0.)); #391319=DIRECTION('ref_axis',(0.770042567228586,-0.637992511441951,0.)); #391320=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #391321=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #391322=DIRECTION('',(0.,0.,1.)); #391323=DIRECTION('center_axis',(-0.770213822251693,0.637785754005558,0.)); #391324=DIRECTION('ref_axis',(-0.637785754005558,-0.770213822251693,0.)); #391325=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #391326=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #391327=DIRECTION('',(0.,0.,1.)); #391328=DIRECTION('center_axis',(-0.59483098052112,-0.803850797481897,0.)); #391329=DIRECTION('ref_axis',(0.803850797481897,-0.59483098052112,0.)); #391330=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #391331=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #391332=DIRECTION('',(0.,0.,1.)); #391333=DIRECTION('center_axis',(-0.824759961060277,-0.56548298527175,0.)); #391334=DIRECTION('ref_axis',(0.56548298527175,-0.824759961060277,0.)); #391335=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #391336=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #391337=DIRECTION('',(0.,0.,1.)); #391338=DIRECTION('center_axis',(-0.979998112340244,-0.199006783325492, 0.)); #391339=DIRECTION('ref_axis',(0.199006783325492,-0.979998112340244,0.)); #391340=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #391341=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #391342=DIRECTION('',(0.,0.,1.)); #391343=DIRECTION('center_axis',(-1.,0.,0.)); #391344=DIRECTION('ref_axis',(0.,-1.,0.)); #391345=DIRECTION('',(0.,-1.,0.)); #391346=DIRECTION('',(0.,-1.,0.)); #391347=DIRECTION('',(0.,0.,1.)); #391348=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #391349=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #391350=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #391351=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #391352=DIRECTION('',(0.,0.,1.)); #391353=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #391354=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #391355=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #391356=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #391357=DIRECTION('',(0.,0.,1.)); #391358=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #391359=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #391360=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #391361=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #391362=DIRECTION('',(0.,0.,1.)); #391363=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #391364=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #391365=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #391366=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #391367=DIRECTION('',(0.,0.,1.)); #391368=DIRECTION('center_axis',(-0.199010641789227,0.979997328799747,0.)); #391369=DIRECTION('ref_axis',(-0.979997328799747,-0.199010641789227,0.)); #391370=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #391371=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #391372=DIRECTION('',(0.,0.,1.)); #391373=DIRECTION('center_axis',(0.,1.,0.)); #391374=DIRECTION('ref_axis',(-1.,0.,0.)); #391375=DIRECTION('',(-1.,0.,0.)); #391376=DIRECTION('',(-1.,0.,0.)); #391377=DIRECTION('',(0.,0.,1.)); #391378=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #391379=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #391380=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #391381=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #391382=DIRECTION('',(0.,0.,1.)); #391383=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #391384=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #391385=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #391386=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #391387=DIRECTION('',(0.,0.,1.)); #391388=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #391389=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #391390=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #391391=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #391392=DIRECTION('',(0.,0.,1.)); #391393=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #391394=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #391395=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #391396=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #391397=DIRECTION('',(0.,0.,1.)); #391398=DIRECTION('center_axis',(1.,0.,0.)); #391399=DIRECTION('ref_axis',(0.,1.,0.)); #391400=DIRECTION('',(0.,1.,0.)); #391401=DIRECTION('',(0.,1.,0.)); #391402=DIRECTION('',(0.,0.,1.)); #391403=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391404=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391405=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391406=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #391407=DIRECTION('',(0.,0.,1.)); #391408=DIRECTION('center_axis',(0.,1.,0.)); #391409=DIRECTION('ref_axis',(-1.,0.,0.)); #391410=DIRECTION('',(-1.,0.,0.)); #391411=DIRECTION('',(-1.,0.,0.)); #391412=DIRECTION('',(0.,0.,1.)); #391413=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #391414=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #391415=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #391416=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #391417=DIRECTION('',(0.,0.,1.)); #391418=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #391419=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #391420=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #391421=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #391422=DIRECTION('',(0.,0.,1.)); #391423=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #391424=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #391425=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #391426=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #391427=DIRECTION('',(0.,0.,1.)); #391428=DIRECTION('center_axis',(0.,1.,0.)); #391429=DIRECTION('ref_axis',(-1.,0.,0.)); #391430=DIRECTION('',(-1.,0.,0.)); #391431=DIRECTION('',(-1.,0.,0.)); #391432=DIRECTION('',(0.,0.,1.)); #391433=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #391434=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #391435=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #391436=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #391437=DIRECTION('',(0.,0.,1.)); #391438=DIRECTION('center_axis',(0.552227809242806,0.833693256958992,0.)); #391439=DIRECTION('ref_axis',(-0.833693256958992,0.552227809242806,0.)); #391440=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #391441=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #391442=DIRECTION('',(0.,0.,1.)); #391443=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391444=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391445=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391446=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391447=DIRECTION('',(0.,0.,1.)); #391448=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #391449=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #391450=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #391451=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #391452=DIRECTION('',(0.,0.,1.)); #391453=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #391454=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #391455=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #391456=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #391457=DIRECTION('',(0.,0.,1.)); #391458=DIRECTION('center_axis',(1.,0.,0.)); #391459=DIRECTION('ref_axis',(0.,1.,0.)); #391460=DIRECTION('',(0.,1.,0.)); #391461=DIRECTION('',(0.,1.,0.)); #391462=DIRECTION('',(0.,0.,1.)); #391463=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #391464=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #391465=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #391466=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #391467=DIRECTION('',(0.,0.,1.)); #391468=DIRECTION('center_axis',(0.850855747839139,-0.525399368451372,0.)); #391469=DIRECTION('ref_axis',(0.525399368451372,0.850855747839139,0.)); #391470=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #391471=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #391472=DIRECTION('',(0.,0.,1.)); #391473=DIRECTION('center_axis',(0.578583157949432,-0.815623399209012,0.)); #391474=DIRECTION('ref_axis',(0.815623399209012,0.578583157949432,0.)); #391475=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #391476=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #391477=DIRECTION('center_axis',(0.,0.,1.)); #391478=DIRECTION('ref_axis',(1.,0.,0.)); #391479=DIRECTION('center_axis',(0.,0.,1.)); #391480=DIRECTION('ref_axis',(1.,0.,0.)); #391481=DIRECTION('center_axis',(0.,0.,1.)); #391482=DIRECTION('ref_axis',(1.,0.,0.)); #391483=DIRECTION('center_axis',(0.,0.,1.)); #391484=DIRECTION('ref_axis',(1.,0.,0.)); #391485=DIRECTION('',(0.,0.,1.)); #391486=DIRECTION('center_axis',(0.,0.,-1.)); #391487=DIRECTION('ref_axis',(1.,0.,0.)); #391488=DIRECTION('center_axis',(1.,0.,0.)); #391489=DIRECTION('ref_axis',(0.,1.,0.)); #391490=DIRECTION('',(0.,1.,0.)); #391491=DIRECTION('',(0.,0.,1.)); #391492=DIRECTION('',(0.,1.,0.)); #391493=DIRECTION('',(0.,0.,1.)); #391494=DIRECTION('center_axis',(0.,-1.,0.)); #391495=DIRECTION('ref_axis',(1.,0.,0.)); #391496=DIRECTION('',(1.,0.,0.)); #391497=DIRECTION('',(1.,0.,0.)); #391498=DIRECTION('',(0.,0.,1.)); #391499=DIRECTION('center_axis',(-1.,0.,0.)); #391500=DIRECTION('ref_axis',(0.,-1.,0.)); #391501=DIRECTION('',(0.,-1.,0.)); #391502=DIRECTION('',(0.,-1.,0.)); #391503=DIRECTION('',(0.,0.,1.)); #391504=DIRECTION('center_axis',(0.,1.,0.)); #391505=DIRECTION('ref_axis',(-1.,0.,0.)); #391506=DIRECTION('',(-1.,0.,0.)); #391507=DIRECTION('',(-1.,0.,0.)); #391508=DIRECTION('',(0.,0.,1.)); #391509=DIRECTION('center_axis',(-1.,0.,0.)); #391510=DIRECTION('ref_axis',(0.,-1.,0.)); #391511=DIRECTION('',(0.,-1.,0.)); #391512=DIRECTION('',(0.,-1.,0.)); #391513=DIRECTION('',(0.,0.,1.)); #391514=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #391515=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #391516=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391517=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391518=DIRECTION('',(0.,0.,1.)); #391519=DIRECTION('center_axis',(0.,0.,1.)); #391520=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #391521=DIRECTION('center_axis',(0.,0.,1.)); #391522=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #391523=DIRECTION('center_axis',(0.,0.,1.)); #391524=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #391525=DIRECTION('',(0.,0.,1.)); #391526=DIRECTION('center_axis',(-1.,0.,0.)); #391527=DIRECTION('ref_axis',(0.,-1.,0.)); #391528=DIRECTION('',(0.,-1.,0.)); #391529=DIRECTION('',(0.,-1.,0.)); #391530=DIRECTION('',(0.,0.,1.)); #391531=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #391532=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #391533=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391534=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #391535=DIRECTION('',(0.,0.,1.)); #391536=DIRECTION('center_axis',(0.,0.,1.)); #391537=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #391538=DIRECTION('center_axis',(0.,0.,1.)); #391539=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #391540=DIRECTION('center_axis',(0.,0.,1.)); #391541=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #391542=DIRECTION('',(0.,0.,1.)); #391543=DIRECTION('center_axis',(-1.,0.,0.)); #391544=DIRECTION('ref_axis',(0.,-1.,0.)); #391545=DIRECTION('',(0.,-1.,0.)); #391546=DIRECTION('',(0.,-1.,0.)); #391547=DIRECTION('',(0.,0.,1.)); #391548=DIRECTION('center_axis',(0.,0.,1.)); #391549=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391550=DIRECTION('center_axis',(0.,0.,1.)); #391551=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391552=DIRECTION('center_axis',(0.,0.,1.)); #391553=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #391554=DIRECTION('',(0.,0.,1.)); #391555=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #391556=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #391557=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #391558=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #391559=DIRECTION('',(0.,0.,1.)); #391560=DIRECTION('center_axis',(-1.,0.,0.)); #391561=DIRECTION('ref_axis',(0.,-1.,0.)); #391562=DIRECTION('',(0.,-1.,0.)); #391563=DIRECTION('',(0.,-1.,0.)); #391564=DIRECTION('',(0.,0.,1.)); #391565=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #391566=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #391567=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #391568=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #391569=DIRECTION('',(0.,0.,1.)); #391570=DIRECTION('center_axis',(0.,0.,1.)); #391571=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #391572=DIRECTION('center_axis',(0.,0.,1.)); #391573=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #391574=DIRECTION('center_axis',(0.,0.,1.)); #391575=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #391576=DIRECTION('',(0.,0.,1.)); #391577=DIRECTION('center_axis',(-1.,0.,0.)); #391578=DIRECTION('ref_axis',(0.,-1.,0.)); #391579=DIRECTION('',(0.,-1.,0.)); #391580=DIRECTION('',(0.,-1.,0.)); #391581=DIRECTION('',(0.,0.,1.)); #391582=DIRECTION('center_axis',(0.,0.,1.)); #391583=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #391584=DIRECTION('center_axis',(0.,0.,1.)); #391585=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #391586=DIRECTION('center_axis',(0.,0.,1.)); #391587=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #391588=DIRECTION('',(0.,0.,1.)); #391589=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #391590=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #391591=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391592=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #391593=DIRECTION('',(0.,0.,1.)); #391594=DIRECTION('center_axis',(0.,0.,1.)); #391595=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #391596=DIRECTION('center_axis',(0.,0.,1.)); #391597=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #391598=DIRECTION('center_axis',(0.,0.,1.)); #391599=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #391600=DIRECTION('',(0.,0.,1.)); #391601=DIRECTION('center_axis',(0.,1.,0.)); #391602=DIRECTION('ref_axis',(-1.,0.,0.)); #391603=DIRECTION('',(-1.,0.,0.)); #391604=DIRECTION('',(-1.,0.,0.)); #391605=DIRECTION('',(0.,0.,1.)); #391606=DIRECTION('center_axis',(-1.,0.,0.)); #391607=DIRECTION('ref_axis',(0.,-1.,0.)); #391608=DIRECTION('',(0.,-1.,0.)); #391609=DIRECTION('',(0.,-1.,0.)); #391610=DIRECTION('',(0.,0.,1.)); #391611=DIRECTION('center_axis',(0.,1.,0.)); #391612=DIRECTION('ref_axis',(-1.,0.,0.)); #391613=DIRECTION('',(-1.,0.,0.)); #391614=DIRECTION('',(-1.,0.,0.)); #391615=DIRECTION('',(0.,0.,1.)); #391616=DIRECTION('center_axis',(1.,0.,0.)); #391617=DIRECTION('ref_axis',(0.,1.,0.)); #391618=DIRECTION('',(0.,1.,0.)); #391619=DIRECTION('',(0.,1.,0.)); #391620=DIRECTION('',(0.,0.,1.)); #391621=DIRECTION('center_axis',(0.,-1.,0.)); #391622=DIRECTION('ref_axis',(1.,0.,0.)); #391623=DIRECTION('',(1.,0.,0.)); #391624=DIRECTION('',(1.,0.,0.)); #391625=DIRECTION('',(0.,0.,1.)); #391626=DIRECTION('center_axis',(-1.,0.,0.)); #391627=DIRECTION('ref_axis',(0.,-1.,0.)); #391628=DIRECTION('',(0.,-1.,0.)); #391629=DIRECTION('',(0.,-1.,0.)); #391630=DIRECTION('',(0.,0.,1.)); #391631=DIRECTION('center_axis',(0.,-1.,0.)); #391632=DIRECTION('ref_axis',(1.,0.,0.)); #391633=DIRECTION('',(1.,0.,0.)); #391634=DIRECTION('',(1.,0.,0.)); #391635=DIRECTION('',(0.,0.,1.)); #391636=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #391637=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #391638=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #391639=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #391640=DIRECTION('',(0.,0.,1.)); #391641=DIRECTION('center_axis',(1.,0.,0.)); #391642=DIRECTION('ref_axis',(0.,1.,0.)); #391643=DIRECTION('',(0.,1.,0.)); #391644=DIRECTION('',(0.,1.,0.)); #391645=DIRECTION('',(0.,0.,1.)); #391646=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #391647=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #391648=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391649=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #391650=DIRECTION('',(0.,0.,1.)); #391651=DIRECTION('center_axis',(0.,1.,0.)); #391652=DIRECTION('ref_axis',(-1.,0.,0.)); #391653=DIRECTION('',(-1.,0.,0.)); #391654=DIRECTION('',(-1.,0.,0.)); #391655=DIRECTION('',(0.,0.,1.)); #391656=DIRECTION('center_axis',(1.,0.,0.)); #391657=DIRECTION('ref_axis',(0.,1.,0.)); #391658=DIRECTION('',(0.,1.,0.)); #391659=DIRECTION('',(0.,1.,0.)); #391660=DIRECTION('',(0.,0.,1.)); #391661=DIRECTION('center_axis',(0.,-1.,0.)); #391662=DIRECTION('ref_axis',(1.,0.,0.)); #391663=DIRECTION('',(1.,0.,0.)); #391664=DIRECTION('',(1.,0.,0.)); #391665=DIRECTION('',(0.,0.,1.)); #391666=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #391667=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #391668=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391669=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #391670=DIRECTION('',(0.,0.,1.)); #391671=DIRECTION('center_axis',(1.,0.,0.)); #391672=DIRECTION('ref_axis',(0.,1.,0.)); #391673=DIRECTION('',(0.,1.,0.)); #391674=DIRECTION('',(0.,1.,0.)); #391675=DIRECTION('',(0.,0.,1.)); #391676=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #391677=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #391678=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #391679=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #391680=DIRECTION('',(0.,0.,1.)); #391681=DIRECTION('center_axis',(0.,0.,1.)); #391682=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #391683=DIRECTION('center_axis',(0.,0.,1.)); #391684=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #391685=DIRECTION('center_axis',(0.,0.,1.)); #391686=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #391687=DIRECTION('',(0.,0.,1.)); #391688=DIRECTION('center_axis',(1.,0.,0.)); #391689=DIRECTION('ref_axis',(0.,1.,0.)); #391690=DIRECTION('',(0.,1.,0.)); #391691=DIRECTION('',(0.,1.,0.)); #391692=DIRECTION('',(0.,0.,1.)); #391693=DIRECTION('center_axis',(0.707106781186537,0.707106781186558,0.)); #391694=DIRECTION('ref_axis',(-0.707106781186558,0.707106781186537,0.)); #391695=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #391696=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #391697=DIRECTION('',(0.,0.,1.)); #391698=DIRECTION('center_axis',(0.,0.,1.)); #391699=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391700=DIRECTION('center_axis',(0.,0.,1.)); #391701=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391702=DIRECTION('center_axis',(0.,0.,1.)); #391703=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #391704=DIRECTION('',(0.,0.,1.)); #391705=DIRECTION('center_axis',(1.,0.,0.)); #391706=DIRECTION('ref_axis',(0.,1.,0.)); #391707=DIRECTION('',(0.,1.,0.)); #391708=DIRECTION('',(0.,1.,0.)); #391709=DIRECTION('',(0.,0.,1.)); #391710=DIRECTION('center_axis',(0.,1.,0.)); #391711=DIRECTION('ref_axis',(-1.,0.,0.)); #391712=DIRECTION('',(-1.,0.,0.)); #391713=DIRECTION('',(-1.,0.,0.)); #391714=DIRECTION('center_axis',(0.,0.,1.)); #391715=DIRECTION('ref_axis',(1.,0.,0.)); #391716=DIRECTION('center_axis',(0.,0.,1.)); #391717=DIRECTION('ref_axis',(1.,0.,0.)); #391718=DIRECTION('center_axis',(0.,0.,1.)); #391719=DIRECTION('ref_axis',(1.,0.,0.)); #391720=DIRECTION('center_axis',(0.,0.,1.)); #391721=DIRECTION('ref_axis',(1.,0.,0.)); #391722=DIRECTION('',(0.,0.,1.)); #391723=DIRECTION('center_axis',(0.,0.,-1.)); #391724=DIRECTION('ref_axis',(1.,0.,0.)); #391725=DIRECTION('center_axis',(0.,0.,1.)); #391726=DIRECTION('ref_axis',(1.,0.,0.)); #391727=DIRECTION('center_axis',(0.,0.,1.)); #391728=DIRECTION('ref_axis',(1.,0.,0.)); #391729=DIRECTION('',(0.,0.,1.)); #391730=DIRECTION('center_axis',(0.,0.,-1.)); #391731=DIRECTION('ref_axis',(1.,0.,0.)); #391732=DIRECTION('center_axis',(0.,0.,1.)); #391733=DIRECTION('ref_axis',(1.,0.,0.)); #391734=DIRECTION('center_axis',(0.,0.,1.)); #391735=DIRECTION('ref_axis',(1.,0.,0.)); #391736=DIRECTION('',(0.,0.,1.)); #391737=DIRECTION('center_axis',(0.,0.,-1.)); #391738=DIRECTION('ref_axis',(1.,0.,0.)); #391739=DIRECTION('center_axis',(0.,0.,1.)); #391740=DIRECTION('ref_axis',(1.,0.,0.)); #391741=DIRECTION('center_axis',(0.,0.,1.)); #391742=DIRECTION('ref_axis',(1.,0.,0.)); #391743=DIRECTION('',(0.,0.,1.)); #391744=DIRECTION('center_axis',(0.,0.,-1.)); #391745=DIRECTION('ref_axis',(1.,0.,0.)); #391746=DIRECTION('center_axis',(0.,0.,1.)); #391747=DIRECTION('ref_axis',(1.,0.,0.)); #391748=DIRECTION('center_axis',(0.,0.,1.)); #391749=DIRECTION('ref_axis',(1.,0.,0.)); #391750=DIRECTION('',(0.,0.,1.)); #391751=DIRECTION('center_axis',(0.,0.,-1.)); #391752=DIRECTION('ref_axis',(1.,0.,0.)); #391753=DIRECTION('center_axis',(0.,0.,1.)); #391754=DIRECTION('ref_axis',(1.,0.,0.)); #391755=DIRECTION('center_axis',(0.,0.,1.)); #391756=DIRECTION('ref_axis',(1.,0.,0.)); #391757=DIRECTION('',(0.,0.,1.)); #391758=DIRECTION('center_axis',(0.,0.,-1.)); #391759=DIRECTION('ref_axis',(1.,0.,0.)); #391760=DIRECTION('center_axis',(0.,0.,1.)); #391761=DIRECTION('ref_axis',(1.,0.,0.)); #391762=DIRECTION('center_axis',(0.,0.,1.)); #391763=DIRECTION('ref_axis',(1.,0.,0.)); #391764=DIRECTION('',(0.,0.,1.)); #391765=DIRECTION('center_axis',(0.,0.,-1.)); #391766=DIRECTION('ref_axis',(1.,0.,0.)); #391767=DIRECTION('center_axis',(0.,0.,1.)); #391768=DIRECTION('ref_axis',(1.,0.,0.)); #391769=DIRECTION('center_axis',(0.,0.,1.)); #391770=DIRECTION('ref_axis',(1.,0.,0.)); #391771=DIRECTION('',(0.,0.,1.)); #391772=DIRECTION('center_axis',(0.,0.,-1.)); #391773=DIRECTION('ref_axis',(1.,0.,0.)); #391774=DIRECTION('center_axis',(0.,0.,1.)); #391775=DIRECTION('ref_axis',(1.,0.,0.)); #391776=DIRECTION('center_axis',(0.,0.,1.)); #391777=DIRECTION('ref_axis',(1.,0.,0.)); #391778=DIRECTION('',(0.,0.,1.)); #391779=DIRECTION('center_axis',(0.,0.,-1.)); #391780=DIRECTION('ref_axis',(1.,0.,0.)); #391781=DIRECTION('center_axis',(0.,0.,1.)); #391782=DIRECTION('ref_axis',(1.,0.,0.)); #391783=DIRECTION('center_axis',(0.,0.,1.)); #391784=DIRECTION('ref_axis',(1.,0.,0.)); #391785=DIRECTION('',(0.,0.,1.)); #391786=DIRECTION('center_axis',(0.,0.,-1.)); #391787=DIRECTION('ref_axis',(1.,0.,0.)); #391788=DIRECTION('center_axis',(0.,0.,1.)); #391789=DIRECTION('ref_axis',(1.,0.,0.)); #391790=DIRECTION('center_axis',(0.,0.,1.)); #391791=DIRECTION('ref_axis',(1.,0.,0.)); #391792=DIRECTION('',(0.,0.,1.)); #391793=DIRECTION('center_axis',(0.,0.,-1.)); #391794=DIRECTION('ref_axis',(1.,0.,0.)); #391795=DIRECTION('center_axis',(0.,0.,1.)); #391796=DIRECTION('ref_axis',(1.,0.,0.)); #391797=DIRECTION('center_axis',(0.,0.,1.)); #391798=DIRECTION('ref_axis',(1.,0.,0.)); #391799=DIRECTION('',(0.,0.,1.)); #391800=DIRECTION('center_axis',(0.,0.,-1.)); #391801=DIRECTION('ref_axis',(1.,0.,0.)); #391802=DIRECTION('center_axis',(0.,0.,1.)); #391803=DIRECTION('ref_axis',(1.,0.,0.)); #391804=DIRECTION('center_axis',(0.,0.,1.)); #391805=DIRECTION('ref_axis',(1.,0.,0.)); #391806=DIRECTION('',(0.,0.,1.)); #391807=DIRECTION('center_axis',(0.,0.,-1.)); #391808=DIRECTION('ref_axis',(1.,0.,0.)); #391809=DIRECTION('center_axis',(0.,0.,1.)); #391810=DIRECTION('ref_axis',(1.,0.,0.)); #391811=DIRECTION('center_axis',(0.,0.,1.)); #391812=DIRECTION('ref_axis',(1.,0.,0.)); #391813=DIRECTION('',(0.,0.,1.)); #391814=DIRECTION('center_axis',(0.,0.,-1.)); #391815=DIRECTION('ref_axis',(1.,0.,0.)); #391816=DIRECTION('center_axis',(0.,0.,1.)); #391817=DIRECTION('ref_axis',(1.,0.,0.)); #391818=DIRECTION('center_axis',(0.,0.,1.)); #391819=DIRECTION('ref_axis',(1.,0.,0.)); #391820=DIRECTION('',(0.,0.,1.)); #391821=DIRECTION('center_axis',(0.,0.,-1.)); #391822=DIRECTION('ref_axis',(1.,0.,0.)); #391823=DIRECTION('center_axis',(0.,0.,1.)); #391824=DIRECTION('ref_axis',(1.,0.,0.)); #391825=DIRECTION('center_axis',(0.,0.,1.)); #391826=DIRECTION('ref_axis',(1.,0.,0.)); #391827=DIRECTION('',(0.,0.,1.)); #391828=DIRECTION('center_axis',(0.,0.,-1.)); #391829=DIRECTION('ref_axis',(1.,0.,0.)); #391830=DIRECTION('center_axis',(0.,0.,1.)); #391831=DIRECTION('ref_axis',(1.,0.,0.)); #391832=DIRECTION('center_axis',(0.,0.,1.)); #391833=DIRECTION('ref_axis',(1.,0.,0.)); #391834=DIRECTION('',(0.,0.,1.)); #391835=DIRECTION('center_axis',(0.,0.,-1.)); #391836=DIRECTION('ref_axis',(1.,0.,0.)); #391837=DIRECTION('center_axis',(0.,0.,1.)); #391838=DIRECTION('ref_axis',(1.,0.,0.)); #391839=DIRECTION('center_axis',(0.,0.,1.)); #391840=DIRECTION('ref_axis',(1.,0.,0.)); #391841=DIRECTION('',(0.,0.,1.)); #391842=DIRECTION('center_axis',(0.,0.,-1.)); #391843=DIRECTION('ref_axis',(1.,0.,0.)); #391844=DIRECTION('center_axis',(0.,0.,1.)); #391845=DIRECTION('ref_axis',(1.,0.,0.)); #391846=DIRECTION('center_axis',(0.,0.,1.)); #391847=DIRECTION('ref_axis',(1.,0.,0.)); #391848=DIRECTION('',(0.,0.,1.)); #391849=DIRECTION('center_axis',(0.,0.,-1.)); #391850=DIRECTION('ref_axis',(1.,0.,0.)); #391851=DIRECTION('center_axis',(0.,0.,1.)); #391852=DIRECTION('ref_axis',(1.,0.,0.)); #391853=DIRECTION('center_axis',(0.,0.,1.)); #391854=DIRECTION('ref_axis',(1.,0.,0.)); #391855=DIRECTION('',(0.,0.,1.)); #391856=DIRECTION('center_axis',(0.,0.,-1.)); #391857=DIRECTION('ref_axis',(1.,0.,0.)); #391858=DIRECTION('center_axis',(0.,0.,1.)); #391859=DIRECTION('ref_axis',(1.,0.,0.)); #391860=DIRECTION('center_axis',(0.,0.,1.)); #391861=DIRECTION('ref_axis',(1.,0.,0.)); #391862=DIRECTION('',(0.,0.,1.)); #391863=DIRECTION('center_axis',(0.,0.,-1.)); #391864=DIRECTION('ref_axis',(1.,0.,0.)); #391865=DIRECTION('center_axis',(0.,0.,1.)); #391866=DIRECTION('ref_axis',(1.,0.,0.)); #391867=DIRECTION('center_axis',(0.,0.,1.)); #391868=DIRECTION('ref_axis',(1.,0.,0.)); #391869=DIRECTION('',(0.,0.,1.)); #391870=DIRECTION('center_axis',(0.,0.,-1.)); #391871=DIRECTION('ref_axis',(1.,0.,0.)); #391872=DIRECTION('center_axis',(0.,0.,1.)); #391873=DIRECTION('ref_axis',(1.,0.,0.)); #391874=DIRECTION('center_axis',(0.,0.,1.)); #391875=DIRECTION('ref_axis',(1.,0.,0.)); #391876=DIRECTION('',(0.,0.,1.)); #391877=DIRECTION('center_axis',(0.,0.,-1.)); #391878=DIRECTION('ref_axis',(1.,0.,0.)); #391879=DIRECTION('center_axis',(0.,0.,1.)); #391880=DIRECTION('ref_axis',(1.,0.,0.)); #391881=DIRECTION('center_axis',(0.,0.,1.)); #391882=DIRECTION('ref_axis',(1.,0.,0.)); #391883=DIRECTION('',(0.,0.,1.)); #391884=DIRECTION('center_axis',(0.,0.,-1.)); #391885=DIRECTION('ref_axis',(1.,0.,0.)); #391886=DIRECTION('center_axis',(0.,0.,1.)); #391887=DIRECTION('ref_axis',(1.,0.,0.)); #391888=DIRECTION('center_axis',(0.,0.,1.)); #391889=DIRECTION('ref_axis',(1.,0.,0.)); #391890=DIRECTION('',(0.,0.,1.)); #391891=DIRECTION('center_axis',(0.,0.,-1.)); #391892=DIRECTION('ref_axis',(1.,0.,0.)); #391893=DIRECTION('center_axis',(0.,0.,1.)); #391894=DIRECTION('ref_axis',(1.,0.,0.)); #391895=DIRECTION('center_axis',(0.,0.,1.)); #391896=DIRECTION('ref_axis',(1.,0.,0.)); #391897=DIRECTION('',(0.,0.,1.)); #391898=DIRECTION('center_axis',(0.,0.,-1.)); #391899=DIRECTION('ref_axis',(1.,0.,0.)); #391900=DIRECTION('center_axis',(0.,0.,1.)); #391901=DIRECTION('ref_axis',(1.,0.,0.)); #391902=DIRECTION('center_axis',(0.,0.,1.)); #391903=DIRECTION('ref_axis',(1.,0.,0.)); #391904=DIRECTION('',(0.,0.,1.)); #391905=DIRECTION('center_axis',(0.,0.,-1.)); #391906=DIRECTION('ref_axis',(1.,0.,0.)); #391907=DIRECTION('center_axis',(0.,0.,1.)); #391908=DIRECTION('ref_axis',(1.,0.,0.)); #391909=DIRECTION('center_axis',(0.,0.,1.)); #391910=DIRECTION('ref_axis',(1.,0.,0.)); #391911=DIRECTION('',(0.,0.,1.)); #391912=DIRECTION('center_axis',(0.,0.,-1.)); #391913=DIRECTION('ref_axis',(1.,0.,0.)); #391914=DIRECTION('center_axis',(0.,0.,1.)); #391915=DIRECTION('ref_axis',(1.,0.,0.)); #391916=DIRECTION('center_axis',(0.,0.,1.)); #391917=DIRECTION('ref_axis',(1.,0.,0.)); #391918=DIRECTION('',(0.,0.,1.)); #391919=DIRECTION('center_axis',(0.,0.,-1.)); #391920=DIRECTION('ref_axis',(1.,0.,0.)); #391921=DIRECTION('center_axis',(0.,0.,1.)); #391922=DIRECTION('ref_axis',(1.,0.,0.)); #391923=DIRECTION('center_axis',(0.,0.,1.)); #391924=DIRECTION('ref_axis',(1.,0.,0.)); #391925=DIRECTION('',(0.,0.,1.)); #391926=DIRECTION('center_axis',(0.,0.,-1.)); #391927=DIRECTION('ref_axis',(1.,0.,0.)); #391928=DIRECTION('center_axis',(0.,0.,1.)); #391929=DIRECTION('ref_axis',(1.,0.,0.)); #391930=DIRECTION('center_axis',(0.,0.,1.)); #391931=DIRECTION('ref_axis',(1.,0.,0.)); #391932=DIRECTION('',(0.,0.,1.)); #391933=DIRECTION('center_axis',(0.,0.,-1.)); #391934=DIRECTION('ref_axis',(1.,0.,0.)); #391935=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #391936=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #391937=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #391938=DIRECTION('',(0.,0.,1.)); #391939=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #391940=DIRECTION('',(0.,0.,1.)); #391941=DIRECTION('center_axis',(0.,0.,1.)); #391942=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #391943=DIRECTION('center_axis',(0.,0.,1.)); #391944=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #391945=DIRECTION('center_axis',(0.,0.,1.)); #391946=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #391947=DIRECTION('',(0.,0.,1.)); #391948=DIRECTION('center_axis',(-1.,0.,0.)); #391949=DIRECTION('ref_axis',(0.,-1.,0.)); #391950=DIRECTION('',(0.,-1.,0.)); #391951=DIRECTION('',(0.,-1.,0.)); #391952=DIRECTION('',(0.,0.,1.)); #391953=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #391954=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #391955=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391956=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391957=DIRECTION('',(0.,0.,1.)); #391958=DIRECTION('center_axis',(0.,-1.,0.)); #391959=DIRECTION('ref_axis',(1.,0.,0.)); #391960=DIRECTION('',(1.,0.,0.)); #391961=DIRECTION('',(1.,0.,0.)); #391962=DIRECTION('',(0.,0.,1.)); #391963=DIRECTION('center_axis',(0.,0.,1.)); #391964=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #391965=DIRECTION('center_axis',(0.,0.,1.)); #391966=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #391967=DIRECTION('center_axis',(0.,0.,1.)); #391968=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #391969=DIRECTION('',(0.,0.,1.)); #391970=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #391971=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #391972=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391973=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #391974=DIRECTION('',(0.,0.,1.)); #391975=DIRECTION('center_axis',(0.,0.,1.)); #391976=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391977=DIRECTION('center_axis',(0.,0.,1.)); #391978=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391979=DIRECTION('center_axis',(0.,0.,1.)); #391980=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #391981=DIRECTION('',(0.,0.,1.)); #391982=DIRECTION('center_axis',(-1.,0.,0.)); #391983=DIRECTION('ref_axis',(0.,-1.,0.)); #391984=DIRECTION('',(0.,-1.,0.)); #391985=DIRECTION('',(0.,-1.,0.)); #391986=DIRECTION('',(0.,0.,1.)); #391987=DIRECTION('center_axis',(-0.829232066016633,-0.558904446833076, 0.)); #391988=DIRECTION('ref_axis',(0.558904446833076,-0.829232066016633,0.)); #391989=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #391990=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #391991=DIRECTION('',(0.,0.,1.)); #391992=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789405, 0.)); #391993=DIRECTION('ref_axis',(0.199010641789405,-0.979997328799711,0.)); #391994=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #391995=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #391996=DIRECTION('',(0.,0.,1.)); #391997=DIRECTION('center_axis',(-1.,0.,0.)); #391998=DIRECTION('ref_axis',(0.,-1.,0.)); #391999=DIRECTION('',(0.,-1.,0.)); #392000=DIRECTION('',(0.,-1.,0.)); #392001=DIRECTION('',(0.,0.,1.)); #392002=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392003=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392004=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392005=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392006=DIRECTION('',(0.,0.,1.)); #392007=DIRECTION('center_axis',(0.,-1.,0.)); #392008=DIRECTION('ref_axis',(1.,0.,0.)); #392009=DIRECTION('',(1.,0.,0.)); #392010=DIRECTION('',(1.,0.,0.)); #392011=DIRECTION('',(0.,0.,1.)); #392012=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #392013=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #392014=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #392015=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #392016=DIRECTION('',(0.,0.,1.)); #392017=DIRECTION('center_axis',(-0.552227809242786,-0.833693256959005, 0.)); #392018=DIRECTION('ref_axis',(0.833693256959005,-0.552227809242786,0.)); #392019=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #392020=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #392021=DIRECTION('',(0.,0.,1.)); #392022=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #392023=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #392024=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #392025=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #392026=DIRECTION('',(0.,0.,1.)); #392027=DIRECTION('center_axis',(-0.829258128536064,-0.558865776601918, 0.)); #392028=DIRECTION('ref_axis',(0.558865776601918,-0.829258128536064,0.)); #392029=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #392030=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #392031=DIRECTION('',(0.,0.,1.)); #392032=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #392033=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #392034=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #392035=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #392036=DIRECTION('',(0.,0.,1.)); #392037=DIRECTION('center_axis',(-1.,0.,0.)); #392038=DIRECTION('ref_axis',(0.,-1.,0.)); #392039=DIRECTION('',(0.,-1.,0.)); #392040=DIRECTION('',(0.,-1.,0.)); #392041=DIRECTION('',(0.,0.,1.)); #392042=DIRECTION('center_axis',(-0.981552851945484,0.191191000932803,0.)); #392043=DIRECTION('ref_axis',(-0.191191000932803,-0.981552851945484,0.)); #392044=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #392045=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #392046=DIRECTION('',(0.,0.,1.)); #392047=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #392048=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #392049=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #392050=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #392051=DIRECTION('',(0.,0.,1.)); #392052=DIRECTION('center_axis',(-0.707106781186566,0.707106781186529,0.)); #392053=DIRECTION('ref_axis',(-0.707106781186529,-0.707106781186566,0.)); #392054=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #392055=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #392056=DIRECTION('',(0.,0.,1.)); #392057=DIRECTION('center_axis',(-0.558865776601961,0.829258128536035,0.)); #392058=DIRECTION('ref_axis',(-0.829258128536035,-0.558865776601961,0.)); #392059=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #392060=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #392061=DIRECTION('',(0.,0.,1.)); #392062=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #392063=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #392064=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #392065=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #392066=DIRECTION('',(0.,0.,1.)); #392067=DIRECTION('center_axis',(0.,1.,0.)); #392068=DIRECTION('ref_axis',(-1.,0.,0.)); #392069=DIRECTION('',(-1.,0.,0.)); #392070=DIRECTION('',(-1.,0.,0.)); #392071=DIRECTION('',(0.,0.,1.)); #392072=DIRECTION('center_axis',(0.183314787014818,0.983054265471501,0.)); #392073=DIRECTION('ref_axis',(-0.983054265471501,0.183314787014818,0.)); #392074=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #392075=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #392076=DIRECTION('',(0.,0.,1.)); #392077=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #392078=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #392079=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #392080=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #392081=DIRECTION('',(0.,0.,1.)); #392082=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #392083=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #392084=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #392085=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #392086=DIRECTION('',(0.,0.,1.)); #392087=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #392088=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #392089=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #392090=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #392091=DIRECTION('',(0.,0.,1.)); #392092=DIRECTION('center_axis',(1.,0.,0.)); #392093=DIRECTION('ref_axis',(0.,1.,0.)); #392094=DIRECTION('',(0.,1.,0.)); #392095=DIRECTION('',(0.,1.,0.)); #392096=DIRECTION('',(0.,0.,1.)); #392097=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #392098=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #392099=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #392100=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #392101=DIRECTION('',(0.,0.,1.)); #392102=DIRECTION('center_axis',(0.,1.,0.)); #392103=DIRECTION('ref_axis',(-1.,0.,0.)); #392104=DIRECTION('',(-1.,0.,0.)); #392105=DIRECTION('',(-1.,0.,0.)); #392106=DIRECTION('',(0.,0.,1.)); #392107=DIRECTION('center_axis',(-0.707106781186521,0.707106781186574,0.)); #392108=DIRECTION('ref_axis',(-0.707106781186574,-0.707106781186521,0.)); #392109=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #392110=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #392111=DIRECTION('',(0.,0.,1.)); #392112=DIRECTION('center_axis',(-1.,0.,0.)); #392113=DIRECTION('ref_axis',(0.,-1.,0.)); #392114=DIRECTION('',(0.,-1.,0.)); #392115=DIRECTION('',(0.,-1.,0.)); #392116=DIRECTION('',(0.,0.,1.)); #392117=DIRECTION('center_axis',(-0.983054265471488,0.183314787014884,0.)); #392118=DIRECTION('ref_axis',(-0.183314787014884,-0.983054265471488,0.)); #392119=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #392120=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #392121=DIRECTION('',(0.,0.,1.)); #392122=DIRECTION('center_axis',(-0.842351514327663,0.538928498327832,0.)); #392123=DIRECTION('ref_axis',(-0.538928498327832,-0.842351514327663,0.)); #392124=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #392125=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #392126=DIRECTION('',(0.,0.,1.)); #392127=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #392128=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #392129=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #392130=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #392131=DIRECTION('',(0.,0.,1.)); #392132=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #392133=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #392134=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #392135=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #392136=DIRECTION('',(0.,0.,1.)); #392137=DIRECTION('center_axis',(0.,1.,0.)); #392138=DIRECTION('ref_axis',(-1.,0.,0.)); #392139=DIRECTION('',(-1.,0.,0.)); #392140=DIRECTION('',(-1.,0.,0.)); #392141=DIRECTION('',(0.,0.,1.)); #392142=DIRECTION('center_axis',(0.191194865688728,0.981552099144141,0.)); #392143=DIRECTION('ref_axis',(-0.981552099144141,0.191194865688728,0.)); #392144=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #392145=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #392146=DIRECTION('',(0.,0.,1.)); #392147=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #392148=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #392149=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #392150=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #392151=DIRECTION('',(0.,0.,1.)); #392152=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #392153=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #392154=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392155=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #392156=DIRECTION('',(0.,0.,1.)); #392157=DIRECTION('center_axis',(0.600315735780842,0.799763100782917,0.)); #392158=DIRECTION('ref_axis',(-0.799763100782917,0.600315735780842,0.)); #392159=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #392160=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #392161=DIRECTION('',(0.,0.,1.)); #392162=DIRECTION('center_axis',(0.125280629073855,0.992121345390199,0.)); #392163=DIRECTION('ref_axis',(-0.992121345390199,0.125280629073855,0.)); #392164=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #392165=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #392166=DIRECTION('',(0.,0.,1.)); #392167=DIRECTION('center_axis',(0.,1.,0.)); #392168=DIRECTION('ref_axis',(-1.,0.,0.)); #392169=DIRECTION('',(-1.,0.,0.)); #392170=DIRECTION('',(-1.,0.,0.)); #392171=DIRECTION('',(0.,0.,1.)); #392172=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #392173=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #392174=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #392175=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #392176=DIRECTION('',(0.,0.,1.)); #392177=DIRECTION('center_axis',(0.538928498327917,0.842351514327609,0.)); #392178=DIRECTION('ref_axis',(-0.842351514327609,0.538928498327917,0.)); #392179=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #392180=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #392181=DIRECTION('',(0.,0.,1.)); #392182=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #392183=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #392184=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #392185=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #392186=DIRECTION('',(0.,0.,1.)); #392187=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #392188=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #392189=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #392190=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #392191=DIRECTION('',(0.,0.,1.)); #392192=DIRECTION('center_axis',(1.,0.,0.)); #392193=DIRECTION('ref_axis',(0.,1.,0.)); #392194=DIRECTION('',(0.,1.,0.)); #392195=DIRECTION('',(0.,1.,0.)); #392196=DIRECTION('',(0.,0.,1.)); #392197=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392198=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392199=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392200=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392201=DIRECTION('',(0.,0.,1.)); #392202=DIRECTION('center_axis',(0.,1.,0.)); #392203=DIRECTION('ref_axis',(-1.,0.,0.)); #392204=DIRECTION('',(-1.,0.,0.)); #392205=DIRECTION('',(-1.,0.,0.)); #392206=DIRECTION('',(0.,0.,1.)); #392207=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #392208=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #392209=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #392210=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #392211=DIRECTION('',(0.,0.,1.)); #392212=DIRECTION('center_axis',(-0.558865776602066,0.829258128535964,0.)); #392213=DIRECTION('ref_axis',(-0.829258128535964,-0.558865776602066,0.)); #392214=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #392215=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #392216=DIRECTION('',(0.,0.,1.)); #392217=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #392218=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #392219=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #392220=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #392221=DIRECTION('',(0.,0.,1.)); #392222=DIRECTION('center_axis',(0.,1.,0.)); #392223=DIRECTION('ref_axis',(-1.,0.,0.)); #392224=DIRECTION('',(-1.,0.,0.)); #392225=DIRECTION('',(-1.,0.,0.)); #392226=DIRECTION('',(0.,0.,1.)); #392227=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #392228=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #392229=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #392230=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #392231=DIRECTION('',(0.,0.,1.)); #392232=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #392233=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #392234=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #392235=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #392236=DIRECTION('',(0.,0.,1.)); #392237=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #392238=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #392239=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #392240=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #392241=DIRECTION('',(0.,0.,1.)); #392242=DIRECTION('center_axis',(0.829258128536064,0.558865776601918,0.)); #392243=DIRECTION('ref_axis',(-0.558865776601918,0.829258128536064,0.)); #392244=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #392245=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #392246=DIRECTION('',(0.,0.,1.)); #392247=DIRECTION('center_axis',(0.979998112340228,0.199006783325569,0.)); #392248=DIRECTION('ref_axis',(-0.199006783325569,0.979998112340228,0.)); #392249=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #392250=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #392251=DIRECTION('',(0.,0.,1.)); #392252=DIRECTION('center_axis',(1.,0.,0.)); #392253=DIRECTION('ref_axis',(0.,1.,0.)); #392254=DIRECTION('',(0.,1.,0.)); #392255=DIRECTION('',(0.,1.,0.)); #392256=DIRECTION('',(0.,0.,1.)); #392257=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #392258=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #392259=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #392260=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #392261=DIRECTION('',(0.,0.,1.)); #392262=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #392263=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #392264=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #392265=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #392266=DIRECTION('',(0.,0.,1.)); #392267=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #392268=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #392269=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392270=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #392271=DIRECTION('',(0.,0.,1.)); #392272=DIRECTION('center_axis',(0.558865776602066,-0.829258128535964,0.)); #392273=DIRECTION('ref_axis',(0.829258128535964,0.558865776602066,0.)); #392274=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #392275=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #392276=DIRECTION('',(0.,0.,1.)); #392277=DIRECTION('center_axis',(0.199006783325383,-0.979998112340266,0.)); #392278=DIRECTION('ref_axis',(0.979998112340266,0.199006783325383,0.)); #392279=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #392280=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #392281=DIRECTION('',(0.,0.,1.)); #392282=DIRECTION('center_axis',(0.,-1.,0.)); #392283=DIRECTION('ref_axis',(1.,0.,0.)); #392284=DIRECTION('',(1.,0.,0.)); #392285=DIRECTION('',(1.,0.,0.)); #392286=DIRECTION('',(0.,0.,1.)); #392287=DIRECTION('center_axis',(0.707105793340279,-0.707107769031436,0.)); #392288=DIRECTION('ref_axis',(0.707107769031436,0.707105793340279,0.)); #392289=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #392290=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #392291=DIRECTION('',(0.,0.,1.)); #392292=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #392293=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #392294=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #392295=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #392296=DIRECTION('',(0.,0.,1.)); #392297=DIRECTION('center_axis',(0.199006783325366,-0.979998112340269,0.)); #392298=DIRECTION('ref_axis',(0.979998112340269,0.199006783325366,0.)); #392299=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #392300=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #392301=DIRECTION('',(0.,0.,1.)); #392302=DIRECTION('center_axis',(0.,-1.,0.)); #392303=DIRECTION('ref_axis',(1.,0.,0.)); #392304=DIRECTION('',(1.,0.,0.)); #392305=DIRECTION('',(1.,0.,0.)); #392306=DIRECTION('',(0.,0.,1.)); #392307=DIRECTION('center_axis',(0.70710678118667,-0.707106781186425,0.)); #392308=DIRECTION('ref_axis',(0.707106781186425,0.70710678118667,0.)); #392309=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #392310=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #392311=DIRECTION('',(0.,0.,1.)); #392312=DIRECTION('center_axis',(0.57202368617132,-0.820237101367023,0.)); #392313=DIRECTION('ref_axis',(0.820237101367023,0.57202368617132,0.)); #392314=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #392315=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #392316=DIRECTION('',(0.,0.,1.)); #392317=DIRECTION('center_axis',(0.230101081189212,-0.973166734139405,0.)); #392318=DIRECTION('ref_axis',(0.973166734139405,0.230101081189211,0.)); #392319=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #392320=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #392321=DIRECTION('',(0.,0.,1.)); #392322=DIRECTION('center_axis',(-0.167361088023315,-0.985895667003184, 0.)); #392323=DIRECTION('ref_axis',(0.985895667003184,-0.167361088023315,0.)); #392324=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #392325=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #392326=DIRECTION('',(0.,0.,1.)); #392327=DIRECTION('center_axis',(1.,0.,0.)); #392328=DIRECTION('ref_axis',(0.,1.,0.)); #392329=DIRECTION('',(0.,1.,0.)); #392330=DIRECTION('',(0.,1.,0.)); #392331=DIRECTION('',(0.,0.,1.)); #392332=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #392333=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #392334=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #392335=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #392336=DIRECTION('',(0.,0.,1.)); #392337=DIRECTION('center_axis',(0.,1.,0.)); #392338=DIRECTION('ref_axis',(-1.,0.,0.)); #392339=DIRECTION('',(-1.,0.,0.)); #392340=DIRECTION('',(-1.,0.,0.)); #392341=DIRECTION('',(0.,0.,1.)); #392342=DIRECTION('center_axis',(0.,0.,1.)); #392343=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392344=DIRECTION('center_axis',(0.,0.,1.)); #392345=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392346=DIRECTION('center_axis',(0.,0.,1.)); #392347=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #392348=DIRECTION('',(0.,0.,1.)); #392349=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #392350=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #392351=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #392352=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #392353=DIRECTION('',(0.,0.,1.)); #392354=DIRECTION('center_axis',(0.,0.,1.)); #392355=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #392356=DIRECTION('center_axis',(0.,0.,1.)); #392357=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #392358=DIRECTION('center_axis',(0.,0.,1.)); #392359=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #392360=DIRECTION('',(0.,0.,1.)); #392361=DIRECTION('center_axis',(1.,0.,0.)); #392362=DIRECTION('ref_axis',(0.,1.,0.)); #392363=DIRECTION('',(0.,1.,0.)); #392364=DIRECTION('',(0.,1.,0.)); #392365=DIRECTION('',(0.,0.,1.)); #392366=DIRECTION('center_axis',(0.,0.,1.)); #392367=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #392368=DIRECTION('center_axis',(0.,0.,1.)); #392369=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #392370=DIRECTION('center_axis',(0.,0.,1.)); #392371=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #392372=DIRECTION('center_axis',(0.,0.,1.)); #392373=DIRECTION('ref_axis',(1.,0.,0.)); #392374=DIRECTION('center_axis',(0.,0.,1.)); #392375=DIRECTION('ref_axis',(1.,0.,0.)); #392376=DIRECTION('center_axis',(0.,0.,1.)); #392377=DIRECTION('ref_axis',(1.,0.,0.)); #392378=DIRECTION('center_axis',(0.,0.,1.)); #392379=DIRECTION('ref_axis',(1.,0.,0.)); #392380=DIRECTION('',(0.,0.,1.)); #392381=DIRECTION('center_axis',(0.,0.,-1.)); #392382=DIRECTION('ref_axis',(1.,0.,0.)); #392383=DIRECTION('center_axis',(1.,0.,0.)); #392384=DIRECTION('ref_axis',(0.,1.,0.)); #392385=DIRECTION('',(0.,1.,0.)); #392386=DIRECTION('',(0.,0.,1.)); #392387=DIRECTION('',(0.,1.,0.)); #392388=DIRECTION('',(0.,0.,1.)); #392389=DIRECTION('center_axis',(0.,-1.,0.)); #392390=DIRECTION('ref_axis',(1.,0.,0.)); #392391=DIRECTION('',(1.,0.,0.)); #392392=DIRECTION('',(1.,0.,0.)); #392393=DIRECTION('',(0.,0.,1.)); #392394=DIRECTION('center_axis',(-1.,0.,0.)); #392395=DIRECTION('ref_axis',(0.,-1.,0.)); #392396=DIRECTION('',(0.,-1.,0.)); #392397=DIRECTION('',(0.,-1.,0.)); #392398=DIRECTION('',(0.,0.,1.)); #392399=DIRECTION('center_axis',(0.,1.,0.)); #392400=DIRECTION('ref_axis',(-1.,0.,0.)); #392401=DIRECTION('',(-1.,0.,0.)); #392402=DIRECTION('',(-1.,0.,0.)); #392403=DIRECTION('',(0.,0.,1.)); #392404=DIRECTION('center_axis',(-1.,0.,0.)); #392405=DIRECTION('ref_axis',(0.,-1.,0.)); #392406=DIRECTION('',(0.,-1.,0.)); #392407=DIRECTION('',(0.,-1.,0.)); #392408=DIRECTION('',(0.,0.,1.)); #392409=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392410=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392411=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392412=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392413=DIRECTION('',(0.,0.,1.)); #392414=DIRECTION('center_axis',(0.,0.,1.)); #392415=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #392416=DIRECTION('center_axis',(0.,0.,1.)); #392417=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #392418=DIRECTION('center_axis',(0.,0.,1.)); #392419=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #392420=DIRECTION('',(0.,0.,1.)); #392421=DIRECTION('center_axis',(-1.,0.,0.)); #392422=DIRECTION('ref_axis',(0.,-1.,0.)); #392423=DIRECTION('',(0.,-1.,0.)); #392424=DIRECTION('',(0.,-1.,0.)); #392425=DIRECTION('',(0.,0.,1.)); #392426=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #392427=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #392428=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392429=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #392430=DIRECTION('',(0.,0.,1.)); #392431=DIRECTION('center_axis',(0.,0.,1.)); #392432=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392433=DIRECTION('center_axis',(0.,0.,1.)); #392434=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392435=DIRECTION('center_axis',(0.,0.,1.)); #392436=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #392437=DIRECTION('',(0.,0.,1.)); #392438=DIRECTION('center_axis',(-1.,0.,0.)); #392439=DIRECTION('ref_axis',(0.,-1.,0.)); #392440=DIRECTION('',(0.,-1.,0.)); #392441=DIRECTION('',(0.,-1.,0.)); #392442=DIRECTION('',(0.,0.,1.)); #392443=DIRECTION('center_axis',(0.,0.,1.)); #392444=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #392445=DIRECTION('center_axis',(0.,0.,1.)); #392446=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #392447=DIRECTION('center_axis',(0.,0.,1.)); #392448=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #392449=DIRECTION('',(0.,0.,1.)); #392450=DIRECTION('center_axis',(0.,0.,1.)); #392451=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #392452=DIRECTION('center_axis',(0.,0.,1.)); #392453=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #392454=DIRECTION('center_axis',(0.,0.,1.)); #392455=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #392456=DIRECTION('',(0.,0.,1.)); #392457=DIRECTION('center_axis',(1.,0.,0.)); #392458=DIRECTION('ref_axis',(0.,1.,0.)); #392459=DIRECTION('',(0.,1.,0.)); #392460=DIRECTION('',(0.,1.,0.)); #392461=DIRECTION('',(0.,0.,1.)); #392462=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392463=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392464=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392465=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392466=DIRECTION('',(0.,0.,1.)); #392467=DIRECTION('center_axis',(0.,0.,1.)); #392468=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392469=DIRECTION('center_axis',(0.,0.,1.)); #392470=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392471=DIRECTION('center_axis',(0.,0.,1.)); #392472=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #392473=DIRECTION('',(0.,0.,1.)); #392474=DIRECTION('center_axis',(1.,0.,0.)); #392475=DIRECTION('ref_axis',(0.,1.,0.)); #392476=DIRECTION('',(0.,1.,0.)); #392477=DIRECTION('',(0.,1.,0.)); #392478=DIRECTION('',(0.,0.,1.)); #392479=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392480=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392481=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392482=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392483=DIRECTION('',(0.,0.,1.)); #392484=DIRECTION('center_axis',(0.,0.,1.)); #392485=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392486=DIRECTION('center_axis',(0.,0.,1.)); #392487=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392488=DIRECTION('center_axis',(0.,0.,1.)); #392489=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #392490=DIRECTION('',(0.,0.,1.)); #392491=DIRECTION('center_axis',(1.,0.,0.)); #392492=DIRECTION('ref_axis',(0.,1.,0.)); #392493=DIRECTION('',(0.,1.,0.)); #392494=DIRECTION('',(0.,1.,0.)); #392495=DIRECTION('',(0.,0.,1.)); #392496=DIRECTION('center_axis',(0.,1.,0.)); #392497=DIRECTION('ref_axis',(-1.,0.,0.)); #392498=DIRECTION('',(-1.,0.,0.)); #392499=DIRECTION('',(-1.,0.,0.)); #392500=DIRECTION('center_axis',(0.,0.,1.)); #392501=DIRECTION('ref_axis',(1.,0.,0.)); #392502=DIRECTION('center_axis',(0.,0.,1.)); #392503=DIRECTION('ref_axis',(1.,0.,0.)); #392504=DIRECTION('center_axis',(0.,0.,1.)); #392505=DIRECTION('ref_axis',(1.,0.,0.)); #392506=DIRECTION('center_axis',(0.,0.,1.)); #392507=DIRECTION('ref_axis',(1.,0.,0.)); #392508=DIRECTION('',(0.,0.,1.)); #392509=DIRECTION('center_axis',(0.,0.,-1.)); #392510=DIRECTION('ref_axis',(1.,0.,0.)); #392511=DIRECTION('center_axis',(0.,0.,1.)); #392512=DIRECTION('ref_axis',(1.,0.,0.)); #392513=DIRECTION('center_axis',(0.,0.,1.)); #392514=DIRECTION('ref_axis',(1.,0.,0.)); #392515=DIRECTION('',(0.,0.,1.)); #392516=DIRECTION('center_axis',(0.,0.,-1.)); #392517=DIRECTION('ref_axis',(1.,0.,0.)); #392518=DIRECTION('center_axis',(0.,0.,1.)); #392519=DIRECTION('ref_axis',(1.,0.,0.)); #392520=DIRECTION('center_axis',(0.,0.,1.)); #392521=DIRECTION('ref_axis',(1.,0.,0.)); #392522=DIRECTION('',(0.,0.,1.)); #392523=DIRECTION('center_axis',(0.,0.,-1.)); #392524=DIRECTION('ref_axis',(1.,0.,0.)); #392525=DIRECTION('center_axis',(0.,0.,1.)); #392526=DIRECTION('ref_axis',(1.,0.,0.)); #392527=DIRECTION('center_axis',(0.,0.,1.)); #392528=DIRECTION('ref_axis',(1.,0.,0.)); #392529=DIRECTION('',(0.,0.,1.)); #392530=DIRECTION('center_axis',(0.,0.,-1.)); #392531=DIRECTION('ref_axis',(1.,0.,0.)); #392532=DIRECTION('center_axis',(0.,0.,1.)); #392533=DIRECTION('ref_axis',(1.,0.,0.)); #392534=DIRECTION('center_axis',(0.,0.,1.)); #392535=DIRECTION('ref_axis',(1.,0.,0.)); #392536=DIRECTION('',(0.,0.,1.)); #392537=DIRECTION('center_axis',(0.,0.,-1.)); #392538=DIRECTION('ref_axis',(1.,0.,0.)); #392539=DIRECTION('center_axis',(0.,0.,1.)); #392540=DIRECTION('ref_axis',(1.,0.,0.)); #392541=DIRECTION('center_axis',(0.,0.,1.)); #392542=DIRECTION('ref_axis',(1.,0.,0.)); #392543=DIRECTION('',(0.,0.,1.)); #392544=DIRECTION('center_axis',(0.,0.,-1.)); #392545=DIRECTION('ref_axis',(1.,0.,0.)); #392546=DIRECTION('center_axis',(0.,0.,1.)); #392547=DIRECTION('ref_axis',(1.,0.,0.)); #392548=DIRECTION('center_axis',(0.,0.,1.)); #392549=DIRECTION('ref_axis',(1.,0.,0.)); #392550=DIRECTION('',(0.,0.,1.)); #392551=DIRECTION('center_axis',(0.,0.,-1.)); #392552=DIRECTION('ref_axis',(1.,0.,0.)); #392553=DIRECTION('center_axis',(0.,0.,1.)); #392554=DIRECTION('ref_axis',(1.,0.,0.)); #392555=DIRECTION('center_axis',(0.,0.,1.)); #392556=DIRECTION('ref_axis',(1.,0.,0.)); #392557=DIRECTION('',(0.,0.,1.)); #392558=DIRECTION('center_axis',(0.,0.,-1.)); #392559=DIRECTION('ref_axis',(1.,0.,0.)); #392560=DIRECTION('center_axis',(0.,0.,1.)); #392561=DIRECTION('ref_axis',(1.,0.,0.)); #392562=DIRECTION('center_axis',(0.,0.,1.)); #392563=DIRECTION('ref_axis',(1.,0.,0.)); #392564=DIRECTION('',(0.,0.,1.)); #392565=DIRECTION('center_axis',(0.,0.,-1.)); #392566=DIRECTION('ref_axis',(1.,0.,0.)); #392567=DIRECTION('center_axis',(0.,0.,1.)); #392568=DIRECTION('ref_axis',(1.,0.,0.)); #392569=DIRECTION('center_axis',(0.,0.,1.)); #392570=DIRECTION('ref_axis',(1.,0.,0.)); #392571=DIRECTION('',(0.,0.,1.)); #392572=DIRECTION('center_axis',(0.,0.,-1.)); #392573=DIRECTION('ref_axis',(1.,0.,0.)); #392574=DIRECTION('center_axis',(0.,0.,1.)); #392575=DIRECTION('ref_axis',(1.,0.,0.)); #392576=DIRECTION('center_axis',(0.,0.,1.)); #392577=DIRECTION('ref_axis',(1.,0.,0.)); #392578=DIRECTION('',(0.,0.,1.)); #392579=DIRECTION('center_axis',(0.,0.,-1.)); #392580=DIRECTION('ref_axis',(1.,0.,0.)); #392581=DIRECTION('center_axis',(0.,0.,1.)); #392582=DIRECTION('ref_axis',(1.,0.,0.)); #392583=DIRECTION('center_axis',(0.,0.,1.)); #392584=DIRECTION('ref_axis',(1.,0.,0.)); #392585=DIRECTION('',(0.,0.,1.)); #392586=DIRECTION('center_axis',(0.,0.,-1.)); #392587=DIRECTION('ref_axis',(1.,0.,0.)); #392588=DIRECTION('center_axis',(0.,0.,1.)); #392589=DIRECTION('ref_axis',(1.,0.,0.)); #392590=DIRECTION('center_axis',(0.,0.,1.)); #392591=DIRECTION('ref_axis',(1.,0.,0.)); #392592=DIRECTION('',(0.,0.,1.)); #392593=DIRECTION('center_axis',(0.,0.,-1.)); #392594=DIRECTION('ref_axis',(1.,0.,0.)); #392595=DIRECTION('center_axis',(0.,0.,1.)); #392596=DIRECTION('ref_axis',(1.,0.,0.)); #392597=DIRECTION('center_axis',(0.,0.,1.)); #392598=DIRECTION('ref_axis',(1.,0.,0.)); #392599=DIRECTION('',(0.,0.,1.)); #392600=DIRECTION('center_axis',(0.,0.,-1.)); #392601=DIRECTION('ref_axis',(1.,0.,0.)); #392602=DIRECTION('center_axis',(0.,0.,1.)); #392603=DIRECTION('ref_axis',(1.,0.,0.)); #392604=DIRECTION('center_axis',(0.,0.,1.)); #392605=DIRECTION('ref_axis',(1.,0.,0.)); #392606=DIRECTION('',(0.,0.,1.)); #392607=DIRECTION('center_axis',(0.,0.,-1.)); #392608=DIRECTION('ref_axis',(1.,0.,0.)); #392609=DIRECTION('center_axis',(0.,0.,1.)); #392610=DIRECTION('ref_axis',(1.,0.,0.)); #392611=DIRECTION('center_axis',(0.,0.,1.)); #392612=DIRECTION('ref_axis',(1.,0.,0.)); #392613=DIRECTION('',(0.,0.,1.)); #392614=DIRECTION('center_axis',(0.,0.,-1.)); #392615=DIRECTION('ref_axis',(1.,0.,0.)); #392616=DIRECTION('center_axis',(0.,0.,1.)); #392617=DIRECTION('ref_axis',(1.,0.,0.)); #392618=DIRECTION('center_axis',(0.,0.,1.)); #392619=DIRECTION('ref_axis',(1.,0.,0.)); #392620=DIRECTION('',(0.,0.,1.)); #392621=DIRECTION('center_axis',(0.,0.,-1.)); #392622=DIRECTION('ref_axis',(1.,0.,0.)); #392623=DIRECTION('center_axis',(0.,0.,1.)); #392624=DIRECTION('ref_axis',(1.,0.,0.)); #392625=DIRECTION('center_axis',(0.,0.,1.)); #392626=DIRECTION('ref_axis',(1.,0.,0.)); #392627=DIRECTION('',(0.,0.,1.)); #392628=DIRECTION('center_axis',(0.,0.,-1.)); #392629=DIRECTION('ref_axis',(1.,0.,0.)); #392630=DIRECTION('center_axis',(0.,0.,1.)); #392631=DIRECTION('ref_axis',(1.,0.,0.)); #392632=DIRECTION('center_axis',(0.,0.,1.)); #392633=DIRECTION('ref_axis',(1.,0.,0.)); #392634=DIRECTION('',(0.,0.,1.)); #392635=DIRECTION('center_axis',(0.,0.,-1.)); #392636=DIRECTION('ref_axis',(1.,0.,0.)); #392637=DIRECTION('center_axis',(0.,0.,1.)); #392638=DIRECTION('ref_axis',(1.,0.,0.)); #392639=DIRECTION('center_axis',(0.,0.,1.)); #392640=DIRECTION('ref_axis',(1.,0.,0.)); #392641=DIRECTION('',(0.,0.,1.)); #392642=DIRECTION('center_axis',(0.,0.,-1.)); #392643=DIRECTION('ref_axis',(1.,0.,0.)); #392644=DIRECTION('center_axis',(0.,0.,1.)); #392645=DIRECTION('ref_axis',(1.,0.,0.)); #392646=DIRECTION('center_axis',(0.,0.,1.)); #392647=DIRECTION('ref_axis',(1.,0.,0.)); #392648=DIRECTION('',(0.,0.,1.)); #392649=DIRECTION('center_axis',(0.,0.,-1.)); #392650=DIRECTION('ref_axis',(1.,0.,0.)); #392651=DIRECTION('center_axis',(0.,0.,1.)); #392652=DIRECTION('ref_axis',(1.,0.,0.)); #392653=DIRECTION('center_axis',(0.,0.,1.)); #392654=DIRECTION('ref_axis',(1.,0.,0.)); #392655=DIRECTION('',(0.,0.,1.)); #392656=DIRECTION('center_axis',(0.,0.,-1.)); #392657=DIRECTION('ref_axis',(1.,0.,0.)); #392658=DIRECTION('center_axis',(0.,0.,1.)); #392659=DIRECTION('ref_axis',(1.,0.,0.)); #392660=DIRECTION('center_axis',(0.,0.,1.)); #392661=DIRECTION('ref_axis',(1.,0.,0.)); #392662=DIRECTION('',(0.,0.,1.)); #392663=DIRECTION('center_axis',(0.,0.,-1.)); #392664=DIRECTION('ref_axis',(1.,0.,0.)); #392665=DIRECTION('center_axis',(0.,0.,1.)); #392666=DIRECTION('ref_axis',(1.,0.,0.)); #392667=DIRECTION('center_axis',(0.,0.,1.)); #392668=DIRECTION('ref_axis',(1.,0.,0.)); #392669=DIRECTION('',(0.,0.,1.)); #392670=DIRECTION('center_axis',(0.,0.,-1.)); #392671=DIRECTION('ref_axis',(1.,0.,0.)); #392672=DIRECTION('center_axis',(0.,0.,1.)); #392673=DIRECTION('ref_axis',(1.,0.,0.)); #392674=DIRECTION('center_axis',(0.,0.,1.)); #392675=DIRECTION('ref_axis',(1.,0.,0.)); #392676=DIRECTION('',(0.,0.,1.)); #392677=DIRECTION('center_axis',(0.,0.,-1.)); #392678=DIRECTION('ref_axis',(1.,0.,0.)); #392679=DIRECTION('center_axis',(0.,0.,1.)); #392680=DIRECTION('ref_axis',(1.,0.,0.)); #392681=DIRECTION('center_axis',(0.,0.,1.)); #392682=DIRECTION('ref_axis',(1.,0.,0.)); #392683=DIRECTION('',(0.,0.,1.)); #392684=DIRECTION('center_axis',(0.,0.,-1.)); #392685=DIRECTION('ref_axis',(1.,0.,0.)); #392686=DIRECTION('center_axis',(0.,0.,1.)); #392687=DIRECTION('ref_axis',(1.,0.,0.)); #392688=DIRECTION('center_axis',(0.,0.,1.)); #392689=DIRECTION('ref_axis',(1.,0.,0.)); #392690=DIRECTION('',(0.,0.,1.)); #392691=DIRECTION('center_axis',(0.,0.,-1.)); #392692=DIRECTION('ref_axis',(1.,0.,0.)); #392693=DIRECTION('center_axis',(0.,0.,1.)); #392694=DIRECTION('ref_axis',(1.,0.,0.)); #392695=DIRECTION('center_axis',(0.,0.,1.)); #392696=DIRECTION('ref_axis',(1.,0.,0.)); #392697=DIRECTION('',(0.,0.,1.)); #392698=DIRECTION('center_axis',(0.,0.,-1.)); #392699=DIRECTION('ref_axis',(1.,0.,0.)); #392700=DIRECTION('center_axis',(0.,0.,1.)); #392701=DIRECTION('ref_axis',(1.,0.,0.)); #392702=DIRECTION('center_axis',(0.,0.,1.)); #392703=DIRECTION('ref_axis',(1.,0.,0.)); #392704=DIRECTION('',(0.,0.,1.)); #392705=DIRECTION('center_axis',(0.,0.,-1.)); #392706=DIRECTION('ref_axis',(1.,0.,0.)); #392707=DIRECTION('center_axis',(0.,0.,1.)); #392708=DIRECTION('ref_axis',(1.,0.,0.)); #392709=DIRECTION('center_axis',(0.,0.,1.)); #392710=DIRECTION('ref_axis',(1.,0.,0.)); #392711=DIRECTION('',(0.,0.,1.)); #392712=DIRECTION('center_axis',(0.,0.,-1.)); #392713=DIRECTION('ref_axis',(1.,0.,0.)); #392714=DIRECTION('center_axis',(0.,0.,1.)); #392715=DIRECTION('ref_axis',(1.,0.,0.)); #392716=DIRECTION('center_axis',(0.,0.,1.)); #392717=DIRECTION('ref_axis',(1.,0.,0.)); #392718=DIRECTION('',(0.,0.,1.)); #392719=DIRECTION('center_axis',(0.,0.,-1.)); #392720=DIRECTION('ref_axis',(1.,0.,0.)); #392721=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #392722=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #392723=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392724=DIRECTION('',(0.,0.,1.)); #392725=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #392726=DIRECTION('',(0.,0.,1.)); #392727=DIRECTION('center_axis',(0.,0.,1.)); #392728=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #392729=DIRECTION('center_axis',(0.,0.,1.)); #392730=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #392731=DIRECTION('center_axis',(0.,0.,1.)); #392732=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #392733=DIRECTION('',(0.,0.,1.)); #392734=DIRECTION('center_axis',(-1.,0.,0.)); #392735=DIRECTION('ref_axis',(0.,-1.,0.)); #392736=DIRECTION('',(0.,-1.,0.)); #392737=DIRECTION('',(0.,-1.,0.)); #392738=DIRECTION('',(0.,0.,1.)); #392739=DIRECTION('center_axis',(0.,0.,1.)); #392740=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #392741=DIRECTION('center_axis',(0.,0.,1.)); #392742=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #392743=DIRECTION('center_axis',(0.,0.,1.)); #392744=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #392745=DIRECTION('',(0.,0.,1.)); #392746=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392747=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392748=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #392749=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #392750=DIRECTION('',(0.,0.,1.)); #392751=DIRECTION('center_axis',(0.,0.,1.)); #392752=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #392753=DIRECTION('center_axis',(0.,0.,1.)); #392754=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #392755=DIRECTION('center_axis',(0.,0.,1.)); #392756=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #392757=DIRECTION('',(0.,0.,1.)); #392758=DIRECTION('center_axis',(0.,1.,0.)); #392759=DIRECTION('ref_axis',(-1.,0.,0.)); #392760=DIRECTION('',(-1.,0.,0.)); #392761=DIRECTION('',(-1.,0.,0.)); #392762=DIRECTION('',(0.,0.,1.)); #392763=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392764=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392765=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392766=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392767=DIRECTION('',(0.,0.,1.)); #392768=DIRECTION('center_axis',(-1.,0.,0.)); #392769=DIRECTION('ref_axis',(0.,-1.,0.)); #392770=DIRECTION('',(0.,-1.,0.)); #392771=DIRECTION('',(0.,-1.,0.)); #392772=DIRECTION('',(0.,0.,1.)); #392773=DIRECTION('center_axis',(-0.183379387167296,-0.983042216978573, 0.)); #392774=DIRECTION('ref_axis',(0.983042216978573,-0.183379387167296,0.)); #392775=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #392776=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #392777=DIRECTION('',(0.,0.,1.)); #392778=DIRECTION('center_axis',(-0.552204950198475,-0.833708398048322, 0.)); #392779=DIRECTION('ref_axis',(0.833708398048322,-0.552204950198475,0.)); #392780=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #392781=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #392782=DIRECTION('',(0.,0.,1.)); #392783=DIRECTION('center_axis',(-0.70710678118667,-0.707106781186425,0.)); #392784=DIRECTION('ref_axis',(0.707106781186425,-0.70710678118667,0.)); #392785=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #392786=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #392787=DIRECTION('',(0.,0.,1.)); #392788=DIRECTION('center_axis',(0.,-1.,0.)); #392789=DIRECTION('ref_axis',(1.,0.,0.)); #392790=DIRECTION('',(1.,0.,0.)); #392791=DIRECTION('',(1.,0.,0.)); #392792=DIRECTION('',(0.,0.,1.)); #392793=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #392794=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #392795=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #392796=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #392797=DIRECTION('',(0.,0.,1.)); #392798=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #392799=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #392800=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #392801=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #392802=DIRECTION('',(0.,0.,1.)); #392803=DIRECTION('center_axis',(-0.707105793340283,-0.707107769031432, 0.)); #392804=DIRECTION('ref_axis',(0.707107769031432,-0.707105793340283,0.)); #392805=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #392806=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #392807=DIRECTION('',(0.,0.,1.)); #392808=DIRECTION('center_axis',(0.,-1.,0.)); #392809=DIRECTION('ref_axis',(1.,0.,0.)); #392810=DIRECTION('',(1.,0.,0.)); #392811=DIRECTION('',(1.,0.,0.)); #392812=DIRECTION('',(0.,0.,1.)); #392813=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #392814=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #392815=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #392816=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #392817=DIRECTION('',(0.,0.,1.)); #392818=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #392819=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #392820=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #392821=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #392822=DIRECTION('',(0.,0.,1.)); #392823=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #392824=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #392825=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392826=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #392827=DIRECTION('',(0.,0.,1.)); #392828=DIRECTION('center_axis',(-0.829258128536049,-0.55886577660194,0.)); #392829=DIRECTION('ref_axis',(0.55886577660194,-0.829258128536049,0.)); #392830=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #392831=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #392832=DIRECTION('',(0.,0.,1.)); #392833=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #392834=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #392835=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #392836=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #392837=DIRECTION('',(0.,0.,1.)); #392838=DIRECTION('center_axis',(-1.,0.,0.)); #392839=DIRECTION('ref_axis',(0.,-1.,0.)); #392840=DIRECTION('',(0.,-1.,0.)); #392841=DIRECTION('',(0.,-1.,0.)); #392842=DIRECTION('',(0.,0.,1.)); #392843=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #392844=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #392845=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #392846=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #392847=DIRECTION('',(0.,0.,1.)); #392848=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #392849=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #392850=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #392851=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #392852=DIRECTION('',(0.,0.,1.)); #392853=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392854=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392855=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #392856=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #392857=DIRECTION('',(0.,0.,1.)); #392858=DIRECTION('center_axis',(-0.558865776601981,0.829258128536022,0.)); #392859=DIRECTION('ref_axis',(-0.829258128536021,-0.558865776601981,0.)); #392860=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #392861=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #392862=DIRECTION('',(0.,0.,1.)); #392863=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #392864=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #392865=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #392866=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #392867=DIRECTION('',(0.,0.,1.)); #392868=DIRECTION('center_axis',(0.,1.,0.)); #392869=DIRECTION('ref_axis',(-1.,0.,0.)); #392870=DIRECTION('',(-1.,0.,0.)); #392871=DIRECTION('',(-1.,0.,0.)); #392872=DIRECTION('',(0.,0.,1.)); #392873=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #392874=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #392875=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #392876=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #392877=DIRECTION('',(0.,0.,1.)); #392878=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #392879=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #392880=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #392881=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #392882=DIRECTION('',(0.,0.,1.)); #392883=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392884=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392885=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392886=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #392887=DIRECTION('',(0.,0.,1.)); #392888=DIRECTION('center_axis',(0.,1.,0.)); #392889=DIRECTION('ref_axis',(-1.,0.,0.)); #392890=DIRECTION('',(-1.,0.,0.)); #392891=DIRECTION('',(-1.,0.,0.)); #392892=DIRECTION('',(0.,0.,1.)); #392893=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #392894=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #392895=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392896=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #392897=DIRECTION('',(0.,0.,1.)); #392898=DIRECTION('center_axis',(-1.,0.,0.)); #392899=DIRECTION('ref_axis',(0.,-1.,0.)); #392900=DIRECTION('',(0.,-1.,0.)); #392901=DIRECTION('',(0.,-1.,0.)); #392902=DIRECTION('',(0.,0.,1.)); #392903=DIRECTION('center_axis',(-0.967598887509711,0.252492362042832,0.)); #392904=DIRECTION('ref_axis',(-0.252492362042832,-0.967598887509711,0.)); #392905=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #392906=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #392907=DIRECTION('',(0.,0.,1.)); #392908=DIRECTION('center_axis',(-0.757857751583445,0.652419825238998,0.)); #392909=DIRECTION('ref_axis',(-0.652419825238998,-0.757857751583445,0.)); #392910=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #392911=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #392912=DIRECTION('',(0.,0.,1.)); #392913=DIRECTION('center_axis',(-0.706504732320894,-0.707708317888226, 0.)); #392914=DIRECTION('ref_axis',(0.707708317888226,-0.706504732320894,0.)); #392915=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #392916=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #392917=DIRECTION('',(0.,0.,1.)); #392918=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #392919=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #392920=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #392921=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #392922=DIRECTION('',(0.,0.,1.)); #392923=DIRECTION('center_axis',(-0.558856232805025,0.829264560351506,0.)); #392924=DIRECTION('ref_axis',(-0.829264560351506,-0.558856232805025,0.)); #392925=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #392926=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #392927=DIRECTION('',(0.,0.,1.)); #392928=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #392929=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #392930=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #392931=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #392932=DIRECTION('',(0.,0.,1.)); #392933=DIRECTION('center_axis',(0.,1.,0.)); #392934=DIRECTION('ref_axis',(-1.,0.,0.)); #392935=DIRECTION('',(-1.,0.,0.)); #392936=DIRECTION('',(-1.,0.,0.)); #392937=DIRECTION('',(0.,0.,1.)); #392938=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #392939=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #392940=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #392941=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #392942=DIRECTION('',(0.,0.,1.)); #392943=DIRECTION('center_axis',(0.538928498327957,0.842351514327583,0.)); #392944=DIRECTION('ref_axis',(-0.842351514327584,0.538928498327957,0.)); #392945=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #392946=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #392947=DIRECTION('',(0.,0.,1.)); #392948=DIRECTION('center_axis',(0.824766287441752,0.565473758099833,0.)); #392949=DIRECTION('ref_axis',(-0.565473758099833,0.824766287441752,0.)); #392950=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #392951=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #392952=DIRECTION('',(0.,0.,1.)); #392953=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #392954=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #392955=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #392956=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #392957=DIRECTION('',(0.,0.,1.)); #392958=DIRECTION('center_axis',(1.,0.,0.)); #392959=DIRECTION('ref_axis',(0.,1.,0.)); #392960=DIRECTION('',(0.,1.,0.)); #392961=DIRECTION('',(0.,1.,0.)); #392962=DIRECTION('',(0.,0.,1.)); #392963=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #392964=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #392965=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392966=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #392967=DIRECTION('',(0.,0.,1.)); #392968=DIRECTION('center_axis',(0.,1.,0.)); #392969=DIRECTION('ref_axis',(-1.,0.,0.)); #392970=DIRECTION('',(-1.,0.,0.)); #392971=DIRECTION('',(-1.,0.,0.)); #392972=DIRECTION('',(0.,0.,1.)); #392973=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #392974=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #392975=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #392976=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #392977=DIRECTION('',(0.,0.,1.)); #392978=DIRECTION('center_axis',(-1.,0.,0.)); #392979=DIRECTION('ref_axis',(0.,-1.,0.)); #392980=DIRECTION('',(0.,-1.,0.)); #392981=DIRECTION('',(0.,-1.,0.)); #392982=DIRECTION('',(0.,0.,1.)); #392983=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #392984=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #392985=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #392986=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #392987=DIRECTION('',(0.,0.,1.)); #392988=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #392989=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #392990=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #392991=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #392992=DIRECTION('',(0.,0.,1.)); #392993=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #392994=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #392995=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #392996=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #392997=DIRECTION('',(0.,0.,1.)); #392998=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #392999=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #393000=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #393001=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #393002=DIRECTION('',(0.,0.,1.)); #393003=DIRECTION('center_axis',(0.,1.,0.)); #393004=DIRECTION('ref_axis',(-1.,0.,0.)); #393005=DIRECTION('',(-1.,0.,0.)); #393006=DIRECTION('',(-1.,0.,0.)); #393007=DIRECTION('',(0.,0.,1.)); #393008=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #393009=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #393010=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #393011=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #393012=DIRECTION('',(0.,0.,1.)); #393013=DIRECTION('center_axis',(0.552227809242766,0.833693256959018,0.)); #393014=DIRECTION('ref_axis',(-0.833693256959018,0.552227809242766,0.)); #393015=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #393016=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #393017=DIRECTION('',(0.,0.,1.)); #393018=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393019=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393020=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393021=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393022=DIRECTION('',(0.,0.,1.)); #393023=DIRECTION('center_axis',(0.829258128536049,0.55886577660194,0.)); #393024=DIRECTION('ref_axis',(-0.55886577660194,0.829258128536049,0.)); #393025=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #393026=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #393027=DIRECTION('',(0.,0.,1.)); #393028=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #393029=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #393030=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #393031=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #393032=DIRECTION('',(0.,0.,1.)); #393033=DIRECTION('center_axis',(1.,0.,0.)); #393034=DIRECTION('ref_axis',(0.,1.,0.)); #393035=DIRECTION('',(0.,1.,0.)); #393036=DIRECTION('',(0.,1.,0.)); #393037=DIRECTION('',(0.,0.,1.)); #393038=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #393039=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #393040=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #393041=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #393042=DIRECTION('',(0.,0.,1.)); #393043=DIRECTION('center_axis',(0.833693256959072,-0.552227809242685,0.)); #393044=DIRECTION('ref_axis',(0.552227809242685,0.833693256959072,0.)); #393045=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #393046=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #393047=DIRECTION('',(0.,0.,1.)); #393048=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393049=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393050=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393051=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393052=DIRECTION('',(0.,0.,1.)); #393053=DIRECTION('center_axis',(0.558865776601855,-0.829258128536106,0.)); #393054=DIRECTION('ref_axis',(0.829258128536106,0.558865776601855,0.)); #393055=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #393056=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #393057=DIRECTION('',(0.,0.,1.)); #393058=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #393059=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #393060=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #393061=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #393062=DIRECTION('',(0.,0.,1.)); #393063=DIRECTION('center_axis',(0.,-1.,0.)); #393064=DIRECTION('ref_axis',(1.,0.,0.)); #393065=DIRECTION('',(1.,0.,0.)); #393066=DIRECTION('',(1.,0.,0.)); #393067=DIRECTION('',(0.,0.,1.)); #393068=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #393069=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #393070=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #393071=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #393072=DIRECTION('',(0.,0.,1.)); #393073=DIRECTION('center_axis',(1.,0.,0.)); #393074=DIRECTION('ref_axis',(0.,1.,0.)); #393075=DIRECTION('',(0.,1.,0.)); #393076=DIRECTION('',(0.,1.,0.)); #393077=DIRECTION('',(0.,0.,1.)); #393078=DIRECTION('center_axis',(0.981552099144164,-0.191194865688612,0.)); #393079=DIRECTION('ref_axis',(0.191194865688612,0.981552099144164,0.)); #393080=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #393081=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #393082=DIRECTION('',(0.,0.,1.)); #393083=DIRECTION('center_axis',(0.833692717809995,-0.552228623190236,0.)); #393084=DIRECTION('ref_axis',(0.552228623190236,0.833692717809995,0.)); #393085=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #393086=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #393087=DIRECTION('',(0.,0.,1.)); #393088=DIRECTION('center_axis',(1.,0.,0.)); #393089=DIRECTION('ref_axis',(0.,1.,0.)); #393090=DIRECTION('',(0.,1.,0.)); #393091=DIRECTION('',(0.,1.,0.)); #393092=DIRECTION('',(0.,0.,1.)); #393093=DIRECTION('center_axis',(0.,0.,1.)); #393094=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #393095=DIRECTION('center_axis',(0.,0.,1.)); #393096=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #393097=DIRECTION('center_axis',(0.,0.,1.)); #393098=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #393099=DIRECTION('',(0.,0.,1.)); #393100=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #393101=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #393102=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #393103=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #393104=DIRECTION('',(0.,0.,1.)); #393105=DIRECTION('center_axis',(0.,0.,1.)); #393106=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393107=DIRECTION('center_axis',(0.,0.,1.)); #393108=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393109=DIRECTION('center_axis',(0.,0.,1.)); #393110=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393111=DIRECTION('',(0.,0.,1.)); #393112=DIRECTION('center_axis',(0.,-1.,0.)); #393113=DIRECTION('ref_axis',(1.,0.,0.)); #393114=DIRECTION('',(1.,0.,0.)); #393115=DIRECTION('',(1.,0.,0.)); #393116=DIRECTION('',(0.,0.,1.)); #393117=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #393118=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #393119=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393120=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #393121=DIRECTION('',(0.,0.,1.)); #393122=DIRECTION('center_axis',(1.,0.,0.)); #393123=DIRECTION('ref_axis',(0.,1.,0.)); #393124=DIRECTION('',(0.,1.,0.)); #393125=DIRECTION('',(0.,1.,0.)); #393126=DIRECTION('',(0.,0.,1.)); #393127=DIRECTION('center_axis',(0.,0.,1.)); #393128=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #393129=DIRECTION('center_axis',(0.,0.,1.)); #393130=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #393131=DIRECTION('center_axis',(0.,0.,1.)); #393132=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #393133=DIRECTION('center_axis',(0.,0.,1.)); #393134=DIRECTION('ref_axis',(1.,0.,0.)); #393135=DIRECTION('center_axis',(0.,0.,1.)); #393136=DIRECTION('ref_axis',(1.,0.,0.)); #393137=DIRECTION('center_axis',(0.,0.,1.)); #393138=DIRECTION('ref_axis',(1.,0.,0.)); #393139=DIRECTION('center_axis',(0.,0.,1.)); #393140=DIRECTION('ref_axis',(1.,0.,0.)); #393141=DIRECTION('',(0.,0.,1.)); #393142=DIRECTION('center_axis',(0.,0.,-1.)); #393143=DIRECTION('ref_axis',(1.,0.,0.)); #393144=DIRECTION('center_axis',(1.,0.,0.)); #393145=DIRECTION('ref_axis',(0.,1.,0.)); #393146=DIRECTION('',(0.,1.,0.)); #393147=DIRECTION('',(0.,0.,1.)); #393148=DIRECTION('',(0.,1.,0.)); #393149=DIRECTION('',(0.,0.,1.)); #393150=DIRECTION('center_axis',(0.,-1.,0.)); #393151=DIRECTION('ref_axis',(1.,0.,0.)); #393152=DIRECTION('',(1.,0.,0.)); #393153=DIRECTION('',(1.,0.,0.)); #393154=DIRECTION('',(0.,0.,1.)); #393155=DIRECTION('center_axis',(-1.,0.,0.)); #393156=DIRECTION('ref_axis',(0.,-1.,0.)); #393157=DIRECTION('',(0.,-1.,0.)); #393158=DIRECTION('',(0.,-1.,0.)); #393159=DIRECTION('',(0.,0.,1.)); #393160=DIRECTION('center_axis',(0.,1.,0.)); #393161=DIRECTION('ref_axis',(-1.,0.,0.)); #393162=DIRECTION('',(-1.,0.,0.)); #393163=DIRECTION('',(-1.,0.,0.)); #393164=DIRECTION('',(0.,0.,1.)); #393165=DIRECTION('center_axis',(-1.,0.,0.)); #393166=DIRECTION('ref_axis',(0.,-1.,0.)); #393167=DIRECTION('',(0.,-1.,0.)); #393168=DIRECTION('',(0.,-1.,0.)); #393169=DIRECTION('',(0.,0.,1.)); #393170=DIRECTION('center_axis',(0.,0.,1.)); #393171=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393172=DIRECTION('center_axis',(0.,0.,1.)); #393173=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393174=DIRECTION('center_axis',(0.,0.,1.)); #393175=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393176=DIRECTION('',(0.,0.,1.)); #393177=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #393178=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #393179=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #393180=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #393181=DIRECTION('',(0.,0.,1.)); #393182=DIRECTION('center_axis',(-1.,0.,0.)); #393183=DIRECTION('ref_axis',(0.,-1.,0.)); #393184=DIRECTION('',(0.,-1.,0.)); #393185=DIRECTION('',(0.,-1.,0.)); #393186=DIRECTION('',(0.,0.,1.)); #393187=DIRECTION('center_axis',(0.,0.,1.)); #393188=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393189=DIRECTION('center_axis',(0.,0.,1.)); #393190=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393191=DIRECTION('center_axis',(0.,0.,1.)); #393192=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #393193=DIRECTION('',(0.,0.,1.)); #393194=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393195=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393196=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #393197=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #393198=DIRECTION('',(0.,0.,1.)); #393199=DIRECTION('center_axis',(-1.,0.,0.)); #393200=DIRECTION('ref_axis',(0.,-1.,0.)); #393201=DIRECTION('',(0.,-1.,0.)); #393202=DIRECTION('',(0.,-1.,0.)); #393203=DIRECTION('',(0.,0.,1.)); #393204=DIRECTION('center_axis',(0.,0.,1.)); #393205=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #393206=DIRECTION('center_axis',(0.,0.,1.)); #393207=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #393208=DIRECTION('center_axis',(0.,0.,1.)); #393209=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #393210=DIRECTION('',(0.,0.,1.)); #393211=DIRECTION('center_axis',(0.,0.,1.)); #393212=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #393213=DIRECTION('center_axis',(0.,0.,1.)); #393214=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #393215=DIRECTION('center_axis',(0.,0.,1.)); #393216=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #393217=DIRECTION('',(0.,0.,1.)); #393218=DIRECTION('center_axis',(1.,0.,0.)); #393219=DIRECTION('ref_axis',(0.,1.,0.)); #393220=DIRECTION('',(0.,1.,0.)); #393221=DIRECTION('',(0.,1.,0.)); #393222=DIRECTION('',(0.,0.,1.)); #393223=DIRECTION('center_axis',(0.,0.,1.)); #393224=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #393225=DIRECTION('center_axis',(0.,0.,1.)); #393226=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #393227=DIRECTION('center_axis',(0.,0.,1.)); #393228=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #393229=DIRECTION('',(0.,0.,1.)); #393230=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393231=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393232=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393233=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393234=DIRECTION('',(0.,0.,1.)); #393235=DIRECTION('center_axis',(1.,0.,0.)); #393236=DIRECTION('ref_axis',(0.,1.,0.)); #393237=DIRECTION('',(0.,1.,0.)); #393238=DIRECTION('',(0.,1.,0.)); #393239=DIRECTION('',(0.,0.,1.)); #393240=DIRECTION('center_axis',(0.,0.,1.)); #393241=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #393242=DIRECTION('center_axis',(0.,0.,1.)); #393243=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #393244=DIRECTION('center_axis',(0.,0.,1.)); #393245=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #393246=DIRECTION('',(0.,0.,1.)); #393247=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #393248=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #393249=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #393250=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #393251=DIRECTION('',(0.,0.,1.)); #393252=DIRECTION('center_axis',(1.,0.,0.)); #393253=DIRECTION('ref_axis',(0.,1.,0.)); #393254=DIRECTION('',(0.,1.,0.)); #393255=DIRECTION('',(0.,1.,0.)); #393256=DIRECTION('',(0.,0.,1.)); #393257=DIRECTION('center_axis',(0.,1.,0.)); #393258=DIRECTION('ref_axis',(-1.,0.,0.)); #393259=DIRECTION('',(-1.,0.,0.)); #393260=DIRECTION('',(-1.,0.,0.)); #393261=DIRECTION('center_axis',(0.,0.,1.)); #393262=DIRECTION('ref_axis',(1.,0.,0.)); #393263=DIRECTION('center_axis',(0.,0.,1.)); #393264=DIRECTION('ref_axis',(1.,0.,0.)); #393265=DIRECTION('center_axis',(0.,0.,1.)); #393266=DIRECTION('ref_axis',(1.,0.,0.)); #393267=DIRECTION('center_axis',(0.,0.,1.)); #393268=DIRECTION('ref_axis',(1.,0.,0.)); #393269=DIRECTION('',(0.,0.,1.)); #393270=DIRECTION('center_axis',(0.,0.,-1.)); #393271=DIRECTION('ref_axis',(1.,0.,0.)); #393272=DIRECTION('center_axis',(0.,0.,1.)); #393273=DIRECTION('ref_axis',(1.,0.,0.)); #393274=DIRECTION('center_axis',(0.,0.,1.)); #393275=DIRECTION('ref_axis',(1.,0.,0.)); #393276=DIRECTION('',(0.,0.,1.)); #393277=DIRECTION('center_axis',(0.,0.,-1.)); #393278=DIRECTION('ref_axis',(1.,0.,0.)); #393279=DIRECTION('center_axis',(0.,0.,1.)); #393280=DIRECTION('ref_axis',(1.,0.,0.)); #393281=DIRECTION('center_axis',(0.,0.,1.)); #393282=DIRECTION('ref_axis',(1.,0.,0.)); #393283=DIRECTION('',(0.,0.,1.)); #393284=DIRECTION('center_axis',(0.,0.,-1.)); #393285=DIRECTION('ref_axis',(1.,0.,0.)); #393286=DIRECTION('center_axis',(0.,0.,1.)); #393287=DIRECTION('ref_axis',(1.,0.,0.)); #393288=DIRECTION('center_axis',(0.,0.,1.)); #393289=DIRECTION('ref_axis',(1.,0.,0.)); #393290=DIRECTION('',(0.,0.,1.)); #393291=DIRECTION('center_axis',(0.,0.,-1.)); #393292=DIRECTION('ref_axis',(1.,0.,0.)); #393293=DIRECTION('center_axis',(0.,0.,1.)); #393294=DIRECTION('ref_axis',(1.,0.,0.)); #393295=DIRECTION('center_axis',(0.,0.,1.)); #393296=DIRECTION('ref_axis',(1.,0.,0.)); #393297=DIRECTION('',(0.,0.,1.)); #393298=DIRECTION('center_axis',(0.,0.,-1.)); #393299=DIRECTION('ref_axis',(1.,0.,0.)); #393300=DIRECTION('center_axis',(0.,0.,1.)); #393301=DIRECTION('ref_axis',(1.,0.,0.)); #393302=DIRECTION('center_axis',(0.,0.,1.)); #393303=DIRECTION('ref_axis',(1.,0.,0.)); #393304=DIRECTION('',(0.,0.,1.)); #393305=DIRECTION('center_axis',(0.,0.,-1.)); #393306=DIRECTION('ref_axis',(1.,0.,0.)); #393307=DIRECTION('center_axis',(0.,0.,1.)); #393308=DIRECTION('ref_axis',(1.,0.,0.)); #393309=DIRECTION('center_axis',(0.,0.,1.)); #393310=DIRECTION('ref_axis',(1.,0.,0.)); #393311=DIRECTION('',(0.,0.,1.)); #393312=DIRECTION('center_axis',(0.,0.,-1.)); #393313=DIRECTION('ref_axis',(1.,0.,0.)); #393314=DIRECTION('center_axis',(0.,0.,1.)); #393315=DIRECTION('ref_axis',(1.,0.,0.)); #393316=DIRECTION('center_axis',(0.,0.,1.)); #393317=DIRECTION('ref_axis',(1.,0.,0.)); #393318=DIRECTION('',(0.,0.,1.)); #393319=DIRECTION('center_axis',(0.,0.,-1.)); #393320=DIRECTION('ref_axis',(1.,0.,0.)); #393321=DIRECTION('center_axis',(0.,0.,1.)); #393322=DIRECTION('ref_axis',(1.,0.,0.)); #393323=DIRECTION('center_axis',(0.,0.,1.)); #393324=DIRECTION('ref_axis',(1.,0.,0.)); #393325=DIRECTION('',(0.,0.,1.)); #393326=DIRECTION('center_axis',(0.,0.,-1.)); #393327=DIRECTION('ref_axis',(1.,0.,0.)); #393328=DIRECTION('center_axis',(0.,0.,1.)); #393329=DIRECTION('ref_axis',(1.,0.,0.)); #393330=DIRECTION('center_axis',(0.,0.,1.)); #393331=DIRECTION('ref_axis',(1.,0.,0.)); #393332=DIRECTION('',(0.,0.,1.)); #393333=DIRECTION('center_axis',(0.,0.,-1.)); #393334=DIRECTION('ref_axis',(1.,0.,0.)); #393335=DIRECTION('center_axis',(0.,0.,1.)); #393336=DIRECTION('ref_axis',(1.,0.,0.)); #393337=DIRECTION('center_axis',(0.,0.,1.)); #393338=DIRECTION('ref_axis',(1.,0.,0.)); #393339=DIRECTION('',(0.,0.,1.)); #393340=DIRECTION('center_axis',(0.,0.,-1.)); #393341=DIRECTION('ref_axis',(1.,0.,0.)); #393342=DIRECTION('center_axis',(0.,0.,1.)); #393343=DIRECTION('ref_axis',(1.,0.,0.)); #393344=DIRECTION('center_axis',(0.,0.,1.)); #393345=DIRECTION('ref_axis',(1.,0.,0.)); #393346=DIRECTION('',(0.,0.,1.)); #393347=DIRECTION('center_axis',(0.,0.,-1.)); #393348=DIRECTION('ref_axis',(1.,0.,0.)); #393349=DIRECTION('center_axis',(0.,0.,1.)); #393350=DIRECTION('ref_axis',(1.,0.,0.)); #393351=DIRECTION('center_axis',(0.,0.,1.)); #393352=DIRECTION('ref_axis',(1.,0.,0.)); #393353=DIRECTION('',(0.,0.,1.)); #393354=DIRECTION('center_axis',(0.,0.,-1.)); #393355=DIRECTION('ref_axis',(1.,0.,0.)); #393356=DIRECTION('center_axis',(0.,0.,1.)); #393357=DIRECTION('ref_axis',(1.,0.,0.)); #393358=DIRECTION('center_axis',(0.,0.,1.)); #393359=DIRECTION('ref_axis',(1.,0.,0.)); #393360=DIRECTION('',(0.,0.,1.)); #393361=DIRECTION('center_axis',(0.,0.,-1.)); #393362=DIRECTION('ref_axis',(1.,0.,0.)); #393363=DIRECTION('center_axis',(0.,0.,1.)); #393364=DIRECTION('ref_axis',(1.,0.,0.)); #393365=DIRECTION('center_axis',(0.,0.,1.)); #393366=DIRECTION('ref_axis',(1.,0.,0.)); #393367=DIRECTION('',(0.,0.,1.)); #393368=DIRECTION('center_axis',(0.,0.,-1.)); #393369=DIRECTION('ref_axis',(1.,0.,0.)); #393370=DIRECTION('center_axis',(0.,0.,1.)); #393371=DIRECTION('ref_axis',(1.,0.,0.)); #393372=DIRECTION('center_axis',(0.,0.,1.)); #393373=DIRECTION('ref_axis',(1.,0.,0.)); #393374=DIRECTION('',(0.,0.,1.)); #393375=DIRECTION('center_axis',(0.,0.,-1.)); #393376=DIRECTION('ref_axis',(1.,0.,0.)); #393377=DIRECTION('center_axis',(0.,1.,0.)); #393378=DIRECTION('ref_axis',(-1.,0.,0.)); #393379=DIRECTION('',(-1.,0.,0.)); #393380=DIRECTION('',(0.,0.,1.)); #393381=DIRECTION('',(-1.,0.,0.)); #393382=DIRECTION('',(0.,0.,1.)); #393383=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393384=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393385=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393386=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393387=DIRECTION('',(0.,0.,1.)); #393388=DIRECTION('center_axis',(-1.,0.,0.)); #393389=DIRECTION('ref_axis',(0.,-1.,0.)); #393390=DIRECTION('',(0.,-1.,0.)); #393391=DIRECTION('',(0.,-1.,0.)); #393392=DIRECTION('',(0.,0.,1.)); #393393=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #393394=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #393395=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #393396=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #393397=DIRECTION('',(0.,0.,1.)); #393398=DIRECTION('center_axis',(-0.833693256959005,0.552227809242786,0.)); #393399=DIRECTION('ref_axis',(-0.552227809242786,-0.833693256959005,0.)); #393400=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #393401=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #393402=DIRECTION('',(0.,0.,1.)); #393403=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #393404=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #393405=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393406=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #393407=DIRECTION('',(0.,0.,1.)); #393408=DIRECTION('center_axis',(-0.558865776602088,0.82925812853595,0.)); #393409=DIRECTION('ref_axis',(-0.82925812853595,-0.558865776602088,0.)); #393410=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #393411=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #393412=DIRECTION('',(0.,0.,1.)); #393413=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #393414=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #393415=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #393416=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #393417=DIRECTION('',(0.,0.,1.)); #393418=DIRECTION('center_axis',(0.,1.,0.)); #393419=DIRECTION('ref_axis',(-1.,0.,0.)); #393420=DIRECTION('',(-1.,0.,0.)); #393421=DIRECTION('',(-1.,0.,0.)); #393422=DIRECTION('',(0.,0.,1.)); #393423=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393424=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393425=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393426=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393427=DIRECTION('',(0.,0.,1.)); #393428=DIRECTION('center_axis',(-1.,0.,0.)); #393429=DIRECTION('ref_axis',(0.,-1.,0.)); #393430=DIRECTION('',(0.,-1.,0.)); #393431=DIRECTION('',(0.,-1.,0.)); #393432=DIRECTION('',(0.,0.,1.)); #393433=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393434=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393435=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393436=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393437=DIRECTION('',(0.,0.,1.)); #393438=DIRECTION('center_axis',(0.,-1.,0.)); #393439=DIRECTION('ref_axis',(1.,0.,0.)); #393440=DIRECTION('',(1.,0.,0.)); #393441=DIRECTION('',(1.,0.,0.)); #393442=DIRECTION('',(0.,0.,1.)); #393443=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393444=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393445=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393446=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #393447=DIRECTION('',(0.,0.,1.)); #393448=DIRECTION('center_axis',(1.,0.,0.)); #393449=DIRECTION('ref_axis',(0.,1.,0.)); #393450=DIRECTION('',(0.,1.,0.)); #393451=DIRECTION('',(0.,1.,0.)); #393452=DIRECTION('',(0.,0.,1.)); #393453=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #393454=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #393455=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #393456=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #393457=DIRECTION('',(0.,0.,1.)); #393458=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #393459=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #393460=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #393461=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #393462=DIRECTION('',(0.,0.,1.)); #393463=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #393464=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #393465=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #393466=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #393467=DIRECTION('',(0.,0.,1.)); #393468=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #393469=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #393470=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #393471=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #393472=DIRECTION('',(0.,0.,1.)); #393473=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #393474=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #393475=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #393476=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #393477=DIRECTION('',(0.,0.,1.)); #393478=DIRECTION('center_axis',(0.,-1.,0.)); #393479=DIRECTION('ref_axis',(1.,0.,0.)); #393480=DIRECTION('',(1.,0.,0.)); #393481=DIRECTION('',(1.,0.,0.)); #393482=DIRECTION('',(0.,0.,1.)); #393483=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #393484=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #393485=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #393486=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #393487=DIRECTION('',(0.,0.,1.)); #393488=DIRECTION('center_axis',(1.,0.,0.)); #393489=DIRECTION('ref_axis',(0.,1.,0.)); #393490=DIRECTION('',(0.,1.,0.)); #393491=DIRECTION('',(0.,1.,0.)); #393492=DIRECTION('',(0.,0.,1.)); #393493=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393494=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393495=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393496=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393497=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #393498=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #393499=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #393500=DIRECTION('',(0.,0.,1.)); #393501=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #393502=DIRECTION('',(0.,0.,1.)); #393503=DIRECTION('center_axis',(0.,-1.,0.)); #393504=DIRECTION('ref_axis',(1.,0.,0.)); #393505=DIRECTION('',(1.,0.,0.)); #393506=DIRECTION('',(1.,0.,0.)); #393507=DIRECTION('',(0.,0.,1.)); #393508=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #393509=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #393510=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #393511=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #393512=DIRECTION('',(0.,0.,1.)); #393513=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #393514=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #393515=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #393516=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #393517=DIRECTION('',(0.,0.,1.)); #393518=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #393519=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #393520=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #393521=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #393522=DIRECTION('',(0.,0.,1.)); #393523=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #393524=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #393525=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #393526=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #393527=DIRECTION('',(0.,0.,1.)); #393528=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #393529=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #393530=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #393531=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #393532=DIRECTION('',(0.,0.,1.)); #393533=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #393534=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #393535=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #393536=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #393537=DIRECTION('',(0.,0.,1.)); #393538=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #393539=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #393540=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #393541=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #393542=DIRECTION('',(0.,0.,1.)); #393543=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #393544=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #393545=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #393546=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #393547=DIRECTION('',(0.,0.,1.)); #393548=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #393549=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #393550=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #393551=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #393552=DIRECTION('',(0.,0.,1.)); #393553=DIRECTION('center_axis',(-1.,0.,0.)); #393554=DIRECTION('ref_axis',(0.,-1.,0.)); #393555=DIRECTION('',(0.,-1.,0.)); #393556=DIRECTION('',(0.,-1.,0.)); #393557=DIRECTION('',(0.,0.,1.)); #393558=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #393559=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #393560=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #393561=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #393562=DIRECTION('',(0.,0.,1.)); #393563=DIRECTION('center_axis',(-0.707106177917541,-0.707107384455039, 0.)); #393564=DIRECTION('ref_axis',(0.707107384455039,-0.707106177917541,0.)); #393565=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #393566=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #393567=DIRECTION('',(0.,0.,1.)); #393568=DIRECTION('center_axis',(-0.382689829785808,-0.923876882586911, 0.)); #393569=DIRECTION('ref_axis',(0.923876882586911,-0.382689829785808,0.)); #393570=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #393571=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #393572=DIRECTION('',(0.,0.,1.)); #393573=DIRECTION('center_axis',(-0.548914404741951,-0.835878565502663, 0.)); #393574=DIRECTION('ref_axis',(0.835878565502663,-0.548914404741951,0.)); #393575=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #393576=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #393577=DIRECTION('',(0.,0.,1.)); #393578=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #393579=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #393580=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #393581=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #393582=DIRECTION('',(0.,0.,1.)); #393583=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #393584=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #393585=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #393586=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #393587=DIRECTION('',(0.,0.,1.)); #393588=DIRECTION('center_axis',(-1.,0.,0.)); #393589=DIRECTION('ref_axis',(0.,-1.,0.)); #393590=DIRECTION('',(0.,-1.,0.)); #393591=DIRECTION('',(0.,-1.,0.)); #393592=DIRECTION('',(0.,0.,1.)); #393593=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #393594=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #393595=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #393596=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #393597=DIRECTION('',(0.,0.,1.)); #393598=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #393599=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #393600=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #393601=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #393602=DIRECTION('',(0.,0.,1.)); #393603=DIRECTION('center_axis',(0.,-1.,0.)); #393604=DIRECTION('ref_axis',(1.,0.,0.)); #393605=DIRECTION('',(1.,0.,0.)); #393606=DIRECTION('',(1.,0.,0.)); #393607=DIRECTION('',(0.,0.,1.)); #393608=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #393609=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #393610=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #393611=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #393612=DIRECTION('',(0.,0.,1.)); #393613=DIRECTION('center_axis',(0.,-1.,0.)); #393614=DIRECTION('ref_axis',(1.,0.,0.)); #393615=DIRECTION('',(1.,0.,0.)); #393616=DIRECTION('',(1.,0.,0.)); #393617=DIRECTION('',(0.,0.,1.)); #393618=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #393619=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #393620=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #393621=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #393622=DIRECTION('',(0.,0.,1.)); #393623=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #393624=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #393625=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #393626=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #393627=DIRECTION('',(0.,0.,1.)); #393628=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #393629=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #393630=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #393631=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #393632=DIRECTION('',(0.,0.,1.)); #393633=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #393634=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #393635=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #393636=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #393637=DIRECTION('',(0.,0.,1.)); #393638=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #393639=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #393640=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #393641=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #393642=DIRECTION('',(0.,0.,1.)); #393643=DIRECTION('center_axis',(-1.,0.,0.)); #393644=DIRECTION('ref_axis',(0.,-1.,0.)); #393645=DIRECTION('',(0.,-1.,0.)); #393646=DIRECTION('',(0.,-1.,0.)); #393647=DIRECTION('',(0.,0.,1.)); #393648=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #393649=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #393650=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #393651=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #393652=DIRECTION('',(0.,0.,1.)); #393653=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #393654=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #393655=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #393656=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #393657=DIRECTION('',(0.,0.,1.)); #393658=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #393659=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #393660=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #393661=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #393662=DIRECTION('',(0.,0.,1.)); #393663=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #393664=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #393665=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #393666=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #393667=DIRECTION('',(0.,0.,1.)); #393668=DIRECTION('center_axis',(0.,1.,0.)); #393669=DIRECTION('ref_axis',(-1.,0.,0.)); #393670=DIRECTION('',(-1.,0.,0.)); #393671=DIRECTION('',(-1.,0.,0.)); #393672=DIRECTION('',(0.,0.,1.)); #393673=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #393674=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #393675=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393676=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #393677=DIRECTION('',(0.,0.,1.)); #393678=DIRECTION('center_axis',(-1.,0.,0.)); #393679=DIRECTION('ref_axis',(0.,-1.,0.)); #393680=DIRECTION('',(0.,-1.,0.)); #393681=DIRECTION('',(0.,-1.,0.)); #393682=DIRECTION('',(0.,0.,1.)); #393683=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #393684=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #393685=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #393686=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #393687=DIRECTION('',(0.,0.,1.)); #393688=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #393689=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #393690=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #393691=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #393692=DIRECTION('',(0.,0.,1.)); #393693=DIRECTION('center_axis',(-0.976703298176492,-0.214594192212095, 0.)); #393694=DIRECTION('ref_axis',(0.214594192212095,-0.976703298176492,0.)); #393695=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #393696=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #393697=DIRECTION('',(0.,0.,1.)); #393698=DIRECTION('center_axis',(-0.983041987252281,0.18338061865717,0.)); #393699=DIRECTION('ref_axis',(-0.18338061865717,-0.983041987252281,0.)); #393700=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #393701=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #393702=DIRECTION('',(0.,0.,1.)); #393703=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #393704=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #393705=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #393706=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #393707=DIRECTION('',(0.,0.,1.)); #393708=DIRECTION('center_axis',(-0.707106781186555,0.70710678118654,0.)); #393709=DIRECTION('ref_axis',(-0.70710678118654,-0.707106781186555,0.)); #393710=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #393711=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #393712=DIRECTION('',(0.,0.,1.)); #393713=DIRECTION('center_axis',(-1.,0.,0.)); #393714=DIRECTION('ref_axis',(0.,-1.,0.)); #393715=DIRECTION('',(0.,-1.,0.)); #393716=DIRECTION('',(0.,-1.,0.)); #393717=DIRECTION('',(0.,0.,1.)); #393718=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #393719=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #393720=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #393721=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #393722=DIRECTION('',(0.,0.,1.)); #393723=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #393724=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #393725=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #393726=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #393727=DIRECTION('',(0.,0.,1.)); #393728=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #393729=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #393730=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #393731=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #393732=DIRECTION('',(0.,0.,1.)); #393733=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #393734=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #393735=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #393736=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #393737=DIRECTION('',(0.,0.,1.)); #393738=DIRECTION('center_axis',(0.,1.,0.)); #393739=DIRECTION('ref_axis',(-1.,0.,0.)); #393740=DIRECTION('',(-1.,0.,0.)); #393741=DIRECTION('',(-1.,0.,0.)); #393742=DIRECTION('',(0.,0.,1.)); #393743=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #393744=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #393745=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #393746=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #393747=DIRECTION('',(0.,0.,1.)); #393748=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #393749=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #393750=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #393751=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #393752=DIRECTION('',(0.,0.,1.)); #393753=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393754=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393755=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393756=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #393757=DIRECTION('',(0.,0.,1.)); #393758=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #393759=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #393760=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #393761=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #393762=DIRECTION('',(0.,0.,1.)); #393763=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #393764=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #393765=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #393766=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #393767=DIRECTION('',(0.,0.,1.)); #393768=DIRECTION('center_axis',(1.,0.,0.)); #393769=DIRECTION('ref_axis',(0.,1.,0.)); #393770=DIRECTION('',(0.,1.,0.)); #393771=DIRECTION('',(0.,1.,0.)); #393772=DIRECTION('',(0.,0.,1.)); #393773=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #393774=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #393775=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #393776=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #393777=DIRECTION('',(0.,0.,1.)); #393778=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #393779=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #393780=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #393781=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #393782=DIRECTION('',(0.,0.,1.)); #393783=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #393784=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #393785=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #393786=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #393787=DIRECTION('',(0.,0.,1.)); #393788=DIRECTION('center_axis',(1.,0.,0.)); #393789=DIRECTION('ref_axis',(0.,1.,0.)); #393790=DIRECTION('',(0.,1.,0.)); #393791=DIRECTION('',(0.,1.,0.)); #393792=DIRECTION('',(0.,0.,1.)); #393793=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #393794=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #393795=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #393796=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #393797=DIRECTION('',(0.,0.,1.)); #393798=DIRECTION('center_axis',(0.,1.,0.)); #393799=DIRECTION('ref_axis',(-1.,0.,0.)); #393800=DIRECTION('',(-1.,0.,0.)); #393801=DIRECTION('',(-1.,0.,0.)); #393802=DIRECTION('',(0.,0.,1.)); #393803=DIRECTION('center_axis',(-0.707105395383339,0.70710816698704,0.)); #393804=DIRECTION('ref_axis',(-0.70710816698704,-0.707105395383339,0.)); #393805=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #393806=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #393807=DIRECTION('',(0.,0.,1.)); #393808=DIRECTION('center_axis',(-0.572013161193149,0.820244441262372,0.)); #393809=DIRECTION('ref_axis',(-0.820244441262372,-0.572013161193149,0.)); #393810=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #393811=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #393812=DIRECTION('',(0.,0.,1.)); #393813=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #393814=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #393815=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #393816=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #393817=DIRECTION('',(0.,0.,1.)); #393818=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #393819=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #393820=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #393821=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #393822=DIRECTION('',(0.,0.,1.)); #393823=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #393824=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #393825=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #393826=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #393827=DIRECTION('',(0.,0.,1.)); #393828=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #393829=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #393830=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #393831=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #393832=DIRECTION('',(0.,0.,1.)); #393833=DIRECTION('center_axis',(0.979990414878419,0.199044685300622,0.)); #393834=DIRECTION('ref_axis',(-0.199044685300622,0.979990414878419,0.)); #393835=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #393836=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #393837=DIRECTION('',(0.,0.,1.)); #393838=DIRECTION('center_axis',(0.707106622194624,0.707106940178435,0.)); #393839=DIRECTION('ref_axis',(-0.707106940178435,0.707106622194624,0.)); #393840=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #393841=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #393842=DIRECTION('',(0.,0.,1.)); #393843=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #393844=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #393845=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #393846=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #393847=DIRECTION('',(0.,0.,1.)); #393848=DIRECTION('center_axis',(0.979997563866654,0.199009484234856,0.)); #393849=DIRECTION('ref_axis',(-0.199009484234856,0.979997563866654,0.)); #393850=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #393851=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #393852=DIRECTION('',(0.,0.,1.)); #393853=DIRECTION('center_axis',(1.,0.,0.)); #393854=DIRECTION('ref_axis',(0.,1.,0.)); #393855=DIRECTION('',(0.,1.,0.)); #393856=DIRECTION('',(0.,1.,0.)); #393857=DIRECTION('',(0.,0.,1.)); #393858=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #393859=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #393860=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #393861=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #393862=DIRECTION('',(0.,0.,1.)); #393863=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #393864=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #393865=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #393866=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #393867=DIRECTION('',(0.,0.,1.)); #393868=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #393869=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #393870=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #393871=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #393872=DIRECTION('',(0.,0.,1.)); #393873=DIRECTION('center_axis',(1.,0.,0.)); #393874=DIRECTION('ref_axis',(0.,1.,0.)); #393875=DIRECTION('',(0.,1.,0.)); #393876=DIRECTION('',(0.,1.,0.)); #393877=DIRECTION('',(0.,0.,1.)); #393878=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #393879=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #393880=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #393881=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #393882=DIRECTION('',(0.,0.,1.)); #393883=DIRECTION('center_axis',(0.,1.,0.)); #393884=DIRECTION('ref_axis',(-1.,0.,0.)); #393885=DIRECTION('',(-1.,0.,0.)); #393886=DIRECTION('',(-1.,0.,0.)); #393887=DIRECTION('',(0.,0.,1.)); #393888=DIRECTION('center_axis',(-0.707106216327399,0.707107346045245,0.)); #393889=DIRECTION('ref_axis',(-0.707107346045245,-0.707106216327399,0.)); #393890=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #393891=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #393892=DIRECTION('',(0.,0.,1.)); #393893=DIRECTION('center_axis',(-1.,0.,0.)); #393894=DIRECTION('ref_axis',(0.,-1.,0.)); #393895=DIRECTION('',(0.,-1.,0.)); #393896=DIRECTION('',(0.,-1.,0.)); #393897=DIRECTION('',(0.,0.,1.)); #393898=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #393899=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #393900=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #393901=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #393902=DIRECTION('',(0.,0.,1.)); #393903=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #393904=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #393905=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #393906=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #393907=DIRECTION('',(0.,0.,1.)); #393908=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #393909=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #393910=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #393911=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #393912=DIRECTION('',(0.,0.,1.)); #393913=DIRECTION('center_axis',(-1.,0.,0.)); #393914=DIRECTION('ref_axis',(0.,-1.,0.)); #393915=DIRECTION('',(0.,-1.,0.)); #393916=DIRECTION('',(0.,-1.,0.)); #393917=DIRECTION('',(0.,0.,1.)); #393918=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #393919=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #393920=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #393921=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #393922=DIRECTION('',(0.,0.,1.)); #393923=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #393924=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #393925=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #393926=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #393927=DIRECTION('',(0.,0.,1.)); #393928=DIRECTION('center_axis',(-0.565462991527455,0.824773669083114,0.)); #393929=DIRECTION('ref_axis',(-0.824773669083114,-0.565462991527455,0.)); #393930=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #393931=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #393932=DIRECTION('',(0.,0.,1.)); #393933=DIRECTION('center_axis',(-0.199018242080767,0.979995785357815,0.)); #393934=DIRECTION('ref_axis',(-0.979995785357815,-0.199018242080767,0.)); #393935=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #393936=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #393937=DIRECTION('',(0.,0.,1.)); #393938=DIRECTION('center_axis',(0.,1.,0.)); #393939=DIRECTION('ref_axis',(-1.,0.,0.)); #393940=DIRECTION('',(-1.,0.,0.)); #393941=DIRECTION('',(-1.,0.,0.)); #393942=DIRECTION('',(0.,0.,1.)); #393943=DIRECTION('center_axis',(-0.707105395383327,0.707108166987052,0.)); #393944=DIRECTION('ref_axis',(-0.707108166987052,-0.707105395383327,0.)); #393945=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #393946=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #393947=DIRECTION('',(0.,0.,1.)); #393948=DIRECTION('center_axis',(-0.572013161193196,0.820244441262339,0.)); #393949=DIRECTION('ref_axis',(-0.820244441262339,-0.572013161193195,0.)); #393950=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #393951=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #393952=DIRECTION('',(0.,0.,1.)); #393953=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #393954=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #393955=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #393956=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #393957=DIRECTION('',(0.,0.,1.)); #393958=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #393959=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #393960=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #393961=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #393962=DIRECTION('',(0.,0.,1.)); #393963=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #393964=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #393965=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #393966=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #393967=DIRECTION('',(0.,0.,1.)); #393968=DIRECTION('center_axis',(0.824762824798868,0.56547880847101,0.)); #393969=DIRECTION('ref_axis',(-0.56547880847101,0.824762824798868,0.)); #393970=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #393971=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #393972=DIRECTION('',(0.,0.,1.)); #393973=DIRECTION('center_axis',(0.707107383468298,0.707106178904284,0.)); #393974=DIRECTION('ref_axis',(-0.707106178904284,0.707107383468298,0.)); #393975=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #393976=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #393977=DIRECTION('',(0.,0.,1.)); #393978=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #393979=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #393980=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #393981=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #393982=DIRECTION('',(0.,0.,1.)); #393983=DIRECTION('center_axis',(0.979997563866653,0.199009484234861,0.)); #393984=DIRECTION('ref_axis',(-0.199009484234861,0.979997563866653,0.)); #393985=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #393986=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #393987=DIRECTION('',(0.,0.,1.)); #393988=DIRECTION('center_axis',(1.,0.,0.)); #393989=DIRECTION('ref_axis',(0.,1.,0.)); #393990=DIRECTION('',(0.,1.,0.)); #393991=DIRECTION('',(0.,1.,0.)); #393992=DIRECTION('',(0.,0.,1.)); #393993=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #393994=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #393995=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #393996=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #393997=DIRECTION('',(0.,0.,1.)); #393998=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #393999=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #394000=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #394001=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #394002=DIRECTION('',(0.,0.,1.)); #394003=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #394004=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #394005=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #394006=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #394007=DIRECTION('',(0.,0.,1.)); #394008=DIRECTION('center_axis',(1.,0.,0.)); #394009=DIRECTION('ref_axis',(0.,1.,0.)); #394010=DIRECTION('',(0.,1.,0.)); #394011=DIRECTION('',(0.,1.,0.)); #394012=DIRECTION('',(0.,0.,1.)); #394013=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #394014=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #394015=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #394016=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #394017=DIRECTION('',(0.,0.,1.)); #394018=DIRECTION('center_axis',(0.,1.,0.)); #394019=DIRECTION('ref_axis',(-1.,0.,0.)); #394020=DIRECTION('',(-1.,0.,0.)); #394021=DIRECTION('',(-1.,0.,0.)); #394022=DIRECTION('',(0.,0.,1.)); #394023=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #394024=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #394025=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #394026=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #394027=DIRECTION('',(0.,0.,1.)); #394028=DIRECTION('center_axis',(-1.,0.,0.)); #394029=DIRECTION('ref_axis',(0.,-1.,0.)); #394030=DIRECTION('',(0.,-1.,0.)); #394031=DIRECTION('',(0.,-1.,0.)); #394032=DIRECTION('',(0.,0.,1.)); #394033=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #394034=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #394035=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #394036=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #394037=DIRECTION('',(0.,0.,1.)); #394038=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #394039=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #394040=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #394041=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #394042=DIRECTION('',(0.,0.,1.)); #394043=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #394044=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #394045=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #394046=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #394047=DIRECTION('',(0.,0.,1.)); #394048=DIRECTION('center_axis',(-1.,0.,0.)); #394049=DIRECTION('ref_axis',(0.,-1.,0.)); #394050=DIRECTION('',(0.,-1.,0.)); #394051=DIRECTION('',(0.,-1.,0.)); #394052=DIRECTION('',(0.,0.,1.)); #394053=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #394054=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #394055=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #394056=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #394057=DIRECTION('',(0.,0.,1.)); #394058=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #394059=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #394060=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #394061=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #394062=DIRECTION('',(0.,0.,1.)); #394063=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #394064=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #394065=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #394066=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #394067=DIRECTION('',(0.,0.,1.)); #394068=DIRECTION('center_axis',(-1.,0.,0.)); #394069=DIRECTION('ref_axis',(0.,-1.,0.)); #394070=DIRECTION('',(0.,-1.,0.)); #394071=DIRECTION('',(0.,-1.,0.)); #394072=DIRECTION('',(0.,0.,1.)); #394073=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #394074=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #394075=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #394076=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #394077=DIRECTION('',(0.,0.,1.)); #394078=DIRECTION('center_axis',(-0.820244441262304,-0.572013161193246, 0.)); #394079=DIRECTION('ref_axis',(0.572013161193246,-0.820244441262304,0.)); #394080=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #394081=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #394082=DIRECTION('',(0.,0.,1.)); #394083=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #394084=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #394085=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #394086=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #394087=DIRECTION('',(0.,0.,1.)); #394088=DIRECTION('center_axis',(-0.985851792830733,0.167619338300892,0.)); #394089=DIRECTION('ref_axis',(-0.167619338300892,-0.985851792830733,0.)); #394090=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #394091=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #394092=DIRECTION('',(0.,0.,1.)); #394093=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #394094=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #394095=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #394096=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #394097=DIRECTION('',(0.,0.,1.)); #394098=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #394099=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #394100=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #394101=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #394102=DIRECTION('',(0.,0.,1.)); #394103=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #394104=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #394105=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #394106=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #394107=DIRECTION('',(0.,0.,1.)); #394108=DIRECTION('center_axis',(0.,1.,0.)); #394109=DIRECTION('ref_axis',(-1.,0.,0.)); #394110=DIRECTION('',(-1.,0.,0.)); #394111=DIRECTION('',(-1.,0.,0.)); #394112=DIRECTION('',(0.,0.,1.)); #394113=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #394114=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #394115=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #394116=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #394117=DIRECTION('',(0.,0.,1.)); #394118=DIRECTION('center_axis',(-0.565475774224491,0.824764905148863,0.)); #394119=DIRECTION('ref_axis',(-0.824764905148863,-0.565475774224491,0.)); #394120=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #394121=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #394122=DIRECTION('',(0.,0.,1.)); #394123=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #394124=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #394125=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #394126=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #394127=DIRECTION('',(0.,0.,1.)); #394128=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #394129=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #394130=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #394131=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #394132=DIRECTION('',(0.,0.,1.)); #394133=DIRECTION('center_axis',(0.552214058332442,0.833702365223953,0.)); #394134=DIRECTION('ref_axis',(-0.833702365223953,0.552214058332442,0.)); #394135=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #394136=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #394137=DIRECTION('',(0.,0.,1.)); #394138=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #394139=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #394140=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #394141=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #394142=DIRECTION('',(0.,0.,1.)); #394143=DIRECTION('center_axis',(0.,1.,0.)); #394144=DIRECTION('ref_axis',(-1.,0.,0.)); #394145=DIRECTION('',(-1.,0.,0.)); #394146=DIRECTION('',(-1.,0.,0.)); #394147=DIRECTION('',(0.,0.,1.)); #394148=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394149=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394150=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394151=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394152=DIRECTION('',(0.,0.,1.)); #394153=DIRECTION('center_axis',(-0.572013161193165,0.82024444126236,0.)); #394154=DIRECTION('ref_axis',(-0.82024444126236,-0.572013161193165,0.)); #394155=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #394156=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #394157=DIRECTION('',(0.,0.,1.)); #394158=DIRECTION('center_axis',(-0.230105511310725,0.973165686645614,0.)); #394159=DIRECTION('ref_axis',(-0.973165686645614,-0.230105511310725,0.)); #394160=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #394161=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #394162=DIRECTION('',(0.,0.,1.)); #394163=DIRECTION('center_axis',(0.167619338300963,0.985851792830721,0.)); #394164=DIRECTION('ref_axis',(-0.985851792830721,0.167619338300963,0.)); #394165=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #394166=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #394167=DIRECTION('',(0.,0.,1.)); #394168=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #394169=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #394170=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394171=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394172=DIRECTION('',(0.,0.,1.)); #394173=DIRECTION('center_axis',(0.824759961060236,0.56548298527181,0.)); #394174=DIRECTION('ref_axis',(-0.56548298527181,0.824759961060236,0.)); #394175=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #394176=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #394177=DIRECTION('',(0.,0.,1.)); #394178=DIRECTION('center_axis',(0.97999811234025,0.199006783325459,0.)); #394179=DIRECTION('ref_axis',(-0.199006783325459,0.97999811234025,0.)); #394180=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #394181=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #394182=DIRECTION('',(0.,0.,1.)); #394183=DIRECTION('center_axis',(1.,0.,0.)); #394184=DIRECTION('ref_axis',(0.,1.,0.)); #394185=DIRECTION('',(0.,1.,0.)); #394186=DIRECTION('',(0.,1.,0.)); #394187=DIRECTION('',(0.,0.,1.)); #394188=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #394189=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #394190=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #394191=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #394192=DIRECTION('',(0.,0.,1.)); #394193=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #394194=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #394195=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #394196=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #394197=DIRECTION('',(0.,0.,1.)); #394198=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #394199=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #394200=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #394201=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #394202=DIRECTION('',(0.,0.,1.)); #394203=DIRECTION('center_axis',(1.,0.,0.)); #394204=DIRECTION('ref_axis',(0.,1.,0.)); #394205=DIRECTION('',(0.,1.,0.)); #394206=DIRECTION('',(0.,1.,0.)); #394207=DIRECTION('',(0.,0.,1.)); #394208=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394209=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394210=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394211=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394212=DIRECTION('',(0.,0.,1.)); #394213=DIRECTION('center_axis',(0.,1.,0.)); #394214=DIRECTION('ref_axis',(-1.,0.,0.)); #394215=DIRECTION('',(-1.,0.,0.)); #394216=DIRECTION('',(-1.,0.,0.)); #394217=DIRECTION('',(0.,0.,1.)); #394218=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #394219=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #394220=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #394221=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #394222=DIRECTION('',(0.,0.,1.)); #394223=DIRECTION('center_axis',(0.538928498327713,0.84235151432774,0.)); #394224=DIRECTION('ref_axis',(-0.84235151432774,0.538928498327713,0.)); #394225=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #394226=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #394227=DIRECTION('',(0.,0.,1.)); #394228=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #394229=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #394230=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #394231=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #394232=DIRECTION('',(0.,0.,1.)); #394233=DIRECTION('center_axis',(0.979997328799728,0.19901064178932,0.)); #394234=DIRECTION('ref_axis',(-0.19901064178932,0.979997328799728,0.)); #394235=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #394236=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #394237=DIRECTION('',(0.,0.,1.)); #394238=DIRECTION('center_axis',(1.,0.,0.)); #394239=DIRECTION('ref_axis',(0.,1.,0.)); #394240=DIRECTION('',(0.,1.,0.)); #394241=DIRECTION('',(0.,1.,0.)); #394242=DIRECTION('',(0.,0.,1.)); #394243=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394244=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394245=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394246=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394247=DIRECTION('',(0.,0.,1.)); #394248=DIRECTION('center_axis',(0.,1.,0.)); #394249=DIRECTION('ref_axis',(-1.,0.,0.)); #394250=DIRECTION('',(-1.,0.,0.)); #394251=DIRECTION('',(-1.,0.,0.)); #394252=DIRECTION('',(0.,0.,1.)); #394253=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394254=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394255=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394256=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394257=DIRECTION('',(0.,0.,1.)); #394258=DIRECTION('center_axis',(-1.,0.,0.)); #394259=DIRECTION('ref_axis',(0.,-1.,0.)); #394260=DIRECTION('',(0.,-1.,0.)); #394261=DIRECTION('',(0.,-1.,0.)); #394262=DIRECTION('',(0.,0.,1.)); #394263=DIRECTION('center_axis',(-0.707108968966163,-0.707104593400163, 0.)); #394264=DIRECTION('ref_axis',(0.707104593400163,-0.707108968966163,0.)); #394265=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #394266=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #394267=DIRECTION('',(0.,0.,1.)); #394268=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #394269=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #394270=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #394271=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #394272=DIRECTION('',(0.,0.,1.)); #394273=DIRECTION('center_axis',(-0.979998112340233,-0.199006783325543, 0.)); #394274=DIRECTION('ref_axis',(0.199006783325543,-0.979998112340233,0.)); #394275=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #394276=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #394277=DIRECTION('',(0.,0.,1.)); #394278=DIRECTION('center_axis',(-1.,0.,0.)); #394279=DIRECTION('ref_axis',(0.,-1.,0.)); #394280=DIRECTION('',(0.,-1.,0.)); #394281=DIRECTION('',(0.,-1.,0.)); #394282=DIRECTION('',(0.,0.,1.)); #394283=DIRECTION('center_axis',(-0.707106781186569,-0.707106781186526, 0.)); #394284=DIRECTION('ref_axis',(0.707106781186526,-0.707106781186569,0.)); #394285=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #394286=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #394287=DIRECTION('',(0.,0.,1.)); #394288=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #394289=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #394290=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #394291=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #394292=DIRECTION('',(0.,0.,1.)); #394293=DIRECTION('center_axis',(-0.97316125628092,-0.230124247470233,0.)); #394294=DIRECTION('ref_axis',(0.230124247470233,-0.97316125628092,0.)); #394295=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #394296=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #394297=DIRECTION('',(0.,0.,1.)); #394298=DIRECTION('center_axis',(-0.985851792830718,0.167619338300985,0.)); #394299=DIRECTION('ref_axis',(-0.167619338300985,-0.985851792830718,0.)); #394300=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #394301=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #394302=DIRECTION('',(0.,0.,1.)); #394303=DIRECTION('center_axis',(-0.842351514327729,0.53892849832773,0.)); #394304=DIRECTION('ref_axis',(-0.53892849832773,-0.842351514327729,0.)); #394305=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #394306=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #394307=DIRECTION('',(0.,0.,1.)); #394308=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #394309=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #394310=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #394311=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #394312=DIRECTION('',(0.,0.,1.)); #394313=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #394314=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #394315=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #394316=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #394317=DIRECTION('',(0.,0.,1.)); #394318=DIRECTION('center_axis',(0.,1.,0.)); #394319=DIRECTION('ref_axis',(-1.,0.,0.)); #394320=DIRECTION('',(-1.,0.,0.)); #394321=DIRECTION('',(-1.,0.,0.)); #394322=DIRECTION('',(0.,0.,1.)); #394323=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #394324=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #394325=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #394326=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #394327=DIRECTION('',(0.,0.,1.)); #394328=DIRECTION('center_axis',(-1.,0.,0.)); #394329=DIRECTION('ref_axis',(0.,-1.,0.)); #394330=DIRECTION('',(0.,-1.,0.)); #394331=DIRECTION('',(0.,-1.,0.)); #394332=DIRECTION('',(0.,0.,1.)); #394333=DIRECTION('center_axis',(-0.983054265471501,0.183314787014818,0.)); #394334=DIRECTION('ref_axis',(-0.183314787014818,-0.983054265471501,0.)); #394335=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #394336=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #394337=DIRECTION('',(0.,0.,1.)); #394338=DIRECTION('center_axis',(-0.842351514327649,0.538928498327855,0.)); #394339=DIRECTION('ref_axis',(-0.538928498327855,-0.842351514327649,0.)); #394340=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #394341=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #394342=DIRECTION('',(0.,0.,1.)); #394343=DIRECTION('center_axis',(-0.565473758099803,0.824766287441773,0.)); #394344=DIRECTION('ref_axis',(-0.824766287441773,-0.565473758099803,0.)); #394345=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #394346=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #394347=DIRECTION('',(0.,0.,1.)); #394348=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #394349=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #394350=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #394351=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #394352=DIRECTION('',(0.,0.,1.)); #394353=DIRECTION('center_axis',(0.,1.,0.)); #394354=DIRECTION('ref_axis',(-1.,0.,0.)); #394355=DIRECTION('',(-1.,0.,0.)); #394356=DIRECTION('',(-1.,0.,0.)); #394357=DIRECTION('',(0.,0.,1.)); #394358=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #394359=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #394360=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #394361=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #394362=DIRECTION('',(0.,0.,1.)); #394363=DIRECTION('center_axis',(-1.,0.,0.)); #394364=DIRECTION('ref_axis',(0.,-1.,0.)); #394365=DIRECTION('',(0.,-1.,0.)); #394366=DIRECTION('',(0.,-1.,0.)); #394367=DIRECTION('',(0.,0.,1.)); #394368=DIRECTION('center_axis',(-0.98305039565759,0.183335538282833,0.)); #394369=DIRECTION('ref_axis',(-0.183335538282833,-0.98305039565759,0.)); #394370=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #394371=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #394372=DIRECTION('',(0.,0.,1.)); #394373=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #394374=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #394375=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #394376=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #394377=DIRECTION('',(0.,0.,1.)); #394378=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #394379=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #394380=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394381=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394382=DIRECTION('',(0.,0.,1.)); #394383=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #394384=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #394385=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #394386=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #394387=DIRECTION('',(0.,0.,1.)); #394388=DIRECTION('center_axis',(0.,1.,0.)); #394389=DIRECTION('ref_axis',(-1.,0.,0.)); #394390=DIRECTION('',(-1.,0.,0.)); #394391=DIRECTION('',(-1.,0.,0.)); #394392=DIRECTION('',(0.,0.,1.)); #394393=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #394394=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #394395=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #394396=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #394397=DIRECTION('',(0.,0.,1.)); #394398=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #394399=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #394400=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #394401=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #394402=DIRECTION('',(0.,0.,1.)); #394403=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394404=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394405=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394406=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394407=DIRECTION('',(0.,0.,1.)); #394408=DIRECTION('center_axis',(0.,1.,0.)); #394409=DIRECTION('ref_axis',(-1.,0.,0.)); #394410=DIRECTION('',(-1.,0.,0.)); #394411=DIRECTION('',(-1.,0.,0.)); #394412=DIRECTION('',(0.,0.,1.)); #394413=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394414=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394415=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394416=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394417=DIRECTION('',(0.,0.,1.)); #394418=DIRECTION('center_axis',(-1.,0.,0.)); #394419=DIRECTION('ref_axis',(0.,-1.,0.)); #394420=DIRECTION('',(0.,-1.,0.)); #394421=DIRECTION('',(0.,-1.,0.)); #394422=DIRECTION('',(0.,0.,1.)); #394423=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #394424=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #394425=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #394426=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #394427=DIRECTION('',(0.,0.,1.)); #394428=DIRECTION('center_axis',(0.,-1.,0.)); #394429=DIRECTION('ref_axis',(1.,0.,0.)); #394430=DIRECTION('',(1.,0.,0.)); #394431=DIRECTION('',(1.,0.,0.)); #394432=DIRECTION('',(0.,0.,1.)); #394433=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #394434=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #394435=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #394436=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #394437=DIRECTION('',(0.,0.,1.)); #394438=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #394439=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #394440=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #394441=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #394442=DIRECTION('',(0.,0.,1.)); #394443=DIRECTION('center_axis',(-0.824766287441794,-0.565473758099772, 0.)); #394444=DIRECTION('ref_axis',(0.565473758099772,-0.824766287441794,0.)); #394445=DIRECTION('',(0.565473758099772,-0.824766287441794,0.)); #394446=DIRECTION('',(0.565473758099772,-0.824766287441794,0.)); #394447=DIRECTION('',(0.,0.,1.)); #394448=DIRECTION('center_axis',(-0.979997328799728,-0.19901064178932,0.)); #394449=DIRECTION('ref_axis',(0.19901064178932,-0.979997328799728,0.)); #394450=DIRECTION('',(0.19901064178932,-0.979997328799728,0.)); #394451=DIRECTION('',(0.19901064178932,-0.979997328799728,0.)); #394452=DIRECTION('',(0.,0.,1.)); #394453=DIRECTION('center_axis',(-1.,0.,0.)); #394454=DIRECTION('ref_axis',(0.,-1.,0.)); #394455=DIRECTION('',(0.,-1.,0.)); #394456=DIRECTION('',(0.,-1.,0.)); #394457=DIRECTION('',(0.,0.,1.)); #394458=DIRECTION('center_axis',(0.,0.,1.)); #394459=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #394460=DIRECTION('center_axis',(0.,0.,1.)); #394461=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #394462=DIRECTION('center_axis',(0.,0.,1.)); #394463=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #394464=DIRECTION('',(0.,0.,1.)); #394465=DIRECTION('center_axis',(0.,-1.,0.)); #394466=DIRECTION('ref_axis',(1.,0.,0.)); #394467=DIRECTION('',(1.,0.,0.)); #394468=DIRECTION('',(1.,0.,0.)); #394469=DIRECTION('',(0.,0.,1.)); #394470=DIRECTION('center_axis',(0.,0.,1.)); #394471=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #394472=DIRECTION('center_axis',(0.,0.,1.)); #394473=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #394474=DIRECTION('center_axis',(0.,0.,1.)); #394475=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #394476=DIRECTION('',(0.,0.,1.)); #394477=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #394478=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #394479=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #394480=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #394481=DIRECTION('',(0.,0.,1.)); #394482=DIRECTION('center_axis',(0.,-1.,0.)); #394483=DIRECTION('ref_axis',(1.,0.,0.)); #394484=DIRECTION('',(1.,0.,0.)); #394485=DIRECTION('',(1.,0.,0.)); #394486=DIRECTION('',(0.,0.,1.)); #394487=DIRECTION('center_axis',(1.,0.,0.)); #394488=DIRECTION('ref_axis',(0.,1.,0.)); #394489=DIRECTION('',(0.,1.,0.)); #394490=DIRECTION('',(0.,1.,0.)); #394491=DIRECTION('',(0.,0.,1.)); #394492=DIRECTION('center_axis',(0.,-1.,0.)); #394493=DIRECTION('ref_axis',(1.,0.,0.)); #394494=DIRECTION('',(1.,0.,0.)); #394495=DIRECTION('',(1.,0.,0.)); #394496=DIRECTION('',(0.,0.,1.)); #394497=DIRECTION('center_axis',(-1.,0.,0.)); #394498=DIRECTION('ref_axis',(0.,-1.,0.)); #394499=DIRECTION('',(0.,-1.,0.)); #394500=DIRECTION('',(0.,-1.,0.)); #394501=DIRECTION('',(0.,0.,1.)); #394502=DIRECTION('center_axis',(0.,1.,0.)); #394503=DIRECTION('ref_axis',(-1.,0.,0.)); #394504=DIRECTION('',(-1.,0.,0.)); #394505=DIRECTION('',(-1.,0.,0.)); #394506=DIRECTION('',(0.,0.,1.)); #394507=DIRECTION('center_axis',(1.,0.,0.)); #394508=DIRECTION('ref_axis',(0.,1.,0.)); #394509=DIRECTION('',(0.,1.,0.)); #394510=DIRECTION('',(0.,1.,0.)); #394511=DIRECTION('',(0.,0.,1.)); #394512=DIRECTION('center_axis',(0.,1.,0.)); #394513=DIRECTION('ref_axis',(-1.,0.,0.)); #394514=DIRECTION('',(-1.,0.,0.)); #394515=DIRECTION('',(-1.,0.,0.)); #394516=DIRECTION('',(0.,0.,1.)); #394517=DIRECTION('center_axis',(0.,0.,1.)); #394518=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394519=DIRECTION('center_axis',(0.,0.,1.)); #394520=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394521=DIRECTION('center_axis',(0.,0.,1.)); #394522=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394523=DIRECTION('',(0.,0.,1.)); #394524=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #394525=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #394526=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #394527=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #394528=DIRECTION('',(0.,0.,1.)); #394529=DIRECTION('center_axis',(0.,1.,0.)); #394530=DIRECTION('ref_axis',(-1.,0.,0.)); #394531=DIRECTION('',(-1.,0.,0.)); #394532=DIRECTION('',(-1.,0.,0.)); #394533=DIRECTION('',(0.,0.,1.)); #394534=DIRECTION('center_axis',(0.,0.,1.)); #394535=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #394536=DIRECTION('center_axis',(0.,0.,1.)); #394537=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #394538=DIRECTION('center_axis',(0.,0.,1.)); #394539=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #394540=DIRECTION('',(0.,0.,1.)); #394541=DIRECTION('center_axis',(-0.842328566644566,0.538964364141556,0.)); #394542=DIRECTION('ref_axis',(-0.538964364141556,-0.842328566644566,0.)); #394543=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #394544=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #394545=DIRECTION('',(0.,0.,1.)); #394546=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #394547=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #394548=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394549=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394550=DIRECTION('',(0.,0.,1.)); #394551=DIRECTION('center_axis',(-0.199010641789438,0.979997328799704,0.)); #394552=DIRECTION('ref_axis',(-0.979997328799704,-0.199010641789438,0.)); #394553=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #394554=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #394555=DIRECTION('',(0.,0.,1.)); #394556=DIRECTION('center_axis',(0.,1.,0.)); #394557=DIRECTION('ref_axis',(-1.,0.,0.)); #394558=DIRECTION('',(-1.,0.,0.)); #394559=DIRECTION('',(-1.,0.,0.)); #394560=DIRECTION('',(0.,0.,1.)); #394561=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394562=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394563=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394564=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394565=DIRECTION('',(0.,0.,1.)); #394566=DIRECTION('center_axis',(-1.,0.,0.)); #394567=DIRECTION('ref_axis',(0.,-1.,0.)); #394568=DIRECTION('',(0.,-1.,0.)); #394569=DIRECTION('',(0.,-1.,0.)); #394570=DIRECTION('',(0.,0.,1.)); #394571=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #394572=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #394573=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #394574=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #394575=DIRECTION('',(0.,0.,1.)); #394576=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #394577=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #394578=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #394579=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #394580=DIRECTION('',(0.,0.,1.)); #394581=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #394582=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #394583=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394584=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #394585=DIRECTION('',(0.,0.,1.)); #394586=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #394587=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #394588=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #394589=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #394590=DIRECTION('',(0.,0.,1.)); #394591=DIRECTION('center_axis',(0.,1.,0.)); #394592=DIRECTION('ref_axis',(-1.,0.,0.)); #394593=DIRECTION('',(-1.,0.,0.)); #394594=DIRECTION('',(-1.,0.,0.)); #394595=DIRECTION('',(0.,0.,1.)); #394596=DIRECTION('center_axis',(0.18331478701493,0.98305426547148,0.)); #394597=DIRECTION('ref_axis',(-0.98305426547148,0.18331478701493,0.)); #394598=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #394599=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #394600=DIRECTION('',(0.,0.,1.)); #394601=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #394602=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #394603=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394604=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394605=DIRECTION('',(0.,0.,1.)); #394606=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #394607=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #394608=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #394609=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #394610=DIRECTION('',(0.,0.,1.)); #394611=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #394612=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #394613=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #394614=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #394615=DIRECTION('',(0.,0.,1.)); #394616=DIRECTION('center_axis',(1.,0.,0.)); #394617=DIRECTION('ref_axis',(0.,1.,0.)); #394618=DIRECTION('',(0.,1.,0.)); #394619=DIRECTION('',(0.,1.,0.)); #394620=DIRECTION('',(0.,0.,1.)); #394621=DIRECTION('center_axis',(0.983054265471468,-0.183314787014993,0.)); #394622=DIRECTION('ref_axis',(0.183314787014993,0.983054265471468,0.)); #394623=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #394624=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #394625=DIRECTION('',(0.,0.,1.)); #394626=DIRECTION('center_axis',(0.842351514327676,-0.538928498327812,0.)); #394627=DIRECTION('ref_axis',(0.538928498327812,0.842351514327676,0.)); #394628=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #394629=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #394630=DIRECTION('',(0.,0.,1.)); #394631=DIRECTION('center_axis',(0.565473758099721,-0.824766287441829,0.)); #394632=DIRECTION('ref_axis',(0.824766287441829,0.565473758099721,0.)); #394633=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #394634=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #394635=DIRECTION('',(0.,0.,1.)); #394636=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #394637=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #394638=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #394639=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #394640=DIRECTION('',(0.,0.,1.)); #394641=DIRECTION('center_axis',(0.,-1.,0.)); #394642=DIRECTION('ref_axis',(1.,0.,0.)); #394643=DIRECTION('',(1.,0.,0.)); #394644=DIRECTION('',(1.,0.,0.)); #394645=DIRECTION('',(0.,0.,1.)); #394646=DIRECTION('center_axis',(0.707106781186535,-0.70710678118656,0.)); #394647=DIRECTION('ref_axis',(0.70710678118656,0.707106781186535,0.)); #394648=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #394649=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #394650=DIRECTION('',(0.,0.,1.)); #394651=DIRECTION('center_axis',(0.565475774224491,-0.824764905148863,0.)); #394652=DIRECTION('ref_axis',(0.824764905148863,0.565475774224491,0.)); #394653=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #394654=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #394655=DIRECTION('',(0.,0.,1.)); #394656=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #394657=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #394658=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #394659=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #394660=DIRECTION('',(0.,0.,1.)); #394661=DIRECTION('center_axis',(-0.183384185256821,-0.983041321917696, 0.)); #394662=DIRECTION('ref_axis',(0.983041321917696,-0.183384185256821,0.)); #394663=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #394664=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #394665=DIRECTION('',(0.,0.,1.)); #394666=DIRECTION('center_axis',(-0.552204950198567,-0.833708398048261, 0.)); #394667=DIRECTION('ref_axis',(0.833708398048261,-0.552204950198567,0.)); #394668=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #394669=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #394670=DIRECTION('',(0.,0.,1.)); #394671=DIRECTION('center_axis',(-0.707097567408623,-0.707115994844416, 0.)); #394672=DIRECTION('ref_axis',(0.707115994844416,-0.707097567408623,0.)); #394673=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #394674=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #394675=DIRECTION('',(0.,0.,1.)); #394676=DIRECTION('center_axis',(0.,-1.,0.)); #394677=DIRECTION('ref_axis',(1.,0.,0.)); #394678=DIRECTION('',(1.,0.,0.)); #394679=DIRECTION('',(1.,0.,0.)); #394680=DIRECTION('',(0.,0.,1.)); #394681=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #394682=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #394683=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394684=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #394685=DIRECTION('',(0.,0.,1.)); #394686=DIRECTION('center_axis',(1.,0.,0.)); #394687=DIRECTION('ref_axis',(0.,1.,0.)); #394688=DIRECTION('',(0.,1.,0.)); #394689=DIRECTION('',(0.,1.,0.)); #394690=DIRECTION('',(0.,0.,1.)); #394691=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394692=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394693=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394694=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394695=DIRECTION('',(0.,0.,1.)); #394696=DIRECTION('center_axis',(0.,1.,0.)); #394697=DIRECTION('ref_axis',(-1.,0.,0.)); #394698=DIRECTION('',(-1.,0.,0.)); #394699=DIRECTION('',(-1.,0.,0.)); #394700=DIRECTION('',(0.,0.,1.)); #394701=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #394702=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #394703=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #394704=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #394705=DIRECTION('',(0.,0.,1.)); #394706=DIRECTION('center_axis',(-0.565435717281154,0.824792367582743,0.)); #394707=DIRECTION('ref_axis',(-0.824792367582743,-0.565435717281154,0.)); #394708=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #394709=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #394710=DIRECTION('',(0.,0.,1.)); #394711=DIRECTION('center_axis',(-0.214598338859784,0.976702387095793,0.)); #394712=DIRECTION('ref_axis',(-0.976702387095793,-0.214598338859784,0.)); #394713=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #394714=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #394715=DIRECTION('',(0.,0.,1.)); #394716=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #394717=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #394718=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #394719=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #394720=DIRECTION('',(0.,0.,1.)); #394721=DIRECTION('center_axis',(0.552282635770302,0.833656937970655,0.)); #394722=DIRECTION('ref_axis',(-0.833656937970655,0.552282635770302,0.)); #394723=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #394724=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #394725=DIRECTION('',(0.,0.,1.)); #394726=DIRECTION('center_axis',(0.707133221509551,0.707080339874844,0.)); #394727=DIRECTION('ref_axis',(-0.707080339874844,0.707133221509551,0.)); #394728=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #394729=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #394730=DIRECTION('',(0.,0.,1.)); #394731=DIRECTION('center_axis',(0.,1.,0.)); #394732=DIRECTION('ref_axis',(-1.,0.,0.)); #394733=DIRECTION('',(-1.,0.,0.)); #394734=DIRECTION('',(-1.,0.,0.)); #394735=DIRECTION('',(0.,0.,1.)); #394736=DIRECTION('center_axis',(0.183314787014884,0.983054265471488,0.)); #394737=DIRECTION('ref_axis',(-0.983054265471488,0.183314787014884,0.)); #394738=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #394739=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #394740=DIRECTION('',(0.,0.,1.)); #394741=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #394742=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #394743=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394744=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #394745=DIRECTION('',(0.,0.,1.)); #394746=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #394747=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #394748=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #394749=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #394750=DIRECTION('',(0.,0.,1.)); #394751=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #394752=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #394753=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #394754=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #394755=DIRECTION('',(0.,0.,1.)); #394756=DIRECTION('center_axis',(1.,0.,0.)); #394757=DIRECTION('ref_axis',(0.,1.,0.)); #394758=DIRECTION('',(0.,1.,0.)); #394759=DIRECTION('',(0.,1.,0.)); #394760=DIRECTION('',(0.,0.,1.)); #394761=DIRECTION('center_axis',(0.987649512186036,0.156679421363127,0.)); #394762=DIRECTION('ref_axis',(-0.156679421363127,0.987649512186036,0.)); #394763=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #394764=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #394765=DIRECTION('',(0.,0.,1.)); #394766=DIRECTION('center_axis',(0.125438113889326,0.992101446216005,0.)); #394767=DIRECTION('ref_axis',(-0.992101446216005,0.125438113889326,0.)); #394768=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #394769=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #394770=DIRECTION('',(0.,0.,1.)); #394771=DIRECTION('center_axis',(0.,1.,0.)); #394772=DIRECTION('ref_axis',(-1.,0.,0.)); #394773=DIRECTION('',(-1.,0.,0.)); #394774=DIRECTION('',(-1.,0.,0.)); #394775=DIRECTION('',(0.,0.,1.)); #394776=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #394777=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #394778=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #394779=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #394780=DIRECTION('',(0.,0.,1.)); #394781=DIRECTION('center_axis',(0.538928498327753,0.842351514327714,0.)); #394782=DIRECTION('ref_axis',(-0.842351514327714,0.538928498327753,0.)); #394783=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #394784=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #394785=DIRECTION('',(0.,0.,1.)); #394786=DIRECTION('center_axis',(0.824766287441862,0.565473758099673,0.)); #394787=DIRECTION('ref_axis',(-0.565473758099673,0.824766287441862,0.)); #394788=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #394789=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #394790=DIRECTION('',(0.,0.,1.)); #394791=DIRECTION('center_axis',(0.979997328799713,0.199010641789396,0.)); #394792=DIRECTION('ref_axis',(-0.199010641789396,0.979997328799713,0.)); #394793=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #394794=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #394795=DIRECTION('',(0.,0.,1.)); #394796=DIRECTION('center_axis',(1.,0.,0.)); #394797=DIRECTION('ref_axis',(0.,1.,0.)); #394798=DIRECTION('',(0.,1.,0.)); #394799=DIRECTION('',(0.,1.,0.)); #394800=DIRECTION('',(0.,0.,1.)); #394801=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #394802=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #394803=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #394804=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #394805=DIRECTION('',(0.,0.,1.)); #394806=DIRECTION('center_axis',(0.833699290017826,-0.552218701081169,0.)); #394807=DIRECTION('ref_axis',(0.552218701081169,0.833699290017826,0.)); #394808=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #394809=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #394810=DIRECTION('',(0.,0.,1.)); #394811=DIRECTION('center_axis',(0.707106797437347,-0.707106764935748,0.)); #394812=DIRECTION('ref_axis',(0.707106764935748,0.707106797437347,0.)); #394813=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #394814=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #394815=DIRECTION('',(0.,0.,1.)); #394816=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #394817=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #394818=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #394819=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #394820=DIRECTION('',(0.,0.,1.)); #394821=DIRECTION('center_axis',(0.19901824208078,-0.979995785357813,0.)); #394822=DIRECTION('ref_axis',(0.979995785357813,0.19901824208078,0.)); #394823=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #394824=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #394825=DIRECTION('',(0.,0.,1.)); #394826=DIRECTION('center_axis',(0.,-1.,0.)); #394827=DIRECTION('ref_axis',(1.,0.,0.)); #394828=DIRECTION('',(1.,0.,0.)); #394829=DIRECTION('',(1.,0.,0.)); #394830=DIRECTION('',(0.,0.,1.)); #394831=DIRECTION('center_axis',(0.140987972617697,-0.990011308812759,0.)); #394832=DIRECTION('ref_axis',(0.990011308812759,0.140987972617697,0.)); #394833=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #394834=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #394835=DIRECTION('',(0.,0.,1.)); #394836=DIRECTION('center_axis',(0.613185310509894,-0.789939095737693,0.)); #394837=DIRECTION('ref_axis',(0.789939095737693,0.613185310509894,0.)); #394838=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #394839=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #394840=DIRECTION('',(0.,0.,1.)); #394841=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #394842=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #394843=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #394844=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #394845=DIRECTION('',(0.,0.,1.)); #394846=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #394847=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #394848=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #394849=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #394850=DIRECTION('',(0.,0.,1.)); #394851=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #394852=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #394853=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #394854=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #394855=DIRECTION('',(0.,0.,1.)); #394856=DIRECTION('center_axis',(0.,-1.,0.)); #394857=DIRECTION('ref_axis',(1.,0.,0.)); #394858=DIRECTION('',(1.,0.,0.)); #394859=DIRECTION('',(1.,0.,0.)); #394860=DIRECTION('',(0.,0.,1.)); #394861=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #394862=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #394863=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #394864=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #394865=DIRECTION('',(0.,0.,1.)); #394866=DIRECTION('center_axis',(0.,-1.,0.)); #394867=DIRECTION('ref_axis',(1.,0.,0.)); #394868=DIRECTION('',(1.,0.,0.)); #394869=DIRECTION('',(1.,0.,0.)); #394870=DIRECTION('',(0.,0.,1.)); #394871=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #394872=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #394873=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #394874=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #394875=DIRECTION('',(0.,0.,1.)); #394876=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #394877=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #394878=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #394879=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #394880=DIRECTION('',(0.,0.,1.)); #394881=DIRECTION('center_axis',(1.,0.,0.)); #394882=DIRECTION('ref_axis',(0.,1.,0.)); #394883=DIRECTION('',(0.,1.,0.)); #394884=DIRECTION('',(0.,1.,0.)); #394885=DIRECTION('',(0.,0.,1.)); #394886=DIRECTION('center_axis',(0.982315373407559,-0.187233830188798,0.)); #394887=DIRECTION('ref_axis',(0.187233830188798,0.982315373407559,0.)); #394888=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #394889=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #394890=DIRECTION('',(0.,0.,1.)); #394891=DIRECTION('center_axis',(0.838041506433313,-0.545606482269944,0.)); #394892=DIRECTION('ref_axis',(0.545606482269944,0.838041506433313,0.)); #394893=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #394894=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #394895=DIRECTION('',(0.,0.,1.)); #394896=DIRECTION('center_axis',(0.558842045442049,-0.829274121292921,0.)); #394897=DIRECTION('ref_axis',(0.829274121292921,0.558842045442049,0.)); #394898=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #394899=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #394900=DIRECTION('',(0.,0.,1.)); #394901=DIRECTION('center_axis',(0.382689829785819,-0.923876882586907,0.)); #394902=DIRECTION('ref_axis',(0.923876882586907,0.382689829785819,0.)); #394903=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #394904=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #394905=DIRECTION('',(0.,0.,1.)); #394906=DIRECTION('center_axis',(0.707106177917541,-0.707107384455039,0.)); #394907=DIRECTION('ref_axis',(0.707107384455039,0.707106177917541,0.)); #394908=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #394909=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #394910=DIRECTION('',(0.,0.,1.)); #394911=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #394912=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #394913=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #394914=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #394915=DIRECTION('',(0.,0.,1.)); #394916=DIRECTION('center_axis',(1.,0.,0.)); #394917=DIRECTION('ref_axis',(0.,1.,0.)); #394918=DIRECTION('',(0.,1.,0.)); #394919=DIRECTION('',(0.,1.,0.)); #394920=DIRECTION('',(0.,0.,1.)); #394921=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #394922=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #394923=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #394924=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #394925=DIRECTION('',(0.,0.,1.)); #394926=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #394927=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #394928=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #394929=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #394930=DIRECTION('',(0.,0.,1.)); #394931=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #394932=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #394933=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #394934=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #394935=DIRECTION('',(0.,0.,1.)); #394936=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #394937=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #394938=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #394939=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #394940=DIRECTION('',(0.,0.,1.)); #394941=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #394942=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #394943=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #394944=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #394945=DIRECTION('',(0.,0.,1.)); #394946=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #394947=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #394948=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #394949=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #394950=DIRECTION('',(0.,0.,1.)); #394951=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #394952=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #394953=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #394954=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #394955=DIRECTION('',(0.,0.,1.)); #394956=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #394957=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #394958=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #394959=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #394960=DIRECTION('center_axis',(0.,0.,1.)); #394961=DIRECTION('ref_axis',(1.,0.,0.)); #394962=DIRECTION('center_axis',(0.,0.,1.)); #394963=DIRECTION('ref_axis',(1.,0.,0.)); #394964=DIRECTION('center_axis',(0.230104828377436,-0.973165848125278,0.)); #394965=DIRECTION('ref_axis',(0.973165848125278,0.230104828377436,0.)); #394966=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #394967=DIRECTION('',(0.,0.,1.)); #394968=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #394969=DIRECTION('',(0.,0.,1.)); #394970=DIRECTION('center_axis',(-0.167615492455217,-0.985852446712486, 0.)); #394971=DIRECTION('ref_axis',(0.985852446712486,-0.167615492455217,0.)); #394972=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #394973=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #394974=DIRECTION('',(0.,0.,1.)); #394975=DIRECTION('center_axis',(-0.53892288322649,-0.842355106789795,0.)); #394976=DIRECTION('ref_axis',(0.842355106789795,-0.53892288322649,0.)); #394977=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #394978=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #394979=DIRECTION('',(0.,0.,1.)); #394980=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #394981=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #394982=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #394983=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #394984=DIRECTION('',(0.,0.,1.)); #394985=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #394986=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #394987=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #394988=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #394989=DIRECTION('',(0.,0.,1.)); #394990=DIRECTION('center_axis',(-1.,0.,0.)); #394991=DIRECTION('ref_axis',(0.,-1.,0.)); #394992=DIRECTION('',(0.,-1.,0.)); #394993=DIRECTION('',(0.,-1.,0.)); #394994=DIRECTION('',(0.,0.,1.)); #394995=DIRECTION('center_axis',(-0.984473985687406,0.175530542939833,0.)); #394996=DIRECTION('ref_axis',(-0.175530542939833,-0.984473985687406,0.)); #394997=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #394998=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #394999=DIRECTION('',(0.,0.,1.)); #395000=DIRECTION('center_axis',(-0.850855747839111,0.525399368451416,0.)); #395001=DIRECTION('ref_axis',(-0.525399368451416,-0.850855747839111,0.)); #395002=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #395003=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #395004=DIRECTION('',(0.,0.,1.)); #395005=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #395006=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #395007=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395008=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395009=DIRECTION('',(0.,0.,1.)); #395010=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #395011=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #395012=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #395013=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #395014=DIRECTION('',(0.,0.,1.)); #395015=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #395016=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #395017=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395018=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395019=DIRECTION('',(0.,0.,1.)); #395020=DIRECTION('center_axis',(0.552214058332483,0.833702365223927,0.)); #395021=DIRECTION('ref_axis',(-0.833702365223927,0.552214058332483,0.)); #395022=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #395023=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #395024=DIRECTION('',(0.,0.,1.)); #395025=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #395026=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #395027=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395028=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395029=DIRECTION('',(0.,0.,1.)); #395030=DIRECTION('center_axis',(0.829264560351591,0.558856232804898,0.)); #395031=DIRECTION('ref_axis',(-0.558856232804898,0.829264560351591,0.)); #395032=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #395033=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #395034=DIRECTION('',(0.,0.,1.)); #395035=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #395036=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #395037=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #395038=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #395039=DIRECTION('',(0.,0.,1.)); #395040=DIRECTION('center_axis',(1.,0.,0.)); #395041=DIRECTION('ref_axis',(0.,1.,0.)); #395042=DIRECTION('',(0.,1.,0.)); #395043=DIRECTION('',(0.,1.,0.)); #395044=DIRECTION('',(0.,0.,1.)); #395045=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #395046=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #395047=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #395048=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #395049=DIRECTION('',(0.,0.,1.)); #395050=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #395051=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #395052=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #395053=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #395054=DIRECTION('',(0.,0.,1.)); #395055=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #395056=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #395057=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #395058=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #395059=DIRECTION('',(0.,0.,1.)); #395060=DIRECTION('center_axis',(0.572023686171484,-0.820237101366908,0.)); #395061=DIRECTION('ref_axis',(0.820237101366908,0.572023686171484,0.)); #395062=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #395063=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #395064=DIRECTION('center_axis',(0.,0.,1.)); #395065=DIRECTION('ref_axis',(1.,0.,0.)); #395066=DIRECTION('center_axis',(0.,0.,1.)); #395067=DIRECTION('ref_axis',(1.,0.,0.)); #395068=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #395069=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #395070=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #395071=DIRECTION('',(0.,0.,1.)); #395072=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #395073=DIRECTION('',(0.,0.,1.)); #395074=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #395075=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #395076=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #395077=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #395078=DIRECTION('',(0.,0.,1.)); #395079=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #395080=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #395081=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #395082=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #395083=DIRECTION('',(0.,0.,1.)); #395084=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395085=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395086=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395087=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395088=DIRECTION('',(0.,0.,1.)); #395089=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #395090=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #395091=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #395092=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #395093=DIRECTION('',(0.,0.,1.)); #395094=DIRECTION('center_axis',(-0.97999811234025,-0.199006783325459,0.)); #395095=DIRECTION('ref_axis',(0.199006783325459,-0.97999811234025,0.)); #395096=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #395097=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #395098=DIRECTION('',(0.,0.,1.)); #395099=DIRECTION('center_axis',(-1.,0.,0.)); #395100=DIRECTION('ref_axis',(0.,-1.,0.)); #395101=DIRECTION('',(0.,-1.,0.)); #395102=DIRECTION('',(0.,-1.,0.)); #395103=DIRECTION('',(0.,0.,1.)); #395104=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #395105=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #395106=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395107=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395108=DIRECTION('',(0.,0.,1.)); #395109=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #395110=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #395111=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395112=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395113=DIRECTION('',(0.,0.,1.)); #395114=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #395115=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #395116=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395117=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395118=DIRECTION('',(0.,0.,1.)); #395119=DIRECTION('center_axis',(-0.214594192212104,0.97670329817649,0.)); #395120=DIRECTION('ref_axis',(-0.97670329817649,-0.214594192212104,0.)); #395121=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #395122=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #395123=DIRECTION('',(0.,0.,1.)); #395124=DIRECTION('center_axis',(0.183380618657204,0.983041987252275,0.)); #395125=DIRECTION('ref_axis',(-0.983041987252274,0.183380618657204,0.)); #395126=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #395127=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #395128=DIRECTION('',(0.,0.,1.)); #395129=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #395130=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #395131=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #395132=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #395133=DIRECTION('',(0.,0.,1.)); #395134=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #395135=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #395136=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395137=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395138=DIRECTION('',(0.,0.,1.)); #395139=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #395140=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #395141=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #395142=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #395143=DIRECTION('',(0.,0.,1.)); #395144=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #395145=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #395146=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #395147=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #395148=DIRECTION('',(0.,0.,1.)); #395149=DIRECTION('center_axis',(1.,0.,0.)); #395150=DIRECTION('ref_axis',(0.,1.,0.)); #395151=DIRECTION('',(0.,1.,0.)); #395152=DIRECTION('',(0.,1.,0.)); #395153=DIRECTION('',(0.,0.,1.)); #395154=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #395155=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #395156=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #395157=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #395158=DIRECTION('',(0.,0.,1.)); #395159=DIRECTION('center_axis',(0.850855747839111,-0.525399368451416,0.)); #395160=DIRECTION('ref_axis',(0.525399368451416,0.850855747839111,0.)); #395161=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #395162=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #395163=DIRECTION('',(0.,0.,1.)); #395164=DIRECTION('center_axis',(0.57858315794952,-0.815623399208949,0.)); #395165=DIRECTION('ref_axis',(0.81562339920895,0.57858315794952,0.)); #395166=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #395167=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #395168=DIRECTION('center_axis',(0.,0.,1.)); #395169=DIRECTION('ref_axis',(1.,0.,0.)); #395170=DIRECTION('center_axis',(0.,0.,1.)); #395171=DIRECTION('ref_axis',(1.,0.,0.)); #395172=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #395173=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #395174=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #395175=DIRECTION('',(0.,0.,1.)); #395176=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #395177=DIRECTION('',(0.,0.,1.)); #395178=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #395179=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #395180=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #395181=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #395182=DIRECTION('',(0.,0.,1.)); #395183=DIRECTION('center_axis',(-0.552211825696274,-0.833703844036471, 0.)); #395184=DIRECTION('ref_axis',(0.833703844036471,-0.552211825696274,0.)); #395185=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #395186=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #395187=DIRECTION('',(0.,0.,1.)); #395188=DIRECTION('center_axis',(-0.707106781186537,-0.707106781186558, 0.)); #395189=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #395190=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #395191=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #395192=DIRECTION('',(0.,0.,1.)); #395193=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #395194=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #395195=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #395196=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #395197=DIRECTION('',(0.,0.,1.)); #395198=DIRECTION('center_axis',(-0.979997563866653,-0.199009484234861, 0.)); #395199=DIRECTION('ref_axis',(0.199009484234861,-0.979997563866653,0.)); #395200=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #395201=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #395202=DIRECTION('',(0.,0.,1.)); #395203=DIRECTION('center_axis',(-1.,0.,0.)); #395204=DIRECTION('ref_axis',(0.,-1.,0.)); #395205=DIRECTION('',(0.,-1.,0.)); #395206=DIRECTION('',(0.,-1.,0.)); #395207=DIRECTION('',(0.,0.,1.)); #395208=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #395209=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #395210=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395211=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395212=DIRECTION('',(0.,0.,1.)); #395213=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #395214=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #395215=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395216=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395217=DIRECTION('',(0.,0.,1.)); #395218=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #395219=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #395220=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395221=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #395222=DIRECTION('',(0.,0.,1.)); #395223=DIRECTION('center_axis',(-0.214594192212128,0.976703298176485,0.)); #395224=DIRECTION('ref_axis',(-0.976703298176485,-0.214594192212128,0.)); #395225=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #395226=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #395227=DIRECTION('',(0.,0.,1.)); #395228=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #395229=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #395230=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395231=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395232=DIRECTION('',(0.,0.,1.)); #395233=DIRECTION('center_axis',(0.552227809242938,0.833693256958904,0.)); #395234=DIRECTION('ref_axis',(-0.833693256958904,0.552227809242938,0.)); #395235=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #395236=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #395237=DIRECTION('',(0.,0.,1.)); #395238=DIRECTION('center_axis',(0.707106781186507,0.707106781186588,0.)); #395239=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #395240=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #395241=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #395242=DIRECTION('',(0.,0.,1.)); #395243=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #395244=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #395245=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #395246=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #395247=DIRECTION('',(0.,0.,1.)); #395248=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #395249=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #395250=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #395251=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #395252=DIRECTION('',(0.,0.,1.)); #395253=DIRECTION('center_axis',(1.,0.,0.)); #395254=DIRECTION('ref_axis',(0.,1.,0.)); #395255=DIRECTION('',(0.,1.,0.)); #395256=DIRECTION('',(0.,1.,0.)); #395257=DIRECTION('',(0.,0.,1.)); #395258=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #395259=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #395260=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #395261=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #395262=DIRECTION('',(0.,0.,1.)); #395263=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #395264=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #395265=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #395266=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #395267=DIRECTION('',(0.,0.,1.)); #395268=DIRECTION('center_axis',(0.578580525622476,-0.815625266510559,0.)); #395269=DIRECTION('ref_axis',(0.815625266510559,0.578580525622476,0.)); #395270=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #395271=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #395272=DIRECTION('center_axis',(0.,0.,1.)); #395273=DIRECTION('ref_axis',(1.,0.,0.)); #395274=DIRECTION('center_axis',(0.,0.,1.)); #395275=DIRECTION('ref_axis',(1.,0.,0.)); #395276=DIRECTION('center_axis',(0.214594192212146,-0.976703298176481,0.)); #395277=DIRECTION('ref_axis',(0.976703298176481,0.214594192212146,0.)); #395278=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #395279=DIRECTION('',(0.,0.,1.)); #395280=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #395281=DIRECTION('',(0.,0.,1.)); #395282=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #395283=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #395284=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #395285=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #395286=DIRECTION('',(0.,0.,1.)); #395287=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #395288=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #395289=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #395290=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #395291=DIRECTION('',(0.,0.,1.)); #395292=DIRECTION('center_axis',(-0.707104920988687,-0.707108641379514, 0.)); #395293=DIRECTION('ref_axis',(0.707108641379514,-0.707104920988687,0.)); #395294=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #395295=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #395296=DIRECTION('',(0.,0.,1.)); #395297=DIRECTION('center_axis',(0.,-1.,0.)); #395298=DIRECTION('ref_axis',(1.,0.,0.)); #395299=DIRECTION('',(1.,0.,0.)); #395300=DIRECTION('',(1.,0.,0.)); #395301=DIRECTION('',(0.,0.,1.)); #395302=DIRECTION('center_axis',(-0.191191000932847,-0.981552851945475, 0.)); #395303=DIRECTION('ref_axis',(0.981552851945475,-0.191191000932847,0.)); #395304=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #395305=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #395306=DIRECTION('',(0.,0.,1.)); #395307=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #395308=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #395309=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #395310=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #395311=DIRECTION('',(0.,0.,1.)); #395312=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #395313=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #395314=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395315=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #395316=DIRECTION('',(0.,0.,1.)); #395317=DIRECTION('center_axis',(-0.820244441262321,-0.572013161193222, 0.)); #395318=DIRECTION('ref_axis',(0.572013161193222,-0.820244441262321,0.)); #395319=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #395320=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #395321=DIRECTION('',(0.,0.,1.)); #395322=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #395323=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #395324=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #395325=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #395326=DIRECTION('',(0.,0.,1.)); #395327=DIRECTION('center_axis',(-0.985851792830732,0.1676193383009,0.)); #395328=DIRECTION('ref_axis',(-0.1676193383009,-0.985851792830732,0.)); #395329=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #395330=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #395331=DIRECTION('',(0.,0.,1.)); #395332=DIRECTION('center_axis',(-0.842351514327689,0.538928498327793,0.)); #395333=DIRECTION('ref_axis',(-0.538928498327792,-0.842351514327689,0.)); #395334=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #395335=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #395336=DIRECTION('',(0.,0.,1.)); #395337=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #395338=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #395339=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #395340=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #395341=DIRECTION('',(0.,0.,1.)); #395342=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #395343=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #395344=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #395345=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #395346=DIRECTION('',(0.,0.,1.)); #395347=DIRECTION('center_axis',(0.,1.,0.)); #395348=DIRECTION('ref_axis',(-1.,0.,0.)); #395349=DIRECTION('',(-1.,0.,0.)); #395350=DIRECTION('',(-1.,0.,0.)); #395351=DIRECTION('',(0.,0.,1.)); #395352=DIRECTION('center_axis',(0.183314787014838,0.983054265471497,0.)); #395353=DIRECTION('ref_axis',(-0.983054265471497,0.183314787014838,0.)); #395354=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #395355=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #395356=DIRECTION('',(0.,0.,1.)); #395357=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #395358=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #395359=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #395360=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #395361=DIRECTION('',(0.,0.,1.)); #395362=DIRECTION('center_axis',(0.824766287441794,0.565473758099772,0.)); #395363=DIRECTION('ref_axis',(-0.565473758099772,0.824766287441794,0.)); #395364=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #395365=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #395366=DIRECTION('',(0.,0.,1.)); #395367=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #395368=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #395369=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #395370=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #395371=DIRECTION('',(0.,0.,1.)); #395372=DIRECTION('center_axis',(1.,0.,0.)); #395373=DIRECTION('ref_axis',(0.,1.,0.)); #395374=DIRECTION('',(0.,1.,0.)); #395375=DIRECTION('',(0.,1.,0.)); #395376=DIRECTION('',(0.,0.,1.)); #395377=DIRECTION('center_axis',(0.984474676816785,-0.175526666653493,0.)); #395378=DIRECTION('ref_axis',(0.175526666653493,0.984474676816785,0.)); #395379=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #395380=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #395381=DIRECTION('',(0.,0.,1.)); #395382=DIRECTION('center_axis',(0.850855747839124,-0.525399368451396,0.)); #395383=DIRECTION('ref_axis',(0.525399368451396,0.850855747839124,0.)); #395384=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #395385=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #395386=DIRECTION('',(0.,0.,1.)); #395387=DIRECTION('center_axis',(0.57858315794949,-0.81562339920897,0.)); #395388=DIRECTION('ref_axis',(0.81562339920897,0.57858315794949,0.)); #395389=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #395390=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #395391=DIRECTION('center_axis',(0.,0.,1.)); #395392=DIRECTION('ref_axis',(1.,0.,0.)); #395393=DIRECTION('center_axis',(0.,0.,1.)); #395394=DIRECTION('ref_axis',(1.,0.,0.)); #395395=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #395396=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #395397=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #395398=DIRECTION('',(0.,0.,1.)); #395399=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #395400=DIRECTION('',(0.,0.,1.)); #395401=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #395402=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #395403=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #395404=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #395405=DIRECTION('',(0.,0.,1.)); #395406=DIRECTION('center_axis',(-0.552211825696314,-0.833703844036444, 0.)); #395407=DIRECTION('ref_axis',(0.833703844036444,-0.552211825696314,0.)); #395408=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #395409=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #395410=DIRECTION('',(0.,0.,1.)); #395411=DIRECTION('center_axis',(-0.707106781186497,-0.707106781186598, 0.)); #395412=DIRECTION('ref_axis',(0.707106781186598,-0.707106781186497,0.)); #395413=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #395414=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #395415=DIRECTION('',(0.,0.,1.)); #395416=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #395417=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #395418=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #395419=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #395420=DIRECTION('',(0.,0.,1.)); #395421=DIRECTION('center_axis',(-0.97999756386667,-0.199009484234776,0.)); #395422=DIRECTION('ref_axis',(0.199009484234776,-0.97999756386667,0.)); #395423=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #395424=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #395425=DIRECTION('',(0.,0.,1.)); #395426=DIRECTION('center_axis',(-1.,0.,0.)); #395427=DIRECTION('ref_axis',(0.,-1.,0.)); #395428=DIRECTION('',(0.,-1.,0.)); #395429=DIRECTION('',(0.,-1.,0.)); #395430=DIRECTION('',(0.,0.,1.)); #395431=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #395432=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #395433=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395434=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #395435=DIRECTION('',(0.,0.,1.)); #395436=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #395437=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #395438=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395439=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #395440=DIRECTION('',(0.,0.,1.)); #395441=DIRECTION('center_axis',(-0.578583157949532,0.815623399208941,0.)); #395442=DIRECTION('ref_axis',(-0.815623399208941,-0.578583157949532,0.)); #395443=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #395444=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #395445=DIRECTION('',(0.,0.,1.)); #395446=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #395447=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #395448=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #395449=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #395450=DIRECTION('',(0.,0.,1.)); #395451=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #395452=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #395453=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395454=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #395455=DIRECTION('',(0.,0.,1.)); #395456=DIRECTION('center_axis',(0.552227809242898,0.833693256958931,0.)); #395457=DIRECTION('ref_axis',(-0.833693256958931,0.552227809242898,0.)); #395458=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #395459=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #395460=DIRECTION('',(0.,0.,1.)); #395461=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #395462=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #395463=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395464=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #395465=DIRECTION('',(0.,0.,1.)); #395466=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #395467=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #395468=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #395469=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #395470=DIRECTION('',(0.,0.,1.)); #395471=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #395472=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #395473=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #395474=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #395475=DIRECTION('',(0.,0.,1.)); #395476=DIRECTION('center_axis',(1.,0.,0.)); #395477=DIRECTION('ref_axis',(0.,1.,0.)); #395478=DIRECTION('',(0.,1.,0.)); #395479=DIRECTION('',(0.,1.,0.)); #395480=DIRECTION('',(0.,0.,1.)); #395481=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #395482=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #395483=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #395484=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #395485=DIRECTION('',(0.,0.,1.)); #395486=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #395487=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #395488=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #395489=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #395490=DIRECTION('',(0.,0.,1.)); #395491=DIRECTION('center_axis',(0.578580525622434,-0.815625266510588,0.)); #395492=DIRECTION('ref_axis',(0.815625266510588,0.578580525622434,0.)); #395493=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #395494=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #395495=DIRECTION('center_axis',(0.,0.,1.)); #395496=DIRECTION('ref_axis',(1.,0.,0.)); #395497=DIRECTION('center_axis',(0.,0.,1.)); #395498=DIRECTION('ref_axis',(1.,0.,0.)); #395499=DIRECTION('center_axis',(0.199006783325552,-0.979998112340232,0.)); #395500=DIRECTION('ref_axis',(0.979998112340231,0.199006783325552,0.)); #395501=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #395502=DIRECTION('',(0.,0.,1.)); #395503=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #395504=DIRECTION('',(0.,0.,1.)); #395505=DIRECTION('center_axis',(0.,-1.,0.)); #395506=DIRECTION('ref_axis',(1.,0.,0.)); #395507=DIRECTION('',(1.,0.,0.)); #395508=DIRECTION('',(1.,0.,0.)); #395509=DIRECTION('',(0.,0.,1.)); #395510=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #395511=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #395512=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #395513=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #395514=DIRECTION('',(0.,0.,1.)); #395515=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #395516=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #395517=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #395518=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #395519=DIRECTION('',(0.,0.,1.)); #395520=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395521=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395522=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395523=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395524=DIRECTION('',(0.,0.,1.)); #395525=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #395526=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #395527=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #395528=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #395529=DIRECTION('',(0.,0.,1.)); #395530=DIRECTION('center_axis',(-0.973161256280918,-0.230124247470243, 0.)); #395531=DIRECTION('ref_axis',(0.230124247470243,-0.973161256280918,0.)); #395532=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #395533=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #395534=DIRECTION('',(0.,0.,1.)); #395535=DIRECTION('center_axis',(-0.98585179283072,0.16761933830097,0.)); #395536=DIRECTION('ref_axis',(-0.16761933830097,-0.98585179283072,0.)); #395537=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #395538=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #395539=DIRECTION('',(0.,0.,1.)); #395540=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #395541=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #395542=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #395543=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #395544=DIRECTION('',(0.,0.,1.)); #395545=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #395546=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #395547=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #395548=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #395549=DIRECTION('',(0.,0.,1.)); #395550=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #395551=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #395552=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #395553=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #395554=DIRECTION('',(0.,0.,1.)); #395555=DIRECTION('center_axis',(0.,1.,0.)); #395556=DIRECTION('ref_axis',(-1.,0.,0.)); #395557=DIRECTION('',(-1.,0.,0.)); #395558=DIRECTION('',(-1.,0.,0.)); #395559=DIRECTION('',(0.,0.,1.)); #395560=DIRECTION('center_axis',(0.191191000932847,0.981552851945475,0.)); #395561=DIRECTION('ref_axis',(-0.981552851945475,0.191191000932847,0.)); #395562=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #395563=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #395564=DIRECTION('',(0.,0.,1.)); #395565=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #395566=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #395567=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #395568=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #395569=DIRECTION('',(0.,0.,1.)); #395570=DIRECTION('center_axis',(0.707106781186588,0.707106781186507,0.)); #395571=DIRECTION('ref_axis',(-0.707106781186507,0.707106781186588,0.)); #395572=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #395573=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #395574=DIRECTION('',(0.,0.,1.)); #395575=DIRECTION('center_axis',(0.824764905148825,0.565475774224546,0.)); #395576=DIRECTION('ref_axis',(-0.565475774224546,0.824764905148825,0.)); #395577=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #395578=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #395579=DIRECTION('',(0.,0.,1.)); #395580=DIRECTION('center_axis',(0.976703298176495,0.214594192212086,0.)); #395581=DIRECTION('ref_axis',(-0.214594192212086,0.976703298176494,0.)); #395582=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #395583=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #395584=DIRECTION('',(0.,0.,1.)); #395585=DIRECTION('center_axis',(0.983041321917696,-0.183384185256821,0.)); #395586=DIRECTION('ref_axis',(0.183384185256821,0.983041321917696,0.)); #395587=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #395588=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #395589=DIRECTION('',(0.,0.,1.)); #395590=DIRECTION('center_axis',(0.833708398048215,-0.552204950198638,0.)); #395591=DIRECTION('ref_axis',(0.552204950198638,0.833708398048215,0.)); #395592=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #395593=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #395594=DIRECTION('',(0.,0.,1.)); #395595=DIRECTION('center_axis',(0.707106781186588,-0.707106781186507,0.)); #395596=DIRECTION('ref_axis',(0.707106781186507,0.707106781186588,0.)); #395597=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #395598=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #395599=DIRECTION('',(0.,0.,1.)); #395600=DIRECTION('center_axis',(0.558856232804846,-0.829264560351626,0.)); #395601=DIRECTION('ref_axis',(0.829264560351626,0.558856232804846,0.)); #395602=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #395603=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #395604=DIRECTION('center_axis',(0.,0.,1.)); #395605=DIRECTION('ref_axis',(1.,0.,0.)); #395606=DIRECTION('center_axis',(0.,0.,1.)); #395607=DIRECTION('ref_axis',(1.,0.,0.)); #395608=DIRECTION('center_axis',(0.,0.,1.)); #395609=DIRECTION('ref_axis',(1.,0.,0.)); #395610=DIRECTION('center_axis',(0.,0.,1.)); #395611=DIRECTION('ref_axis',(1.,0.,0.)); #395612=DIRECTION('',(0.,0.,1.)); #395613=DIRECTION('center_axis',(0.,0.,-1.)); #395614=DIRECTION('ref_axis',(1.,0.,0.)); #395615=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #395616=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #395617=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395618=DIRECTION('',(0.,0.,1.)); #395619=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395620=DIRECTION('',(0.,0.,1.)); #395621=DIRECTION('center_axis',(0.,0.,1.)); #395622=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395623=DIRECTION('center_axis',(0.,0.,1.)); #395624=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395625=DIRECTION('center_axis',(0.,0.,1.)); #395626=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395627=DIRECTION('',(0.,0.,1.)); #395628=DIRECTION('center_axis',(-1.,0.,0.)); #395629=DIRECTION('ref_axis',(0.,-1.,0.)); #395630=DIRECTION('',(0.,-1.,0.)); #395631=DIRECTION('',(0.,-1.,0.)); #395632=DIRECTION('',(0.,0.,1.)); #395633=DIRECTION('center_axis',(0.,0.,1.)); #395634=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395635=DIRECTION('center_axis',(0.,0.,1.)); #395636=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395637=DIRECTION('center_axis',(0.,0.,1.)); #395638=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #395639=DIRECTION('',(0.,0.,1.)); #395640=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #395641=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #395642=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #395643=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #395644=DIRECTION('',(0.,0.,1.)); #395645=DIRECTION('center_axis',(-1.,0.,0.)); #395646=DIRECTION('ref_axis',(0.,-1.,0.)); #395647=DIRECTION('',(0.,-1.,0.)); #395648=DIRECTION('',(0.,-1.,0.)); #395649=DIRECTION('',(0.,0.,1.)); #395650=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395651=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395652=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395653=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395654=DIRECTION('',(0.,0.,1.)); #395655=DIRECTION('center_axis',(0.,-1.,0.)); #395656=DIRECTION('ref_axis',(1.,0.,0.)); #395657=DIRECTION('',(1.,0.,0.)); #395658=DIRECTION('',(1.,0.,0.)); #395659=DIRECTION('',(0.,0.,1.)); #395660=DIRECTION('center_axis',(0.,0.,1.)); #395661=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395662=DIRECTION('center_axis',(0.,0.,1.)); #395663=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395664=DIRECTION('center_axis',(0.,0.,1.)); #395665=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #395666=DIRECTION('',(0.,0.,1.)); #395667=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #395668=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #395669=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #395670=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #395671=DIRECTION('',(0.,0.,1.)); #395672=DIRECTION('center_axis',(0.,-1.,0.)); #395673=DIRECTION('ref_axis',(1.,0.,0.)); #395674=DIRECTION('',(1.,0.,0.)); #395675=DIRECTION('',(1.,0.,0.)); #395676=DIRECTION('',(0.,0.,1.)); #395677=DIRECTION('center_axis',(-1.,0.,0.)); #395678=DIRECTION('ref_axis',(0.,-1.,0.)); #395679=DIRECTION('',(0.,-1.,0.)); #395680=DIRECTION('',(0.,-1.,0.)); #395681=DIRECTION('',(0.,0.,1.)); #395682=DIRECTION('center_axis',(0.,1.,0.)); #395683=DIRECTION('ref_axis',(-1.,0.,0.)); #395684=DIRECTION('',(-1.,0.,0.)); #395685=DIRECTION('',(-1.,0.,0.)); #395686=DIRECTION('',(0.,0.,1.)); #395687=DIRECTION('center_axis',(1.,0.,0.)); #395688=DIRECTION('ref_axis',(0.,1.,0.)); #395689=DIRECTION('',(0.,1.,0.)); #395690=DIRECTION('',(0.,1.,0.)); #395691=DIRECTION('',(0.,0.,1.)); #395692=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #395693=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #395694=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #395695=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #395696=DIRECTION('',(0.,0.,1.)); #395697=DIRECTION('center_axis',(0.,1.,0.)); #395698=DIRECTION('ref_axis',(-1.,0.,0.)); #395699=DIRECTION('',(-1.,0.,0.)); #395700=DIRECTION('',(-1.,0.,0.)); #395701=DIRECTION('',(0.,0.,1.)); #395702=DIRECTION('center_axis',(0.,0.,1.)); #395703=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395704=DIRECTION('center_axis',(0.,0.,1.)); #395705=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395706=DIRECTION('center_axis',(0.,0.,1.)); #395707=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395708=DIRECTION('',(0.,0.,1.)); #395709=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #395710=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #395711=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #395712=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #395713=DIRECTION('',(0.,0.,1.)); #395714=DIRECTION('center_axis',(0.,0.,1.)); #395715=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395716=DIRECTION('center_axis',(0.,0.,1.)); #395717=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395718=DIRECTION('center_axis',(0.,0.,1.)); #395719=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395720=DIRECTION('',(0.,0.,1.)); #395721=DIRECTION('center_axis',(1.,0.,0.)); #395722=DIRECTION('ref_axis',(0.,1.,0.)); #395723=DIRECTION('',(0.,1.,0.)); #395724=DIRECTION('',(0.,1.,0.)); #395725=DIRECTION('',(0.,0.,1.)); #395726=DIRECTION('center_axis',(0.,0.,1.)); #395727=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395728=DIRECTION('center_axis',(0.,0.,1.)); #395729=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395730=DIRECTION('center_axis',(0.,0.,1.)); #395731=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #395732=DIRECTION('',(0.,0.,1.)); #395733=DIRECTION('center_axis',(0.707106781186538,-0.707106781186557,0.)); #395734=DIRECTION('ref_axis',(0.707106781186557,0.707106781186538,0.)); #395735=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #395736=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #395737=DIRECTION('',(0.,0.,1.)); #395738=DIRECTION('center_axis',(1.,0.,0.)); #395739=DIRECTION('ref_axis',(0.,1.,0.)); #395740=DIRECTION('',(0.,1.,0.)); #395741=DIRECTION('',(0.,1.,0.)); #395742=DIRECTION('',(0.,0.,1.)); #395743=DIRECTION('center_axis',(0.,0.,1.)); #395744=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395745=DIRECTION('center_axis',(0.,0.,1.)); #395746=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395747=DIRECTION('center_axis',(0.,0.,1.)); #395748=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395749=DIRECTION('center_axis',(0.,0.,1.)); #395750=DIRECTION('ref_axis',(1.,0.,0.)); #395751=DIRECTION('center_axis',(0.,0.,1.)); #395752=DIRECTION('ref_axis',(1.,0.,0.)); #395753=DIRECTION('center_axis',(0.,0.,1.)); #395754=DIRECTION('ref_axis',(1.,0.,0.)); #395755=DIRECTION('center_axis',(0.,0.,1.)); #395756=DIRECTION('ref_axis',(1.,0.,0.)); #395757=DIRECTION('',(0.,0.,1.)); #395758=DIRECTION('center_axis',(0.,0.,-1.)); #395759=DIRECTION('ref_axis',(1.,0.,0.)); #395760=DIRECTION('center_axis',(0.,0.,1.)); #395761=DIRECTION('ref_axis',(1.,0.,0.)); #395762=DIRECTION('center_axis',(0.,0.,1.)); #395763=DIRECTION('ref_axis',(1.,0.,0.)); #395764=DIRECTION('',(0.,0.,1.)); #395765=DIRECTION('center_axis',(0.,0.,-1.)); #395766=DIRECTION('ref_axis',(1.,0.,0.)); #395767=DIRECTION('center_axis',(0.,0.,1.)); #395768=DIRECTION('ref_axis',(1.,0.,0.)); #395769=DIRECTION('center_axis',(0.,0.,1.)); #395770=DIRECTION('ref_axis',(1.,0.,0.)); #395771=DIRECTION('',(0.,0.,1.)); #395772=DIRECTION('center_axis',(0.,0.,-1.)); #395773=DIRECTION('ref_axis',(1.,0.,0.)); #395774=DIRECTION('center_axis',(0.,0.,1.)); #395775=DIRECTION('ref_axis',(1.,0.,0.)); #395776=DIRECTION('center_axis',(0.,0.,1.)); #395777=DIRECTION('ref_axis',(1.,0.,0.)); #395778=DIRECTION('',(0.,0.,1.)); #395779=DIRECTION('center_axis',(0.,0.,-1.)); #395780=DIRECTION('ref_axis',(1.,0.,0.)); #395781=DIRECTION('center_axis',(0.,0.,1.)); #395782=DIRECTION('ref_axis',(1.,0.,0.)); #395783=DIRECTION('center_axis',(0.,0.,1.)); #395784=DIRECTION('ref_axis',(1.,0.,0.)); #395785=DIRECTION('',(0.,0.,1.)); #395786=DIRECTION('center_axis',(0.,0.,-1.)); #395787=DIRECTION('ref_axis',(1.,0.,0.)); #395788=DIRECTION('center_axis',(0.,0.,1.)); #395789=DIRECTION('ref_axis',(1.,0.,0.)); #395790=DIRECTION('center_axis',(0.,0.,1.)); #395791=DIRECTION('ref_axis',(1.,0.,0.)); #395792=DIRECTION('',(0.,0.,1.)); #395793=DIRECTION('center_axis',(0.,0.,-1.)); #395794=DIRECTION('ref_axis',(1.,0.,0.)); #395795=DIRECTION('center_axis',(0.,0.,1.)); #395796=DIRECTION('ref_axis',(1.,0.,0.)); #395797=DIRECTION('center_axis',(0.,0.,1.)); #395798=DIRECTION('ref_axis',(1.,0.,0.)); #395799=DIRECTION('',(0.,0.,1.)); #395800=DIRECTION('center_axis',(0.,0.,-1.)); #395801=DIRECTION('ref_axis',(1.,0.,0.)); #395802=DIRECTION('center_axis',(0.,0.,1.)); #395803=DIRECTION('ref_axis',(1.,0.,0.)); #395804=DIRECTION('center_axis',(0.,0.,1.)); #395805=DIRECTION('ref_axis',(1.,0.,0.)); #395806=DIRECTION('',(0.,0.,1.)); #395807=DIRECTION('center_axis',(0.,0.,-1.)); #395808=DIRECTION('ref_axis',(1.,0.,0.)); #395809=DIRECTION('center_axis',(0.,0.,1.)); #395810=DIRECTION('ref_axis',(1.,0.,0.)); #395811=DIRECTION('center_axis',(0.,0.,1.)); #395812=DIRECTION('ref_axis',(1.,0.,0.)); #395813=DIRECTION('',(0.,0.,1.)); #395814=DIRECTION('center_axis',(0.,0.,-1.)); #395815=DIRECTION('ref_axis',(1.,0.,0.)); #395816=DIRECTION('center_axis',(0.,0.,1.)); #395817=DIRECTION('ref_axis',(1.,0.,0.)); #395818=DIRECTION('center_axis',(0.,0.,1.)); #395819=DIRECTION('ref_axis',(1.,0.,0.)); #395820=DIRECTION('',(0.,0.,1.)); #395821=DIRECTION('center_axis',(0.,0.,-1.)); #395822=DIRECTION('ref_axis',(1.,0.,0.)); #395823=DIRECTION('center_axis',(0.,0.,1.)); #395824=DIRECTION('ref_axis',(1.,0.,0.)); #395825=DIRECTION('center_axis',(0.,0.,1.)); #395826=DIRECTION('ref_axis',(1.,0.,0.)); #395827=DIRECTION('',(0.,0.,1.)); #395828=DIRECTION('center_axis',(0.,0.,-1.)); #395829=DIRECTION('ref_axis',(1.,0.,0.)); #395830=DIRECTION('center_axis',(0.,0.,1.)); #395831=DIRECTION('ref_axis',(1.,0.,0.)); #395832=DIRECTION('center_axis',(0.,0.,1.)); #395833=DIRECTION('ref_axis',(1.,0.,0.)); #395834=DIRECTION('',(0.,0.,1.)); #395835=DIRECTION('center_axis',(0.,0.,-1.)); #395836=DIRECTION('ref_axis',(1.,0.,0.)); #395837=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #395838=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #395839=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #395840=DIRECTION('',(0.,0.,1.)); #395841=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #395842=DIRECTION('',(0.,0.,1.)); #395843=DIRECTION('center_axis',(0.,-1.,0.)); #395844=DIRECTION('ref_axis',(1.,0.,0.)); #395845=DIRECTION('',(1.,0.,0.)); #395846=DIRECTION('',(1.,0.,0.)); #395847=DIRECTION('',(0.,0.,1.)); #395848=DIRECTION('center_axis',(-0.183335538282804,-0.983050395657595, 0.)); #395849=DIRECTION('ref_axis',(0.983050395657595,-0.183335538282804,0.)); #395850=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #395851=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #395852=DIRECTION('',(0.,0.,1.)); #395853=DIRECTION('center_axis',(-0.538914460439928,-0.842360495470165, 0.)); #395854=DIRECTION('ref_axis',(0.842360495470165,-0.538914460439928,0.)); #395855=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #395856=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #395857=DIRECTION('',(0.,0.,1.)); #395858=DIRECTION('center_axis',(-0.824766287441766,-0.565473758099813, 0.)); #395859=DIRECTION('ref_axis',(0.565473758099813,-0.824766287441766,0.)); #395860=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #395861=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #395862=DIRECTION('',(0.,0.,1.)); #395863=DIRECTION('center_axis',(-0.979997328799679,-0.199010641789564, 0.)); #395864=DIRECTION('ref_axis',(0.199010641789564,-0.979997328799679,0.)); #395865=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #395866=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #395867=DIRECTION('',(0.,0.,1.)); #395868=DIRECTION('center_axis',(-1.,0.,0.)); #395869=DIRECTION('ref_axis',(0.,-1.,0.)); #395870=DIRECTION('',(0.,-1.,0.)); #395871=DIRECTION('',(0.,-1.,0.)); #395872=DIRECTION('',(0.,0.,1.)); #395873=DIRECTION('center_axis',(-0.995621238776244,-0.0934791361623409, 0.)); #395874=DIRECTION('ref_axis',(0.0934791361623409,-0.995621238776244,0.)); #395875=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #395876=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #395877=DIRECTION('',(0.,0.,1.)); #395878=DIRECTION('center_axis',(-0.960863719718224,-0.277021501203894, 0.)); #395879=DIRECTION('ref_axis',(0.277021501203894,-0.960863719718224,0.)); #395880=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #395881=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #395882=DIRECTION('',(0.,0.,1.)); #395883=DIRECTION('center_axis',(-0.892532404086875,-0.450983267599702, 0.)); #395884=DIRECTION('ref_axis',(0.450983267599702,-0.892532404086875,0.)); #395885=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #395886=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #395887=DIRECTION('',(0.,0.,1.)); #395888=DIRECTION('center_axis',(-0.793097730527882,-0.609094401412066, 0.)); #395889=DIRECTION('ref_axis',(0.609094401412066,-0.793097730527882,0.)); #395890=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #395891=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #395892=DIRECTION('',(0.,0.,1.)); #395893=DIRECTION('center_axis',(-0.665917848415929,-0.746025079445121, 0.)); #395894=DIRECTION('ref_axis',(0.746025079445121,-0.665917848415929,0.)); #395895=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #395896=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #395897=DIRECTION('',(0.,0.,1.)); #395898=DIRECTION('center_axis',(-0.515344405546105,-0.856983164170879, 0.)); #395899=DIRECTION('ref_axis',(0.856983164170879,-0.515344405546105,0.)); #395900=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #395901=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #395902=DIRECTION('',(0.,0.,1.)); #395903=DIRECTION('center_axis',(-0.347052026072811,-0.937845878169093, 0.)); #395904=DIRECTION('ref_axis',(0.937845878169093,-0.347052026072811,0.)); #395905=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #395906=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #395907=DIRECTION('',(0.,0.,1.)); #395908=DIRECTION('center_axis',(-0.130062439437506,-0.99150580525157,0.)); #395909=DIRECTION('ref_axis',(0.99150580525157,-0.130062439437506,0.)); #395910=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #395911=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #395912=DIRECTION('',(0.,0.,1.)); #395913=DIRECTION('center_axis',(0.,-1.,0.)); #395914=DIRECTION('ref_axis',(1.,0.,0.)); #395915=DIRECTION('',(1.,0.,0.)); #395916=DIRECTION('',(1.,0.,0.)); #395917=DIRECTION('',(0.,0.,1.)); #395918=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #395919=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #395920=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #395921=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #395922=DIRECTION('',(0.,0.,1.)); #395923=DIRECTION('center_axis',(-0.538914460439817,-0.842360495470236, 0.)); #395924=DIRECTION('ref_axis',(0.842360495470236,-0.538914460439817,0.)); #395925=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #395926=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #395927=DIRECTION('',(0.,0.,1.)); #395928=DIRECTION('center_axis',(-0.8246744274587,-0.565607716262487,0.)); #395929=DIRECTION('ref_axis',(0.565607716262487,-0.8246744274587,0.)); #395930=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #395931=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #395932=DIRECTION('',(0.,0.,1.)); #395933=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #395934=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #395935=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #395936=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #395937=DIRECTION('',(0.,0.,1.)); #395938=DIRECTION('center_axis',(0.,-1.,0.)); #395939=DIRECTION('ref_axis',(1.,0.,0.)); #395940=DIRECTION('',(1.,0.,0.)); #395941=DIRECTION('',(1.,0.,0.)); #395942=DIRECTION('',(0.,0.,1.)); #395943=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #395944=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #395945=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #395946=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #395947=DIRECTION('',(0.,0.,1.)); #395948=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #395949=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #395950=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #395951=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #395952=DIRECTION('',(0.,0.,1.)); #395953=DIRECTION('center_axis',(-0.824838804062798,-0.565367975138541, 0.)); #395954=DIRECTION('ref_axis',(0.565367975138541,-0.824838804062798,0.)); #395955=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #395956=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #395957=DIRECTION('',(0.,0.,1.)); #395958=DIRECTION('center_axis',(0.,0.,1.)); #395959=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #395960=DIRECTION('center_axis',(0.,0.,1.)); #395961=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #395962=DIRECTION('center_axis',(0.,0.,1.)); #395963=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #395964=DIRECTION('',(0.,0.,1.)); #395965=DIRECTION('center_axis',(0.,-1.,0.)); #395966=DIRECTION('ref_axis',(1.,0.,0.)); #395967=DIRECTION('',(1.,0.,0.)); #395968=DIRECTION('',(1.,0.,0.)); #395969=DIRECTION('',(0.,0.,1.)); #395970=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #395971=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #395972=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #395973=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #395974=DIRECTION('',(0.,0.,1.)); #395975=DIRECTION('center_axis',(0.,0.,1.)); #395976=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395977=DIRECTION('center_axis',(0.,0.,1.)); #395978=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395979=DIRECTION('center_axis',(0.,0.,1.)); #395980=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #395981=DIRECTION('',(0.,0.,1.)); #395982=DIRECTION('center_axis',(0.,-1.,0.)); #395983=DIRECTION('ref_axis',(1.,0.,0.)); #395984=DIRECTION('',(1.,0.,0.)); #395985=DIRECTION('',(1.,0.,0.)); #395986=DIRECTION('',(0.,0.,1.)); #395987=DIRECTION('center_axis',(1.,0.,0.)); #395988=DIRECTION('ref_axis',(0.,1.,0.)); #395989=DIRECTION('',(0.,1.,0.)); #395990=DIRECTION('',(0.,1.,0.)); #395991=DIRECTION('',(0.,0.,1.)); #395992=DIRECTION('center_axis',(0.,-1.,0.)); #395993=DIRECTION('ref_axis',(1.,0.,0.)); #395994=DIRECTION('',(1.,0.,0.)); #395995=DIRECTION('',(1.,0.,0.)); #395996=DIRECTION('',(0.,0.,1.)); #395997=DIRECTION('center_axis',(-1.,0.,0.)); #395998=DIRECTION('ref_axis',(0.,-1.,0.)); #395999=DIRECTION('',(0.,-1.,0.)); #396000=DIRECTION('',(0.,-1.,0.)); #396001=DIRECTION('',(0.,0.,1.)); #396002=DIRECTION('center_axis',(0.,1.,0.)); #396003=DIRECTION('ref_axis',(-1.,0.,0.)); #396004=DIRECTION('',(-1.,0.,0.)); #396005=DIRECTION('',(-1.,0.,0.)); #396006=DIRECTION('',(0.,0.,1.)); #396007=DIRECTION('center_axis',(1.,0.,0.)); #396008=DIRECTION('ref_axis',(0.,1.,0.)); #396009=DIRECTION('',(0.,1.,0.)); #396010=DIRECTION('',(0.,1.,0.)); #396011=DIRECTION('',(0.,0.,1.)); #396012=DIRECTION('center_axis',(0.,1.,0.)); #396013=DIRECTION('ref_axis',(-1.,0.,0.)); #396014=DIRECTION('',(-1.,0.,0.)); #396015=DIRECTION('',(-1.,0.,0.)); #396016=DIRECTION('',(0.,0.,1.)); #396017=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #396018=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #396019=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #396020=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #396021=DIRECTION('',(0.,0.,1.)); #396022=DIRECTION('center_axis',(0.,0.,1.)); #396023=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #396024=DIRECTION('center_axis',(0.,0.,1.)); #396025=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #396026=DIRECTION('center_axis',(0.,0.,1.)); #396027=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #396028=DIRECTION('',(0.,0.,1.)); #396029=DIRECTION('center_axis',(0.,1.,0.)); #396030=DIRECTION('ref_axis',(-1.,0.,0.)); #396031=DIRECTION('',(-1.,0.,0.)); #396032=DIRECTION('',(-1.,0.,0.)); #396033=DIRECTION('',(0.,0.,1.)); #396034=DIRECTION('center_axis',(0.,0.,1.)); #396035=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #396036=DIRECTION('center_axis',(0.,0.,1.)); #396037=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #396038=DIRECTION('center_axis',(0.,0.,1.)); #396039=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #396040=DIRECTION('',(0.,0.,1.)); #396041=DIRECTION('center_axis',(-1.,0.,0.)); #396042=DIRECTION('ref_axis',(0.,-1.,0.)); #396043=DIRECTION('',(0.,-1.,0.)); #396044=DIRECTION('',(0.,-1.,0.)); #396045=DIRECTION('',(0.,0.,1.)); #396046=DIRECTION('center_axis',(0.,-1.,0.)); #396047=DIRECTION('ref_axis',(1.,0.,0.)); #396048=DIRECTION('',(1.,0.,0.)); #396049=DIRECTION('',(1.,0.,0.)); #396050=DIRECTION('',(0.,0.,1.)); #396051=DIRECTION('center_axis',(1.,0.,0.)); #396052=DIRECTION('ref_axis',(0.,1.,0.)); #396053=DIRECTION('',(0.,1.,0.)); #396054=DIRECTION('',(0.,1.,0.)); #396055=DIRECTION('',(0.,0.,1.)); #396056=DIRECTION('center_axis',(0.,-1.,0.)); #396057=DIRECTION('ref_axis',(1.,0.,0.)); #396058=DIRECTION('',(1.,0.,0.)); #396059=DIRECTION('',(1.,0.,0.)); #396060=DIRECTION('',(0.,0.,1.)); #396061=DIRECTION('center_axis',(-1.,0.,0.)); #396062=DIRECTION('ref_axis',(0.,-1.,0.)); #396063=DIRECTION('',(0.,-1.,0.)); #396064=DIRECTION('',(0.,-1.,0.)); #396065=DIRECTION('',(0.,0.,1.)); #396066=DIRECTION('center_axis',(0.,1.,0.)); #396067=DIRECTION('ref_axis',(-1.,0.,0.)); #396068=DIRECTION('',(-1.,0.,0.)); #396069=DIRECTION('',(-1.,0.,0.)); #396070=DIRECTION('',(0.,0.,1.)); #396071=DIRECTION('center_axis',(-1.,0.,0.)); #396072=DIRECTION('ref_axis',(0.,-1.,0.)); #396073=DIRECTION('',(0.,-1.,0.)); #396074=DIRECTION('',(0.,-1.,0.)); #396075=DIRECTION('',(0.,0.,1.)); #396076=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #396077=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #396078=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #396079=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #396080=DIRECTION('',(0.,0.,1.)); #396081=DIRECTION('center_axis',(0.,-1.,0.)); #396082=DIRECTION('ref_axis',(1.,0.,0.)); #396083=DIRECTION('',(1.,0.,0.)); #396084=DIRECTION('',(1.,0.,0.)); #396085=DIRECTION('',(0.,0.,1.)); #396086=DIRECTION('center_axis',(1.,0.,0.)); #396087=DIRECTION('ref_axis',(0.,1.,0.)); #396088=DIRECTION('',(0.,1.,0.)); #396089=DIRECTION('',(0.,1.,0.)); #396090=DIRECTION('',(0.,0.,1.)); #396091=DIRECTION('center_axis',(0.,-1.,0.)); #396092=DIRECTION('ref_axis',(1.,0.,0.)); #396093=DIRECTION('',(1.,0.,0.)); #396094=DIRECTION('',(1.,0.,0.)); #396095=DIRECTION('',(0.,0.,1.)); #396096=DIRECTION('center_axis',(-1.,0.,0.)); #396097=DIRECTION('ref_axis',(0.,-1.,0.)); #396098=DIRECTION('',(0.,-1.,0.)); #396099=DIRECTION('',(0.,-1.,0.)); #396100=DIRECTION('',(0.,0.,1.)); #396101=DIRECTION('center_axis',(0.,1.,0.)); #396102=DIRECTION('ref_axis',(-1.,0.,0.)); #396103=DIRECTION('',(-1.,0.,0.)); #396104=DIRECTION('',(-1.,0.,0.)); #396105=DIRECTION('',(0.,0.,1.)); #396106=DIRECTION('center_axis',(1.,0.,0.)); #396107=DIRECTION('ref_axis',(0.,1.,0.)); #396108=DIRECTION('',(0.,1.,0.)); #396109=DIRECTION('',(0.,1.,0.)); #396110=DIRECTION('',(0.,0.,1.)); #396111=DIRECTION('center_axis',(0.,1.,0.)); #396112=DIRECTION('ref_axis',(-1.,0.,0.)); #396113=DIRECTION('',(-1.,0.,0.)); #396114=DIRECTION('',(-1.,0.,0.)); #396115=DIRECTION('',(0.,0.,1.)); #396116=DIRECTION('center_axis',(0.,0.,1.)); #396117=DIRECTION('ref_axis',(0.707102614154301,0.707110948194238,0.)); #396118=DIRECTION('center_axis',(0.,0.,1.)); #396119=DIRECTION('ref_axis',(0.707102614154301,0.707110948194238,0.)); #396120=DIRECTION('center_axis',(0.,0.,1.)); #396121=DIRECTION('ref_axis',(0.707102614154301,0.707110948194238,0.)); #396122=DIRECTION('',(0.,0.,1.)); #396123=DIRECTION('center_axis',(0.707106781186537,0.707106781186558,0.)); #396124=DIRECTION('ref_axis',(-0.707106781186558,0.707106781186537,0.)); #396125=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #396126=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #396127=DIRECTION('',(0.,0.,1.)); #396128=DIRECTION('center_axis',(0.,0.,1.)); #396129=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396130=DIRECTION('center_axis',(0.,0.,1.)); #396131=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396132=DIRECTION('center_axis',(0.,0.,1.)); #396133=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #396134=DIRECTION('',(0.,0.,1.)); #396135=DIRECTION('center_axis',(1.,0.,0.)); #396136=DIRECTION('ref_axis',(0.,1.,0.)); #396137=DIRECTION('',(0.,1.,0.)); #396138=DIRECTION('',(0.,1.,0.)); #396139=DIRECTION('',(0.,0.,1.)); #396140=DIRECTION('center_axis',(0.,1.,0.)); #396141=DIRECTION('ref_axis',(-1.,0.,0.)); #396142=DIRECTION('',(-1.,0.,0.)); #396143=DIRECTION('',(-1.,0.,0.)); #396144=DIRECTION('',(0.,0.,1.)); #396145=DIRECTION('center_axis',(1.,0.,0.)); #396146=DIRECTION('ref_axis',(0.,1.,0.)); #396147=DIRECTION('',(0.,1.,0.)); #396148=DIRECTION('',(0.,1.,0.)); #396149=DIRECTION('',(0.,0.,1.)); #396150=DIRECTION('center_axis',(0.,1.,0.)); #396151=DIRECTION('ref_axis',(-1.,0.,0.)); #396152=DIRECTION('',(-1.,0.,0.)); #396153=DIRECTION('',(-1.,0.,0.)); #396154=DIRECTION('',(0.,0.,1.)); #396155=DIRECTION('center_axis',(-1.,0.,0.)); #396156=DIRECTION('ref_axis',(0.,-1.,0.)); #396157=DIRECTION('',(0.,-1.,0.)); #396158=DIRECTION('',(0.,-1.,0.)); #396159=DIRECTION('',(0.,0.,1.)); #396160=DIRECTION('center_axis',(-0.981552099144156,0.191194865688648,0.)); #396161=DIRECTION('ref_axis',(-0.191194865688648,-0.981552099144156,0.)); #396162=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #396163=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #396164=DIRECTION('',(0.,0.,1.)); #396165=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #396166=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #396167=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #396168=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #396169=DIRECTION('',(0.,0.,1.)); #396170=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396171=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396172=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396173=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396174=DIRECTION('',(0.,0.,1.)); #396175=DIRECTION('center_axis',(-0.558856232804877,0.829264560351605,0.)); #396176=DIRECTION('ref_axis',(-0.829264560351605,-0.558856232804877,0.)); #396177=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #396178=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #396179=DIRECTION('',(0.,0.,1.)); #396180=DIRECTION('center_axis',(-0.199010641789447,0.979997328799703,0.)); #396181=DIRECTION('ref_axis',(-0.979997328799703,-0.199010641789447,0.)); #396182=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #396183=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #396184=DIRECTION('',(0.,0.,1.)); #396185=DIRECTION('center_axis',(0.,1.,0.)); #396186=DIRECTION('ref_axis',(-1.,0.,0.)); #396187=DIRECTION('',(-1.,0.,0.)); #396188=DIRECTION('',(-1.,0.,0.)); #396189=DIRECTION('',(0.,0.,1.)); #396190=DIRECTION('center_axis',(-0.140944937191828,0.990017436553514,0.)); #396191=DIRECTION('ref_axis',(-0.990017436553514,-0.140944937191828,0.)); #396192=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #396193=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #396194=DIRECTION('',(0.,0.,1.)); #396195=DIRECTION('center_axis',(-0.613211584810861,0.7899186997747,0.)); #396196=DIRECTION('ref_axis',(-0.7899186997747,-0.613211584810861,0.)); #396197=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #396198=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #396199=DIRECTION('',(0.,0.,1.)); #396200=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396201=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396202=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396203=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #396204=DIRECTION('',(0.,0.,1.)); #396205=DIRECTION('center_axis',(-0.799763100783004,0.600315735780726,0.)); #396206=DIRECTION('ref_axis',(-0.600315735780726,-0.799763100783004,0.)); #396207=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #396208=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #396209=DIRECTION('',(0.,0.,1.)); #396210=DIRECTION('center_axis',(-0.99212134539019,0.125280629073933,0.)); #396211=DIRECTION('ref_axis',(-0.125280629073933,-0.99212134539019,0.)); #396212=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #396213=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #396214=DIRECTION('',(0.,0.,1.)); #396215=DIRECTION('center_axis',(-1.,0.,0.)); #396216=DIRECTION('ref_axis',(0.,-1.,0.)); #396217=DIRECTION('',(0.,-1.,0.)); #396218=DIRECTION('',(0.,-1.,0.)); #396219=DIRECTION('',(0.,0.,1.)); #396220=DIRECTION('center_axis',(-0.98305039565761,0.183335538282724,0.)); #396221=DIRECTION('ref_axis',(-0.183335538282724,-0.98305039565761,0.)); #396222=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #396223=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #396224=DIRECTION('',(0.,0.,1.)); #396225=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #396226=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #396227=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #396228=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #396229=DIRECTION('',(0.,0.,1.)); #396230=DIRECTION('center_axis',(-0.565473758099692,0.82476628744185,0.)); #396231=DIRECTION('ref_axis',(-0.82476628744185,-0.565473758099692,0.)); #396232=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #396233=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #396234=DIRECTION('',(0.,0.,1.)); #396235=DIRECTION('center_axis',(-0.19901492196467,0.979996459603501,0.)); #396236=DIRECTION('ref_axis',(-0.979996459603501,-0.19901492196467,0.)); #396237=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #396238=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #396239=DIRECTION('',(0.,0.,1.)); #396240=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #396241=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #396242=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #396243=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #396244=DIRECTION('',(0.,0.,1.)); #396245=DIRECTION('center_axis',(-1.,0.,0.)); #396246=DIRECTION('ref_axis',(0.,-1.,0.)); #396247=DIRECTION('',(0.,-1.,0.)); #396248=DIRECTION('',(0.,-1.,0.)); #396249=DIRECTION('',(0.,0.,1.)); #396250=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #396251=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #396252=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #396253=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #396254=DIRECTION('',(0.,0.,1.)); #396255=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #396256=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #396257=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #396258=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #396259=DIRECTION('',(0.,0.,1.)); #396260=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #396261=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #396262=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #396263=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #396264=DIRECTION('',(0.,0.,1.)); #396265=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #396266=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #396267=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #396268=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #396269=DIRECTION('',(0.,0.,1.)); #396270=DIRECTION('center_axis',(-0.199006783325569,0.979998112340228,0.)); #396271=DIRECTION('ref_axis',(-0.979998112340228,-0.199006783325569,0.)); #396272=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #396273=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #396274=DIRECTION('',(0.,0.,1.)); #396275=DIRECTION('center_axis',(0.,1.,0.)); #396276=DIRECTION('ref_axis',(-1.,0.,0.)); #396277=DIRECTION('',(-1.,0.,0.)); #396278=DIRECTION('',(-1.,0.,0.)); #396279=DIRECTION('',(0.,0.,1.)); #396280=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396281=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396282=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396283=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396284=DIRECTION('',(0.,0.,1.)); #396285=DIRECTION('center_axis',(-1.,0.,0.)); #396286=DIRECTION('ref_axis',(0.,-1.,0.)); #396287=DIRECTION('',(0.,-1.,0.)); #396288=DIRECTION('',(0.,-1.,0.)); #396289=DIRECTION('',(0.,0.,1.)); #396290=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #396291=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #396292=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #396293=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #396294=DIRECTION('',(0.,0.,1.)); #396295=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #396296=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #396297=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #396298=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #396299=DIRECTION('',(0.,0.,1.)); #396300=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396301=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396302=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396303=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396304=DIRECTION('',(0.,0.,1.)); #396305=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #396306=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #396307=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #396308=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #396309=DIRECTION('',(0.,0.,1.)); #396310=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #396311=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #396312=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #396313=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #396314=DIRECTION('',(0.,0.,1.)); #396315=DIRECTION('center_axis',(0.,1.,0.)); #396316=DIRECTION('ref_axis',(-1.,0.,0.)); #396317=DIRECTION('',(-1.,0.,0.)); #396318=DIRECTION('',(-1.,0.,0.)); #396319=DIRECTION('',(0.,0.,1.)); #396320=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #396321=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #396322=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #396323=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #396324=DIRECTION('',(0.,0.,1.)); #396325=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #396326=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #396327=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #396328=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #396329=DIRECTION('',(0.,0.,1.)); #396330=DIRECTION('center_axis',(0.824766287441809,0.565473758099751,0.)); #396331=DIRECTION('ref_axis',(-0.565473758099751,0.824766287441808,0.)); #396332=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #396333=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #396334=DIRECTION('',(0.,0.,1.)); #396335=DIRECTION('center_axis',(0.979997328799744,0.199010641789244,0.)); #396336=DIRECTION('ref_axis',(-0.199010641789244,0.979997328799744,0.)); #396337=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #396338=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #396339=DIRECTION('',(0.,0.,1.)); #396340=DIRECTION('center_axis',(1.,0.,0.)); #396341=DIRECTION('ref_axis',(0.,1.,0.)); #396342=DIRECTION('',(0.,1.,0.)); #396343=DIRECTION('',(0.,1.,0.)); #396344=DIRECTION('',(0.,0.,1.)); #396345=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #396346=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #396347=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #396348=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #396349=DIRECTION('',(0.,0.,1.)); #396350=DIRECTION('center_axis',(0.,1.,0.)); #396351=DIRECTION('ref_axis',(-1.,0.,0.)); #396352=DIRECTION('',(-1.,0.,0.)); #396353=DIRECTION('',(-1.,0.,0.)); #396354=DIRECTION('',(0.,0.,1.)); #396355=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396356=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396357=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396358=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396359=DIRECTION('',(0.,0.,1.)); #396360=DIRECTION('center_axis',(-1.,0.,0.)); #396361=DIRECTION('ref_axis',(0.,-1.,0.)); #396362=DIRECTION('',(0.,-1.,0.)); #396363=DIRECTION('',(0.,-1.,0.)); #396364=DIRECTION('',(0.,0.,1.)); #396365=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #396366=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #396367=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #396368=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #396369=DIRECTION('',(0.,0.,1.)); #396370=DIRECTION('center_axis',(-0.842360495470205,0.538914460439865,0.)); #396371=DIRECTION('ref_axis',(-0.538914460439865,-0.842360495470205,0.)); #396372=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #396373=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #396374=DIRECTION('',(0.,0.,1.)); #396375=DIRECTION('center_axis',(-0.565473758099751,0.824766287441809,0.)); #396376=DIRECTION('ref_axis',(-0.824766287441808,-0.565473758099751,0.)); #396377=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #396378=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #396379=DIRECTION('',(0.,0.,1.)); #396380=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #396381=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #396382=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #396383=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #396384=DIRECTION('',(0.,0.,1.)); #396385=DIRECTION('center_axis',(0.,1.,0.)); #396386=DIRECTION('ref_axis',(-1.,0.,0.)); #396387=DIRECTION('',(-1.,0.,0.)); #396388=DIRECTION('',(-1.,0.,0.)); #396389=DIRECTION('',(0.,0.,1.)); #396390=DIRECTION('center_axis',(0.216895865380999,0.976194746749145,0.)); #396391=DIRECTION('ref_axis',(-0.976194746749145,0.216895865380999,0.)); #396392=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #396393=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #396394=DIRECTION('',(0.,0.,1.)); #396395=DIRECTION('center_axis',(0.595320754033768,0.803488145411409,0.)); #396396=DIRECTION('ref_axis',(-0.803488145411409,0.595320754033768,0.)); #396397=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #396398=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #396399=DIRECTION('',(0.,0.,1.)); #396400=DIRECTION('center_axis',(0.743294562183035,0.668964269471196,0.)); #396401=DIRECTION('ref_axis',(-0.668964269471196,0.743294562183034,0.)); #396402=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #396403=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #396404=DIRECTION('',(0.,0.,1.)); #396405=DIRECTION('center_axis',(0.843652661993583,0.536889360959166,0.)); #396406=DIRECTION('ref_axis',(-0.536889360959166,0.843652661993583,0.)); #396407=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #396408=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #396409=DIRECTION('',(0.,0.,1.)); #396410=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #396411=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #396412=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #396413=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #396414=DIRECTION('',(0.,0.,1.)); #396415=DIRECTION('center_axis',(1.,0.,0.)); #396416=DIRECTION('ref_axis',(0.,1.,0.)); #396417=DIRECTION('',(0.,1.,0.)); #396418=DIRECTION('',(0.,1.,0.)); #396419=DIRECTION('',(0.,0.,1.)); #396420=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #396421=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #396422=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #396423=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #396424=DIRECTION('',(0.,0.,1.)); #396425=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #396426=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #396427=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #396428=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #396429=DIRECTION('',(0.,0.,1.)); #396430=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #396431=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #396432=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #396433=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #396434=DIRECTION('',(0.,0.,1.)); #396435=DIRECTION('center_axis',(0.79976310078318,-0.600315735780492,0.)); #396436=DIRECTION('ref_axis',(0.600315735780492,0.79976310078318,0.)); #396437=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #396438=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #396439=DIRECTION('',(0.,0.,1.)); #396440=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #396441=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #396442=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #396443=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #396444=DIRECTION('',(0.,0.,1.)); #396445=DIRECTION('center_axis',(1.,0.,0.)); #396446=DIRECTION('ref_axis',(0.,1.,0.)); #396447=DIRECTION('',(0.,1.,0.)); #396448=DIRECTION('',(0.,1.,0.)); #396449=DIRECTION('',(0.,0.,1.)); #396450=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #396451=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #396452=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #396453=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #396454=DIRECTION('',(0.,0.,1.)); #396455=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #396456=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #396457=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #396458=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #396459=DIRECTION('',(0.,0.,1.)); #396460=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #396461=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #396462=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #396463=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #396464=DIRECTION('',(0.,0.,1.)); #396465=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #396466=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #396467=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #396468=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #396469=DIRECTION('center_axis',(0.,0.,1.)); #396470=DIRECTION('ref_axis',(1.,0.,0.)); #396471=DIRECTION('center_axis',(0.,0.,1.)); #396472=DIRECTION('ref_axis',(1.,0.,0.)); #396473=DIRECTION('center_axis',(0.,0.,1.)); #396474=DIRECTION('ref_axis',(1.,0.,0.)); #396475=DIRECTION('center_axis',(0.,0.,1.)); #396476=DIRECTION('ref_axis',(1.,0.,0.)); #396477=DIRECTION('',(0.,0.,1.)); #396478=DIRECTION('center_axis',(0.,0.,-1.)); #396479=DIRECTION('ref_axis',(1.,0.,0.)); #396480=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #396481=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #396482=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #396483=DIRECTION('',(0.,0.,1.)); #396484=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #396485=DIRECTION('',(0.,0.,1.)); #396486=DIRECTION('center_axis',(0.,0.,1.)); #396487=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396488=DIRECTION('center_axis',(0.,0.,1.)); #396489=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396490=DIRECTION('center_axis',(0.,0.,1.)); #396491=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396492=DIRECTION('',(0.,0.,1.)); #396493=DIRECTION('center_axis',(0.,0.,1.)); #396494=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396495=DIRECTION('center_axis',(0.,0.,1.)); #396496=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396497=DIRECTION('center_axis',(0.,0.,1.)); #396498=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396499=DIRECTION('center_axis',(0.,0.,1.)); #396500=DIRECTION('ref_axis',(1.,0.,0.)); #396501=DIRECTION('center_axis',(0.,0.,1.)); #396502=DIRECTION('ref_axis',(1.,0.,0.)); #396503=DIRECTION('center_axis',(0.,0.,1.)); #396504=DIRECTION('ref_axis',(1.,0.,0.)); #396505=DIRECTION('center_axis',(0.,0.,1.)); #396506=DIRECTION('ref_axis',(1.,0.,0.)); #396507=DIRECTION('',(0.,0.,1.)); #396508=DIRECTION('center_axis',(0.,0.,-1.)); #396509=DIRECTION('ref_axis',(1.,0.,0.)); #396510=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #396511=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #396512=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #396513=DIRECTION('',(0.,0.,1.)); #396514=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #396515=DIRECTION('',(0.,0.,1.)); #396516=DIRECTION('center_axis',(0.,0.,1.)); #396517=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396518=DIRECTION('center_axis',(0.,0.,1.)); #396519=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396520=DIRECTION('center_axis',(0.,0.,1.)); #396521=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396522=DIRECTION('',(0.,0.,1.)); #396523=DIRECTION('center_axis',(0.,0.,1.)); #396524=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396525=DIRECTION('center_axis',(0.,0.,1.)); #396526=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396527=DIRECTION('center_axis',(0.,0.,1.)); #396528=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396529=DIRECTION('center_axis',(0.,0.,1.)); #396530=DIRECTION('ref_axis',(1.,0.,0.)); #396531=DIRECTION('center_axis',(0.,0.,1.)); #396532=DIRECTION('ref_axis',(1.,0.,0.)); #396533=DIRECTION('center_axis',(0.,0.,1.)); #396534=DIRECTION('ref_axis',(1.,0.,0.)); #396535=DIRECTION('center_axis',(0.,0.,1.)); #396536=DIRECTION('ref_axis',(1.,0.,0.)); #396537=DIRECTION('',(0.,0.,1.)); #396538=DIRECTION('center_axis',(0.,0.,-1.)); #396539=DIRECTION('ref_axis',(1.,0.,0.)); #396540=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #396541=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #396542=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396543=DIRECTION('',(0.,0.,1.)); #396544=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #396545=DIRECTION('',(0.,0.,1.)); #396546=DIRECTION('center_axis',(0.,0.,1.)); #396547=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396548=DIRECTION('center_axis',(0.,0.,1.)); #396549=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396550=DIRECTION('center_axis',(0.,0.,1.)); #396551=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396552=DIRECTION('',(0.,0.,1.)); #396553=DIRECTION('center_axis',(0.,0.,1.)); #396554=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396555=DIRECTION('center_axis',(0.,0.,1.)); #396556=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396557=DIRECTION('center_axis',(0.,0.,1.)); #396558=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #396559=DIRECTION('center_axis',(0.,0.,1.)); #396560=DIRECTION('ref_axis',(1.,0.,0.)); #396561=DIRECTION('center_axis',(0.,0.,1.)); #396562=DIRECTION('ref_axis',(1.,0.,0.)); #396563=DIRECTION('center_axis',(0.,0.,1.)); #396564=DIRECTION('ref_axis',(1.,0.,0.)); #396565=DIRECTION('center_axis',(0.,0.,1.)); #396566=DIRECTION('ref_axis',(1.,0.,0.)); #396567=DIRECTION('',(0.,0.,1.)); #396568=DIRECTION('center_axis',(0.,0.,-1.)); #396569=DIRECTION('ref_axis',(1.,0.,0.)); #396570=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396571=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396572=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396573=DIRECTION('',(0.,0.,1.)); #396574=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396575=DIRECTION('',(0.,0.,1.)); #396576=DIRECTION('center_axis',(0.,-1.,0.)); #396577=DIRECTION('ref_axis',(1.,0.,0.)); #396578=DIRECTION('',(1.,0.,0.)); #396579=DIRECTION('',(1.,0.,0.)); #396580=DIRECTION('',(0.,0.,1.)); #396581=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396582=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396583=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #396584=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #396585=DIRECTION('',(0.,0.,1.)); #396586=DIRECTION('center_axis',(-1.,0.,0.)); #396587=DIRECTION('ref_axis',(0.,-1.,0.)); #396588=DIRECTION('',(0.,-1.,0.)); #396589=DIRECTION('',(0.,-1.,0.)); #396590=DIRECTION('',(0.,0.,1.)); #396591=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396592=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396593=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396594=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396595=DIRECTION('',(0.,0.,1.)); #396596=DIRECTION('center_axis',(0.,1.,0.)); #396597=DIRECTION('ref_axis',(-1.,0.,0.)); #396598=DIRECTION('',(-1.,0.,0.)); #396599=DIRECTION('',(-1.,0.,0.)); #396600=DIRECTION('',(0.,0.,1.)); #396601=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #396602=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #396603=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396604=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #396605=DIRECTION('',(0.,0.,1.)); #396606=DIRECTION('center_axis',(1.,0.,0.)); #396607=DIRECTION('ref_axis',(0.,1.,0.)); #396608=DIRECTION('',(0.,1.,0.)); #396609=DIRECTION('',(0.,1.,0.)); #396610=DIRECTION('center_axis',(0.,0.,1.)); #396611=DIRECTION('ref_axis',(1.,0.,0.)); #396612=DIRECTION('center_axis',(0.,0.,1.)); #396613=DIRECTION('ref_axis',(1.,0.,0.)); #396614=DIRECTION('center_axis',(0.,0.,1.)); #396615=DIRECTION('ref_axis',(1.,0.,0.)); #396616=DIRECTION('center_axis',(0.,0.,1.)); #396617=DIRECTION('ref_axis',(1.,0.,0.)); #396618=DIRECTION('',(0.,0.,1.)); #396619=DIRECTION('center_axis',(0.,0.,-1.)); #396620=DIRECTION('ref_axis',(1.,0.,0.)); #396621=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #396622=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #396623=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #396624=DIRECTION('',(0.,0.,1.)); #396625=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #396626=DIRECTION('',(0.,0.,1.)); #396627=DIRECTION('center_axis',(0.,0.,1.)); #396628=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #396629=DIRECTION('center_axis',(0.,0.,1.)); #396630=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #396631=DIRECTION('center_axis',(0.,0.,1.)); #396632=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #396633=DIRECTION('',(0.,0.,1.)); #396634=DIRECTION('center_axis',(0.,0.,1.)); #396635=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #396636=DIRECTION('center_axis',(0.,0.,1.)); #396637=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #396638=DIRECTION('center_axis',(0.,0.,1.)); #396639=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #396640=DIRECTION('center_axis',(0.,0.,1.)); #396641=DIRECTION('ref_axis',(1.,0.,0.)); #396642=DIRECTION('center_axis',(0.,0.,1.)); #396643=DIRECTION('ref_axis',(1.,0.,0.)); #396644=DIRECTION('center_axis',(0.,0.,1.)); #396645=DIRECTION('ref_axis',(1.,0.,0.)); #396646=DIRECTION('center_axis',(0.,0.,1.)); #396647=DIRECTION('ref_axis',(1.,0.,0.)); #396648=DIRECTION('',(0.,0.,1.)); #396649=DIRECTION('center_axis',(0.,0.,-1.)); #396650=DIRECTION('ref_axis',(1.,0.,0.)); #396651=DIRECTION('center_axis',(1.,0.,0.)); #396652=DIRECTION('ref_axis',(0.,1.,0.)); #396653=DIRECTION('',(0.,1.,0.)); #396654=DIRECTION('',(0.,0.,1.)); #396655=DIRECTION('',(0.,1.,0.)); #396656=DIRECTION('',(0.,0.,1.)); #396657=DIRECTION('center_axis',(0.,-1.,0.)); #396658=DIRECTION('ref_axis',(1.,0.,0.)); #396659=DIRECTION('',(1.,0.,0.)); #396660=DIRECTION('',(1.,0.,0.)); #396661=DIRECTION('',(0.,0.,1.)); #396662=DIRECTION('center_axis',(-1.,0.,0.)); #396663=DIRECTION('ref_axis',(0.,-1.,0.)); #396664=DIRECTION('',(0.,-1.,0.)); #396665=DIRECTION('',(0.,-1.,0.)); #396666=DIRECTION('',(0.,0.,1.)); #396667=DIRECTION('center_axis',(0.,1.,0.)); #396668=DIRECTION('ref_axis',(-1.,0.,0.)); #396669=DIRECTION('',(-1.,0.,0.)); #396670=DIRECTION('',(-1.,0.,0.)); #396671=DIRECTION('center_axis',(0.,0.,1.)); #396672=DIRECTION('ref_axis',(1.,0.,0.)); #396673=DIRECTION('center_axis',(0.,0.,1.)); #396674=DIRECTION('ref_axis',(1.,0.,0.)); #396675=DIRECTION('center_axis',(0.,0.,1.)); #396676=DIRECTION('ref_axis',(1.,0.,0.)); #396677=DIRECTION('center_axis',(0.,0.,1.)); #396678=DIRECTION('ref_axis',(1.,0.,0.)); #396679=DIRECTION('',(0.,0.,1.)); #396680=DIRECTION('center_axis',(0.,0.,-1.)); #396681=DIRECTION('ref_axis',(1.,0.,0.)); #396682=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #396683=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #396684=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #396685=DIRECTION('',(0.,0.,1.)); #396686=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #396687=DIRECTION('',(0.,0.,1.)); #396688=DIRECTION('center_axis',(0.,0.,1.)); #396689=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396690=DIRECTION('center_axis',(0.,0.,1.)); #396691=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396692=DIRECTION('center_axis',(0.,0.,1.)); #396693=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #396694=DIRECTION('',(0.,0.,1.)); #396695=DIRECTION('center_axis',(0.,0.,1.)); #396696=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396697=DIRECTION('center_axis',(0.,0.,1.)); #396698=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396699=DIRECTION('center_axis',(0.,0.,1.)); #396700=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #396701=DIRECTION('center_axis',(0.,0.,1.)); #396702=DIRECTION('ref_axis',(1.,0.,0.)); #396703=DIRECTION('center_axis',(0.,0.,1.)); #396704=DIRECTION('ref_axis',(1.,0.,0.)); #396705=DIRECTION('center_axis',(1.,0.,0.)); #396706=DIRECTION('ref_axis',(0.,1.,0.)); #396707=DIRECTION('',(0.,-1.,0.)); #396708=DIRECTION('',(0.,0.,1.)); #396709=DIRECTION('',(0.,1.,0.)); #396710=DIRECTION('',(0.,0.,1.)); #396711=DIRECTION('center_axis',(0.,0.,1.)); #396712=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #396713=DIRECTION('center_axis',(0.,0.,-1.)); #396714=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #396715=DIRECTION('center_axis',(0.,0.,1.)); #396716=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #396717=DIRECTION('',(0.,0.,1.)); #396718=DIRECTION('center_axis',(-1.,0.,0.)); #396719=DIRECTION('ref_axis',(0.,-1.,0.)); #396720=DIRECTION('',(0.,1.,0.)); #396721=DIRECTION('',(0.,-1.,0.)); #396722=DIRECTION('',(0.,0.,1.)); #396723=DIRECTION('center_axis',(0.,0.,1.)); #396724=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #396725=DIRECTION('center_axis',(0.,0.,-1.)); #396726=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #396727=DIRECTION('center_axis',(0.,0.,1.)); #396728=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #396729=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #396730=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #396731=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #396732=DIRECTION('',(0.,0.,1.)); #396733=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #396734=DIRECTION('',(0.,0.,1.)); #396735=DIRECTION('center_axis',(0.,-1.,0.)); #396736=DIRECTION('ref_axis',(1.,0.,0.)); #396737=DIRECTION('',(1.,0.,0.)); #396738=DIRECTION('',(1.,0.,0.)); #396739=DIRECTION('',(0.,0.,1.)); #396740=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #396741=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #396742=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #396743=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #396744=DIRECTION('',(0.,0.,1.)); #396745=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #396746=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #396747=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #396748=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #396749=DIRECTION('',(0.,0.,1.)); #396750=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #396751=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #396752=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #396753=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #396754=DIRECTION('',(0.,0.,1.)); #396755=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #396756=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #396757=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #396758=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #396759=DIRECTION('',(0.,0.,1.)); #396760=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #396761=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #396762=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #396763=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #396764=DIRECTION('',(0.,0.,1.)); #396765=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #396766=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #396767=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #396768=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #396769=DIRECTION('',(0.,0.,1.)); #396770=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #396771=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #396772=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #396773=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #396774=DIRECTION('',(0.,0.,1.)); #396775=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #396776=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #396777=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #396778=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #396779=DIRECTION('',(0.,0.,1.)); #396780=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #396781=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #396782=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #396783=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #396784=DIRECTION('',(0.,0.,1.)); #396785=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #396786=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #396787=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #396788=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #396789=DIRECTION('',(0.,0.,1.)); #396790=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #396791=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #396792=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #396793=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #396794=DIRECTION('',(0.,0.,1.)); #396795=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #396796=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #396797=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #396798=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #396799=DIRECTION('',(0.,0.,1.)); #396800=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #396801=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #396802=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #396803=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #396804=DIRECTION('',(0.,0.,1.)); #396805=DIRECTION('center_axis',(-1.,0.,0.)); #396806=DIRECTION('ref_axis',(0.,-1.,0.)); #396807=DIRECTION('',(0.,-1.,0.)); #396808=DIRECTION('',(0.,-1.,0.)); #396809=DIRECTION('',(0.,0.,1.)); #396810=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #396811=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #396812=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #396813=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #396814=DIRECTION('',(0.,0.,1.)); #396815=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #396816=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #396817=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #396818=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #396819=DIRECTION('',(0.,0.,1.)); #396820=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #396821=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #396822=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #396823=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #396824=DIRECTION('',(0.,0.,1.)); #396825=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #396826=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #396827=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #396828=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #396829=DIRECTION('',(0.,0.,1.)); #396830=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #396831=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #396832=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #396833=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #396834=DIRECTION('',(0.,0.,1.)); #396835=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #396836=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #396837=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #396838=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #396839=DIRECTION('',(0.,0.,1.)); #396840=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #396841=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #396842=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #396843=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #396844=DIRECTION('',(0.,0.,1.)); #396845=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #396846=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #396847=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #396848=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #396849=DIRECTION('',(0.,0.,1.)); #396850=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #396851=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #396852=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #396853=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #396854=DIRECTION('',(0.,0.,1.)); #396855=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #396856=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #396857=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #396858=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #396859=DIRECTION('',(0.,0.,1.)); #396860=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #396861=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #396862=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #396863=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #396864=DIRECTION('',(0.,0.,1.)); #396865=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #396866=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #396867=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #396868=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #396869=DIRECTION('',(0.,0.,1.)); #396870=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #396871=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #396872=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #396873=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #396874=DIRECTION('',(0.,0.,1.)); #396875=DIRECTION('center_axis',(0.,1.,0.)); #396876=DIRECTION('ref_axis',(-1.,0.,0.)); #396877=DIRECTION('',(-1.,0.,0.)); #396878=DIRECTION('',(-1.,0.,0.)); #396879=DIRECTION('',(0.,0.,1.)); #396880=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #396881=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #396882=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #396883=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #396884=DIRECTION('',(0.,0.,1.)); #396885=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #396886=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #396887=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #396888=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #396889=DIRECTION('',(0.,0.,1.)); #396890=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #396891=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #396892=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #396893=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #396894=DIRECTION('',(0.,0.,1.)); #396895=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #396896=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #396897=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #396898=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #396899=DIRECTION('',(0.,0.,1.)); #396900=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #396901=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #396902=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #396903=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #396904=DIRECTION('',(0.,0.,1.)); #396905=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #396906=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #396907=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #396908=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #396909=DIRECTION('',(0.,0.,1.)); #396910=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #396911=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #396912=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #396913=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #396914=DIRECTION('',(0.,0.,1.)); #396915=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #396916=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #396917=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #396918=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #396919=DIRECTION('',(0.,0.,1.)); #396920=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #396921=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #396922=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #396923=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #396924=DIRECTION('',(0.,0.,1.)); #396925=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #396926=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #396927=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #396928=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #396929=DIRECTION('',(0.,0.,1.)); #396930=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #396931=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #396932=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #396933=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #396934=DIRECTION('',(0.,0.,1.)); #396935=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #396936=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #396937=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #396938=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #396939=DIRECTION('',(0.,0.,1.)); #396940=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #396941=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #396942=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #396943=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #396944=DIRECTION('',(0.,0.,1.)); #396945=DIRECTION('center_axis',(1.,0.,0.)); #396946=DIRECTION('ref_axis',(0.,1.,0.)); #396947=DIRECTION('',(0.,1.,0.)); #396948=DIRECTION('',(0.,1.,0.)); #396949=DIRECTION('',(0.,0.,1.)); #396950=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #396951=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #396952=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #396953=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #396954=DIRECTION('',(0.,0.,1.)); #396955=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #396956=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #396957=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #396958=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #396959=DIRECTION('',(0.,0.,1.)); #396960=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #396961=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #396962=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #396963=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #396964=DIRECTION('',(0.,0.,1.)); #396965=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #396966=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #396967=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #396968=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #396969=DIRECTION('',(0.,0.,1.)); #396970=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #396971=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #396972=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #396973=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #396974=DIRECTION('',(0.,0.,1.)); #396975=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #396976=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #396977=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #396978=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #396979=DIRECTION('',(0.,0.,1.)); #396980=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #396981=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #396982=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #396983=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #396984=DIRECTION('',(0.,0.,1.)); #396985=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #396986=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #396987=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #396988=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #396989=DIRECTION('',(0.,0.,1.)); #396990=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #396991=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #396992=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #396993=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #396994=DIRECTION('',(0.,0.,1.)); #396995=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #396996=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #396997=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #396998=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #396999=DIRECTION('',(0.,0.,1.)); #397000=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #397001=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #397002=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #397003=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #397004=DIRECTION('',(0.,0.,1.)); #397005=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #397006=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #397007=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #397008=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #397009=DIRECTION('center_axis',(0.,0.,1.)); #397010=DIRECTION('ref_axis',(1.,0.,0.)); #397011=DIRECTION('center_axis',(0.,0.,1.)); #397012=DIRECTION('ref_axis',(1.,0.,0.)); #397013=DIRECTION('center_axis',(0.,0.,1.)); #397014=DIRECTION('ref_axis',(1.,0.,0.)); #397015=DIRECTION('center_axis',(0.,0.,1.)); #397016=DIRECTION('ref_axis',(1.,0.,0.)); #397017=DIRECTION('',(0.,0.,1.)); #397018=DIRECTION('center_axis',(0.,0.,-1.)); #397019=DIRECTION('ref_axis',(1.,0.,0.)); #397020=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #397021=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #397022=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #397023=DIRECTION('',(0.,0.,1.)); #397024=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #397025=DIRECTION('',(0.,0.,1.)); #397026=DIRECTION('center_axis',(0.,0.,1.)); #397027=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #397028=DIRECTION('center_axis',(0.,0.,1.)); #397029=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #397030=DIRECTION('center_axis',(0.,0.,1.)); #397031=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #397032=DIRECTION('',(0.,0.,1.)); #397033=DIRECTION('center_axis',(0.,0.,1.)); #397034=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #397035=DIRECTION('center_axis',(0.,0.,1.)); #397036=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #397037=DIRECTION('center_axis',(0.,0.,1.)); #397038=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #397039=DIRECTION('center_axis',(0.,0.,1.)); #397040=DIRECTION('ref_axis',(1.,0.,0.)); #397041=DIRECTION('center_axis',(0.,0.,1.)); #397042=DIRECTION('ref_axis',(1.,0.,0.)); #397043=DIRECTION('center_axis',(1.,0.,0.)); #397044=DIRECTION('ref_axis',(0.,1.,0.)); #397045=DIRECTION('',(0.,-1.,0.)); #397046=DIRECTION('',(0.,0.,1.)); #397047=DIRECTION('',(0.,1.,0.)); #397048=DIRECTION('',(0.,0.,1.)); #397049=DIRECTION('center_axis',(0.,0.,1.)); #397050=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #397051=DIRECTION('center_axis',(0.,0.,-1.)); #397052=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #397053=DIRECTION('center_axis',(0.,0.,1.)); #397054=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #397055=DIRECTION('',(0.,0.,1.)); #397056=DIRECTION('center_axis',(-1.,0.,0.)); #397057=DIRECTION('ref_axis',(0.,-1.,0.)); #397058=DIRECTION('',(0.,1.,0.)); #397059=DIRECTION('',(0.,-1.,0.)); #397060=DIRECTION('',(0.,0.,1.)); #397061=DIRECTION('center_axis',(0.,0.,1.)); #397062=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #397063=DIRECTION('center_axis',(0.,0.,-1.)); #397064=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #397065=DIRECTION('center_axis',(0.,0.,1.)); #397066=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #397067=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #397068=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #397069=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #397070=DIRECTION('',(0.,0.,1.)); #397071=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #397072=DIRECTION('',(0.,0.,1.)); #397073=DIRECTION('center_axis',(0.,-1.,0.)); #397074=DIRECTION('ref_axis',(1.,0.,0.)); #397075=DIRECTION('',(1.,0.,0.)); #397076=DIRECTION('',(1.,0.,0.)); #397077=DIRECTION('',(0.,0.,1.)); #397078=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #397079=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #397080=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #397081=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #397082=DIRECTION('',(0.,0.,1.)); #397083=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #397084=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #397085=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #397086=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #397087=DIRECTION('',(0.,0.,1.)); #397088=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #397089=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #397090=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #397091=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #397092=DIRECTION('',(0.,0.,1.)); #397093=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #397094=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #397095=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #397096=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #397097=DIRECTION('',(0.,0.,1.)); #397098=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #397099=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #397100=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #397101=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #397102=DIRECTION('',(0.,0.,1.)); #397103=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #397104=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #397105=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #397106=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #397107=DIRECTION('',(0.,0.,1.)); #397108=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #397109=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #397110=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #397111=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #397112=DIRECTION('',(0.,0.,1.)); #397113=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #397114=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #397115=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #397116=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #397117=DIRECTION('',(0.,0.,1.)); #397118=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #397119=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #397120=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #397121=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #397122=DIRECTION('',(0.,0.,1.)); #397123=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #397124=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #397125=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #397126=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #397127=DIRECTION('',(0.,0.,1.)); #397128=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #397129=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #397130=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #397131=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #397132=DIRECTION('',(0.,0.,1.)); #397133=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #397134=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #397135=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #397136=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #397137=DIRECTION('',(0.,0.,1.)); #397138=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #397139=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #397140=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #397141=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #397142=DIRECTION('',(0.,0.,1.)); #397143=DIRECTION('center_axis',(-1.,0.,0.)); #397144=DIRECTION('ref_axis',(0.,-1.,0.)); #397145=DIRECTION('',(0.,-1.,0.)); #397146=DIRECTION('',(0.,-1.,0.)); #397147=DIRECTION('',(0.,0.,1.)); #397148=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #397149=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #397150=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #397151=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #397152=DIRECTION('',(0.,0.,1.)); #397153=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #397154=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #397155=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #397156=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #397157=DIRECTION('',(0.,0.,1.)); #397158=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #397159=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #397160=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #397161=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #397162=DIRECTION('',(0.,0.,1.)); #397163=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #397164=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #397165=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #397166=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #397167=DIRECTION('',(0.,0.,1.)); #397168=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #397169=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #397170=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #397171=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #397172=DIRECTION('',(0.,0.,1.)); #397173=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #397174=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #397175=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #397176=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #397177=DIRECTION('',(0.,0.,1.)); #397178=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #397179=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #397180=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #397181=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #397182=DIRECTION('',(0.,0.,1.)); #397183=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #397184=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #397185=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #397186=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #397187=DIRECTION('',(0.,0.,1.)); #397188=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #397189=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #397190=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #397191=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #397192=DIRECTION('',(0.,0.,1.)); #397193=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #397194=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #397195=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #397196=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #397197=DIRECTION('',(0.,0.,1.)); #397198=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #397199=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #397200=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #397201=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #397202=DIRECTION('',(0.,0.,1.)); #397203=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #397204=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #397205=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #397206=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #397207=DIRECTION('',(0.,0.,1.)); #397208=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #397209=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #397210=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #397211=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #397212=DIRECTION('',(0.,0.,1.)); #397213=DIRECTION('center_axis',(0.,1.,0.)); #397214=DIRECTION('ref_axis',(-1.,0.,0.)); #397215=DIRECTION('',(-1.,0.,0.)); #397216=DIRECTION('',(-1.,0.,0.)); #397217=DIRECTION('',(0.,0.,1.)); #397218=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #397219=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #397220=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #397221=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #397222=DIRECTION('',(0.,0.,1.)); #397223=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #397224=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #397225=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #397226=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #397227=DIRECTION('',(0.,0.,1.)); #397228=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #397229=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #397230=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #397231=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #397232=DIRECTION('',(0.,0.,1.)); #397233=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #397234=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #397235=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #397236=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #397237=DIRECTION('',(0.,0.,1.)); #397238=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #397239=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #397240=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #397241=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #397242=DIRECTION('',(0.,0.,1.)); #397243=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #397244=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #397245=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #397246=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #397247=DIRECTION('',(0.,0.,1.)); #397248=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #397249=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #397250=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #397251=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #397252=DIRECTION('',(0.,0.,1.)); #397253=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #397254=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #397255=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #397256=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #397257=DIRECTION('',(0.,0.,1.)); #397258=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #397259=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #397260=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #397261=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #397262=DIRECTION('',(0.,0.,1.)); #397263=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #397264=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #397265=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #397266=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #397267=DIRECTION('',(0.,0.,1.)); #397268=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #397269=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #397270=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #397271=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #397272=DIRECTION('',(0.,0.,1.)); #397273=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #397274=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #397275=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #397276=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #397277=DIRECTION('',(0.,0.,1.)); #397278=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #397279=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #397280=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #397281=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #397282=DIRECTION('',(0.,0.,1.)); #397283=DIRECTION('center_axis',(1.,0.,0.)); #397284=DIRECTION('ref_axis',(0.,1.,0.)); #397285=DIRECTION('',(0.,1.,0.)); #397286=DIRECTION('',(0.,1.,0.)); #397287=DIRECTION('',(0.,0.,1.)); #397288=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #397289=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #397290=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #397291=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #397292=DIRECTION('',(0.,0.,1.)); #397293=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #397294=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #397295=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #397296=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #397297=DIRECTION('',(0.,0.,1.)); #397298=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #397299=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #397300=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #397301=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #397302=DIRECTION('',(0.,0.,1.)); #397303=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #397304=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #397305=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #397306=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #397307=DIRECTION('',(0.,0.,1.)); #397308=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #397309=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #397310=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #397311=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #397312=DIRECTION('',(0.,0.,1.)); #397313=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #397314=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #397315=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #397316=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #397317=DIRECTION('',(0.,0.,1.)); #397318=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #397319=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #397320=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #397321=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #397322=DIRECTION('',(0.,0.,1.)); #397323=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #397324=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #397325=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #397326=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #397327=DIRECTION('',(0.,0.,1.)); #397328=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #397329=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #397330=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #397331=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #397332=DIRECTION('',(0.,0.,1.)); #397333=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #397334=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #397335=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #397336=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #397337=DIRECTION('',(0.,0.,1.)); #397338=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #397339=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #397340=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #397341=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #397342=DIRECTION('',(0.,0.,1.)); #397343=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #397344=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #397345=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #397346=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #397347=DIRECTION('center_axis',(0.,0.,1.)); #397348=DIRECTION('ref_axis',(1.,0.,0.)); #397349=DIRECTION('center_axis',(0.,0.,1.)); #397350=DIRECTION('ref_axis',(1.,0.,0.)); #397351=DIRECTION('center_axis',(0.,1.,0.)); #397352=DIRECTION('ref_axis',(-1.,0.,0.)); #397353=DIRECTION('',(1.,0.,0.)); #397354=DIRECTION('',(0.,0.,1.)); #397355=DIRECTION('',(-1.,0.,0.)); #397356=DIRECTION('',(0.,0.,1.)); #397357=DIRECTION('center_axis',(0.,0.,1.)); #397358=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397359=DIRECTION('center_axis',(0.,0.,-1.)); #397360=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397361=DIRECTION('center_axis',(0.,0.,1.)); #397362=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #397363=DIRECTION('',(0.,0.,1.)); #397364=DIRECTION('center_axis',(0.,-1.,0.)); #397365=DIRECTION('ref_axis',(1.,0.,0.)); #397366=DIRECTION('',(-1.,0.,0.)); #397367=DIRECTION('',(1.,0.,0.)); #397368=DIRECTION('',(0.,0.,1.)); #397369=DIRECTION('center_axis',(0.,0.,1.)); #397370=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397371=DIRECTION('center_axis',(0.,0.,-1.)); #397372=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397373=DIRECTION('center_axis',(0.,0.,1.)); #397374=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #397375=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #397376=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #397377=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #397378=DIRECTION('',(0.,0.,1.)); #397379=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #397380=DIRECTION('',(0.,0.,1.)); #397381=DIRECTION('center_axis',(0.,-1.,0.)); #397382=DIRECTION('ref_axis',(1.,0.,0.)); #397383=DIRECTION('',(1.,0.,0.)); #397384=DIRECTION('',(1.,0.,0.)); #397385=DIRECTION('',(0.,0.,1.)); #397386=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #397387=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #397388=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #397389=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #397390=DIRECTION('',(0.,0.,1.)); #397391=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #397392=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #397393=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #397394=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #397395=DIRECTION('',(0.,0.,1.)); #397396=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #397397=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #397398=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #397399=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #397400=DIRECTION('',(0.,0.,1.)); #397401=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #397402=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #397403=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #397404=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #397405=DIRECTION('',(0.,0.,1.)); #397406=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #397407=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #397408=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #397409=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #397410=DIRECTION('',(0.,0.,1.)); #397411=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #397412=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #397413=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #397414=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #397415=DIRECTION('',(0.,0.,1.)); #397416=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #397417=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #397418=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #397419=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #397420=DIRECTION('',(0.,0.,1.)); #397421=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #397422=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #397423=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #397424=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #397425=DIRECTION('',(0.,0.,1.)); #397426=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #397427=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #397428=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #397429=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #397430=DIRECTION('',(0.,0.,1.)); #397431=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #397432=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #397433=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #397434=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #397435=DIRECTION('',(0.,0.,1.)); #397436=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #397437=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #397438=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #397439=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #397440=DIRECTION('',(0.,0.,1.)); #397441=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #397442=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #397443=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #397444=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #397445=DIRECTION('',(0.,0.,1.)); #397446=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #397447=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #397448=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #397449=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #397450=DIRECTION('',(0.,0.,1.)); #397451=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #397452=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #397453=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #397454=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #397455=DIRECTION('',(0.,0.,1.)); #397456=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #397457=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #397458=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #397459=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #397460=DIRECTION('',(0.,0.,1.)); #397461=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #397462=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #397463=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #397464=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #397465=DIRECTION('',(0.,0.,1.)); #397466=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #397467=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #397468=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #397469=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #397470=DIRECTION('',(0.,0.,1.)); #397471=DIRECTION('center_axis',(-1.,0.,0.)); #397472=DIRECTION('ref_axis',(0.,-1.,0.)); #397473=DIRECTION('',(0.,-1.,0.)); #397474=DIRECTION('',(0.,-1.,0.)); #397475=DIRECTION('',(0.,0.,1.)); #397476=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #397477=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #397478=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #397479=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #397480=DIRECTION('',(0.,0.,1.)); #397481=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #397482=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #397483=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #397484=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #397485=DIRECTION('',(0.,0.,1.)); #397486=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #397487=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #397488=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #397489=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #397490=DIRECTION('',(0.,0.,1.)); #397491=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #397492=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #397493=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #397494=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #397495=DIRECTION('',(0.,0.,1.)); #397496=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #397497=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #397498=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #397499=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #397500=DIRECTION('',(0.,0.,1.)); #397501=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #397502=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #397503=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #397504=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #397505=DIRECTION('',(0.,0.,1.)); #397506=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #397507=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #397508=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #397509=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #397510=DIRECTION('',(0.,0.,1.)); #397511=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #397512=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #397513=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #397514=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #397515=DIRECTION('',(0.,0.,1.)); #397516=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #397517=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #397518=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #397519=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #397520=DIRECTION('',(0.,0.,1.)); #397521=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #397522=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #397523=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #397524=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #397525=DIRECTION('',(0.,0.,1.)); #397526=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #397527=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #397528=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #397529=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #397530=DIRECTION('',(0.,0.,1.)); #397531=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #397532=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #397533=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #397534=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #397535=DIRECTION('',(0.,0.,1.)); #397536=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #397537=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #397538=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #397539=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #397540=DIRECTION('',(0.,0.,1.)); #397541=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #397542=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #397543=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #397544=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #397545=DIRECTION('',(0.,0.,1.)); #397546=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #397547=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #397548=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #397549=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #397550=DIRECTION('',(0.,0.,1.)); #397551=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #397552=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #397553=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #397554=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #397555=DIRECTION('',(0.,0.,1.)); #397556=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #397557=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #397558=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #397559=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #397560=DIRECTION('',(0.,0.,1.)); #397561=DIRECTION('center_axis',(0.,1.,0.)); #397562=DIRECTION('ref_axis',(-1.,0.,0.)); #397563=DIRECTION('',(-1.,0.,0.)); #397564=DIRECTION('',(-1.,0.,0.)); #397565=DIRECTION('',(0.,0.,1.)); #397566=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #397567=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #397568=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #397569=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #397570=DIRECTION('',(0.,0.,1.)); #397571=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #397572=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #397573=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #397574=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #397575=DIRECTION('',(0.,0.,1.)); #397576=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #397577=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #397578=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #397579=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #397580=DIRECTION('',(0.,0.,1.)); #397581=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #397582=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #397583=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #397584=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #397585=DIRECTION('',(0.,0.,1.)); #397586=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #397587=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #397588=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #397589=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #397590=DIRECTION('',(0.,0.,1.)); #397591=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #397592=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #397593=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #397594=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #397595=DIRECTION('',(0.,0.,1.)); #397596=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #397597=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #397598=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #397599=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #397600=DIRECTION('',(0.,0.,1.)); #397601=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #397602=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #397603=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #397604=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #397605=DIRECTION('',(0.,0.,1.)); #397606=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #397607=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #397608=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #397609=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #397610=DIRECTION('',(0.,0.,1.)); #397611=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #397612=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #397613=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #397614=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #397615=DIRECTION('',(0.,0.,1.)); #397616=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #397617=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #397618=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #397619=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #397620=DIRECTION('',(0.,0.,1.)); #397621=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #397622=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #397623=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #397624=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #397625=DIRECTION('',(0.,0.,1.)); #397626=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #397627=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #397628=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #397629=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #397630=DIRECTION('',(0.,0.,1.)); #397631=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #397632=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #397633=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #397634=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #397635=DIRECTION('',(0.,0.,1.)); #397636=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #397637=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #397638=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #397639=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #397640=DIRECTION('',(0.,0.,1.)); #397641=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #397642=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #397643=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #397644=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #397645=DIRECTION('',(0.,0.,1.)); #397646=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #397647=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #397648=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #397649=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #397650=DIRECTION('',(0.,0.,1.)); #397651=DIRECTION('center_axis',(1.,0.,0.)); #397652=DIRECTION('ref_axis',(0.,1.,0.)); #397653=DIRECTION('',(0.,1.,0.)); #397654=DIRECTION('',(0.,1.,0.)); #397655=DIRECTION('',(0.,0.,1.)); #397656=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #397657=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #397658=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #397659=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #397660=DIRECTION('',(0.,0.,1.)); #397661=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #397662=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #397663=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #397664=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #397665=DIRECTION('',(0.,0.,1.)); #397666=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #397667=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #397668=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #397669=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #397670=DIRECTION('',(0.,0.,1.)); #397671=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #397672=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #397673=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #397674=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #397675=DIRECTION('',(0.,0.,1.)); #397676=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #397677=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #397678=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #397679=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #397680=DIRECTION('',(0.,0.,1.)); #397681=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #397682=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #397683=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #397684=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #397685=DIRECTION('',(0.,0.,1.)); #397686=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #397687=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #397688=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #397689=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #397690=DIRECTION('',(0.,0.,1.)); #397691=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #397692=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #397693=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #397694=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #397695=DIRECTION('',(0.,0.,1.)); #397696=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397697=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397698=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397699=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397700=DIRECTION('',(0.,0.,1.)); #397701=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #397702=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #397703=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #397704=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #397705=DIRECTION('',(0.,0.,1.)); #397706=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #397707=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #397708=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #397709=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #397710=DIRECTION('',(0.,0.,1.)); #397711=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #397712=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #397713=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #397714=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #397715=DIRECTION('',(0.,0.,1.)); #397716=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #397717=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #397718=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #397719=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #397720=DIRECTION('',(0.,0.,1.)); #397721=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #397722=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #397723=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #397724=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #397725=DIRECTION('',(0.,0.,1.)); #397726=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #397727=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #397728=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #397729=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #397730=DIRECTION('',(0.,0.,1.)); #397731=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #397732=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #397733=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #397734=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #397735=DIRECTION('center_axis',(0.,0.,1.)); #397736=DIRECTION('ref_axis',(1.,0.,0.)); #397737=DIRECTION('center_axis',(0.,0.,1.)); #397738=DIRECTION('ref_axis',(1.,0.,0.)); #397739=DIRECTION('center_axis',(1.,0.,0.)); #397740=DIRECTION('ref_axis',(0.,1.,0.)); #397741=DIRECTION('',(0.,-1.,0.)); #397742=DIRECTION('',(0.,0.,1.)); #397743=DIRECTION('',(0.,1.,0.)); #397744=DIRECTION('',(0.,0.,1.)); #397745=DIRECTION('center_axis',(0.,0.,1.)); #397746=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #397747=DIRECTION('center_axis',(0.,0.,-1.)); #397748=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #397749=DIRECTION('center_axis',(0.,0.,1.)); #397750=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #397751=DIRECTION('',(0.,0.,1.)); #397752=DIRECTION('center_axis',(-1.,0.,0.)); #397753=DIRECTION('ref_axis',(0.,-1.,0.)); #397754=DIRECTION('',(0.,1.,0.)); #397755=DIRECTION('',(0.,-1.,0.)); #397756=DIRECTION('',(0.,0.,1.)); #397757=DIRECTION('center_axis',(0.,0.,1.)); #397758=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #397759=DIRECTION('center_axis',(0.,0.,-1.)); #397760=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #397761=DIRECTION('center_axis',(0.,0.,1.)); #397762=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #397763=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #397764=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #397765=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #397766=DIRECTION('',(0.,0.,1.)); #397767=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #397768=DIRECTION('',(0.,0.,1.)); #397769=DIRECTION('center_axis',(0.,-1.,0.)); #397770=DIRECTION('ref_axis',(1.,0.,0.)); #397771=DIRECTION('',(1.,0.,0.)); #397772=DIRECTION('',(1.,0.,0.)); #397773=DIRECTION('',(0.,0.,1.)); #397774=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #397775=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #397776=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #397777=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #397778=DIRECTION('',(0.,0.,1.)); #397779=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #397780=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #397781=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #397782=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #397783=DIRECTION('',(0.,0.,1.)); #397784=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #397785=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #397786=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #397787=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #397788=DIRECTION('',(0.,0.,1.)); #397789=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #397790=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #397791=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #397792=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #397793=DIRECTION('',(0.,0.,1.)); #397794=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #397795=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #397796=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #397797=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #397798=DIRECTION('',(0.,0.,1.)); #397799=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #397800=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #397801=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #397802=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #397803=DIRECTION('',(0.,0.,1.)); #397804=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #397805=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #397806=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #397807=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #397808=DIRECTION('',(0.,0.,1.)); #397809=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #397810=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #397811=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #397812=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #397813=DIRECTION('',(0.,0.,1.)); #397814=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #397815=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #397816=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #397817=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #397818=DIRECTION('',(0.,0.,1.)); #397819=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #397820=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #397821=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #397822=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #397823=DIRECTION('',(0.,0.,1.)); #397824=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #397825=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #397826=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #397827=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #397828=DIRECTION('',(0.,0.,1.)); #397829=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #397830=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #397831=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #397832=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #397833=DIRECTION('',(0.,0.,1.)); #397834=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #397835=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #397836=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #397837=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #397838=DIRECTION('',(0.,0.,1.)); #397839=DIRECTION('center_axis',(-1.,0.,0.)); #397840=DIRECTION('ref_axis',(0.,-1.,0.)); #397841=DIRECTION('',(0.,-1.,0.)); #397842=DIRECTION('',(0.,-1.,0.)); #397843=DIRECTION('',(0.,0.,1.)); #397844=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #397845=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #397846=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #397847=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #397848=DIRECTION('',(0.,0.,1.)); #397849=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #397850=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #397851=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #397852=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #397853=DIRECTION('',(0.,0.,1.)); #397854=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #397855=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #397856=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #397857=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #397858=DIRECTION('',(0.,0.,1.)); #397859=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #397860=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #397861=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #397862=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #397863=DIRECTION('',(0.,0.,1.)); #397864=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #397865=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #397866=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #397867=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #397868=DIRECTION('',(0.,0.,1.)); #397869=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #397870=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #397871=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #397872=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #397873=DIRECTION('',(0.,0.,1.)); #397874=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #397875=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #397876=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #397877=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #397878=DIRECTION('',(0.,0.,1.)); #397879=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #397880=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #397881=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #397882=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #397883=DIRECTION('',(0.,0.,1.)); #397884=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #397885=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #397886=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #397887=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #397888=DIRECTION('',(0.,0.,1.)); #397889=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #397890=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #397891=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #397892=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #397893=DIRECTION('',(0.,0.,1.)); #397894=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #397895=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #397896=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #397897=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #397898=DIRECTION('',(0.,0.,1.)); #397899=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #397900=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #397901=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #397902=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #397903=DIRECTION('',(0.,0.,1.)); #397904=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #397905=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #397906=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #397907=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #397908=DIRECTION('',(0.,0.,1.)); #397909=DIRECTION('center_axis',(0.,1.,0.)); #397910=DIRECTION('ref_axis',(-1.,0.,0.)); #397911=DIRECTION('',(-1.,0.,0.)); #397912=DIRECTION('',(-1.,0.,0.)); #397913=DIRECTION('',(0.,0.,1.)); #397914=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #397915=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #397916=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #397917=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #397918=DIRECTION('',(0.,0.,1.)); #397919=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #397920=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #397921=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #397922=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #397923=DIRECTION('',(0.,0.,1.)); #397924=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #397925=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #397926=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #397927=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #397928=DIRECTION('',(0.,0.,1.)); #397929=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #397930=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #397931=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #397932=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #397933=DIRECTION('',(0.,0.,1.)); #397934=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #397935=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #397936=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #397937=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #397938=DIRECTION('',(0.,0.,1.)); #397939=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #397940=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #397941=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #397942=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #397943=DIRECTION('',(0.,0.,1.)); #397944=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #397945=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #397946=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #397947=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #397948=DIRECTION('',(0.,0.,1.)); #397949=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #397950=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #397951=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #397952=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #397953=DIRECTION('',(0.,0.,1.)); #397954=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #397955=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #397956=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #397957=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #397958=DIRECTION('',(0.,0.,1.)); #397959=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #397960=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #397961=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #397962=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #397963=DIRECTION('',(0.,0.,1.)); #397964=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #397965=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #397966=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #397967=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #397968=DIRECTION('',(0.,0.,1.)); #397969=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #397970=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #397971=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #397972=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #397973=DIRECTION('',(0.,0.,1.)); #397974=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #397975=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #397976=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #397977=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #397978=DIRECTION('',(0.,0.,1.)); #397979=DIRECTION('center_axis',(1.,0.,0.)); #397980=DIRECTION('ref_axis',(0.,1.,0.)); #397981=DIRECTION('',(0.,1.,0.)); #397982=DIRECTION('',(0.,1.,0.)); #397983=DIRECTION('',(0.,0.,1.)); #397984=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #397985=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #397986=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #397987=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #397988=DIRECTION('',(0.,0.,1.)); #397989=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #397990=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #397991=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #397992=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #397993=DIRECTION('',(0.,0.,1.)); #397994=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #397995=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #397996=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #397997=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #397998=DIRECTION('',(0.,0.,1.)); #397999=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #398000=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #398001=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #398002=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #398003=DIRECTION('',(0.,0.,1.)); #398004=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #398005=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #398006=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #398007=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #398008=DIRECTION('',(0.,0.,1.)); #398009=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #398010=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #398011=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #398012=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #398013=DIRECTION('',(0.,0.,1.)); #398014=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #398015=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #398016=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #398017=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #398018=DIRECTION('',(0.,0.,1.)); #398019=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #398020=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #398021=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #398022=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #398023=DIRECTION('',(0.,0.,1.)); #398024=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #398025=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #398026=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #398027=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #398028=DIRECTION('',(0.,0.,1.)); #398029=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #398030=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #398031=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #398032=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #398033=DIRECTION('',(0.,0.,1.)); #398034=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #398035=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #398036=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #398037=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #398038=DIRECTION('',(0.,0.,1.)); #398039=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #398040=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #398041=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #398042=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #398043=DIRECTION('center_axis',(0.,0.,1.)); #398044=DIRECTION('ref_axis',(1.,0.,0.)); #398045=DIRECTION('center_axis',(0.,0.,1.)); #398046=DIRECTION('ref_axis',(1.,0.,0.)); #398047=DIRECTION('center_axis',(0.,0.,1.)); #398048=DIRECTION('ref_axis',(1.,0.,0.)); #398049=DIRECTION('center_axis',(0.,0.,1.)); #398050=DIRECTION('ref_axis',(1.,0.,0.)); #398051=DIRECTION('',(0.,0.,1.)); #398052=DIRECTION('center_axis',(0.,0.,-1.)); #398053=DIRECTION('ref_axis',(1.,0.,0.)); #398054=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #398055=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #398056=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #398057=DIRECTION('',(0.,0.,1.)); #398058=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #398059=DIRECTION('',(0.,0.,1.)); #398060=DIRECTION('center_axis',(0.,0.,1.)); #398061=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #398062=DIRECTION('center_axis',(0.,0.,1.)); #398063=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #398064=DIRECTION('center_axis',(0.,0.,1.)); #398065=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #398066=DIRECTION('',(0.,0.,1.)); #398067=DIRECTION('center_axis',(0.,0.,1.)); #398068=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #398069=DIRECTION('center_axis',(0.,0.,1.)); #398070=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #398071=DIRECTION('center_axis',(0.,0.,1.)); #398072=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #398073=DIRECTION('center_axis',(0.,0.,1.)); #398074=DIRECTION('ref_axis',(1.,0.,0.)); #398075=DIRECTION('center_axis',(0.,0.,1.)); #398076=DIRECTION('ref_axis',(1.,0.,0.)); #398077=DIRECTION('center_axis',(1.,0.,0.)); #398078=DIRECTION('ref_axis',(0.,1.,0.)); #398079=DIRECTION('',(0.,-1.,0.)); #398080=DIRECTION('',(0.,0.,1.)); #398081=DIRECTION('',(0.,1.,0.)); #398082=DIRECTION('',(0.,0.,1.)); #398083=DIRECTION('center_axis',(0.,0.,1.)); #398084=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #398085=DIRECTION('center_axis',(0.,0.,-1.)); #398086=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #398087=DIRECTION('center_axis',(0.,0.,1.)); #398088=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #398089=DIRECTION('',(0.,0.,1.)); #398090=DIRECTION('center_axis',(-1.,0.,0.)); #398091=DIRECTION('ref_axis',(0.,-1.,0.)); #398092=DIRECTION('',(0.,1.,0.)); #398093=DIRECTION('',(0.,-1.,0.)); #398094=DIRECTION('',(0.,0.,1.)); #398095=DIRECTION('center_axis',(0.,0.,1.)); #398096=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #398097=DIRECTION('center_axis',(0.,0.,-1.)); #398098=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #398099=DIRECTION('center_axis',(0.,0.,1.)); #398100=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #398101=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #398102=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #398103=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #398104=DIRECTION('',(0.,0.,1.)); #398105=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #398106=DIRECTION('',(0.,0.,1.)); #398107=DIRECTION('center_axis',(0.,-1.,0.)); #398108=DIRECTION('ref_axis',(1.,0.,0.)); #398109=DIRECTION('',(1.,0.,0.)); #398110=DIRECTION('',(1.,0.,0.)); #398111=DIRECTION('',(0.,0.,1.)); #398112=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #398113=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #398114=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #398115=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #398116=DIRECTION('',(0.,0.,1.)); #398117=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #398118=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #398119=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #398120=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #398121=DIRECTION('',(0.,0.,1.)); #398122=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #398123=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #398124=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #398125=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #398126=DIRECTION('',(0.,0.,1.)); #398127=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #398128=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #398129=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #398130=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #398131=DIRECTION('',(0.,0.,1.)); #398132=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #398133=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #398134=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #398135=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #398136=DIRECTION('',(0.,0.,1.)); #398137=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #398138=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #398139=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #398140=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #398141=DIRECTION('',(0.,0.,1.)); #398142=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #398143=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #398144=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #398145=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #398146=DIRECTION('',(0.,0.,1.)); #398147=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #398148=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #398149=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #398150=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #398151=DIRECTION('',(0.,0.,1.)); #398152=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #398153=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #398154=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #398155=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #398156=DIRECTION('',(0.,0.,1.)); #398157=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #398158=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #398159=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #398160=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #398161=DIRECTION('',(0.,0.,1.)); #398162=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #398163=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #398164=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #398165=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #398166=DIRECTION('',(0.,0.,1.)); #398167=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #398168=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #398169=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #398170=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #398171=DIRECTION('',(0.,0.,1.)); #398172=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #398173=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #398174=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #398175=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #398176=DIRECTION('',(0.,0.,1.)); #398177=DIRECTION('center_axis',(-1.,0.,0.)); #398178=DIRECTION('ref_axis',(0.,-1.,0.)); #398179=DIRECTION('',(0.,-1.,0.)); #398180=DIRECTION('',(0.,-1.,0.)); #398181=DIRECTION('',(0.,0.,1.)); #398182=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #398183=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #398184=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #398185=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #398186=DIRECTION('',(0.,0.,1.)); #398187=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #398188=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #398189=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #398190=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #398191=DIRECTION('',(0.,0.,1.)); #398192=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #398193=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #398194=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #398195=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #398196=DIRECTION('',(0.,0.,1.)); #398197=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #398198=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #398199=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #398200=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #398201=DIRECTION('',(0.,0.,1.)); #398202=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #398203=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #398204=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #398205=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #398206=DIRECTION('',(0.,0.,1.)); #398207=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #398208=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #398209=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #398210=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #398211=DIRECTION('',(0.,0.,1.)); #398212=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #398213=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #398214=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #398215=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #398216=DIRECTION('',(0.,0.,1.)); #398217=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #398218=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #398219=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #398220=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #398221=DIRECTION('',(0.,0.,1.)); #398222=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #398223=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #398224=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #398225=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #398226=DIRECTION('',(0.,0.,1.)); #398227=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #398228=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #398229=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #398230=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #398231=DIRECTION('',(0.,0.,1.)); #398232=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #398233=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #398234=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #398235=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #398236=DIRECTION('',(0.,0.,1.)); #398237=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #398238=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #398239=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #398240=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #398241=DIRECTION('',(0.,0.,1.)); #398242=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #398243=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #398244=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #398245=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #398246=DIRECTION('',(0.,0.,1.)); #398247=DIRECTION('center_axis',(0.,1.,0.)); #398248=DIRECTION('ref_axis',(-1.,0.,0.)); #398249=DIRECTION('',(-1.,0.,0.)); #398250=DIRECTION('',(-1.,0.,0.)); #398251=DIRECTION('',(0.,0.,1.)); #398252=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #398253=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #398254=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #398255=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #398256=DIRECTION('',(0.,0.,1.)); #398257=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #398258=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #398259=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #398260=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #398261=DIRECTION('',(0.,0.,1.)); #398262=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #398263=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #398264=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #398265=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #398266=DIRECTION('',(0.,0.,1.)); #398267=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #398268=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #398269=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #398270=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #398271=DIRECTION('',(0.,0.,1.)); #398272=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #398273=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #398274=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #398275=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #398276=DIRECTION('',(0.,0.,1.)); #398277=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #398278=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #398279=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #398280=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #398281=DIRECTION('',(0.,0.,1.)); #398282=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #398283=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #398284=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #398285=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #398286=DIRECTION('',(0.,0.,1.)); #398287=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #398288=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #398289=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #398290=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #398291=DIRECTION('',(0.,0.,1.)); #398292=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #398293=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #398294=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #398295=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #398296=DIRECTION('',(0.,0.,1.)); #398297=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #398298=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #398299=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #398300=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #398301=DIRECTION('',(0.,0.,1.)); #398302=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #398303=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #398304=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #398305=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #398306=DIRECTION('',(0.,0.,1.)); #398307=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #398308=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #398309=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #398310=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #398311=DIRECTION('',(0.,0.,1.)); #398312=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #398313=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #398314=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #398315=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #398316=DIRECTION('',(0.,0.,1.)); #398317=DIRECTION('center_axis',(1.,0.,0.)); #398318=DIRECTION('ref_axis',(0.,1.,0.)); #398319=DIRECTION('',(0.,1.,0.)); #398320=DIRECTION('',(0.,1.,0.)); #398321=DIRECTION('',(0.,0.,1.)); #398322=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #398323=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #398324=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #398325=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #398326=DIRECTION('',(0.,0.,1.)); #398327=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #398328=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #398329=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #398330=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #398331=DIRECTION('',(0.,0.,1.)); #398332=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #398333=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #398334=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #398335=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #398336=DIRECTION('',(0.,0.,1.)); #398337=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #398338=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #398339=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #398340=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #398341=DIRECTION('',(0.,0.,1.)); #398342=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #398343=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #398344=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #398345=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #398346=DIRECTION('',(0.,0.,1.)); #398347=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #398348=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #398349=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #398350=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #398351=DIRECTION('',(0.,0.,1.)); #398352=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #398353=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #398354=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #398355=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #398356=DIRECTION('',(0.,0.,1.)); #398357=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #398358=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #398359=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #398360=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #398361=DIRECTION('',(0.,0.,1.)); #398362=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #398363=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #398364=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #398365=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #398366=DIRECTION('',(0.,0.,1.)); #398367=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #398368=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #398369=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #398370=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #398371=DIRECTION('',(0.,0.,1.)); #398372=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #398373=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #398374=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #398375=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #398376=DIRECTION('',(0.,0.,1.)); #398377=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #398378=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #398379=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #398380=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #398381=DIRECTION('center_axis',(0.,0.,1.)); #398382=DIRECTION('ref_axis',(1.,0.,0.)); #398383=DIRECTION('center_axis',(0.,0.,1.)); #398384=DIRECTION('ref_axis',(1.,0.,0.)); #398385=DIRECTION('center_axis',(0.,0.,1.)); #398386=DIRECTION('ref_axis',(1.,0.,0.)); #398387=DIRECTION('center_axis',(0.,0.,1.)); #398388=DIRECTION('ref_axis',(1.,0.,0.)); #398389=DIRECTION('',(0.,0.,1.)); #398390=DIRECTION('center_axis',(0.,0.,-1.)); #398391=DIRECTION('ref_axis',(1.,0.,0.)); #398392=DIRECTION('center_axis',(0.707106781186541,-0.707106781186555,0.)); #398393=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #398394=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #398395=DIRECTION('',(0.,0.,1.)); #398396=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #398397=DIRECTION('',(0.,0.,1.)); #398398=DIRECTION('center_axis',(0.,-1.,0.)); #398399=DIRECTION('ref_axis',(1.,0.,0.)); #398400=DIRECTION('',(1.,0.,0.)); #398401=DIRECTION('',(1.,0.,0.)); #398402=DIRECTION('',(0.,0.,1.)); #398403=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186555, 0.)); #398404=DIRECTION('ref_axis',(0.707106781186555,-0.70710678118654,0.)); #398405=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #398406=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #398407=DIRECTION('',(0.,0.,1.)); #398408=DIRECTION('center_axis',(-1.,0.,0.)); #398409=DIRECTION('ref_axis',(0.,-1.,0.)); #398410=DIRECTION('',(0.,-1.,0.)); #398411=DIRECTION('',(0.,-1.,0.)); #398412=DIRECTION('',(0.,0.,1.)); #398413=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #398414=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #398415=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #398416=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #398417=DIRECTION('',(0.,0.,1.)); #398418=DIRECTION('center_axis',(0.,1.,0.)); #398419=DIRECTION('ref_axis',(-1.,0.,0.)); #398420=DIRECTION('',(-1.,0.,0.)); #398421=DIRECTION('',(-1.,0.,0.)); #398422=DIRECTION('',(0.,0.,1.)); #398423=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #398424=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #398425=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #398426=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #398427=DIRECTION('',(0.,0.,1.)); #398428=DIRECTION('center_axis',(1.,0.,0.)); #398429=DIRECTION('ref_axis',(0.,1.,0.)); #398430=DIRECTION('',(0.,1.,0.)); #398431=DIRECTION('',(0.,1.,0.)); #398432=DIRECTION('center_axis',(0.,0.,1.)); #398433=DIRECTION('ref_axis',(1.,0.,0.)); #398434=DIRECTION('center_axis',(0.,0.,1.)); #398435=DIRECTION('ref_axis',(1.,0.,0.)); #398436=DIRECTION('center_axis',(0.,0.,1.)); #398437=DIRECTION('ref_axis',(1.,0.,0.)); #398438=DIRECTION('center_axis',(0.,0.,1.)); #398439=DIRECTION('ref_axis',(1.,0.,0.)); #398440=DIRECTION('',(0.,0.,1.)); #398441=DIRECTION('center_axis',(0.,0.,-1.)); #398442=DIRECTION('ref_axis',(1.,0.,0.)); #398443=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #398444=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #398445=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #398446=DIRECTION('',(0.,0.,1.)); #398447=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #398448=DIRECTION('',(0.,0.,1.)); #398449=DIRECTION('center_axis',(0.,0.,1.)); #398450=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #398451=DIRECTION('center_axis',(0.,0.,1.)); #398452=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #398453=DIRECTION('center_axis',(0.,0.,1.)); #398454=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #398455=DIRECTION('',(0.,0.,1.)); #398456=DIRECTION('center_axis',(0.,0.,1.)); #398457=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398458=DIRECTION('center_axis',(0.,0.,1.)); #398459=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398460=DIRECTION('center_axis',(0.,0.,1.)); #398461=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398462=DIRECTION('center_axis',(0.,0.,1.)); #398463=DIRECTION('ref_axis',(1.,0.,0.)); #398464=DIRECTION('center_axis',(0.,0.,1.)); #398465=DIRECTION('ref_axis',(1.,0.,0.)); #398466=DIRECTION('center_axis',(0.,1.,0.)); #398467=DIRECTION('ref_axis',(-1.,0.,0.)); #398468=DIRECTION('',(1.,0.,0.)); #398469=DIRECTION('',(0.,0.,1.)); #398470=DIRECTION('',(-1.,0.,0.)); #398471=DIRECTION('',(0.,0.,1.)); #398472=DIRECTION('center_axis',(0.,0.,1.)); #398473=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #398474=DIRECTION('center_axis',(0.,0.,-1.)); #398475=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #398476=DIRECTION('center_axis',(0.,0.,1.)); #398477=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #398478=DIRECTION('',(0.,0.,1.)); #398479=DIRECTION('center_axis',(0.,-1.,0.)); #398480=DIRECTION('ref_axis',(1.,0.,0.)); #398481=DIRECTION('',(-1.,0.,0.)); #398482=DIRECTION('',(1.,0.,0.)); #398483=DIRECTION('',(0.,0.,1.)); #398484=DIRECTION('center_axis',(0.,0.,1.)); #398485=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #398486=DIRECTION('center_axis',(0.,0.,-1.)); #398487=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #398488=DIRECTION('center_axis',(0.,0.,1.)); #398489=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #398490=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #398491=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #398492=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #398493=DIRECTION('',(0.,0.,1.)); #398494=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #398495=DIRECTION('',(0.,0.,1.)); #398496=DIRECTION('center_axis',(0.,-1.,0.)); #398497=DIRECTION('ref_axis',(1.,0.,0.)); #398498=DIRECTION('',(1.,0.,0.)); #398499=DIRECTION('',(1.,0.,0.)); #398500=DIRECTION('',(0.,0.,1.)); #398501=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #398502=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #398503=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #398504=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #398505=DIRECTION('',(0.,0.,1.)); #398506=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #398507=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #398508=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #398509=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #398510=DIRECTION('',(0.,0.,1.)); #398511=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #398512=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #398513=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #398514=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #398515=DIRECTION('',(0.,0.,1.)); #398516=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #398517=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #398518=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #398519=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #398520=DIRECTION('',(0.,0.,1.)); #398521=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #398522=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #398523=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #398524=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #398525=DIRECTION('',(0.,0.,1.)); #398526=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #398527=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #398528=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #398529=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #398530=DIRECTION('',(0.,0.,1.)); #398531=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #398532=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #398533=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #398534=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #398535=DIRECTION('',(0.,0.,1.)); #398536=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #398537=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #398538=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #398539=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #398540=DIRECTION('',(0.,0.,1.)); #398541=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #398542=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #398543=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #398544=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #398545=DIRECTION('',(0.,0.,1.)); #398546=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #398547=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #398548=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #398549=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #398550=DIRECTION('',(0.,0.,1.)); #398551=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #398552=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #398553=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #398554=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #398555=DIRECTION('',(0.,0.,1.)); #398556=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #398557=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #398558=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #398559=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #398560=DIRECTION('',(0.,0.,1.)); #398561=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #398562=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #398563=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #398564=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #398565=DIRECTION('',(0.,0.,1.)); #398566=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #398567=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #398568=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #398569=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #398570=DIRECTION('',(0.,0.,1.)); #398571=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #398572=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #398573=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #398574=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #398575=DIRECTION('',(0.,0.,1.)); #398576=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #398577=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #398578=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #398579=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #398580=DIRECTION('',(0.,0.,1.)); #398581=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #398582=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #398583=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #398584=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #398585=DIRECTION('',(0.,0.,1.)); #398586=DIRECTION('center_axis',(-1.,0.,0.)); #398587=DIRECTION('ref_axis',(0.,-1.,0.)); #398588=DIRECTION('',(0.,-1.,0.)); #398589=DIRECTION('',(0.,-1.,0.)); #398590=DIRECTION('',(0.,0.,1.)); #398591=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #398592=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #398593=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #398594=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #398595=DIRECTION('',(0.,0.,1.)); #398596=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #398597=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #398598=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #398599=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #398600=DIRECTION('',(0.,0.,1.)); #398601=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #398602=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #398603=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #398604=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #398605=DIRECTION('',(0.,0.,1.)); #398606=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #398607=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #398608=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #398609=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #398610=DIRECTION('',(0.,0.,1.)); #398611=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #398612=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #398613=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #398614=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #398615=DIRECTION('',(0.,0.,1.)); #398616=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #398617=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #398618=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #398619=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #398620=DIRECTION('',(0.,0.,1.)); #398621=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #398622=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #398623=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #398624=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #398625=DIRECTION('',(0.,0.,1.)); #398626=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #398627=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #398628=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #398629=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #398630=DIRECTION('',(0.,0.,1.)); #398631=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398632=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398633=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398634=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398635=DIRECTION('',(0.,0.,1.)); #398636=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #398637=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #398638=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #398639=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #398640=DIRECTION('',(0.,0.,1.)); #398641=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #398642=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #398643=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #398644=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #398645=DIRECTION('',(0.,0.,1.)); #398646=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #398647=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #398648=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #398649=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #398650=DIRECTION('',(0.,0.,1.)); #398651=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #398652=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #398653=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #398654=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #398655=DIRECTION('',(0.,0.,1.)); #398656=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #398657=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #398658=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #398659=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #398660=DIRECTION('',(0.,0.,1.)); #398661=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #398662=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #398663=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #398664=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #398665=DIRECTION('',(0.,0.,1.)); #398666=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #398667=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #398668=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #398669=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #398670=DIRECTION('',(0.,0.,1.)); #398671=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #398672=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #398673=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #398674=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #398675=DIRECTION('',(0.,0.,1.)); #398676=DIRECTION('center_axis',(0.,1.,0.)); #398677=DIRECTION('ref_axis',(-1.,0.,0.)); #398678=DIRECTION('',(-1.,0.,0.)); #398679=DIRECTION('',(-1.,0.,0.)); #398680=DIRECTION('',(0.,0.,1.)); #398681=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #398682=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #398683=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #398684=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #398685=DIRECTION('',(0.,0.,1.)); #398686=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #398687=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #398688=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #398689=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #398690=DIRECTION('',(0.,0.,1.)); #398691=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #398692=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #398693=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #398694=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #398695=DIRECTION('',(0.,0.,1.)); #398696=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #398697=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #398698=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #398699=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #398700=DIRECTION('',(0.,0.,1.)); #398701=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #398702=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #398703=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #398704=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #398705=DIRECTION('',(0.,0.,1.)); #398706=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #398707=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #398708=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #398709=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #398710=DIRECTION('',(0.,0.,1.)); #398711=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #398712=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #398713=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #398714=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #398715=DIRECTION('',(0.,0.,1.)); #398716=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #398717=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #398718=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #398719=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #398720=DIRECTION('',(0.,0.,1.)); #398721=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398722=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398723=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398724=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398725=DIRECTION('',(0.,0.,1.)); #398726=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #398727=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #398728=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #398729=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #398730=DIRECTION('',(0.,0.,1.)); #398731=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #398732=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #398733=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #398734=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #398735=DIRECTION('',(0.,0.,1.)); #398736=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #398737=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #398738=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #398739=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #398740=DIRECTION('',(0.,0.,1.)); #398741=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #398742=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #398743=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #398744=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #398745=DIRECTION('',(0.,0.,1.)); #398746=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #398747=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #398748=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #398749=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #398750=DIRECTION('',(0.,0.,1.)); #398751=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #398752=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #398753=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #398754=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #398755=DIRECTION('',(0.,0.,1.)); #398756=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #398757=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #398758=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #398759=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #398760=DIRECTION('',(0.,0.,1.)); #398761=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #398762=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #398763=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #398764=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #398765=DIRECTION('',(0.,0.,1.)); #398766=DIRECTION('center_axis',(1.,0.,0.)); #398767=DIRECTION('ref_axis',(0.,1.,0.)); #398768=DIRECTION('',(0.,1.,0.)); #398769=DIRECTION('',(0.,1.,0.)); #398770=DIRECTION('',(0.,0.,1.)); #398771=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #398772=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #398773=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #398774=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #398775=DIRECTION('',(0.,0.,1.)); #398776=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #398777=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #398778=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #398779=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #398780=DIRECTION('',(0.,0.,1.)); #398781=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #398782=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #398783=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #398784=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #398785=DIRECTION('',(0.,0.,1.)); #398786=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #398787=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #398788=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #398789=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #398790=DIRECTION('',(0.,0.,1.)); #398791=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #398792=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #398793=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #398794=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #398795=DIRECTION('',(0.,0.,1.)); #398796=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #398797=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #398798=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #398799=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #398800=DIRECTION('',(0.,0.,1.)); #398801=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #398802=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #398803=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #398804=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #398805=DIRECTION('',(0.,0.,1.)); #398806=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #398807=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #398808=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #398809=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #398810=DIRECTION('',(0.,0.,1.)); #398811=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #398812=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #398813=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #398814=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #398815=DIRECTION('',(0.,0.,1.)); #398816=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #398817=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #398818=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #398819=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #398820=DIRECTION('',(0.,0.,1.)); #398821=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #398822=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #398823=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #398824=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #398825=DIRECTION('',(0.,0.,1.)); #398826=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #398827=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #398828=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #398829=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #398830=DIRECTION('',(0.,0.,1.)); #398831=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #398832=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #398833=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #398834=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #398835=DIRECTION('',(0.,0.,1.)); #398836=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #398837=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #398838=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #398839=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #398840=DIRECTION('',(0.,0.,1.)); #398841=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #398842=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #398843=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #398844=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #398845=DIRECTION('',(0.,0.,1.)); #398846=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #398847=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #398848=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #398849=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #398850=DIRECTION('center_axis',(0.,0.,1.)); #398851=DIRECTION('ref_axis',(1.,0.,0.)); #398852=DIRECTION('center_axis',(0.,0.,1.)); #398853=DIRECTION('ref_axis',(1.,0.,0.)); #398854=DIRECTION('center_axis',(0.,0.,1.)); #398855=DIRECTION('ref_axis',(1.,0.,0.)); #398856=DIRECTION('center_axis',(0.,0.,1.)); #398857=DIRECTION('ref_axis',(1.,0.,0.)); #398858=DIRECTION('',(0.,0.,1.)); #398859=DIRECTION('center_axis',(0.,0.,-1.)); #398860=DIRECTION('ref_axis',(1.,0.,0.)); #398861=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #398862=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #398863=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #398864=DIRECTION('',(0.,0.,1.)); #398865=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #398866=DIRECTION('',(0.,0.,1.)); #398867=DIRECTION('center_axis',(0.,-1.,0.)); #398868=DIRECTION('ref_axis',(1.,0.,0.)); #398869=DIRECTION('',(1.,0.,0.)); #398870=DIRECTION('',(1.,0.,0.)); #398871=DIRECTION('',(0.,0.,1.)); #398872=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #398873=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #398874=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #398875=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #398876=DIRECTION('',(0.,0.,1.)); #398877=DIRECTION('center_axis',(-1.,0.,0.)); #398878=DIRECTION('ref_axis',(0.,-1.,0.)); #398879=DIRECTION('',(0.,-1.,0.)); #398880=DIRECTION('',(0.,-1.,0.)); #398881=DIRECTION('',(0.,0.,1.)); #398882=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #398883=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #398884=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #398885=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #398886=DIRECTION('',(0.,0.,1.)); #398887=DIRECTION('center_axis',(0.,1.,0.)); #398888=DIRECTION('ref_axis',(-1.,0.,0.)); #398889=DIRECTION('',(-1.,0.,0.)); #398890=DIRECTION('',(-1.,0.,0.)); #398891=DIRECTION('',(0.,0.,1.)); #398892=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #398893=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #398894=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #398895=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #398896=DIRECTION('',(0.,0.,1.)); #398897=DIRECTION('center_axis',(1.,0.,0.)); #398898=DIRECTION('ref_axis',(0.,1.,0.)); #398899=DIRECTION('',(0.,1.,0.)); #398900=DIRECTION('',(0.,1.,0.)); #398901=DIRECTION('center_axis',(0.,0.,1.)); #398902=DIRECTION('ref_axis',(1.,0.,0.)); #398903=DIRECTION('center_axis',(0.,0.,1.)); #398904=DIRECTION('ref_axis',(1.,0.,0.)); #398905=DIRECTION('center_axis',(0.,0.,1.)); #398906=DIRECTION('ref_axis',(1.,0.,0.)); #398907=DIRECTION('center_axis',(0.,0.,1.)); #398908=DIRECTION('ref_axis',(1.,0.,0.)); #398909=DIRECTION('',(0.,0.,1.)); #398910=DIRECTION('center_axis',(0.,0.,-1.)); #398911=DIRECTION('ref_axis',(1.,0.,0.)); #398912=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #398913=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #398914=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #398915=DIRECTION('',(0.,0.,1.)); #398916=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #398917=DIRECTION('',(0.,0.,1.)); #398918=DIRECTION('center_axis',(0.,0.,1.)); #398919=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398920=DIRECTION('center_axis',(0.,0.,1.)); #398921=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398922=DIRECTION('center_axis',(0.,0.,1.)); #398923=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398924=DIRECTION('',(0.,0.,1.)); #398925=DIRECTION('center_axis',(0.,0.,1.)); #398926=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398927=DIRECTION('center_axis',(0.,0.,1.)); #398928=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398929=DIRECTION('center_axis',(0.,0.,1.)); #398930=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #398931=DIRECTION('center_axis',(0.,0.,1.)); #398932=DIRECTION('ref_axis',(1.,0.,0.)); #398933=DIRECTION('center_axis',(0.,0.,1.)); #398934=DIRECTION('ref_axis',(1.,0.,0.)); #398935=DIRECTION('center_axis',(0.,0.,1.)); #398936=DIRECTION('ref_axis',(1.,0.,0.)); #398937=DIRECTION('center_axis',(0.,0.,1.)); #398938=DIRECTION('ref_axis',(1.,0.,0.)); #398939=DIRECTION('',(0.,0.,1.)); #398940=DIRECTION('center_axis',(0.,0.,-1.)); #398941=DIRECTION('ref_axis',(1.,0.,0.)); #398942=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #398943=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #398944=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #398945=DIRECTION('',(0.,0.,1.)); #398946=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #398947=DIRECTION('',(0.,0.,1.)); #398948=DIRECTION('center_axis',(0.,0.,1.)); #398949=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398950=DIRECTION('center_axis',(0.,0.,1.)); #398951=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398952=DIRECTION('center_axis',(0.,0.,1.)); #398953=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398954=DIRECTION('',(0.,0.,1.)); #398955=DIRECTION('center_axis',(0.,0.,1.)); #398956=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398957=DIRECTION('center_axis',(0.,0.,1.)); #398958=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398959=DIRECTION('center_axis',(0.,0.,1.)); #398960=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398961=DIRECTION('center_axis',(0.,0.,1.)); #398962=DIRECTION('ref_axis',(1.,0.,0.)); #398963=DIRECTION('center_axis',(0.,0.,1.)); #398964=DIRECTION('ref_axis',(1.,0.,0.)); #398965=DIRECTION('center_axis',(0.,0.,1.)); #398966=DIRECTION('ref_axis',(1.,0.,0.)); #398967=DIRECTION('center_axis',(0.,0.,1.)); #398968=DIRECTION('ref_axis',(1.,0.,0.)); #398969=DIRECTION('',(0.,0.,1.)); #398970=DIRECTION('center_axis',(0.,0.,-1.)); #398971=DIRECTION('ref_axis',(1.,0.,0.)); #398972=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #398973=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #398974=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #398975=DIRECTION('',(0.,0.,1.)); #398976=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #398977=DIRECTION('',(0.,0.,1.)); #398978=DIRECTION('center_axis',(0.,0.,1.)); #398979=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398980=DIRECTION('center_axis',(0.,0.,1.)); #398981=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398982=DIRECTION('center_axis',(0.,0.,1.)); #398983=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #398984=DIRECTION('',(0.,0.,1.)); #398985=DIRECTION('center_axis',(0.,0.,1.)); #398986=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398987=DIRECTION('center_axis',(0.,0.,1.)); #398988=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398989=DIRECTION('center_axis',(0.,0.,1.)); #398990=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #398991=DIRECTION('center_axis',(0.,0.,1.)); #398992=DIRECTION('ref_axis',(1.,0.,0.)); #398993=DIRECTION('center_axis',(0.,0.,1.)); #398994=DIRECTION('ref_axis',(1.,0.,0.)); #398995=DIRECTION('center_axis',(0.,0.,1.)); #398996=DIRECTION('ref_axis',(1.,0.,0.)); #398997=DIRECTION('center_axis',(0.,0.,1.)); #398998=DIRECTION('ref_axis',(1.,0.,0.)); #398999=DIRECTION('',(0.,0.,1.)); #399000=DIRECTION('center_axis',(0.,0.,-1.)); #399001=DIRECTION('ref_axis',(1.,0.,0.)); #399002=DIRECTION('center_axis',(1.,0.,0.)); #399003=DIRECTION('ref_axis',(0.,1.,0.)); #399004=DIRECTION('',(0.,1.,0.)); #399005=DIRECTION('',(0.,0.,1.)); #399006=DIRECTION('',(0.,1.,0.)); #399007=DIRECTION('',(0.,0.,1.)); #399008=DIRECTION('center_axis',(0.,-1.,0.)); #399009=DIRECTION('ref_axis',(1.,0.,0.)); #399010=DIRECTION('',(1.,0.,0.)); #399011=DIRECTION('',(1.,0.,0.)); #399012=DIRECTION('',(0.,0.,1.)); #399013=DIRECTION('center_axis',(-1.,0.,0.)); #399014=DIRECTION('ref_axis',(0.,-1.,0.)); #399015=DIRECTION('',(0.,-1.,0.)); #399016=DIRECTION('',(0.,-1.,0.)); #399017=DIRECTION('',(0.,0.,1.)); #399018=DIRECTION('center_axis',(0.,1.,0.)); #399019=DIRECTION('ref_axis',(-1.,0.,0.)); #399020=DIRECTION('',(-1.,0.,0.)); #399021=DIRECTION('',(-1.,0.,0.)); #399022=DIRECTION('center_axis',(0.,0.,1.)); #399023=DIRECTION('ref_axis',(1.,0.,0.)); #399024=DIRECTION('center_axis',(0.,0.,1.)); #399025=DIRECTION('ref_axis',(1.,0.,0.)); #399026=DIRECTION('center_axis',(0.,0.,1.)); #399027=DIRECTION('ref_axis',(1.,0.,0.)); #399028=DIRECTION('center_axis',(0.,0.,1.)); #399029=DIRECTION('ref_axis',(1.,0.,0.)); #399030=DIRECTION('',(0.,0.,1.)); #399031=DIRECTION('center_axis',(0.,0.,-1.)); #399032=DIRECTION('ref_axis',(1.,0.,0.)); #399033=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #399034=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #399035=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #399036=DIRECTION('',(0.,0.,1.)); #399037=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #399038=DIRECTION('',(0.,0.,1.)); #399039=DIRECTION('center_axis',(0.,-1.,0.)); #399040=DIRECTION('ref_axis',(1.,0.,0.)); #399041=DIRECTION('',(1.,0.,0.)); #399042=DIRECTION('',(1.,0.,0.)); #399043=DIRECTION('',(0.,0.,1.)); #399044=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #399045=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #399046=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #399047=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #399048=DIRECTION('',(0.,0.,1.)); #399049=DIRECTION('center_axis',(-1.,0.,0.)); #399050=DIRECTION('ref_axis',(0.,-1.,0.)); #399051=DIRECTION('',(0.,-1.,0.)); #399052=DIRECTION('',(0.,-1.,0.)); #399053=DIRECTION('',(0.,0.,1.)); #399054=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399055=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399056=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399057=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399058=DIRECTION('',(0.,0.,1.)); #399059=DIRECTION('center_axis',(0.,1.,0.)); #399060=DIRECTION('ref_axis',(-1.,0.,0.)); #399061=DIRECTION('',(-1.,0.,0.)); #399062=DIRECTION('',(-1.,0.,0.)); #399063=DIRECTION('',(0.,0.,1.)); #399064=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399065=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399066=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399067=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399068=DIRECTION('',(0.,0.,1.)); #399069=DIRECTION('center_axis',(1.,0.,0.)); #399070=DIRECTION('ref_axis',(0.,1.,0.)); #399071=DIRECTION('',(0.,1.,0.)); #399072=DIRECTION('',(0.,1.,0.)); #399073=DIRECTION('center_axis',(0.,0.,1.)); #399074=DIRECTION('ref_axis',(1.,0.,0.)); #399075=DIRECTION('center_axis',(0.,0.,1.)); #399076=DIRECTION('ref_axis',(1.,0.,0.)); #399077=DIRECTION('center_axis',(0.,0.,1.)); #399078=DIRECTION('ref_axis',(1.,0.,0.)); #399079=DIRECTION('center_axis',(0.,0.,1.)); #399080=DIRECTION('ref_axis',(1.,0.,0.)); #399081=DIRECTION('',(0.,0.,1.)); #399082=DIRECTION('center_axis',(0.,0.,-1.)); #399083=DIRECTION('ref_axis',(1.,0.,0.)); #399084=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #399085=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #399086=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #399087=DIRECTION('',(0.,0.,1.)); #399088=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #399089=DIRECTION('',(0.,0.,1.)); #399090=DIRECTION('center_axis',(0.,0.,1.)); #399091=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399092=DIRECTION('center_axis',(0.,0.,1.)); #399093=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399094=DIRECTION('center_axis',(0.,0.,1.)); #399095=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399096=DIRECTION('',(0.,0.,1.)); #399097=DIRECTION('center_axis',(0.,0.,1.)); #399098=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399099=DIRECTION('center_axis',(0.,0.,1.)); #399100=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399101=DIRECTION('center_axis',(0.,0.,1.)); #399102=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399103=DIRECTION('center_axis',(0.,0.,1.)); #399104=DIRECTION('ref_axis',(1.,0.,0.)); #399105=DIRECTION('center_axis',(0.,0.,1.)); #399106=DIRECTION('ref_axis',(1.,0.,0.)); #399107=DIRECTION('center_axis',(0.,0.,1.)); #399108=DIRECTION('ref_axis',(1.,0.,0.)); #399109=DIRECTION('center_axis',(0.,0.,1.)); #399110=DIRECTION('ref_axis',(1.,0.,0.)); #399111=DIRECTION('',(0.,0.,1.)); #399112=DIRECTION('center_axis',(0.,0.,-1.)); #399113=DIRECTION('ref_axis',(1.,0.,0.)); #399114=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #399115=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #399116=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #399117=DIRECTION('',(0.,0.,1.)); #399118=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #399119=DIRECTION('',(0.,0.,1.)); #399120=DIRECTION('center_axis',(0.,0.,1.)); #399121=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399122=DIRECTION('center_axis',(0.,0.,1.)); #399123=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399124=DIRECTION('center_axis',(0.,0.,1.)); #399125=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #399126=DIRECTION('',(0.,0.,1.)); #399127=DIRECTION('center_axis',(0.,0.,1.)); #399128=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399129=DIRECTION('center_axis',(0.,0.,1.)); #399130=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399131=DIRECTION('center_axis',(0.,0.,1.)); #399132=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #399133=DIRECTION('center_axis',(0.,0.,1.)); #399134=DIRECTION('ref_axis',(1.,0.,0.)); #399135=DIRECTION('center_axis',(0.,0.,1.)); #399136=DIRECTION('ref_axis',(1.,0.,0.)); #399137=DIRECTION('center_axis',(1.,0.,0.)); #399138=DIRECTION('ref_axis',(0.,1.,0.)); #399139=DIRECTION('',(0.,1.,0.)); #399140=DIRECTION('',(0.,0.,1.)); #399141=DIRECTION('',(0.,1.,0.)); #399142=DIRECTION('',(0.,0.,1.)); #399143=DIRECTION('center_axis',(0.,-1.,0.)); #399144=DIRECTION('ref_axis',(1.,0.,0.)); #399145=DIRECTION('',(1.,0.,0.)); #399146=DIRECTION('',(1.,0.,0.)); #399147=DIRECTION('',(0.,0.,1.)); #399148=DIRECTION('center_axis',(-1.,0.,0.)); #399149=DIRECTION('ref_axis',(0.,-1.,0.)); #399150=DIRECTION('',(0.,-1.,0.)); #399151=DIRECTION('',(0.,-1.,0.)); #399152=DIRECTION('',(0.,0.,1.)); #399153=DIRECTION('center_axis',(0.,1.,0.)); #399154=DIRECTION('ref_axis',(-1.,0.,0.)); #399155=DIRECTION('',(-1.,0.,0.)); #399156=DIRECTION('',(-1.,0.,0.)); #399157=DIRECTION('center_axis',(0.,0.,1.)); #399158=DIRECTION('ref_axis',(1.,0.,0.)); #399159=DIRECTION('center_axis',(0.,0.,1.)); #399160=DIRECTION('ref_axis',(1.,0.,0.)); #399161=DIRECTION('center_axis',(1.,0.,0.)); #399162=DIRECTION('ref_axis',(0.,1.,0.)); #399163=DIRECTION('',(0.,1.,0.)); #399164=DIRECTION('',(0.,0.,1.)); #399165=DIRECTION('',(0.,1.,0.)); #399166=DIRECTION('',(0.,0.,1.)); #399167=DIRECTION('center_axis',(0.,-1.,0.)); #399168=DIRECTION('ref_axis',(1.,0.,0.)); #399169=DIRECTION('',(1.,0.,0.)); #399170=DIRECTION('',(1.,0.,0.)); #399171=DIRECTION('',(0.,0.,1.)); #399172=DIRECTION('center_axis',(-1.,0.,0.)); #399173=DIRECTION('ref_axis',(0.,-1.,0.)); #399174=DIRECTION('',(0.,-1.,0.)); #399175=DIRECTION('',(0.,-1.,0.)); #399176=DIRECTION('',(0.,0.,1.)); #399177=DIRECTION('center_axis',(0.,1.,0.)); #399178=DIRECTION('ref_axis',(-1.,0.,0.)); #399179=DIRECTION('',(-1.,0.,0.)); #399180=DIRECTION('',(-1.,0.,0.)); #399181=DIRECTION('center_axis',(0.,0.,1.)); #399182=DIRECTION('ref_axis',(1.,0.,0.)); #399183=DIRECTION('center_axis',(0.,0.,1.)); #399184=DIRECTION('ref_axis',(1.,0.,0.)); #399185=DIRECTION('center_axis',(1.,0.,0.)); #399186=DIRECTION('ref_axis',(0.,1.,0.)); #399187=DIRECTION('',(0.,1.,0.)); #399188=DIRECTION('',(0.,0.,1.)); #399189=DIRECTION('',(0.,1.,0.)); #399190=DIRECTION('',(0.,0.,1.)); #399191=DIRECTION('center_axis',(0.,-1.,0.)); #399192=DIRECTION('ref_axis',(1.,0.,0.)); #399193=DIRECTION('',(1.,0.,0.)); #399194=DIRECTION('',(1.,0.,0.)); #399195=DIRECTION('',(0.,0.,1.)); #399196=DIRECTION('center_axis',(-1.,0.,0.)); #399197=DIRECTION('ref_axis',(0.,-1.,0.)); #399198=DIRECTION('',(0.,-1.,0.)); #399199=DIRECTION('',(0.,-1.,0.)); #399200=DIRECTION('',(0.,0.,1.)); #399201=DIRECTION('center_axis',(0.,1.,0.)); #399202=DIRECTION('ref_axis',(-1.,0.,0.)); #399203=DIRECTION('',(-1.,0.,0.)); #399204=DIRECTION('',(-1.,0.,0.)); #399205=DIRECTION('center_axis',(0.,0.,1.)); #399206=DIRECTION('ref_axis',(1.,0.,0.)); #399207=DIRECTION('center_axis',(0.,0.,1.)); #399208=DIRECTION('ref_axis',(1.,0.,0.)); #399209=DIRECTION('center_axis',(1.,0.,0.)); #399210=DIRECTION('ref_axis',(0.,1.,0.)); #399211=DIRECTION('',(0.,1.,0.)); #399212=DIRECTION('',(0.,0.,1.)); #399213=DIRECTION('',(0.,1.,0.)); #399214=DIRECTION('',(0.,0.,1.)); #399215=DIRECTION('center_axis',(0.,-1.,0.)); #399216=DIRECTION('ref_axis',(1.,0.,0.)); #399217=DIRECTION('',(1.,0.,0.)); #399218=DIRECTION('',(1.,0.,0.)); #399219=DIRECTION('',(0.,0.,1.)); #399220=DIRECTION('center_axis',(-1.,0.,0.)); #399221=DIRECTION('ref_axis',(0.,-1.,0.)); #399222=DIRECTION('',(0.,-1.,0.)); #399223=DIRECTION('',(0.,-1.,0.)); #399224=DIRECTION('',(0.,0.,1.)); #399225=DIRECTION('center_axis',(0.,1.,0.)); #399226=DIRECTION('ref_axis',(-1.,0.,0.)); #399227=DIRECTION('',(-1.,0.,0.)); #399228=DIRECTION('',(-1.,0.,0.)); #399229=DIRECTION('center_axis',(0.,0.,1.)); #399230=DIRECTION('ref_axis',(1.,0.,0.)); #399231=DIRECTION('center_axis',(0.,0.,1.)); #399232=DIRECTION('ref_axis',(1.,0.,0.)); #399233=DIRECTION('center_axis',(1.,0.,0.)); #399234=DIRECTION('ref_axis',(0.,1.,0.)); #399235=DIRECTION('',(0.,1.,0.)); #399236=DIRECTION('',(0.,0.,1.)); #399237=DIRECTION('',(0.,1.,0.)); #399238=DIRECTION('',(0.,0.,1.)); #399239=DIRECTION('center_axis',(0.,-1.,0.)); #399240=DIRECTION('ref_axis',(1.,0.,0.)); #399241=DIRECTION('',(1.,0.,0.)); #399242=DIRECTION('',(1.,0.,0.)); #399243=DIRECTION('',(0.,0.,1.)); #399244=DIRECTION('center_axis',(-1.,0.,0.)); #399245=DIRECTION('ref_axis',(0.,-1.,0.)); #399246=DIRECTION('',(0.,-1.,0.)); #399247=DIRECTION('',(0.,-1.,0.)); #399248=DIRECTION('',(0.,0.,1.)); #399249=DIRECTION('center_axis',(0.,1.,0.)); #399250=DIRECTION('ref_axis',(-1.,0.,0.)); #399251=DIRECTION('',(-1.,0.,0.)); #399252=DIRECTION('',(-1.,0.,0.)); #399253=DIRECTION('center_axis',(0.,0.,1.)); #399254=DIRECTION('ref_axis',(1.,0.,0.)); #399255=DIRECTION('center_axis',(0.,0.,1.)); #399256=DIRECTION('ref_axis',(1.,0.,0.)); #399257=DIRECTION('center_axis',(1.,0.,0.)); #399258=DIRECTION('ref_axis',(0.,1.,0.)); #399259=DIRECTION('',(0.,1.,0.)); #399260=DIRECTION('',(0.,0.,1.)); #399261=DIRECTION('',(0.,1.,0.)); #399262=DIRECTION('',(0.,0.,1.)); #399263=DIRECTION('center_axis',(0.,-1.,0.)); #399264=DIRECTION('ref_axis',(1.,0.,0.)); #399265=DIRECTION('',(1.,0.,0.)); #399266=DIRECTION('',(1.,0.,0.)); #399267=DIRECTION('',(0.,0.,1.)); #399268=DIRECTION('center_axis',(-1.,0.,0.)); #399269=DIRECTION('ref_axis',(0.,-1.,0.)); #399270=DIRECTION('',(0.,-1.,0.)); #399271=DIRECTION('',(0.,-1.,0.)); #399272=DIRECTION('',(0.,0.,1.)); #399273=DIRECTION('center_axis',(0.,1.,0.)); #399274=DIRECTION('ref_axis',(-1.,0.,0.)); #399275=DIRECTION('',(-1.,0.,0.)); #399276=DIRECTION('',(-1.,0.,0.)); #399277=DIRECTION('center_axis',(0.,0.,1.)); #399278=DIRECTION('ref_axis',(1.,0.,0.)); #399279=DIRECTION('center_axis',(0.,0.,1.)); #399280=DIRECTION('ref_axis',(1.,0.,0.)); #399281=DIRECTION('center_axis',(1.,0.,0.)); #399282=DIRECTION('ref_axis',(0.,1.,0.)); #399283=DIRECTION('',(0.,1.,0.)); #399284=DIRECTION('',(0.,0.,1.)); #399285=DIRECTION('',(0.,1.,0.)); #399286=DIRECTION('',(0.,0.,1.)); #399287=DIRECTION('center_axis',(0.,-1.,0.)); #399288=DIRECTION('ref_axis',(1.,0.,0.)); #399289=DIRECTION('',(1.,0.,0.)); #399290=DIRECTION('',(1.,0.,0.)); #399291=DIRECTION('',(0.,0.,1.)); #399292=DIRECTION('center_axis',(-1.,0.,0.)); #399293=DIRECTION('ref_axis',(0.,-1.,0.)); #399294=DIRECTION('',(0.,-1.,0.)); #399295=DIRECTION('',(0.,-1.,0.)); #399296=DIRECTION('',(0.,0.,1.)); #399297=DIRECTION('center_axis',(0.,1.,0.)); #399298=DIRECTION('ref_axis',(-1.,0.,0.)); #399299=DIRECTION('',(-1.,0.,0.)); #399300=DIRECTION('',(-1.,0.,0.)); #399301=DIRECTION('center_axis',(0.,0.,1.)); #399302=DIRECTION('ref_axis',(1.,0.,0.)); #399303=DIRECTION('center_axis',(0.,0.,1.)); #399304=DIRECTION('ref_axis',(1.,0.,0.)); #399305=DIRECTION('center_axis',(1.,0.,0.)); #399306=DIRECTION('ref_axis',(0.,1.,0.)); #399307=DIRECTION('',(0.,1.,0.)); #399308=DIRECTION('',(0.,0.,1.)); #399309=DIRECTION('',(0.,1.,0.)); #399310=DIRECTION('',(0.,0.,1.)); #399311=DIRECTION('center_axis',(0.,-1.,0.)); #399312=DIRECTION('ref_axis',(1.,0.,0.)); #399313=DIRECTION('',(1.,0.,0.)); #399314=DIRECTION('',(1.,0.,0.)); #399315=DIRECTION('',(0.,0.,1.)); #399316=DIRECTION('center_axis',(-1.,0.,0.)); #399317=DIRECTION('ref_axis',(0.,-1.,0.)); #399318=DIRECTION('',(0.,-1.,0.)); #399319=DIRECTION('',(0.,-1.,0.)); #399320=DIRECTION('',(0.,0.,1.)); #399321=DIRECTION('center_axis',(0.,1.,0.)); #399322=DIRECTION('ref_axis',(-1.,0.,0.)); #399323=DIRECTION('',(-1.,0.,0.)); #399324=DIRECTION('',(-1.,0.,0.)); #399325=DIRECTION('center_axis',(0.,0.,1.)); #399326=DIRECTION('ref_axis',(1.,0.,0.)); #399327=DIRECTION('center_axis',(0.,0.,1.)); #399328=DIRECTION('ref_axis',(1.,0.,0.)); #399329=DIRECTION('center_axis',(1.,0.,0.)); #399330=DIRECTION('ref_axis',(0.,1.,0.)); #399331=DIRECTION('',(0.,1.,0.)); #399332=DIRECTION('',(0.,0.,1.)); #399333=DIRECTION('',(0.,1.,0.)); #399334=DIRECTION('',(0.,0.,1.)); #399335=DIRECTION('center_axis',(0.,-1.,0.)); #399336=DIRECTION('ref_axis',(1.,0.,0.)); #399337=DIRECTION('',(1.,0.,0.)); #399338=DIRECTION('',(1.,0.,0.)); #399339=DIRECTION('',(0.,0.,1.)); #399340=DIRECTION('center_axis',(-1.,0.,0.)); #399341=DIRECTION('ref_axis',(0.,-1.,0.)); #399342=DIRECTION('',(0.,-1.,0.)); #399343=DIRECTION('',(0.,-1.,0.)); #399344=DIRECTION('',(0.,0.,1.)); #399345=DIRECTION('center_axis',(0.,1.,0.)); #399346=DIRECTION('ref_axis',(-1.,0.,0.)); #399347=DIRECTION('',(-1.,0.,0.)); #399348=DIRECTION('',(-1.,0.,0.)); #399349=DIRECTION('center_axis',(0.,0.,1.)); #399350=DIRECTION('ref_axis',(1.,0.,0.)); #399351=DIRECTION('center_axis',(0.,0.,1.)); #399352=DIRECTION('ref_axis',(1.,0.,0.)); #399353=DIRECTION('center_axis',(1.,0.,0.)); #399354=DIRECTION('ref_axis',(0.,1.,0.)); #399355=DIRECTION('',(0.,1.,0.)); #399356=DIRECTION('',(0.,0.,1.)); #399357=DIRECTION('',(0.,1.,0.)); #399358=DIRECTION('',(0.,0.,1.)); #399359=DIRECTION('center_axis',(0.,-1.,0.)); #399360=DIRECTION('ref_axis',(1.,0.,0.)); #399361=DIRECTION('',(1.,0.,0.)); #399362=DIRECTION('',(1.,0.,0.)); #399363=DIRECTION('',(0.,0.,1.)); #399364=DIRECTION('center_axis',(-1.,0.,0.)); #399365=DIRECTION('ref_axis',(0.,-1.,0.)); #399366=DIRECTION('',(0.,-1.,0.)); #399367=DIRECTION('',(0.,-1.,0.)); #399368=DIRECTION('',(0.,0.,1.)); #399369=DIRECTION('center_axis',(0.,1.,0.)); #399370=DIRECTION('ref_axis',(-1.,0.,0.)); #399371=DIRECTION('',(-1.,0.,0.)); #399372=DIRECTION('',(-1.,0.,0.)); #399373=DIRECTION('center_axis',(0.,0.,1.)); #399374=DIRECTION('ref_axis',(1.,0.,0.)); #399375=DIRECTION('center_axis',(0.,0.,1.)); #399376=DIRECTION('ref_axis',(1.,0.,0.)); #399377=DIRECTION('center_axis',(1.,0.,0.)); #399378=DIRECTION('ref_axis',(0.,1.,0.)); #399379=DIRECTION('',(0.,1.,0.)); #399380=DIRECTION('',(0.,0.,1.)); #399381=DIRECTION('',(0.,1.,0.)); #399382=DIRECTION('',(0.,0.,1.)); #399383=DIRECTION('center_axis',(0.,-1.,0.)); #399384=DIRECTION('ref_axis',(1.,0.,0.)); #399385=DIRECTION('',(1.,0.,0.)); #399386=DIRECTION('',(1.,0.,0.)); #399387=DIRECTION('',(0.,0.,1.)); #399388=DIRECTION('center_axis',(-1.,0.,0.)); #399389=DIRECTION('ref_axis',(0.,-1.,0.)); #399390=DIRECTION('',(0.,-1.,0.)); #399391=DIRECTION('',(0.,-1.,0.)); #399392=DIRECTION('',(0.,0.,1.)); #399393=DIRECTION('center_axis',(0.,1.,0.)); #399394=DIRECTION('ref_axis',(-1.,0.,0.)); #399395=DIRECTION('',(-1.,0.,0.)); #399396=DIRECTION('',(-1.,0.,0.)); #399397=DIRECTION('center_axis',(0.,0.,1.)); #399398=DIRECTION('ref_axis',(1.,0.,0.)); #399399=DIRECTION('center_axis',(0.,0.,1.)); #399400=DIRECTION('ref_axis',(1.,0.,0.)); #399401=DIRECTION('center_axis',(1.,0.,0.)); #399402=DIRECTION('ref_axis',(0.,1.,0.)); #399403=DIRECTION('',(0.,1.,0.)); #399404=DIRECTION('',(0.,0.,1.)); #399405=DIRECTION('',(0.,1.,0.)); #399406=DIRECTION('',(0.,0.,1.)); #399407=DIRECTION('center_axis',(0.,-1.,0.)); #399408=DIRECTION('ref_axis',(1.,0.,0.)); #399409=DIRECTION('',(1.,0.,0.)); #399410=DIRECTION('',(1.,0.,0.)); #399411=DIRECTION('',(0.,0.,1.)); #399412=DIRECTION('center_axis',(-1.,0.,0.)); #399413=DIRECTION('ref_axis',(0.,-1.,0.)); #399414=DIRECTION('',(0.,-1.,0.)); #399415=DIRECTION('',(0.,-1.,0.)); #399416=DIRECTION('',(0.,0.,1.)); #399417=DIRECTION('center_axis',(0.,1.,0.)); #399418=DIRECTION('ref_axis',(-1.,0.,0.)); #399419=DIRECTION('',(-1.,0.,0.)); #399420=DIRECTION('',(-1.,0.,0.)); #399421=DIRECTION('center_axis',(0.,0.,1.)); #399422=DIRECTION('ref_axis',(1.,0.,0.)); #399423=DIRECTION('center_axis',(0.,0.,1.)); #399424=DIRECTION('ref_axis',(1.,0.,0.)); #399425=DIRECTION('center_axis',(1.,0.,0.)); #399426=DIRECTION('ref_axis',(0.,1.,0.)); #399427=DIRECTION('',(0.,1.,0.)); #399428=DIRECTION('',(0.,0.,1.)); #399429=DIRECTION('',(0.,1.,0.)); #399430=DIRECTION('',(0.,0.,1.)); #399431=DIRECTION('center_axis',(0.,-1.,0.)); #399432=DIRECTION('ref_axis',(1.,0.,0.)); #399433=DIRECTION('',(1.,0.,0.)); #399434=DIRECTION('',(1.,0.,0.)); #399435=DIRECTION('',(0.,0.,1.)); #399436=DIRECTION('center_axis',(-1.,0.,0.)); #399437=DIRECTION('ref_axis',(0.,-1.,0.)); #399438=DIRECTION('',(0.,-1.,0.)); #399439=DIRECTION('',(0.,-1.,0.)); #399440=DIRECTION('',(0.,0.,1.)); #399441=DIRECTION('center_axis',(0.,1.,0.)); #399442=DIRECTION('ref_axis',(-1.,0.,0.)); #399443=DIRECTION('',(-1.,0.,0.)); #399444=DIRECTION('',(-1.,0.,0.)); #399445=DIRECTION('center_axis',(0.,0.,1.)); #399446=DIRECTION('ref_axis',(1.,0.,0.)); #399447=DIRECTION('center_axis',(0.,0.,1.)); #399448=DIRECTION('ref_axis',(1.,0.,0.)); #399449=DIRECTION('center_axis',(1.,0.,0.)); #399450=DIRECTION('ref_axis',(0.,1.,0.)); #399451=DIRECTION('',(0.,1.,0.)); #399452=DIRECTION('',(0.,0.,1.)); #399453=DIRECTION('',(0.,1.,0.)); #399454=DIRECTION('',(0.,0.,1.)); #399455=DIRECTION('center_axis',(0.,-1.,0.)); #399456=DIRECTION('ref_axis',(1.,0.,0.)); #399457=DIRECTION('',(1.,0.,0.)); #399458=DIRECTION('',(1.,0.,0.)); #399459=DIRECTION('',(0.,0.,1.)); #399460=DIRECTION('center_axis',(-1.,0.,0.)); #399461=DIRECTION('ref_axis',(0.,-1.,0.)); #399462=DIRECTION('',(0.,-1.,0.)); #399463=DIRECTION('',(0.,-1.,0.)); #399464=DIRECTION('',(0.,0.,1.)); #399465=DIRECTION('center_axis',(0.,1.,0.)); #399466=DIRECTION('ref_axis',(-1.,0.,0.)); #399467=DIRECTION('',(-1.,0.,0.)); #399468=DIRECTION('',(-1.,0.,0.)); #399469=DIRECTION('center_axis',(0.,0.,1.)); #399470=DIRECTION('ref_axis',(1.,0.,0.)); #399471=DIRECTION('center_axis',(0.,0.,1.)); #399472=DIRECTION('ref_axis',(1.,0.,0.)); #399473=DIRECTION('center_axis',(0.,0.,1.)); #399474=DIRECTION('ref_axis',(1.,0.,0.)); #399475=DIRECTION('center_axis',(0.,0.,1.)); #399476=DIRECTION('ref_axis',(1.,0.,0.)); #399477=DIRECTION('',(0.,0.,1.)); #399478=DIRECTION('center_axis',(0.,0.,-1.)); #399479=DIRECTION('ref_axis',(1.,0.,0.)); #399480=DIRECTION('center_axis',(0.,0.,1.)); #399481=DIRECTION('ref_axis',(1.,0.,0.)); #399482=DIRECTION('center_axis',(0.,0.,1.)); #399483=DIRECTION('ref_axis',(1.,0.,0.)); #399484=DIRECTION('',(0.,0.,1.)); #399485=DIRECTION('center_axis',(0.,0.,-1.)); #399486=DIRECTION('ref_axis',(1.,0.,0.)); #399487=DIRECTION('center_axis',(0.,0.,1.)); #399488=DIRECTION('ref_axis',(1.,0.,0.)); #399489=DIRECTION('center_axis',(0.,0.,1.)); #399490=DIRECTION('ref_axis',(1.,0.,0.)); #399491=DIRECTION('',(0.,0.,1.)); #399492=DIRECTION('center_axis',(0.,0.,-1.)); #399493=DIRECTION('ref_axis',(1.,0.,0.)); #399494=DIRECTION('center_axis',(0.,0.,1.)); #399495=DIRECTION('ref_axis',(1.,0.,0.)); #399496=DIRECTION('center_axis',(0.,0.,1.)); #399497=DIRECTION('ref_axis',(1.,0.,0.)); #399498=DIRECTION('',(0.,0.,1.)); #399499=DIRECTION('center_axis',(0.,0.,-1.)); #399500=DIRECTION('ref_axis',(1.,0.,0.)); #399501=DIRECTION('center_axis',(0.,0.,1.)); #399502=DIRECTION('ref_axis',(1.,0.,0.)); #399503=DIRECTION('center_axis',(0.,0.,1.)); #399504=DIRECTION('ref_axis',(1.,0.,0.)); #399505=DIRECTION('',(0.,0.,1.)); #399506=DIRECTION('center_axis',(0.,0.,-1.)); #399507=DIRECTION('ref_axis',(1.,0.,0.)); #399508=DIRECTION('center_axis',(0.,0.,1.)); #399509=DIRECTION('ref_axis',(1.,0.,0.)); #399510=DIRECTION('center_axis',(0.,0.,1.)); #399511=DIRECTION('ref_axis',(1.,0.,0.)); #399512=DIRECTION('',(0.,0.,1.)); #399513=DIRECTION('center_axis',(0.,0.,-1.)); #399514=DIRECTION('ref_axis',(1.,0.,0.)); #399515=DIRECTION('center_axis',(1.,0.,0.)); #399516=DIRECTION('ref_axis',(0.,1.,0.)); #399517=DIRECTION('',(0.,1.,0.)); #399518=DIRECTION('',(0.,0.,1.)); #399519=DIRECTION('',(0.,1.,0.)); #399520=DIRECTION('',(0.,0.,1.)); #399521=DIRECTION('center_axis',(0.,-1.,0.)); #399522=DIRECTION('ref_axis',(1.,0.,0.)); #399523=DIRECTION('',(1.,0.,0.)); #399524=DIRECTION('',(1.,0.,0.)); #399525=DIRECTION('',(0.,0.,1.)); #399526=DIRECTION('center_axis',(-1.,0.,0.)); #399527=DIRECTION('ref_axis',(0.,-1.,0.)); #399528=DIRECTION('',(0.,-1.,0.)); #399529=DIRECTION('',(0.,-1.,0.)); #399530=DIRECTION('',(0.,0.,1.)); #399531=DIRECTION('center_axis',(0.,1.,0.)); #399532=DIRECTION('ref_axis',(-1.,0.,0.)); #399533=DIRECTION('',(-1.,0.,0.)); #399534=DIRECTION('',(-1.,0.,0.)); #399535=DIRECTION('center_axis',(0.,0.,1.)); #399536=DIRECTION('ref_axis',(1.,0.,0.)); #399537=DIRECTION('center_axis',(0.,0.,1.)); #399538=DIRECTION('ref_axis',(1.,0.,0.)); #399539=DIRECTION('center_axis',(1.,0.,0.)); #399540=DIRECTION('ref_axis',(0.,1.,0.)); #399541=DIRECTION('',(0.,1.,0.)); #399542=DIRECTION('',(0.,0.,1.)); #399543=DIRECTION('',(0.,1.,0.)); #399544=DIRECTION('',(0.,0.,1.)); #399545=DIRECTION('center_axis',(0.,-1.,0.)); #399546=DIRECTION('ref_axis',(1.,0.,0.)); #399547=DIRECTION('',(1.,0.,0.)); #399548=DIRECTION('',(1.,0.,0.)); #399549=DIRECTION('',(0.,0.,1.)); #399550=DIRECTION('center_axis',(-1.,0.,0.)); #399551=DIRECTION('ref_axis',(0.,-1.,0.)); #399552=DIRECTION('',(0.,-1.,0.)); #399553=DIRECTION('',(0.,-1.,0.)); #399554=DIRECTION('',(0.,0.,1.)); #399555=DIRECTION('center_axis',(0.,1.,0.)); #399556=DIRECTION('ref_axis',(-1.,0.,0.)); #399557=DIRECTION('',(-1.,0.,0.)); #399558=DIRECTION('',(-1.,0.,0.)); #399559=DIRECTION('center_axis',(0.,0.,1.)); #399560=DIRECTION('ref_axis',(1.,0.,0.)); #399561=DIRECTION('center_axis',(0.,0.,1.)); #399562=DIRECTION('ref_axis',(1.,0.,0.)); #399563=DIRECTION('center_axis',(1.,0.,0.)); #399564=DIRECTION('ref_axis',(0.,1.,0.)); #399565=DIRECTION('',(0.,1.,0.)); #399566=DIRECTION('',(0.,0.,1.)); #399567=DIRECTION('',(0.,1.,0.)); #399568=DIRECTION('',(0.,0.,1.)); #399569=DIRECTION('center_axis',(0.,-1.,0.)); #399570=DIRECTION('ref_axis',(1.,0.,0.)); #399571=DIRECTION('',(1.,0.,0.)); #399572=DIRECTION('',(1.,0.,0.)); #399573=DIRECTION('',(0.,0.,1.)); #399574=DIRECTION('center_axis',(-1.,0.,0.)); #399575=DIRECTION('ref_axis',(0.,-1.,0.)); #399576=DIRECTION('',(0.,-1.,0.)); #399577=DIRECTION('',(0.,-1.,0.)); #399578=DIRECTION('',(0.,0.,1.)); #399579=DIRECTION('center_axis',(0.,1.,0.)); #399580=DIRECTION('ref_axis',(-1.,0.,0.)); #399581=DIRECTION('',(-1.,0.,0.)); #399582=DIRECTION('',(-1.,0.,0.)); #399583=DIRECTION('center_axis',(0.,0.,1.)); #399584=DIRECTION('ref_axis',(1.,0.,0.)); #399585=DIRECTION('center_axis',(0.,0.,1.)); #399586=DIRECTION('ref_axis',(1.,0.,0.)); #399587=DIRECTION('center_axis',(1.,0.,0.)); #399588=DIRECTION('ref_axis',(0.,1.,0.)); #399589=DIRECTION('',(0.,1.,0.)); #399590=DIRECTION('',(0.,0.,1.)); #399591=DIRECTION('',(0.,1.,0.)); #399592=DIRECTION('',(0.,0.,1.)); #399593=DIRECTION('center_axis',(0.,-1.,0.)); #399594=DIRECTION('ref_axis',(1.,0.,0.)); #399595=DIRECTION('',(1.,0.,0.)); #399596=DIRECTION('',(1.,0.,0.)); #399597=DIRECTION('',(0.,0.,1.)); #399598=DIRECTION('center_axis',(-1.,0.,0.)); #399599=DIRECTION('ref_axis',(0.,-1.,0.)); #399600=DIRECTION('',(0.,-1.,0.)); #399601=DIRECTION('',(0.,-1.,0.)); #399602=DIRECTION('',(0.,0.,1.)); #399603=DIRECTION('center_axis',(0.,1.,0.)); #399604=DIRECTION('ref_axis',(-1.,0.,0.)); #399605=DIRECTION('',(-1.,0.,0.)); #399606=DIRECTION('',(-1.,0.,0.)); #399607=DIRECTION('center_axis',(0.,0.,1.)); #399608=DIRECTION('ref_axis',(1.,0.,0.)); #399609=DIRECTION('center_axis',(0.,0.,1.)); #399610=DIRECTION('ref_axis',(1.,0.,0.)); #399611=DIRECTION('center_axis',(1.,0.,0.)); #399612=DIRECTION('ref_axis',(0.,1.,0.)); #399613=DIRECTION('',(0.,1.,0.)); #399614=DIRECTION('',(0.,0.,1.)); #399615=DIRECTION('',(0.,1.,0.)); #399616=DIRECTION('',(0.,0.,1.)); #399617=DIRECTION('center_axis',(0.,-1.,0.)); #399618=DIRECTION('ref_axis',(1.,0.,0.)); #399619=DIRECTION('',(1.,0.,0.)); #399620=DIRECTION('',(1.,0.,0.)); #399621=DIRECTION('',(0.,0.,1.)); #399622=DIRECTION('center_axis',(-1.,0.,0.)); #399623=DIRECTION('ref_axis',(0.,-1.,0.)); #399624=DIRECTION('',(0.,-1.,0.)); #399625=DIRECTION('',(0.,-1.,0.)); #399626=DIRECTION('',(0.,0.,1.)); #399627=DIRECTION('center_axis',(0.,1.,0.)); #399628=DIRECTION('ref_axis',(-1.,0.,0.)); #399629=DIRECTION('',(-1.,0.,0.)); #399630=DIRECTION('',(-1.,0.,0.)); #399631=DIRECTION('center_axis',(0.,0.,1.)); #399632=DIRECTION('ref_axis',(1.,0.,0.)); #399633=DIRECTION('center_axis',(0.,0.,1.)); #399634=DIRECTION('ref_axis',(1.,0.,0.)); #399635=DIRECTION('center_axis',(1.,0.,0.)); #399636=DIRECTION('ref_axis',(0.,1.,0.)); #399637=DIRECTION('',(0.,1.,0.)); #399638=DIRECTION('',(0.,0.,1.)); #399639=DIRECTION('',(0.,1.,0.)); #399640=DIRECTION('',(0.,0.,1.)); #399641=DIRECTION('center_axis',(0.,-1.,0.)); #399642=DIRECTION('ref_axis',(1.,0.,0.)); #399643=DIRECTION('',(1.,0.,0.)); #399644=DIRECTION('',(1.,0.,0.)); #399645=DIRECTION('',(0.,0.,1.)); #399646=DIRECTION('center_axis',(-1.,0.,0.)); #399647=DIRECTION('ref_axis',(0.,-1.,0.)); #399648=DIRECTION('',(0.,-1.,0.)); #399649=DIRECTION('',(0.,-1.,0.)); #399650=DIRECTION('',(0.,0.,1.)); #399651=DIRECTION('center_axis',(0.,1.,0.)); #399652=DIRECTION('ref_axis',(-1.,0.,0.)); #399653=DIRECTION('',(-1.,0.,0.)); #399654=DIRECTION('',(-1.,0.,0.)); #399655=DIRECTION('center_axis',(0.,0.,1.)); #399656=DIRECTION('ref_axis',(1.,0.,0.)); #399657=DIRECTION('center_axis',(0.,0.,1.)); #399658=DIRECTION('ref_axis',(1.,0.,0.)); #399659=DIRECTION('center_axis',(1.,0.,0.)); #399660=DIRECTION('ref_axis',(0.,1.,0.)); #399661=DIRECTION('',(0.,1.,0.)); #399662=DIRECTION('',(0.,0.,1.)); #399663=DIRECTION('',(0.,1.,0.)); #399664=DIRECTION('',(0.,0.,1.)); #399665=DIRECTION('center_axis',(0.,-1.,0.)); #399666=DIRECTION('ref_axis',(1.,0.,0.)); #399667=DIRECTION('',(1.,0.,0.)); #399668=DIRECTION('',(1.,0.,0.)); #399669=DIRECTION('',(0.,0.,1.)); #399670=DIRECTION('center_axis',(-1.,0.,0.)); #399671=DIRECTION('ref_axis',(0.,-1.,0.)); #399672=DIRECTION('',(0.,-1.,0.)); #399673=DIRECTION('',(0.,-1.,0.)); #399674=DIRECTION('',(0.,0.,1.)); #399675=DIRECTION('center_axis',(0.,1.,0.)); #399676=DIRECTION('ref_axis',(-1.,0.,0.)); #399677=DIRECTION('',(-1.,0.,0.)); #399678=DIRECTION('',(-1.,0.,0.)); #399679=DIRECTION('center_axis',(0.,0.,1.)); #399680=DIRECTION('ref_axis',(1.,0.,0.)); #399681=DIRECTION('center_axis',(0.,0.,1.)); #399682=DIRECTION('ref_axis',(1.,0.,0.)); #399683=DIRECTION('center_axis',(1.,0.,0.)); #399684=DIRECTION('ref_axis',(0.,1.,0.)); #399685=DIRECTION('',(0.,1.,0.)); #399686=DIRECTION('',(0.,0.,1.)); #399687=DIRECTION('',(0.,1.,0.)); #399688=DIRECTION('',(0.,0.,1.)); #399689=DIRECTION('center_axis',(0.,-1.,0.)); #399690=DIRECTION('ref_axis',(1.,0.,0.)); #399691=DIRECTION('',(1.,0.,0.)); #399692=DIRECTION('',(1.,0.,0.)); #399693=DIRECTION('',(0.,0.,1.)); #399694=DIRECTION('center_axis',(-1.,0.,0.)); #399695=DIRECTION('ref_axis',(0.,-1.,0.)); #399696=DIRECTION('',(0.,-1.,0.)); #399697=DIRECTION('',(0.,-1.,0.)); #399698=DIRECTION('',(0.,0.,1.)); #399699=DIRECTION('center_axis',(0.,1.,0.)); #399700=DIRECTION('ref_axis',(-1.,0.,0.)); #399701=DIRECTION('',(-1.,0.,0.)); #399702=DIRECTION('',(-1.,0.,0.)); #399703=DIRECTION('center_axis',(0.,0.,1.)); #399704=DIRECTION('ref_axis',(1.,0.,0.)); #399705=DIRECTION('center_axis',(0.,0.,1.)); #399706=DIRECTION('ref_axis',(1.,0.,0.)); #399707=DIRECTION('center_axis',(1.,0.,0.)); #399708=DIRECTION('ref_axis',(0.,1.,0.)); #399709=DIRECTION('',(0.,1.,0.)); #399710=DIRECTION('',(0.,0.,1.)); #399711=DIRECTION('',(0.,1.,0.)); #399712=DIRECTION('',(0.,0.,1.)); #399713=DIRECTION('center_axis',(0.,-1.,0.)); #399714=DIRECTION('ref_axis',(1.,0.,0.)); #399715=DIRECTION('',(1.,0.,0.)); #399716=DIRECTION('',(1.,0.,0.)); #399717=DIRECTION('',(0.,0.,1.)); #399718=DIRECTION('center_axis',(-1.,0.,0.)); #399719=DIRECTION('ref_axis',(0.,-1.,0.)); #399720=DIRECTION('',(0.,-1.,0.)); #399721=DIRECTION('',(0.,-1.,0.)); #399722=DIRECTION('',(0.,0.,1.)); #399723=DIRECTION('center_axis',(0.,1.,0.)); #399724=DIRECTION('ref_axis',(-1.,0.,0.)); #399725=DIRECTION('',(-1.,0.,0.)); #399726=DIRECTION('',(-1.,0.,0.)); #399727=DIRECTION('center_axis',(0.,0.,1.)); #399728=DIRECTION('ref_axis',(1.,0.,0.)); #399729=DIRECTION('center_axis',(0.,0.,1.)); #399730=DIRECTION('ref_axis',(1.,0.,0.)); #399731=DIRECTION('center_axis',(1.,0.,0.)); #399732=DIRECTION('ref_axis',(0.,1.,0.)); #399733=DIRECTION('',(0.,1.,0.)); #399734=DIRECTION('',(0.,0.,1.)); #399735=DIRECTION('',(0.,1.,0.)); #399736=DIRECTION('',(0.,0.,1.)); #399737=DIRECTION('center_axis',(0.,-1.,0.)); #399738=DIRECTION('ref_axis',(1.,0.,0.)); #399739=DIRECTION('',(1.,0.,0.)); #399740=DIRECTION('',(1.,0.,0.)); #399741=DIRECTION('',(0.,0.,1.)); #399742=DIRECTION('center_axis',(-1.,0.,0.)); #399743=DIRECTION('ref_axis',(0.,-1.,0.)); #399744=DIRECTION('',(0.,-1.,0.)); #399745=DIRECTION('',(0.,-1.,0.)); #399746=DIRECTION('',(0.,0.,1.)); #399747=DIRECTION('center_axis',(0.,1.,0.)); #399748=DIRECTION('ref_axis',(-1.,0.,0.)); #399749=DIRECTION('',(-1.,0.,0.)); #399750=DIRECTION('',(-1.,0.,0.)); #399751=DIRECTION('center_axis',(0.,0.,1.)); #399752=DIRECTION('ref_axis',(1.,0.,0.)); #399753=DIRECTION('center_axis',(0.,0.,1.)); #399754=DIRECTION('ref_axis',(1.,0.,0.)); #399755=DIRECTION('center_axis',(1.,0.,0.)); #399756=DIRECTION('ref_axis',(0.,1.,0.)); #399757=DIRECTION('',(0.,1.,0.)); #399758=DIRECTION('',(0.,0.,1.)); #399759=DIRECTION('',(0.,1.,0.)); #399760=DIRECTION('',(0.,0.,1.)); #399761=DIRECTION('center_axis',(0.,-1.,0.)); #399762=DIRECTION('ref_axis',(1.,0.,0.)); #399763=DIRECTION('',(1.,0.,0.)); #399764=DIRECTION('',(1.,0.,0.)); #399765=DIRECTION('',(0.,0.,1.)); #399766=DIRECTION('center_axis',(-1.,0.,0.)); #399767=DIRECTION('ref_axis',(0.,-1.,0.)); #399768=DIRECTION('',(0.,-1.,0.)); #399769=DIRECTION('',(0.,-1.,0.)); #399770=DIRECTION('',(0.,0.,1.)); #399771=DIRECTION('center_axis',(0.,1.,0.)); #399772=DIRECTION('ref_axis',(-1.,0.,0.)); #399773=DIRECTION('',(-1.,0.,0.)); #399774=DIRECTION('',(-1.,0.,0.)); #399775=DIRECTION('center_axis',(0.,0.,1.)); #399776=DIRECTION('ref_axis',(1.,0.,0.)); #399777=DIRECTION('center_axis',(0.,0.,1.)); #399778=DIRECTION('ref_axis',(1.,0.,0.)); #399779=DIRECTION('center_axis',(1.,0.,0.)); #399780=DIRECTION('ref_axis',(0.,1.,0.)); #399781=DIRECTION('',(0.,1.,0.)); #399782=DIRECTION('',(0.,0.,1.)); #399783=DIRECTION('',(0.,1.,0.)); #399784=DIRECTION('',(0.,0.,1.)); #399785=DIRECTION('center_axis',(0.,-1.,0.)); #399786=DIRECTION('ref_axis',(1.,0.,0.)); #399787=DIRECTION('',(1.,0.,0.)); #399788=DIRECTION('',(1.,0.,0.)); #399789=DIRECTION('',(0.,0.,1.)); #399790=DIRECTION('center_axis',(-1.,0.,0.)); #399791=DIRECTION('ref_axis',(0.,-1.,0.)); #399792=DIRECTION('',(0.,-1.,0.)); #399793=DIRECTION('',(0.,-1.,0.)); #399794=DIRECTION('',(0.,0.,1.)); #399795=DIRECTION('center_axis',(0.,1.,0.)); #399796=DIRECTION('ref_axis',(-1.,0.,0.)); #399797=DIRECTION('',(-1.,0.,0.)); #399798=DIRECTION('',(-1.,0.,0.)); #399799=DIRECTION('center_axis',(0.,0.,1.)); #399800=DIRECTION('ref_axis',(1.,0.,0.)); #399801=DIRECTION('center_axis',(0.,0.,1.)); #399802=DIRECTION('ref_axis',(1.,0.,0.)); #399803=DIRECTION('center_axis',(1.,0.,0.)); #399804=DIRECTION('ref_axis',(0.,1.,0.)); #399805=DIRECTION('',(0.,1.,0.)); #399806=DIRECTION('',(0.,0.,1.)); #399807=DIRECTION('',(0.,1.,0.)); #399808=DIRECTION('',(0.,0.,1.)); #399809=DIRECTION('center_axis',(0.,-1.,0.)); #399810=DIRECTION('ref_axis',(1.,0.,0.)); #399811=DIRECTION('',(1.,0.,0.)); #399812=DIRECTION('',(1.,0.,0.)); #399813=DIRECTION('',(0.,0.,1.)); #399814=DIRECTION('center_axis',(-1.,0.,0.)); #399815=DIRECTION('ref_axis',(0.,-1.,0.)); #399816=DIRECTION('',(0.,-1.,0.)); #399817=DIRECTION('',(0.,-1.,0.)); #399818=DIRECTION('',(0.,0.,1.)); #399819=DIRECTION('center_axis',(0.,1.,0.)); #399820=DIRECTION('ref_axis',(-1.,0.,0.)); #399821=DIRECTION('',(-1.,0.,0.)); #399822=DIRECTION('',(-1.,0.,0.)); #399823=DIRECTION('center_axis',(0.,0.,1.)); #399824=DIRECTION('ref_axis',(1.,0.,0.)); #399825=DIRECTION('center_axis',(0.,0.,1.)); #399826=DIRECTION('ref_axis',(1.,0.,0.)); #399827=DIRECTION('center_axis',(1.,0.,0.)); #399828=DIRECTION('ref_axis',(0.,1.,0.)); #399829=DIRECTION('',(0.,1.,0.)); #399830=DIRECTION('',(0.,0.,1.)); #399831=DIRECTION('',(0.,1.,0.)); #399832=DIRECTION('',(0.,0.,1.)); #399833=DIRECTION('center_axis',(0.,-1.,0.)); #399834=DIRECTION('ref_axis',(1.,0.,0.)); #399835=DIRECTION('',(1.,0.,0.)); #399836=DIRECTION('',(1.,0.,0.)); #399837=DIRECTION('',(0.,0.,1.)); #399838=DIRECTION('center_axis',(-1.,0.,0.)); #399839=DIRECTION('ref_axis',(0.,-1.,0.)); #399840=DIRECTION('',(0.,-1.,0.)); #399841=DIRECTION('',(0.,-1.,0.)); #399842=DIRECTION('',(0.,0.,1.)); #399843=DIRECTION('center_axis',(0.,1.,0.)); #399844=DIRECTION('ref_axis',(-1.,0.,0.)); #399845=DIRECTION('',(-1.,0.,0.)); #399846=DIRECTION('',(-1.,0.,0.)); #399847=DIRECTION('center_axis',(0.,0.,1.)); #399848=DIRECTION('ref_axis',(1.,0.,0.)); #399849=DIRECTION('center_axis',(0.,0.,1.)); #399850=DIRECTION('ref_axis',(1.,0.,0.)); #399851=DIRECTION('center_axis',(1.,0.,0.)); #399852=DIRECTION('ref_axis',(0.,1.,0.)); #399853=DIRECTION('',(0.,1.,0.)); #399854=DIRECTION('',(0.,0.,1.)); #399855=DIRECTION('',(0.,1.,0.)); #399856=DIRECTION('',(0.,0.,1.)); #399857=DIRECTION('center_axis',(0.,-1.,0.)); #399858=DIRECTION('ref_axis',(1.,0.,0.)); #399859=DIRECTION('',(1.,0.,0.)); #399860=DIRECTION('',(1.,0.,0.)); #399861=DIRECTION('',(0.,0.,1.)); #399862=DIRECTION('center_axis',(-1.,0.,0.)); #399863=DIRECTION('ref_axis',(0.,-1.,0.)); #399864=DIRECTION('',(0.,-1.,0.)); #399865=DIRECTION('',(0.,-1.,0.)); #399866=DIRECTION('',(0.,0.,1.)); #399867=DIRECTION('center_axis',(0.,1.,0.)); #399868=DIRECTION('ref_axis',(-1.,0.,0.)); #399869=DIRECTION('',(-1.,0.,0.)); #399870=DIRECTION('',(-1.,0.,0.)); #399871=DIRECTION('center_axis',(0.,0.,1.)); #399872=DIRECTION('ref_axis',(1.,0.,0.)); #399873=DIRECTION('center_axis',(0.,0.,1.)); #399874=DIRECTION('ref_axis',(1.,0.,0.)); #399875=DIRECTION('center_axis',(1.,0.,0.)); #399876=DIRECTION('ref_axis',(0.,1.,0.)); #399877=DIRECTION('',(0.,1.,0.)); #399878=DIRECTION('',(0.,0.,1.)); #399879=DIRECTION('',(0.,1.,0.)); #399880=DIRECTION('',(0.,0.,1.)); #399881=DIRECTION('center_axis',(0.,-1.,0.)); #399882=DIRECTION('ref_axis',(1.,0.,0.)); #399883=DIRECTION('',(1.,0.,0.)); #399884=DIRECTION('',(1.,0.,0.)); #399885=DIRECTION('',(0.,0.,1.)); #399886=DIRECTION('center_axis',(-1.,0.,0.)); #399887=DIRECTION('ref_axis',(0.,-1.,0.)); #399888=DIRECTION('',(0.,-1.,0.)); #399889=DIRECTION('',(0.,-1.,0.)); #399890=DIRECTION('',(0.,0.,1.)); #399891=DIRECTION('center_axis',(0.,1.,0.)); #399892=DIRECTION('ref_axis',(-1.,0.,0.)); #399893=DIRECTION('',(-1.,0.,0.)); #399894=DIRECTION('',(-1.,0.,0.)); #399895=DIRECTION('center_axis',(0.,0.,1.)); #399896=DIRECTION('ref_axis',(1.,0.,0.)); #399897=DIRECTION('center_axis',(0.,0.,1.)); #399898=DIRECTION('ref_axis',(1.,0.,0.)); #399899=DIRECTION('center_axis',(1.,0.,0.)); #399900=DIRECTION('ref_axis',(0.,1.,0.)); #399901=DIRECTION('',(0.,1.,0.)); #399902=DIRECTION('',(0.,0.,1.)); #399903=DIRECTION('',(0.,1.,0.)); #399904=DIRECTION('',(0.,0.,1.)); #399905=DIRECTION('center_axis',(0.,-1.,0.)); #399906=DIRECTION('ref_axis',(1.,0.,0.)); #399907=DIRECTION('',(1.,0.,0.)); #399908=DIRECTION('',(1.,0.,0.)); #399909=DIRECTION('',(0.,0.,1.)); #399910=DIRECTION('center_axis',(-1.,0.,0.)); #399911=DIRECTION('ref_axis',(0.,-1.,0.)); #399912=DIRECTION('',(0.,-1.,0.)); #399913=DIRECTION('',(0.,-1.,0.)); #399914=DIRECTION('',(0.,0.,1.)); #399915=DIRECTION('center_axis',(0.,1.,0.)); #399916=DIRECTION('ref_axis',(-1.,0.,0.)); #399917=DIRECTION('',(-1.,0.,0.)); #399918=DIRECTION('',(-1.,0.,0.)); #399919=DIRECTION('center_axis',(0.,0.,1.)); #399920=DIRECTION('ref_axis',(1.,0.,0.)); #399921=DIRECTION('center_axis',(0.,0.,1.)); #399922=DIRECTION('ref_axis',(1.,0.,0.)); #399923=DIRECTION('center_axis',(1.,0.,0.)); #399924=DIRECTION('ref_axis',(0.,1.,0.)); #399925=DIRECTION('',(0.,1.,0.)); #399926=DIRECTION('',(0.,0.,1.)); #399927=DIRECTION('',(0.,1.,0.)); #399928=DIRECTION('',(0.,0.,1.)); #399929=DIRECTION('center_axis',(0.,-1.,0.)); #399930=DIRECTION('ref_axis',(1.,0.,0.)); #399931=DIRECTION('',(1.,0.,0.)); #399932=DIRECTION('',(1.,0.,0.)); #399933=DIRECTION('',(0.,0.,1.)); #399934=DIRECTION('center_axis',(-1.,0.,0.)); #399935=DIRECTION('ref_axis',(0.,-1.,0.)); #399936=DIRECTION('',(0.,-1.,0.)); #399937=DIRECTION('',(0.,-1.,0.)); #399938=DIRECTION('',(0.,0.,1.)); #399939=DIRECTION('center_axis',(0.,1.,0.)); #399940=DIRECTION('ref_axis',(-1.,0.,0.)); #399941=DIRECTION('',(-1.,0.,0.)); #399942=DIRECTION('',(-1.,0.,0.)); #399943=DIRECTION('center_axis',(0.,0.,1.)); #399944=DIRECTION('ref_axis',(1.,0.,0.)); #399945=DIRECTION('center_axis',(0.,0.,1.)); #399946=DIRECTION('ref_axis',(1.,0.,0.)); #399947=DIRECTION('center_axis',(1.,0.,0.)); #399948=DIRECTION('ref_axis',(0.,1.,0.)); #399949=DIRECTION('',(0.,1.,0.)); #399950=DIRECTION('',(0.,0.,1.)); #399951=DIRECTION('',(0.,1.,0.)); #399952=DIRECTION('',(0.,0.,1.)); #399953=DIRECTION('center_axis',(0.,-1.,0.)); #399954=DIRECTION('ref_axis',(1.,0.,0.)); #399955=DIRECTION('',(1.,0.,0.)); #399956=DIRECTION('',(1.,0.,0.)); #399957=DIRECTION('',(0.,0.,1.)); #399958=DIRECTION('center_axis',(-1.,0.,0.)); #399959=DIRECTION('ref_axis',(0.,-1.,0.)); #399960=DIRECTION('',(0.,-1.,0.)); #399961=DIRECTION('',(0.,-1.,0.)); #399962=DIRECTION('',(0.,0.,1.)); #399963=DIRECTION('center_axis',(0.,1.,0.)); #399964=DIRECTION('ref_axis',(-1.,0.,0.)); #399965=DIRECTION('',(-1.,0.,0.)); #399966=DIRECTION('',(-1.,0.,0.)); #399967=DIRECTION('center_axis',(0.,0.,1.)); #399968=DIRECTION('ref_axis',(1.,0.,0.)); #399969=DIRECTION('center_axis',(0.,0.,1.)); #399970=DIRECTION('ref_axis',(1.,0.,0.)); #399971=DIRECTION('center_axis',(1.,0.,0.)); #399972=DIRECTION('ref_axis',(0.,1.,0.)); #399973=DIRECTION('',(0.,1.,0.)); #399974=DIRECTION('',(0.,0.,1.)); #399975=DIRECTION('',(0.,1.,0.)); #399976=DIRECTION('',(0.,0.,1.)); #399977=DIRECTION('center_axis',(0.,-1.,0.)); #399978=DIRECTION('ref_axis',(1.,0.,0.)); #399979=DIRECTION('',(1.,0.,0.)); #399980=DIRECTION('',(1.,0.,0.)); #399981=DIRECTION('',(0.,0.,1.)); #399982=DIRECTION('center_axis',(-1.,0.,0.)); #399983=DIRECTION('ref_axis',(0.,-1.,0.)); #399984=DIRECTION('',(0.,-1.,0.)); #399985=DIRECTION('',(0.,-1.,0.)); #399986=DIRECTION('',(0.,0.,1.)); #399987=DIRECTION('center_axis',(0.,1.,0.)); #399988=DIRECTION('ref_axis',(-1.,0.,0.)); #399989=DIRECTION('',(-1.,0.,0.)); #399990=DIRECTION('',(-1.,0.,0.)); #399991=DIRECTION('center_axis',(0.,0.,1.)); #399992=DIRECTION('ref_axis',(1.,0.,0.)); #399993=DIRECTION('center_axis',(0.,0.,1.)); #399994=DIRECTION('ref_axis',(1.,0.,0.)); #399995=DIRECTION('center_axis',(1.,0.,0.)); #399996=DIRECTION('ref_axis',(0.,1.,0.)); #399997=DIRECTION('',(0.,1.,0.)); #399998=DIRECTION('',(0.,0.,1.)); #399999=DIRECTION('',(0.,1.,0.)); #400000=DIRECTION('',(0.,0.,1.)); #400001=DIRECTION('center_axis',(0.,-1.,0.)); #400002=DIRECTION('ref_axis',(1.,0.,0.)); #400003=DIRECTION('',(1.,0.,0.)); #400004=DIRECTION('',(1.,0.,0.)); #400005=DIRECTION('',(0.,0.,1.)); #400006=DIRECTION('center_axis',(-1.,0.,0.)); #400007=DIRECTION('ref_axis',(0.,-1.,0.)); #400008=DIRECTION('',(0.,-1.,0.)); #400009=DIRECTION('',(0.,-1.,0.)); #400010=DIRECTION('',(0.,0.,1.)); #400011=DIRECTION('center_axis',(0.,1.,0.)); #400012=DIRECTION('ref_axis',(-1.,0.,0.)); #400013=DIRECTION('',(-1.,0.,0.)); #400014=DIRECTION('',(-1.,0.,0.)); #400015=DIRECTION('center_axis',(0.,0.,1.)); #400016=DIRECTION('ref_axis',(1.,0.,0.)); #400017=DIRECTION('center_axis',(0.,0.,1.)); #400018=DIRECTION('ref_axis',(1.,0.,0.)); #400019=DIRECTION('center_axis',(1.,0.,0.)); #400020=DIRECTION('ref_axis',(0.,1.,0.)); #400021=DIRECTION('',(0.,1.,0.)); #400022=DIRECTION('',(0.,0.,1.)); #400023=DIRECTION('',(0.,1.,0.)); #400024=DIRECTION('',(0.,0.,1.)); #400025=DIRECTION('center_axis',(0.,-1.,0.)); #400026=DIRECTION('ref_axis',(1.,0.,0.)); #400027=DIRECTION('',(1.,0.,0.)); #400028=DIRECTION('',(1.,0.,0.)); #400029=DIRECTION('',(0.,0.,1.)); #400030=DIRECTION('center_axis',(-1.,0.,0.)); #400031=DIRECTION('ref_axis',(0.,-1.,0.)); #400032=DIRECTION('',(0.,-1.,0.)); #400033=DIRECTION('',(0.,-1.,0.)); #400034=DIRECTION('',(0.,0.,1.)); #400035=DIRECTION('center_axis',(0.,1.,0.)); #400036=DIRECTION('ref_axis',(-1.,0.,0.)); #400037=DIRECTION('',(-1.,0.,0.)); #400038=DIRECTION('',(-1.,0.,0.)); #400039=DIRECTION('center_axis',(0.,0.,1.)); #400040=DIRECTION('ref_axis',(1.,0.,0.)); #400041=DIRECTION('center_axis',(0.,0.,1.)); #400042=DIRECTION('ref_axis',(1.,0.,0.)); #400043=DIRECTION('center_axis',(1.,0.,0.)); #400044=DIRECTION('ref_axis',(0.,1.,0.)); #400045=DIRECTION('',(0.,1.,0.)); #400046=DIRECTION('',(0.,0.,1.)); #400047=DIRECTION('',(0.,1.,0.)); #400048=DIRECTION('',(0.,0.,1.)); #400049=DIRECTION('center_axis',(0.,-1.,0.)); #400050=DIRECTION('ref_axis',(1.,0.,0.)); #400051=DIRECTION('',(1.,0.,0.)); #400052=DIRECTION('',(1.,0.,0.)); #400053=DIRECTION('',(0.,0.,1.)); #400054=DIRECTION('center_axis',(-1.,0.,0.)); #400055=DIRECTION('ref_axis',(0.,-1.,0.)); #400056=DIRECTION('',(0.,-1.,0.)); #400057=DIRECTION('',(0.,-1.,0.)); #400058=DIRECTION('',(0.,0.,1.)); #400059=DIRECTION('center_axis',(0.,1.,0.)); #400060=DIRECTION('ref_axis',(-1.,0.,0.)); #400061=DIRECTION('',(-1.,0.,0.)); #400062=DIRECTION('',(-1.,0.,0.)); #400063=DIRECTION('center_axis',(0.,0.,1.)); #400064=DIRECTION('ref_axis',(1.,0.,0.)); #400065=DIRECTION('center_axis',(0.,0.,1.)); #400066=DIRECTION('ref_axis',(1.,0.,0.)); #400067=DIRECTION('center_axis',(1.,0.,0.)); #400068=DIRECTION('ref_axis',(0.,1.,0.)); #400069=DIRECTION('',(0.,1.,0.)); #400070=DIRECTION('',(0.,0.,1.)); #400071=DIRECTION('',(0.,1.,0.)); #400072=DIRECTION('',(0.,0.,1.)); #400073=DIRECTION('center_axis',(0.,-1.,0.)); #400074=DIRECTION('ref_axis',(1.,0.,0.)); #400075=DIRECTION('',(1.,0.,0.)); #400076=DIRECTION('',(1.,0.,0.)); #400077=DIRECTION('',(0.,0.,1.)); #400078=DIRECTION('center_axis',(-1.,0.,0.)); #400079=DIRECTION('ref_axis',(0.,-1.,0.)); #400080=DIRECTION('',(0.,-1.,0.)); #400081=DIRECTION('',(0.,-1.,0.)); #400082=DIRECTION('',(0.,0.,1.)); #400083=DIRECTION('center_axis',(0.,1.,0.)); #400084=DIRECTION('ref_axis',(-1.,0.,0.)); #400085=DIRECTION('',(-1.,0.,0.)); #400086=DIRECTION('',(-1.,0.,0.)); #400087=DIRECTION('center_axis',(0.,0.,1.)); #400088=DIRECTION('ref_axis',(1.,0.,0.)); #400089=DIRECTION('center_axis',(0.,0.,1.)); #400090=DIRECTION('ref_axis',(1.,0.,0.)); #400091=DIRECTION('center_axis',(0.,0.,1.)); #400092=DIRECTION('ref_axis',(1.,0.,0.)); #400093=DIRECTION('center_axis',(0.,0.,1.)); #400094=DIRECTION('ref_axis',(1.,0.,0.)); #400095=DIRECTION('',(0.,0.,1.)); #400096=DIRECTION('center_axis',(0.,0.,-1.)); #400097=DIRECTION('ref_axis',(1.,0.,0.)); #400098=DIRECTION('center_axis',(0.,0.,1.)); #400099=DIRECTION('ref_axis',(1.,0.,0.)); #400100=DIRECTION('center_axis',(0.,0.,1.)); #400101=DIRECTION('ref_axis',(1.,0.,0.)); #400102=DIRECTION('',(0.,0.,1.)); #400103=DIRECTION('center_axis',(0.,0.,-1.)); #400104=DIRECTION('ref_axis',(1.,0.,0.)); #400105=DIRECTION('center_axis',(0.,0.,1.)); #400106=DIRECTION('ref_axis',(1.,0.,0.)); #400107=DIRECTION('center_axis',(0.,0.,1.)); #400108=DIRECTION('ref_axis',(1.,0.,0.)); #400109=DIRECTION('',(0.,0.,1.)); #400110=DIRECTION('center_axis',(0.,0.,-1.)); #400111=DIRECTION('ref_axis',(1.,0.,0.)); #400112=DIRECTION('center_axis',(0.,0.,1.)); #400113=DIRECTION('ref_axis',(1.,0.,0.)); #400114=DIRECTION('center_axis',(0.,0.,1.)); #400115=DIRECTION('ref_axis',(1.,0.,0.)); #400116=DIRECTION('',(0.,0.,1.)); #400117=DIRECTION('center_axis',(0.,0.,-1.)); #400118=DIRECTION('ref_axis',(1.,0.,0.)); #400119=DIRECTION('center_axis',(0.,0.,1.)); #400120=DIRECTION('ref_axis',(1.,0.,0.)); #400121=DIRECTION('center_axis',(0.,0.,1.)); #400122=DIRECTION('ref_axis',(1.,0.,0.)); #400123=DIRECTION('',(0.,0.,1.)); #400124=DIRECTION('center_axis',(0.,0.,-1.)); #400125=DIRECTION('ref_axis',(1.,0.,0.)); #400126=DIRECTION('center_axis',(0.,0.,1.)); #400127=DIRECTION('ref_axis',(1.,0.,0.)); #400128=DIRECTION('center_axis',(0.,0.,1.)); #400129=DIRECTION('ref_axis',(1.,0.,0.)); #400130=DIRECTION('',(0.,0.,1.)); #400131=DIRECTION('center_axis',(0.,0.,-1.)); #400132=DIRECTION('ref_axis',(1.,0.,0.)); #400133=DIRECTION('center_axis',(1.,0.,0.)); #400134=DIRECTION('ref_axis',(0.,1.,0.)); #400135=DIRECTION('',(0.,1.,0.)); #400136=DIRECTION('',(0.,0.,1.)); #400137=DIRECTION('',(0.,1.,0.)); #400138=DIRECTION('',(0.,0.,1.)); #400139=DIRECTION('center_axis',(0.,-1.,0.)); #400140=DIRECTION('ref_axis',(1.,0.,0.)); #400141=DIRECTION('',(1.,0.,0.)); #400142=DIRECTION('',(1.,0.,0.)); #400143=DIRECTION('',(0.,0.,1.)); #400144=DIRECTION('center_axis',(-1.,0.,0.)); #400145=DIRECTION('ref_axis',(0.,-1.,0.)); #400146=DIRECTION('',(0.,-1.,0.)); #400147=DIRECTION('',(0.,-1.,0.)); #400148=DIRECTION('',(0.,0.,1.)); #400149=DIRECTION('center_axis',(0.,1.,0.)); #400150=DIRECTION('ref_axis',(-1.,0.,0.)); #400151=DIRECTION('',(-1.,0.,0.)); #400152=DIRECTION('',(-1.,0.,0.)); #400153=DIRECTION('center_axis',(0.,0.,1.)); #400154=DIRECTION('ref_axis',(1.,0.,0.)); #400155=DIRECTION('center_axis',(0.,0.,1.)); #400156=DIRECTION('ref_axis',(1.,0.,0.)); #400157=DIRECTION('center_axis',(1.,0.,0.)); #400158=DIRECTION('ref_axis',(0.,1.,0.)); #400159=DIRECTION('',(0.,1.,0.)); #400160=DIRECTION('',(0.,0.,1.)); #400161=DIRECTION('',(0.,1.,0.)); #400162=DIRECTION('',(0.,0.,1.)); #400163=DIRECTION('center_axis',(0.,-1.,0.)); #400164=DIRECTION('ref_axis',(1.,0.,0.)); #400165=DIRECTION('',(1.,0.,0.)); #400166=DIRECTION('',(1.,0.,0.)); #400167=DIRECTION('',(0.,0.,1.)); #400168=DIRECTION('center_axis',(-1.,0.,0.)); #400169=DIRECTION('ref_axis',(0.,-1.,0.)); #400170=DIRECTION('',(0.,-1.,0.)); #400171=DIRECTION('',(0.,-1.,0.)); #400172=DIRECTION('',(0.,0.,1.)); #400173=DIRECTION('center_axis',(0.,1.,0.)); #400174=DIRECTION('ref_axis',(-1.,0.,0.)); #400175=DIRECTION('',(-1.,0.,0.)); #400176=DIRECTION('',(-1.,0.,0.)); #400177=DIRECTION('center_axis',(0.,0.,1.)); #400178=DIRECTION('ref_axis',(1.,0.,0.)); #400179=DIRECTION('center_axis',(0.,0.,1.)); #400180=DIRECTION('ref_axis',(1.,0.,0.)); #400181=DIRECTION('center_axis',(1.,0.,0.)); #400182=DIRECTION('ref_axis',(0.,1.,0.)); #400183=DIRECTION('',(0.,1.,0.)); #400184=DIRECTION('',(0.,0.,1.)); #400185=DIRECTION('',(0.,1.,0.)); #400186=DIRECTION('',(0.,0.,1.)); #400187=DIRECTION('center_axis',(0.,-1.,0.)); #400188=DIRECTION('ref_axis',(1.,0.,0.)); #400189=DIRECTION('',(1.,0.,0.)); #400190=DIRECTION('',(1.,0.,0.)); #400191=DIRECTION('',(0.,0.,1.)); #400192=DIRECTION('center_axis',(-1.,0.,0.)); #400193=DIRECTION('ref_axis',(0.,-1.,0.)); #400194=DIRECTION('',(0.,-1.,0.)); #400195=DIRECTION('',(0.,-1.,0.)); #400196=DIRECTION('',(0.,0.,1.)); #400197=DIRECTION('center_axis',(0.,1.,0.)); #400198=DIRECTION('ref_axis',(-1.,0.,0.)); #400199=DIRECTION('',(-1.,0.,0.)); #400200=DIRECTION('',(-1.,0.,0.)); #400201=DIRECTION('center_axis',(0.,0.,1.)); #400202=DIRECTION('ref_axis',(1.,0.,0.)); #400203=DIRECTION('center_axis',(0.,0.,1.)); #400204=DIRECTION('ref_axis',(1.,0.,0.)); #400205=DIRECTION('center_axis',(0.,0.,1.)); #400206=DIRECTION('ref_axis',(1.,0.,0.)); #400207=DIRECTION('center_axis',(0.,0.,1.)); #400208=DIRECTION('ref_axis',(1.,0.,0.)); #400209=DIRECTION('',(0.,0.,1.)); #400210=DIRECTION('center_axis',(0.,0.,-1.)); #400211=DIRECTION('ref_axis',(1.,0.,0.)); #400212=DIRECTION('center_axis',(0.,0.,1.)); #400213=DIRECTION('ref_axis',(1.,0.,0.)); #400214=DIRECTION('center_axis',(0.,0.,1.)); #400215=DIRECTION('ref_axis',(1.,0.,0.)); #400216=DIRECTION('',(0.,0.,1.)); #400217=DIRECTION('center_axis',(0.,0.,-1.)); #400218=DIRECTION('ref_axis',(1.,0.,0.)); #400219=DIRECTION('center_axis',(0.,0.,1.)); #400220=DIRECTION('ref_axis',(1.,0.,0.)); #400221=DIRECTION('center_axis',(0.,0.,1.)); #400222=DIRECTION('ref_axis',(1.,0.,0.)); #400223=DIRECTION('',(0.,0.,1.)); #400224=DIRECTION('center_axis',(0.,0.,-1.)); #400225=DIRECTION('ref_axis',(1.,0.,0.)); #400226=DIRECTION('center_axis',(0.,0.,1.)); #400227=DIRECTION('ref_axis',(1.,0.,0.)); #400228=DIRECTION('center_axis',(0.,0.,1.)); #400229=DIRECTION('ref_axis',(1.,0.,0.)); #400230=DIRECTION('',(0.,0.,1.)); #400231=DIRECTION('center_axis',(0.,0.,-1.)); #400232=DIRECTION('ref_axis',(1.,0.,0.)); #400233=DIRECTION('center_axis',(0.,0.,1.)); #400234=DIRECTION('ref_axis',(1.,0.,0.)); #400235=DIRECTION('center_axis',(0.,0.,1.)); #400236=DIRECTION('ref_axis',(1.,0.,0.)); #400237=DIRECTION('',(0.,0.,1.)); #400238=DIRECTION('center_axis',(0.,0.,-1.)); #400239=DIRECTION('ref_axis',(1.,0.,0.)); #400240=DIRECTION('center_axis',(0.,0.,1.)); #400241=DIRECTION('ref_axis',(1.,0.,0.)); #400242=DIRECTION('center_axis',(0.,0.,1.)); #400243=DIRECTION('ref_axis',(1.,0.,0.)); #400244=DIRECTION('',(0.,0.,1.)); #400245=DIRECTION('center_axis',(0.,0.,-1.)); #400246=DIRECTION('ref_axis',(1.,0.,0.)); #400247=DIRECTION('center_axis',(1.,0.,0.)); #400248=DIRECTION('ref_axis',(0.,1.,0.)); #400249=DIRECTION('',(0.,1.,0.)); #400250=DIRECTION('',(0.,0.,1.)); #400251=DIRECTION('',(0.,1.,0.)); #400252=DIRECTION('',(0.,0.,1.)); #400253=DIRECTION('center_axis',(0.,-1.,0.)); #400254=DIRECTION('ref_axis',(1.,0.,0.)); #400255=DIRECTION('',(1.,0.,0.)); #400256=DIRECTION('',(1.,0.,0.)); #400257=DIRECTION('',(0.,0.,1.)); #400258=DIRECTION('center_axis',(-1.,0.,0.)); #400259=DIRECTION('ref_axis',(0.,-1.,0.)); #400260=DIRECTION('',(0.,-1.,0.)); #400261=DIRECTION('',(0.,-1.,0.)); #400262=DIRECTION('',(0.,0.,1.)); #400263=DIRECTION('center_axis',(0.,1.,0.)); #400264=DIRECTION('ref_axis',(-1.,0.,0.)); #400265=DIRECTION('',(-1.,0.,0.)); #400266=DIRECTION('',(-1.,0.,0.)); #400267=DIRECTION('center_axis',(0.,0.,1.)); #400268=DIRECTION('ref_axis',(1.,0.,0.)); #400269=DIRECTION('center_axis',(0.,0.,1.)); #400270=DIRECTION('ref_axis',(1.,0.,0.)); #400271=DIRECTION('center_axis',(1.,0.,0.)); #400272=DIRECTION('ref_axis',(0.,1.,0.)); #400273=DIRECTION('',(0.,1.,0.)); #400274=DIRECTION('',(0.,0.,1.)); #400275=DIRECTION('',(0.,1.,0.)); #400276=DIRECTION('',(0.,0.,1.)); #400277=DIRECTION('center_axis',(0.,-1.,0.)); #400278=DIRECTION('ref_axis',(1.,0.,0.)); #400279=DIRECTION('',(1.,0.,0.)); #400280=DIRECTION('',(1.,0.,0.)); #400281=DIRECTION('',(0.,0.,1.)); #400282=DIRECTION('center_axis',(-1.,0.,0.)); #400283=DIRECTION('ref_axis',(0.,-1.,0.)); #400284=DIRECTION('',(0.,-1.,0.)); #400285=DIRECTION('',(0.,-1.,0.)); #400286=DIRECTION('',(0.,0.,1.)); #400287=DIRECTION('center_axis',(0.,1.,0.)); #400288=DIRECTION('ref_axis',(-1.,0.,0.)); #400289=DIRECTION('',(-1.,0.,0.)); #400290=DIRECTION('',(-1.,0.,0.)); #400291=DIRECTION('center_axis',(0.,0.,1.)); #400292=DIRECTION('ref_axis',(1.,0.,0.)); #400293=DIRECTION('center_axis',(0.,0.,1.)); #400294=DIRECTION('ref_axis',(1.,0.,0.)); #400295=DIRECTION('center_axis',(1.,0.,0.)); #400296=DIRECTION('ref_axis',(0.,1.,0.)); #400297=DIRECTION('',(0.,1.,0.)); #400298=DIRECTION('',(0.,0.,1.)); #400299=DIRECTION('',(0.,1.,0.)); #400300=DIRECTION('',(0.,0.,1.)); #400301=DIRECTION('center_axis',(0.,-1.,0.)); #400302=DIRECTION('ref_axis',(1.,0.,0.)); #400303=DIRECTION('',(1.,0.,0.)); #400304=DIRECTION('',(1.,0.,0.)); #400305=DIRECTION('',(0.,0.,1.)); #400306=DIRECTION('center_axis',(-1.,0.,0.)); #400307=DIRECTION('ref_axis',(0.,-1.,0.)); #400308=DIRECTION('',(0.,-1.,0.)); #400309=DIRECTION('',(0.,-1.,0.)); #400310=DIRECTION('',(0.,0.,1.)); #400311=DIRECTION('center_axis',(0.,1.,0.)); #400312=DIRECTION('ref_axis',(-1.,0.,0.)); #400313=DIRECTION('',(-1.,0.,0.)); #400314=DIRECTION('',(-1.,0.,0.)); #400315=DIRECTION('center_axis',(0.,0.,1.)); #400316=DIRECTION('ref_axis',(1.,0.,0.)); #400317=DIRECTION('center_axis',(0.,0.,1.)); #400318=DIRECTION('ref_axis',(1.,0.,0.)); #400319=DIRECTION('center_axis',(1.,0.,0.)); #400320=DIRECTION('ref_axis',(0.,1.,0.)); #400321=DIRECTION('',(0.,1.,0.)); #400322=DIRECTION('',(0.,0.,1.)); #400323=DIRECTION('',(0.,1.,0.)); #400324=DIRECTION('',(0.,0.,1.)); #400325=DIRECTION('center_axis',(0.,-1.,0.)); #400326=DIRECTION('ref_axis',(1.,0.,0.)); #400327=DIRECTION('',(1.,0.,0.)); #400328=DIRECTION('',(1.,0.,0.)); #400329=DIRECTION('',(0.,0.,1.)); #400330=DIRECTION('center_axis',(-1.,0.,0.)); #400331=DIRECTION('ref_axis',(0.,-1.,0.)); #400332=DIRECTION('',(0.,-1.,0.)); #400333=DIRECTION('',(0.,-1.,0.)); #400334=DIRECTION('',(0.,0.,1.)); #400335=DIRECTION('center_axis',(0.,1.,0.)); #400336=DIRECTION('ref_axis',(-1.,0.,0.)); #400337=DIRECTION('',(-1.,0.,0.)); #400338=DIRECTION('',(-1.,0.,0.)); #400339=DIRECTION('center_axis',(0.,0.,1.)); #400340=DIRECTION('ref_axis',(1.,0.,0.)); #400341=DIRECTION('center_axis',(0.,0.,1.)); #400342=DIRECTION('ref_axis',(1.,0.,0.)); #400343=DIRECTION('center_axis',(1.,0.,0.)); #400344=DIRECTION('ref_axis',(0.,1.,0.)); #400345=DIRECTION('',(0.,1.,0.)); #400346=DIRECTION('',(0.,0.,1.)); #400347=DIRECTION('',(0.,1.,0.)); #400348=DIRECTION('',(0.,0.,1.)); #400349=DIRECTION('center_axis',(0.,-1.,0.)); #400350=DIRECTION('ref_axis',(1.,0.,0.)); #400351=DIRECTION('',(1.,0.,0.)); #400352=DIRECTION('',(1.,0.,0.)); #400353=DIRECTION('',(0.,0.,1.)); #400354=DIRECTION('center_axis',(-1.,0.,0.)); #400355=DIRECTION('ref_axis',(0.,-1.,0.)); #400356=DIRECTION('',(0.,-1.,0.)); #400357=DIRECTION('',(0.,-1.,0.)); #400358=DIRECTION('',(0.,0.,1.)); #400359=DIRECTION('center_axis',(0.,1.,0.)); #400360=DIRECTION('ref_axis',(-1.,0.,0.)); #400361=DIRECTION('',(-1.,0.,0.)); #400362=DIRECTION('',(-1.,0.,0.)); #400363=DIRECTION('center_axis',(0.,0.,1.)); #400364=DIRECTION('ref_axis',(1.,0.,0.)); #400365=DIRECTION('center_axis',(0.,0.,1.)); #400366=DIRECTION('ref_axis',(1.,0.,0.)); #400367=DIRECTION('center_axis',(1.,0.,0.)); #400368=DIRECTION('ref_axis',(0.,1.,0.)); #400369=DIRECTION('',(0.,1.,0.)); #400370=DIRECTION('',(0.,0.,1.)); #400371=DIRECTION('',(0.,1.,0.)); #400372=DIRECTION('',(0.,0.,1.)); #400373=DIRECTION('center_axis',(0.,-1.,0.)); #400374=DIRECTION('ref_axis',(1.,0.,0.)); #400375=DIRECTION('',(1.,0.,0.)); #400376=DIRECTION('',(1.,0.,0.)); #400377=DIRECTION('',(0.,0.,1.)); #400378=DIRECTION('center_axis',(-1.,0.,0.)); #400379=DIRECTION('ref_axis',(0.,-1.,0.)); #400380=DIRECTION('',(0.,-1.,0.)); #400381=DIRECTION('',(0.,-1.,0.)); #400382=DIRECTION('',(0.,0.,1.)); #400383=DIRECTION('center_axis',(0.,1.,0.)); #400384=DIRECTION('ref_axis',(-1.,0.,0.)); #400385=DIRECTION('',(-1.,0.,0.)); #400386=DIRECTION('',(-1.,0.,0.)); #400387=DIRECTION('center_axis',(0.,0.,1.)); #400388=DIRECTION('ref_axis',(1.,0.,0.)); #400389=DIRECTION('center_axis',(0.,0.,1.)); #400390=DIRECTION('ref_axis',(1.,0.,0.)); #400391=DIRECTION('center_axis',(1.,0.,0.)); #400392=DIRECTION('ref_axis',(0.,1.,0.)); #400393=DIRECTION('',(0.,1.,0.)); #400394=DIRECTION('',(0.,0.,1.)); #400395=DIRECTION('',(0.,1.,0.)); #400396=DIRECTION('',(0.,0.,1.)); #400397=DIRECTION('center_axis',(0.,-1.,0.)); #400398=DIRECTION('ref_axis',(1.,0.,0.)); #400399=DIRECTION('',(1.,0.,0.)); #400400=DIRECTION('',(1.,0.,0.)); #400401=DIRECTION('',(0.,0.,1.)); #400402=DIRECTION('center_axis',(-1.,0.,0.)); #400403=DIRECTION('ref_axis',(0.,-1.,0.)); #400404=DIRECTION('',(0.,-1.,0.)); #400405=DIRECTION('',(0.,-1.,0.)); #400406=DIRECTION('',(0.,0.,1.)); #400407=DIRECTION('center_axis',(0.,1.,0.)); #400408=DIRECTION('ref_axis',(-1.,0.,0.)); #400409=DIRECTION('',(-1.,0.,0.)); #400410=DIRECTION('',(-1.,0.,0.)); #400411=DIRECTION('center_axis',(0.,0.,1.)); #400412=DIRECTION('ref_axis',(1.,0.,0.)); #400413=DIRECTION('center_axis',(0.,0.,1.)); #400414=DIRECTION('ref_axis',(1.,0.,0.)); #400415=DIRECTION('center_axis',(1.,0.,0.)); #400416=DIRECTION('ref_axis',(0.,1.,0.)); #400417=DIRECTION('',(0.,1.,0.)); #400418=DIRECTION('',(0.,0.,1.)); #400419=DIRECTION('',(0.,1.,0.)); #400420=DIRECTION('',(0.,0.,1.)); #400421=DIRECTION('center_axis',(0.,-1.,0.)); #400422=DIRECTION('ref_axis',(1.,0.,0.)); #400423=DIRECTION('',(1.,0.,0.)); #400424=DIRECTION('',(1.,0.,0.)); #400425=DIRECTION('',(0.,0.,1.)); #400426=DIRECTION('center_axis',(-1.,0.,0.)); #400427=DIRECTION('ref_axis',(0.,-1.,0.)); #400428=DIRECTION('',(0.,-1.,0.)); #400429=DIRECTION('',(0.,-1.,0.)); #400430=DIRECTION('',(0.,0.,1.)); #400431=DIRECTION('center_axis',(0.,1.,0.)); #400432=DIRECTION('ref_axis',(-1.,0.,0.)); #400433=DIRECTION('',(-1.,0.,0.)); #400434=DIRECTION('',(-1.,0.,0.)); #400435=DIRECTION('center_axis',(0.,0.,1.)); #400436=DIRECTION('ref_axis',(1.,0.,0.)); #400437=DIRECTION('center_axis',(0.,0.,1.)); #400438=DIRECTION('ref_axis',(1.,0.,0.)); #400439=DIRECTION('center_axis',(1.,0.,0.)); #400440=DIRECTION('ref_axis',(0.,1.,0.)); #400441=DIRECTION('',(0.,1.,0.)); #400442=DIRECTION('',(0.,0.,1.)); #400443=DIRECTION('',(0.,1.,0.)); #400444=DIRECTION('',(0.,0.,1.)); #400445=DIRECTION('center_axis',(0.,-1.,0.)); #400446=DIRECTION('ref_axis',(1.,0.,0.)); #400447=DIRECTION('',(1.,0.,0.)); #400448=DIRECTION('',(1.,0.,0.)); #400449=DIRECTION('',(0.,0.,1.)); #400450=DIRECTION('center_axis',(-1.,0.,0.)); #400451=DIRECTION('ref_axis',(0.,-1.,0.)); #400452=DIRECTION('',(0.,-1.,0.)); #400453=DIRECTION('',(0.,-1.,0.)); #400454=DIRECTION('',(0.,0.,1.)); #400455=DIRECTION('center_axis',(0.,1.,0.)); #400456=DIRECTION('ref_axis',(-1.,0.,0.)); #400457=DIRECTION('',(-1.,0.,0.)); #400458=DIRECTION('',(-1.,0.,0.)); #400459=DIRECTION('center_axis',(0.,0.,1.)); #400460=DIRECTION('ref_axis',(1.,0.,0.)); #400461=DIRECTION('center_axis',(0.,0.,1.)); #400462=DIRECTION('ref_axis',(1.,0.,0.)); #400463=DIRECTION('center_axis',(1.,0.,0.)); #400464=DIRECTION('ref_axis',(0.,1.,0.)); #400465=DIRECTION('',(0.,1.,0.)); #400466=DIRECTION('',(0.,0.,1.)); #400467=DIRECTION('',(0.,1.,0.)); #400468=DIRECTION('',(0.,0.,1.)); #400469=DIRECTION('center_axis',(0.,-1.,0.)); #400470=DIRECTION('ref_axis',(1.,0.,0.)); #400471=DIRECTION('',(1.,0.,0.)); #400472=DIRECTION('',(1.,0.,0.)); #400473=DIRECTION('',(0.,0.,1.)); #400474=DIRECTION('center_axis',(-1.,0.,0.)); #400475=DIRECTION('ref_axis',(0.,-1.,0.)); #400476=DIRECTION('',(0.,-1.,0.)); #400477=DIRECTION('',(0.,-1.,0.)); #400478=DIRECTION('',(0.,0.,1.)); #400479=DIRECTION('center_axis',(0.,1.,0.)); #400480=DIRECTION('ref_axis',(-1.,0.,0.)); #400481=DIRECTION('',(-1.,0.,0.)); #400482=DIRECTION('',(-1.,0.,0.)); #400483=DIRECTION('center_axis',(0.,0.,1.)); #400484=DIRECTION('ref_axis',(1.,0.,0.)); #400485=DIRECTION('center_axis',(0.,0.,1.)); #400486=DIRECTION('ref_axis',(1.,0.,0.)); #400487=DIRECTION('center_axis',(1.,0.,0.)); #400488=DIRECTION('ref_axis',(0.,1.,0.)); #400489=DIRECTION('',(0.,1.,0.)); #400490=DIRECTION('',(0.,0.,1.)); #400491=DIRECTION('',(0.,1.,0.)); #400492=DIRECTION('',(0.,0.,1.)); #400493=DIRECTION('center_axis',(0.,-1.,0.)); #400494=DIRECTION('ref_axis',(1.,0.,0.)); #400495=DIRECTION('',(1.,0.,0.)); #400496=DIRECTION('',(1.,0.,0.)); #400497=DIRECTION('',(0.,0.,1.)); #400498=DIRECTION('center_axis',(-1.,0.,0.)); #400499=DIRECTION('ref_axis',(0.,-1.,0.)); #400500=DIRECTION('',(0.,-1.,0.)); #400501=DIRECTION('',(0.,-1.,0.)); #400502=DIRECTION('',(0.,0.,1.)); #400503=DIRECTION('center_axis',(0.,1.,0.)); #400504=DIRECTION('ref_axis',(-1.,0.,0.)); #400505=DIRECTION('',(-1.,0.,0.)); #400506=DIRECTION('',(-1.,0.,0.)); #400507=DIRECTION('center_axis',(0.,0.,1.)); #400508=DIRECTION('ref_axis',(1.,0.,0.)); #400509=DIRECTION('center_axis',(0.,0.,1.)); #400510=DIRECTION('ref_axis',(1.,0.,0.)); #400511=DIRECTION('center_axis',(1.,0.,0.)); #400512=DIRECTION('ref_axis',(0.,1.,0.)); #400513=DIRECTION('',(0.,1.,0.)); #400514=DIRECTION('',(0.,0.,1.)); #400515=DIRECTION('',(0.,1.,0.)); #400516=DIRECTION('',(0.,0.,1.)); #400517=DIRECTION('center_axis',(0.,-1.,0.)); #400518=DIRECTION('ref_axis',(1.,0.,0.)); #400519=DIRECTION('',(1.,0.,0.)); #400520=DIRECTION('',(1.,0.,0.)); #400521=DIRECTION('',(0.,0.,1.)); #400522=DIRECTION('center_axis',(-1.,0.,0.)); #400523=DIRECTION('ref_axis',(0.,-1.,0.)); #400524=DIRECTION('',(0.,-1.,0.)); #400525=DIRECTION('',(0.,-1.,0.)); #400526=DIRECTION('',(0.,0.,1.)); #400527=DIRECTION('center_axis',(0.,1.,0.)); #400528=DIRECTION('ref_axis',(-1.,0.,0.)); #400529=DIRECTION('',(-1.,0.,0.)); #400530=DIRECTION('',(-1.,0.,0.)); #400531=DIRECTION('center_axis',(0.,0.,1.)); #400532=DIRECTION('ref_axis',(1.,0.,0.)); #400533=DIRECTION('center_axis',(0.,0.,1.)); #400534=DIRECTION('ref_axis',(1.,0.,0.)); #400535=DIRECTION('center_axis',(1.,0.,0.)); #400536=DIRECTION('ref_axis',(0.,1.,0.)); #400537=DIRECTION('',(0.,1.,0.)); #400538=DIRECTION('',(0.,0.,1.)); #400539=DIRECTION('',(0.,1.,0.)); #400540=DIRECTION('',(0.,0.,1.)); #400541=DIRECTION('center_axis',(0.,-1.,0.)); #400542=DIRECTION('ref_axis',(1.,0.,0.)); #400543=DIRECTION('',(1.,0.,0.)); #400544=DIRECTION('',(1.,0.,0.)); #400545=DIRECTION('',(0.,0.,1.)); #400546=DIRECTION('center_axis',(-1.,0.,0.)); #400547=DIRECTION('ref_axis',(0.,-1.,0.)); #400548=DIRECTION('',(0.,-1.,0.)); #400549=DIRECTION('',(0.,-1.,0.)); #400550=DIRECTION('',(0.,0.,1.)); #400551=DIRECTION('center_axis',(0.,1.,0.)); #400552=DIRECTION('ref_axis',(-1.,0.,0.)); #400553=DIRECTION('',(-1.,0.,0.)); #400554=DIRECTION('',(-1.,0.,0.)); #400555=DIRECTION('center_axis',(0.,0.,1.)); #400556=DIRECTION('ref_axis',(1.,0.,0.)); #400557=DIRECTION('center_axis',(0.,0.,1.)); #400558=DIRECTION('ref_axis',(1.,0.,0.)); #400559=DIRECTION('center_axis',(1.,0.,0.)); #400560=DIRECTION('ref_axis',(0.,1.,0.)); #400561=DIRECTION('',(0.,1.,0.)); #400562=DIRECTION('',(0.,0.,1.)); #400563=DIRECTION('',(0.,1.,0.)); #400564=DIRECTION('',(0.,0.,1.)); #400565=DIRECTION('center_axis',(0.,-1.,0.)); #400566=DIRECTION('ref_axis',(1.,0.,0.)); #400567=DIRECTION('',(1.,0.,0.)); #400568=DIRECTION('',(1.,0.,0.)); #400569=DIRECTION('',(0.,0.,1.)); #400570=DIRECTION('center_axis',(-1.,0.,0.)); #400571=DIRECTION('ref_axis',(0.,-1.,0.)); #400572=DIRECTION('',(0.,-1.,0.)); #400573=DIRECTION('',(0.,-1.,0.)); #400574=DIRECTION('',(0.,0.,1.)); #400575=DIRECTION('center_axis',(0.,1.,0.)); #400576=DIRECTION('ref_axis',(-1.,0.,0.)); #400577=DIRECTION('',(-1.,0.,0.)); #400578=DIRECTION('',(-1.,0.,0.)); #400579=DIRECTION('center_axis',(0.,0.,1.)); #400580=DIRECTION('ref_axis',(1.,0.,0.)); #400581=DIRECTION('center_axis',(0.,0.,1.)); #400582=DIRECTION('ref_axis',(1.,0.,0.)); #400583=DIRECTION('center_axis',(1.,0.,0.)); #400584=DIRECTION('ref_axis',(0.,1.,0.)); #400585=DIRECTION('',(0.,1.,0.)); #400586=DIRECTION('',(0.,0.,1.)); #400587=DIRECTION('',(0.,1.,0.)); #400588=DIRECTION('',(0.,0.,1.)); #400589=DIRECTION('center_axis',(0.,-1.,0.)); #400590=DIRECTION('ref_axis',(1.,0.,0.)); #400591=DIRECTION('',(1.,0.,0.)); #400592=DIRECTION('',(1.,0.,0.)); #400593=DIRECTION('',(0.,0.,1.)); #400594=DIRECTION('center_axis',(-1.,0.,0.)); #400595=DIRECTION('ref_axis',(0.,-1.,0.)); #400596=DIRECTION('',(0.,-1.,0.)); #400597=DIRECTION('',(0.,-1.,0.)); #400598=DIRECTION('',(0.,0.,1.)); #400599=DIRECTION('center_axis',(0.,1.,0.)); #400600=DIRECTION('ref_axis',(-1.,0.,0.)); #400601=DIRECTION('',(-1.,0.,0.)); #400602=DIRECTION('',(-1.,0.,0.)); #400603=DIRECTION('center_axis',(0.,0.,1.)); #400604=DIRECTION('ref_axis',(1.,0.,0.)); #400605=DIRECTION('center_axis',(0.,0.,1.)); #400606=DIRECTION('ref_axis',(1.,0.,0.)); #400607=DIRECTION('center_axis',(0.,0.,1.)); #400608=DIRECTION('ref_axis',(1.,0.,0.)); #400609=DIRECTION('center_axis',(0.,0.,1.)); #400610=DIRECTION('ref_axis',(1.,0.,0.)); #400611=DIRECTION('',(0.,0.,1.)); #400612=DIRECTION('center_axis',(0.,0.,-1.)); #400613=DIRECTION('ref_axis',(1.,0.,0.)); #400614=DIRECTION('center_axis',(0.,0.,1.)); #400615=DIRECTION('ref_axis',(1.,0.,0.)); #400616=DIRECTION('center_axis',(0.,0.,1.)); #400617=DIRECTION('ref_axis',(1.,0.,0.)); #400618=DIRECTION('',(0.,0.,1.)); #400619=DIRECTION('center_axis',(0.,0.,-1.)); #400620=DIRECTION('ref_axis',(1.,0.,0.)); #400621=DIRECTION('center_axis',(0.,0.,1.)); #400622=DIRECTION('ref_axis',(1.,0.,0.)); #400623=DIRECTION('center_axis',(0.,0.,1.)); #400624=DIRECTION('ref_axis',(1.,0.,0.)); #400625=DIRECTION('',(0.,0.,1.)); #400626=DIRECTION('center_axis',(0.,0.,-1.)); #400627=DIRECTION('ref_axis',(1.,0.,0.)); #400628=DIRECTION('center_axis',(0.,0.,1.)); #400629=DIRECTION('ref_axis',(1.,0.,0.)); #400630=DIRECTION('center_axis',(0.,0.,1.)); #400631=DIRECTION('ref_axis',(1.,0.,0.)); #400632=DIRECTION('',(0.,0.,1.)); #400633=DIRECTION('center_axis',(0.,0.,-1.)); #400634=DIRECTION('ref_axis',(1.,0.,0.)); #400635=DIRECTION('center_axis',(0.,0.,1.)); #400636=DIRECTION('ref_axis',(1.,0.,0.)); #400637=DIRECTION('center_axis',(0.,0.,1.)); #400638=DIRECTION('ref_axis',(1.,0.,0.)); #400639=DIRECTION('',(0.,0.,1.)); #400640=DIRECTION('center_axis',(0.,0.,-1.)); #400641=DIRECTION('ref_axis',(1.,0.,0.)); #400642=DIRECTION('center_axis',(0.,0.,1.)); #400643=DIRECTION('ref_axis',(1.,0.,0.)); #400644=DIRECTION('center_axis',(0.,0.,1.)); #400645=DIRECTION('ref_axis',(1.,0.,0.)); #400646=DIRECTION('',(0.,0.,1.)); #400647=DIRECTION('center_axis',(0.,0.,-1.)); #400648=DIRECTION('ref_axis',(1.,0.,0.)); #400649=DIRECTION('center_axis',(0.,0.,1.)); #400650=DIRECTION('ref_axis',(1.,0.,0.)); #400651=DIRECTION('center_axis',(0.,0.,1.)); #400652=DIRECTION('ref_axis',(1.,0.,0.)); #400653=DIRECTION('',(0.,0.,1.)); #400654=DIRECTION('center_axis',(0.,0.,-1.)); #400655=DIRECTION('ref_axis',(1.,0.,0.)); #400656=DIRECTION('center_axis',(0.,0.,1.)); #400657=DIRECTION('ref_axis',(1.,0.,0.)); #400658=DIRECTION('center_axis',(0.,0.,1.)); #400659=DIRECTION('ref_axis',(1.,0.,0.)); #400660=DIRECTION('',(0.,0.,1.)); #400661=DIRECTION('center_axis',(0.,0.,-1.)); #400662=DIRECTION('ref_axis',(1.,0.,0.)); #400663=DIRECTION('center_axis',(0.,0.,1.)); #400664=DIRECTION('ref_axis',(1.,0.,0.)); #400665=DIRECTION('center_axis',(0.,0.,1.)); #400666=DIRECTION('ref_axis',(1.,0.,0.)); #400667=DIRECTION('',(0.,0.,1.)); #400668=DIRECTION('center_axis',(0.,0.,-1.)); #400669=DIRECTION('ref_axis',(1.,0.,0.)); #400670=DIRECTION('center_axis',(0.,0.,1.)); #400671=DIRECTION('ref_axis',(1.,0.,0.)); #400672=DIRECTION('center_axis',(0.,0.,1.)); #400673=DIRECTION('ref_axis',(1.,0.,0.)); #400674=DIRECTION('',(0.,0.,1.)); #400675=DIRECTION('center_axis',(0.,0.,-1.)); #400676=DIRECTION('ref_axis',(1.,0.,0.)); #400677=DIRECTION('center_axis',(0.,0.,1.)); #400678=DIRECTION('ref_axis',(1.,0.,0.)); #400679=DIRECTION('center_axis',(0.,0.,1.)); #400680=DIRECTION('ref_axis',(1.,0.,0.)); #400681=DIRECTION('',(0.,0.,1.)); #400682=DIRECTION('center_axis',(0.,0.,-1.)); #400683=DIRECTION('ref_axis',(1.,0.,0.)); #400684=DIRECTION('center_axis',(0.,0.,1.)); #400685=DIRECTION('ref_axis',(1.,0.,0.)); #400686=DIRECTION('center_axis',(0.,0.,1.)); #400687=DIRECTION('ref_axis',(1.,0.,0.)); #400688=DIRECTION('',(0.,0.,1.)); #400689=DIRECTION('center_axis',(0.,0.,-1.)); #400690=DIRECTION('ref_axis',(1.,0.,0.)); #400691=DIRECTION('center_axis',(0.,0.,1.)); #400692=DIRECTION('ref_axis',(1.,0.,0.)); #400693=DIRECTION('center_axis',(0.,0.,1.)); #400694=DIRECTION('ref_axis',(1.,0.,0.)); #400695=DIRECTION('',(0.,0.,1.)); #400696=DIRECTION('center_axis',(0.,0.,-1.)); #400697=DIRECTION('ref_axis',(1.,0.,0.)); #400698=DIRECTION('center_axis',(0.,0.,1.)); #400699=DIRECTION('ref_axis',(1.,0.,0.)); #400700=DIRECTION('center_axis',(0.,0.,1.)); #400701=DIRECTION('ref_axis',(1.,0.,0.)); #400702=DIRECTION('',(0.,0.,1.)); #400703=DIRECTION('center_axis',(0.,0.,-1.)); #400704=DIRECTION('ref_axis',(1.,0.,0.)); #400705=DIRECTION('center_axis',(0.,0.,1.)); #400706=DIRECTION('ref_axis',(1.,0.,0.)); #400707=DIRECTION('center_axis',(0.,0.,1.)); #400708=DIRECTION('ref_axis',(1.,0.,0.)); #400709=DIRECTION('',(0.,0.,1.)); #400710=DIRECTION('center_axis',(0.,0.,-1.)); #400711=DIRECTION('ref_axis',(1.,0.,0.)); #400712=DIRECTION('center_axis',(0.,0.,1.)); #400713=DIRECTION('ref_axis',(1.,0.,0.)); #400714=DIRECTION('center_axis',(0.,0.,1.)); #400715=DIRECTION('ref_axis',(1.,0.,0.)); #400716=DIRECTION('',(0.,0.,1.)); #400717=DIRECTION('center_axis',(0.,0.,-1.)); #400718=DIRECTION('ref_axis',(1.,0.,0.)); #400719=DIRECTION('center_axis',(0.,0.,1.)); #400720=DIRECTION('ref_axis',(1.,0.,0.)); #400721=DIRECTION('center_axis',(0.,0.,1.)); #400722=DIRECTION('ref_axis',(1.,0.,0.)); #400723=DIRECTION('',(0.,0.,1.)); #400724=DIRECTION('center_axis',(0.,0.,-1.)); #400725=DIRECTION('ref_axis',(1.,0.,0.)); #400726=DIRECTION('center_axis',(0.,0.,1.)); #400727=DIRECTION('ref_axis',(1.,0.,0.)); #400728=DIRECTION('center_axis',(0.,0.,1.)); #400729=DIRECTION('ref_axis',(1.,0.,0.)); #400730=DIRECTION('',(0.,0.,1.)); #400731=DIRECTION('center_axis',(0.,0.,-1.)); #400732=DIRECTION('ref_axis',(1.,0.,0.)); #400733=DIRECTION('center_axis',(0.,0.,1.)); #400734=DIRECTION('ref_axis',(1.,0.,0.)); #400735=DIRECTION('center_axis',(0.,0.,1.)); #400736=DIRECTION('ref_axis',(1.,0.,0.)); #400737=DIRECTION('',(0.,0.,1.)); #400738=DIRECTION('center_axis',(0.,0.,-1.)); #400739=DIRECTION('ref_axis',(1.,0.,0.)); #400740=DIRECTION('center_axis',(0.,0.,1.)); #400741=DIRECTION('ref_axis',(1.,0.,0.)); #400742=DIRECTION('center_axis',(0.,0.,1.)); #400743=DIRECTION('ref_axis',(1.,0.,0.)); #400744=DIRECTION('',(0.,0.,1.)); #400745=DIRECTION('center_axis',(0.,0.,-1.)); #400746=DIRECTION('ref_axis',(1.,0.,0.)); #400747=DIRECTION('center_axis',(0.,0.,1.)); #400748=DIRECTION('ref_axis',(1.,0.,0.)); #400749=DIRECTION('center_axis',(0.,0.,1.)); #400750=DIRECTION('ref_axis',(1.,0.,0.)); #400751=DIRECTION('',(0.,0.,1.)); #400752=DIRECTION('center_axis',(0.,0.,-1.)); #400753=DIRECTION('ref_axis',(1.,0.,0.)); #400754=DIRECTION('center_axis',(0.,0.,1.)); #400755=DIRECTION('ref_axis',(1.,0.,0.)); #400756=DIRECTION('center_axis',(0.,0.,1.)); #400757=DIRECTION('ref_axis',(1.,0.,0.)); #400758=DIRECTION('',(0.,0.,1.)); #400759=DIRECTION('center_axis',(0.,0.,-1.)); #400760=DIRECTION('ref_axis',(1.,0.,0.)); #400761=DIRECTION('center_axis',(0.,0.,1.)); #400762=DIRECTION('ref_axis',(1.,0.,0.)); #400763=DIRECTION('center_axis',(0.,0.,1.)); #400764=DIRECTION('ref_axis',(1.,0.,0.)); #400765=DIRECTION('',(0.,0.,1.)); #400766=DIRECTION('center_axis',(0.,0.,-1.)); #400767=DIRECTION('ref_axis',(1.,0.,0.)); #400768=DIRECTION('center_axis',(0.,0.,1.)); #400769=DIRECTION('ref_axis',(1.,0.,0.)); #400770=DIRECTION('center_axis',(0.,0.,1.)); #400771=DIRECTION('ref_axis',(1.,0.,0.)); #400772=DIRECTION('',(0.,0.,1.)); #400773=DIRECTION('center_axis',(0.,0.,-1.)); #400774=DIRECTION('ref_axis',(1.,0.,0.)); #400775=DIRECTION('center_axis',(0.,0.,1.)); #400776=DIRECTION('ref_axis',(1.,0.,0.)); #400777=DIRECTION('center_axis',(0.,0.,1.)); #400778=DIRECTION('ref_axis',(1.,0.,0.)); #400779=DIRECTION('',(0.,0.,1.)); #400780=DIRECTION('center_axis',(0.,0.,-1.)); #400781=DIRECTION('ref_axis',(1.,0.,0.)); #400782=DIRECTION('center_axis',(0.,0.,1.)); #400783=DIRECTION('ref_axis',(1.,0.,0.)); #400784=DIRECTION('center_axis',(0.,0.,1.)); #400785=DIRECTION('ref_axis',(1.,0.,0.)); #400786=DIRECTION('',(0.,0.,1.)); #400787=DIRECTION('center_axis',(0.,0.,-1.)); #400788=DIRECTION('ref_axis',(1.,0.,0.)); #400789=DIRECTION('center_axis',(0.,0.,1.)); #400790=DIRECTION('ref_axis',(1.,0.,0.)); #400791=DIRECTION('center_axis',(0.,0.,1.)); #400792=DIRECTION('ref_axis',(1.,0.,0.)); #400793=DIRECTION('',(0.,0.,1.)); #400794=DIRECTION('center_axis',(0.,0.,-1.)); #400795=DIRECTION('ref_axis',(1.,0.,0.)); #400796=DIRECTION('center_axis',(0.,0.,1.)); #400797=DIRECTION('ref_axis',(1.,0.,0.)); #400798=DIRECTION('center_axis',(0.,0.,1.)); #400799=DIRECTION('ref_axis',(1.,0.,0.)); #400800=DIRECTION('',(0.,0.,1.)); #400801=DIRECTION('center_axis',(0.,0.,-1.)); #400802=DIRECTION('ref_axis',(1.,0.,0.)); #400803=DIRECTION('center_axis',(0.,0.,1.)); #400804=DIRECTION('ref_axis',(1.,0.,0.)); #400805=DIRECTION('center_axis',(0.,0.,1.)); #400806=DIRECTION('ref_axis',(1.,0.,0.)); #400807=DIRECTION('',(0.,0.,1.)); #400808=DIRECTION('center_axis',(0.,0.,-1.)); #400809=DIRECTION('ref_axis',(1.,0.,0.)); #400810=DIRECTION('center_axis',(0.,0.,1.)); #400811=DIRECTION('ref_axis',(1.,0.,0.)); #400812=DIRECTION('center_axis',(0.,0.,1.)); #400813=DIRECTION('ref_axis',(1.,0.,0.)); #400814=DIRECTION('',(0.,0.,1.)); #400815=DIRECTION('center_axis',(0.,0.,-1.)); #400816=DIRECTION('ref_axis',(1.,0.,0.)); #400817=DIRECTION('center_axis',(0.,0.,1.)); #400818=DIRECTION('ref_axis',(1.,0.,0.)); #400819=DIRECTION('center_axis',(0.,0.,1.)); #400820=DIRECTION('ref_axis',(1.,0.,0.)); #400821=DIRECTION('',(0.,0.,1.)); #400822=DIRECTION('center_axis',(0.,0.,-1.)); #400823=DIRECTION('ref_axis',(1.,0.,0.)); #400824=DIRECTION('center_axis',(0.,0.,1.)); #400825=DIRECTION('ref_axis',(1.,0.,0.)); #400826=DIRECTION('center_axis',(0.,0.,1.)); #400827=DIRECTION('ref_axis',(1.,0.,0.)); #400828=DIRECTION('',(0.,0.,1.)); #400829=DIRECTION('center_axis',(0.,0.,-1.)); #400830=DIRECTION('ref_axis',(1.,0.,0.)); #400831=DIRECTION('center_axis',(0.,0.,1.)); #400832=DIRECTION('ref_axis',(1.,0.,0.)); #400833=DIRECTION('center_axis',(0.,0.,1.)); #400834=DIRECTION('ref_axis',(1.,0.,0.)); #400835=DIRECTION('',(0.,0.,1.)); #400836=DIRECTION('center_axis',(0.,0.,-1.)); #400837=DIRECTION('ref_axis',(1.,0.,0.)); #400838=DIRECTION('center_axis',(0.,0.,1.)); #400839=DIRECTION('ref_axis',(1.,0.,0.)); #400840=DIRECTION('center_axis',(0.,0.,1.)); #400841=DIRECTION('ref_axis',(1.,0.,0.)); #400842=DIRECTION('',(0.,0.,1.)); #400843=DIRECTION('center_axis',(0.,0.,-1.)); #400844=DIRECTION('ref_axis',(1.,0.,0.)); #400845=DIRECTION('center_axis',(0.,0.,1.)); #400846=DIRECTION('ref_axis',(1.,0.,0.)); #400847=DIRECTION('center_axis',(0.,0.,1.)); #400848=DIRECTION('ref_axis',(1.,0.,0.)); #400849=DIRECTION('',(0.,0.,1.)); #400850=DIRECTION('center_axis',(0.,0.,-1.)); #400851=DIRECTION('ref_axis',(1.,0.,0.)); #400852=DIRECTION('center_axis',(0.,0.,1.)); #400853=DIRECTION('ref_axis',(1.,0.,0.)); #400854=DIRECTION('center_axis',(0.,0.,1.)); #400855=DIRECTION('ref_axis',(1.,0.,0.)); #400856=DIRECTION('',(0.,0.,1.)); #400857=DIRECTION('center_axis',(0.,0.,-1.)); #400858=DIRECTION('ref_axis',(1.,0.,0.)); #400859=DIRECTION('center_axis',(0.,0.,1.)); #400860=DIRECTION('ref_axis',(1.,0.,0.)); #400861=DIRECTION('center_axis',(0.,0.,1.)); #400862=DIRECTION('ref_axis',(1.,0.,0.)); #400863=DIRECTION('',(0.,0.,1.)); #400864=DIRECTION('center_axis',(0.,0.,-1.)); #400865=DIRECTION('ref_axis',(1.,0.,0.)); #400866=DIRECTION('center_axis',(0.,0.,1.)); #400867=DIRECTION('ref_axis',(1.,0.,0.)); #400868=DIRECTION('center_axis',(0.,0.,1.)); #400869=DIRECTION('ref_axis',(1.,0.,0.)); #400870=DIRECTION('',(0.,0.,1.)); #400871=DIRECTION('center_axis',(0.,0.,-1.)); #400872=DIRECTION('ref_axis',(1.,0.,0.)); #400873=DIRECTION('center_axis',(0.,0.,1.)); #400874=DIRECTION('ref_axis',(1.,0.,0.)); #400875=DIRECTION('center_axis',(0.,0.,1.)); #400876=DIRECTION('ref_axis',(1.,0.,0.)); #400877=DIRECTION('',(0.,0.,1.)); #400878=DIRECTION('center_axis',(0.,0.,-1.)); #400879=DIRECTION('ref_axis',(1.,0.,0.)); #400880=DIRECTION('center_axis',(0.,0.,1.)); #400881=DIRECTION('ref_axis',(1.,0.,0.)); #400882=DIRECTION('center_axis',(0.,0.,1.)); #400883=DIRECTION('ref_axis',(1.,0.,0.)); #400884=DIRECTION('',(0.,0.,1.)); #400885=DIRECTION('center_axis',(0.,0.,-1.)); #400886=DIRECTION('ref_axis',(1.,0.,0.)); #400887=DIRECTION('center_axis',(0.,0.,1.)); #400888=DIRECTION('ref_axis',(1.,0.,0.)); #400889=DIRECTION('center_axis',(0.,0.,1.)); #400890=DIRECTION('ref_axis',(1.,0.,0.)); #400891=DIRECTION('',(0.,0.,1.)); #400892=DIRECTION('center_axis',(0.,0.,-1.)); #400893=DIRECTION('ref_axis',(1.,0.,0.)); #400894=DIRECTION('center_axis',(0.,0.,1.)); #400895=DIRECTION('ref_axis',(1.,0.,0.)); #400896=DIRECTION('center_axis',(0.,0.,1.)); #400897=DIRECTION('ref_axis',(1.,0.,0.)); #400898=DIRECTION('',(0.,0.,1.)); #400899=DIRECTION('center_axis',(0.,0.,-1.)); #400900=DIRECTION('ref_axis',(1.,0.,0.)); #400901=DIRECTION('center_axis',(0.,0.,1.)); #400902=DIRECTION('ref_axis',(1.,0.,0.)); #400903=DIRECTION('center_axis',(0.,0.,1.)); #400904=DIRECTION('ref_axis',(1.,0.,0.)); #400905=DIRECTION('',(0.,0.,1.)); #400906=DIRECTION('center_axis',(0.,0.,-1.)); #400907=DIRECTION('ref_axis',(1.,0.,0.)); #400908=DIRECTION('center_axis',(0.,0.,1.)); #400909=DIRECTION('ref_axis',(1.,0.,0.)); #400910=DIRECTION('center_axis',(0.,0.,1.)); #400911=DIRECTION('ref_axis',(1.,0.,0.)); #400912=DIRECTION('',(0.,0.,1.)); #400913=DIRECTION('center_axis',(0.,0.,-1.)); #400914=DIRECTION('ref_axis',(1.,0.,0.)); #400915=DIRECTION('center_axis',(0.,0.,1.)); #400916=DIRECTION('ref_axis',(1.,0.,0.)); #400917=DIRECTION('center_axis',(0.,0.,1.)); #400918=DIRECTION('ref_axis',(1.,0.,0.)); #400919=DIRECTION('',(0.,0.,1.)); #400920=DIRECTION('center_axis',(0.,0.,-1.)); #400921=DIRECTION('ref_axis',(1.,0.,0.)); #400922=DIRECTION('center_axis',(0.,0.,1.)); #400923=DIRECTION('ref_axis',(1.,0.,0.)); #400924=DIRECTION('center_axis',(0.,0.,1.)); #400925=DIRECTION('ref_axis',(1.,0.,0.)); #400926=DIRECTION('',(0.,0.,1.)); #400927=DIRECTION('center_axis',(0.,0.,-1.)); #400928=DIRECTION('ref_axis',(1.,0.,0.)); #400929=DIRECTION('center_axis',(0.,0.,1.)); #400930=DIRECTION('ref_axis',(1.,0.,0.)); #400931=DIRECTION('center_axis',(0.,0.,1.)); #400932=DIRECTION('ref_axis',(1.,0.,0.)); #400933=DIRECTION('',(0.,0.,1.)); #400934=DIRECTION('center_axis',(0.,0.,-1.)); #400935=DIRECTION('ref_axis',(1.,0.,0.)); #400936=DIRECTION('center_axis',(0.,0.,1.)); #400937=DIRECTION('ref_axis',(1.,0.,0.)); #400938=DIRECTION('center_axis',(0.,0.,1.)); #400939=DIRECTION('ref_axis',(1.,0.,0.)); #400940=DIRECTION('',(0.,0.,1.)); #400941=DIRECTION('center_axis',(0.,0.,-1.)); #400942=DIRECTION('ref_axis',(1.,0.,0.)); #400943=DIRECTION('center_axis',(0.,0.,1.)); #400944=DIRECTION('ref_axis',(1.,0.,0.)); #400945=DIRECTION('center_axis',(0.,0.,1.)); #400946=DIRECTION('ref_axis',(1.,0.,0.)); #400947=DIRECTION('',(0.,0.,1.)); #400948=DIRECTION('center_axis',(0.,0.,-1.)); #400949=DIRECTION('ref_axis',(1.,0.,0.)); #400950=DIRECTION('center_axis',(1.,0.,0.)); #400951=DIRECTION('ref_axis',(0.,1.,0.)); #400952=DIRECTION('',(0.,1.,0.)); #400953=DIRECTION('',(0.,0.,1.)); #400954=DIRECTION('',(0.,1.,0.)); #400955=DIRECTION('',(0.,0.,1.)); #400956=DIRECTION('center_axis',(0.,-1.,0.)); #400957=DIRECTION('ref_axis',(1.,0.,0.)); #400958=DIRECTION('',(1.,0.,0.)); #400959=DIRECTION('',(1.,0.,0.)); #400960=DIRECTION('',(0.,0.,1.)); #400961=DIRECTION('center_axis',(-1.,0.,0.)); #400962=DIRECTION('ref_axis',(0.,-1.,0.)); #400963=DIRECTION('',(0.,-1.,0.)); #400964=DIRECTION('',(0.,-1.,0.)); #400965=DIRECTION('',(0.,0.,1.)); #400966=DIRECTION('center_axis',(0.,1.,0.)); #400967=DIRECTION('ref_axis',(-1.,0.,0.)); #400968=DIRECTION('',(-1.,0.,0.)); #400969=DIRECTION('',(-1.,0.,0.)); #400970=DIRECTION('center_axis',(0.,0.,1.)); #400971=DIRECTION('ref_axis',(1.,0.,0.)); #400972=DIRECTION('center_axis',(0.,0.,1.)); #400973=DIRECTION('ref_axis',(1.,0.,0.)); #400974=DIRECTION('center_axis',(1.,0.,0.)); #400975=DIRECTION('ref_axis',(0.,1.,0.)); #400976=DIRECTION('',(0.,1.,0.)); #400977=DIRECTION('',(0.,0.,1.)); #400978=DIRECTION('',(0.,1.,0.)); #400979=DIRECTION('',(0.,0.,1.)); #400980=DIRECTION('center_axis',(0.,-1.,0.)); #400981=DIRECTION('ref_axis',(1.,0.,0.)); #400982=DIRECTION('',(1.,0.,0.)); #400983=DIRECTION('',(1.,0.,0.)); #400984=DIRECTION('',(0.,0.,1.)); #400985=DIRECTION('center_axis',(-1.,0.,0.)); #400986=DIRECTION('ref_axis',(0.,-1.,0.)); #400987=DIRECTION('',(0.,-1.,0.)); #400988=DIRECTION('',(0.,-1.,0.)); #400989=DIRECTION('',(0.,0.,1.)); #400990=DIRECTION('center_axis',(0.,1.,0.)); #400991=DIRECTION('ref_axis',(-1.,0.,0.)); #400992=DIRECTION('',(-1.,0.,0.)); #400993=DIRECTION('',(-1.,0.,0.)); #400994=DIRECTION('center_axis',(0.,0.,1.)); #400995=DIRECTION('ref_axis',(1.,0.,0.)); #400996=DIRECTION('center_axis',(0.,0.,1.)); #400997=DIRECTION('ref_axis',(1.,0.,0.)); #400998=DIRECTION('center_axis',(1.,0.,0.)); #400999=DIRECTION('ref_axis',(0.,1.,0.)); #401000=DIRECTION('',(0.,1.,0.)); #401001=DIRECTION('',(0.,0.,1.)); #401002=DIRECTION('',(0.,1.,0.)); #401003=DIRECTION('',(0.,0.,1.)); #401004=DIRECTION('center_axis',(0.,-1.,0.)); #401005=DIRECTION('ref_axis',(1.,0.,0.)); #401006=DIRECTION('',(1.,0.,0.)); #401007=DIRECTION('',(1.,0.,0.)); #401008=DIRECTION('',(0.,0.,1.)); #401009=DIRECTION('center_axis',(-1.,0.,0.)); #401010=DIRECTION('ref_axis',(0.,-1.,0.)); #401011=DIRECTION('',(0.,-1.,0.)); #401012=DIRECTION('',(0.,-1.,0.)); #401013=DIRECTION('',(0.,0.,1.)); #401014=DIRECTION('center_axis',(0.,1.,0.)); #401015=DIRECTION('ref_axis',(-1.,0.,0.)); #401016=DIRECTION('',(-1.,0.,0.)); #401017=DIRECTION('',(-1.,0.,0.)); #401018=DIRECTION('center_axis',(0.,0.,1.)); #401019=DIRECTION('ref_axis',(1.,0.,0.)); #401020=DIRECTION('center_axis',(0.,0.,1.)); #401021=DIRECTION('ref_axis',(1.,0.,0.)); #401022=DIRECTION('center_axis',(1.,0.,0.)); #401023=DIRECTION('ref_axis',(0.,1.,0.)); #401024=DIRECTION('',(0.,1.,0.)); #401025=DIRECTION('',(0.,0.,1.)); #401026=DIRECTION('',(0.,1.,0.)); #401027=DIRECTION('',(0.,0.,1.)); #401028=DIRECTION('center_axis',(0.,-1.,0.)); #401029=DIRECTION('ref_axis',(1.,0.,0.)); #401030=DIRECTION('',(1.,0.,0.)); #401031=DIRECTION('',(1.,0.,0.)); #401032=DIRECTION('',(0.,0.,1.)); #401033=DIRECTION('center_axis',(-1.,0.,0.)); #401034=DIRECTION('ref_axis',(0.,-1.,0.)); #401035=DIRECTION('',(0.,-1.,0.)); #401036=DIRECTION('',(0.,-1.,0.)); #401037=DIRECTION('',(0.,0.,1.)); #401038=DIRECTION('center_axis',(0.,1.,0.)); #401039=DIRECTION('ref_axis',(-1.,0.,0.)); #401040=DIRECTION('',(-1.,0.,0.)); #401041=DIRECTION('',(-1.,0.,0.)); #401042=DIRECTION('center_axis',(0.,0.,1.)); #401043=DIRECTION('ref_axis',(1.,0.,0.)); #401044=DIRECTION('center_axis',(0.,0.,1.)); #401045=DIRECTION('ref_axis',(1.,0.,0.)); #401046=DIRECTION('center_axis',(1.,0.,0.)); #401047=DIRECTION('ref_axis',(0.,1.,0.)); #401048=DIRECTION('',(0.,1.,0.)); #401049=DIRECTION('',(0.,0.,1.)); #401050=DIRECTION('',(0.,1.,0.)); #401051=DIRECTION('',(0.,0.,1.)); #401052=DIRECTION('center_axis',(0.,-1.,0.)); #401053=DIRECTION('ref_axis',(1.,0.,0.)); #401054=DIRECTION('',(1.,0.,0.)); #401055=DIRECTION('',(1.,0.,0.)); #401056=DIRECTION('',(0.,0.,1.)); #401057=DIRECTION('center_axis',(-1.,0.,0.)); #401058=DIRECTION('ref_axis',(0.,-1.,0.)); #401059=DIRECTION('',(0.,-1.,0.)); #401060=DIRECTION('',(0.,-1.,0.)); #401061=DIRECTION('',(0.,0.,1.)); #401062=DIRECTION('center_axis',(0.,1.,0.)); #401063=DIRECTION('ref_axis',(-1.,0.,0.)); #401064=DIRECTION('',(-1.,0.,0.)); #401065=DIRECTION('',(-1.,0.,0.)); #401066=DIRECTION('center_axis',(0.,0.,1.)); #401067=DIRECTION('ref_axis',(1.,0.,0.)); #401068=DIRECTION('center_axis',(0.,0.,1.)); #401069=DIRECTION('ref_axis',(1.,0.,0.)); #401070=DIRECTION('center_axis',(1.,0.,0.)); #401071=DIRECTION('ref_axis',(0.,1.,0.)); #401072=DIRECTION('',(0.,1.,0.)); #401073=DIRECTION('',(0.,0.,1.)); #401074=DIRECTION('',(0.,1.,0.)); #401075=DIRECTION('',(0.,0.,1.)); #401076=DIRECTION('center_axis',(0.,-1.,0.)); #401077=DIRECTION('ref_axis',(1.,0.,0.)); #401078=DIRECTION('',(1.,0.,0.)); #401079=DIRECTION('',(1.,0.,0.)); #401080=DIRECTION('',(0.,0.,1.)); #401081=DIRECTION('center_axis',(-1.,0.,0.)); #401082=DIRECTION('ref_axis',(0.,-1.,0.)); #401083=DIRECTION('',(0.,-1.,0.)); #401084=DIRECTION('',(0.,-1.,0.)); #401085=DIRECTION('',(0.,0.,1.)); #401086=DIRECTION('center_axis',(0.,1.,0.)); #401087=DIRECTION('ref_axis',(-1.,0.,0.)); #401088=DIRECTION('',(-1.,0.,0.)); #401089=DIRECTION('',(-1.,0.,0.)); #401090=DIRECTION('center_axis',(0.,0.,1.)); #401091=DIRECTION('ref_axis',(1.,0.,0.)); #401092=DIRECTION('center_axis',(0.,0.,1.)); #401093=DIRECTION('ref_axis',(1.,0.,0.)); #401094=DIRECTION('center_axis',(1.,0.,0.)); #401095=DIRECTION('ref_axis',(0.,1.,0.)); #401096=DIRECTION('',(0.,1.,0.)); #401097=DIRECTION('',(0.,0.,1.)); #401098=DIRECTION('',(0.,1.,0.)); #401099=DIRECTION('',(0.,0.,1.)); #401100=DIRECTION('center_axis',(0.,-1.,0.)); #401101=DIRECTION('ref_axis',(1.,0.,0.)); #401102=DIRECTION('',(1.,0.,0.)); #401103=DIRECTION('',(1.,0.,0.)); #401104=DIRECTION('',(0.,0.,1.)); #401105=DIRECTION('center_axis',(-1.,0.,0.)); #401106=DIRECTION('ref_axis',(0.,-1.,0.)); #401107=DIRECTION('',(0.,-1.,0.)); #401108=DIRECTION('',(0.,-1.,0.)); #401109=DIRECTION('',(0.,0.,1.)); #401110=DIRECTION('center_axis',(0.,1.,0.)); #401111=DIRECTION('ref_axis',(-1.,0.,0.)); #401112=DIRECTION('',(-1.,0.,0.)); #401113=DIRECTION('',(-1.,0.,0.)); #401114=DIRECTION('center_axis',(0.,0.,1.)); #401115=DIRECTION('ref_axis',(1.,0.,0.)); #401116=DIRECTION('center_axis',(0.,0.,1.)); #401117=DIRECTION('ref_axis',(1.,0.,0.)); #401118=DIRECTION('center_axis',(1.,0.,0.)); #401119=DIRECTION('ref_axis',(0.,1.,0.)); #401120=DIRECTION('',(0.,1.,0.)); #401121=DIRECTION('',(0.,0.,1.)); #401122=DIRECTION('',(0.,1.,0.)); #401123=DIRECTION('',(0.,0.,1.)); #401124=DIRECTION('center_axis',(0.,-1.,0.)); #401125=DIRECTION('ref_axis',(1.,0.,0.)); #401126=DIRECTION('',(1.,0.,0.)); #401127=DIRECTION('',(1.,0.,0.)); #401128=DIRECTION('',(0.,0.,1.)); #401129=DIRECTION('center_axis',(-1.,0.,0.)); #401130=DIRECTION('ref_axis',(0.,-1.,0.)); #401131=DIRECTION('',(0.,-1.,0.)); #401132=DIRECTION('',(0.,-1.,0.)); #401133=DIRECTION('',(0.,0.,1.)); #401134=DIRECTION('center_axis',(0.,1.,0.)); #401135=DIRECTION('ref_axis',(-1.,0.,0.)); #401136=DIRECTION('',(-1.,0.,0.)); #401137=DIRECTION('',(-1.,0.,0.)); #401138=DIRECTION('center_axis',(0.,0.,1.)); #401139=DIRECTION('ref_axis',(1.,0.,0.)); #401140=DIRECTION('center_axis',(0.,0.,1.)); #401141=DIRECTION('ref_axis',(1.,0.,0.)); #401142=DIRECTION('center_axis',(1.,0.,0.)); #401143=DIRECTION('ref_axis',(0.,1.,0.)); #401144=DIRECTION('',(0.,1.,0.)); #401145=DIRECTION('',(0.,0.,1.)); #401146=DIRECTION('',(0.,1.,0.)); #401147=DIRECTION('',(0.,0.,1.)); #401148=DIRECTION('center_axis',(0.,-1.,0.)); #401149=DIRECTION('ref_axis',(1.,0.,0.)); #401150=DIRECTION('',(1.,0.,0.)); #401151=DIRECTION('',(1.,0.,0.)); #401152=DIRECTION('',(0.,0.,1.)); #401153=DIRECTION('center_axis',(-1.,0.,0.)); #401154=DIRECTION('ref_axis',(0.,-1.,0.)); #401155=DIRECTION('',(0.,-1.,0.)); #401156=DIRECTION('',(0.,-1.,0.)); #401157=DIRECTION('',(0.,0.,1.)); #401158=DIRECTION('center_axis',(0.,1.,0.)); #401159=DIRECTION('ref_axis',(-1.,0.,0.)); #401160=DIRECTION('',(-1.,0.,0.)); #401161=DIRECTION('',(-1.,0.,0.)); #401162=DIRECTION('center_axis',(0.,0.,1.)); #401163=DIRECTION('ref_axis',(1.,0.,0.)); #401164=DIRECTION('center_axis',(0.,0.,1.)); #401165=DIRECTION('ref_axis',(1.,0.,0.)); #401166=DIRECTION('center_axis',(1.,0.,0.)); #401167=DIRECTION('ref_axis',(0.,1.,0.)); #401168=DIRECTION('',(0.,1.,0.)); #401169=DIRECTION('',(0.,0.,1.)); #401170=DIRECTION('',(0.,1.,0.)); #401171=DIRECTION('',(0.,0.,1.)); #401172=DIRECTION('center_axis',(0.,-1.,0.)); #401173=DIRECTION('ref_axis',(1.,0.,0.)); #401174=DIRECTION('',(1.,0.,0.)); #401175=DIRECTION('',(1.,0.,0.)); #401176=DIRECTION('',(0.,0.,1.)); #401177=DIRECTION('center_axis',(-1.,0.,0.)); #401178=DIRECTION('ref_axis',(0.,-1.,0.)); #401179=DIRECTION('',(0.,-1.,0.)); #401180=DIRECTION('',(0.,-1.,0.)); #401181=DIRECTION('',(0.,0.,1.)); #401182=DIRECTION('center_axis',(0.,1.,0.)); #401183=DIRECTION('ref_axis',(-1.,0.,0.)); #401184=DIRECTION('',(-1.,0.,0.)); #401185=DIRECTION('',(-1.,0.,0.)); #401186=DIRECTION('center_axis',(0.,0.,1.)); #401187=DIRECTION('ref_axis',(1.,0.,0.)); #401188=DIRECTION('center_axis',(0.,0.,1.)); #401189=DIRECTION('ref_axis',(1.,0.,0.)); #401190=DIRECTION('center_axis',(1.,0.,0.)); #401191=DIRECTION('ref_axis',(0.,1.,0.)); #401192=DIRECTION('',(0.,1.,0.)); #401193=DIRECTION('',(0.,0.,1.)); #401194=DIRECTION('',(0.,1.,0.)); #401195=DIRECTION('',(0.,0.,1.)); #401196=DIRECTION('center_axis',(0.,-1.,0.)); #401197=DIRECTION('ref_axis',(1.,0.,0.)); #401198=DIRECTION('',(1.,0.,0.)); #401199=DIRECTION('',(1.,0.,0.)); #401200=DIRECTION('',(0.,0.,1.)); #401201=DIRECTION('center_axis',(-1.,0.,0.)); #401202=DIRECTION('ref_axis',(0.,-1.,0.)); #401203=DIRECTION('',(0.,-1.,0.)); #401204=DIRECTION('',(0.,-1.,0.)); #401205=DIRECTION('',(0.,0.,1.)); #401206=DIRECTION('center_axis',(0.,1.,0.)); #401207=DIRECTION('ref_axis',(-1.,0.,0.)); #401208=DIRECTION('',(-1.,0.,0.)); #401209=DIRECTION('',(-1.,0.,0.)); #401210=DIRECTION('center_axis',(0.,0.,1.)); #401211=DIRECTION('ref_axis',(1.,0.,0.)); #401212=DIRECTION('center_axis',(0.,0.,1.)); #401213=DIRECTION('ref_axis',(1.,0.,0.)); #401214=DIRECTION('center_axis',(1.,0.,0.)); #401215=DIRECTION('ref_axis',(0.,1.,0.)); #401216=DIRECTION('',(0.,1.,0.)); #401217=DIRECTION('',(0.,0.,1.)); #401218=DIRECTION('',(0.,1.,0.)); #401219=DIRECTION('',(0.,0.,1.)); #401220=DIRECTION('center_axis',(0.,-1.,0.)); #401221=DIRECTION('ref_axis',(1.,0.,0.)); #401222=DIRECTION('',(1.,0.,0.)); #401223=DIRECTION('',(1.,0.,0.)); #401224=DIRECTION('',(0.,0.,1.)); #401225=DIRECTION('center_axis',(-1.,0.,0.)); #401226=DIRECTION('ref_axis',(0.,-1.,0.)); #401227=DIRECTION('',(0.,-1.,0.)); #401228=DIRECTION('',(0.,-1.,0.)); #401229=DIRECTION('',(0.,0.,1.)); #401230=DIRECTION('center_axis',(0.,1.,0.)); #401231=DIRECTION('ref_axis',(-1.,0.,0.)); #401232=DIRECTION('',(-1.,0.,0.)); #401233=DIRECTION('',(-1.,0.,0.)); #401234=DIRECTION('center_axis',(0.,0.,1.)); #401235=DIRECTION('ref_axis',(1.,0.,0.)); #401236=DIRECTION('center_axis',(0.,0.,1.)); #401237=DIRECTION('ref_axis',(1.,0.,0.)); #401238=DIRECTION('center_axis',(1.,0.,0.)); #401239=DIRECTION('ref_axis',(0.,1.,0.)); #401240=DIRECTION('',(0.,1.,0.)); #401241=DIRECTION('',(0.,0.,1.)); #401242=DIRECTION('',(0.,1.,0.)); #401243=DIRECTION('',(0.,0.,1.)); #401244=DIRECTION('center_axis',(0.,-1.,0.)); #401245=DIRECTION('ref_axis',(1.,0.,0.)); #401246=DIRECTION('',(1.,0.,0.)); #401247=DIRECTION('',(1.,0.,0.)); #401248=DIRECTION('',(0.,0.,1.)); #401249=DIRECTION('center_axis',(-1.,0.,0.)); #401250=DIRECTION('ref_axis',(0.,-1.,0.)); #401251=DIRECTION('',(0.,-1.,0.)); #401252=DIRECTION('',(0.,-1.,0.)); #401253=DIRECTION('',(0.,0.,1.)); #401254=DIRECTION('center_axis',(0.,1.,0.)); #401255=DIRECTION('ref_axis',(-1.,0.,0.)); #401256=DIRECTION('',(-1.,0.,0.)); #401257=DIRECTION('',(-1.,0.,0.)); #401258=DIRECTION('center_axis',(0.,0.,1.)); #401259=DIRECTION('ref_axis',(1.,0.,0.)); #401260=DIRECTION('center_axis',(0.,0.,1.)); #401261=DIRECTION('ref_axis',(1.,0.,0.)); #401262=DIRECTION('center_axis',(1.,0.,0.)); #401263=DIRECTION('ref_axis',(0.,1.,0.)); #401264=DIRECTION('',(0.,1.,0.)); #401265=DIRECTION('',(0.,0.,1.)); #401266=DIRECTION('',(0.,1.,0.)); #401267=DIRECTION('',(0.,0.,1.)); #401268=DIRECTION('center_axis',(0.,-1.,0.)); #401269=DIRECTION('ref_axis',(1.,0.,0.)); #401270=DIRECTION('',(1.,0.,0.)); #401271=DIRECTION('',(1.,0.,0.)); #401272=DIRECTION('',(0.,0.,1.)); #401273=DIRECTION('center_axis',(-1.,0.,0.)); #401274=DIRECTION('ref_axis',(0.,-1.,0.)); #401275=DIRECTION('',(0.,-1.,0.)); #401276=DIRECTION('',(0.,-1.,0.)); #401277=DIRECTION('',(0.,0.,1.)); #401278=DIRECTION('center_axis',(0.,1.,0.)); #401279=DIRECTION('ref_axis',(-1.,0.,0.)); #401280=DIRECTION('',(-1.,0.,0.)); #401281=DIRECTION('',(-1.,0.,0.)); #401282=DIRECTION('center_axis',(0.,0.,1.)); #401283=DIRECTION('ref_axis',(1.,0.,0.)); #401284=DIRECTION('center_axis',(0.,0.,1.)); #401285=DIRECTION('ref_axis',(1.,0.,0.)); #401286=DIRECTION('center_axis',(1.,0.,0.)); #401287=DIRECTION('ref_axis',(0.,1.,0.)); #401288=DIRECTION('',(0.,1.,0.)); #401289=DIRECTION('',(0.,0.,1.)); #401290=DIRECTION('',(0.,1.,0.)); #401291=DIRECTION('',(0.,0.,1.)); #401292=DIRECTION('center_axis',(0.,-1.,0.)); #401293=DIRECTION('ref_axis',(1.,0.,0.)); #401294=DIRECTION('',(1.,0.,0.)); #401295=DIRECTION('',(1.,0.,0.)); #401296=DIRECTION('',(0.,0.,1.)); #401297=DIRECTION('center_axis',(-1.,0.,0.)); #401298=DIRECTION('ref_axis',(0.,-1.,0.)); #401299=DIRECTION('',(0.,-1.,0.)); #401300=DIRECTION('',(0.,-1.,0.)); #401301=DIRECTION('',(0.,0.,1.)); #401302=DIRECTION('center_axis',(0.,1.,0.)); #401303=DIRECTION('ref_axis',(-1.,0.,0.)); #401304=DIRECTION('',(-1.,0.,0.)); #401305=DIRECTION('',(-1.,0.,0.)); #401306=DIRECTION('center_axis',(0.,0.,1.)); #401307=DIRECTION('ref_axis',(1.,0.,0.)); #401308=DIRECTION('center_axis',(0.,0.,1.)); #401309=DIRECTION('ref_axis',(1.,0.,0.)); #401310=DIRECTION('center_axis',(0.,0.,1.)); #401311=DIRECTION('ref_axis',(1.,0.,0.)); #401312=DIRECTION('center_axis',(0.,0.,1.)); #401313=DIRECTION('ref_axis',(1.,0.,0.)); #401314=DIRECTION('',(0.,0.,1.)); #401315=DIRECTION('center_axis',(0.,0.,-1.)); #401316=DIRECTION('ref_axis',(1.,0.,0.)); #401317=DIRECTION('center_axis',(1.,0.,0.)); #401318=DIRECTION('ref_axis',(0.,1.,0.)); #401319=DIRECTION('',(0.,1.,0.)); #401320=DIRECTION('',(0.,0.,1.)); #401321=DIRECTION('',(0.,1.,0.)); #401322=DIRECTION('',(0.,0.,1.)); #401323=DIRECTION('center_axis',(0.,-1.,0.)); #401324=DIRECTION('ref_axis',(1.,0.,0.)); #401325=DIRECTION('',(1.,0.,0.)); #401326=DIRECTION('',(1.,0.,0.)); #401327=DIRECTION('',(0.,0.,1.)); #401328=DIRECTION('center_axis',(-1.,0.,0.)); #401329=DIRECTION('ref_axis',(0.,-1.,0.)); #401330=DIRECTION('',(0.,-1.,0.)); #401331=DIRECTION('',(0.,-1.,0.)); #401332=DIRECTION('',(0.,0.,1.)); #401333=DIRECTION('center_axis',(0.,1.,0.)); #401334=DIRECTION('ref_axis',(-1.,0.,0.)); #401335=DIRECTION('',(-1.,0.,0.)); #401336=DIRECTION('',(-1.,0.,0.)); #401337=DIRECTION('center_axis',(0.,0.,1.)); #401338=DIRECTION('ref_axis',(1.,0.,0.)); #401339=DIRECTION('center_axis',(0.,0.,1.)); #401340=DIRECTION('ref_axis',(1.,0.,0.)); #401341=DIRECTION('center_axis',(1.,0.,0.)); #401342=DIRECTION('ref_axis',(0.,1.,0.)); #401343=DIRECTION('',(0.,1.,0.)); #401344=DIRECTION('',(0.,0.,1.)); #401345=DIRECTION('',(0.,1.,0.)); #401346=DIRECTION('',(0.,0.,1.)); #401347=DIRECTION('center_axis',(0.,-1.,0.)); #401348=DIRECTION('ref_axis',(1.,0.,0.)); #401349=DIRECTION('',(1.,0.,0.)); #401350=DIRECTION('',(1.,0.,0.)); #401351=DIRECTION('',(0.,0.,1.)); #401352=DIRECTION('center_axis',(-1.,0.,0.)); #401353=DIRECTION('ref_axis',(0.,-1.,0.)); #401354=DIRECTION('',(0.,-1.,0.)); #401355=DIRECTION('',(0.,-1.,0.)); #401356=DIRECTION('',(0.,0.,1.)); #401357=DIRECTION('center_axis',(0.,1.,0.)); #401358=DIRECTION('ref_axis',(-1.,0.,0.)); #401359=DIRECTION('',(-1.,0.,0.)); #401360=DIRECTION('',(-1.,0.,0.)); #401361=DIRECTION('center_axis',(0.,0.,1.)); #401362=DIRECTION('ref_axis',(1.,0.,0.)); #401363=DIRECTION('center_axis',(0.,0.,1.)); #401364=DIRECTION('ref_axis',(1.,0.,0.)); #401365=DIRECTION('center_axis',(1.,0.,0.)); #401366=DIRECTION('ref_axis',(0.,1.,0.)); #401367=DIRECTION('',(0.,1.,0.)); #401368=DIRECTION('',(0.,0.,1.)); #401369=DIRECTION('',(0.,1.,0.)); #401370=DIRECTION('',(0.,0.,1.)); #401371=DIRECTION('center_axis',(0.,-1.,0.)); #401372=DIRECTION('ref_axis',(1.,0.,0.)); #401373=DIRECTION('',(1.,0.,0.)); #401374=DIRECTION('',(1.,0.,0.)); #401375=DIRECTION('',(0.,0.,1.)); #401376=DIRECTION('center_axis',(-1.,0.,0.)); #401377=DIRECTION('ref_axis',(0.,-1.,0.)); #401378=DIRECTION('',(0.,-1.,0.)); #401379=DIRECTION('',(0.,-1.,0.)); #401380=DIRECTION('',(0.,0.,1.)); #401381=DIRECTION('center_axis',(0.,1.,0.)); #401382=DIRECTION('ref_axis',(-1.,0.,0.)); #401383=DIRECTION('',(-1.,0.,0.)); #401384=DIRECTION('',(-1.,0.,0.)); #401385=DIRECTION('center_axis',(0.,0.,1.)); #401386=DIRECTION('ref_axis',(1.,0.,0.)); #401387=DIRECTION('center_axis',(0.,0.,1.)); #401388=DIRECTION('ref_axis',(1.,0.,0.)); #401389=DIRECTION('center_axis',(0.,0.,1.)); #401390=DIRECTION('ref_axis',(1.,0.,0.)); #401391=DIRECTION('center_axis',(0.,0.,1.)); #401392=DIRECTION('ref_axis',(1.,0.,0.)); #401393=DIRECTION('',(0.,0.,1.)); #401394=DIRECTION('center_axis',(0.,0.,-1.)); #401395=DIRECTION('ref_axis',(1.,0.,0.)); #401396=DIRECTION('center_axis',(1.,0.,0.)); #401397=DIRECTION('ref_axis',(0.,1.,0.)); #401398=DIRECTION('',(0.,1.,0.)); #401399=DIRECTION('',(0.,0.,1.)); #401400=DIRECTION('',(0.,1.,0.)); #401401=DIRECTION('',(0.,0.,1.)); #401402=DIRECTION('center_axis',(0.,-1.,0.)); #401403=DIRECTION('ref_axis',(1.,0.,0.)); #401404=DIRECTION('',(1.,0.,0.)); #401405=DIRECTION('',(1.,0.,0.)); #401406=DIRECTION('',(0.,0.,1.)); #401407=DIRECTION('center_axis',(-1.,0.,0.)); #401408=DIRECTION('ref_axis',(0.,-1.,0.)); #401409=DIRECTION('',(0.,-1.,0.)); #401410=DIRECTION('',(0.,-1.,0.)); #401411=DIRECTION('',(0.,0.,1.)); #401412=DIRECTION('center_axis',(0.,1.,0.)); #401413=DIRECTION('ref_axis',(-1.,0.,0.)); #401414=DIRECTION('',(-1.,0.,0.)); #401415=DIRECTION('',(-1.,0.,0.)); #401416=DIRECTION('center_axis',(0.,0.,1.)); #401417=DIRECTION('ref_axis',(1.,0.,0.)); #401418=DIRECTION('center_axis',(0.,0.,1.)); #401419=DIRECTION('ref_axis',(1.,0.,0.)); #401420=DIRECTION('center_axis',(1.,0.,0.)); #401421=DIRECTION('ref_axis',(0.,1.,0.)); #401422=DIRECTION('',(0.,1.,0.)); #401423=DIRECTION('',(0.,0.,1.)); #401424=DIRECTION('',(0.,1.,0.)); #401425=DIRECTION('',(0.,0.,1.)); #401426=DIRECTION('center_axis',(0.,-1.,0.)); #401427=DIRECTION('ref_axis',(1.,0.,0.)); #401428=DIRECTION('',(1.,0.,0.)); #401429=DIRECTION('',(1.,0.,0.)); #401430=DIRECTION('',(0.,0.,1.)); #401431=DIRECTION('center_axis',(-1.,0.,0.)); #401432=DIRECTION('ref_axis',(0.,-1.,0.)); #401433=DIRECTION('',(0.,-1.,0.)); #401434=DIRECTION('',(0.,-1.,0.)); #401435=DIRECTION('',(0.,0.,1.)); #401436=DIRECTION('center_axis',(0.,1.,0.)); #401437=DIRECTION('ref_axis',(-1.,0.,0.)); #401438=DIRECTION('',(-1.,0.,0.)); #401439=DIRECTION('',(-1.,0.,0.)); #401440=DIRECTION('center_axis',(0.,0.,1.)); #401441=DIRECTION('ref_axis',(1.,0.,0.)); #401442=DIRECTION('center_axis',(0.,0.,1.)); #401443=DIRECTION('ref_axis',(1.,0.,0.)); #401444=DIRECTION('center_axis',(1.,0.,0.)); #401445=DIRECTION('ref_axis',(0.,1.,0.)); #401446=DIRECTION('',(0.,1.,0.)); #401447=DIRECTION('',(0.,0.,1.)); #401448=DIRECTION('',(0.,1.,0.)); #401449=DIRECTION('',(0.,0.,1.)); #401450=DIRECTION('center_axis',(0.,-1.,0.)); #401451=DIRECTION('ref_axis',(1.,0.,0.)); #401452=DIRECTION('',(1.,0.,0.)); #401453=DIRECTION('',(1.,0.,0.)); #401454=DIRECTION('',(0.,0.,1.)); #401455=DIRECTION('center_axis',(-1.,0.,0.)); #401456=DIRECTION('ref_axis',(0.,-1.,0.)); #401457=DIRECTION('',(0.,-1.,0.)); #401458=DIRECTION('',(0.,-1.,0.)); #401459=DIRECTION('',(0.,0.,1.)); #401460=DIRECTION('center_axis',(0.,1.,0.)); #401461=DIRECTION('ref_axis',(-1.,0.,0.)); #401462=DIRECTION('',(-1.,0.,0.)); #401463=DIRECTION('',(-1.,0.,0.)); #401464=DIRECTION('center_axis',(0.,0.,1.)); #401465=DIRECTION('ref_axis',(1.,0.,0.)); #401466=DIRECTION('center_axis',(0.,0.,1.)); #401467=DIRECTION('ref_axis',(1.,0.,0.)); #401468=DIRECTION('center_axis',(1.,0.,0.)); #401469=DIRECTION('ref_axis',(0.,1.,0.)); #401470=DIRECTION('',(0.,1.,0.)); #401471=DIRECTION('',(0.,0.,1.)); #401472=DIRECTION('',(0.,1.,0.)); #401473=DIRECTION('',(0.,0.,1.)); #401474=DIRECTION('center_axis',(0.,-1.,0.)); #401475=DIRECTION('ref_axis',(1.,0.,0.)); #401476=DIRECTION('',(1.,0.,0.)); #401477=DIRECTION('',(1.,0.,0.)); #401478=DIRECTION('',(0.,0.,1.)); #401479=DIRECTION('center_axis',(-1.,0.,0.)); #401480=DIRECTION('ref_axis',(0.,-1.,0.)); #401481=DIRECTION('',(0.,-1.,0.)); #401482=DIRECTION('',(0.,-1.,0.)); #401483=DIRECTION('',(0.,0.,1.)); #401484=DIRECTION('center_axis',(0.,1.,0.)); #401485=DIRECTION('ref_axis',(-1.,0.,0.)); #401486=DIRECTION('',(-1.,0.,0.)); #401487=DIRECTION('',(-1.,0.,0.)); #401488=DIRECTION('center_axis',(0.,0.,1.)); #401489=DIRECTION('ref_axis',(1.,0.,0.)); #401490=DIRECTION('center_axis',(0.,0.,1.)); #401491=DIRECTION('ref_axis',(1.,0.,0.)); #401492=DIRECTION('center_axis',(1.,0.,0.)); #401493=DIRECTION('ref_axis',(0.,1.,0.)); #401494=DIRECTION('',(0.,1.,0.)); #401495=DIRECTION('',(0.,0.,1.)); #401496=DIRECTION('',(0.,1.,0.)); #401497=DIRECTION('',(0.,0.,1.)); #401498=DIRECTION('center_axis',(0.,-1.,0.)); #401499=DIRECTION('ref_axis',(1.,0.,0.)); #401500=DIRECTION('',(1.,0.,0.)); #401501=DIRECTION('',(1.,0.,0.)); #401502=DIRECTION('',(0.,0.,1.)); #401503=DIRECTION('center_axis',(-1.,0.,0.)); #401504=DIRECTION('ref_axis',(0.,-1.,0.)); #401505=DIRECTION('',(0.,-1.,0.)); #401506=DIRECTION('',(0.,-1.,0.)); #401507=DIRECTION('',(0.,0.,1.)); #401508=DIRECTION('center_axis',(0.,1.,0.)); #401509=DIRECTION('ref_axis',(-1.,0.,0.)); #401510=DIRECTION('',(-1.,0.,0.)); #401511=DIRECTION('',(-1.,0.,0.)); #401512=DIRECTION('center_axis',(0.,0.,1.)); #401513=DIRECTION('ref_axis',(1.,0.,0.)); #401514=DIRECTION('center_axis',(0.,0.,1.)); #401515=DIRECTION('ref_axis',(1.,0.,0.)); #401516=DIRECTION('center_axis',(1.,0.,0.)); #401517=DIRECTION('ref_axis',(0.,1.,0.)); #401518=DIRECTION('',(0.,1.,0.)); #401519=DIRECTION('',(0.,0.,1.)); #401520=DIRECTION('',(0.,1.,0.)); #401521=DIRECTION('',(0.,0.,1.)); #401522=DIRECTION('center_axis',(0.,-1.,0.)); #401523=DIRECTION('ref_axis',(1.,0.,0.)); #401524=DIRECTION('',(1.,0.,0.)); #401525=DIRECTION('',(1.,0.,0.)); #401526=DIRECTION('',(0.,0.,1.)); #401527=DIRECTION('center_axis',(-1.,0.,0.)); #401528=DIRECTION('ref_axis',(0.,-1.,0.)); #401529=DIRECTION('',(0.,-1.,0.)); #401530=DIRECTION('',(0.,-1.,0.)); #401531=DIRECTION('',(0.,0.,1.)); #401532=DIRECTION('center_axis',(0.,1.,0.)); #401533=DIRECTION('ref_axis',(-1.,0.,0.)); #401534=DIRECTION('',(-1.,0.,0.)); #401535=DIRECTION('',(-1.,0.,0.)); #401536=DIRECTION('center_axis',(0.,0.,1.)); #401537=DIRECTION('ref_axis',(1.,0.,0.)); #401538=DIRECTION('center_axis',(0.,0.,1.)); #401539=DIRECTION('ref_axis',(1.,0.,0.)); #401540=DIRECTION('center_axis',(1.,0.,0.)); #401541=DIRECTION('ref_axis',(0.,1.,0.)); #401542=DIRECTION('',(0.,1.,0.)); #401543=DIRECTION('',(0.,0.,1.)); #401544=DIRECTION('',(0.,1.,0.)); #401545=DIRECTION('',(0.,0.,1.)); #401546=DIRECTION('center_axis',(0.,-1.,0.)); #401547=DIRECTION('ref_axis',(1.,0.,0.)); #401548=DIRECTION('',(1.,0.,0.)); #401549=DIRECTION('',(1.,0.,0.)); #401550=DIRECTION('',(0.,0.,1.)); #401551=DIRECTION('center_axis',(-1.,0.,0.)); #401552=DIRECTION('ref_axis',(0.,-1.,0.)); #401553=DIRECTION('',(0.,-1.,0.)); #401554=DIRECTION('',(0.,-1.,0.)); #401555=DIRECTION('',(0.,0.,1.)); #401556=DIRECTION('center_axis',(0.,1.,0.)); #401557=DIRECTION('ref_axis',(-1.,0.,0.)); #401558=DIRECTION('',(-1.,0.,0.)); #401559=DIRECTION('',(-1.,0.,0.)); #401560=DIRECTION('center_axis',(0.,0.,1.)); #401561=DIRECTION('ref_axis',(1.,0.,0.)); #401562=DIRECTION('center_axis',(0.,0.,1.)); #401563=DIRECTION('ref_axis',(1.,0.,0.)); #401564=DIRECTION('center_axis',(1.,0.,0.)); #401565=DIRECTION('ref_axis',(0.,1.,0.)); #401566=DIRECTION('',(0.,1.,0.)); #401567=DIRECTION('',(0.,0.,1.)); #401568=DIRECTION('',(0.,1.,0.)); #401569=DIRECTION('',(0.,0.,1.)); #401570=DIRECTION('center_axis',(0.,-1.,0.)); #401571=DIRECTION('ref_axis',(1.,0.,0.)); #401572=DIRECTION('',(1.,0.,0.)); #401573=DIRECTION('',(1.,0.,0.)); #401574=DIRECTION('',(0.,0.,1.)); #401575=DIRECTION('center_axis',(-1.,0.,0.)); #401576=DIRECTION('ref_axis',(0.,-1.,0.)); #401577=DIRECTION('',(0.,-1.,0.)); #401578=DIRECTION('',(0.,-1.,0.)); #401579=DIRECTION('',(0.,0.,1.)); #401580=DIRECTION('center_axis',(0.,1.,0.)); #401581=DIRECTION('ref_axis',(-1.,0.,0.)); #401582=DIRECTION('',(-1.,0.,0.)); #401583=DIRECTION('',(-1.,0.,0.)); #401584=DIRECTION('center_axis',(0.,0.,1.)); #401585=DIRECTION('ref_axis',(1.,0.,0.)); #401586=DIRECTION('center_axis',(0.,0.,1.)); #401587=DIRECTION('ref_axis',(1.,0.,0.)); #401588=DIRECTION('center_axis',(1.,0.,0.)); #401589=DIRECTION('ref_axis',(0.,1.,0.)); #401590=DIRECTION('',(0.,1.,0.)); #401591=DIRECTION('',(0.,0.,1.)); #401592=DIRECTION('',(0.,1.,0.)); #401593=DIRECTION('',(0.,0.,1.)); #401594=DIRECTION('center_axis',(0.,-1.,0.)); #401595=DIRECTION('ref_axis',(1.,0.,0.)); #401596=DIRECTION('',(1.,0.,0.)); #401597=DIRECTION('',(1.,0.,0.)); #401598=DIRECTION('',(0.,0.,1.)); #401599=DIRECTION('center_axis',(-1.,0.,0.)); #401600=DIRECTION('ref_axis',(0.,-1.,0.)); #401601=DIRECTION('',(0.,-1.,0.)); #401602=DIRECTION('',(0.,-1.,0.)); #401603=DIRECTION('',(0.,0.,1.)); #401604=DIRECTION('center_axis',(0.,1.,0.)); #401605=DIRECTION('ref_axis',(-1.,0.,0.)); #401606=DIRECTION('',(-1.,0.,0.)); #401607=DIRECTION('',(-1.,0.,0.)); #401608=DIRECTION('center_axis',(0.,0.,1.)); #401609=DIRECTION('ref_axis',(1.,0.,0.)); #401610=DIRECTION('center_axis',(0.,0.,1.)); #401611=DIRECTION('ref_axis',(1.,0.,0.)); #401612=DIRECTION('center_axis',(1.,0.,0.)); #401613=DIRECTION('ref_axis',(0.,1.,0.)); #401614=DIRECTION('',(0.,1.,0.)); #401615=DIRECTION('',(0.,0.,1.)); #401616=DIRECTION('',(0.,1.,0.)); #401617=DIRECTION('',(0.,0.,1.)); #401618=DIRECTION('center_axis',(0.,-1.,0.)); #401619=DIRECTION('ref_axis',(1.,0.,0.)); #401620=DIRECTION('',(1.,0.,0.)); #401621=DIRECTION('',(1.,0.,0.)); #401622=DIRECTION('',(0.,0.,1.)); #401623=DIRECTION('center_axis',(-1.,0.,0.)); #401624=DIRECTION('ref_axis',(0.,-1.,0.)); #401625=DIRECTION('',(0.,-1.,0.)); #401626=DIRECTION('',(0.,-1.,0.)); #401627=DIRECTION('',(0.,0.,1.)); #401628=DIRECTION('center_axis',(0.,1.,0.)); #401629=DIRECTION('ref_axis',(-1.,0.,0.)); #401630=DIRECTION('',(-1.,0.,0.)); #401631=DIRECTION('',(-1.,0.,0.)); #401632=DIRECTION('center_axis',(0.,0.,1.)); #401633=DIRECTION('ref_axis',(1.,0.,0.)); #401634=DIRECTION('center_axis',(0.,0.,1.)); #401635=DIRECTION('ref_axis',(1.,0.,0.)); #401636=DIRECTION('center_axis',(1.,0.,0.)); #401637=DIRECTION('ref_axis',(0.,1.,0.)); #401638=DIRECTION('',(0.,1.,0.)); #401639=DIRECTION('',(0.,0.,1.)); #401640=DIRECTION('',(0.,1.,0.)); #401641=DIRECTION('',(0.,0.,1.)); #401642=DIRECTION('center_axis',(0.,-1.,0.)); #401643=DIRECTION('ref_axis',(1.,0.,0.)); #401644=DIRECTION('',(1.,0.,0.)); #401645=DIRECTION('',(1.,0.,0.)); #401646=DIRECTION('',(0.,0.,1.)); #401647=DIRECTION('center_axis',(-1.,0.,0.)); #401648=DIRECTION('ref_axis',(0.,-1.,0.)); #401649=DIRECTION('',(0.,-1.,0.)); #401650=DIRECTION('',(0.,-1.,0.)); #401651=DIRECTION('',(0.,0.,1.)); #401652=DIRECTION('center_axis',(0.,1.,0.)); #401653=DIRECTION('ref_axis',(-1.,0.,0.)); #401654=DIRECTION('',(-1.,0.,0.)); #401655=DIRECTION('',(-1.,0.,0.)); #401656=DIRECTION('center_axis',(0.,0.,1.)); #401657=DIRECTION('ref_axis',(1.,0.,0.)); #401658=DIRECTION('center_axis',(0.,0.,1.)); #401659=DIRECTION('ref_axis',(1.,0.,0.)); #401660=DIRECTION('center_axis',(1.,0.,0.)); #401661=DIRECTION('ref_axis',(0.,1.,0.)); #401662=DIRECTION('',(0.,1.,0.)); #401663=DIRECTION('',(0.,0.,1.)); #401664=DIRECTION('',(0.,1.,0.)); #401665=DIRECTION('',(0.,0.,1.)); #401666=DIRECTION('center_axis',(0.,-1.,0.)); #401667=DIRECTION('ref_axis',(1.,0.,0.)); #401668=DIRECTION('',(1.,0.,0.)); #401669=DIRECTION('',(1.,0.,0.)); #401670=DIRECTION('',(0.,0.,1.)); #401671=DIRECTION('center_axis',(-1.,0.,0.)); #401672=DIRECTION('ref_axis',(0.,-1.,0.)); #401673=DIRECTION('',(0.,-1.,0.)); #401674=DIRECTION('',(0.,-1.,0.)); #401675=DIRECTION('',(0.,0.,1.)); #401676=DIRECTION('center_axis',(0.,1.,0.)); #401677=DIRECTION('ref_axis',(-1.,0.,0.)); #401678=DIRECTION('',(-1.,0.,0.)); #401679=DIRECTION('',(-1.,0.,0.)); #401680=DIRECTION('center_axis',(0.,0.,1.)); #401681=DIRECTION('ref_axis',(1.,0.,0.)); #401682=DIRECTION('center_axis',(0.,0.,1.)); #401683=DIRECTION('ref_axis',(1.,0.,0.)); #401684=DIRECTION('center_axis',(0.,0.,1.)); #401685=DIRECTION('ref_axis',(1.,0.,0.)); #401686=DIRECTION('center_axis',(0.,0.,1.)); #401687=DIRECTION('ref_axis',(1.,0.,0.)); #401688=DIRECTION('',(0.,0.,1.)); #401689=DIRECTION('center_axis',(0.,0.,-1.)); #401690=DIRECTION('ref_axis',(1.,0.,0.)); #401691=DIRECTION('center_axis',(0.,0.,1.)); #401692=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #401693=DIRECTION('',(0.,0.,1.)); #401694=DIRECTION('center_axis',(0.,0.,1.)); #401695=DIRECTION('ref_axis',(1.,0.,0.)); #401696=DIRECTION('',(0.,0.,-1.)); #401697=DIRECTION('center_axis',(0.,0.,-1.)); #401698=DIRECTION('ref_axis',(1.,0.,0.)); #401699=DIRECTION('center_axis',(0.,0.,1.)); #401700=DIRECTION('ref_axis',(1.,0.,0.)); #401701=DIRECTION('',(0.,0.,-1.)); #401702=DIRECTION('center_axis',(0.,0.,-1.)); #401703=DIRECTION('ref_axis',(1.,0.,0.)); #401704=DIRECTION('',(0.,0.,1.)); #401705=DIRECTION('center_axis',(0.,0.,1.)); #401706=DIRECTION('ref_axis',(1.,0.,0.)); #401707=DIRECTION('center_axis',(0.,0.,1.)); #401708=DIRECTION('ref_axis',(1.,0.,0.)); #401709=DIRECTION('',(0.,0.,1.)); #401710=DIRECTION('center_axis',(0.,0.,1.)); #401711=DIRECTION('ref_axis',(1.,0.,0.)); #401712=DIRECTION('',(0.,0.,-1.)); #401713=DIRECTION('center_axis',(0.,0.,-1.)); #401714=DIRECTION('ref_axis',(1.,0.,0.)); #401715=DIRECTION('center_axis',(0.,0.,1.)); #401716=DIRECTION('ref_axis',(1.,0.,0.)); #401717=DIRECTION('',(0.,0.,1.)); #401718=DIRECTION('center_axis',(0.,0.,1.)); #401719=DIRECTION('ref_axis',(1.,0.,0.)); #401720=DIRECTION('',(0.,0.,-1.)); #401721=DIRECTION('center_axis',(0.,0.,-1.)); #401722=DIRECTION('ref_axis',(1.,0.,0.)); #401723=DIRECTION('center_axis',(0.,0.,1.)); #401724=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #401725=DIRECTION('',(0.,0.,1.)); #401726=DIRECTION('center_axis',(0.,0.,1.)); #401727=DIRECTION('ref_axis',(1.,0.,0.)); #401728=DIRECTION('',(0.,0.,-1.)); #401729=DIRECTION('center_axis',(0.,0.,-1.)); #401730=DIRECTION('ref_axis',(1.,0.,0.)); #401731=DIRECTION('center_axis',(0.,0.,1.)); #401732=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #401733=DIRECTION('',(0.,0.,1.)); #401734=DIRECTION('center_axis',(0.,0.,1.)); #401735=DIRECTION('ref_axis',(1.,0.,0.)); #401736=DIRECTION('',(0.,0.,-1.)); #401737=DIRECTION('center_axis',(0.,0.,-1.)); #401738=DIRECTION('ref_axis',(1.,0.,0.)); #401739=DIRECTION('center_axis',(0.,0.,1.)); #401740=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #401741=DIRECTION('',(0.,0.,1.)); #401742=DIRECTION('center_axis',(0.,0.,1.)); #401743=DIRECTION('ref_axis',(1.,0.,0.)); #401744=DIRECTION('',(0.,0.,-1.)); #401745=DIRECTION('center_axis',(0.,0.,-1.)); #401746=DIRECTION('ref_axis',(1.,0.,0.)); #401747=DIRECTION('center_axis',(0.,0.,1.)); #401748=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #401749=DIRECTION('',(0.,0.,1.)); #401750=DIRECTION('center_axis',(0.,0.,1.)); #401751=DIRECTION('ref_axis',(1.,0.,0.)); #401752=DIRECTION('',(0.,0.,-1.)); #401753=DIRECTION('center_axis',(0.,0.,-1.)); #401754=DIRECTION('ref_axis',(1.,0.,0.)); #401755=DIRECTION('center_axis',(0.,-1.,0.)); #401756=DIRECTION('ref_axis',(1.,0.,0.)); #401757=DIRECTION('',(1.,0.,0.)); #401758=DIRECTION('',(1.,0.,0.)); #401759=DIRECTION('center_axis',(0.,-1.,0.)); #401760=DIRECTION('ref_axis',(1.,0.,0.)); #401761=DIRECTION('',(1.,0.,0.)); #401762=DIRECTION('',(0.,0.,1.)); #401763=DIRECTION('',(1.,0.,0.)); #401764=DIRECTION('center_axis',(-1.,0.,0.)); #401765=DIRECTION('ref_axis',(0.,-1.,0.)); #401766=DIRECTION('',(0.,-1.,0.)); #401767=DIRECTION('',(0.,-1.,0.)); #401768=DIRECTION('center_axis',(-1.,0.,0.)); #401769=DIRECTION('ref_axis',(0.,-1.,0.)); #401770=DIRECTION('',(0.,-1.,0.)); #401771=DIRECTION('',(0.,-1.,0.)); #401772=DIRECTION('center_axis',(0.,1.,0.)); #401773=DIRECTION('ref_axis',(-1.,0.,0.)); #401774=DIRECTION('',(-1.,0.,0.)); #401775=DIRECTION('',(0.,0.,1.)); #401776=DIRECTION('',(-1.,0.,0.)); #401777=DIRECTION('center_axis',(1.,0.,0.)); #401778=DIRECTION('ref_axis',(0.,1.,0.)); #401779=DIRECTION('',(0.,1.,0.)); #401780=DIRECTION('',(0.,1.,0.)); #401781=DIRECTION('center_axis',(1.,0.,0.)); #401782=DIRECTION('ref_axis',(0.,1.,0.)); #401783=DIRECTION('',(0.,1.,0.)); #401784=DIRECTION('',(0.,1.,0.)); #401785=DIRECTION('center_axis',(1.,0.,0.)); #401786=DIRECTION('ref_axis',(0.,1.,0.)); #401787=DIRECTION('',(0.,1.,0.)); #401788=DIRECTION('',(0.,1.,0.)); #401789=DIRECTION('center_axis',(1.,0.,0.)); #401790=DIRECTION('ref_axis',(0.,1.,0.)); #401791=DIRECTION('',(0.,1.,0.)); #401792=DIRECTION('',(0.,1.,0.)); #401793=DIRECTION('center_axis',(0.,0.,1.)); #401794=DIRECTION('ref_axis',(1.,0.,0.)); #401795=DIRECTION('',(-1.,0.,0.)); #401796=DIRECTION('center_axis',(0.,1.,0.)); #401797=DIRECTION('ref_axis',(-1.,0.,0.)); #401798=DIRECTION('',(-1.,0.,0.)); #401799=DIRECTION('center_axis',(0.,0.,1.)); #401800=DIRECTION('ref_axis',(1.,0.,0.)); #401801=DIRECTION('center_axis',(1.,0.,0.)); #401802=DIRECTION('ref_axis',(0.,1.,0.)); #401803=DIRECTION('',(0.,1.,0.)); #401804=DIRECTION('',(0.,0.,1.)); #401805=DIRECTION('',(0.,1.,0.)); #401806=DIRECTION('',(0.,0.,1.)); #401807=DIRECTION('center_axis',(0.,-1.,0.)); #401808=DIRECTION('ref_axis',(1.,0.,0.)); #401809=DIRECTION('',(1.,0.,0.)); #401810=DIRECTION('',(1.,0.,0.)); #401811=DIRECTION('',(0.,0.,1.)); #401812=DIRECTION('center_axis',(-1.,0.,0.)); #401813=DIRECTION('ref_axis',(0.,-1.,0.)); #401814=DIRECTION('',(0.,-1.,0.)); #401815=DIRECTION('',(0.,-1.,0.)); #401816=DIRECTION('',(0.,0.,1.)); #401817=DIRECTION('center_axis',(0.,1.,0.)); #401818=DIRECTION('ref_axis',(-1.,0.,0.)); #401819=DIRECTION('',(-1.,0.,0.)); #401820=DIRECTION('',(-1.,0.,0.)); #401821=DIRECTION('center_axis',(0.,0.,1.)); #401822=DIRECTION('ref_axis',(1.,0.,0.)); #401823=DIRECTION('center_axis',(0.,0.,1.)); #401824=DIRECTION('ref_axis',(1.,0.,0.)); #401825=DIRECTION('center_axis',(0.,0.,1.)); #401826=DIRECTION('ref_axis',(1.,0.,0.)); #401827=DIRECTION('center_axis',(0.,0.,1.)); #401828=DIRECTION('ref_axis',(1.,0.,0.)); #401829=DIRECTION('',(0.,0.,1.)); #401830=DIRECTION('center_axis',(0.,0.,-1.)); #401831=DIRECTION('ref_axis',(1.,0.,0.)); #401832=DIRECTION('center_axis',(1.,0.,0.)); #401833=DIRECTION('ref_axis',(0.,1.,0.)); #401834=DIRECTION('',(0.,1.,0.)); #401835=DIRECTION('',(0.,0.,1.)); #401836=DIRECTION('',(0.,1.,0.)); #401837=DIRECTION('',(0.,0.,1.)); #401838=DIRECTION('center_axis',(0.,-1.,0.)); #401839=DIRECTION('ref_axis',(1.,0.,0.)); #401840=DIRECTION('',(1.,0.,0.)); #401841=DIRECTION('',(1.,0.,0.)); #401842=DIRECTION('',(0.,0.,1.)); #401843=DIRECTION('center_axis',(-1.,0.,0.)); #401844=DIRECTION('ref_axis',(0.,-1.,0.)); #401845=DIRECTION('',(0.,-1.,0.)); #401846=DIRECTION('',(0.,-1.,0.)); #401847=DIRECTION('',(0.,0.,1.)); #401848=DIRECTION('center_axis',(0.,1.,0.)); #401849=DIRECTION('ref_axis',(-1.,0.,0.)); #401850=DIRECTION('',(-1.,0.,0.)); #401851=DIRECTION('',(-1.,0.,0.)); #401852=DIRECTION('center_axis',(0.,0.,1.)); #401853=DIRECTION('ref_axis',(1.,0.,0.)); #401854=DIRECTION('center_axis',(0.,0.,1.)); #401855=DIRECTION('ref_axis',(1.,0.,0.)); #401856=DIRECTION('center_axis',(1.,0.,0.)); #401857=DIRECTION('ref_axis',(0.,1.,0.)); #401858=DIRECTION('',(0.,1.,0.)); #401859=DIRECTION('',(0.,0.,1.)); #401860=DIRECTION('',(0.,1.,0.)); #401861=DIRECTION('',(0.,0.,1.)); #401862=DIRECTION('center_axis',(0.,-1.,0.)); #401863=DIRECTION('ref_axis',(1.,0.,0.)); #401864=DIRECTION('',(1.,0.,0.)); #401865=DIRECTION('',(1.,0.,0.)); #401866=DIRECTION('',(0.,0.,1.)); #401867=DIRECTION('center_axis',(-1.,0.,0.)); #401868=DIRECTION('ref_axis',(0.,-1.,0.)); #401869=DIRECTION('',(0.,-1.,0.)); #401870=DIRECTION('',(0.,-1.,0.)); #401871=DIRECTION('',(0.,0.,1.)); #401872=DIRECTION('center_axis',(0.,1.,0.)); #401873=DIRECTION('ref_axis',(-1.,0.,0.)); #401874=DIRECTION('',(-1.,0.,0.)); #401875=DIRECTION('',(-1.,0.,0.)); #401876=DIRECTION('center_axis',(0.,0.,1.)); #401877=DIRECTION('ref_axis',(1.,0.,0.)); #401878=DIRECTION('center_axis',(0.,0.,1.)); #401879=DIRECTION('ref_axis',(1.,0.,0.)); #401880=DIRECTION('center_axis',(1.,0.,0.)); #401881=DIRECTION('ref_axis',(0.,1.,0.)); #401882=DIRECTION('',(0.,1.,0.)); #401883=DIRECTION('',(0.,0.,1.)); #401884=DIRECTION('',(0.,1.,0.)); #401885=DIRECTION('',(0.,0.,1.)); #401886=DIRECTION('center_axis',(0.,-1.,0.)); #401887=DIRECTION('ref_axis',(1.,0.,0.)); #401888=DIRECTION('',(1.,0.,0.)); #401889=DIRECTION('',(1.,0.,0.)); #401890=DIRECTION('',(0.,0.,1.)); #401891=DIRECTION('center_axis',(-1.,0.,0.)); #401892=DIRECTION('ref_axis',(0.,-1.,0.)); #401893=DIRECTION('',(0.,-1.,0.)); #401894=DIRECTION('',(0.,-1.,0.)); #401895=DIRECTION('',(0.,0.,1.)); #401896=DIRECTION('center_axis',(0.,1.,0.)); #401897=DIRECTION('ref_axis',(-1.,0.,0.)); #401898=DIRECTION('',(-1.,0.,0.)); #401899=DIRECTION('',(-1.,0.,0.)); #401900=DIRECTION('center_axis',(0.,0.,1.)); #401901=DIRECTION('ref_axis',(1.,0.,0.)); #401902=DIRECTION('center_axis',(0.,0.,1.)); #401903=DIRECTION('ref_axis',(1.,0.,0.)); #401904=DIRECTION('center_axis',(1.,0.,0.)); #401905=DIRECTION('ref_axis',(0.,1.,0.)); #401906=DIRECTION('',(0.,1.,0.)); #401907=DIRECTION('',(0.,0.,1.)); #401908=DIRECTION('',(0.,1.,0.)); #401909=DIRECTION('',(0.,0.,1.)); #401910=DIRECTION('center_axis',(0.,-1.,0.)); #401911=DIRECTION('ref_axis',(1.,0.,0.)); #401912=DIRECTION('',(1.,0.,0.)); #401913=DIRECTION('',(1.,0.,0.)); #401914=DIRECTION('',(0.,0.,1.)); #401915=DIRECTION('center_axis',(-1.,0.,0.)); #401916=DIRECTION('ref_axis',(0.,-1.,0.)); #401917=DIRECTION('',(0.,-1.,0.)); #401918=DIRECTION('',(0.,-1.,0.)); #401919=DIRECTION('',(0.,0.,1.)); #401920=DIRECTION('center_axis',(0.,1.,0.)); #401921=DIRECTION('ref_axis',(-1.,0.,0.)); #401922=DIRECTION('',(-1.,0.,0.)); #401923=DIRECTION('',(-1.,0.,0.)); #401924=DIRECTION('center_axis',(0.,0.,1.)); #401925=DIRECTION('ref_axis',(1.,0.,0.)); #401926=DIRECTION('center_axis',(0.,0.,1.)); #401927=DIRECTION('ref_axis',(1.,0.,0.)); #401928=DIRECTION('center_axis',(0.,0.,1.)); #401929=DIRECTION('ref_axis',(1.,0.,0.)); #401930=DIRECTION('center_axis',(0.,0.,1.)); #401931=DIRECTION('ref_axis',(1.,0.,0.)); #401932=DIRECTION('',(0.,0.,1.)); #401933=DIRECTION('center_axis',(0.,0.,-1.)); #401934=DIRECTION('ref_axis',(1.,0.,0.)); #401935=DIRECTION('center_axis',(1.,0.,0.)); #401936=DIRECTION('ref_axis',(0.,1.,0.)); #401937=DIRECTION('',(0.,1.,0.)); #401938=DIRECTION('',(0.,0.,1.)); #401939=DIRECTION('',(0.,1.,0.)); #401940=DIRECTION('',(0.,0.,1.)); #401941=DIRECTION('center_axis',(0.,-1.,0.)); #401942=DIRECTION('ref_axis',(1.,0.,0.)); #401943=DIRECTION('',(1.,0.,0.)); #401944=DIRECTION('',(1.,0.,0.)); #401945=DIRECTION('',(0.,0.,1.)); #401946=DIRECTION('center_axis',(-1.,0.,0.)); #401947=DIRECTION('ref_axis',(0.,-1.,0.)); #401948=DIRECTION('',(0.,-1.,0.)); #401949=DIRECTION('',(0.,-1.,0.)); #401950=DIRECTION('',(0.,0.,1.)); #401951=DIRECTION('center_axis',(0.,1.,0.)); #401952=DIRECTION('ref_axis',(-1.,0.,0.)); #401953=DIRECTION('',(-1.,0.,0.)); #401954=DIRECTION('',(-1.,0.,0.)); #401955=DIRECTION('center_axis',(0.,0.,1.)); #401956=DIRECTION('ref_axis',(1.,0.,0.)); #401957=DIRECTION('center_axis',(0.,0.,1.)); #401958=DIRECTION('ref_axis',(1.,0.,0.)); #401959=DIRECTION('center_axis',(0.,0.,1.)); #401960=DIRECTION('ref_axis',(1.,0.,0.)); #401961=DIRECTION('center_axis',(0.,0.,1.)); #401962=DIRECTION('ref_axis',(1.,0.,0.)); #401963=DIRECTION('',(0.,0.,1.)); #401964=DIRECTION('center_axis',(0.,0.,-1.)); #401965=DIRECTION('ref_axis',(1.,0.,0.)); #401966=DIRECTION('center_axis',(1.,0.,0.)); #401967=DIRECTION('ref_axis',(0.,1.,0.)); #401968=DIRECTION('',(0.,1.,0.)); #401969=DIRECTION('',(0.,0.,1.)); #401970=DIRECTION('',(0.,1.,0.)); #401971=DIRECTION('',(0.,0.,1.)); #401972=DIRECTION('center_axis',(0.,-1.,0.)); #401973=DIRECTION('ref_axis',(1.,0.,0.)); #401974=DIRECTION('',(1.,0.,0.)); #401975=DIRECTION('',(1.,0.,0.)); #401976=DIRECTION('',(0.,0.,1.)); #401977=DIRECTION('center_axis',(-1.,0.,0.)); #401978=DIRECTION('ref_axis',(0.,-1.,0.)); #401979=DIRECTION('',(0.,-1.,0.)); #401980=DIRECTION('',(0.,-1.,0.)); #401981=DIRECTION('',(0.,0.,1.)); #401982=DIRECTION('center_axis',(0.,1.,0.)); #401983=DIRECTION('ref_axis',(-1.,0.,0.)); #401984=DIRECTION('',(-1.,0.,0.)); #401985=DIRECTION('',(-1.,0.,0.)); #401986=DIRECTION('center_axis',(0.,0.,1.)); #401987=DIRECTION('ref_axis',(1.,0.,0.)); #401988=DIRECTION('center_axis',(0.,0.,1.)); #401989=DIRECTION('ref_axis',(1.,0.,0.)); #401990=DIRECTION('center_axis',(1.,0.,0.)); #401991=DIRECTION('ref_axis',(0.,1.,0.)); #401992=DIRECTION('',(0.,1.,0.)); #401993=DIRECTION('',(0.,0.,1.)); #401994=DIRECTION('',(0.,1.,0.)); #401995=DIRECTION('',(0.,0.,1.)); #401996=DIRECTION('center_axis',(0.,-1.,0.)); #401997=DIRECTION('ref_axis',(1.,0.,0.)); #401998=DIRECTION('',(1.,0.,0.)); #401999=DIRECTION('',(1.,0.,0.)); #402000=DIRECTION('',(0.,0.,1.)); #402001=DIRECTION('center_axis',(-1.,0.,0.)); #402002=DIRECTION('ref_axis',(0.,-1.,0.)); #402003=DIRECTION('',(0.,-1.,0.)); #402004=DIRECTION('',(0.,-1.,0.)); #402005=DIRECTION('',(0.,0.,1.)); #402006=DIRECTION('center_axis',(0.,1.,0.)); #402007=DIRECTION('ref_axis',(-1.,0.,0.)); #402008=DIRECTION('',(-1.,0.,0.)); #402009=DIRECTION('',(-1.,0.,0.)); #402010=DIRECTION('center_axis',(0.,0.,1.)); #402011=DIRECTION('ref_axis',(1.,0.,0.)); #402012=DIRECTION('center_axis',(0.,0.,1.)); #402013=DIRECTION('ref_axis',(1.,0.,0.)); #402014=DIRECTION('center_axis',(1.,0.,0.)); #402015=DIRECTION('ref_axis',(0.,1.,0.)); #402016=DIRECTION('',(0.,1.,0.)); #402017=DIRECTION('',(0.,0.,1.)); #402018=DIRECTION('',(0.,1.,0.)); #402019=DIRECTION('',(0.,0.,1.)); #402020=DIRECTION('center_axis',(0.,-1.,0.)); #402021=DIRECTION('ref_axis',(1.,0.,0.)); #402022=DIRECTION('',(1.,0.,0.)); #402023=DIRECTION('',(1.,0.,0.)); #402024=DIRECTION('',(0.,0.,1.)); #402025=DIRECTION('center_axis',(-1.,0.,0.)); #402026=DIRECTION('ref_axis',(0.,-1.,0.)); #402027=DIRECTION('',(0.,-1.,0.)); #402028=DIRECTION('',(0.,-1.,0.)); #402029=DIRECTION('',(0.,0.,1.)); #402030=DIRECTION('center_axis',(0.,1.,0.)); #402031=DIRECTION('ref_axis',(-1.,0.,0.)); #402032=DIRECTION('',(-1.,0.,0.)); #402033=DIRECTION('',(-1.,0.,0.)); #402034=DIRECTION('center_axis',(0.,0.,1.)); #402035=DIRECTION('ref_axis',(1.,0.,0.)); #402036=DIRECTION('center_axis',(0.,0.,1.)); #402037=DIRECTION('ref_axis',(1.,0.,0.)); #402038=DIRECTION('center_axis',(1.,0.,0.)); #402039=DIRECTION('ref_axis',(0.,1.,0.)); #402040=DIRECTION('',(0.,1.,0.)); #402041=DIRECTION('',(0.,0.,1.)); #402042=DIRECTION('',(0.,1.,0.)); #402043=DIRECTION('',(0.,0.,1.)); #402044=DIRECTION('center_axis',(0.,-1.,0.)); #402045=DIRECTION('ref_axis',(1.,0.,0.)); #402046=DIRECTION('',(1.,0.,0.)); #402047=DIRECTION('',(1.,0.,0.)); #402048=DIRECTION('',(0.,0.,1.)); #402049=DIRECTION('center_axis',(-1.,0.,0.)); #402050=DIRECTION('ref_axis',(0.,-1.,0.)); #402051=DIRECTION('',(0.,-1.,0.)); #402052=DIRECTION('',(0.,-1.,0.)); #402053=DIRECTION('',(0.,0.,1.)); #402054=DIRECTION('center_axis',(0.,1.,0.)); #402055=DIRECTION('ref_axis',(-1.,0.,0.)); #402056=DIRECTION('',(-1.,0.,0.)); #402057=DIRECTION('',(-1.,0.,0.)); #402058=DIRECTION('center_axis',(0.,0.,1.)); #402059=DIRECTION('ref_axis',(1.,0.,0.)); #402060=DIRECTION('center_axis',(0.,0.,1.)); #402061=DIRECTION('ref_axis',(1.,0.,0.)); #402062=DIRECTION('center_axis',(0.,0.,1.)); #402063=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #402064=DIRECTION('',(0.,0.,1.)); #402065=DIRECTION('center_axis',(0.,0.,1.)); #402066=DIRECTION('ref_axis',(1.,0.,0.)); #402067=DIRECTION('',(0.,0.,-1.)); #402068=DIRECTION('center_axis',(0.,0.,-1.)); #402069=DIRECTION('ref_axis',(1.,0.,0.)); #402070=DIRECTION('center_axis',(0.,0.,1.)); #402071=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #402072=DIRECTION('',(0.,0.,1.)); #402073=DIRECTION('center_axis',(0.,0.,1.)); #402074=DIRECTION('ref_axis',(1.,0.,0.)); #402075=DIRECTION('',(0.,0.,-1.)); #402076=DIRECTION('center_axis',(0.,0.,-1.)); #402077=DIRECTION('ref_axis',(1.,0.,0.)); #402078=DIRECTION('center_axis',(0.,0.,1.)); #402079=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #402080=DIRECTION('',(0.,0.,1.)); #402081=DIRECTION('center_axis',(0.,0.,1.)); #402082=DIRECTION('ref_axis',(1.,0.,0.)); #402083=DIRECTION('',(0.,0.,-1.)); #402084=DIRECTION('center_axis',(0.,0.,-1.)); #402085=DIRECTION('ref_axis',(1.,0.,0.)); #402086=DIRECTION('center_axis',(0.,0.,1.)); #402087=DIRECTION('ref_axis',(1.,0.,0.)); #402088=DIRECTION('center_axis',(0.,0.,1.)); #402089=DIRECTION('ref_axis',(1.,0.,0.)); #402090=DIRECTION('',(0.,0.,1.)); #402091=DIRECTION('center_axis',(0.,0.,-1.)); #402092=DIRECTION('ref_axis',(1.,0.,0.)); #402093=DIRECTION('center_axis',(0.,0.,1.)); #402094=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #402095=DIRECTION('',(0.,0.,1.)); #402096=DIRECTION('center_axis',(0.,0.,1.)); #402097=DIRECTION('ref_axis',(1.,0.,0.)); #402098=DIRECTION('',(0.,0.,-1.)); #402099=DIRECTION('center_axis',(0.,0.,-1.)); #402100=DIRECTION('ref_axis',(1.,0.,0.)); #402101=DIRECTION('center_axis',(0.,0.,1.)); #402102=DIRECTION('ref_axis',(1.,0.,0.)); #402103=DIRECTION('center_axis',(0.,0.,1.)); #402104=DIRECTION('ref_axis',(1.,0.,0.)); #402105=DIRECTION('',(0.,0.,1.)); #402106=DIRECTION('center_axis',(0.,0.,-1.)); #402107=DIRECTION('ref_axis',(1.,0.,0.)); #402108=DIRECTION('center_axis',(0.,-1.,0.)); #402109=DIRECTION('ref_axis',(1.,0.,0.)); #402110=DIRECTION('',(1.,0.,0.)); #402111=DIRECTION('',(0.,0.,1.)); #402112=DIRECTION('',(1.,0.,0.)); #402113=DIRECTION('center_axis',(0.,-1.,0.)); #402114=DIRECTION('ref_axis',(1.,0.,0.)); #402115=DIRECTION('',(1.,0.,0.)); #402116=DIRECTION('',(1.,0.,0.)); #402117=DIRECTION('center_axis',(0.,-1.,0.)); #402118=DIRECTION('ref_axis',(1.,0.,0.)); #402119=DIRECTION('',(1.,0.,0.)); #402120=DIRECTION('',(0.,0.,1.)); #402121=DIRECTION('',(1.,0.,0.)); #402122=DIRECTION('center_axis',(0.,1.,0.)); #402123=DIRECTION('ref_axis',(-1.,0.,0.)); #402124=DIRECTION('',(-1.,0.,0.)); #402125=DIRECTION('',(0.,0.,1.)); #402126=DIRECTION('',(-1.,0.,0.)); #402127=DIRECTION('center_axis',(0.,1.,0.)); #402128=DIRECTION('ref_axis',(-1.,0.,0.)); #402129=DIRECTION('',(-1.,0.,0.)); #402130=DIRECTION('',(-1.,0.,0.)); #402131=DIRECTION('center_axis',(0.,1.,0.)); #402132=DIRECTION('ref_axis',(-1.,0.,0.)); #402133=DIRECTION('',(-1.,0.,0.)); #402134=DIRECTION('',(0.,0.,1.)); #402135=DIRECTION('',(-1.,0.,0.)); #402136=DIRECTION('center_axis',(0.,0.,1.)); #402137=DIRECTION('ref_axis',(1.,0.,0.)); #402138=DIRECTION('',(0.,-1.,0.)); #402139=DIRECTION('',(0.,1.,0.)); #402140=DIRECTION('center_axis',(1.,0.,0.)); #402141=DIRECTION('ref_axis',(0.,1.,0.)); #402142=DIRECTION('',(0.,1.,0.)); #402143=DIRECTION('center_axis',(-1.,0.,0.)); #402144=DIRECTION('ref_axis',(0.,-1.,0.)); #402145=DIRECTION('',(0.,-1.,0.)); #402146=DIRECTION('center_axis',(0.,0.,1.)); #402147=DIRECTION('ref_axis',(1.,0.,0.)); #402148=DIRECTION('center_axis',(1.,0.,0.)); #402149=DIRECTION('ref_axis',(0.,1.,0.)); #402150=DIRECTION('',(0.,1.,0.)); #402151=DIRECTION('',(0.,0.,1.)); #402152=DIRECTION('',(0.,1.,0.)); #402153=DIRECTION('',(0.,0.,1.)); #402154=DIRECTION('center_axis',(0.,-1.,0.)); #402155=DIRECTION('ref_axis',(1.,0.,0.)); #402156=DIRECTION('',(1.,0.,0.)); #402157=DIRECTION('',(1.,0.,0.)); #402158=DIRECTION('',(0.,0.,1.)); #402159=DIRECTION('center_axis',(-1.,0.,0.)); #402160=DIRECTION('ref_axis',(0.,-1.,0.)); #402161=DIRECTION('',(0.,-1.,0.)); #402162=DIRECTION('',(0.,-1.,0.)); #402163=DIRECTION('',(0.,0.,1.)); #402164=DIRECTION('center_axis',(0.,1.,0.)); #402165=DIRECTION('ref_axis',(-1.,0.,0.)); #402166=DIRECTION('',(-1.,0.,0.)); #402167=DIRECTION('',(-1.,0.,0.)); #402168=DIRECTION('center_axis',(0.,0.,1.)); #402169=DIRECTION('ref_axis',(1.,0.,0.)); #402170=DIRECTION('center_axis',(0.,0.,1.)); #402171=DIRECTION('ref_axis',(1.,0.,0.)); #402172=DIRECTION('center_axis',(1.,0.,0.)); #402173=DIRECTION('ref_axis',(0.,1.,0.)); #402174=DIRECTION('',(0.,1.,0.)); #402175=DIRECTION('',(0.,0.,1.)); #402176=DIRECTION('',(0.,1.,0.)); #402177=DIRECTION('',(0.,0.,1.)); #402178=DIRECTION('center_axis',(0.,-1.,0.)); #402179=DIRECTION('ref_axis',(1.,0.,0.)); #402180=DIRECTION('',(1.,0.,0.)); #402181=DIRECTION('',(1.,0.,0.)); #402182=DIRECTION('',(0.,0.,1.)); #402183=DIRECTION('center_axis',(-1.,0.,0.)); #402184=DIRECTION('ref_axis',(0.,-1.,0.)); #402185=DIRECTION('',(0.,-1.,0.)); #402186=DIRECTION('',(0.,-1.,0.)); #402187=DIRECTION('',(0.,0.,1.)); #402188=DIRECTION('center_axis',(0.,1.,0.)); #402189=DIRECTION('ref_axis',(-1.,0.,0.)); #402190=DIRECTION('',(-1.,0.,0.)); #402191=DIRECTION('',(-1.,0.,0.)); #402192=DIRECTION('center_axis',(0.,0.,1.)); #402193=DIRECTION('ref_axis',(1.,0.,0.)); #402194=DIRECTION('center_axis',(0.,0.,1.)); #402195=DIRECTION('ref_axis',(1.,0.,0.)); #402196=DIRECTION('center_axis',(1.,0.,0.)); #402197=DIRECTION('ref_axis',(0.,1.,0.)); #402198=DIRECTION('',(0.,1.,0.)); #402199=DIRECTION('',(0.,0.,1.)); #402200=DIRECTION('',(0.,1.,0.)); #402201=DIRECTION('',(0.,0.,1.)); #402202=DIRECTION('center_axis',(0.,-1.,0.)); #402203=DIRECTION('ref_axis',(1.,0.,0.)); #402204=DIRECTION('',(1.,0.,0.)); #402205=DIRECTION('',(1.,0.,0.)); #402206=DIRECTION('',(0.,0.,1.)); #402207=DIRECTION('center_axis',(-1.,0.,0.)); #402208=DIRECTION('ref_axis',(0.,-1.,0.)); #402209=DIRECTION('',(0.,-1.,0.)); #402210=DIRECTION('',(0.,-1.,0.)); #402211=DIRECTION('',(0.,0.,1.)); #402212=DIRECTION('center_axis',(0.,1.,0.)); #402213=DIRECTION('ref_axis',(-1.,0.,0.)); #402214=DIRECTION('',(-1.,0.,0.)); #402215=DIRECTION('',(-1.,0.,0.)); #402216=DIRECTION('center_axis',(0.,0.,1.)); #402217=DIRECTION('ref_axis',(1.,0.,0.)); #402218=DIRECTION('center_axis',(0.,0.,1.)); #402219=DIRECTION('ref_axis',(1.,0.,0.)); #402220=DIRECTION('center_axis',(1.,0.,0.)); #402221=DIRECTION('ref_axis',(0.,1.,0.)); #402222=DIRECTION('',(0.,1.,0.)); #402223=DIRECTION('',(0.,0.,1.)); #402224=DIRECTION('',(0.,1.,0.)); #402225=DIRECTION('',(0.,0.,1.)); #402226=DIRECTION('center_axis',(0.,-1.,0.)); #402227=DIRECTION('ref_axis',(1.,0.,0.)); #402228=DIRECTION('',(1.,0.,0.)); #402229=DIRECTION('',(1.,0.,0.)); #402230=DIRECTION('',(0.,0.,1.)); #402231=DIRECTION('center_axis',(-1.,0.,0.)); #402232=DIRECTION('ref_axis',(0.,-1.,0.)); #402233=DIRECTION('',(0.,-1.,0.)); #402234=DIRECTION('',(0.,-1.,0.)); #402235=DIRECTION('',(0.,0.,1.)); #402236=DIRECTION('center_axis',(0.,1.,0.)); #402237=DIRECTION('ref_axis',(-1.,0.,0.)); #402238=DIRECTION('',(-1.,0.,0.)); #402239=DIRECTION('',(-1.,0.,0.)); #402240=DIRECTION('center_axis',(0.,0.,1.)); #402241=DIRECTION('ref_axis',(1.,0.,0.)); #402242=DIRECTION('center_axis',(0.,0.,1.)); #402243=DIRECTION('ref_axis',(1.,0.,0.)); #402244=DIRECTION('center_axis',(1.,0.,0.)); #402245=DIRECTION('ref_axis',(0.,1.,0.)); #402246=DIRECTION('',(0.,1.,0.)); #402247=DIRECTION('',(0.,0.,1.)); #402248=DIRECTION('',(0.,1.,0.)); #402249=DIRECTION('',(0.,0.,1.)); #402250=DIRECTION('center_axis',(0.,-1.,0.)); #402251=DIRECTION('ref_axis',(1.,0.,0.)); #402252=DIRECTION('',(1.,0.,0.)); #402253=DIRECTION('',(1.,0.,0.)); #402254=DIRECTION('',(0.,0.,1.)); #402255=DIRECTION('center_axis',(-1.,0.,0.)); #402256=DIRECTION('ref_axis',(0.,-1.,0.)); #402257=DIRECTION('',(0.,-1.,0.)); #402258=DIRECTION('',(0.,-1.,0.)); #402259=DIRECTION('',(0.,0.,1.)); #402260=DIRECTION('center_axis',(0.,1.,0.)); #402261=DIRECTION('ref_axis',(-1.,0.,0.)); #402262=DIRECTION('',(-1.,0.,0.)); #402263=DIRECTION('',(-1.,0.,0.)); #402264=DIRECTION('center_axis',(0.,0.,1.)); #402265=DIRECTION('ref_axis',(1.,0.,0.)); #402266=DIRECTION('center_axis',(0.,0.,1.)); #402267=DIRECTION('ref_axis',(1.,0.,0.)); #402268=DIRECTION('center_axis',(1.,0.,0.)); #402269=DIRECTION('ref_axis',(0.,1.,0.)); #402270=DIRECTION('',(0.,1.,0.)); #402271=DIRECTION('',(0.,0.,1.)); #402272=DIRECTION('',(0.,1.,0.)); #402273=DIRECTION('',(0.,0.,1.)); #402274=DIRECTION('center_axis',(0.,-1.,0.)); #402275=DIRECTION('ref_axis',(1.,0.,0.)); #402276=DIRECTION('',(1.,0.,0.)); #402277=DIRECTION('',(1.,0.,0.)); #402278=DIRECTION('',(0.,0.,1.)); #402279=DIRECTION('center_axis',(-1.,0.,0.)); #402280=DIRECTION('ref_axis',(0.,-1.,0.)); #402281=DIRECTION('',(0.,-1.,0.)); #402282=DIRECTION('',(0.,-1.,0.)); #402283=DIRECTION('',(0.,0.,1.)); #402284=DIRECTION('center_axis',(0.,1.,0.)); #402285=DIRECTION('ref_axis',(-1.,0.,0.)); #402286=DIRECTION('',(-1.,0.,0.)); #402287=DIRECTION('',(-1.,0.,0.)); #402288=DIRECTION('center_axis',(0.,0.,1.)); #402289=DIRECTION('ref_axis',(1.,0.,0.)); #402290=DIRECTION('center_axis',(0.,0.,1.)); #402291=DIRECTION('ref_axis',(1.,0.,0.)); #402292=DIRECTION('center_axis',(1.,0.,0.)); #402293=DIRECTION('ref_axis',(0.,1.,0.)); #402294=DIRECTION('',(0.,1.,0.)); #402295=DIRECTION('',(0.,0.,1.)); #402296=DIRECTION('',(0.,1.,0.)); #402297=DIRECTION('',(0.,0.,1.)); #402298=DIRECTION('center_axis',(0.,-1.,0.)); #402299=DIRECTION('ref_axis',(1.,0.,0.)); #402300=DIRECTION('',(1.,0.,0.)); #402301=DIRECTION('',(1.,0.,0.)); #402302=DIRECTION('',(0.,0.,1.)); #402303=DIRECTION('center_axis',(-1.,0.,0.)); #402304=DIRECTION('ref_axis',(0.,-1.,0.)); #402305=DIRECTION('',(0.,-1.,0.)); #402306=DIRECTION('',(0.,-1.,0.)); #402307=DIRECTION('',(0.,0.,1.)); #402308=DIRECTION('center_axis',(0.,1.,0.)); #402309=DIRECTION('ref_axis',(-1.,0.,0.)); #402310=DIRECTION('',(-1.,0.,0.)); #402311=DIRECTION('',(-1.,0.,0.)); #402312=DIRECTION('center_axis',(0.,0.,1.)); #402313=DIRECTION('ref_axis',(1.,0.,0.)); #402314=DIRECTION('center_axis',(0.,0.,1.)); #402315=DIRECTION('ref_axis',(1.,0.,0.)); #402316=DIRECTION('center_axis',(1.,0.,0.)); #402317=DIRECTION('ref_axis',(0.,1.,0.)); #402318=DIRECTION('',(0.,1.,0.)); #402319=DIRECTION('',(0.,0.,1.)); #402320=DIRECTION('',(0.,1.,0.)); #402321=DIRECTION('',(0.,0.,1.)); #402322=DIRECTION('center_axis',(0.,-1.,0.)); #402323=DIRECTION('ref_axis',(1.,0.,0.)); #402324=DIRECTION('',(1.,0.,0.)); #402325=DIRECTION('',(1.,0.,0.)); #402326=DIRECTION('',(0.,0.,1.)); #402327=DIRECTION('center_axis',(-1.,0.,0.)); #402328=DIRECTION('ref_axis',(0.,-1.,0.)); #402329=DIRECTION('',(0.,-1.,0.)); #402330=DIRECTION('',(0.,-1.,0.)); #402331=DIRECTION('',(0.,0.,1.)); #402332=DIRECTION('center_axis',(0.,1.,0.)); #402333=DIRECTION('ref_axis',(-1.,0.,0.)); #402334=DIRECTION('',(-1.,0.,0.)); #402335=DIRECTION('',(-1.,0.,0.)); #402336=DIRECTION('center_axis',(0.,0.,1.)); #402337=DIRECTION('ref_axis',(1.,0.,0.)); #402338=DIRECTION('center_axis',(0.,0.,1.)); #402339=DIRECTION('ref_axis',(1.,0.,0.)); #402340=DIRECTION('center_axis',(1.,0.,0.)); #402341=DIRECTION('ref_axis',(0.,1.,0.)); #402342=DIRECTION('',(0.,1.,0.)); #402343=DIRECTION('',(0.,0.,1.)); #402344=DIRECTION('',(0.,1.,0.)); #402345=DIRECTION('',(0.,0.,1.)); #402346=DIRECTION('center_axis',(0.,-1.,0.)); #402347=DIRECTION('ref_axis',(1.,0.,0.)); #402348=DIRECTION('',(1.,0.,0.)); #402349=DIRECTION('',(1.,0.,0.)); #402350=DIRECTION('',(0.,0.,1.)); #402351=DIRECTION('center_axis',(-1.,0.,0.)); #402352=DIRECTION('ref_axis',(0.,-1.,0.)); #402353=DIRECTION('',(0.,-1.,0.)); #402354=DIRECTION('',(0.,-1.,0.)); #402355=DIRECTION('',(0.,0.,1.)); #402356=DIRECTION('center_axis',(0.,1.,0.)); #402357=DIRECTION('ref_axis',(-1.,0.,0.)); #402358=DIRECTION('',(-1.,0.,0.)); #402359=DIRECTION('',(-1.,0.,0.)); #402360=DIRECTION('center_axis',(0.,0.,1.)); #402361=DIRECTION('ref_axis',(1.,0.,0.)); #402362=DIRECTION('center_axis',(0.,0.,1.)); #402363=DIRECTION('ref_axis',(1.,0.,0.)); #402364=DIRECTION('center_axis',(1.,0.,0.)); #402365=DIRECTION('ref_axis',(0.,1.,0.)); #402366=DIRECTION('',(0.,1.,0.)); #402367=DIRECTION('',(0.,0.,1.)); #402368=DIRECTION('',(0.,1.,0.)); #402369=DIRECTION('',(0.,0.,1.)); #402370=DIRECTION('center_axis',(0.,-1.,0.)); #402371=DIRECTION('ref_axis',(1.,0.,0.)); #402372=DIRECTION('',(1.,0.,0.)); #402373=DIRECTION('',(1.,0.,0.)); #402374=DIRECTION('',(0.,0.,1.)); #402375=DIRECTION('center_axis',(-1.,0.,0.)); #402376=DIRECTION('ref_axis',(0.,-1.,0.)); #402377=DIRECTION('',(0.,-1.,0.)); #402378=DIRECTION('',(0.,-1.,0.)); #402379=DIRECTION('',(0.,0.,1.)); #402380=DIRECTION('center_axis',(0.,1.,0.)); #402381=DIRECTION('ref_axis',(-1.,0.,0.)); #402382=DIRECTION('',(-1.,0.,0.)); #402383=DIRECTION('',(-1.,0.,0.)); #402384=DIRECTION('center_axis',(0.,0.,1.)); #402385=DIRECTION('ref_axis',(1.,0.,0.)); #402386=DIRECTION('center_axis',(0.,0.,1.)); #402387=DIRECTION('ref_axis',(1.,0.,0.)); #402388=DIRECTION('center_axis',(1.,0.,0.)); #402389=DIRECTION('ref_axis',(0.,1.,0.)); #402390=DIRECTION('',(0.,1.,0.)); #402391=DIRECTION('',(0.,0.,1.)); #402392=DIRECTION('',(0.,1.,0.)); #402393=DIRECTION('',(0.,0.,1.)); #402394=DIRECTION('center_axis',(0.,-1.,0.)); #402395=DIRECTION('ref_axis',(1.,0.,0.)); #402396=DIRECTION('',(1.,0.,0.)); #402397=DIRECTION('',(1.,0.,0.)); #402398=DIRECTION('',(0.,0.,1.)); #402399=DIRECTION('center_axis',(-1.,0.,0.)); #402400=DIRECTION('ref_axis',(0.,-1.,0.)); #402401=DIRECTION('',(0.,-1.,0.)); #402402=DIRECTION('',(0.,-1.,0.)); #402403=DIRECTION('',(0.,0.,1.)); #402404=DIRECTION('center_axis',(0.,1.,0.)); #402405=DIRECTION('ref_axis',(-1.,0.,0.)); #402406=DIRECTION('',(-1.,0.,0.)); #402407=DIRECTION('',(-1.,0.,0.)); #402408=DIRECTION('center_axis',(0.,0.,1.)); #402409=DIRECTION('ref_axis',(1.,0.,0.)); #402410=DIRECTION('center_axis',(0.,0.,1.)); #402411=DIRECTION('ref_axis',(1.,0.,0.)); #402412=DIRECTION('center_axis',(1.,0.,0.)); #402413=DIRECTION('ref_axis',(0.,1.,0.)); #402414=DIRECTION('',(0.,1.,0.)); #402415=DIRECTION('',(0.,0.,1.)); #402416=DIRECTION('',(0.,1.,0.)); #402417=DIRECTION('',(0.,0.,1.)); #402418=DIRECTION('center_axis',(0.,-1.,0.)); #402419=DIRECTION('ref_axis',(1.,0.,0.)); #402420=DIRECTION('',(1.,0.,0.)); #402421=DIRECTION('',(1.,0.,0.)); #402422=DIRECTION('',(0.,0.,1.)); #402423=DIRECTION('center_axis',(-1.,0.,0.)); #402424=DIRECTION('ref_axis',(0.,-1.,0.)); #402425=DIRECTION('',(0.,-1.,0.)); #402426=DIRECTION('',(0.,-1.,0.)); #402427=DIRECTION('',(0.,0.,1.)); #402428=DIRECTION('center_axis',(0.,1.,0.)); #402429=DIRECTION('ref_axis',(-1.,0.,0.)); #402430=DIRECTION('',(-1.,0.,0.)); #402431=DIRECTION('',(-1.,0.,0.)); #402432=DIRECTION('center_axis',(0.,0.,1.)); #402433=DIRECTION('ref_axis',(1.,0.,0.)); #402434=DIRECTION('center_axis',(0.,0.,1.)); #402435=DIRECTION('ref_axis',(1.,0.,0.)); #402436=DIRECTION('center_axis',(1.,0.,0.)); #402437=DIRECTION('ref_axis',(0.,1.,0.)); #402438=DIRECTION('',(0.,1.,0.)); #402439=DIRECTION('',(0.,0.,1.)); #402440=DIRECTION('',(0.,1.,0.)); #402441=DIRECTION('',(0.,0.,1.)); #402442=DIRECTION('center_axis',(0.,-1.,0.)); #402443=DIRECTION('ref_axis',(1.,0.,0.)); #402444=DIRECTION('',(1.,0.,0.)); #402445=DIRECTION('',(1.,0.,0.)); #402446=DIRECTION('',(0.,0.,1.)); #402447=DIRECTION('center_axis',(-1.,0.,0.)); #402448=DIRECTION('ref_axis',(0.,-1.,0.)); #402449=DIRECTION('',(0.,-1.,0.)); #402450=DIRECTION('',(0.,-1.,0.)); #402451=DIRECTION('',(0.,0.,1.)); #402452=DIRECTION('center_axis',(0.,1.,0.)); #402453=DIRECTION('ref_axis',(-1.,0.,0.)); #402454=DIRECTION('',(-1.,0.,0.)); #402455=DIRECTION('',(-1.,0.,0.)); #402456=DIRECTION('center_axis',(0.,0.,1.)); #402457=DIRECTION('ref_axis',(1.,0.,0.)); #402458=DIRECTION('center_axis',(0.,0.,1.)); #402459=DIRECTION('ref_axis',(1.,0.,0.)); #402460=DIRECTION('center_axis',(1.,0.,0.)); #402461=DIRECTION('ref_axis',(0.,1.,0.)); #402462=DIRECTION('',(0.,1.,0.)); #402463=DIRECTION('',(0.,0.,1.)); #402464=DIRECTION('',(0.,1.,0.)); #402465=DIRECTION('',(0.,0.,1.)); #402466=DIRECTION('center_axis',(0.,-1.,0.)); #402467=DIRECTION('ref_axis',(1.,0.,0.)); #402468=DIRECTION('',(1.,0.,0.)); #402469=DIRECTION('',(1.,0.,0.)); #402470=DIRECTION('',(0.,0.,1.)); #402471=DIRECTION('center_axis',(-1.,0.,0.)); #402472=DIRECTION('ref_axis',(0.,-1.,0.)); #402473=DIRECTION('',(0.,-1.,0.)); #402474=DIRECTION('',(0.,-1.,0.)); #402475=DIRECTION('',(0.,0.,1.)); #402476=DIRECTION('center_axis',(0.,1.,0.)); #402477=DIRECTION('ref_axis',(-1.,0.,0.)); #402478=DIRECTION('',(-1.,0.,0.)); #402479=DIRECTION('',(-1.,0.,0.)); #402480=DIRECTION('center_axis',(0.,0.,1.)); #402481=DIRECTION('ref_axis',(1.,0.,0.)); #402482=DIRECTION('center_axis',(0.,0.,1.)); #402483=DIRECTION('ref_axis',(1.,0.,0.)); #402484=DIRECTION('center_axis',(1.,0.,0.)); #402485=DIRECTION('ref_axis',(0.,1.,0.)); #402486=DIRECTION('',(0.,1.,0.)); #402487=DIRECTION('',(0.,0.,1.)); #402488=DIRECTION('',(0.,1.,0.)); #402489=DIRECTION('',(0.,0.,1.)); #402490=DIRECTION('center_axis',(0.,-1.,0.)); #402491=DIRECTION('ref_axis',(1.,0.,0.)); #402492=DIRECTION('',(1.,0.,0.)); #402493=DIRECTION('',(1.,0.,0.)); #402494=DIRECTION('',(0.,0.,1.)); #402495=DIRECTION('center_axis',(-1.,0.,0.)); #402496=DIRECTION('ref_axis',(0.,-1.,0.)); #402497=DIRECTION('',(0.,-1.,0.)); #402498=DIRECTION('',(0.,-1.,0.)); #402499=DIRECTION('',(0.,0.,1.)); #402500=DIRECTION('center_axis',(0.,1.,0.)); #402501=DIRECTION('ref_axis',(-1.,0.,0.)); #402502=DIRECTION('',(-1.,0.,0.)); #402503=DIRECTION('',(-1.,0.,0.)); #402504=DIRECTION('center_axis',(0.,0.,1.)); #402505=DIRECTION('ref_axis',(1.,0.,0.)); #402506=DIRECTION('center_axis',(0.,0.,1.)); #402507=DIRECTION('ref_axis',(1.,0.,0.)); #402508=DIRECTION('center_axis',(1.,0.,0.)); #402509=DIRECTION('ref_axis',(0.,1.,0.)); #402510=DIRECTION('',(0.,1.,0.)); #402511=DIRECTION('',(0.,0.,1.)); #402512=DIRECTION('',(0.,1.,0.)); #402513=DIRECTION('',(0.,0.,1.)); #402514=DIRECTION('center_axis',(0.,-1.,0.)); #402515=DIRECTION('ref_axis',(1.,0.,0.)); #402516=DIRECTION('',(1.,0.,0.)); #402517=DIRECTION('',(1.,0.,0.)); #402518=DIRECTION('',(0.,0.,1.)); #402519=DIRECTION('center_axis',(-1.,0.,0.)); #402520=DIRECTION('ref_axis',(0.,-1.,0.)); #402521=DIRECTION('',(0.,-1.,0.)); #402522=DIRECTION('',(0.,-1.,0.)); #402523=DIRECTION('',(0.,0.,1.)); #402524=DIRECTION('center_axis',(0.,1.,0.)); #402525=DIRECTION('ref_axis',(-1.,0.,0.)); #402526=DIRECTION('',(-1.,0.,0.)); #402527=DIRECTION('',(-1.,0.,0.)); #402528=DIRECTION('center_axis',(0.,0.,1.)); #402529=DIRECTION('ref_axis',(1.,0.,0.)); #402530=DIRECTION('center_axis',(0.,0.,1.)); #402531=DIRECTION('ref_axis',(1.,0.,0.)); #402532=DIRECTION('center_axis',(1.,0.,0.)); #402533=DIRECTION('ref_axis',(0.,1.,0.)); #402534=DIRECTION('',(0.,1.,0.)); #402535=DIRECTION('',(0.,0.,1.)); #402536=DIRECTION('',(0.,1.,0.)); #402537=DIRECTION('',(0.,0.,1.)); #402538=DIRECTION('center_axis',(0.,-1.,0.)); #402539=DIRECTION('ref_axis',(1.,0.,0.)); #402540=DIRECTION('',(1.,0.,0.)); #402541=DIRECTION('',(1.,0.,0.)); #402542=DIRECTION('',(0.,0.,1.)); #402543=DIRECTION('center_axis',(-1.,0.,0.)); #402544=DIRECTION('ref_axis',(0.,-1.,0.)); #402545=DIRECTION('',(0.,-1.,0.)); #402546=DIRECTION('',(0.,-1.,0.)); #402547=DIRECTION('',(0.,0.,1.)); #402548=DIRECTION('center_axis',(0.,1.,0.)); #402549=DIRECTION('ref_axis',(-1.,0.,0.)); #402550=DIRECTION('',(-1.,0.,0.)); #402551=DIRECTION('',(-1.,0.,0.)); #402552=DIRECTION('center_axis',(0.,0.,1.)); #402553=DIRECTION('ref_axis',(1.,0.,0.)); #402554=DIRECTION('center_axis',(0.,0.,1.)); #402555=DIRECTION('ref_axis',(1.,0.,0.)); #402556=DIRECTION('center_axis',(1.,0.,0.)); #402557=DIRECTION('ref_axis',(0.,1.,0.)); #402558=DIRECTION('',(0.,1.,0.)); #402559=DIRECTION('',(0.,0.,1.)); #402560=DIRECTION('',(0.,1.,0.)); #402561=DIRECTION('',(0.,0.,1.)); #402562=DIRECTION('center_axis',(0.,-1.,0.)); #402563=DIRECTION('ref_axis',(1.,0.,0.)); #402564=DIRECTION('',(1.,0.,0.)); #402565=DIRECTION('',(1.,0.,0.)); #402566=DIRECTION('',(0.,0.,1.)); #402567=DIRECTION('center_axis',(-1.,0.,0.)); #402568=DIRECTION('ref_axis',(0.,-1.,0.)); #402569=DIRECTION('',(0.,-1.,0.)); #402570=DIRECTION('',(0.,-1.,0.)); #402571=DIRECTION('',(0.,0.,1.)); #402572=DIRECTION('center_axis',(0.,1.,0.)); #402573=DIRECTION('ref_axis',(-1.,0.,0.)); #402574=DIRECTION('',(-1.,0.,0.)); #402575=DIRECTION('',(-1.,0.,0.)); #402576=DIRECTION('center_axis',(0.,0.,1.)); #402577=DIRECTION('ref_axis',(1.,0.,0.)); #402578=DIRECTION('center_axis',(0.,0.,1.)); #402579=DIRECTION('ref_axis',(1.,0.,0.)); #402580=DIRECTION('center_axis',(1.,0.,0.)); #402581=DIRECTION('ref_axis',(0.,1.,0.)); #402582=DIRECTION('',(0.,1.,0.)); #402583=DIRECTION('',(0.,0.,1.)); #402584=DIRECTION('',(0.,1.,0.)); #402585=DIRECTION('',(0.,0.,1.)); #402586=DIRECTION('center_axis',(0.,-1.,0.)); #402587=DIRECTION('ref_axis',(1.,0.,0.)); #402588=DIRECTION('',(1.,0.,0.)); #402589=DIRECTION('',(1.,0.,0.)); #402590=DIRECTION('',(0.,0.,1.)); #402591=DIRECTION('center_axis',(-1.,0.,0.)); #402592=DIRECTION('ref_axis',(0.,-1.,0.)); #402593=DIRECTION('',(0.,-1.,0.)); #402594=DIRECTION('',(0.,-1.,0.)); #402595=DIRECTION('',(0.,0.,1.)); #402596=DIRECTION('center_axis',(0.,1.,0.)); #402597=DIRECTION('ref_axis',(-1.,0.,0.)); #402598=DIRECTION('',(-1.,0.,0.)); #402599=DIRECTION('',(-1.,0.,0.)); #402600=DIRECTION('center_axis',(0.,0.,1.)); #402601=DIRECTION('ref_axis',(1.,0.,0.)); #402602=DIRECTION('center_axis',(0.,0.,1.)); #402603=DIRECTION('ref_axis',(1.,0.,0.)); #402604=DIRECTION('center_axis',(1.,0.,0.)); #402605=DIRECTION('ref_axis',(0.,1.,0.)); #402606=DIRECTION('',(0.,1.,0.)); #402607=DIRECTION('',(0.,0.,1.)); #402608=DIRECTION('',(0.,1.,0.)); #402609=DIRECTION('',(0.,0.,1.)); #402610=DIRECTION('center_axis',(0.,-1.,0.)); #402611=DIRECTION('ref_axis',(1.,0.,0.)); #402612=DIRECTION('',(1.,0.,0.)); #402613=DIRECTION('',(1.,0.,0.)); #402614=DIRECTION('',(0.,0.,1.)); #402615=DIRECTION('center_axis',(-1.,0.,0.)); #402616=DIRECTION('ref_axis',(0.,-1.,0.)); #402617=DIRECTION('',(0.,-1.,0.)); #402618=DIRECTION('',(0.,-1.,0.)); #402619=DIRECTION('',(0.,0.,1.)); #402620=DIRECTION('center_axis',(0.,1.,0.)); #402621=DIRECTION('ref_axis',(-1.,0.,0.)); #402622=DIRECTION('',(-1.,0.,0.)); #402623=DIRECTION('',(-1.,0.,0.)); #402624=DIRECTION('center_axis',(0.,0.,1.)); #402625=DIRECTION('ref_axis',(1.,0.,0.)); #402626=DIRECTION('center_axis',(0.,0.,1.)); #402627=DIRECTION('ref_axis',(1.,0.,0.)); #402628=DIRECTION('center_axis',(1.,0.,0.)); #402629=DIRECTION('ref_axis',(0.,1.,0.)); #402630=DIRECTION('',(0.,1.,0.)); #402631=DIRECTION('',(0.,0.,1.)); #402632=DIRECTION('',(0.,1.,0.)); #402633=DIRECTION('',(0.,0.,1.)); #402634=DIRECTION('center_axis',(0.,-1.,0.)); #402635=DIRECTION('ref_axis',(1.,0.,0.)); #402636=DIRECTION('',(1.,0.,0.)); #402637=DIRECTION('',(1.,0.,0.)); #402638=DIRECTION('',(0.,0.,1.)); #402639=DIRECTION('center_axis',(-1.,0.,0.)); #402640=DIRECTION('ref_axis',(0.,-1.,0.)); #402641=DIRECTION('',(0.,-1.,0.)); #402642=DIRECTION('',(0.,-1.,0.)); #402643=DIRECTION('',(0.,0.,1.)); #402644=DIRECTION('center_axis',(0.,1.,0.)); #402645=DIRECTION('ref_axis',(-1.,0.,0.)); #402646=DIRECTION('',(-1.,0.,0.)); #402647=DIRECTION('',(-1.,0.,0.)); #402648=DIRECTION('center_axis',(0.,0.,1.)); #402649=DIRECTION('ref_axis',(1.,0.,0.)); #402650=DIRECTION('center_axis',(0.,0.,1.)); #402651=DIRECTION('ref_axis',(1.,0.,0.)); #402652=DIRECTION('center_axis',(1.,0.,0.)); #402653=DIRECTION('ref_axis',(0.,1.,0.)); #402654=DIRECTION('',(0.,1.,0.)); #402655=DIRECTION('',(0.,0.,1.)); #402656=DIRECTION('',(0.,1.,0.)); #402657=DIRECTION('',(0.,0.,1.)); #402658=DIRECTION('center_axis',(0.,-1.,0.)); #402659=DIRECTION('ref_axis',(1.,0.,0.)); #402660=DIRECTION('',(1.,0.,0.)); #402661=DIRECTION('',(1.,0.,0.)); #402662=DIRECTION('',(0.,0.,1.)); #402663=DIRECTION('center_axis',(-1.,0.,0.)); #402664=DIRECTION('ref_axis',(0.,-1.,0.)); #402665=DIRECTION('',(0.,-1.,0.)); #402666=DIRECTION('',(0.,-1.,0.)); #402667=DIRECTION('',(0.,0.,1.)); #402668=DIRECTION('center_axis',(0.,1.,0.)); #402669=DIRECTION('ref_axis',(-1.,0.,0.)); #402670=DIRECTION('',(-1.,0.,0.)); #402671=DIRECTION('',(-1.,0.,0.)); #402672=DIRECTION('center_axis',(0.,0.,1.)); #402673=DIRECTION('ref_axis',(1.,0.,0.)); #402674=DIRECTION('center_axis',(0.,0.,1.)); #402675=DIRECTION('ref_axis',(1.,0.,0.)); #402676=DIRECTION('center_axis',(1.,0.,0.)); #402677=DIRECTION('ref_axis',(0.,1.,0.)); #402678=DIRECTION('',(0.,1.,0.)); #402679=DIRECTION('',(0.,0.,1.)); #402680=DIRECTION('',(0.,1.,0.)); #402681=DIRECTION('',(0.,0.,1.)); #402682=DIRECTION('center_axis',(0.,-1.,0.)); #402683=DIRECTION('ref_axis',(1.,0.,0.)); #402684=DIRECTION('',(1.,0.,0.)); #402685=DIRECTION('',(1.,0.,0.)); #402686=DIRECTION('',(0.,0.,1.)); #402687=DIRECTION('center_axis',(-1.,0.,0.)); #402688=DIRECTION('ref_axis',(0.,-1.,0.)); #402689=DIRECTION('',(0.,-1.,0.)); #402690=DIRECTION('',(0.,-1.,0.)); #402691=DIRECTION('',(0.,0.,1.)); #402692=DIRECTION('center_axis',(0.,1.,0.)); #402693=DIRECTION('ref_axis',(-1.,0.,0.)); #402694=DIRECTION('',(-1.,0.,0.)); #402695=DIRECTION('',(-1.,0.,0.)); #402696=DIRECTION('center_axis',(0.,0.,1.)); #402697=DIRECTION('ref_axis',(1.,0.,0.)); #402698=DIRECTION('center_axis',(0.,0.,1.)); #402699=DIRECTION('ref_axis',(1.,0.,0.)); #402700=DIRECTION('center_axis',(1.,0.,0.)); #402701=DIRECTION('ref_axis',(0.,1.,0.)); #402702=DIRECTION('',(0.,1.,0.)); #402703=DIRECTION('',(0.,0.,1.)); #402704=DIRECTION('',(0.,1.,0.)); #402705=DIRECTION('',(0.,0.,1.)); #402706=DIRECTION('center_axis',(0.,-1.,0.)); #402707=DIRECTION('ref_axis',(1.,0.,0.)); #402708=DIRECTION('',(1.,0.,0.)); #402709=DIRECTION('',(1.,0.,0.)); #402710=DIRECTION('',(0.,0.,1.)); #402711=DIRECTION('center_axis',(-1.,0.,0.)); #402712=DIRECTION('ref_axis',(0.,-1.,0.)); #402713=DIRECTION('',(0.,-1.,0.)); #402714=DIRECTION('',(0.,-1.,0.)); #402715=DIRECTION('',(0.,0.,1.)); #402716=DIRECTION('center_axis',(0.,1.,0.)); #402717=DIRECTION('ref_axis',(-1.,0.,0.)); #402718=DIRECTION('',(-1.,0.,0.)); #402719=DIRECTION('',(-1.,0.,0.)); #402720=DIRECTION('center_axis',(0.,0.,1.)); #402721=DIRECTION('ref_axis',(1.,0.,0.)); #402722=DIRECTION('center_axis',(0.,0.,1.)); #402723=DIRECTION('ref_axis',(1.,0.,0.)); #402724=DIRECTION('center_axis',(1.,0.,0.)); #402725=DIRECTION('ref_axis',(0.,1.,0.)); #402726=DIRECTION('',(0.,1.,0.)); #402727=DIRECTION('',(0.,0.,1.)); #402728=DIRECTION('',(0.,1.,0.)); #402729=DIRECTION('',(0.,0.,1.)); #402730=DIRECTION('center_axis',(0.,-1.,0.)); #402731=DIRECTION('ref_axis',(1.,0.,0.)); #402732=DIRECTION('',(1.,0.,0.)); #402733=DIRECTION('',(1.,0.,0.)); #402734=DIRECTION('',(0.,0.,1.)); #402735=DIRECTION('center_axis',(-1.,0.,0.)); #402736=DIRECTION('ref_axis',(0.,-1.,0.)); #402737=DIRECTION('',(0.,-1.,0.)); #402738=DIRECTION('',(0.,-1.,0.)); #402739=DIRECTION('',(0.,0.,1.)); #402740=DIRECTION('center_axis',(0.,1.,0.)); #402741=DIRECTION('ref_axis',(-1.,0.,0.)); #402742=DIRECTION('',(-1.,0.,0.)); #402743=DIRECTION('',(-1.,0.,0.)); #402744=DIRECTION('center_axis',(0.,0.,1.)); #402745=DIRECTION('ref_axis',(1.,0.,0.)); #402746=DIRECTION('center_axis',(0.,0.,1.)); #402747=DIRECTION('ref_axis',(1.,0.,0.)); #402748=DIRECTION('center_axis',(0.,0.,1.)); #402749=DIRECTION('ref_axis',(1.,0.,0.)); #402750=DIRECTION('center_axis',(0.,0.,1.)); #402751=DIRECTION('ref_axis',(1.,0.,0.)); #402752=DIRECTION('',(0.,0.,1.)); #402753=DIRECTION('center_axis',(0.,0.,-1.)); #402754=DIRECTION('ref_axis',(1.,0.,0.)); #402755=DIRECTION('center_axis',(0.,0.,1.)); #402756=DIRECTION('ref_axis',(1.,0.,0.)); #402757=DIRECTION('center_axis',(0.,0.,1.)); #402758=DIRECTION('ref_axis',(1.,0.,0.)); #402759=DIRECTION('',(0.,0.,1.)); #402760=DIRECTION('center_axis',(0.,0.,-1.)); #402761=DIRECTION('ref_axis',(1.,0.,0.)); #402762=DIRECTION('center_axis',(0.,0.,1.)); #402763=DIRECTION('ref_axis',(1.,0.,0.)); #402764=DIRECTION('center_axis',(0.,0.,1.)); #402765=DIRECTION('ref_axis',(1.,0.,0.)); #402766=DIRECTION('',(0.,0.,1.)); #402767=DIRECTION('center_axis',(0.,0.,-1.)); #402768=DIRECTION('ref_axis',(1.,0.,0.)); #402769=DIRECTION('center_axis',(0.,0.,1.)); #402770=DIRECTION('ref_axis',(1.,0.,0.)); #402771=DIRECTION('center_axis',(0.,0.,1.)); #402772=DIRECTION('ref_axis',(1.,0.,0.)); #402773=DIRECTION('',(0.,0.,1.)); #402774=DIRECTION('center_axis',(0.,0.,-1.)); #402775=DIRECTION('ref_axis',(1.,0.,0.)); #402776=DIRECTION('center_axis',(0.,0.,1.)); #402777=DIRECTION('ref_axis',(1.,0.,0.)); #402778=DIRECTION('center_axis',(0.,0.,1.)); #402779=DIRECTION('ref_axis',(1.,0.,0.)); #402780=DIRECTION('',(0.,0.,1.)); #402781=DIRECTION('center_axis',(0.,0.,-1.)); #402782=DIRECTION('ref_axis',(1.,0.,0.)); #402783=DIRECTION('center_axis',(0.,0.,1.)); #402784=DIRECTION('ref_axis',(1.,0.,0.)); #402785=DIRECTION('center_axis',(0.,0.,1.)); #402786=DIRECTION('ref_axis',(1.,0.,0.)); #402787=DIRECTION('',(0.,0.,1.)); #402788=DIRECTION('center_axis',(0.,0.,-1.)); #402789=DIRECTION('ref_axis',(1.,0.,0.)); #402790=DIRECTION('center_axis',(1.,0.,0.)); #402791=DIRECTION('ref_axis',(0.,1.,0.)); #402792=DIRECTION('',(0.,1.,0.)); #402793=DIRECTION('',(0.,0.,1.)); #402794=DIRECTION('',(0.,1.,0.)); #402795=DIRECTION('',(0.,0.,1.)); #402796=DIRECTION('center_axis',(0.,-1.,0.)); #402797=DIRECTION('ref_axis',(1.,0.,0.)); #402798=DIRECTION('',(1.,0.,0.)); #402799=DIRECTION('',(1.,0.,0.)); #402800=DIRECTION('',(0.,0.,1.)); #402801=DIRECTION('center_axis',(-1.,0.,0.)); #402802=DIRECTION('ref_axis',(0.,-1.,0.)); #402803=DIRECTION('',(0.,-1.,0.)); #402804=DIRECTION('',(0.,-1.,0.)); #402805=DIRECTION('',(0.,0.,1.)); #402806=DIRECTION('center_axis',(0.,1.,0.)); #402807=DIRECTION('ref_axis',(-1.,0.,0.)); #402808=DIRECTION('',(-1.,0.,0.)); #402809=DIRECTION('',(-1.,0.,0.)); #402810=DIRECTION('center_axis',(0.,0.,1.)); #402811=DIRECTION('ref_axis',(1.,0.,0.)); #402812=DIRECTION('center_axis',(0.,0.,1.)); #402813=DIRECTION('ref_axis',(1.,0.,0.)); #402814=DIRECTION('center_axis',(1.,0.,0.)); #402815=DIRECTION('ref_axis',(0.,1.,0.)); #402816=DIRECTION('',(0.,1.,0.)); #402817=DIRECTION('',(0.,0.,1.)); #402818=DIRECTION('',(0.,1.,0.)); #402819=DIRECTION('',(0.,0.,1.)); #402820=DIRECTION('center_axis',(0.,-1.,0.)); #402821=DIRECTION('ref_axis',(1.,0.,0.)); #402822=DIRECTION('',(1.,0.,0.)); #402823=DIRECTION('',(1.,0.,0.)); #402824=DIRECTION('',(0.,0.,1.)); #402825=DIRECTION('center_axis',(-1.,0.,0.)); #402826=DIRECTION('ref_axis',(0.,-1.,0.)); #402827=DIRECTION('',(0.,-1.,0.)); #402828=DIRECTION('',(0.,-1.,0.)); #402829=DIRECTION('',(0.,0.,1.)); #402830=DIRECTION('center_axis',(0.,1.,0.)); #402831=DIRECTION('ref_axis',(-1.,0.,0.)); #402832=DIRECTION('',(-1.,0.,0.)); #402833=DIRECTION('',(-1.,0.,0.)); #402834=DIRECTION('center_axis',(0.,0.,1.)); #402835=DIRECTION('ref_axis',(1.,0.,0.)); #402836=DIRECTION('center_axis',(0.,0.,1.)); #402837=DIRECTION('ref_axis',(1.,0.,0.)); #402838=DIRECTION('center_axis',(1.,0.,0.)); #402839=DIRECTION('ref_axis',(0.,1.,0.)); #402840=DIRECTION('',(0.,1.,0.)); #402841=DIRECTION('',(0.,0.,1.)); #402842=DIRECTION('',(0.,1.,0.)); #402843=DIRECTION('',(0.,0.,1.)); #402844=DIRECTION('center_axis',(0.,-1.,0.)); #402845=DIRECTION('ref_axis',(1.,0.,0.)); #402846=DIRECTION('',(1.,0.,0.)); #402847=DIRECTION('',(1.,0.,0.)); #402848=DIRECTION('',(0.,0.,1.)); #402849=DIRECTION('center_axis',(-1.,0.,0.)); #402850=DIRECTION('ref_axis',(0.,-1.,0.)); #402851=DIRECTION('',(0.,-1.,0.)); #402852=DIRECTION('',(0.,-1.,0.)); #402853=DIRECTION('',(0.,0.,1.)); #402854=DIRECTION('center_axis',(0.,1.,0.)); #402855=DIRECTION('ref_axis',(-1.,0.,0.)); #402856=DIRECTION('',(-1.,0.,0.)); #402857=DIRECTION('',(-1.,0.,0.)); #402858=DIRECTION('center_axis',(0.,0.,1.)); #402859=DIRECTION('ref_axis',(1.,0.,0.)); #402860=DIRECTION('center_axis',(0.,0.,1.)); #402861=DIRECTION('ref_axis',(1.,0.,0.)); #402862=DIRECTION('center_axis',(1.,0.,0.)); #402863=DIRECTION('ref_axis',(0.,1.,0.)); #402864=DIRECTION('',(0.,1.,0.)); #402865=DIRECTION('',(0.,0.,1.)); #402866=DIRECTION('',(0.,1.,0.)); #402867=DIRECTION('',(0.,0.,1.)); #402868=DIRECTION('center_axis',(0.,-1.,0.)); #402869=DIRECTION('ref_axis',(1.,0.,0.)); #402870=DIRECTION('',(1.,0.,0.)); #402871=DIRECTION('',(1.,0.,0.)); #402872=DIRECTION('',(0.,0.,1.)); #402873=DIRECTION('center_axis',(-1.,0.,0.)); #402874=DIRECTION('ref_axis',(0.,-1.,0.)); #402875=DIRECTION('',(0.,-1.,0.)); #402876=DIRECTION('',(0.,-1.,0.)); #402877=DIRECTION('',(0.,0.,1.)); #402878=DIRECTION('center_axis',(0.,1.,0.)); #402879=DIRECTION('ref_axis',(-1.,0.,0.)); #402880=DIRECTION('',(-1.,0.,0.)); #402881=DIRECTION('',(-1.,0.,0.)); #402882=DIRECTION('center_axis',(0.,0.,1.)); #402883=DIRECTION('ref_axis',(1.,0.,0.)); #402884=DIRECTION('center_axis',(0.,0.,1.)); #402885=DIRECTION('ref_axis',(1.,0.,0.)); #402886=DIRECTION('center_axis',(1.,0.,0.)); #402887=DIRECTION('ref_axis',(0.,1.,0.)); #402888=DIRECTION('',(0.,1.,0.)); #402889=DIRECTION('',(0.,0.,1.)); #402890=DIRECTION('',(0.,1.,0.)); #402891=DIRECTION('',(0.,0.,1.)); #402892=DIRECTION('center_axis',(0.,-1.,0.)); #402893=DIRECTION('ref_axis',(1.,0.,0.)); #402894=DIRECTION('',(1.,0.,0.)); #402895=DIRECTION('',(1.,0.,0.)); #402896=DIRECTION('',(0.,0.,1.)); #402897=DIRECTION('center_axis',(-1.,0.,0.)); #402898=DIRECTION('ref_axis',(0.,-1.,0.)); #402899=DIRECTION('',(0.,-1.,0.)); #402900=DIRECTION('',(0.,-1.,0.)); #402901=DIRECTION('',(0.,0.,1.)); #402902=DIRECTION('center_axis',(0.,1.,0.)); #402903=DIRECTION('ref_axis',(-1.,0.,0.)); #402904=DIRECTION('',(-1.,0.,0.)); #402905=DIRECTION('',(-1.,0.,0.)); #402906=DIRECTION('center_axis',(0.,0.,1.)); #402907=DIRECTION('ref_axis',(1.,0.,0.)); #402908=DIRECTION('center_axis',(0.,0.,1.)); #402909=DIRECTION('ref_axis',(1.,0.,0.)); #402910=DIRECTION('center_axis',(1.,0.,0.)); #402911=DIRECTION('ref_axis',(0.,1.,0.)); #402912=DIRECTION('',(0.,1.,0.)); #402913=DIRECTION('',(0.,0.,1.)); #402914=DIRECTION('',(0.,1.,0.)); #402915=DIRECTION('',(0.,0.,1.)); #402916=DIRECTION('center_axis',(0.,-1.,0.)); #402917=DIRECTION('ref_axis',(1.,0.,0.)); #402918=DIRECTION('',(1.,0.,0.)); #402919=DIRECTION('',(1.,0.,0.)); #402920=DIRECTION('',(0.,0.,1.)); #402921=DIRECTION('center_axis',(-1.,0.,0.)); #402922=DIRECTION('ref_axis',(0.,-1.,0.)); #402923=DIRECTION('',(0.,-1.,0.)); #402924=DIRECTION('',(0.,-1.,0.)); #402925=DIRECTION('',(0.,0.,1.)); #402926=DIRECTION('center_axis',(0.,1.,0.)); #402927=DIRECTION('ref_axis',(-1.,0.,0.)); #402928=DIRECTION('',(-1.,0.,0.)); #402929=DIRECTION('',(-1.,0.,0.)); #402930=DIRECTION('center_axis',(0.,0.,1.)); #402931=DIRECTION('ref_axis',(1.,0.,0.)); #402932=DIRECTION('center_axis',(0.,0.,1.)); #402933=DIRECTION('ref_axis',(1.,0.,0.)); #402934=DIRECTION('center_axis',(1.,0.,0.)); #402935=DIRECTION('ref_axis',(0.,1.,0.)); #402936=DIRECTION('',(0.,1.,0.)); #402937=DIRECTION('',(0.,0.,1.)); #402938=DIRECTION('',(0.,1.,0.)); #402939=DIRECTION('',(0.,0.,1.)); #402940=DIRECTION('center_axis',(0.,-1.,0.)); #402941=DIRECTION('ref_axis',(1.,0.,0.)); #402942=DIRECTION('',(1.,0.,0.)); #402943=DIRECTION('',(1.,0.,0.)); #402944=DIRECTION('',(0.,0.,1.)); #402945=DIRECTION('center_axis',(-1.,0.,0.)); #402946=DIRECTION('ref_axis',(0.,-1.,0.)); #402947=DIRECTION('',(0.,-1.,0.)); #402948=DIRECTION('',(0.,-1.,0.)); #402949=DIRECTION('',(0.,0.,1.)); #402950=DIRECTION('center_axis',(0.,1.,0.)); #402951=DIRECTION('ref_axis',(-1.,0.,0.)); #402952=DIRECTION('',(-1.,0.,0.)); #402953=DIRECTION('',(-1.,0.,0.)); #402954=DIRECTION('center_axis',(0.,0.,1.)); #402955=DIRECTION('ref_axis',(1.,0.,0.)); #402956=DIRECTION('center_axis',(0.,0.,1.)); #402957=DIRECTION('ref_axis',(1.,0.,0.)); #402958=DIRECTION('center_axis',(1.,0.,0.)); #402959=DIRECTION('ref_axis',(0.,1.,0.)); #402960=DIRECTION('',(0.,1.,0.)); #402961=DIRECTION('',(0.,0.,1.)); #402962=DIRECTION('',(0.,1.,0.)); #402963=DIRECTION('',(0.,0.,1.)); #402964=DIRECTION('center_axis',(0.,-1.,0.)); #402965=DIRECTION('ref_axis',(1.,0.,0.)); #402966=DIRECTION('',(1.,0.,0.)); #402967=DIRECTION('',(1.,0.,0.)); #402968=DIRECTION('',(0.,0.,1.)); #402969=DIRECTION('center_axis',(-1.,0.,0.)); #402970=DIRECTION('ref_axis',(0.,-1.,0.)); #402971=DIRECTION('',(0.,-1.,0.)); #402972=DIRECTION('',(0.,-1.,0.)); #402973=DIRECTION('',(0.,0.,1.)); #402974=DIRECTION('center_axis',(0.,1.,0.)); #402975=DIRECTION('ref_axis',(-1.,0.,0.)); #402976=DIRECTION('',(-1.,0.,0.)); #402977=DIRECTION('',(-1.,0.,0.)); #402978=DIRECTION('center_axis',(0.,0.,1.)); #402979=DIRECTION('ref_axis',(1.,0.,0.)); #402980=DIRECTION('center_axis',(0.,0.,1.)); #402981=DIRECTION('ref_axis',(1.,0.,0.)); #402982=DIRECTION('center_axis',(1.,0.,0.)); #402983=DIRECTION('ref_axis',(0.,1.,0.)); #402984=DIRECTION('',(0.,1.,0.)); #402985=DIRECTION('',(0.,0.,1.)); #402986=DIRECTION('',(0.,1.,0.)); #402987=DIRECTION('',(0.,0.,1.)); #402988=DIRECTION('center_axis',(0.,-1.,0.)); #402989=DIRECTION('ref_axis',(1.,0.,0.)); #402990=DIRECTION('',(1.,0.,0.)); #402991=DIRECTION('',(1.,0.,0.)); #402992=DIRECTION('',(0.,0.,1.)); #402993=DIRECTION('center_axis',(-1.,0.,0.)); #402994=DIRECTION('ref_axis',(0.,-1.,0.)); #402995=DIRECTION('',(0.,-1.,0.)); #402996=DIRECTION('',(0.,-1.,0.)); #402997=DIRECTION('',(0.,0.,1.)); #402998=DIRECTION('center_axis',(0.,1.,0.)); #402999=DIRECTION('ref_axis',(-1.,0.,0.)); #403000=DIRECTION('',(-1.,0.,0.)); #403001=DIRECTION('',(-1.,0.,0.)); #403002=DIRECTION('center_axis',(0.,0.,1.)); #403003=DIRECTION('ref_axis',(1.,0.,0.)); #403004=DIRECTION('center_axis',(0.,0.,1.)); #403005=DIRECTION('ref_axis',(1.,0.,0.)); #403006=DIRECTION('axis',(0.,0.,1.)); #403007=DIRECTION('refdir',(1.,0.,0.)); #403008=DIRECTION('center_axis',(0.,0.,1.)); #403009=DIRECTION('ref_axis',(1.,0.,0.)); #403010=DIRECTION('center_axis',(0.,0.,1.)); #403011=DIRECTION('ref_axis',(1.,0.,0.)); #403012=DIRECTION('',(0.,0.,1.)); #403013=DIRECTION('center_axis',(0.,0.,-1.)); #403014=DIRECTION('ref_axis',(1.,0.,0.)); #403015=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #403016=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #403017=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #403018=DIRECTION('',(0.,0.,1.)); #403019=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #403020=DIRECTION('',(0.,0.,1.)); #403021=DIRECTION('center_axis',(0.,0.,1.)); #403022=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #403023=DIRECTION('center_axis',(0.,0.,1.)); #403024=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #403025=DIRECTION('center_axis',(0.,0.,1.)); #403026=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #403027=DIRECTION('',(0.,0.,1.)); #403028=DIRECTION('center_axis',(0.,0.,1.)); #403029=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #403030=DIRECTION('center_axis',(0.,0.,1.)); #403031=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #403032=DIRECTION('center_axis',(0.,0.,1.)); #403033=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #403034=DIRECTION('center_axis',(0.,0.,1.)); #403035=DIRECTION('ref_axis',(1.,0.,0.)); #403036=DIRECTION('center_axis',(0.,0.,1.)); #403037=DIRECTION('ref_axis',(1.,0.,0.)); #403038=DIRECTION('center_axis',(1.,0.,0.)); #403039=DIRECTION('ref_axis',(0.,1.,0.)); #403040=DIRECTION('',(0.,1.,0.)); #403041=DIRECTION('',(0.,0.,1.)); #403042=DIRECTION('',(0.,1.,0.)); #403043=DIRECTION('',(0.,0.,1.)); #403044=DIRECTION('center_axis',(0.,-1.,0.)); #403045=DIRECTION('ref_axis',(1.,0.,0.)); #403046=DIRECTION('',(1.,0.,0.)); #403047=DIRECTION('',(1.,0.,0.)); #403048=DIRECTION('',(0.,0.,1.)); #403049=DIRECTION('center_axis',(-1.,0.,0.)); #403050=DIRECTION('ref_axis',(0.,-1.,0.)); #403051=DIRECTION('',(0.,-1.,0.)); #403052=DIRECTION('',(0.,-1.,0.)); #403053=DIRECTION('',(0.,0.,1.)); #403054=DIRECTION('center_axis',(0.,1.,0.)); #403055=DIRECTION('ref_axis',(-1.,0.,0.)); #403056=DIRECTION('',(-1.,0.,0.)); #403057=DIRECTION('',(-1.,0.,0.)); #403058=DIRECTION('center_axis',(0.,0.,1.)); #403059=DIRECTION('ref_axis',(1.,0.,0.)); #403060=DIRECTION('center_axis',(0.,0.,1.)); #403061=DIRECTION('ref_axis',(1.,0.,0.)); #403062=DIRECTION('center_axis',(1.,0.,0.)); #403063=DIRECTION('ref_axis',(0.,1.,0.)); #403064=DIRECTION('',(0.,1.,0.)); #403065=DIRECTION('',(0.,0.,1.)); #403066=DIRECTION('',(0.,1.,0.)); #403067=DIRECTION('',(0.,0.,1.)); #403068=DIRECTION('center_axis',(0.,-1.,0.)); #403069=DIRECTION('ref_axis',(1.,0.,0.)); #403070=DIRECTION('',(1.,0.,0.)); #403071=DIRECTION('',(1.,0.,0.)); #403072=DIRECTION('',(0.,0.,1.)); #403073=DIRECTION('center_axis',(-1.,0.,0.)); #403074=DIRECTION('ref_axis',(0.,-1.,0.)); #403075=DIRECTION('',(0.,-1.,0.)); #403076=DIRECTION('',(0.,-1.,0.)); #403077=DIRECTION('',(0.,0.,1.)); #403078=DIRECTION('center_axis',(0.,1.,0.)); #403079=DIRECTION('ref_axis',(-1.,0.,0.)); #403080=DIRECTION('',(-1.,0.,0.)); #403081=DIRECTION('',(-1.,0.,0.)); #403082=DIRECTION('center_axis',(0.,0.,1.)); #403083=DIRECTION('ref_axis',(1.,0.,0.)); #403084=DIRECTION('center_axis',(0.,0.,1.)); #403085=DIRECTION('ref_axis',(1.,0.,0.)); #403086=DIRECTION('center_axis',(0.,0.,1.)); #403087=DIRECTION('ref_axis',(1.,0.,0.)); #403088=DIRECTION('center_axis',(0.,0.,1.)); #403089=DIRECTION('ref_axis',(1.,0.,0.)); #403090=DIRECTION('',(0.,0.,1.)); #403091=DIRECTION('center_axis',(0.,0.,-1.)); #403092=DIRECTION('ref_axis',(1.,0.,0.)); #403093=DIRECTION('center_axis',(0.,0.,1.)); #403094=DIRECTION('ref_axis',(1.,0.,0.)); #403095=DIRECTION('center_axis',(0.,0.,1.)); #403096=DIRECTION('ref_axis',(1.,0.,0.)); #403097=DIRECTION('',(0.,0.,1.)); #403098=DIRECTION('center_axis',(0.,0.,-1.)); #403099=DIRECTION('ref_axis',(1.,0.,0.)); #403100=DIRECTION('center_axis',(1.,0.,0.)); #403101=DIRECTION('ref_axis',(0.,1.,0.)); #403102=DIRECTION('',(0.,1.,0.)); #403103=DIRECTION('',(0.,0.,1.)); #403104=DIRECTION('',(0.,1.,0.)); #403105=DIRECTION('',(0.,0.,1.)); #403106=DIRECTION('center_axis',(0.,-1.,0.)); #403107=DIRECTION('ref_axis',(1.,0.,0.)); #403108=DIRECTION('',(1.,0.,0.)); #403109=DIRECTION('',(1.,0.,0.)); #403110=DIRECTION('',(0.,0.,1.)); #403111=DIRECTION('center_axis',(-1.,0.,0.)); #403112=DIRECTION('ref_axis',(0.,-1.,0.)); #403113=DIRECTION('',(0.,-1.,0.)); #403114=DIRECTION('',(0.,-1.,0.)); #403115=DIRECTION('',(0.,0.,1.)); #403116=DIRECTION('center_axis',(0.,1.,0.)); #403117=DIRECTION('ref_axis',(-1.,0.,0.)); #403118=DIRECTION('',(-1.,0.,0.)); #403119=DIRECTION('',(-1.,0.,0.)); #403120=DIRECTION('center_axis',(0.,0.,1.)); #403121=DIRECTION('ref_axis',(1.,0.,0.)); #403122=DIRECTION('center_axis',(0.,0.,1.)); #403123=DIRECTION('ref_axis',(1.,0.,0.)); #403124=DIRECTION('center_axis',(1.,0.,0.)); #403125=DIRECTION('ref_axis',(0.,1.,0.)); #403126=DIRECTION('',(0.,1.,0.)); #403127=DIRECTION('',(0.,0.,1.)); #403128=DIRECTION('',(0.,1.,0.)); #403129=DIRECTION('',(0.,0.,1.)); #403130=DIRECTION('center_axis',(0.,-1.,0.)); #403131=DIRECTION('ref_axis',(1.,0.,0.)); #403132=DIRECTION('',(1.,0.,0.)); #403133=DIRECTION('',(1.,0.,0.)); #403134=DIRECTION('',(0.,0.,1.)); #403135=DIRECTION('center_axis',(-1.,0.,0.)); #403136=DIRECTION('ref_axis',(0.,-1.,0.)); #403137=DIRECTION('',(0.,-1.,0.)); #403138=DIRECTION('',(0.,-1.,0.)); #403139=DIRECTION('',(0.,0.,1.)); #403140=DIRECTION('center_axis',(0.,1.,0.)); #403141=DIRECTION('ref_axis',(-1.,0.,0.)); #403142=DIRECTION('',(-1.,0.,0.)); #403143=DIRECTION('',(-1.,0.,0.)); #403144=DIRECTION('center_axis',(0.,0.,1.)); #403145=DIRECTION('ref_axis',(1.,0.,0.)); #403146=DIRECTION('center_axis',(0.,0.,1.)); #403147=DIRECTION('ref_axis',(1.,0.,0.)); #403148=DIRECTION('center_axis',(0.,0.,1.)); #403149=DIRECTION('ref_axis',(1.,0.,0.)); #403150=DIRECTION('center_axis',(0.,0.,1.)); #403151=DIRECTION('ref_axis',(1.,0.,0.)); #403152=DIRECTION('',(0.,0.,1.)); #403153=DIRECTION('center_axis',(0.,0.,-1.)); #403154=DIRECTION('ref_axis',(1.,0.,0.)); #403155=DIRECTION('center_axis',(0.,0.,1.)); #403156=DIRECTION('ref_axis',(1.,0.,0.)); #403157=DIRECTION('center_axis',(0.,0.,1.)); #403158=DIRECTION('ref_axis',(1.,0.,0.)); #403159=DIRECTION('',(0.,0.,1.)); #403160=DIRECTION('center_axis',(0.,0.,-1.)); #403161=DIRECTION('ref_axis',(1.,0.,0.)); #403162=DIRECTION('center_axis',(1.,0.,0.)); #403163=DIRECTION('ref_axis',(0.,1.,0.)); #403164=DIRECTION('',(0.,1.,0.)); #403165=DIRECTION('',(0.,0.,1.)); #403166=DIRECTION('',(0.,1.,0.)); #403167=DIRECTION('',(0.,0.,1.)); #403168=DIRECTION('center_axis',(0.,-1.,0.)); #403169=DIRECTION('ref_axis',(1.,0.,0.)); #403170=DIRECTION('',(1.,0.,0.)); #403171=DIRECTION('',(1.,0.,0.)); #403172=DIRECTION('',(0.,0.,1.)); #403173=DIRECTION('center_axis',(-1.,0.,0.)); #403174=DIRECTION('ref_axis',(0.,-1.,0.)); #403175=DIRECTION('',(0.,-1.,0.)); #403176=DIRECTION('',(0.,-1.,0.)); #403177=DIRECTION('',(0.,0.,1.)); #403178=DIRECTION('center_axis',(0.,1.,0.)); #403179=DIRECTION('ref_axis',(-1.,0.,0.)); #403180=DIRECTION('',(-1.,0.,0.)); #403181=DIRECTION('',(-1.,0.,0.)); #403182=DIRECTION('center_axis',(0.,0.,1.)); #403183=DIRECTION('ref_axis',(1.,0.,0.)); #403184=DIRECTION('center_axis',(0.,0.,1.)); #403185=DIRECTION('ref_axis',(1.,0.,0.)); #403186=DIRECTION('center_axis',(1.,0.,0.)); #403187=DIRECTION('ref_axis',(0.,1.,0.)); #403188=DIRECTION('',(0.,1.,0.)); #403189=DIRECTION('',(0.,0.,1.)); #403190=DIRECTION('',(0.,1.,0.)); #403191=DIRECTION('',(0.,0.,1.)); #403192=DIRECTION('center_axis',(0.,-1.,0.)); #403193=DIRECTION('ref_axis',(1.,0.,0.)); #403194=DIRECTION('',(1.,0.,0.)); #403195=DIRECTION('',(1.,0.,0.)); #403196=DIRECTION('',(0.,0.,1.)); #403197=DIRECTION('center_axis',(-1.,0.,0.)); #403198=DIRECTION('ref_axis',(0.,-1.,0.)); #403199=DIRECTION('',(0.,-1.,0.)); #403200=DIRECTION('',(0.,-1.,0.)); #403201=DIRECTION('',(0.,0.,1.)); #403202=DIRECTION('center_axis',(0.,1.,0.)); #403203=DIRECTION('ref_axis',(-1.,0.,0.)); #403204=DIRECTION('',(-1.,0.,0.)); #403205=DIRECTION('',(-1.,0.,0.)); #403206=DIRECTION('center_axis',(0.,0.,1.)); #403207=DIRECTION('ref_axis',(1.,0.,0.)); #403208=DIRECTION('center_axis',(0.,0.,1.)); #403209=DIRECTION('ref_axis',(1.,0.,0.)); #403210=DIRECTION('center_axis',(0.,0.,1.)); #403211=DIRECTION('ref_axis',(1.,0.,0.)); #403212=DIRECTION('center_axis',(0.,0.,1.)); #403213=DIRECTION('ref_axis',(1.,0.,0.)); #403214=DIRECTION('',(0.,0.,1.)); #403215=DIRECTION('center_axis',(0.,0.,-1.)); #403216=DIRECTION('ref_axis',(1.,0.,0.)); #403217=DIRECTION('center_axis',(0.,0.,1.)); #403218=DIRECTION('ref_axis',(1.,0.,0.)); #403219=DIRECTION('center_axis',(0.,0.,1.)); #403220=DIRECTION('ref_axis',(1.,0.,0.)); #403221=DIRECTION('',(0.,0.,1.)); #403222=DIRECTION('center_axis',(0.,0.,-1.)); #403223=DIRECTION('ref_axis',(1.,0.,0.)); #403224=DIRECTION('center_axis',(1.,0.,0.)); #403225=DIRECTION('ref_axis',(0.,1.,0.)); #403226=DIRECTION('',(0.,1.,0.)); #403227=DIRECTION('',(0.,0.,1.)); #403228=DIRECTION('',(0.,1.,0.)); #403229=DIRECTION('',(0.,0.,1.)); #403230=DIRECTION('center_axis',(0.,-1.,0.)); #403231=DIRECTION('ref_axis',(1.,0.,0.)); #403232=DIRECTION('',(1.,0.,0.)); #403233=DIRECTION('',(1.,0.,0.)); #403234=DIRECTION('',(0.,0.,1.)); #403235=DIRECTION('center_axis',(-1.,0.,0.)); #403236=DIRECTION('ref_axis',(0.,-1.,0.)); #403237=DIRECTION('',(0.,-1.,0.)); #403238=DIRECTION('',(0.,-1.,0.)); #403239=DIRECTION('',(0.,0.,1.)); #403240=DIRECTION('center_axis',(0.,1.,0.)); #403241=DIRECTION('ref_axis',(-1.,0.,0.)); #403242=DIRECTION('',(-1.,0.,0.)); #403243=DIRECTION('',(-1.,0.,0.)); #403244=DIRECTION('center_axis',(0.,0.,1.)); #403245=DIRECTION('ref_axis',(1.,0.,0.)); #403246=DIRECTION('center_axis',(0.,0.,1.)); #403247=DIRECTION('ref_axis',(1.,0.,0.)); #403248=DIRECTION('center_axis',(1.,0.,0.)); #403249=DIRECTION('ref_axis',(0.,1.,0.)); #403250=DIRECTION('',(0.,1.,0.)); #403251=DIRECTION('',(0.,0.,1.)); #403252=DIRECTION('',(0.,1.,0.)); #403253=DIRECTION('',(0.,0.,1.)); #403254=DIRECTION('center_axis',(0.,-1.,0.)); #403255=DIRECTION('ref_axis',(1.,0.,0.)); #403256=DIRECTION('',(1.,0.,0.)); #403257=DIRECTION('',(1.,0.,0.)); #403258=DIRECTION('',(0.,0.,1.)); #403259=DIRECTION('center_axis',(-1.,0.,0.)); #403260=DIRECTION('ref_axis',(0.,-1.,0.)); #403261=DIRECTION('',(0.,-1.,0.)); #403262=DIRECTION('',(0.,-1.,0.)); #403263=DIRECTION('',(0.,0.,1.)); #403264=DIRECTION('center_axis',(0.,1.,0.)); #403265=DIRECTION('ref_axis',(-1.,0.,0.)); #403266=DIRECTION('',(-1.,0.,0.)); #403267=DIRECTION('',(-1.,0.,0.)); #403268=DIRECTION('center_axis',(0.,0.,1.)); #403269=DIRECTION('ref_axis',(1.,0.,0.)); #403270=DIRECTION('center_axis',(0.,0.,1.)); #403271=DIRECTION('ref_axis',(1.,0.,0.)); #403272=DIRECTION('center_axis',(0.,0.,1.)); #403273=DIRECTION('ref_axis',(1.,0.,0.)); #403274=DIRECTION('center_axis',(0.,0.,1.)); #403275=DIRECTION('ref_axis',(1.,0.,0.)); #403276=DIRECTION('',(0.,0.,1.)); #403277=DIRECTION('center_axis',(0.,0.,-1.)); #403278=DIRECTION('ref_axis',(1.,0.,0.)); #403279=DIRECTION('center_axis',(0.,0.,1.)); #403280=DIRECTION('ref_axis',(1.,0.,0.)); #403281=DIRECTION('center_axis',(0.,0.,1.)); #403282=DIRECTION('ref_axis',(1.,0.,0.)); #403283=DIRECTION('',(0.,0.,1.)); #403284=DIRECTION('center_axis',(0.,0.,-1.)); #403285=DIRECTION('ref_axis',(1.,0.,0.)); #403286=DIRECTION('center_axis',(1.,0.,0.)); #403287=DIRECTION('ref_axis',(0.,1.,0.)); #403288=DIRECTION('',(0.,1.,0.)); #403289=DIRECTION('',(0.,0.,1.)); #403290=DIRECTION('',(0.,1.,0.)); #403291=DIRECTION('',(0.,0.,1.)); #403292=DIRECTION('center_axis',(0.,-1.,0.)); #403293=DIRECTION('ref_axis',(1.,0.,0.)); #403294=DIRECTION('',(1.,0.,0.)); #403295=DIRECTION('',(1.,0.,0.)); #403296=DIRECTION('',(0.,0.,1.)); #403297=DIRECTION('center_axis',(-1.,0.,0.)); #403298=DIRECTION('ref_axis',(0.,-1.,0.)); #403299=DIRECTION('',(0.,-1.,0.)); #403300=DIRECTION('',(0.,-1.,0.)); #403301=DIRECTION('',(0.,0.,1.)); #403302=DIRECTION('center_axis',(0.,1.,0.)); #403303=DIRECTION('ref_axis',(-1.,0.,0.)); #403304=DIRECTION('',(-1.,0.,0.)); #403305=DIRECTION('',(-1.,0.,0.)); #403306=DIRECTION('center_axis',(0.,0.,1.)); #403307=DIRECTION('ref_axis',(1.,0.,0.)); #403308=DIRECTION('center_axis',(0.,0.,1.)); #403309=DIRECTION('ref_axis',(1.,0.,0.)); #403310=DIRECTION('center_axis',(1.,0.,0.)); #403311=DIRECTION('ref_axis',(0.,1.,0.)); #403312=DIRECTION('',(0.,1.,0.)); #403313=DIRECTION('',(0.,0.,1.)); #403314=DIRECTION('',(0.,1.,0.)); #403315=DIRECTION('',(0.,0.,1.)); #403316=DIRECTION('center_axis',(0.,-1.,0.)); #403317=DIRECTION('ref_axis',(1.,0.,0.)); #403318=DIRECTION('',(1.,0.,0.)); #403319=DIRECTION('',(1.,0.,0.)); #403320=DIRECTION('',(0.,0.,1.)); #403321=DIRECTION('center_axis',(-1.,0.,0.)); #403322=DIRECTION('ref_axis',(0.,-1.,0.)); #403323=DIRECTION('',(0.,-1.,0.)); #403324=DIRECTION('',(0.,-1.,0.)); #403325=DIRECTION('',(0.,0.,1.)); #403326=DIRECTION('center_axis',(0.,1.,0.)); #403327=DIRECTION('ref_axis',(-1.,0.,0.)); #403328=DIRECTION('',(-1.,0.,0.)); #403329=DIRECTION('',(-1.,0.,0.)); #403330=DIRECTION('center_axis',(0.,0.,1.)); #403331=DIRECTION('ref_axis',(1.,0.,0.)); #403332=DIRECTION('center_axis',(0.,0.,1.)); #403333=DIRECTION('ref_axis',(1.,0.,0.)); #403334=DIRECTION('center_axis',(1.,0.,0.)); #403335=DIRECTION('ref_axis',(0.,1.,0.)); #403336=DIRECTION('',(0.,1.,0.)); #403337=DIRECTION('',(0.,0.,1.)); #403338=DIRECTION('',(0.,1.,0.)); #403339=DIRECTION('',(0.,0.,1.)); #403340=DIRECTION('center_axis',(0.,-1.,0.)); #403341=DIRECTION('ref_axis',(1.,0.,0.)); #403342=DIRECTION('',(1.,0.,0.)); #403343=DIRECTION('',(1.,0.,0.)); #403344=DIRECTION('',(0.,0.,1.)); #403345=DIRECTION('center_axis',(-1.,0.,0.)); #403346=DIRECTION('ref_axis',(0.,-1.,0.)); #403347=DIRECTION('',(0.,-1.,0.)); #403348=DIRECTION('',(0.,-1.,0.)); #403349=DIRECTION('',(0.,0.,1.)); #403350=DIRECTION('center_axis',(0.,1.,0.)); #403351=DIRECTION('ref_axis',(-1.,0.,0.)); #403352=DIRECTION('',(-1.,0.,0.)); #403353=DIRECTION('',(-1.,0.,0.)); #403354=DIRECTION('',(0.,0.,1.)); #403355=DIRECTION('center_axis',(-1.,0.,0.)); #403356=DIRECTION('ref_axis',(0.,-1.,0.)); #403357=DIRECTION('',(0.,-1.,0.)); #403358=DIRECTION('',(0.,-1.,0.)); #403359=DIRECTION('',(0.,0.,1.)); #403360=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403361=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403362=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403363=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403364=DIRECTION('',(0.,0.,1.)); #403365=DIRECTION('center_axis',(0.,0.,1.)); #403366=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #403367=DIRECTION('center_axis',(0.,0.,1.)); #403368=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #403369=DIRECTION('center_axis',(0.,0.,1.)); #403370=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #403371=DIRECTION('',(0.,0.,1.)); #403372=DIRECTION('center_axis',(-1.,0.,0.)); #403373=DIRECTION('ref_axis',(0.,-1.,0.)); #403374=DIRECTION('',(0.,-1.,0.)); #403375=DIRECTION('',(0.,-1.,0.)); #403376=DIRECTION('',(0.,0.,1.)); #403377=DIRECTION('center_axis',(0.,-1.,0.)); #403378=DIRECTION('ref_axis',(1.,0.,0.)); #403379=DIRECTION('',(1.,0.,0.)); #403380=DIRECTION('',(1.,0.,0.)); #403381=DIRECTION('',(0.,0.,1.)); #403382=DIRECTION('center_axis',(-1.,0.,0.)); #403383=DIRECTION('ref_axis',(0.,-1.,0.)); #403384=DIRECTION('',(0.,-1.,0.)); #403385=DIRECTION('',(0.,-1.,0.)); #403386=DIRECTION('',(0.,0.,1.)); #403387=DIRECTION('center_axis',(0.,1.,0.)); #403388=DIRECTION('ref_axis',(-1.,0.,0.)); #403389=DIRECTION('',(-1.,0.,0.)); #403390=DIRECTION('',(-1.,0.,0.)); #403391=DIRECTION('',(0.,0.,1.)); #403392=DIRECTION('center_axis',(-0.675122035826655,0.737706063917921,0.)); #403393=DIRECTION('ref_axis',(-0.737706063917921,-0.675122035826655,0.)); #403394=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #403395=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #403396=DIRECTION('',(0.,0.,1.)); #403397=DIRECTION('center_axis',(0.,0.,1.)); #403398=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #403399=DIRECTION('center_axis',(0.,0.,1.)); #403400=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #403401=DIRECTION('center_axis',(0.,0.,1.)); #403402=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #403403=DIRECTION('',(0.,0.,1.)); #403404=DIRECTION('center_axis',(0.,1.,0.)); #403405=DIRECTION('ref_axis',(-1.,0.,0.)); #403406=DIRECTION('',(-1.,0.,0.)); #403407=DIRECTION('',(-1.,0.,0.)); #403408=DIRECTION('',(0.,0.,1.)); #403409=DIRECTION('center_axis',(-0.690650507348324,0.723188686788934,0.)); #403410=DIRECTION('ref_axis',(-0.723188686788934,-0.690650507348324,0.)); #403411=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #403412=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #403413=DIRECTION('',(0.,0.,1.)); #403414=DIRECTION('center_axis',(0.,0.,1.)); #403415=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #403416=DIRECTION('center_axis',(0.,0.,1.)); #403417=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #403418=DIRECTION('center_axis',(0.,0.,1.)); #403419=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #403420=DIRECTION('',(0.,0.,1.)); #403421=DIRECTION('center_axis',(0.,1.,0.)); #403422=DIRECTION('ref_axis',(-1.,0.,0.)); #403423=DIRECTION('',(-1.,0.,0.)); #403424=DIRECTION('',(-1.,0.,0.)); #403425=DIRECTION('',(0.,0.,1.)); #403426=DIRECTION('center_axis',(-1.,0.,0.)); #403427=DIRECTION('ref_axis',(0.,-1.,0.)); #403428=DIRECTION('',(0.,-1.,0.)); #403429=DIRECTION('',(0.,-1.,0.)); #403430=DIRECTION('',(0.,0.,1.)); #403431=DIRECTION('center_axis',(0.,1.,0.)); #403432=DIRECTION('ref_axis',(-1.,0.,0.)); #403433=DIRECTION('',(-1.,0.,0.)); #403434=DIRECTION('',(-1.,0.,0.)); #403435=DIRECTION('',(0.,0.,1.)); #403436=DIRECTION('center_axis',(1.,0.,0.)); #403437=DIRECTION('ref_axis',(0.,1.,0.)); #403438=DIRECTION('',(0.,1.,0.)); #403439=DIRECTION('',(0.,1.,0.)); #403440=DIRECTION('',(0.,0.,1.)); #403441=DIRECTION('center_axis',(0.719516601527449,0.694475240830363,0.)); #403442=DIRECTION('ref_axis',(-0.694475240830363,0.719516601527449,0.)); #403443=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #403444=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #403445=DIRECTION('',(0.,0.,1.)); #403446=DIRECTION('center_axis',(0.,1.,0.)); #403447=DIRECTION('ref_axis',(-1.,0.,0.)); #403448=DIRECTION('',(-1.,0.,0.)); #403449=DIRECTION('',(-1.,0.,0.)); #403450=DIRECTION('',(0.,0.,1.)); #403451=DIRECTION('center_axis',(-0.713284880872908,0.700874224606756,0.)); #403452=DIRECTION('ref_axis',(-0.700874224606756,-0.713284880872908,0.)); #403453=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #403454=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #403455=DIRECTION('',(0.,0.,1.)); #403456=DIRECTION('center_axis',(0.,0.,1.)); #403457=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #403458=DIRECTION('center_axis',(0.,0.,1.)); #403459=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #403460=DIRECTION('center_axis',(0.,0.,1.)); #403461=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #403462=DIRECTION('',(0.,0.,1.)); #403463=DIRECTION('center_axis',(0.,1.,0.)); #403464=DIRECTION('ref_axis',(-1.,0.,0.)); #403465=DIRECTION('',(-1.,0.,0.)); #403466=DIRECTION('',(-1.,0.,0.)); #403467=DIRECTION('',(0.,0.,1.)); #403468=DIRECTION('center_axis',(0.,0.,1.)); #403469=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #403470=DIRECTION('center_axis',(0.,0.,1.)); #403471=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #403472=DIRECTION('center_axis',(0.,0.,1.)); #403473=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #403474=DIRECTION('',(0.,0.,1.)); #403475=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #403476=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #403477=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #403478=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #403479=DIRECTION('',(0.,0.,1.)); #403480=DIRECTION('center_axis',(0.,1.,0.)); #403481=DIRECTION('ref_axis',(-1.,0.,0.)); #403482=DIRECTION('',(-1.,0.,0.)); #403483=DIRECTION('',(-1.,0.,0.)); #403484=DIRECTION('',(0.,0.,1.)); #403485=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #403486=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #403487=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #403488=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #403489=DIRECTION('',(0.,0.,1.)); #403490=DIRECTION('center_axis',(-1.,0.,0.)); #403491=DIRECTION('ref_axis',(0.,-1.,0.)); #403492=DIRECTION('',(0.,-1.,0.)); #403493=DIRECTION('',(0.,-1.,0.)); #403494=DIRECTION('',(0.,0.,1.)); #403495=DIRECTION('center_axis',(0.,1.,0.)); #403496=DIRECTION('ref_axis',(-1.,0.,0.)); #403497=DIRECTION('',(-1.,0.,0.)); #403498=DIRECTION('',(-1.,0.,0.)); #403499=DIRECTION('',(0.,0.,1.)); #403500=DIRECTION('center_axis',(1.,0.,0.)); #403501=DIRECTION('ref_axis',(0.,1.,0.)); #403502=DIRECTION('',(0.,1.,0.)); #403503=DIRECTION('',(0.,1.,0.)); #403504=DIRECTION('',(0.,0.,1.)); #403505=DIRECTION('center_axis',(0.,-1.,0.)); #403506=DIRECTION('ref_axis',(1.,0.,0.)); #403507=DIRECTION('',(1.,0.,0.)); #403508=DIRECTION('',(1.,0.,0.)); #403509=DIRECTION('',(0.,0.,1.)); #403510=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403511=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403512=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403513=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403514=DIRECTION('',(0.,0.,1.)); #403515=DIRECTION('center_axis',(0.,0.,1.)); #403516=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #403517=DIRECTION('center_axis',(0.,0.,1.)); #403518=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #403519=DIRECTION('center_axis',(0.,0.,1.)); #403520=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #403521=DIRECTION('',(0.,0.,1.)); #403522=DIRECTION('center_axis',(0.,-1.,0.)); #403523=DIRECTION('ref_axis',(1.,0.,0.)); #403524=DIRECTION('',(1.,0.,0.)); #403525=DIRECTION('',(1.,0.,0.)); #403526=DIRECTION('',(0.,0.,1.)); #403527=DIRECTION('center_axis',(0.,0.,1.)); #403528=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403529=DIRECTION('center_axis',(0.,0.,1.)); #403530=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403531=DIRECTION('center_axis',(0.,0.,1.)); #403532=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403533=DIRECTION('',(0.,0.,1.)); #403534=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #403535=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #403536=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #403537=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #403538=DIRECTION('',(0.,0.,1.)); #403539=DIRECTION('center_axis',(0.,-1.,0.)); #403540=DIRECTION('ref_axis',(1.,0.,0.)); #403541=DIRECTION('',(1.,0.,0.)); #403542=DIRECTION('',(1.,0.,0.)); #403543=DIRECTION('',(0.,0.,1.)); #403544=DIRECTION('center_axis',(0.713284641214766,-0.700874468508536,0.)); #403545=DIRECTION('ref_axis',(0.700874468508536,0.713284641214765,0.)); #403546=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #403547=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #403548=DIRECTION('',(0.,0.,1.)); #403549=DIRECTION('center_axis',(0.,0.,1.)); #403550=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #403551=DIRECTION('center_axis',(0.,0.,1.)); #403552=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #403553=DIRECTION('center_axis',(0.,0.,1.)); #403554=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #403555=DIRECTION('',(0.,0.,1.)); #403556=DIRECTION('center_axis',(0.,-1.,0.)); #403557=DIRECTION('ref_axis',(1.,0.,0.)); #403558=DIRECTION('',(1.,0.,0.)); #403559=DIRECTION('',(1.,0.,0.)); #403560=DIRECTION('',(0.,0.,1.)); #403561=DIRECTION('center_axis',(0.,0.,1.)); #403562=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #403563=DIRECTION('center_axis',(0.,0.,1.)); #403564=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #403565=DIRECTION('center_axis',(0.,0.,1.)); #403566=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #403567=DIRECTION('',(0.,0.,1.)); #403568=DIRECTION('center_axis',(-0.719514816688641,-0.694477090022062, 0.)); #403569=DIRECTION('ref_axis',(0.694477090022062,-0.719514816688641,0.)); #403570=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #403571=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #403572=DIRECTION('',(0.,0.,1.)); #403573=DIRECTION('center_axis',(0.,-1.,0.)); #403574=DIRECTION('ref_axis',(1.,0.,0.)); #403575=DIRECTION('',(1.,0.,0.)); #403576=DIRECTION('',(1.,0.,0.)); #403577=DIRECTION('',(0.,0.,1.)); #403578=DIRECTION('center_axis',(-1.,0.,0.)); #403579=DIRECTION('ref_axis',(0.,-1.,0.)); #403580=DIRECTION('',(0.,-1.,0.)); #403581=DIRECTION('',(0.,-1.,0.)); #403582=DIRECTION('',(0.,0.,1.)); #403583=DIRECTION('center_axis',(0.,-1.,0.)); #403584=DIRECTION('ref_axis',(1.,0.,0.)); #403585=DIRECTION('',(1.,0.,0.)); #403586=DIRECTION('',(1.,0.,0.)); #403587=DIRECTION('',(0.,0.,1.)); #403588=DIRECTION('center_axis',(0.690652324419917,-0.723186951467852,0.)); #403589=DIRECTION('ref_axis',(0.723186951467852,0.690652324419917,0.)); #403590=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #403591=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #403592=DIRECTION('',(0.,0.,1.)); #403593=DIRECTION('center_axis',(0.,0.,1.)); #403594=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #403595=DIRECTION('center_axis',(0.,0.,1.)); #403596=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #403597=DIRECTION('center_axis',(0.,0.,1.)); #403598=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #403599=DIRECTION('',(0.,0.,1.)); #403600=DIRECTION('center_axis',(0.,-1.,0.)); #403601=DIRECTION('ref_axis',(1.,0.,0.)); #403602=DIRECTION('',(1.,0.,0.)); #403603=DIRECTION('',(1.,0.,0.)); #403604=DIRECTION('',(0.,0.,1.)); #403605=DIRECTION('center_axis',(0.675118559056202,-0.737709245718039,0.)); #403606=DIRECTION('ref_axis',(0.737709245718039,0.675118559056202,0.)); #403607=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #403608=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #403609=DIRECTION('',(0.,0.,1.)); #403610=DIRECTION('center_axis',(1.,0.,0.)); #403611=DIRECTION('ref_axis',(0.,1.,0.)); #403612=DIRECTION('',(0.,1.,0.)); #403613=DIRECTION('',(0.,1.,0.)); #403614=DIRECTION('',(0.,0.,1.)); #403615=DIRECTION('center_axis',(0.,-1.,0.)); #403616=DIRECTION('ref_axis',(1.,0.,0.)); #403617=DIRECTION('',(1.,0.,0.)); #403618=DIRECTION('',(1.,0.,0.)); #403619=DIRECTION('',(0.,0.,1.)); #403620=DIRECTION('center_axis',(1.,0.,0.)); #403621=DIRECTION('ref_axis',(0.,1.,0.)); #403622=DIRECTION('',(0.,1.,0.)); #403623=DIRECTION('',(0.,1.,0.)); #403624=DIRECTION('',(0.,0.,1.)); #403625=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #403626=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #403627=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403628=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #403629=DIRECTION('',(0.,0.,1.)); #403630=DIRECTION('center_axis',(0.,0.,1.)); #403631=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #403632=DIRECTION('center_axis',(0.,0.,1.)); #403633=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #403634=DIRECTION('center_axis',(0.,0.,1.)); #403635=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #403636=DIRECTION('',(0.,0.,1.)); #403637=DIRECTION('center_axis',(1.,0.,0.)); #403638=DIRECTION('ref_axis',(0.,1.,0.)); #403639=DIRECTION('',(0.,1.,0.)); #403640=DIRECTION('',(0.,1.,0.)); #403641=DIRECTION('',(0.,0.,1.)); #403642=DIRECTION('center_axis',(0.,1.,0.)); #403643=DIRECTION('ref_axis',(-1.,0.,0.)); #403644=DIRECTION('',(-1.,0.,0.)); #403645=DIRECTION('',(-1.,0.,0.)); #403646=DIRECTION('center_axis',(0.,0.,1.)); #403647=DIRECTION('ref_axis',(1.,0.,0.)); #403648=DIRECTION('center_axis',(0.,0.,1.)); #403649=DIRECTION('ref_axis',(1.,0.,0.)); #403650=DIRECTION('center_axis',(0.,0.,1.)); #403651=DIRECTION('ref_axis',(1.,0.,0.)); #403652=DIRECTION('center_axis',(0.,0.,1.)); #403653=DIRECTION('ref_axis',(1.,0.,0.)); #403654=DIRECTION('',(0.,0.,1.)); #403655=DIRECTION('center_axis',(0.,0.,-1.)); #403656=DIRECTION('ref_axis',(1.,0.,0.)); #403657=DIRECTION('center_axis',(0.,0.,1.)); #403658=DIRECTION('ref_axis',(1.,0.,0.)); #403659=DIRECTION('center_axis',(0.,0.,1.)); #403660=DIRECTION('ref_axis',(1.,0.,0.)); #403661=DIRECTION('',(0.,0.,1.)); #403662=DIRECTION('center_axis',(0.,0.,-1.)); #403663=DIRECTION('ref_axis',(1.,0.,0.)); #403664=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #403665=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #403666=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #403667=DIRECTION('',(0.,0.,1.)); #403668=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #403669=DIRECTION('',(0.,0.,1.)); #403670=DIRECTION('center_axis',(0.,0.,1.)); #403671=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #403672=DIRECTION('center_axis',(0.,0.,1.)); #403673=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #403674=DIRECTION('center_axis',(0.,0.,1.)); #403675=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #403676=DIRECTION('',(0.,0.,1.)); #403677=DIRECTION('center_axis',(0.,0.,1.)); #403678=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #403679=DIRECTION('center_axis',(0.,0.,1.)); #403680=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #403681=DIRECTION('center_axis',(0.,0.,1.)); #403682=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #403683=DIRECTION('',(0.,0.,1.)); #403684=DIRECTION('center_axis',(0.,1.,0.)); #403685=DIRECTION('ref_axis',(-1.,0.,0.)); #403686=DIRECTION('',(-1.,0.,0.)); #403687=DIRECTION('',(-1.,0.,0.)); #403688=DIRECTION('',(0.,0.,1.)); #403689=DIRECTION('center_axis',(0.,0.,1.)); #403690=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #403691=DIRECTION('center_axis',(0.,0.,1.)); #403692=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #403693=DIRECTION('center_axis',(0.,0.,1.)); #403694=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #403695=DIRECTION('',(0.,0.,1.)); #403696=DIRECTION('center_axis',(0.,0.,1.)); #403697=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #403698=DIRECTION('center_axis',(0.,0.,1.)); #403699=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #403700=DIRECTION('center_axis',(0.,0.,1.)); #403701=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #403702=DIRECTION('',(0.,0.,1.)); #403703=DIRECTION('center_axis',(0.,-1.,0.)); #403704=DIRECTION('ref_axis',(1.,0.,0.)); #403705=DIRECTION('',(1.,0.,0.)); #403706=DIRECTION('',(1.,0.,0.)); #403707=DIRECTION('',(0.,0.,1.)); #403708=DIRECTION('center_axis',(0.,0.,1.)); #403709=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #403710=DIRECTION('center_axis',(0.,0.,1.)); #403711=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #403712=DIRECTION('center_axis',(0.,0.,1.)); #403713=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #403714=DIRECTION('center_axis',(0.,0.,1.)); #403715=DIRECTION('ref_axis',(1.,0.,0.)); #403716=DIRECTION('center_axis',(0.,0.,1.)); #403717=DIRECTION('ref_axis',(1.,0.,0.)); #403718=DIRECTION('center_axis',(0.,0.,1.)); #403719=DIRECTION('ref_axis',(1.,0.,0.)); #403720=DIRECTION('center_axis',(0.,0.,1.)); #403721=DIRECTION('ref_axis',(1.,0.,0.)); #403722=DIRECTION('',(0.,0.,1.)); #403723=DIRECTION('center_axis',(0.,0.,-1.)); #403724=DIRECTION('ref_axis',(1.,0.,0.)); #403725=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #403726=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #403727=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #403728=DIRECTION('',(0.,0.,1.)); #403729=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #403730=DIRECTION('',(0.,0.,1.)); #403731=DIRECTION('center_axis',(0.,0.,1.)); #403732=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #403733=DIRECTION('center_axis',(0.,0.,1.)); #403734=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #403735=DIRECTION('center_axis',(0.,0.,1.)); #403736=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #403737=DIRECTION('',(0.,0.,1.)); #403738=DIRECTION('center_axis',(-1.,0.,0.)); #403739=DIRECTION('ref_axis',(0.,-1.,0.)); #403740=DIRECTION('',(0.,-1.,0.)); #403741=DIRECTION('',(0.,-1.,0.)); #403742=DIRECTION('',(0.,0.,1.)); #403743=DIRECTION('center_axis',(0.,-1.,0.)); #403744=DIRECTION('ref_axis',(1.,0.,0.)); #403745=DIRECTION('',(1.,0.,0.)); #403746=DIRECTION('',(1.,0.,0.)); #403747=DIRECTION('',(0.,0.,1.)); #403748=DIRECTION('center_axis',(-1.,0.,0.)); #403749=DIRECTION('ref_axis',(0.,-1.,0.)); #403750=DIRECTION('',(0.,-1.,0.)); #403751=DIRECTION('',(0.,-1.,0.)); #403752=DIRECTION('',(0.,0.,1.)); #403753=DIRECTION('center_axis',(0.,1.,0.)); #403754=DIRECTION('ref_axis',(-1.,0.,0.)); #403755=DIRECTION('',(-1.,0.,0.)); #403756=DIRECTION('',(-1.,0.,0.)); #403757=DIRECTION('',(0.,0.,1.)); #403758=DIRECTION('center_axis',(1.,0.,0.)); #403759=DIRECTION('ref_axis',(0.,1.,0.)); #403760=DIRECTION('',(0.,1.,0.)); #403761=DIRECTION('',(0.,1.,0.)); #403762=DIRECTION('',(0.,0.,1.)); #403763=DIRECTION('center_axis',(0.,-1.,0.)); #403764=DIRECTION('ref_axis',(1.,0.,0.)); #403765=DIRECTION('',(1.,0.,0.)); #403766=DIRECTION('',(1.,0.,0.)); #403767=DIRECTION('',(0.,0.,1.)); #403768=DIRECTION('center_axis',(1.,0.,0.)); #403769=DIRECTION('ref_axis',(0.,1.,0.)); #403770=DIRECTION('',(0.,1.,0.)); #403771=DIRECTION('',(0.,1.,0.)); #403772=DIRECTION('',(0.,0.,1.)); #403773=DIRECTION('center_axis',(0.,0.,1.)); #403774=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #403775=DIRECTION('center_axis',(0.,0.,1.)); #403776=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #403777=DIRECTION('center_axis',(0.,0.,1.)); #403778=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #403779=DIRECTION('center_axis',(0.,0.,1.)); #403780=DIRECTION('ref_axis',(1.,0.,0.)); #403781=DIRECTION('center_axis',(0.,0.,1.)); #403782=DIRECTION('ref_axis',(1.,0.,0.)); #403783=DIRECTION('center_axis',(0.,0.,1.)); #403784=DIRECTION('ref_axis',(1.,0.,0.)); #403785=DIRECTION('center_axis',(0.,0.,1.)); #403786=DIRECTION('ref_axis',(1.,0.,0.)); #403787=DIRECTION('',(0.,0.,1.)); #403788=DIRECTION('center_axis',(0.,0.,-1.)); #403789=DIRECTION('ref_axis',(1.,0.,0.)); #403790=DIRECTION('center_axis',(1.,0.,0.)); #403791=DIRECTION('ref_axis',(0.,1.,0.)); #403792=DIRECTION('',(0.,1.,0.)); #403793=DIRECTION('',(0.,0.,1.)); #403794=DIRECTION('',(0.,1.,0.)); #403795=DIRECTION('',(0.,0.,1.)); #403796=DIRECTION('center_axis',(0.,-1.,0.)); #403797=DIRECTION('ref_axis',(1.,0.,0.)); #403798=DIRECTION('',(1.,0.,0.)); #403799=DIRECTION('',(1.,0.,0.)); #403800=DIRECTION('',(0.,0.,1.)); #403801=DIRECTION('center_axis',(-1.,0.,0.)); #403802=DIRECTION('ref_axis',(0.,-1.,0.)); #403803=DIRECTION('',(0.,-1.,0.)); #403804=DIRECTION('',(0.,-1.,0.)); #403805=DIRECTION('',(0.,0.,1.)); #403806=DIRECTION('center_axis',(0.,1.,0.)); #403807=DIRECTION('ref_axis',(-1.,0.,0.)); #403808=DIRECTION('',(-1.,0.,0.)); #403809=DIRECTION('',(-1.,0.,0.)); #403810=DIRECTION('',(0.,0.,1.)); #403811=DIRECTION('center_axis',(-1.,0.,0.)); #403812=DIRECTION('ref_axis',(0.,-1.,0.)); #403813=DIRECTION('',(0.,-1.,0.)); #403814=DIRECTION('',(0.,-1.,0.)); #403815=DIRECTION('',(0.,0.,1.)); #403816=DIRECTION('center_axis',(0.,0.,1.)); #403817=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #403818=DIRECTION('center_axis',(0.,0.,1.)); #403819=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #403820=DIRECTION('center_axis',(0.,0.,1.)); #403821=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #403822=DIRECTION('',(0.,0.,1.)); #403823=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #403824=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #403825=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #403826=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #403827=DIRECTION('',(0.,0.,1.)); #403828=DIRECTION('center_axis',(0.,0.,1.)); #403829=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #403830=DIRECTION('center_axis',(0.,0.,1.)); #403831=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #403832=DIRECTION('center_axis',(0.,0.,1.)); #403833=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #403834=DIRECTION('',(0.,0.,1.)); #403835=DIRECTION('center_axis',(0.,0.,1.)); #403836=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #403837=DIRECTION('center_axis',(0.,0.,1.)); #403838=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #403839=DIRECTION('center_axis',(0.,0.,1.)); #403840=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #403841=DIRECTION('',(0.,0.,1.)); #403842=DIRECTION('center_axis',(0.,0.,1.)); #403843=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #403844=DIRECTION('center_axis',(0.,0.,1.)); #403845=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #403846=DIRECTION('center_axis',(0.,0.,1.)); #403847=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #403848=DIRECTION('',(0.,0.,1.)); #403849=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #403850=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #403851=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #403852=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #403853=DIRECTION('',(0.,0.,1.)); #403854=DIRECTION('center_axis',(1.,0.,0.)); #403855=DIRECTION('ref_axis',(0.,1.,0.)); #403856=DIRECTION('',(0.,1.,0.)); #403857=DIRECTION('',(0.,1.,0.)); #403858=DIRECTION('',(0.,0.,1.)); #403859=DIRECTION('center_axis',(0.,1.,0.)); #403860=DIRECTION('ref_axis',(-1.,0.,0.)); #403861=DIRECTION('',(-1.,0.,0.)); #403862=DIRECTION('',(-1.,0.,0.)); #403863=DIRECTION('center_axis',(0.,0.,1.)); #403864=DIRECTION('ref_axis',(1.,0.,0.)); #403865=DIRECTION('center_axis',(0.,0.,1.)); #403866=DIRECTION('ref_axis',(1.,0.,0.)); #403867=DIRECTION('center_axis',(0.,0.,1.)); #403868=DIRECTION('ref_axis',(1.,0.,0.)); #403869=DIRECTION('center_axis',(0.,0.,1.)); #403870=DIRECTION('ref_axis',(1.,0.,0.)); #403871=DIRECTION('',(0.,0.,1.)); #403872=DIRECTION('center_axis',(0.,0.,-1.)); #403873=DIRECTION('ref_axis',(1.,0.,0.)); #403874=DIRECTION('center_axis',(0.,0.,1.)); #403875=DIRECTION('ref_axis',(1.,0.,0.)); #403876=DIRECTION('center_axis',(0.,0.,1.)); #403877=DIRECTION('ref_axis',(1.,0.,0.)); #403878=DIRECTION('',(0.,0.,1.)); #403879=DIRECTION('center_axis',(0.,0.,-1.)); #403880=DIRECTION('ref_axis',(1.,0.,0.)); #403881=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #403882=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #403883=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #403884=DIRECTION('',(0.,0.,1.)); #403885=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #403886=DIRECTION('',(0.,0.,1.)); #403887=DIRECTION('center_axis',(0.,0.,1.)); #403888=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #403889=DIRECTION('center_axis',(0.,0.,1.)); #403890=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #403891=DIRECTION('center_axis',(0.,0.,1.)); #403892=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #403893=DIRECTION('',(0.,0.,1.)); #403894=DIRECTION('center_axis',(0.,0.,1.)); #403895=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #403896=DIRECTION('center_axis',(0.,0.,1.)); #403897=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #403898=DIRECTION('center_axis',(0.,0.,1.)); #403899=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #403900=DIRECTION('',(0.,0.,1.)); #403901=DIRECTION('center_axis',(0.,1.,0.)); #403902=DIRECTION('ref_axis',(-1.,0.,0.)); #403903=DIRECTION('',(-1.,0.,0.)); #403904=DIRECTION('',(-1.,0.,0.)); #403905=DIRECTION('',(0.,0.,1.)); #403906=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403907=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403908=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403909=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403910=DIRECTION('',(0.,0.,1.)); #403911=DIRECTION('center_axis',(-1.,0.,0.)); #403912=DIRECTION('ref_axis',(0.,-1.,0.)); #403913=DIRECTION('',(0.,-1.,0.)); #403914=DIRECTION('',(0.,-1.,0.)); #403915=DIRECTION('',(0.,0.,1.)); #403916=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403917=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403918=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403919=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403920=DIRECTION('',(0.,0.,1.)); #403921=DIRECTION('center_axis',(0.,-1.,0.)); #403922=DIRECTION('ref_axis',(1.,0.,0.)); #403923=DIRECTION('',(1.,0.,0.)); #403924=DIRECTION('',(1.,0.,0.)); #403925=DIRECTION('',(0.,0.,1.)); #403926=DIRECTION('center_axis',(0.,0.,1.)); #403927=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403928=DIRECTION('center_axis',(0.,0.,1.)); #403929=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403930=DIRECTION('center_axis',(0.,0.,1.)); #403931=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403932=DIRECTION('',(0.,0.,1.)); #403933=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #403934=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #403935=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #403936=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #403937=DIRECTION('',(0.,0.,1.)); #403938=DIRECTION('center_axis',(0.,-1.,0.)); #403939=DIRECTION('ref_axis',(1.,0.,0.)); #403940=DIRECTION('',(1.,0.,0.)); #403941=DIRECTION('',(1.,0.,0.)); #403942=DIRECTION('',(0.,0.,1.)); #403943=DIRECTION('center_axis',(0.,0.,1.)); #403944=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403945=DIRECTION('center_axis',(0.,0.,1.)); #403946=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403947=DIRECTION('center_axis',(0.,0.,1.)); #403948=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #403949=DIRECTION('',(0.,0.,1.)); #403950=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403951=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403952=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403953=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403954=DIRECTION('',(0.,0.,1.)); #403955=DIRECTION('center_axis',(0.,0.,1.)); #403956=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #403957=DIRECTION('center_axis',(0.,0.,1.)); #403958=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #403959=DIRECTION('center_axis',(0.,0.,1.)); #403960=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #403961=DIRECTION('',(0.,0.,1.)); #403962=DIRECTION('center_axis',(-1.,0.,0.)); #403963=DIRECTION('ref_axis',(0.,-1.,0.)); #403964=DIRECTION('',(0.,-1.,0.)); #403965=DIRECTION('',(0.,-1.,0.)); #403966=DIRECTION('',(0.,0.,1.)); #403967=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403968=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403969=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403970=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403971=DIRECTION('',(0.,0.,1.)); #403972=DIRECTION('center_axis',(0.,-1.,0.)); #403973=DIRECTION('ref_axis',(1.,0.,0.)); #403974=DIRECTION('',(1.,0.,0.)); #403975=DIRECTION('',(1.,0.,0.)); #403976=DIRECTION('',(0.,0.,1.)); #403977=DIRECTION('center_axis',(0.,0.,1.)); #403978=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403979=DIRECTION('center_axis',(0.,0.,1.)); #403980=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403981=DIRECTION('center_axis',(0.,0.,1.)); #403982=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #403983=DIRECTION('',(0.,0.,1.)); #403984=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403985=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403986=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403987=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #403988=DIRECTION('',(0.,0.,1.)); #403989=DIRECTION('center_axis',(0.,0.,1.)); #403990=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #403991=DIRECTION('center_axis',(0.,0.,1.)); #403992=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #403993=DIRECTION('center_axis',(0.,0.,1.)); #403994=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #403995=DIRECTION('',(0.,0.,1.)); #403996=DIRECTION('center_axis',(-1.,0.,0.)); #403997=DIRECTION('ref_axis',(0.,-1.,0.)); #403998=DIRECTION('',(0.,-1.,0.)); #403999=DIRECTION('',(0.,-1.,0.)); #404000=DIRECTION('',(0.,0.,1.)); #404001=DIRECTION('center_axis',(0.,0.,1.)); #404002=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #404003=DIRECTION('center_axis',(0.,0.,1.)); #404004=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #404005=DIRECTION('center_axis',(0.,0.,1.)); #404006=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #404007=DIRECTION('',(0.,0.,1.)); #404008=DIRECTION('center_axis',(0.,0.,1.)); #404009=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404010=DIRECTION('center_axis',(0.,0.,1.)); #404011=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404012=DIRECTION('center_axis',(0.,0.,1.)); #404013=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404014=DIRECTION('',(0.,0.,1.)); #404015=DIRECTION('center_axis',(1.,0.,0.)); #404016=DIRECTION('ref_axis',(0.,1.,0.)); #404017=DIRECTION('',(0.,1.,0.)); #404018=DIRECTION('',(0.,1.,0.)); #404019=DIRECTION('',(0.,0.,1.)); #404020=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #404021=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #404022=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404023=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404024=DIRECTION('',(0.,0.,1.)); #404025=DIRECTION('center_axis',(0.,1.,0.)); #404026=DIRECTION('ref_axis',(-1.,0.,0.)); #404027=DIRECTION('',(-1.,0.,0.)); #404028=DIRECTION('',(-1.,0.,0.)); #404029=DIRECTION('',(0.,0.,1.)); #404030=DIRECTION('center_axis',(0.,0.,1.)); #404031=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404032=DIRECTION('center_axis',(0.,0.,1.)); #404033=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404034=DIRECTION('center_axis',(0.,0.,1.)); #404035=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404036=DIRECTION('',(0.,0.,1.)); #404037=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404038=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404039=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404040=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404041=DIRECTION('',(0.,0.,1.)); #404042=DIRECTION('center_axis',(0.,0.,1.)); #404043=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #404044=DIRECTION('center_axis',(0.,0.,1.)); #404045=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #404046=DIRECTION('center_axis',(0.,0.,1.)); #404047=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #404048=DIRECTION('',(0.,0.,1.)); #404049=DIRECTION('center_axis',(1.,0.,0.)); #404050=DIRECTION('ref_axis',(0.,1.,0.)); #404051=DIRECTION('',(0.,1.,0.)); #404052=DIRECTION('',(0.,1.,0.)); #404053=DIRECTION('',(0.,0.,1.)); #404054=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404055=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404056=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404057=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404058=DIRECTION('',(0.,0.,1.)); #404059=DIRECTION('center_axis',(0.,1.,0.)); #404060=DIRECTION('ref_axis',(-1.,0.,0.)); #404061=DIRECTION('',(-1.,0.,0.)); #404062=DIRECTION('',(-1.,0.,0.)); #404063=DIRECTION('',(0.,0.,1.)); #404064=DIRECTION('center_axis',(0.,0.,1.)); #404065=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #404066=DIRECTION('center_axis',(0.,0.,1.)); #404067=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #404068=DIRECTION('center_axis',(0.,0.,1.)); #404069=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #404070=DIRECTION('',(0.,0.,1.)); #404071=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #404072=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #404073=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #404074=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #404075=DIRECTION('',(0.,0.,1.)); #404076=DIRECTION('center_axis',(0.,1.,0.)); #404077=DIRECTION('ref_axis',(-1.,0.,0.)); #404078=DIRECTION('',(-1.,0.,0.)); #404079=DIRECTION('',(-1.,0.,0.)); #404080=DIRECTION('',(0.,0.,1.)); #404081=DIRECTION('center_axis',(0.,0.,1.)); #404082=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404083=DIRECTION('center_axis',(0.,0.,1.)); #404084=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404085=DIRECTION('center_axis',(0.,0.,1.)); #404086=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404087=DIRECTION('',(0.,0.,1.)); #404088=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #404089=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #404090=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404091=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404092=DIRECTION('',(0.,0.,1.)); #404093=DIRECTION('center_axis',(0.,0.,1.)); #404094=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #404095=DIRECTION('center_axis',(0.,0.,1.)); #404096=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #404097=DIRECTION('center_axis',(0.,0.,1.)); #404098=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #404099=DIRECTION('',(0.,0.,1.)); #404100=DIRECTION('center_axis',(1.,0.,0.)); #404101=DIRECTION('ref_axis',(0.,1.,0.)); #404102=DIRECTION('',(0.,1.,0.)); #404103=DIRECTION('',(0.,1.,0.)); #404104=DIRECTION('',(0.,0.,1.)); #404105=DIRECTION('center_axis',(0.,0.,1.)); #404106=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404107=DIRECTION('center_axis',(0.,0.,1.)); #404108=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404109=DIRECTION('center_axis',(0.,0.,1.)); #404110=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404111=DIRECTION('',(0.,0.,1.)); #404112=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #404113=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #404114=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #404115=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #404116=DIRECTION('',(0.,0.,1.)); #404117=DIRECTION('center_axis',(0.,0.,1.)); #404118=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #404119=DIRECTION('center_axis',(0.,0.,1.)); #404120=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #404121=DIRECTION('center_axis',(0.,0.,1.)); #404122=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #404123=DIRECTION('',(0.,0.,1.)); #404124=DIRECTION('center_axis',(0.,-1.,0.)); #404125=DIRECTION('ref_axis',(1.,0.,0.)); #404126=DIRECTION('',(1.,0.,0.)); #404127=DIRECTION('',(1.,0.,0.)); #404128=DIRECTION('',(0.,0.,1.)); #404129=DIRECTION('center_axis',(0.,0.,1.)); #404130=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #404131=DIRECTION('center_axis',(0.,0.,1.)); #404132=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #404133=DIRECTION('center_axis',(0.,0.,1.)); #404134=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #404135=DIRECTION('center_axis',(0.,0.,1.)); #404136=DIRECTION('ref_axis',(1.,0.,0.)); #404137=DIRECTION('center_axis',(0.,0.,1.)); #404138=DIRECTION('ref_axis',(1.,0.,0.)); #404139=DIRECTION('center_axis',(0.,0.,1.)); #404140=DIRECTION('ref_axis',(1.,0.,0.)); #404141=DIRECTION('center_axis',(0.,0.,1.)); #404142=DIRECTION('ref_axis',(1.,0.,0.)); #404143=DIRECTION('',(0.,0.,1.)); #404144=DIRECTION('center_axis',(0.,0.,-1.)); #404145=DIRECTION('ref_axis',(1.,0.,0.)); #404146=DIRECTION('center_axis',(0.,0.,1.)); #404147=DIRECTION('ref_axis',(1.,0.,0.)); #404148=DIRECTION('center_axis',(0.,0.,1.)); #404149=DIRECTION('ref_axis',(1.,0.,0.)); #404150=DIRECTION('',(0.,0.,1.)); #404151=DIRECTION('center_axis',(0.,0.,-1.)); #404152=DIRECTION('ref_axis',(1.,0.,0.)); #404153=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #404154=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #404155=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #404156=DIRECTION('',(0.,0.,1.)); #404157=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #404158=DIRECTION('',(0.,0.,1.)); #404159=DIRECTION('center_axis',(0.,0.,1.)); #404160=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #404161=DIRECTION('center_axis',(0.,0.,1.)); #404162=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #404163=DIRECTION('center_axis',(0.,0.,1.)); #404164=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #404165=DIRECTION('',(0.,0.,1.)); #404166=DIRECTION('center_axis',(0.,0.,1.)); #404167=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #404168=DIRECTION('center_axis',(0.,0.,1.)); #404169=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #404170=DIRECTION('center_axis',(0.,0.,1.)); #404171=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #404172=DIRECTION('',(0.,0.,1.)); #404173=DIRECTION('center_axis',(0.,1.,0.)); #404174=DIRECTION('ref_axis',(-1.,0.,0.)); #404175=DIRECTION('',(-1.,0.,0.)); #404176=DIRECTION('',(-1.,0.,0.)); #404177=DIRECTION('',(0.,0.,1.)); #404178=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #404179=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #404180=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #404181=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #404182=DIRECTION('',(0.,0.,1.)); #404183=DIRECTION('center_axis',(0.,0.,1.)); #404184=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #404185=DIRECTION('center_axis',(0.,0.,1.)); #404186=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #404187=DIRECTION('center_axis',(0.,0.,1.)); #404188=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #404189=DIRECTION('',(0.,0.,1.)); #404190=DIRECTION('center_axis',(0.,0.,1.)); #404191=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #404192=DIRECTION('center_axis',(0.,0.,1.)); #404193=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #404194=DIRECTION('center_axis',(0.,0.,1.)); #404195=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #404196=DIRECTION('',(0.,0.,1.)); #404197=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #404198=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #404199=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #404200=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #404201=DIRECTION('',(0.,0.,1.)); #404202=DIRECTION('center_axis',(0.,0.,1.)); #404203=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #404204=DIRECTION('center_axis',(0.,0.,1.)); #404205=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #404206=DIRECTION('center_axis',(0.,0.,1.)); #404207=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #404208=DIRECTION('',(0.,0.,1.)); #404209=DIRECTION('center_axis',(0.,-1.,0.)); #404210=DIRECTION('ref_axis',(1.,0.,0.)); #404211=DIRECTION('',(1.,0.,0.)); #404212=DIRECTION('',(1.,0.,0.)); #404213=DIRECTION('',(0.,0.,1.)); #404214=DIRECTION('center_axis',(0.,0.,1.)); #404215=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404216=DIRECTION('center_axis',(0.,0.,1.)); #404217=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404218=DIRECTION('center_axis',(0.,0.,1.)); #404219=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404220=DIRECTION('center_axis',(0.,0.,1.)); #404221=DIRECTION('ref_axis',(1.,0.,0.)); #404222=DIRECTION('center_axis',(0.,0.,1.)); #404223=DIRECTION('ref_axis',(1.,0.,0.)); #404224=DIRECTION('center_axis',(0.,0.,1.)); #404225=DIRECTION('ref_axis',(1.,0.,0.)); #404226=DIRECTION('center_axis',(0.,0.,1.)); #404227=DIRECTION('ref_axis',(1.,0.,0.)); #404228=DIRECTION('',(0.,0.,1.)); #404229=DIRECTION('center_axis',(0.,0.,-1.)); #404230=DIRECTION('ref_axis',(1.,0.,0.)); #404231=DIRECTION('center_axis',(1.,0.,0.)); #404232=DIRECTION('ref_axis',(0.,1.,0.)); #404233=DIRECTION('',(0.,1.,0.)); #404234=DIRECTION('',(0.,0.,1.)); #404235=DIRECTION('',(0.,1.,0.)); #404236=DIRECTION('',(0.,0.,1.)); #404237=DIRECTION('center_axis',(0.,-1.,0.)); #404238=DIRECTION('ref_axis',(1.,0.,0.)); #404239=DIRECTION('',(1.,0.,0.)); #404240=DIRECTION('',(1.,0.,0.)); #404241=DIRECTION('',(0.,0.,1.)); #404242=DIRECTION('center_axis',(-1.,0.,0.)); #404243=DIRECTION('ref_axis',(0.,-1.,0.)); #404244=DIRECTION('',(0.,-1.,0.)); #404245=DIRECTION('',(0.,-1.,0.)); #404246=DIRECTION('',(0.,0.,1.)); #404247=DIRECTION('center_axis',(0.,1.,0.)); #404248=DIRECTION('ref_axis',(-1.,0.,0.)); #404249=DIRECTION('',(-1.,0.,0.)); #404250=DIRECTION('',(-1.,0.,0.)); #404251=DIRECTION('',(0.,0.,1.)); #404252=DIRECTION('center_axis',(-1.,0.,0.)); #404253=DIRECTION('ref_axis',(0.,-1.,0.)); #404254=DIRECTION('',(0.,-1.,0.)); #404255=DIRECTION('',(0.,-1.,0.)); #404256=DIRECTION('',(0.,0.,1.)); #404257=DIRECTION('center_axis',(0.,0.,1.)); #404258=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #404259=DIRECTION('center_axis',(0.,0.,1.)); #404260=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #404261=DIRECTION('center_axis',(0.,0.,1.)); #404262=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #404263=DIRECTION('',(0.,0.,1.)); #404264=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #404265=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #404266=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #404267=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #404268=DIRECTION('',(0.,0.,1.)); #404269=DIRECTION('center_axis',(0.,0.,1.)); #404270=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #404271=DIRECTION('center_axis',(0.,0.,1.)); #404272=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #404273=DIRECTION('center_axis',(0.,0.,1.)); #404274=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #404275=DIRECTION('',(0.,0.,1.)); #404276=DIRECTION('center_axis',(0.,0.,1.)); #404277=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #404278=DIRECTION('center_axis',(0.,0.,1.)); #404279=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #404280=DIRECTION('center_axis',(0.,0.,1.)); #404281=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #404282=DIRECTION('',(0.,0.,1.)); #404283=DIRECTION('center_axis',(0.,0.,1.)); #404284=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #404285=DIRECTION('center_axis',(0.,0.,1.)); #404286=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #404287=DIRECTION('center_axis',(0.,0.,1.)); #404288=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #404289=DIRECTION('',(0.,0.,1.)); #404290=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #404291=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #404292=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #404293=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #404294=DIRECTION('',(0.,0.,1.)); #404295=DIRECTION('center_axis',(0.,0.,1.)); #404296=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #404297=DIRECTION('center_axis',(0.,0.,1.)); #404298=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #404299=DIRECTION('center_axis',(0.,0.,1.)); #404300=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #404301=DIRECTION('',(0.,0.,1.)); #404302=DIRECTION('center_axis',(1.,0.,0.)); #404303=DIRECTION('ref_axis',(0.,1.,0.)); #404304=DIRECTION('',(0.,1.,0.)); #404305=DIRECTION('',(0.,1.,0.)); #404306=DIRECTION('',(0.,0.,1.)); #404307=DIRECTION('center_axis',(0.,1.,0.)); #404308=DIRECTION('ref_axis',(-1.,0.,0.)); #404309=DIRECTION('',(-1.,0.,0.)); #404310=DIRECTION('',(-1.,0.,0.)); #404311=DIRECTION('',(0.,0.,1.)); #404312=DIRECTION('center_axis',(1.,0.,0.)); #404313=DIRECTION('ref_axis',(0.,1.,0.)); #404314=DIRECTION('',(0.,1.,0.)); #404315=DIRECTION('',(0.,1.,0.)); #404316=DIRECTION('',(0.,0.,1.)); #404317=DIRECTION('center_axis',(0.,-1.,0.)); #404318=DIRECTION('ref_axis',(1.,0.,0.)); #404319=DIRECTION('',(1.,0.,0.)); #404320=DIRECTION('',(1.,0.,0.)); #404321=DIRECTION('',(0.,0.,1.)); #404322=DIRECTION('center_axis',(-1.,0.,0.)); #404323=DIRECTION('ref_axis',(0.,-1.,0.)); #404324=DIRECTION('',(0.,-1.,0.)); #404325=DIRECTION('',(0.,-1.,0.)); #404326=DIRECTION('',(0.,0.,1.)); #404327=DIRECTION('center_axis',(0.,1.,0.)); #404328=DIRECTION('ref_axis',(-1.,0.,0.)); #404329=DIRECTION('',(-1.,0.,0.)); #404330=DIRECTION('',(-1.,0.,0.)); #404331=DIRECTION('',(0.,0.,1.)); #404332=DIRECTION('center_axis',(-1.,0.,0.)); #404333=DIRECTION('ref_axis',(0.,-1.,0.)); #404334=DIRECTION('',(0.,-1.,0.)); #404335=DIRECTION('',(0.,-1.,0.)); #404336=DIRECTION('',(0.,0.,1.)); #404337=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #404338=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #404339=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #404340=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #404341=DIRECTION('',(0.,0.,1.)); #404342=DIRECTION('center_axis',(0.,0.,1.)); #404343=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #404344=DIRECTION('center_axis',(0.,0.,1.)); #404345=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #404346=DIRECTION('center_axis',(0.,0.,1.)); #404347=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #404348=DIRECTION('',(0.,0.,1.)); #404349=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #404350=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #404351=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404352=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404353=DIRECTION('',(0.,0.,1.)); #404354=DIRECTION('center_axis',(1.,0.,0.)); #404355=DIRECTION('ref_axis',(0.,1.,0.)); #404356=DIRECTION('',(0.,1.,0.)); #404357=DIRECTION('',(0.,1.,0.)); #404358=DIRECTION('',(0.,0.,1.)); #404359=DIRECTION('center_axis',(0.,1.,0.)); #404360=DIRECTION('ref_axis',(-1.,0.,0.)); #404361=DIRECTION('',(-1.,0.,0.)); #404362=DIRECTION('',(-1.,0.,0.)); #404363=DIRECTION('center_axis',(0.,0.,1.)); #404364=DIRECTION('ref_axis',(1.,0.,0.)); #404365=DIRECTION('center_axis',(0.,0.,1.)); #404366=DIRECTION('ref_axis',(1.,0.,0.)); #404367=DIRECTION('center_axis',(0.,0.,1.)); #404368=DIRECTION('ref_axis',(1.,0.,0.)); #404369=DIRECTION('center_axis',(0.,0.,1.)); #404370=DIRECTION('ref_axis',(1.,0.,0.)); #404371=DIRECTION('',(0.,0.,1.)); #404372=DIRECTION('center_axis',(0.,0.,-1.)); #404373=DIRECTION('ref_axis',(1.,0.,0.)); #404374=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #404375=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #404376=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #404377=DIRECTION('',(0.,0.,1.)); #404378=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #404379=DIRECTION('',(0.,0.,1.)); #404380=DIRECTION('center_axis',(0.,0.,1.)); #404381=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #404382=DIRECTION('center_axis',(0.,0.,1.)); #404383=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #404384=DIRECTION('center_axis',(0.,0.,1.)); #404385=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #404386=DIRECTION('',(0.,0.,1.)); #404387=DIRECTION('center_axis',(0.,0.,1.)); #404388=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #404389=DIRECTION('center_axis',(0.,0.,1.)); #404390=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #404391=DIRECTION('center_axis',(0.,0.,1.)); #404392=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #404393=DIRECTION('',(0.,0.,1.)); #404394=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #404395=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #404396=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #404397=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #404398=DIRECTION('',(0.,0.,1.)); #404399=DIRECTION('center_axis',(0.,0.,1.)); #404400=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #404401=DIRECTION('center_axis',(0.,0.,1.)); #404402=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #404403=DIRECTION('center_axis',(0.,0.,1.)); #404404=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #404405=DIRECTION('',(0.,0.,1.)); #404406=DIRECTION('center_axis',(-1.,0.,0.)); #404407=DIRECTION('ref_axis',(0.,-1.,0.)); #404408=DIRECTION('',(0.,-1.,0.)); #404409=DIRECTION('',(0.,-1.,0.)); #404410=DIRECTION('',(0.,0.,1.)); #404411=DIRECTION('center_axis',(0.,-1.,0.)); #404412=DIRECTION('ref_axis',(1.,0.,0.)); #404413=DIRECTION('',(1.,0.,0.)); #404414=DIRECTION('',(1.,0.,0.)); #404415=DIRECTION('',(0.,0.,1.)); #404416=DIRECTION('center_axis',(-1.,0.,0.)); #404417=DIRECTION('ref_axis',(0.,-1.,0.)); #404418=DIRECTION('',(0.,-1.,0.)); #404419=DIRECTION('',(0.,-1.,0.)); #404420=DIRECTION('',(0.,0.,1.)); #404421=DIRECTION('center_axis',(0.,1.,0.)); #404422=DIRECTION('ref_axis',(-1.,0.,0.)); #404423=DIRECTION('',(-1.,0.,0.)); #404424=DIRECTION('',(-1.,0.,0.)); #404425=DIRECTION('',(0.,0.,1.)); #404426=DIRECTION('center_axis',(1.,0.,0.)); #404427=DIRECTION('ref_axis',(0.,1.,0.)); #404428=DIRECTION('',(0.,1.,0.)); #404429=DIRECTION('',(0.,1.,0.)); #404430=DIRECTION('',(0.,0.,1.)); #404431=DIRECTION('center_axis',(0.,-1.,0.)); #404432=DIRECTION('ref_axis',(1.,0.,0.)); #404433=DIRECTION('',(1.,0.,0.)); #404434=DIRECTION('',(1.,0.,0.)); #404435=DIRECTION('',(0.,0.,1.)); #404436=DIRECTION('center_axis',(1.,0.,0.)); #404437=DIRECTION('ref_axis',(0.,1.,0.)); #404438=DIRECTION('',(0.,1.,0.)); #404439=DIRECTION('',(0.,1.,0.)); #404440=DIRECTION('',(0.,0.,1.)); #404441=DIRECTION('center_axis',(0.707107962751215,0.707105599619905,0.)); #404442=DIRECTION('ref_axis',(-0.707105599619905,0.707107962751215,0.)); #404443=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #404444=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #404445=DIRECTION('',(0.,0.,1.)); #404446=DIRECTION('center_axis',(0.,0.,1.)); #404447=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #404448=DIRECTION('center_axis',(0.,0.,1.)); #404449=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #404450=DIRECTION('center_axis',(0.,0.,1.)); #404451=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #404452=DIRECTION('',(0.,0.,1.)); #404453=DIRECTION('center_axis',(-0.707107962751205,0.707105599619916,0.)); #404454=DIRECTION('ref_axis',(-0.707105599619916,-0.707107962751205,0.)); #404455=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #404456=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #404457=DIRECTION('',(0.,0.,1.)); #404458=DIRECTION('center_axis',(-1.,0.,0.)); #404459=DIRECTION('ref_axis',(0.,-1.,0.)); #404460=DIRECTION('',(0.,-1.,0.)); #404461=DIRECTION('',(0.,-1.,0.)); #404462=DIRECTION('',(0.,0.,1.)); #404463=DIRECTION('center_axis',(0.,-1.,0.)); #404464=DIRECTION('ref_axis',(1.,0.,0.)); #404465=DIRECTION('',(1.,0.,0.)); #404466=DIRECTION('',(1.,0.,0.)); #404467=DIRECTION('',(0.,0.,1.)); #404468=DIRECTION('center_axis',(-1.,0.,0.)); #404469=DIRECTION('ref_axis',(0.,-1.,0.)); #404470=DIRECTION('',(0.,-1.,0.)); #404471=DIRECTION('',(0.,-1.,0.)); #404472=DIRECTION('',(0.,0.,1.)); #404473=DIRECTION('center_axis',(0.,1.,0.)); #404474=DIRECTION('ref_axis',(-1.,0.,0.)); #404475=DIRECTION('',(-1.,0.,0.)); #404476=DIRECTION('',(-1.,0.,0.)); #404477=DIRECTION('',(0.,0.,1.)); #404478=DIRECTION('center_axis',(1.,0.,0.)); #404479=DIRECTION('ref_axis',(0.,1.,0.)); #404480=DIRECTION('',(0.,1.,0.)); #404481=DIRECTION('',(0.,1.,0.)); #404482=DIRECTION('',(0.,0.,1.)); #404483=DIRECTION('center_axis',(0.,-1.,0.)); #404484=DIRECTION('ref_axis',(1.,0.,0.)); #404485=DIRECTION('',(1.,0.,0.)); #404486=DIRECTION('',(1.,0.,0.)); #404487=DIRECTION('',(0.,0.,1.)); #404488=DIRECTION('center_axis',(1.,0.,0.)); #404489=DIRECTION('ref_axis',(0.,1.,0.)); #404490=DIRECTION('',(0.,1.,0.)); #404491=DIRECTION('',(0.,1.,0.)); #404492=DIRECTION('',(0.,0.,1.)); #404493=DIRECTION('center_axis',(0.,0.,1.)); #404494=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #404495=DIRECTION('center_axis',(0.,0.,1.)); #404496=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #404497=DIRECTION('center_axis',(0.,0.,1.)); #404498=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #404499=DIRECTION('',(0.,0.,1.)); #404500=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #404501=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #404502=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #404503=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #404504=DIRECTION('',(0.,0.,1.)); #404505=DIRECTION('center_axis',(0.,0.,1.)); #404506=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #404507=DIRECTION('center_axis',(0.,0.,1.)); #404508=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #404509=DIRECTION('center_axis',(0.,0.,1.)); #404510=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #404511=DIRECTION('',(0.,0.,1.)); #404512=DIRECTION('center_axis',(0.,0.,1.)); #404513=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #404514=DIRECTION('center_axis',(0.,0.,1.)); #404515=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #404516=DIRECTION('center_axis',(0.,0.,1.)); #404517=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #404518=DIRECTION('center_axis',(0.,0.,1.)); #404519=DIRECTION('ref_axis',(1.,0.,0.)); #404520=DIRECTION('center_axis',(0.,0.,1.)); #404521=DIRECTION('ref_axis',(1.,0.,0.)); #404522=DIRECTION('center_axis',(0.,0.,1.)); #404523=DIRECTION('ref_axis',(1.,0.,0.)); #404524=DIRECTION('center_axis',(0.,0.,1.)); #404525=DIRECTION('ref_axis',(1.,0.,0.)); #404526=DIRECTION('',(0.,0.,1.)); #404527=DIRECTION('center_axis',(0.,0.,-1.)); #404528=DIRECTION('ref_axis',(1.,0.,0.)); #404529=DIRECTION('center_axis',(0.,0.,1.)); #404530=DIRECTION('ref_axis',(1.,0.,0.)); #404531=DIRECTION('center_axis',(0.,0.,1.)); #404532=DIRECTION('ref_axis',(1.,0.,0.)); #404533=DIRECTION('',(0.,0.,1.)); #404534=DIRECTION('center_axis',(0.,0.,-1.)); #404535=DIRECTION('ref_axis',(1.,0.,0.)); #404536=DIRECTION('center_axis',(0.11097690011101,-0.993822986070332,0.)); #404537=DIRECTION('ref_axis',(0.993822986070332,0.11097690011101,0.)); #404538=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #404539=DIRECTION('',(0.,0.,1.)); #404540=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #404541=DIRECTION('',(0.,0.,1.)); #404542=DIRECTION('center_axis',(0.,-1.,0.)); #404543=DIRECTION('ref_axis',(1.,0.,0.)); #404544=DIRECTION('',(1.,0.,0.)); #404545=DIRECTION('',(1.,0.,0.)); #404546=DIRECTION('',(0.,0.,1.)); #404547=DIRECTION('center_axis',(0.,0.,1.)); #404548=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404549=DIRECTION('center_axis',(0.,0.,1.)); #404550=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404551=DIRECTION('center_axis',(0.,0.,1.)); #404552=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404553=DIRECTION('',(0.,0.,1.)); #404554=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404555=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404556=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404557=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404558=DIRECTION('',(0.,0.,1.)); #404559=DIRECTION('center_axis',(0.,-1.,0.)); #404560=DIRECTION('ref_axis',(1.,0.,0.)); #404561=DIRECTION('',(1.,0.,0.)); #404562=DIRECTION('',(1.,0.,0.)); #404563=DIRECTION('',(0.,0.,1.)); #404564=DIRECTION('center_axis',(0.,0.,1.)); #404565=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #404566=DIRECTION('center_axis',(0.,0.,1.)); #404567=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #404568=DIRECTION('center_axis',(0.,0.,1.)); #404569=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #404570=DIRECTION('',(0.,0.,1.)); #404571=DIRECTION('center_axis',(0.,0.,1.)); #404572=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404573=DIRECTION('center_axis',(0.,0.,1.)); #404574=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404575=DIRECTION('center_axis',(0.,0.,1.)); #404576=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404577=DIRECTION('',(0.,0.,1.)); #404578=DIRECTION('center_axis',(0.,1.,0.)); #404579=DIRECTION('ref_axis',(-1.,0.,0.)); #404580=DIRECTION('',(-1.,0.,0.)); #404581=DIRECTION('',(-1.,0.,0.)); #404582=DIRECTION('',(0.,0.,1.)); #404583=DIRECTION('center_axis',(0.,0.,1.)); #404584=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #404585=DIRECTION('center_axis',(0.,0.,1.)); #404586=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #404587=DIRECTION('center_axis',(0.,0.,1.)); #404588=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #404589=DIRECTION('',(0.,0.,1.)); #404590=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404591=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404592=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404593=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404594=DIRECTION('',(0.,0.,1.)); #404595=DIRECTION('center_axis',(0.,1.,0.)); #404596=DIRECTION('ref_axis',(-1.,0.,0.)); #404597=DIRECTION('',(-1.,0.,0.)); #404598=DIRECTION('',(-1.,0.,0.)); #404599=DIRECTION('',(0.,0.,1.)); #404600=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #404601=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #404602=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #404603=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #404604=DIRECTION('',(0.,0.,1.)); #404605=DIRECTION('center_axis',(0.,0.,1.)); #404606=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #404607=DIRECTION('center_axis',(0.,0.,1.)); #404608=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #404609=DIRECTION('center_axis',(0.,0.,1.)); #404610=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #404611=DIRECTION('',(0.,0.,1.)); #404612=DIRECTION('center_axis',(0.,1.,0.)); #404613=DIRECTION('ref_axis',(-1.,0.,0.)); #404614=DIRECTION('',(-1.,0.,0.)); #404615=DIRECTION('',(-1.,0.,0.)); #404616=DIRECTION('',(0.,0.,1.)); #404617=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #404618=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #404619=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #404620=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #404621=DIRECTION('',(0.,0.,1.)); #404622=DIRECTION('center_axis',(-1.,0.,0.)); #404623=DIRECTION('ref_axis',(0.,-1.,0.)); #404624=DIRECTION('',(0.,-1.,0.)); #404625=DIRECTION('',(0.,-1.,0.)); #404626=DIRECTION('',(0.,0.,1.)); #404627=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404628=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404629=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404630=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #404631=DIRECTION('',(0.,0.,1.)); #404632=DIRECTION('center_axis',(0.,-1.,0.)); #404633=DIRECTION('ref_axis',(1.,0.,0.)); #404634=DIRECTION('',(1.,0.,0.)); #404635=DIRECTION('',(1.,0.,0.)); #404636=DIRECTION('',(0.,0.,1.)); #404637=DIRECTION('center_axis',(0.,0.,1.)); #404638=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #404639=DIRECTION('center_axis',(0.,0.,1.)); #404640=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #404641=DIRECTION('center_axis',(0.,0.,1.)); #404642=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #404643=DIRECTION('',(0.,0.,1.)); #404644=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #404645=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #404646=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #404647=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #404648=DIRECTION('',(0.,0.,1.)); #404649=DIRECTION('center_axis',(0.,-1.,0.)); #404650=DIRECTION('ref_axis',(1.,0.,0.)); #404651=DIRECTION('',(1.,0.,0.)); #404652=DIRECTION('',(1.,0.,0.)); #404653=DIRECTION('',(0.,0.,1.)); #404654=DIRECTION('center_axis',(0.,0.,1.)); #404655=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404656=DIRECTION('center_axis',(0.,0.,1.)); #404657=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404658=DIRECTION('center_axis',(0.,0.,1.)); #404659=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #404660=DIRECTION('',(0.,0.,1.)); #404661=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #404662=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #404663=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404664=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #404665=DIRECTION('',(0.,0.,1.)); #404666=DIRECTION('center_axis',(0.,0.,1.)); #404667=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #404668=DIRECTION('center_axis',(0.,0.,1.)); #404669=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #404670=DIRECTION('center_axis',(0.,0.,1.)); #404671=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #404672=DIRECTION('',(0.,0.,1.)); #404673=DIRECTION('center_axis',(-1.,0.,0.)); #404674=DIRECTION('ref_axis',(0.,-1.,0.)); #404675=DIRECTION('',(0.,-1.,0.)); #404676=DIRECTION('',(0.,-1.,0.)); #404677=DIRECTION('',(0.,0.,1.)); #404678=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404679=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404680=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404681=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404682=DIRECTION('',(0.,0.,1.)); #404683=DIRECTION('center_axis',(0.,-1.,0.)); #404684=DIRECTION('ref_axis',(1.,0.,0.)); #404685=DIRECTION('',(1.,0.,0.)); #404686=DIRECTION('',(1.,0.,0.)); #404687=DIRECTION('',(0.,0.,1.)); #404688=DIRECTION('center_axis',(0.,0.,1.)); #404689=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #404690=DIRECTION('center_axis',(0.,0.,1.)); #404691=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #404692=DIRECTION('center_axis',(0.,0.,1.)); #404693=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #404694=DIRECTION('',(0.,0.,1.)); #404695=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #404696=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #404697=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #404698=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #404699=DIRECTION('',(0.,0.,1.)); #404700=DIRECTION('center_axis',(0.,0.,1.)); #404701=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #404702=DIRECTION('center_axis',(0.,0.,1.)); #404703=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #404704=DIRECTION('center_axis',(0.,0.,1.)); #404705=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #404706=DIRECTION('',(0.,0.,1.)); #404707=DIRECTION('center_axis',(-1.,0.,0.)); #404708=DIRECTION('ref_axis',(0.,-1.,0.)); #404709=DIRECTION('',(0.,-1.,0.)); #404710=DIRECTION('',(0.,-1.,0.)); #404711=DIRECTION('',(0.,0.,1.)); #404712=DIRECTION('center_axis',(0.,0.,1.)); #404713=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #404714=DIRECTION('center_axis',(0.,0.,1.)); #404715=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #404716=DIRECTION('center_axis',(0.,0.,1.)); #404717=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #404718=DIRECTION('',(0.,0.,1.)); #404719=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #404720=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #404721=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #404722=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #404723=DIRECTION('',(0.,0.,1.)); #404724=DIRECTION('center_axis',(-1.,0.,0.)); #404725=DIRECTION('ref_axis',(0.,-1.,0.)); #404726=DIRECTION('',(0.,-1.,0.)); #404727=DIRECTION('',(0.,-1.,0.)); #404728=DIRECTION('',(0.,0.,1.)); #404729=DIRECTION('center_axis',(0.,0.,1.)); #404730=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #404731=DIRECTION('center_axis',(0.,0.,1.)); #404732=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #404733=DIRECTION('center_axis',(0.,0.,1.)); #404734=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #404735=DIRECTION('',(0.,0.,1.)); #404736=DIRECTION('center_axis',(0.,0.,1.)); #404737=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404738=DIRECTION('center_axis',(0.,0.,1.)); #404739=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404740=DIRECTION('center_axis',(0.,0.,1.)); #404741=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #404742=DIRECTION('',(0.,0.,1.)); #404743=DIRECTION('center_axis',(1.,0.,0.)); #404744=DIRECTION('ref_axis',(0.,1.,0.)); #404745=DIRECTION('',(0.,1.,0.)); #404746=DIRECTION('',(0.,1.,0.)); #404747=DIRECTION('',(0.,0.,1.)); #404748=DIRECTION('center_axis',(0.,0.,1.)); #404749=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404750=DIRECTION('center_axis',(0.,0.,1.)); #404751=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404752=DIRECTION('center_axis',(0.,0.,1.)); #404753=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #404754=DIRECTION('',(0.,0.,1.)); #404755=DIRECTION('center_axis',(0.707106781186538,-0.707106781186557,0.)); #404756=DIRECTION('ref_axis',(0.707106781186557,0.707106781186538,0.)); #404757=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #404758=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #404759=DIRECTION('',(0.,0.,1.)); #404760=DIRECTION('center_axis',(1.,0.,0.)); #404761=DIRECTION('ref_axis',(0.,1.,0.)); #404762=DIRECTION('',(0.,1.,0.)); #404763=DIRECTION('',(0.,1.,0.)); #404764=DIRECTION('',(0.,0.,1.)); #404765=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #404766=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #404767=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #404768=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #404769=DIRECTION('',(0.,0.,1.)); #404770=DIRECTION('center_axis',(0.,1.,0.)); #404771=DIRECTION('ref_axis',(-1.,0.,0.)); #404772=DIRECTION('',(-1.,0.,0.)); #404773=DIRECTION('',(-1.,0.,0.)); #404774=DIRECTION('',(0.,0.,1.)); #404775=DIRECTION('center_axis',(0.,0.,1.)); #404776=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #404777=DIRECTION('center_axis',(0.,0.,1.)); #404778=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #404779=DIRECTION('center_axis',(0.,0.,1.)); #404780=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #404781=DIRECTION('',(0.,0.,1.)); #404782=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404783=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404784=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404785=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404786=DIRECTION('',(0.,0.,1.)); #404787=DIRECTION('center_axis',(0.,0.,1.)); #404788=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #404789=DIRECTION('center_axis',(0.,0.,1.)); #404790=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #404791=DIRECTION('center_axis',(0.,0.,1.)); #404792=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #404793=DIRECTION('',(0.,0.,1.)); #404794=DIRECTION('center_axis',(1.,0.,0.)); #404795=DIRECTION('ref_axis',(0.,1.,0.)); #404796=DIRECTION('',(0.,1.,0.)); #404797=DIRECTION('',(0.,1.,0.)); #404798=DIRECTION('',(0.,0.,1.)); #404799=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404800=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404801=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404802=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404803=DIRECTION('',(0.,0.,1.)); #404804=DIRECTION('center_axis',(0.,1.,0.)); #404805=DIRECTION('ref_axis',(-1.,0.,0.)); #404806=DIRECTION('',(-1.,0.,0.)); #404807=DIRECTION('',(-1.,0.,0.)); #404808=DIRECTION('',(0.,0.,1.)); #404809=DIRECTION('center_axis',(0.,0.,1.)); #404810=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404811=DIRECTION('center_axis',(0.,0.,1.)); #404812=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404813=DIRECTION('center_axis',(0.,0.,1.)); #404814=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #404815=DIRECTION('',(0.,0.,1.)); #404816=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404817=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404818=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404819=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #404820=DIRECTION('',(0.,0.,1.)); #404821=DIRECTION('center_axis',(0.,1.,0.)); #404822=DIRECTION('ref_axis',(-1.,0.,0.)); #404823=DIRECTION('',(-1.,0.,0.)); #404824=DIRECTION('',(-1.,0.,0.)); #404825=DIRECTION('',(0.,0.,1.)); #404826=DIRECTION('center_axis',(0.,0.,1.)); #404827=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #404828=DIRECTION('center_axis',(0.,0.,1.)); #404829=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #404830=DIRECTION('center_axis',(0.,0.,1.)); #404831=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #404832=DIRECTION('',(0.,0.,1.)); #404833=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #404834=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #404835=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404836=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #404837=DIRECTION('',(0.,0.,1.)); #404838=DIRECTION('center_axis',(0.,0.,1.)); #404839=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #404840=DIRECTION('center_axis',(0.,0.,1.)); #404841=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #404842=DIRECTION('center_axis',(0.,0.,1.)); #404843=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #404844=DIRECTION('',(0.,0.,1.)); #404845=DIRECTION('center_axis',(1.,0.,0.)); #404846=DIRECTION('ref_axis',(0.,1.,0.)); #404847=DIRECTION('',(0.,1.,0.)); #404848=DIRECTION('',(0.,1.,0.)); #404849=DIRECTION('',(0.,0.,1.)); #404850=DIRECTION('center_axis',(0.,0.,1.)); #404851=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #404852=DIRECTION('center_axis',(0.,0.,1.)); #404853=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #404854=DIRECTION('center_axis',(0.,0.,1.)); #404855=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #404856=DIRECTION('',(0.,0.,1.)); #404857=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #404858=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #404859=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #404860=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #404861=DIRECTION('',(0.,0.,1.)); #404862=DIRECTION('center_axis',(0.,0.,1.)); #404863=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #404864=DIRECTION('center_axis',(0.,0.,1.)); #404865=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #404866=DIRECTION('center_axis',(0.,0.,1.)); #404867=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #404868=DIRECTION('',(0.,0.,1.)); #404869=DIRECTION('center_axis',(0.,-1.,0.)); #404870=DIRECTION('ref_axis',(1.,0.,0.)); #404871=DIRECTION('',(1.,0.,0.)); #404872=DIRECTION('',(1.,0.,0.)); #404873=DIRECTION('',(0.,0.,1.)); #404874=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404875=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404876=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404877=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404878=DIRECTION('',(0.,0.,1.)); #404879=DIRECTION('center_axis',(0.,0.,1.)); #404880=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #404881=DIRECTION('center_axis',(0.,0.,1.)); #404882=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #404883=DIRECTION('center_axis',(0.,0.,1.)); #404884=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #404885=DIRECTION('center_axis',(0.,0.,1.)); #404886=DIRECTION('ref_axis',(1.,0.,0.)); #404887=DIRECTION('center_axis',(0.,0.,1.)); #404888=DIRECTION('ref_axis',(1.,0.,0.)); #404889=DIRECTION('center_axis',(0.,0.,1.)); #404890=DIRECTION('ref_axis',(1.,0.,0.)); #404891=DIRECTION('center_axis',(0.,0.,1.)); #404892=DIRECTION('ref_axis',(1.,0.,0.)); #404893=DIRECTION('',(0.,0.,1.)); #404894=DIRECTION('center_axis',(0.,0.,-1.)); #404895=DIRECTION('ref_axis',(1.,0.,0.)); #404896=DIRECTION('center_axis',(0.,0.,1.)); #404897=DIRECTION('ref_axis',(1.,0.,0.)); #404898=DIRECTION('center_axis',(0.,0.,1.)); #404899=DIRECTION('ref_axis',(1.,0.,0.)); #404900=DIRECTION('',(0.,0.,1.)); #404901=DIRECTION('center_axis',(0.,0.,-1.)); #404902=DIRECTION('ref_axis',(1.,0.,0.)); #404903=DIRECTION('center_axis',(0.156447762252282,-0.987686234431894,0.)); #404904=DIRECTION('ref_axis',(0.987686234431894,0.156447762252282,0.)); #404905=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #404906=DIRECTION('',(0.,0.,1.)); #404907=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #404908=DIRECTION('',(0.,0.,1.)); #404909=DIRECTION('center_axis',(0.,0.,1.)); #404910=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404911=DIRECTION('center_axis',(0.,0.,1.)); #404912=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404913=DIRECTION('center_axis',(0.,0.,1.)); #404914=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404915=DIRECTION('',(0.,0.,1.)); #404916=DIRECTION('center_axis',(0.,0.,1.)); #404917=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #404918=DIRECTION('center_axis',(0.,0.,1.)); #404919=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #404920=DIRECTION('center_axis',(0.,0.,1.)); #404921=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #404922=DIRECTION('',(0.,0.,1.)); #404923=DIRECTION('center_axis',(0.,1.,0.)); #404924=DIRECTION('ref_axis',(-1.,0.,0.)); #404925=DIRECTION('',(-1.,0.,0.)); #404926=DIRECTION('',(-1.,0.,0.)); #404927=DIRECTION('',(0.,0.,1.)); #404928=DIRECTION('center_axis',(0.,0.,1.)); #404929=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #404930=DIRECTION('center_axis',(0.,0.,1.)); #404931=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #404932=DIRECTION('center_axis',(0.,0.,1.)); #404933=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #404934=DIRECTION('',(0.,0.,1.)); #404935=DIRECTION('center_axis',(0.,0.,1.)); #404936=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #404937=DIRECTION('center_axis',(0.,0.,1.)); #404938=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #404939=DIRECTION('center_axis',(0.,0.,1.)); #404940=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #404941=DIRECTION('',(0.,0.,1.)); #404942=DIRECTION('center_axis',(0.,-1.,0.)); #404943=DIRECTION('ref_axis',(1.,0.,0.)); #404944=DIRECTION('',(1.,0.,0.)); #404945=DIRECTION('',(1.,0.,0.)); #404946=DIRECTION('',(0.,0.,1.)); #404947=DIRECTION('center_axis',(0.,0.,1.)); #404948=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404949=DIRECTION('center_axis',(0.,0.,1.)); #404950=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404951=DIRECTION('center_axis',(0.,0.,1.)); #404952=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #404953=DIRECTION('center_axis',(0.,0.,1.)); #404954=DIRECTION('ref_axis',(1.,0.,0.)); #404955=DIRECTION('center_axis',(0.,0.,1.)); #404956=DIRECTION('ref_axis',(1.,0.,0.)); #404957=DIRECTION('center_axis',(0.,0.,1.)); #404958=DIRECTION('ref_axis',(1.,0.,0.)); #404959=DIRECTION('center_axis',(0.,0.,1.)); #404960=DIRECTION('ref_axis',(1.,0.,0.)); #404961=DIRECTION('',(0.,0.,1.)); #404962=DIRECTION('center_axis',(0.,0.,-1.)); #404963=DIRECTION('ref_axis',(1.,0.,0.)); #404964=DIRECTION('center_axis',(0.,0.,1.)); #404965=DIRECTION('ref_axis',(1.,0.,0.)); #404966=DIRECTION('center_axis',(0.,0.,1.)); #404967=DIRECTION('ref_axis',(1.,0.,0.)); #404968=DIRECTION('',(0.,0.,1.)); #404969=DIRECTION('center_axis',(0.,0.,-1.)); #404970=DIRECTION('ref_axis',(1.,0.,0.)); #404971=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #404972=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #404973=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #404974=DIRECTION('',(0.,0.,1.)); #404975=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #404976=DIRECTION('',(0.,0.,1.)); #404977=DIRECTION('center_axis',(0.,0.,1.)); #404978=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404979=DIRECTION('center_axis',(0.,0.,1.)); #404980=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404981=DIRECTION('center_axis',(0.,0.,1.)); #404982=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #404983=DIRECTION('',(0.,0.,1.)); #404984=DIRECTION('center_axis',(0.,0.,1.)); #404985=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404986=DIRECTION('center_axis',(0.,0.,1.)); #404987=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404988=DIRECTION('center_axis',(0.,0.,1.)); #404989=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #404990=DIRECTION('',(0.,0.,1.)); #404991=DIRECTION('center_axis',(0.,1.,0.)); #404992=DIRECTION('ref_axis',(-1.,0.,0.)); #404993=DIRECTION('',(-1.,0.,0.)); #404994=DIRECTION('',(-1.,0.,0.)); #404995=DIRECTION('',(0.,0.,1.)); #404996=DIRECTION('center_axis',(0.,0.,1.)); #404997=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #404998=DIRECTION('center_axis',(0.,0.,1.)); #404999=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #405000=DIRECTION('center_axis',(0.,0.,1.)); #405001=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #405002=DIRECTION('',(0.,0.,1.)); #405003=DIRECTION('center_axis',(0.,0.,1.)); #405004=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #405005=DIRECTION('center_axis',(0.,0.,1.)); #405006=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #405007=DIRECTION('center_axis',(0.,0.,1.)); #405008=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #405009=DIRECTION('',(0.,0.,1.)); #405010=DIRECTION('center_axis',(0.,-1.,0.)); #405011=DIRECTION('ref_axis',(1.,0.,0.)); #405012=DIRECTION('',(1.,0.,0.)); #405013=DIRECTION('',(1.,0.,0.)); #405014=DIRECTION('',(0.,0.,1.)); #405015=DIRECTION('center_axis',(0.,0.,1.)); #405016=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405017=DIRECTION('center_axis',(0.,0.,1.)); #405018=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405019=DIRECTION('center_axis',(0.,0.,1.)); #405020=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405021=DIRECTION('center_axis',(0.,0.,1.)); #405022=DIRECTION('ref_axis',(1.,0.,0.)); #405023=DIRECTION('center_axis',(0.,0.,1.)); #405024=DIRECTION('ref_axis',(1.,0.,0.)); #405025=DIRECTION('center_axis',(0.,0.,1.)); #405026=DIRECTION('ref_axis',(1.,0.,0.)); #405027=DIRECTION('center_axis',(0.,0.,1.)); #405028=DIRECTION('ref_axis',(1.,0.,0.)); #405029=DIRECTION('',(0.,0.,1.)); #405030=DIRECTION('center_axis',(0.,0.,-1.)); #405031=DIRECTION('ref_axis',(1.,0.,0.)); #405032=DIRECTION('center_axis',(0.,0.,1.)); #405033=DIRECTION('ref_axis',(1.,0.,0.)); #405034=DIRECTION('center_axis',(0.,0.,1.)); #405035=DIRECTION('ref_axis',(1.,0.,0.)); #405036=DIRECTION('',(0.,0.,1.)); #405037=DIRECTION('center_axis',(0.,0.,-1.)); #405038=DIRECTION('ref_axis',(1.,0.,0.)); #405039=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #405040=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #405041=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #405042=DIRECTION('',(0.,0.,1.)); #405043=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #405044=DIRECTION('',(0.,0.,1.)); #405045=DIRECTION('center_axis',(0.,0.,1.)); #405046=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #405047=DIRECTION('center_axis',(0.,0.,1.)); #405048=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #405049=DIRECTION('center_axis',(0.,0.,1.)); #405050=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #405051=DIRECTION('',(0.,0.,1.)); #405052=DIRECTION('center_axis',(0.,0.,1.)); #405053=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #405054=DIRECTION('center_axis',(0.,0.,1.)); #405055=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #405056=DIRECTION('center_axis',(0.,0.,1.)); #405057=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #405058=DIRECTION('',(0.,0.,1.)); #405059=DIRECTION('center_axis',(0.,1.,0.)); #405060=DIRECTION('ref_axis',(-1.,0.,0.)); #405061=DIRECTION('',(-1.,0.,0.)); #405062=DIRECTION('',(-1.,0.,0.)); #405063=DIRECTION('',(0.,0.,1.)); #405064=DIRECTION('center_axis',(0.,0.,1.)); #405065=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #405066=DIRECTION('center_axis',(0.,0.,1.)); #405067=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #405068=DIRECTION('center_axis',(0.,0.,1.)); #405069=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #405070=DIRECTION('',(0.,0.,1.)); #405071=DIRECTION('center_axis',(0.,0.,1.)); #405072=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #405073=DIRECTION('center_axis',(0.,0.,1.)); #405074=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #405075=DIRECTION('center_axis',(0.,0.,1.)); #405076=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #405077=DIRECTION('',(0.,0.,1.)); #405078=DIRECTION('center_axis',(0.,-1.,0.)); #405079=DIRECTION('ref_axis',(1.,0.,0.)); #405080=DIRECTION('',(1.,0.,0.)); #405081=DIRECTION('',(1.,0.,0.)); #405082=DIRECTION('',(0.,0.,1.)); #405083=DIRECTION('center_axis',(0.,0.,1.)); #405084=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405085=DIRECTION('center_axis',(0.,0.,1.)); #405086=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405087=DIRECTION('center_axis',(0.,0.,1.)); #405088=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #405089=DIRECTION('center_axis',(0.,0.,1.)); #405090=DIRECTION('ref_axis',(1.,0.,0.)); #405091=DIRECTION('center_axis',(0.,0.,1.)); #405092=DIRECTION('ref_axis',(1.,0.,0.)); #405093=DIRECTION('center_axis',(0.,0.,1.)); #405094=DIRECTION('ref_axis',(1.,0.,0.)); #405095=DIRECTION('center_axis',(0.,0.,1.)); #405096=DIRECTION('ref_axis',(1.,0.,0.)); #405097=DIRECTION('',(0.,0.,1.)); #405098=DIRECTION('center_axis',(0.,0.,-1.)); #405099=DIRECTION('ref_axis',(1.,0.,0.)); #405100=DIRECTION('center_axis',(0.,0.,1.)); #405101=DIRECTION('ref_axis',(1.,0.,0.)); #405102=DIRECTION('center_axis',(0.,0.,1.)); #405103=DIRECTION('ref_axis',(1.,0.,0.)); #405104=DIRECTION('',(0.,0.,1.)); #405105=DIRECTION('center_axis',(0.,0.,-1.)); #405106=DIRECTION('ref_axis',(1.,0.,0.)); #405107=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #405108=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #405109=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #405110=DIRECTION('',(0.,0.,1.)); #405111=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #405112=DIRECTION('',(0.,0.,1.)); #405113=DIRECTION('center_axis',(0.,0.,1.)); #405114=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405115=DIRECTION('center_axis',(0.,0.,1.)); #405116=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405117=DIRECTION('center_axis',(0.,0.,1.)); #405118=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405119=DIRECTION('',(0.,0.,1.)); #405120=DIRECTION('center_axis',(-1.,0.,0.)); #405121=DIRECTION('ref_axis',(0.,-1.,0.)); #405122=DIRECTION('',(0.,-1.,0.)); #405123=DIRECTION('',(0.,-1.,0.)); #405124=DIRECTION('',(0.,0.,1.)); #405125=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #405126=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #405127=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #405128=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #405129=DIRECTION('',(0.,0.,1.)); #405130=DIRECTION('center_axis',(0.,-1.,0.)); #405131=DIRECTION('ref_axis',(1.,0.,0.)); #405132=DIRECTION('',(1.,0.,0.)); #405133=DIRECTION('',(1.,0.,0.)); #405134=DIRECTION('',(0.,0.,1.)); #405135=DIRECTION('center_axis',(0.,0.,1.)); #405136=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405137=DIRECTION('center_axis',(0.,0.,1.)); #405138=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405139=DIRECTION('center_axis',(0.,0.,1.)); #405140=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405141=DIRECTION('',(0.,0.,1.)); #405142=DIRECTION('center_axis',(0.,0.,1.)); #405143=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #405144=DIRECTION('center_axis',(0.,0.,1.)); #405145=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #405146=DIRECTION('center_axis',(0.,0.,1.)); #405147=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #405148=DIRECTION('',(0.,0.,1.)); #405149=DIRECTION('center_axis',(0.,1.,0.)); #405150=DIRECTION('ref_axis',(-1.,0.,0.)); #405151=DIRECTION('',(-1.,0.,0.)); #405152=DIRECTION('',(-1.,0.,0.)); #405153=DIRECTION('',(0.,0.,1.)); #405154=DIRECTION('center_axis',(0.,0.,1.)); #405155=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #405156=DIRECTION('center_axis',(0.,0.,1.)); #405157=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #405158=DIRECTION('center_axis',(0.,0.,1.)); #405159=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #405160=DIRECTION('',(0.,0.,1.)); #405161=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #405162=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #405163=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #405164=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #405165=DIRECTION('',(0.,0.,1.)); #405166=DIRECTION('center_axis',(0.,0.,1.)); #405167=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #405168=DIRECTION('center_axis',(0.,0.,1.)); #405169=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #405170=DIRECTION('center_axis',(0.,0.,1.)); #405171=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #405172=DIRECTION('',(0.,0.,1.)); #405173=DIRECTION('center_axis',(1.,0.,0.)); #405174=DIRECTION('ref_axis',(0.,1.,0.)); #405175=DIRECTION('',(0.,1.,0.)); #405176=DIRECTION('',(0.,1.,0.)); #405177=DIRECTION('',(0.,0.,1.)); #405178=DIRECTION('center_axis',(0.,0.,1.)); #405179=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405180=DIRECTION('center_axis',(0.,0.,1.)); #405181=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405182=DIRECTION('center_axis',(0.,0.,1.)); #405183=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405184=DIRECTION('center_axis',(0.,0.,1.)); #405185=DIRECTION('ref_axis',(1.,0.,0.)); #405186=DIRECTION('center_axis',(0.,0.,1.)); #405187=DIRECTION('ref_axis',(1.,0.,0.)); #405188=DIRECTION('center_axis',(0.,0.,1.)); #405189=DIRECTION('ref_axis',(1.,0.,0.)); #405190=DIRECTION('center_axis',(0.,0.,1.)); #405191=DIRECTION('ref_axis',(1.,0.,0.)); #405192=DIRECTION('',(0.,0.,1.)); #405193=DIRECTION('center_axis',(0.,0.,-1.)); #405194=DIRECTION('ref_axis',(1.,0.,0.)); #405195=DIRECTION('center_axis',(1.,0.,0.)); #405196=DIRECTION('ref_axis',(0.,1.,0.)); #405197=DIRECTION('',(0.,1.,0.)); #405198=DIRECTION('',(0.,0.,1.)); #405199=DIRECTION('',(0.,1.,0.)); #405200=DIRECTION('',(0.,0.,1.)); #405201=DIRECTION('center_axis',(0.,-1.,0.)); #405202=DIRECTION('ref_axis',(1.,0.,0.)); #405203=DIRECTION('',(1.,0.,0.)); #405204=DIRECTION('',(1.,0.,0.)); #405205=DIRECTION('',(0.,0.,1.)); #405206=DIRECTION('center_axis',(-1.,0.,0.)); #405207=DIRECTION('ref_axis',(0.,-1.,0.)); #405208=DIRECTION('',(0.,-1.,0.)); #405209=DIRECTION('',(0.,-1.,0.)); #405210=DIRECTION('',(0.,0.,1.)); #405211=DIRECTION('center_axis',(0.,1.,0.)); #405212=DIRECTION('ref_axis',(-1.,0.,0.)); #405213=DIRECTION('',(-1.,0.,0.)); #405214=DIRECTION('',(-1.,0.,0.)); #405215=DIRECTION('center_axis',(0.,0.,1.)); #405216=DIRECTION('ref_axis',(1.,0.,0.)); #405217=DIRECTION('center_axis',(0.,0.,1.)); #405218=DIRECTION('ref_axis',(1.,0.,0.)); #405219=DIRECTION('center_axis',(0.,0.,1.)); #405220=DIRECTION('ref_axis',(1.,0.,0.)); #405221=DIRECTION('center_axis',(0.,0.,1.)); #405222=DIRECTION('ref_axis',(1.,0.,0.)); #405223=DIRECTION('',(0.,0.,1.)); #405224=DIRECTION('center_axis',(0.,0.,-1.)); #405225=DIRECTION('ref_axis',(1.,0.,0.)); #405226=DIRECTION('center_axis',(1.,0.,0.)); #405227=DIRECTION('ref_axis',(0.,1.,0.)); #405228=DIRECTION('',(0.,1.,0.)); #405229=DIRECTION('',(0.,0.,1.)); #405230=DIRECTION('',(0.,1.,0.)); #405231=DIRECTION('',(0.,0.,1.)); #405232=DIRECTION('center_axis',(0.,-1.,0.)); #405233=DIRECTION('ref_axis',(1.,0.,0.)); #405234=DIRECTION('',(1.,0.,0.)); #405235=DIRECTION('',(1.,0.,0.)); #405236=DIRECTION('',(0.,0.,1.)); #405237=DIRECTION('center_axis',(-1.,0.,0.)); #405238=DIRECTION('ref_axis',(0.,-1.,0.)); #405239=DIRECTION('',(0.,-1.,0.)); #405240=DIRECTION('',(0.,-1.,0.)); #405241=DIRECTION('',(0.,0.,1.)); #405242=DIRECTION('center_axis',(0.,1.,0.)); #405243=DIRECTION('ref_axis',(-1.,0.,0.)); #405244=DIRECTION('',(-1.,0.,0.)); #405245=DIRECTION('',(-1.,0.,0.)); #405246=DIRECTION('center_axis',(0.,0.,1.)); #405247=DIRECTION('ref_axis',(1.,0.,0.)); #405248=DIRECTION('center_axis',(0.,0.,1.)); #405249=DIRECTION('ref_axis',(1.,0.,0.)); #405250=DIRECTION('center_axis',(0.,0.,1.)); #405251=DIRECTION('ref_axis',(1.,0.,0.)); #405252=DIRECTION('center_axis',(0.,0.,1.)); #405253=DIRECTION('ref_axis',(1.,0.,0.)); #405254=DIRECTION('',(0.,0.,1.)); #405255=DIRECTION('center_axis',(0.,0.,-1.)); #405256=DIRECTION('ref_axis',(1.,0.,0.)); #405257=DIRECTION('center_axis',(1.,0.,0.)); #405258=DIRECTION('ref_axis',(0.,1.,0.)); #405259=DIRECTION('',(0.,1.,0.)); #405260=DIRECTION('',(0.,0.,1.)); #405261=DIRECTION('',(0.,1.,0.)); #405262=DIRECTION('',(0.,0.,1.)); #405263=DIRECTION('center_axis',(0.,-1.,0.)); #405264=DIRECTION('ref_axis',(1.,0.,0.)); #405265=DIRECTION('',(1.,0.,0.)); #405266=DIRECTION('',(1.,0.,0.)); #405267=DIRECTION('',(0.,0.,1.)); #405268=DIRECTION('center_axis',(-1.,0.,0.)); #405269=DIRECTION('ref_axis',(0.,-1.,0.)); #405270=DIRECTION('',(0.,-1.,0.)); #405271=DIRECTION('',(0.,-1.,0.)); #405272=DIRECTION('',(0.,0.,1.)); #405273=DIRECTION('center_axis',(0.,1.,0.)); #405274=DIRECTION('ref_axis',(-1.,0.,0.)); #405275=DIRECTION('',(-1.,0.,0.)); #405276=DIRECTION('',(-1.,0.,0.)); #405277=DIRECTION('center_axis',(0.,0.,1.)); #405278=DIRECTION('ref_axis',(1.,0.,0.)); #405279=DIRECTION('center_axis',(0.,0.,1.)); #405280=DIRECTION('ref_axis',(1.,0.,0.)); #405281=DIRECTION('center_axis',(0.,0.,1.)); #405282=DIRECTION('ref_axis',(1.,0.,0.)); #405283=DIRECTION('center_axis',(0.,0.,1.)); #405284=DIRECTION('ref_axis',(1.,0.,0.)); #405285=DIRECTION('',(0.,0.,1.)); #405286=DIRECTION('center_axis',(0.,0.,-1.)); #405287=DIRECTION('ref_axis',(1.,0.,0.)); #405288=DIRECTION('center_axis',(0.156447762252148,-0.987686234431915,0.)); #405289=DIRECTION('ref_axis',(0.987686234431915,0.156447762252148,0.)); #405290=DIRECTION('',(0.987686234431915,0.156447762252148,0.)); #405291=DIRECTION('',(0.,0.,1.)); #405292=DIRECTION('',(0.987686234431915,0.156447762252148,0.)); #405293=DIRECTION('',(0.,0.,1.)); #405294=DIRECTION('center_axis',(0.,0.,1.)); #405295=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #405296=DIRECTION('center_axis',(0.,0.,1.)); #405297=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #405298=DIRECTION('center_axis',(0.,0.,1.)); #405299=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #405300=DIRECTION('',(0.,0.,1.)); #405301=DIRECTION('center_axis',(-1.,0.,0.)); #405302=DIRECTION('ref_axis',(0.,-1.,0.)); #405303=DIRECTION('',(0.,-1.,0.)); #405304=DIRECTION('',(0.,-1.,0.)); #405305=DIRECTION('',(0.,0.,1.)); #405306=DIRECTION('center_axis',(0.,-1.,0.)); #405307=DIRECTION('ref_axis',(1.,0.,0.)); #405308=DIRECTION('',(1.,0.,0.)); #405309=DIRECTION('',(1.,0.,0.)); #405310=DIRECTION('',(0.,0.,1.)); #405311=DIRECTION('center_axis',(-1.,0.,0.)); #405312=DIRECTION('ref_axis',(0.,-1.,0.)); #405313=DIRECTION('',(0.,-1.,0.)); #405314=DIRECTION('',(0.,-1.,0.)); #405315=DIRECTION('',(0.,0.,1.)); #405316=DIRECTION('center_axis',(0.,1.,0.)); #405317=DIRECTION('ref_axis',(-1.,0.,0.)); #405318=DIRECTION('',(-1.,0.,0.)); #405319=DIRECTION('',(-1.,0.,0.)); #405320=DIRECTION('',(0.,0.,1.)); #405321=DIRECTION('center_axis',(1.,0.,0.)); #405322=DIRECTION('ref_axis',(0.,1.,0.)); #405323=DIRECTION('',(0.,1.,0.)); #405324=DIRECTION('',(0.,1.,0.)); #405325=DIRECTION('',(0.,0.,1.)); #405326=DIRECTION('center_axis',(0.,-1.,0.)); #405327=DIRECTION('ref_axis',(1.,0.,0.)); #405328=DIRECTION('',(1.,0.,0.)); #405329=DIRECTION('',(1.,0.,0.)); #405330=DIRECTION('',(0.,0.,1.)); #405331=DIRECTION('center_axis',(1.,0.,0.)); #405332=DIRECTION('ref_axis',(0.,1.,0.)); #405333=DIRECTION('',(0.,1.,0.)); #405334=DIRECTION('',(0.,1.,0.)); #405335=DIRECTION('',(0.,0.,1.)); #405336=DIRECTION('center_axis',(0.,0.,1.)); #405337=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405338=DIRECTION('center_axis',(0.,0.,1.)); #405339=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405340=DIRECTION('center_axis',(0.,0.,1.)); #405341=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405342=DIRECTION('center_axis',(0.,0.,1.)); #405343=DIRECTION('ref_axis',(1.,0.,0.)); #405344=DIRECTION('center_axis',(0.,0.,1.)); #405345=DIRECTION('ref_axis',(1.,0.,0.)); #405346=DIRECTION('center_axis',(0.,0.,1.)); #405347=DIRECTION('ref_axis',(1.,0.,0.)); #405348=DIRECTION('center_axis',(0.,0.,1.)); #405349=DIRECTION('ref_axis',(1.,0.,0.)); #405350=DIRECTION('',(0.,0.,1.)); #405351=DIRECTION('center_axis',(0.,0.,-1.)); #405352=DIRECTION('ref_axis',(1.,0.,0.)); #405353=DIRECTION('center_axis',(0.,0.,1.)); #405354=DIRECTION('ref_axis',(1.,0.,0.)); #405355=DIRECTION('center_axis',(0.,0.,1.)); #405356=DIRECTION('ref_axis',(1.,0.,0.)); #405357=DIRECTION('',(0.,0.,1.)); #405358=DIRECTION('center_axis',(0.,0.,-1.)); #405359=DIRECTION('ref_axis',(1.,0.,0.)); #405360=DIRECTION('center_axis',(0.156447762252316,-0.987686234431888,0.)); #405361=DIRECTION('ref_axis',(0.987686234431888,0.156447762252316,0.)); #405362=DIRECTION('',(0.987686234431888,0.156447762252316,0.)); #405363=DIRECTION('',(0.,0.,1.)); #405364=DIRECTION('',(0.987686234431888,0.156447762252316,0.)); #405365=DIRECTION('',(0.,0.,1.)); #405366=DIRECTION('center_axis',(0.,0.,1.)); #405367=DIRECTION('ref_axis',(-0.461527865934826,0.887125712041785,0.)); #405368=DIRECTION('center_axis',(0.,0.,1.)); #405369=DIRECTION('ref_axis',(-0.461527865934826,0.887125712041785,0.)); #405370=DIRECTION('center_axis',(0.,0.,1.)); #405371=DIRECTION('ref_axis',(-0.461527865934826,0.887125712041785,0.)); #405372=DIRECTION('',(0.,0.,1.)); #405373=DIRECTION('center_axis',(-1.,0.,0.)); #405374=DIRECTION('ref_axis',(0.,-1.,0.)); #405375=DIRECTION('',(0.,-1.,0.)); #405376=DIRECTION('',(0.,-1.,0.)); #405377=DIRECTION('',(0.,0.,1.)); #405378=DIRECTION('center_axis',(0.,0.,1.)); #405379=DIRECTION('ref_axis',(-0.383339045540335,0.923607696029123,0.)); #405380=DIRECTION('center_axis',(0.,0.,1.)); #405381=DIRECTION('ref_axis',(-0.383339045540335,0.923607696029123,0.)); #405382=DIRECTION('center_axis',(0.,0.,1.)); #405383=DIRECTION('ref_axis',(-0.383339045540335,0.923607696029123,0.)); #405384=DIRECTION('',(0.,0.,1.)); #405385=DIRECTION('center_axis',(0.,0.,1.)); #405386=DIRECTION('ref_axis',(0.461744813676714,-0.887012811092747,0.)); #405387=DIRECTION('center_axis',(0.,0.,1.)); #405388=DIRECTION('ref_axis',(0.461744813676714,-0.887012811092747,0.)); #405389=DIRECTION('center_axis',(0.,0.,1.)); #405390=DIRECTION('ref_axis',(0.461744813676714,-0.887012811092747,0.)); #405391=DIRECTION('',(0.,0.,1.)); #405392=DIRECTION('center_axis',(1.,0.,0.)); #405393=DIRECTION('ref_axis',(0.,1.,0.)); #405394=DIRECTION('',(0.,1.,0.)); #405395=DIRECTION('',(0.,1.,0.)); #405396=DIRECTION('',(0.,0.,1.)); #405397=DIRECTION('center_axis',(0.,0.,1.)); #405398=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405399=DIRECTION('center_axis',(0.,0.,1.)); #405400=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405401=DIRECTION('center_axis',(0.,0.,1.)); #405402=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #405403=DIRECTION('center_axis',(0.,0.,1.)); #405404=DIRECTION('ref_axis',(1.,0.,0.)); #405405=DIRECTION('center_axis',(0.,0.,1.)); #405406=DIRECTION('ref_axis',(1.,0.,0.)); #405407=DIRECTION('center_axis',(0.,0.,1.)); #405408=DIRECTION('ref_axis',(1.,0.,0.)); #405409=DIRECTION('center_axis',(0.,0.,1.)); #405410=DIRECTION('ref_axis',(1.,0.,0.)); #405411=DIRECTION('',(0.,0.,1.)); #405412=DIRECTION('center_axis',(0.,0.,-1.)); #405413=DIRECTION('ref_axis',(1.,0.,0.)); #405414=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #405415=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #405416=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #405417=DIRECTION('',(0.,0.,1.)); #405418=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #405419=DIRECTION('',(0.,0.,1.)); #405420=DIRECTION('center_axis',(0.,0.,1.)); #405421=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #405422=DIRECTION('center_axis',(0.,0.,1.)); #405423=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #405424=DIRECTION('center_axis',(0.,0.,1.)); #405425=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #405426=DIRECTION('',(0.,0.,1.)); #405427=DIRECTION('center_axis',(-1.,0.,0.)); #405428=DIRECTION('ref_axis',(0.,-1.,0.)); #405429=DIRECTION('',(0.,-1.,0.)); #405430=DIRECTION('',(0.,-1.,0.)); #405431=DIRECTION('',(0.,0.,1.)); #405432=DIRECTION('center_axis',(0.,-1.,0.)); #405433=DIRECTION('ref_axis',(1.,0.,0.)); #405434=DIRECTION('',(1.,0.,0.)); #405435=DIRECTION('',(1.,0.,0.)); #405436=DIRECTION('',(0.,0.,1.)); #405437=DIRECTION('center_axis',(-1.,0.,0.)); #405438=DIRECTION('ref_axis',(0.,-1.,0.)); #405439=DIRECTION('',(0.,-1.,0.)); #405440=DIRECTION('',(0.,-1.,0.)); #405441=DIRECTION('',(0.,0.,1.)); #405442=DIRECTION('center_axis',(0.,1.,0.)); #405443=DIRECTION('ref_axis',(-1.,0.,0.)); #405444=DIRECTION('',(-1.,0.,0.)); #405445=DIRECTION('',(-1.,0.,0.)); #405446=DIRECTION('',(0.,0.,1.)); #405447=DIRECTION('center_axis',(1.,0.,0.)); #405448=DIRECTION('ref_axis',(0.,1.,0.)); #405449=DIRECTION('',(0.,1.,0.)); #405450=DIRECTION('',(0.,1.,0.)); #405451=DIRECTION('',(0.,0.,1.)); #405452=DIRECTION('center_axis',(0.,-1.,0.)); #405453=DIRECTION('ref_axis',(1.,0.,0.)); #405454=DIRECTION('',(1.,0.,0.)); #405455=DIRECTION('',(1.,0.,0.)); #405456=DIRECTION('',(0.,0.,1.)); #405457=DIRECTION('center_axis',(1.,0.,0.)); #405458=DIRECTION('ref_axis',(0.,1.,0.)); #405459=DIRECTION('',(0.,1.,0.)); #405460=DIRECTION('',(0.,1.,0.)); #405461=DIRECTION('',(0.,0.,1.)); #405462=DIRECTION('center_axis',(0.,0.,1.)); #405463=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405464=DIRECTION('center_axis',(0.,0.,1.)); #405465=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405466=DIRECTION('center_axis',(0.,0.,1.)); #405467=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405468=DIRECTION('center_axis',(0.,0.,1.)); #405469=DIRECTION('ref_axis',(1.,0.,0.)); #405470=DIRECTION('center_axis',(0.,0.,1.)); #405471=DIRECTION('ref_axis',(1.,0.,0.)); #405472=DIRECTION('center_axis',(0.,0.,1.)); #405473=DIRECTION('ref_axis',(1.,0.,0.)); #405474=DIRECTION('center_axis',(0.,0.,1.)); #405475=DIRECTION('ref_axis',(1.,0.,0.)); #405476=DIRECTION('',(0.,0.,1.)); #405477=DIRECTION('center_axis',(0.,0.,-1.)); #405478=DIRECTION('ref_axis',(1.,0.,0.)); #405479=DIRECTION('center_axis',(0.,0.,1.)); #405480=DIRECTION('ref_axis',(1.,0.,0.)); #405481=DIRECTION('center_axis',(0.,0.,1.)); #405482=DIRECTION('ref_axis',(1.,0.,0.)); #405483=DIRECTION('',(0.,0.,1.)); #405484=DIRECTION('center_axis',(0.,0.,-1.)); #405485=DIRECTION('ref_axis',(1.,0.,0.)); #405486=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #405487=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #405488=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #405489=DIRECTION('',(0.,0.,1.)); #405490=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #405491=DIRECTION('',(0.,0.,1.)); #405492=DIRECTION('center_axis',(0.,0.,1.)); #405493=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405494=DIRECTION('center_axis',(0.,0.,1.)); #405495=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405496=DIRECTION('center_axis',(0.,0.,1.)); #405497=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #405498=DIRECTION('',(0.,0.,1.)); #405499=DIRECTION('center_axis',(-1.,0.,0.)); #405500=DIRECTION('ref_axis',(0.,-1.,0.)); #405501=DIRECTION('',(0.,-1.,0.)); #405502=DIRECTION('',(0.,-1.,0.)); #405503=DIRECTION('',(0.,0.,1.)); #405504=DIRECTION('center_axis',(0.,0.,1.)); #405505=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #405506=DIRECTION('center_axis',(0.,0.,1.)); #405507=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #405508=DIRECTION('center_axis',(0.,0.,1.)); #405509=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #405510=DIRECTION('',(0.,0.,1.)); #405511=DIRECTION('center_axis',(0.,0.,1.)); #405512=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #405513=DIRECTION('center_axis',(0.,0.,1.)); #405514=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #405515=DIRECTION('center_axis',(0.,0.,1.)); #405516=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #405517=DIRECTION('',(0.,0.,1.)); #405518=DIRECTION('center_axis',(1.,0.,0.)); #405519=DIRECTION('ref_axis',(0.,1.,0.)); #405520=DIRECTION('',(0.,1.,0.)); #405521=DIRECTION('',(0.,1.,0.)); #405522=DIRECTION('',(0.,0.,1.)); #405523=DIRECTION('center_axis',(0.,0.,1.)); #405524=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405525=DIRECTION('center_axis',(0.,0.,1.)); #405526=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405527=DIRECTION('center_axis',(0.,0.,1.)); #405528=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #405529=DIRECTION('center_axis',(0.,0.,1.)); #405530=DIRECTION('ref_axis',(1.,0.,0.)); #405531=DIRECTION('center_axis',(0.,0.,1.)); #405532=DIRECTION('ref_axis',(1.,0.,0.)); #405533=DIRECTION('center_axis',(0.,0.,1.)); #405534=DIRECTION('ref_axis',(1.,0.,0.)); #405535=DIRECTION('center_axis',(0.,0.,1.)); #405536=DIRECTION('ref_axis',(1.,0.,0.)); #405537=DIRECTION('',(0.,0.,1.)); #405538=DIRECTION('center_axis',(0.,0.,-1.)); #405539=DIRECTION('ref_axis',(1.,0.,0.)); #405540=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #405541=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #405542=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #405543=DIRECTION('',(0.,0.,1.)); #405544=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #405545=DIRECTION('',(0.,0.,1.)); #405546=DIRECTION('center_axis',(0.,0.,1.)); #405547=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405548=DIRECTION('center_axis',(0.,0.,1.)); #405549=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405550=DIRECTION('center_axis',(0.,0.,1.)); #405551=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405552=DIRECTION('',(0.,0.,1.)); #405553=DIRECTION('center_axis',(-1.,0.,0.)); #405554=DIRECTION('ref_axis',(0.,-1.,0.)); #405555=DIRECTION('',(0.,-1.,0.)); #405556=DIRECTION('',(0.,-1.,0.)); #405557=DIRECTION('',(0.,0.,1.)); #405558=DIRECTION('center_axis',(0.,-1.,0.)); #405559=DIRECTION('ref_axis',(1.,0.,0.)); #405560=DIRECTION('',(1.,0.,0.)); #405561=DIRECTION('',(1.,0.,0.)); #405562=DIRECTION('',(0.,0.,1.)); #405563=DIRECTION('center_axis',(-1.,0.,0.)); #405564=DIRECTION('ref_axis',(0.,-1.,0.)); #405565=DIRECTION('',(0.,-1.,0.)); #405566=DIRECTION('',(0.,-1.,0.)); #405567=DIRECTION('',(0.,0.,1.)); #405568=DIRECTION('center_axis',(0.,1.,0.)); #405569=DIRECTION('ref_axis',(-1.,0.,0.)); #405570=DIRECTION('',(-1.,0.,0.)); #405571=DIRECTION('',(-1.,0.,0.)); #405572=DIRECTION('',(0.,0.,1.)); #405573=DIRECTION('center_axis',(1.,0.,0.)); #405574=DIRECTION('ref_axis',(0.,1.,0.)); #405575=DIRECTION('',(0.,1.,0.)); #405576=DIRECTION('',(0.,1.,0.)); #405577=DIRECTION('',(0.,0.,1.)); #405578=DIRECTION('center_axis',(0.,-1.,0.)); #405579=DIRECTION('ref_axis',(1.,0.,0.)); #405580=DIRECTION('',(1.,0.,0.)); #405581=DIRECTION('',(1.,0.,0.)); #405582=DIRECTION('',(0.,0.,1.)); #405583=DIRECTION('center_axis',(1.,0.,0.)); #405584=DIRECTION('ref_axis',(0.,1.,0.)); #405585=DIRECTION('',(0.,1.,0.)); #405586=DIRECTION('',(0.,1.,0.)); #405587=DIRECTION('',(0.,0.,1.)); #405588=DIRECTION('center_axis',(0.,0.,1.)); #405589=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405590=DIRECTION('center_axis',(0.,0.,1.)); #405591=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405592=DIRECTION('center_axis',(0.,0.,1.)); #405593=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405594=DIRECTION('center_axis',(0.,0.,1.)); #405595=DIRECTION('ref_axis',(1.,0.,0.)); #405596=DIRECTION('center_axis',(0.,0.,1.)); #405597=DIRECTION('ref_axis',(1.,0.,0.)); #405598=DIRECTION('center_axis',(0.,0.,1.)); #405599=DIRECTION('ref_axis',(1.,0.,0.)); #405600=DIRECTION('center_axis',(0.,0.,1.)); #405601=DIRECTION('ref_axis',(1.,0.,0.)); #405602=DIRECTION('',(0.,0.,1.)); #405603=DIRECTION('center_axis',(0.,0.,-1.)); #405604=DIRECTION('ref_axis',(1.,0.,0.)); #405605=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #405606=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #405607=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #405608=DIRECTION('',(0.,0.,1.)); #405609=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #405610=DIRECTION('',(0.,0.,1.)); #405611=DIRECTION('center_axis',(0.,0.,1.)); #405612=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405613=DIRECTION('center_axis',(0.,0.,1.)); #405614=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405615=DIRECTION('center_axis',(0.,0.,1.)); #405616=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #405617=DIRECTION('',(0.,0.,1.)); #405618=DIRECTION('center_axis',(-1.,0.,0.)); #405619=DIRECTION('ref_axis',(0.,-1.,0.)); #405620=DIRECTION('',(0.,-1.,0.)); #405621=DIRECTION('',(0.,-1.,0.)); #405622=DIRECTION('',(0.,0.,1.)); #405623=DIRECTION('center_axis',(0.,-1.,0.)); #405624=DIRECTION('ref_axis',(1.,0.,0.)); #405625=DIRECTION('',(1.,0.,0.)); #405626=DIRECTION('',(1.,0.,0.)); #405627=DIRECTION('',(0.,0.,1.)); #405628=DIRECTION('center_axis',(-1.,0.,0.)); #405629=DIRECTION('ref_axis',(0.,-1.,0.)); #405630=DIRECTION('',(0.,-1.,0.)); #405631=DIRECTION('',(0.,-1.,0.)); #405632=DIRECTION('',(0.,0.,1.)); #405633=DIRECTION('center_axis',(0.,1.,0.)); #405634=DIRECTION('ref_axis',(-1.,0.,0.)); #405635=DIRECTION('',(-1.,0.,0.)); #405636=DIRECTION('',(-1.,0.,0.)); #405637=DIRECTION('',(0.,0.,1.)); #405638=DIRECTION('center_axis',(1.,0.,0.)); #405639=DIRECTION('ref_axis',(0.,1.,0.)); #405640=DIRECTION('',(0.,1.,0.)); #405641=DIRECTION('',(0.,1.,0.)); #405642=DIRECTION('',(0.,0.,1.)); #405643=DIRECTION('center_axis',(0.,-1.,0.)); #405644=DIRECTION('ref_axis',(1.,0.,0.)); #405645=DIRECTION('',(1.,0.,0.)); #405646=DIRECTION('',(1.,0.,0.)); #405647=DIRECTION('',(0.,0.,1.)); #405648=DIRECTION('center_axis',(1.,0.,0.)); #405649=DIRECTION('ref_axis',(0.,1.,0.)); #405650=DIRECTION('',(0.,1.,0.)); #405651=DIRECTION('',(0.,1.,0.)); #405652=DIRECTION('',(0.,0.,1.)); #405653=DIRECTION('center_axis',(0.,0.,1.)); #405654=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405655=DIRECTION('center_axis',(0.,0.,1.)); #405656=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405657=DIRECTION('center_axis',(0.,0.,1.)); #405658=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #405659=DIRECTION('center_axis',(0.,0.,1.)); #405660=DIRECTION('ref_axis',(1.,0.,0.)); #405661=DIRECTION('center_axis',(0.,0.,1.)); #405662=DIRECTION('ref_axis',(1.,0.,0.)); #405663=DIRECTION('center_axis',(0.,0.,1.)); #405664=DIRECTION('ref_axis',(1.,0.,0.)); #405665=DIRECTION('center_axis',(0.,0.,1.)); #405666=DIRECTION('ref_axis',(1.,0.,0.)); #405667=DIRECTION('',(0.,0.,1.)); #405668=DIRECTION('center_axis',(0.,0.,-1.)); #405669=DIRECTION('ref_axis',(1.,0.,0.)); #405670=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #405671=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #405672=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #405673=DIRECTION('',(0.,0.,1.)); #405674=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #405675=DIRECTION('',(0.,0.,1.)); #405676=DIRECTION('center_axis',(0.,0.,1.)); #405677=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #405678=DIRECTION('center_axis',(0.,0.,1.)); #405679=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #405680=DIRECTION('center_axis',(0.,0.,1.)); #405681=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #405682=DIRECTION('',(0.,0.,1.)); #405683=DIRECTION('center_axis',(0.,-1.,0.)); #405684=DIRECTION('ref_axis',(1.,0.,0.)); #405685=DIRECTION('',(1.,0.,0.)); #405686=DIRECTION('',(1.,0.,0.)); #405687=DIRECTION('',(0.,0.,1.)); #405688=DIRECTION('center_axis',(0.,0.,1.)); #405689=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #405690=DIRECTION('center_axis',(0.,0.,1.)); #405691=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #405692=DIRECTION('center_axis',(0.,0.,1.)); #405693=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #405694=DIRECTION('',(0.,0.,1.)); #405695=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #405696=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #405697=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #405698=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #405699=DIRECTION('',(0.,0.,1.)); #405700=DIRECTION('center_axis',(0.,0.,1.)); #405701=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #405702=DIRECTION('center_axis',(0.,0.,1.)); #405703=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #405704=DIRECTION('center_axis',(0.,0.,1.)); #405705=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #405706=DIRECTION('',(0.,0.,1.)); #405707=DIRECTION('center_axis',(-1.,0.,0.)); #405708=DIRECTION('ref_axis',(0.,-1.,0.)); #405709=DIRECTION('',(0.,-1.,0.)); #405710=DIRECTION('',(0.,-1.,0.)); #405711=DIRECTION('',(0.,0.,1.)); #405712=DIRECTION('center_axis',(0.,-1.,0.)); #405713=DIRECTION('ref_axis',(1.,0.,0.)); #405714=DIRECTION('',(1.,0.,0.)); #405715=DIRECTION('',(1.,0.,0.)); #405716=DIRECTION('',(0.,0.,1.)); #405717=DIRECTION('center_axis',(-1.,0.,0.)); #405718=DIRECTION('ref_axis',(0.,-1.,0.)); #405719=DIRECTION('',(0.,-1.,0.)); #405720=DIRECTION('',(0.,-1.,0.)); #405721=DIRECTION('',(0.,0.,1.)); #405722=DIRECTION('center_axis',(0.,1.,0.)); #405723=DIRECTION('ref_axis',(-1.,0.,0.)); #405724=DIRECTION('',(-1.,0.,0.)); #405725=DIRECTION('',(-1.,0.,0.)); #405726=DIRECTION('',(0.,0.,1.)); #405727=DIRECTION('center_axis',(1.,0.,0.)); #405728=DIRECTION('ref_axis',(0.,1.,0.)); #405729=DIRECTION('',(0.,1.,0.)); #405730=DIRECTION('',(0.,1.,0.)); #405731=DIRECTION('',(0.,0.,1.)); #405732=DIRECTION('center_axis',(0.,-1.,0.)); #405733=DIRECTION('ref_axis',(1.,0.,0.)); #405734=DIRECTION('',(1.,0.,0.)); #405735=DIRECTION('',(1.,0.,0.)); #405736=DIRECTION('',(0.,0.,1.)); #405737=DIRECTION('center_axis',(1.,0.,0.)); #405738=DIRECTION('ref_axis',(0.,1.,0.)); #405739=DIRECTION('',(0.,1.,0.)); #405740=DIRECTION('',(0.,1.,0.)); #405741=DIRECTION('',(0.,0.,1.)); #405742=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #405743=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #405744=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #405745=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #405746=DIRECTION('',(0.,0.,1.)); #405747=DIRECTION('center_axis',(0.,1.,0.)); #405748=DIRECTION('ref_axis',(-1.,0.,0.)); #405749=DIRECTION('',(-1.,0.,0.)); #405750=DIRECTION('',(-1.,0.,0.)); #405751=DIRECTION('',(0.,0.,1.)); #405752=DIRECTION('center_axis',(0.,0.,1.)); #405753=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #405754=DIRECTION('center_axis',(0.,0.,1.)); #405755=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #405756=DIRECTION('center_axis',(0.,0.,1.)); #405757=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #405758=DIRECTION('',(0.,0.,1.)); #405759=DIRECTION('center_axis',(0.,0.,1.)); #405760=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #405761=DIRECTION('center_axis',(0.,0.,1.)); #405762=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #405763=DIRECTION('center_axis',(0.,0.,1.)); #405764=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #405765=DIRECTION('center_axis',(0.,0.,1.)); #405766=DIRECTION('ref_axis',(1.,0.,0.)); #405767=DIRECTION('center_axis',(0.,0.,1.)); #405768=DIRECTION('ref_axis',(1.,0.,0.)); #405769=DIRECTION('center_axis',(0.,0.,1.)); #405770=DIRECTION('ref_axis',(1.,0.,0.)); #405771=DIRECTION('center_axis',(0.,0.,1.)); #405772=DIRECTION('ref_axis',(1.,0.,0.)); #405773=DIRECTION('',(0.,0.,1.)); #405774=DIRECTION('center_axis',(0.,0.,-1.)); #405775=DIRECTION('ref_axis',(1.,0.,0.)); #405776=DIRECTION('center_axis',(1.,0.,0.)); #405777=DIRECTION('ref_axis',(0.,1.,0.)); #405778=DIRECTION('',(0.,1.,0.)); #405779=DIRECTION('',(0.,0.,1.)); #405780=DIRECTION('',(0.,1.,0.)); #405781=DIRECTION('',(0.,0.,1.)); #405782=DIRECTION('center_axis',(0.,-1.,0.)); #405783=DIRECTION('ref_axis',(1.,0.,0.)); #405784=DIRECTION('',(1.,0.,0.)); #405785=DIRECTION('',(1.,0.,0.)); #405786=DIRECTION('',(0.,0.,1.)); #405787=DIRECTION('center_axis',(-1.,0.,0.)); #405788=DIRECTION('ref_axis',(0.,-1.,0.)); #405789=DIRECTION('',(0.,-1.,0.)); #405790=DIRECTION('',(0.,-1.,0.)); #405791=DIRECTION('',(0.,0.,1.)); #405792=DIRECTION('center_axis',(0.,1.,0.)); #405793=DIRECTION('ref_axis',(-1.,0.,0.)); #405794=DIRECTION('',(-1.,0.,0.)); #405795=DIRECTION('',(-1.,0.,0.)); #405796=DIRECTION('',(0.,0.,1.)); #405797=DIRECTION('center_axis',(1.,0.,0.)); #405798=DIRECTION('ref_axis',(0.,1.,0.)); #405799=DIRECTION('',(0.,1.,0.)); #405800=DIRECTION('',(0.,1.,0.)); #405801=DIRECTION('',(0.,0.,1.)); #405802=DIRECTION('center_axis',(0.,1.,0.)); #405803=DIRECTION('ref_axis',(-1.,0.,0.)); #405804=DIRECTION('',(-1.,0.,0.)); #405805=DIRECTION('',(-1.,0.,0.)); #405806=DIRECTION('',(0.,0.,1.)); #405807=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #405808=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #405809=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #405810=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #405811=DIRECTION('',(0.,0.,1.)); #405812=DIRECTION('center_axis',(-1.,0.,0.)); #405813=DIRECTION('ref_axis',(0.,-1.,0.)); #405814=DIRECTION('',(0.,-1.,0.)); #405815=DIRECTION('',(0.,-1.,0.)); #405816=DIRECTION('',(0.,0.,1.)); #405817=DIRECTION('center_axis',(0.,0.,1.)); #405818=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #405819=DIRECTION('center_axis',(0.,0.,1.)); #405820=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #405821=DIRECTION('center_axis',(0.,0.,1.)); #405822=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #405823=DIRECTION('',(0.,0.,1.)); #405824=DIRECTION('center_axis',(0.,0.,1.)); #405825=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #405826=DIRECTION('center_axis',(0.,0.,1.)); #405827=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #405828=DIRECTION('center_axis',(0.,0.,1.)); #405829=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #405830=DIRECTION('',(0.,0.,1.)); #405831=DIRECTION('center_axis',(1.,0.,0.)); #405832=DIRECTION('ref_axis',(0.,1.,0.)); #405833=DIRECTION('',(0.,1.,0.)); #405834=DIRECTION('',(0.,1.,0.)); #405835=DIRECTION('',(0.,0.,1.)); #405836=DIRECTION('center_axis',(0.,0.,1.)); #405837=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #405838=DIRECTION('center_axis',(0.,0.,1.)); #405839=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #405840=DIRECTION('center_axis',(0.,0.,1.)); #405841=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #405842=DIRECTION('',(0.,0.,1.)); #405843=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405844=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405845=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405846=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405847=DIRECTION('',(0.,0.,1.)); #405848=DIRECTION('center_axis',(0.,0.,1.)); #405849=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #405850=DIRECTION('center_axis',(0.,0.,1.)); #405851=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #405852=DIRECTION('center_axis',(0.,0.,1.)); #405853=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #405854=DIRECTION('',(0.,0.,1.)); #405855=DIRECTION('center_axis',(0.,-1.,0.)); #405856=DIRECTION('ref_axis',(1.,0.,0.)); #405857=DIRECTION('',(1.,0.,0.)); #405858=DIRECTION('',(1.,0.,0.)); #405859=DIRECTION('center_axis',(0.,0.,1.)); #405860=DIRECTION('ref_axis',(1.,0.,0.)); #405861=DIRECTION('center_axis',(0.,0.,1.)); #405862=DIRECTION('ref_axis',(1.,0.,0.)); #405863=DIRECTION('center_axis',(0.,0.,1.)); #405864=DIRECTION('ref_axis',(1.,0.,0.)); #405865=DIRECTION('center_axis',(0.,0.,1.)); #405866=DIRECTION('ref_axis',(1.,0.,0.)); #405867=DIRECTION('',(0.,0.,1.)); #405868=DIRECTION('center_axis',(0.,0.,-1.)); #405869=DIRECTION('ref_axis',(1.,0.,0.)); #405870=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #405871=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #405872=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #405873=DIRECTION('',(0.,0.,1.)); #405874=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #405875=DIRECTION('',(0.,0.,1.)); #405876=DIRECTION('center_axis',(0.,0.,1.)); #405877=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #405878=DIRECTION('center_axis',(0.,0.,1.)); #405879=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #405880=DIRECTION('center_axis',(0.,0.,1.)); #405881=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #405882=DIRECTION('',(0.,0.,1.)); #405883=DIRECTION('center_axis',(0.,0.,1.)); #405884=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #405885=DIRECTION('center_axis',(0.,0.,1.)); #405886=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #405887=DIRECTION('center_axis',(0.,0.,1.)); #405888=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #405889=DIRECTION('center_axis',(0.,0.,1.)); #405890=DIRECTION('ref_axis',(1.,0.,0.)); #405891=DIRECTION('center_axis',(0.,0.,1.)); #405892=DIRECTION('ref_axis',(1.,0.,0.)); #405893=DIRECTION('center_axis',(0.,0.,1.)); #405894=DIRECTION('ref_axis',(1.,0.,0.)); #405895=DIRECTION('center_axis',(0.,0.,1.)); #405896=DIRECTION('ref_axis',(1.,0.,0.)); #405897=DIRECTION('',(0.,0.,1.)); #405898=DIRECTION('center_axis',(0.,0.,-1.)); #405899=DIRECTION('ref_axis',(1.,0.,0.)); #405900=DIRECTION('center_axis',(1.,0.,0.)); #405901=DIRECTION('ref_axis',(0.,1.,0.)); #405902=DIRECTION('',(0.,1.,0.)); #405903=DIRECTION('',(0.,0.,1.)); #405904=DIRECTION('',(0.,1.,0.)); #405905=DIRECTION('',(0.,0.,1.)); #405906=DIRECTION('center_axis',(0.,-1.,0.)); #405907=DIRECTION('ref_axis',(1.,0.,0.)); #405908=DIRECTION('',(1.,0.,0.)); #405909=DIRECTION('',(1.,0.,0.)); #405910=DIRECTION('',(0.,0.,1.)); #405911=DIRECTION('center_axis',(-1.,0.,0.)); #405912=DIRECTION('ref_axis',(0.,-1.,0.)); #405913=DIRECTION('',(0.,-1.,0.)); #405914=DIRECTION('',(0.,-1.,0.)); #405915=DIRECTION('',(0.,0.,1.)); #405916=DIRECTION('center_axis',(0.,1.,0.)); #405917=DIRECTION('ref_axis',(-1.,0.,0.)); #405918=DIRECTION('',(-1.,0.,0.)); #405919=DIRECTION('',(-1.,0.,0.)); #405920=DIRECTION('',(0.,0.,1.)); #405921=DIRECTION('center_axis',(-1.,0.,0.)); #405922=DIRECTION('ref_axis',(0.,-1.,0.)); #405923=DIRECTION('',(0.,-1.,0.)); #405924=DIRECTION('',(0.,-1.,0.)); #405925=DIRECTION('',(0.,0.,1.)); #405926=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #405927=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #405928=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #405929=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #405930=DIRECTION('',(0.,0.,1.)); #405931=DIRECTION('center_axis',(0.,-1.,0.)); #405932=DIRECTION('ref_axis',(1.,0.,0.)); #405933=DIRECTION('',(1.,0.,0.)); #405934=DIRECTION('',(1.,0.,0.)); #405935=DIRECTION('',(0.,0.,1.)); #405936=DIRECTION('center_axis',(0.,0.,1.)); #405937=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #405938=DIRECTION('center_axis',(0.,0.,1.)); #405939=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #405940=DIRECTION('center_axis',(0.,0.,1.)); #405941=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #405942=DIRECTION('',(0.,0.,1.)); #405943=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #405944=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #405945=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #405946=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #405947=DIRECTION('',(0.,0.,1.)); #405948=DIRECTION('center_axis',(0.,-1.,0.)); #405949=DIRECTION('ref_axis',(1.,0.,0.)); #405950=DIRECTION('',(1.,0.,0.)); #405951=DIRECTION('',(1.,0.,0.)); #405952=DIRECTION('',(0.,0.,1.)); #405953=DIRECTION('center_axis',(0.,0.,1.)); #405954=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405955=DIRECTION('center_axis',(0.,0.,1.)); #405956=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405957=DIRECTION('center_axis',(0.,0.,1.)); #405958=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #405959=DIRECTION('',(0.,0.,1.)); #405960=DIRECTION('center_axis',(0.,0.,1.)); #405961=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #405962=DIRECTION('center_axis',(0.,0.,1.)); #405963=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #405964=DIRECTION('center_axis',(0.,0.,1.)); #405965=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #405966=DIRECTION('',(0.,0.,1.)); #405967=DIRECTION('center_axis',(0.,1.,0.)); #405968=DIRECTION('ref_axis',(-1.,0.,0.)); #405969=DIRECTION('',(-1.,0.,0.)); #405970=DIRECTION('',(-1.,0.,0.)); #405971=DIRECTION('',(0.,0.,1.)); #405972=DIRECTION('center_axis',(0.,0.,1.)); #405973=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405974=DIRECTION('center_axis',(0.,0.,1.)); #405975=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405976=DIRECTION('center_axis',(0.,0.,1.)); #405977=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405978=DIRECTION('',(0.,0.,1.)); #405979=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #405980=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #405981=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #405982=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #405983=DIRECTION('',(0.,0.,1.)); #405984=DIRECTION('center_axis',(0.,1.,0.)); #405985=DIRECTION('ref_axis',(-1.,0.,0.)); #405986=DIRECTION('',(-1.,0.,0.)); #405987=DIRECTION('',(-1.,0.,0.)); #405988=DIRECTION('',(0.,0.,1.)); #405989=DIRECTION('center_axis',(0.,0.,1.)); #405990=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405991=DIRECTION('center_axis',(0.,0.,1.)); #405992=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405993=DIRECTION('center_axis',(0.,0.,1.)); #405994=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #405995=DIRECTION('',(0.,0.,1.)); #405996=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #405997=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #405998=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #405999=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #406000=DIRECTION('',(0.,0.,1.)); #406001=DIRECTION('center_axis',(0.,0.,1.)); #406002=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406003=DIRECTION('center_axis',(0.,0.,1.)); #406004=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406005=DIRECTION('center_axis',(0.,0.,1.)); #406006=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406007=DIRECTION('',(0.,0.,1.)); #406008=DIRECTION('center_axis',(1.,0.,0.)); #406009=DIRECTION('ref_axis',(0.,1.,0.)); #406010=DIRECTION('',(0.,1.,0.)); #406011=DIRECTION('',(0.,1.,0.)); #406012=DIRECTION('',(0.,0.,1.)); #406013=DIRECTION('center_axis',(0.,1.,0.)); #406014=DIRECTION('ref_axis',(-1.,0.,0.)); #406015=DIRECTION('',(-1.,0.,0.)); #406016=DIRECTION('',(-1.,0.,0.)); #406017=DIRECTION('center_axis',(0.,0.,1.)); #406018=DIRECTION('ref_axis',(1.,0.,0.)); #406019=DIRECTION('center_axis',(0.,0.,1.)); #406020=DIRECTION('ref_axis',(1.,0.,0.)); #406021=DIRECTION('center_axis',(0.,0.,1.)); #406022=DIRECTION('ref_axis',(1.,0.,0.)); #406023=DIRECTION('center_axis',(0.,0.,1.)); #406024=DIRECTION('ref_axis',(1.,0.,0.)); #406025=DIRECTION('',(0.,0.,1.)); #406026=DIRECTION('center_axis',(0.,0.,-1.)); #406027=DIRECTION('ref_axis',(1.,0.,0.)); #406028=DIRECTION('center_axis',(1.,0.,0.)); #406029=DIRECTION('ref_axis',(0.,1.,0.)); #406030=DIRECTION('',(0.,1.,0.)); #406031=DIRECTION('',(0.,0.,1.)); #406032=DIRECTION('',(0.,1.,0.)); #406033=DIRECTION('',(0.,0.,1.)); #406034=DIRECTION('center_axis',(0.,-1.,0.)); #406035=DIRECTION('ref_axis',(1.,0.,0.)); #406036=DIRECTION('',(1.,0.,0.)); #406037=DIRECTION('',(1.,0.,0.)); #406038=DIRECTION('',(0.,0.,1.)); #406039=DIRECTION('center_axis',(-1.,0.,0.)); #406040=DIRECTION('ref_axis',(0.,-1.,0.)); #406041=DIRECTION('',(0.,-1.,0.)); #406042=DIRECTION('',(0.,-1.,0.)); #406043=DIRECTION('',(0.,0.,1.)); #406044=DIRECTION('center_axis',(0.,-1.,0.)); #406045=DIRECTION('ref_axis',(1.,0.,0.)); #406046=DIRECTION('',(1.,0.,0.)); #406047=DIRECTION('',(1.,0.,0.)); #406048=DIRECTION('',(0.,0.,1.)); #406049=DIRECTION('center_axis',(0.,0.,1.)); #406050=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406051=DIRECTION('center_axis',(0.,0.,1.)); #406052=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406053=DIRECTION('center_axis',(0.,0.,1.)); #406054=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406055=DIRECTION('',(0.,0.,1.)); #406056=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406057=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406058=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406059=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406060=DIRECTION('',(0.,0.,1.)); #406061=DIRECTION('center_axis',(0.,0.,1.)); #406062=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #406063=DIRECTION('center_axis',(0.,0.,1.)); #406064=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #406065=DIRECTION('center_axis',(0.,0.,1.)); #406066=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #406067=DIRECTION('',(0.,0.,1.)); #406068=DIRECTION('center_axis',(-1.,0.,0.)); #406069=DIRECTION('ref_axis',(0.,-1.,0.)); #406070=DIRECTION('',(0.,-1.,0.)); #406071=DIRECTION('',(0.,-1.,0.)); #406072=DIRECTION('',(0.,0.,1.)); #406073=DIRECTION('center_axis',(0.,0.,1.)); #406074=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #406075=DIRECTION('center_axis',(0.,0.,1.)); #406076=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #406077=DIRECTION('center_axis',(0.,0.,1.)); #406078=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #406079=DIRECTION('',(0.,0.,1.)); #406080=DIRECTION('center_axis',(0.,0.,1.)); #406081=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #406082=DIRECTION('center_axis',(0.,0.,1.)); #406083=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #406084=DIRECTION('center_axis',(0.,0.,1.)); #406085=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #406086=DIRECTION('',(0.,0.,1.)); #406087=DIRECTION('center_axis',(1.,0.,0.)); #406088=DIRECTION('ref_axis',(0.,1.,0.)); #406089=DIRECTION('',(0.,1.,0.)); #406090=DIRECTION('',(0.,1.,0.)); #406091=DIRECTION('',(0.,0.,1.)); #406092=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #406093=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #406094=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #406095=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #406096=DIRECTION('',(0.,0.,1.)); #406097=DIRECTION('center_axis',(0.,1.,0.)); #406098=DIRECTION('ref_axis',(-1.,0.,0.)); #406099=DIRECTION('',(-1.,0.,0.)); #406100=DIRECTION('',(-1.,0.,0.)); #406101=DIRECTION('',(0.,0.,1.)); #406102=DIRECTION('center_axis',(-1.,0.,0.)); #406103=DIRECTION('ref_axis',(0.,-1.,0.)); #406104=DIRECTION('',(0.,-1.,0.)); #406105=DIRECTION('',(0.,-1.,0.)); #406106=DIRECTION('',(0.,0.,1.)); #406107=DIRECTION('center_axis',(0.,1.,0.)); #406108=DIRECTION('ref_axis',(-1.,0.,0.)); #406109=DIRECTION('',(-1.,0.,0.)); #406110=DIRECTION('',(-1.,0.,0.)); #406111=DIRECTION('center_axis',(0.,0.,1.)); #406112=DIRECTION('ref_axis',(1.,0.,0.)); #406113=DIRECTION('center_axis',(0.,0.,1.)); #406114=DIRECTION('ref_axis',(1.,0.,0.)); #406115=DIRECTION('center_axis',(1.,0.,0.)); #406116=DIRECTION('ref_axis',(0.,1.,0.)); #406117=DIRECTION('',(0.,1.,0.)); #406118=DIRECTION('',(0.,0.,1.)); #406119=DIRECTION('',(0.,1.,0.)); #406120=DIRECTION('',(0.,0.,1.)); #406121=DIRECTION('center_axis',(0.,-1.,0.)); #406122=DIRECTION('ref_axis',(1.,0.,0.)); #406123=DIRECTION('',(1.,0.,0.)); #406124=DIRECTION('',(1.,0.,0.)); #406125=DIRECTION('',(0.,0.,1.)); #406126=DIRECTION('center_axis',(-1.,0.,0.)); #406127=DIRECTION('ref_axis',(0.,-1.,0.)); #406128=DIRECTION('',(0.,-1.,0.)); #406129=DIRECTION('',(0.,-1.,0.)); #406130=DIRECTION('',(0.,0.,1.)); #406131=DIRECTION('center_axis',(0.,1.,0.)); #406132=DIRECTION('ref_axis',(-1.,0.,0.)); #406133=DIRECTION('',(-1.,0.,0.)); #406134=DIRECTION('',(-1.,0.,0.)); #406135=DIRECTION('',(0.,0.,1.)); #406136=DIRECTION('center_axis',(-1.,0.,0.)); #406137=DIRECTION('ref_axis',(0.,-1.,0.)); #406138=DIRECTION('',(0.,-1.,0.)); #406139=DIRECTION('',(0.,-1.,0.)); #406140=DIRECTION('',(0.,0.,1.)); #406141=DIRECTION('center_axis',(0.,0.,1.)); #406142=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #406143=DIRECTION('center_axis',(0.,0.,1.)); #406144=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #406145=DIRECTION('center_axis',(0.,0.,1.)); #406146=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #406147=DIRECTION('',(0.,0.,1.)); #406148=DIRECTION('center_axis',(-0.707106781186554,0.707106781186542,0.)); #406149=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186553,0.)); #406150=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #406151=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #406152=DIRECTION('',(0.,0.,1.)); #406153=DIRECTION('center_axis',(-1.,0.,0.)); #406154=DIRECTION('ref_axis',(0.,-1.,0.)); #406155=DIRECTION('',(0.,-1.,0.)); #406156=DIRECTION('',(0.,-1.,0.)); #406157=DIRECTION('',(0.,0.,1.)); #406158=DIRECTION('center_axis',(0.,1.,0.)); #406159=DIRECTION('ref_axis',(-1.,0.,0.)); #406160=DIRECTION('',(-1.,0.,0.)); #406161=DIRECTION('',(-1.,0.,0.)); #406162=DIRECTION('',(0.,0.,1.)); #406163=DIRECTION('center_axis',(1.,0.,0.)); #406164=DIRECTION('ref_axis',(0.,1.,0.)); #406165=DIRECTION('',(0.,1.,0.)); #406166=DIRECTION('',(0.,1.,0.)); #406167=DIRECTION('',(0.,0.,1.)); #406168=DIRECTION('center_axis',(0.,-1.,0.)); #406169=DIRECTION('ref_axis',(1.,0.,0.)); #406170=DIRECTION('',(1.,0.,0.)); #406171=DIRECTION('',(1.,0.,0.)); #406172=DIRECTION('',(0.,0.,1.)); #406173=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #406174=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #406175=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #406176=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #406177=DIRECTION('',(0.,0.,1.)); #406178=DIRECTION('center_axis',(1.,0.,0.)); #406179=DIRECTION('ref_axis',(0.,1.,0.)); #406180=DIRECTION('',(0.,1.,0.)); #406181=DIRECTION('',(0.,1.,0.)); #406182=DIRECTION('',(0.,0.,1.)); #406183=DIRECTION('center_axis',(0.,1.,0.)); #406184=DIRECTION('ref_axis',(-1.,0.,0.)); #406185=DIRECTION('',(-1.,0.,0.)); #406186=DIRECTION('',(-1.,0.,0.)); #406187=DIRECTION('center_axis',(0.,0.,1.)); #406188=DIRECTION('ref_axis',(1.,0.,0.)); #406189=DIRECTION('center_axis',(0.,0.,1.)); #406190=DIRECTION('ref_axis',(1.,0.,0.)); #406191=DIRECTION('center_axis',(1.,0.,0.)); #406192=DIRECTION('ref_axis',(0.,1.,0.)); #406193=DIRECTION('',(0.,1.,0.)); #406194=DIRECTION('',(0.,0.,1.)); #406195=DIRECTION('',(0.,1.,0.)); #406196=DIRECTION('',(0.,0.,1.)); #406197=DIRECTION('center_axis',(0.,-1.,0.)); #406198=DIRECTION('ref_axis',(1.,0.,0.)); #406199=DIRECTION('',(1.,0.,0.)); #406200=DIRECTION('',(1.,0.,0.)); #406201=DIRECTION('',(0.,0.,1.)); #406202=DIRECTION('center_axis',(-1.,0.,0.)); #406203=DIRECTION('ref_axis',(0.,-1.,0.)); #406204=DIRECTION('',(0.,-1.,0.)); #406205=DIRECTION('',(0.,-1.,0.)); #406206=DIRECTION('',(0.,0.,1.)); #406207=DIRECTION('center_axis',(0.,1.,0.)); #406208=DIRECTION('ref_axis',(-1.,0.,0.)); #406209=DIRECTION('',(-1.,0.,0.)); #406210=DIRECTION('',(-1.,0.,0.)); #406211=DIRECTION('',(0.,0.,1.)); #406212=DIRECTION('center_axis',(-1.,0.,0.)); #406213=DIRECTION('ref_axis',(0.,-1.,0.)); #406214=DIRECTION('',(0.,-1.,0.)); #406215=DIRECTION('',(0.,-1.,0.)); #406216=DIRECTION('',(0.,0.,1.)); #406217=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406218=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406219=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406220=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406221=DIRECTION('',(0.,0.,1.)); #406222=DIRECTION('center_axis',(0.,-1.,0.)); #406223=DIRECTION('ref_axis',(1.,0.,0.)); #406224=DIRECTION('',(1.,0.,0.)); #406225=DIRECTION('',(1.,0.,0.)); #406226=DIRECTION('',(0.,0.,1.)); #406227=DIRECTION('center_axis',(-1.,0.,0.)); #406228=DIRECTION('ref_axis',(0.,-1.,0.)); #406229=DIRECTION('',(0.,-1.,0.)); #406230=DIRECTION('',(0.,-1.,0.)); #406231=DIRECTION('',(0.,0.,1.)); #406232=DIRECTION('center_axis',(0.,1.,0.)); #406233=DIRECTION('ref_axis',(-1.,0.,0.)); #406234=DIRECTION('',(-1.,0.,0.)); #406235=DIRECTION('',(-1.,0.,0.)); #406236=DIRECTION('',(0.,0.,1.)); #406237=DIRECTION('center_axis',(1.,0.,0.)); #406238=DIRECTION('ref_axis',(0.,1.,0.)); #406239=DIRECTION('',(0.,1.,0.)); #406240=DIRECTION('',(0.,1.,0.)); #406241=DIRECTION('',(0.,0.,1.)); #406242=DIRECTION('center_axis',(0.707106781186554,0.707106781186542,0.)); #406243=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186553,0.)); #406244=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #406245=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #406246=DIRECTION('',(0.,0.,1.)); #406247=DIRECTION('center_axis',(0.,0.,1.)); #406248=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406249=DIRECTION('center_axis',(0.,0.,1.)); #406250=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406251=DIRECTION('center_axis',(0.,0.,1.)); #406252=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #406253=DIRECTION('',(0.,0.,1.)); #406254=DIRECTION('center_axis',(1.,0.,0.)); #406255=DIRECTION('ref_axis',(0.,1.,0.)); #406256=DIRECTION('',(0.,1.,0.)); #406257=DIRECTION('',(0.,1.,0.)); #406258=DIRECTION('',(0.,0.,1.)); #406259=DIRECTION('center_axis',(0.,1.,0.)); #406260=DIRECTION('ref_axis',(-1.,0.,0.)); #406261=DIRECTION('',(-1.,0.,0.)); #406262=DIRECTION('',(-1.,0.,0.)); #406263=DIRECTION('center_axis',(0.,0.,1.)); #406264=DIRECTION('ref_axis',(1.,0.,0.)); #406265=DIRECTION('center_axis',(0.,0.,1.)); #406266=DIRECTION('ref_axis',(1.,0.,0.)); #406267=DIRECTION('center_axis',(0.,0.,1.)); #406268=DIRECTION('ref_axis',(1.,0.,0.)); #406269=DIRECTION('center_axis',(0.,0.,1.)); #406270=DIRECTION('ref_axis',(1.,0.,0.)); #406271=DIRECTION('',(0.,0.,1.)); #406272=DIRECTION('center_axis',(0.,0.,-1.)); #406273=DIRECTION('ref_axis',(1.,0.,0.)); #406274=DIRECTION('center_axis',(1.,0.,0.)); #406275=DIRECTION('ref_axis',(0.,1.,0.)); #406276=DIRECTION('',(0.,1.,0.)); #406277=DIRECTION('',(0.,0.,1.)); #406278=DIRECTION('',(0.,1.,0.)); #406279=DIRECTION('',(0.,0.,1.)); #406280=DIRECTION('center_axis',(0.,-1.,0.)); #406281=DIRECTION('ref_axis',(1.,0.,0.)); #406282=DIRECTION('',(1.,0.,0.)); #406283=DIRECTION('',(1.,0.,0.)); #406284=DIRECTION('',(0.,0.,1.)); #406285=DIRECTION('center_axis',(-1.,0.,0.)); #406286=DIRECTION('ref_axis',(0.,-1.,0.)); #406287=DIRECTION('',(0.,-1.,0.)); #406288=DIRECTION('',(0.,-1.,0.)); #406289=DIRECTION('',(0.,0.,1.)); #406290=DIRECTION('center_axis',(0.,1.,0.)); #406291=DIRECTION('ref_axis',(-1.,0.,0.)); #406292=DIRECTION('',(-1.,0.,0.)); #406293=DIRECTION('',(-1.,0.,0.)); #406294=DIRECTION('',(0.,0.,1.)); #406295=DIRECTION('center_axis',(-1.,0.,0.)); #406296=DIRECTION('ref_axis',(0.,-1.,0.)); #406297=DIRECTION('',(0.,-1.,0.)); #406298=DIRECTION('',(0.,-1.,0.)); #406299=DIRECTION('',(0.,0.,1.)); #406300=DIRECTION('center_axis',(0.,0.,1.)); #406301=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #406302=DIRECTION('center_axis',(0.,0.,1.)); #406303=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #406304=DIRECTION('center_axis',(0.,0.,1.)); #406305=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #406306=DIRECTION('',(0.,0.,1.)); #406307=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406308=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406309=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406310=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406311=DIRECTION('',(0.,0.,1.)); #406312=DIRECTION('center_axis',(-1.,0.,0.)); #406313=DIRECTION('ref_axis',(0.,-1.,0.)); #406314=DIRECTION('',(0.,-1.,0.)); #406315=DIRECTION('',(0.,-1.,0.)); #406316=DIRECTION('',(0.,0.,1.)); #406317=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406318=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406319=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406320=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406321=DIRECTION('',(0.,0.,1.)); #406322=DIRECTION('center_axis',(0.,-1.,0.)); #406323=DIRECTION('ref_axis',(1.,0.,0.)); #406324=DIRECTION('',(1.,0.,0.)); #406325=DIRECTION('',(1.,0.,0.)); #406326=DIRECTION('',(0.,0.,1.)); #406327=DIRECTION('center_axis',(0.,0.,1.)); #406328=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406329=DIRECTION('center_axis',(0.,0.,1.)); #406330=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406331=DIRECTION('center_axis',(0.,0.,1.)); #406332=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406333=DIRECTION('',(0.,0.,1.)); #406334=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #406335=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #406336=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #406337=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #406338=DIRECTION('',(0.,0.,1.)); #406339=DIRECTION('center_axis',(0.,0.,1.)); #406340=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #406341=DIRECTION('center_axis',(0.,0.,1.)); #406342=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #406343=DIRECTION('center_axis',(0.,0.,1.)); #406344=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #406345=DIRECTION('',(0.,0.,1.)); #406346=DIRECTION('center_axis',(-1.,0.,0.)); #406347=DIRECTION('ref_axis',(0.,-1.,0.)); #406348=DIRECTION('',(0.,-1.,0.)); #406349=DIRECTION('',(0.,-1.,0.)); #406350=DIRECTION('',(0.,0.,1.)); #406351=DIRECTION('center_axis',(0.,-1.,0.)); #406352=DIRECTION('ref_axis',(1.,0.,0.)); #406353=DIRECTION('',(1.,0.,0.)); #406354=DIRECTION('',(1.,0.,0.)); #406355=DIRECTION('',(0.,0.,1.)); #406356=DIRECTION('center_axis',(-1.,0.,0.)); #406357=DIRECTION('ref_axis',(0.,-1.,0.)); #406358=DIRECTION('',(0.,-1.,0.)); #406359=DIRECTION('',(0.,-1.,0.)); #406360=DIRECTION('',(0.,0.,1.)); #406361=DIRECTION('center_axis',(0.,1.,0.)); #406362=DIRECTION('ref_axis',(-1.,0.,0.)); #406363=DIRECTION('',(-1.,0.,0.)); #406364=DIRECTION('',(-1.,0.,0.)); #406365=DIRECTION('',(0.,0.,1.)); #406366=DIRECTION('center_axis',(1.,0.,0.)); #406367=DIRECTION('ref_axis',(0.,1.,0.)); #406368=DIRECTION('',(0.,1.,0.)); #406369=DIRECTION('',(0.,1.,0.)); #406370=DIRECTION('',(0.,0.,1.)); #406371=DIRECTION('center_axis',(0.,-1.,0.)); #406372=DIRECTION('ref_axis',(1.,0.,0.)); #406373=DIRECTION('',(1.,0.,0.)); #406374=DIRECTION('',(1.,0.,0.)); #406375=DIRECTION('',(0.,0.,1.)); #406376=DIRECTION('center_axis',(1.,0.,0.)); #406377=DIRECTION('ref_axis',(0.,1.,0.)); #406378=DIRECTION('',(0.,1.,0.)); #406379=DIRECTION('',(0.,1.,0.)); #406380=DIRECTION('',(0.,0.,1.)); #406381=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406382=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406383=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406384=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #406385=DIRECTION('',(0.,0.,1.)); #406386=DIRECTION('center_axis',(0.,1.,0.)); #406387=DIRECTION('ref_axis',(-1.,0.,0.)); #406388=DIRECTION('',(-1.,0.,0.)); #406389=DIRECTION('',(-1.,0.,0.)); #406390=DIRECTION('',(0.,0.,1.)); #406391=DIRECTION('center_axis',(0.,0.,1.)); #406392=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406393=DIRECTION('center_axis',(0.,0.,1.)); #406394=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406395=DIRECTION('center_axis',(0.,0.,1.)); #406396=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406397=DIRECTION('',(0.,0.,1.)); #406398=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406399=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406400=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406401=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406402=DIRECTION('',(0.,0.,1.)); #406403=DIRECTION('center_axis',(0.,0.,1.)); #406404=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #406405=DIRECTION('center_axis',(0.,0.,1.)); #406406=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #406407=DIRECTION('center_axis',(0.,0.,1.)); #406408=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #406409=DIRECTION('',(0.,0.,1.)); #406410=DIRECTION('center_axis',(1.,0.,0.)); #406411=DIRECTION('ref_axis',(0.,1.,0.)); #406412=DIRECTION('',(0.,1.,0.)); #406413=DIRECTION('',(0.,1.,0.)); #406414=DIRECTION('',(0.,0.,1.)); #406415=DIRECTION('center_axis',(0.,0.,1.)); #406416=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #406417=DIRECTION('center_axis',(0.,0.,1.)); #406418=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #406419=DIRECTION('center_axis',(0.,0.,1.)); #406420=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #406421=DIRECTION('',(0.,0.,1.)); #406422=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #406423=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #406424=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #406425=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #406426=DIRECTION('',(0.,0.,1.)); #406427=DIRECTION('center_axis',(1.,0.,0.)); #406428=DIRECTION('ref_axis',(0.,1.,0.)); #406429=DIRECTION('',(0.,1.,0.)); #406430=DIRECTION('',(0.,1.,0.)); #406431=DIRECTION('',(0.,0.,1.)); #406432=DIRECTION('center_axis',(0.,1.,0.)); #406433=DIRECTION('ref_axis',(-1.,0.,0.)); #406434=DIRECTION('',(-1.,0.,0.)); #406435=DIRECTION('',(-1.,0.,0.)); #406436=DIRECTION('',(0.,0.,1.)); #406437=DIRECTION('center_axis',(1.,0.,0.)); #406438=DIRECTION('ref_axis',(0.,1.,0.)); #406439=DIRECTION('',(0.,1.,0.)); #406440=DIRECTION('',(0.,1.,0.)); #406441=DIRECTION('',(0.,0.,1.)); #406442=DIRECTION('center_axis',(0.,1.,0.)); #406443=DIRECTION('ref_axis',(-1.,0.,0.)); #406444=DIRECTION('',(-1.,0.,0.)); #406445=DIRECTION('',(-1.,0.,0.)); #406446=DIRECTION('',(0.,0.,1.)); #406447=DIRECTION('center_axis',(-1.,0.,0.)); #406448=DIRECTION('ref_axis',(0.,-1.,0.)); #406449=DIRECTION('',(0.,-1.,0.)); #406450=DIRECTION('',(0.,-1.,0.)); #406451=DIRECTION('',(0.,0.,1.)); #406452=DIRECTION('center_axis',(0.,1.,0.)); #406453=DIRECTION('ref_axis',(-1.,0.,0.)); #406454=DIRECTION('',(-1.,0.,0.)); #406455=DIRECTION('',(-1.,0.,0.)); #406456=DIRECTION('',(0.,0.,1.)); #406457=DIRECTION('center_axis',(1.,0.,0.)); #406458=DIRECTION('ref_axis',(0.,1.,0.)); #406459=DIRECTION('',(0.,1.,0.)); #406460=DIRECTION('',(0.,1.,0.)); #406461=DIRECTION('',(0.,0.,1.)); #406462=DIRECTION('center_axis',(0.,-1.,0.)); #406463=DIRECTION('ref_axis',(1.,0.,0.)); #406464=DIRECTION('',(1.,0.,0.)); #406465=DIRECTION('',(1.,0.,0.)); #406466=DIRECTION('',(0.,0.,1.)); #406467=DIRECTION('center_axis',(-1.,0.,0.)); #406468=DIRECTION('ref_axis',(0.,-1.,0.)); #406469=DIRECTION('',(0.,-1.,0.)); #406470=DIRECTION('',(0.,-1.,0.)); #406471=DIRECTION('',(0.,0.,1.)); #406472=DIRECTION('center_axis',(0.,-1.,0.)); #406473=DIRECTION('ref_axis',(1.,0.,0.)); #406474=DIRECTION('',(1.,0.,0.)); #406475=DIRECTION('',(1.,0.,0.)); #406476=DIRECTION('center_axis',(0.,0.,1.)); #406477=DIRECTION('ref_axis',(1.,0.,0.)); #406478=DIRECTION('center_axis',(0.,0.,1.)); #406479=DIRECTION('ref_axis',(1.,0.,0.)); #406480=DIRECTION('center_axis',(0.,0.,1.)); #406481=DIRECTION('ref_axis',(1.,0.,0.)); #406482=DIRECTION('center_axis',(0.,0.,1.)); #406483=DIRECTION('ref_axis',(1.,0.,0.)); #406484=DIRECTION('',(0.,0.,1.)); #406485=DIRECTION('center_axis',(0.,0.,-1.)); #406486=DIRECTION('ref_axis',(1.,0.,0.)); #406487=DIRECTION('center_axis',(0.,0.,1.)); #406488=DIRECTION('ref_axis',(1.,0.,0.)); #406489=DIRECTION('center_axis',(0.,0.,1.)); #406490=DIRECTION('ref_axis',(1.,0.,0.)); #406491=DIRECTION('',(0.,0.,1.)); #406492=DIRECTION('center_axis',(0.,0.,-1.)); #406493=DIRECTION('ref_axis',(1.,0.,0.)); #406494=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #406495=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #406496=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #406497=DIRECTION('',(0.,0.,1.)); #406498=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #406499=DIRECTION('',(0.,0.,1.)); #406500=DIRECTION('center_axis',(0.,0.,1.)); #406501=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #406502=DIRECTION('center_axis',(0.,0.,1.)); #406503=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #406504=DIRECTION('center_axis',(0.,0.,1.)); #406505=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #406506=DIRECTION('',(0.,0.,1.)); #406507=DIRECTION('center_axis',(-1.,0.,0.)); #406508=DIRECTION('ref_axis',(0.,-1.,0.)); #406509=DIRECTION('',(0.,-1.,0.)); #406510=DIRECTION('',(0.,-1.,0.)); #406511=DIRECTION('',(0.,0.,1.)); #406512=DIRECTION('center_axis',(0.,0.,1.)); #406513=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #406514=DIRECTION('center_axis',(0.,0.,1.)); #406515=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #406516=DIRECTION('center_axis',(0.,0.,1.)); #406517=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #406518=DIRECTION('',(0.,0.,1.)); #406519=DIRECTION('center_axis',(0.,0.,1.)); #406520=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #406521=DIRECTION('center_axis',(0.,0.,1.)); #406522=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #406523=DIRECTION('center_axis',(0.,0.,1.)); #406524=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #406525=DIRECTION('',(0.,0.,1.)); #406526=DIRECTION('center_axis',(1.,0.,0.)); #406527=DIRECTION('ref_axis',(0.,1.,0.)); #406528=DIRECTION('',(0.,1.,0.)); #406529=DIRECTION('',(0.,1.,0.)); #406530=DIRECTION('',(0.,0.,1.)); #406531=DIRECTION('center_axis',(0.,0.,1.)); #406532=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #406533=DIRECTION('center_axis',(0.,0.,1.)); #406534=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #406535=DIRECTION('center_axis',(0.,0.,1.)); #406536=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #406537=DIRECTION('center_axis',(0.,0.,1.)); #406538=DIRECTION('ref_axis',(1.,0.,0.)); #406539=DIRECTION('center_axis',(0.,0.,1.)); #406540=DIRECTION('ref_axis',(1.,0.,0.)); #406541=DIRECTION('center_axis',(0.,0.,1.)); #406542=DIRECTION('ref_axis',(1.,0.,0.)); #406543=DIRECTION('center_axis',(0.,0.,1.)); #406544=DIRECTION('ref_axis',(1.,0.,0.)); #406545=DIRECTION('',(0.,0.,1.)); #406546=DIRECTION('center_axis',(0.,0.,-1.)); #406547=DIRECTION('ref_axis',(1.,0.,0.)); #406548=DIRECTION('center_axis',(1.,0.,0.)); #406549=DIRECTION('ref_axis',(0.,1.,0.)); #406550=DIRECTION('',(0.,1.,0.)); #406551=DIRECTION('',(0.,0.,1.)); #406552=DIRECTION('',(0.,1.,0.)); #406553=DIRECTION('',(0.,0.,1.)); #406554=DIRECTION('center_axis',(0.,-1.,0.)); #406555=DIRECTION('ref_axis',(1.,0.,0.)); #406556=DIRECTION('',(1.,0.,0.)); #406557=DIRECTION('',(1.,0.,0.)); #406558=DIRECTION('',(0.,0.,1.)); #406559=DIRECTION('center_axis',(-1.,0.,0.)); #406560=DIRECTION('ref_axis',(0.,-1.,0.)); #406561=DIRECTION('',(0.,-1.,0.)); #406562=DIRECTION('',(0.,-1.,0.)); #406563=DIRECTION('',(0.,0.,1.)); #406564=DIRECTION('center_axis',(0.,1.,0.)); #406565=DIRECTION('ref_axis',(-1.,0.,0.)); #406566=DIRECTION('',(-1.,0.,0.)); #406567=DIRECTION('',(-1.,0.,0.)); #406568=DIRECTION('center_axis',(0.,0.,1.)); #406569=DIRECTION('ref_axis',(1.,0.,0.)); #406570=DIRECTION('center_axis',(0.,0.,1.)); #406571=DIRECTION('ref_axis',(1.,0.,0.)); #406572=DIRECTION('center_axis',(0.,0.,1.)); #406573=DIRECTION('ref_axis',(1.,0.,0.)); #406574=DIRECTION('center_axis',(0.,0.,1.)); #406575=DIRECTION('ref_axis',(1.,0.,0.)); #406576=DIRECTION('',(0.,0.,1.)); #406577=DIRECTION('center_axis',(0.,0.,-1.)); #406578=DIRECTION('ref_axis',(1.,0.,0.)); #406579=DIRECTION('center_axis',(0.,0.,1.)); #406580=DIRECTION('ref_axis',(1.,0.,0.)); #406581=DIRECTION('center_axis',(0.,0.,1.)); #406582=DIRECTION('ref_axis',(1.,0.,0.)); #406583=DIRECTION('',(0.,0.,1.)); #406584=DIRECTION('center_axis',(0.,0.,-1.)); #406585=DIRECTION('ref_axis',(1.,0.,0.)); #406586=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #406587=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #406588=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #406589=DIRECTION('',(0.,0.,1.)); #406590=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #406591=DIRECTION('',(0.,0.,1.)); #406592=DIRECTION('center_axis',(0.,0.,1.)); #406593=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #406594=DIRECTION('center_axis',(0.,0.,1.)); #406595=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #406596=DIRECTION('center_axis',(0.,0.,1.)); #406597=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #406598=DIRECTION('',(0.,0.,1.)); #406599=DIRECTION('center_axis',(0.,-1.,0.)); #406600=DIRECTION('ref_axis',(1.,0.,0.)); #406601=DIRECTION('',(1.,0.,0.)); #406602=DIRECTION('',(1.,0.,0.)); #406603=DIRECTION('',(0.,0.,1.)); #406604=DIRECTION('center_axis',(0.,0.,1.)); #406605=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406606=DIRECTION('center_axis',(0.,0.,1.)); #406607=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406608=DIRECTION('center_axis',(0.,0.,1.)); #406609=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #406610=DIRECTION('',(0.,0.,1.)); #406611=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #406612=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #406613=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #406614=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #406615=DIRECTION('',(0.,0.,1.)); #406616=DIRECTION('center_axis',(0.,0.,1.)); #406617=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #406618=DIRECTION('center_axis',(0.,0.,1.)); #406619=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #406620=DIRECTION('center_axis',(0.,0.,1.)); #406621=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #406622=DIRECTION('',(0.,0.,1.)); #406623=DIRECTION('center_axis',(-1.,0.,0.)); #406624=DIRECTION('ref_axis',(0.,-1.,0.)); #406625=DIRECTION('',(0.,-1.,0.)); #406626=DIRECTION('',(0.,-1.,0.)); #406627=DIRECTION('',(0.,0.,1.)); #406628=DIRECTION('center_axis',(0.,0.,1.)); #406629=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #406630=DIRECTION('center_axis',(0.,0.,1.)); #406631=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #406632=DIRECTION('center_axis',(0.,0.,1.)); #406633=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #406634=DIRECTION('',(0.,0.,1.)); #406635=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406636=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406637=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406638=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406639=DIRECTION('',(0.,0.,1.)); #406640=DIRECTION('center_axis',(0.,0.,1.)); #406641=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #406642=DIRECTION('center_axis',(0.,0.,1.)); #406643=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #406644=DIRECTION('center_axis',(0.,0.,1.)); #406645=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #406646=DIRECTION('',(0.,0.,1.)); #406647=DIRECTION('center_axis',(0.,1.,0.)); #406648=DIRECTION('ref_axis',(-1.,0.,0.)); #406649=DIRECTION('',(-1.,0.,0.)); #406650=DIRECTION('',(-1.,0.,0.)); #406651=DIRECTION('',(0.,0.,1.)); #406652=DIRECTION('center_axis',(0.,0.,1.)); #406653=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406654=DIRECTION('center_axis',(0.,0.,1.)); #406655=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406656=DIRECTION('center_axis',(0.,0.,1.)); #406657=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #406658=DIRECTION('',(0.,0.,1.)); #406659=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #406660=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #406661=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #406662=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #406663=DIRECTION('',(0.,0.,1.)); #406664=DIRECTION('center_axis',(0.,0.,1.)); #406665=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #406666=DIRECTION('center_axis',(0.,0.,1.)); #406667=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #406668=DIRECTION('center_axis',(0.,0.,1.)); #406669=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #406670=DIRECTION('',(0.,0.,1.)); #406671=DIRECTION('center_axis',(0.,0.,1.)); #406672=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #406673=DIRECTION('center_axis',(0.,0.,1.)); #406674=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #406675=DIRECTION('center_axis',(0.,0.,1.)); #406676=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #406677=DIRECTION('',(0.,0.,1.)); #406678=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #406679=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #406680=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #406681=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #406682=DIRECTION('',(0.,0.,1.)); #406683=DIRECTION('center_axis',(0.,-1.,0.)); #406684=DIRECTION('ref_axis',(1.,0.,0.)); #406685=DIRECTION('',(1.,0.,0.)); #406686=DIRECTION('',(1.,0.,0.)); #406687=DIRECTION('',(0.,0.,1.)); #406688=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #406689=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #406690=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #406691=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #406692=DIRECTION('',(0.,0.,1.)); #406693=DIRECTION('center_axis',(1.,0.,0.)); #406694=DIRECTION('ref_axis',(0.,1.,0.)); #406695=DIRECTION('',(0.,1.,0.)); #406696=DIRECTION('',(0.,1.,0.)); #406697=DIRECTION('',(0.,0.,1.)); #406698=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #406699=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #406700=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #406701=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #406702=DIRECTION('',(0.,0.,1.)); #406703=DIRECTION('center_axis',(0.,1.,0.)); #406704=DIRECTION('ref_axis',(-1.,0.,0.)); #406705=DIRECTION('',(-1.,0.,0.)); #406706=DIRECTION('',(-1.,0.,0.)); #406707=DIRECTION('',(0.,0.,1.)); #406708=DIRECTION('center_axis',(0.,0.,1.)); #406709=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #406710=DIRECTION('center_axis',(0.,0.,1.)); #406711=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #406712=DIRECTION('center_axis',(0.,0.,1.)); #406713=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #406714=DIRECTION('',(0.,0.,1.)); #406715=DIRECTION('center_axis',(0.,0.,1.)); #406716=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #406717=DIRECTION('center_axis',(0.,0.,1.)); #406718=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #406719=DIRECTION('center_axis',(0.,0.,1.)); #406720=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #406721=DIRECTION('center_axis',(0.,0.,1.)); #406722=DIRECTION('ref_axis',(1.,0.,0.)); #406723=DIRECTION('center_axis',(0.,0.,1.)); #406724=DIRECTION('ref_axis',(1.,0.,0.)); #406725=DIRECTION('center_axis',(0.,0.,1.)); #406726=DIRECTION('ref_axis',(1.,0.,0.)); #406727=DIRECTION('center_axis',(0.,0.,1.)); #406728=DIRECTION('ref_axis',(1.,0.,0.)); #406729=DIRECTION('',(0.,0.,1.)); #406730=DIRECTION('center_axis',(0.,0.,-1.)); #406731=DIRECTION('ref_axis',(1.,0.,0.)); #406732=DIRECTION('center_axis',(0.,0.,1.)); #406733=DIRECTION('ref_axis',(1.,0.,0.)); #406734=DIRECTION('center_axis',(0.,0.,1.)); #406735=DIRECTION('ref_axis',(1.,0.,0.)); #406736=DIRECTION('',(0.,0.,1.)); #406737=DIRECTION('center_axis',(0.,0.,-1.)); #406738=DIRECTION('ref_axis',(1.,0.,0.)); #406739=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #406740=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #406741=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #406742=DIRECTION('',(0.,0.,1.)); #406743=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #406744=DIRECTION('',(0.,0.,1.)); #406745=DIRECTION('center_axis',(0.,0.,1.)); #406746=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #406747=DIRECTION('center_axis',(0.,0.,1.)); #406748=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #406749=DIRECTION('center_axis',(0.,0.,1.)); #406750=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #406751=DIRECTION('',(0.,0.,1.)); #406752=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406753=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406754=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406755=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406756=DIRECTION('',(0.,0.,1.)); #406757=DIRECTION('center_axis',(0.,0.,1.)); #406758=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #406759=DIRECTION('center_axis',(0.,0.,1.)); #406760=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #406761=DIRECTION('center_axis',(0.,0.,1.)); #406762=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #406763=DIRECTION('',(0.,0.,1.)); #406764=DIRECTION('center_axis',(0.,0.,1.)); #406765=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #406766=DIRECTION('center_axis',(0.,0.,1.)); #406767=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #406768=DIRECTION('center_axis',(0.,0.,1.)); #406769=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #406770=DIRECTION('',(0.,0.,1.)); #406771=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406772=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406773=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406774=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406775=DIRECTION('',(0.,0.,1.)); #406776=DIRECTION('center_axis',(-0.308977861954575,0.951069230299235,0.)); #406777=DIRECTION('ref_axis',(-0.951069230299235,-0.308977861954575,0.)); #406778=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #406779=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #406780=DIRECTION('',(0.,0.,1.)); #406781=DIRECTION('center_axis',(0.,0.,1.)); #406782=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #406783=DIRECTION('center_axis',(0.,0.,1.)); #406784=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #406785=DIRECTION('center_axis',(0.,0.,1.)); #406786=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #406787=DIRECTION('center_axis',(0.,0.,1.)); #406788=DIRECTION('ref_axis',(1.,0.,0.)); #406789=DIRECTION('center_axis',(0.,0.,1.)); #406790=DIRECTION('ref_axis',(1.,0.,0.)); #406791=DIRECTION('center_axis',(0.,0.,1.)); #406792=DIRECTION('ref_axis',(1.,0.,0.)); #406793=DIRECTION('center_axis',(0.,0.,1.)); #406794=DIRECTION('ref_axis',(1.,0.,0.)); #406795=DIRECTION('',(0.,0.,1.)); #406796=DIRECTION('center_axis',(0.,0.,-1.)); #406797=DIRECTION('ref_axis',(1.,0.,0.)); #406798=DIRECTION('center_axis',(1.,0.,0.)); #406799=DIRECTION('ref_axis',(0.,1.,0.)); #406800=DIRECTION('',(0.,1.,0.)); #406801=DIRECTION('',(0.,0.,1.)); #406802=DIRECTION('',(0.,1.,0.)); #406803=DIRECTION('',(0.,0.,1.)); #406804=DIRECTION('center_axis',(0.,-1.,0.)); #406805=DIRECTION('ref_axis',(1.,0.,0.)); #406806=DIRECTION('',(1.,0.,0.)); #406807=DIRECTION('',(1.,0.,0.)); #406808=DIRECTION('',(0.,0.,1.)); #406809=DIRECTION('center_axis',(-1.,0.,0.)); #406810=DIRECTION('ref_axis',(0.,-1.,0.)); #406811=DIRECTION('',(0.,-1.,0.)); #406812=DIRECTION('',(0.,-1.,0.)); #406813=DIRECTION('',(0.,0.,1.)); #406814=DIRECTION('center_axis',(0.,1.,0.)); #406815=DIRECTION('ref_axis',(-1.,0.,0.)); #406816=DIRECTION('',(-1.,0.,0.)); #406817=DIRECTION('',(-1.,0.,0.)); #406818=DIRECTION('center_axis',(0.,0.,1.)); #406819=DIRECTION('ref_axis',(1.,0.,0.)); #406820=DIRECTION('center_axis',(0.,0.,1.)); #406821=DIRECTION('ref_axis',(1.,0.,0.)); #406822=DIRECTION('center_axis',(0.,0.,1.)); #406823=DIRECTION('ref_axis',(1.,0.,0.)); #406824=DIRECTION('center_axis',(0.,0.,1.)); #406825=DIRECTION('ref_axis',(1.,0.,0.)); #406826=DIRECTION('',(0.,0.,1.)); #406827=DIRECTION('center_axis',(0.,0.,-1.)); #406828=DIRECTION('ref_axis',(1.,0.,0.)); #406829=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #406830=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #406831=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #406832=DIRECTION('',(0.,0.,1.)); #406833=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #406834=DIRECTION('',(0.,0.,1.)); #406835=DIRECTION('center_axis',(0.,0.,1.)); #406836=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #406837=DIRECTION('center_axis',(0.,0.,1.)); #406838=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #406839=DIRECTION('center_axis',(0.,0.,1.)); #406840=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #406841=DIRECTION('',(0.,0.,1.)); #406842=DIRECTION('center_axis',(0.,0.,1.)); #406843=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #406844=DIRECTION('center_axis',(0.,0.,1.)); #406845=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #406846=DIRECTION('center_axis',(0.,0.,1.)); #406847=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #406848=DIRECTION('center_axis',(0.,0.,1.)); #406849=DIRECTION('ref_axis',(1.,0.,0.)); #406850=DIRECTION('center_axis',(0.,0.,1.)); #406851=DIRECTION('ref_axis',(1.,0.,0.)); #406852=DIRECTION('center_axis',(0.,0.,1.)); #406853=DIRECTION('ref_axis',(1.,0.,0.)); #406854=DIRECTION('center_axis',(0.,0.,1.)); #406855=DIRECTION('ref_axis',(1.,0.,0.)); #406856=DIRECTION('',(0.,0.,1.)); #406857=DIRECTION('center_axis',(0.,0.,-1.)); #406858=DIRECTION('ref_axis',(1.,0.,0.)); #406859=DIRECTION('center_axis',(0.,0.,1.)); #406860=DIRECTION('ref_axis',(1.,0.,0.)); #406861=DIRECTION('center_axis',(0.,0.,1.)); #406862=DIRECTION('ref_axis',(1.,0.,0.)); #406863=DIRECTION('',(0.,0.,1.)); #406864=DIRECTION('center_axis',(0.,0.,-1.)); #406865=DIRECTION('ref_axis',(1.,0.,0.)); #406866=DIRECTION('center_axis',(0.,0.,1.)); #406867=DIRECTION('ref_axis',(1.,0.,0.)); #406868=DIRECTION('center_axis',(0.,0.,1.)); #406869=DIRECTION('ref_axis',(1.,0.,0.)); #406870=DIRECTION('',(0.,0.,1.)); #406871=DIRECTION('center_axis',(0.,0.,-1.)); #406872=DIRECTION('ref_axis',(1.,0.,0.)); #406873=DIRECTION('center_axis',(0.,0.,1.)); #406874=DIRECTION('ref_axis',(1.,0.,0.)); #406875=DIRECTION('center_axis',(0.,0.,1.)); #406876=DIRECTION('ref_axis',(1.,0.,0.)); #406877=DIRECTION('',(0.,0.,1.)); #406878=DIRECTION('center_axis',(0.,0.,-1.)); #406879=DIRECTION('ref_axis',(1.,0.,0.)); #406880=DIRECTION('center_axis',(0.,0.,1.)); #406881=DIRECTION('ref_axis',(1.,0.,0.)); #406882=DIRECTION('center_axis',(0.,0.,1.)); #406883=DIRECTION('ref_axis',(1.,0.,0.)); #406884=DIRECTION('',(0.,0.,1.)); #406885=DIRECTION('center_axis',(0.,0.,-1.)); #406886=DIRECTION('ref_axis',(1.,0.,0.)); #406887=DIRECTION('center_axis',(0.,0.,1.)); #406888=DIRECTION('ref_axis',(1.,0.,0.)); #406889=DIRECTION('center_axis',(0.,0.,1.)); #406890=DIRECTION('ref_axis',(1.,0.,0.)); #406891=DIRECTION('',(0.,0.,1.)); #406892=DIRECTION('center_axis',(0.,0.,-1.)); #406893=DIRECTION('ref_axis',(1.,0.,0.)); #406894=DIRECTION('center_axis',(0.,0.,1.)); #406895=DIRECTION('ref_axis',(1.,0.,0.)); #406896=DIRECTION('center_axis',(0.,0.,1.)); #406897=DIRECTION('ref_axis',(1.,0.,0.)); #406898=DIRECTION('',(0.,0.,1.)); #406899=DIRECTION('center_axis',(0.,0.,-1.)); #406900=DIRECTION('ref_axis',(1.,0.,0.)); #406901=DIRECTION('center_axis',(0.,0.,1.)); #406902=DIRECTION('ref_axis',(1.,0.,0.)); #406903=DIRECTION('center_axis',(0.,0.,1.)); #406904=DIRECTION('ref_axis',(1.,0.,0.)); #406905=DIRECTION('',(0.,0.,1.)); #406906=DIRECTION('center_axis',(0.,0.,-1.)); #406907=DIRECTION('ref_axis',(1.,0.,0.)); #406908=DIRECTION('center_axis',(0.,0.,1.)); #406909=DIRECTION('ref_axis',(1.,0.,0.)); #406910=DIRECTION('center_axis',(0.,0.,1.)); #406911=DIRECTION('ref_axis',(1.,0.,0.)); #406912=DIRECTION('',(0.,0.,1.)); #406913=DIRECTION('center_axis',(0.,0.,-1.)); #406914=DIRECTION('ref_axis',(1.,0.,0.)); #406915=DIRECTION('center_axis',(0.,0.,1.)); #406916=DIRECTION('ref_axis',(1.,0.,0.)); #406917=DIRECTION('center_axis',(0.,0.,1.)); #406918=DIRECTION('ref_axis',(1.,0.,0.)); #406919=DIRECTION('',(0.,0.,1.)); #406920=DIRECTION('center_axis',(0.,0.,-1.)); #406921=DIRECTION('ref_axis',(1.,0.,0.)); #406922=DIRECTION('center_axis',(0.,0.,1.)); #406923=DIRECTION('ref_axis',(1.,0.,0.)); #406924=DIRECTION('center_axis',(0.,0.,1.)); #406925=DIRECTION('ref_axis',(1.,0.,0.)); #406926=DIRECTION('',(0.,0.,1.)); #406927=DIRECTION('center_axis',(0.,0.,-1.)); #406928=DIRECTION('ref_axis',(1.,0.,0.)); #406929=DIRECTION('center_axis',(0.,0.,1.)); #406930=DIRECTION('ref_axis',(1.,0.,0.)); #406931=DIRECTION('center_axis',(0.,0.,1.)); #406932=DIRECTION('ref_axis',(1.,0.,0.)); #406933=DIRECTION('',(0.,0.,1.)); #406934=DIRECTION('center_axis',(0.,0.,-1.)); #406935=DIRECTION('ref_axis',(1.,0.,0.)); #406936=DIRECTION('center_axis',(0.,0.,1.)); #406937=DIRECTION('ref_axis',(1.,0.,0.)); #406938=DIRECTION('center_axis',(0.,0.,1.)); #406939=DIRECTION('ref_axis',(1.,0.,0.)); #406940=DIRECTION('',(0.,0.,1.)); #406941=DIRECTION('center_axis',(0.,0.,-1.)); #406942=DIRECTION('ref_axis',(1.,0.,0.)); #406943=DIRECTION('center_axis',(0.,1.,0.)); #406944=DIRECTION('ref_axis',(-1.,0.,0.)); #406945=DIRECTION('',(-1.,0.,0.)); #406946=DIRECTION('',(0.,0.,1.)); #406947=DIRECTION('',(-1.,0.,0.)); #406948=DIRECTION('',(0.,0.,1.)); #406949=DIRECTION('center_axis',(-0.382675626048509,0.923882765954849,0.)); #406950=DIRECTION('ref_axis',(-0.923882765954849,-0.382675626048509,0.)); #406951=DIRECTION('',(-0.923882765954849,-0.382675626048509,0.)); #406952=DIRECTION('',(-0.923882765954849,-0.382675626048509,0.)); #406953=DIRECTION('',(0.,0.,1.)); #406954=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406955=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406956=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406957=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #406958=DIRECTION('',(0.,0.,1.)); #406959=DIRECTION('center_axis',(-0.923882765954851,0.382675626048503,0.)); #406960=DIRECTION('ref_axis',(-0.382675626048503,-0.923882765954851,0.)); #406961=DIRECTION('',(-0.382675626048503,-0.923882765954851,0.)); #406962=DIRECTION('',(-0.382675626048503,-0.923882765954851,0.)); #406963=DIRECTION('',(0.,0.,1.)); #406964=DIRECTION('center_axis',(-1.,0.,0.)); #406965=DIRECTION('ref_axis',(0.,-1.,0.)); #406966=DIRECTION('',(0.,-1.,0.)); #406967=DIRECTION('',(0.,-1.,0.)); #406968=DIRECTION('',(0.,0.,1.)); #406969=DIRECTION('center_axis',(-0.923882765954851,-0.382675626048503, 0.)); #406970=DIRECTION('ref_axis',(0.382675626048503,-0.923882765954851,0.)); #406971=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #406972=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #406973=DIRECTION('',(0.,0.,1.)); #406974=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406975=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406976=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406977=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406978=DIRECTION('',(0.,0.,1.)); #406979=DIRECTION('center_axis',(-0.382675626048509,-0.923882765954849, 0.)); #406980=DIRECTION('ref_axis',(0.923882765954849,-0.382675626048509,0.)); #406981=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #406982=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #406983=DIRECTION('',(0.,0.,1.)); #406984=DIRECTION('center_axis',(0.,-1.,0.)); #406985=DIRECTION('ref_axis',(1.,0.,0.)); #406986=DIRECTION('',(1.,0.,0.)); #406987=DIRECTION('',(1.,0.,0.)); #406988=DIRECTION('',(0.,0.,1.)); #406989=DIRECTION('center_axis',(0.382675626048513,-0.923882765954847,0.)); #406990=DIRECTION('ref_axis',(0.923882765954847,0.382675626048513,0.)); #406991=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #406992=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #406993=DIRECTION('',(0.,0.,1.)); #406994=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #406995=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #406996=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #406997=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #406998=DIRECTION('',(0.,0.,1.)); #406999=DIRECTION('center_axis',(0.923882765954847,-0.382675626048513,0.)); #407000=DIRECTION('ref_axis',(0.382675626048513,0.923882765954847,0.)); #407001=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #407002=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #407003=DIRECTION('',(0.,0.,1.)); #407004=DIRECTION('center_axis',(1.,0.,0.)); #407005=DIRECTION('ref_axis',(0.,1.,0.)); #407006=DIRECTION('',(0.,1.,0.)); #407007=DIRECTION('',(0.,1.,0.)); #407008=DIRECTION('',(0.,0.,1.)); #407009=DIRECTION('center_axis',(0.923882765954847,0.382675626048513,0.)); #407010=DIRECTION('ref_axis',(-0.382675626048513,0.923882765954847,0.)); #407011=DIRECTION('',(-0.382675626048513,0.923882765954847,0.)); #407012=DIRECTION('',(-0.382675626048513,0.923882765954847,0.)); #407013=DIRECTION('',(0.,0.,1.)); #407014=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407015=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407016=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407017=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407018=DIRECTION('',(0.,0.,1.)); #407019=DIRECTION('center_axis',(0.382675626048513,0.923882765954847,0.)); #407020=DIRECTION('ref_axis',(-0.923882765954847,0.382675626048513,0.)); #407021=DIRECTION('',(-0.923882765954847,0.382675626048513,0.)); #407022=DIRECTION('',(-0.923882765954847,0.382675626048513,0.)); #407023=DIRECTION('center_axis',(0.110520982498919,-0.993873790995353,0.)); #407024=DIRECTION('ref_axis',(0.993873790995353,0.110520982498919,0.)); #407025=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #407026=DIRECTION('',(0.,0.,1.)); #407027=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #407028=DIRECTION('',(0.,0.,1.)); #407029=DIRECTION('center_axis',(0.,-1.,0.)); #407030=DIRECTION('ref_axis',(1.,0.,0.)); #407031=DIRECTION('',(1.,0.,0.)); #407032=DIRECTION('',(1.,0.,0.)); #407033=DIRECTION('',(0.,0.,1.)); #407034=DIRECTION('center_axis',(0.,0.,1.)); #407035=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #407036=DIRECTION('center_axis',(0.,0.,1.)); #407037=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #407038=DIRECTION('center_axis',(0.,0.,1.)); #407039=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #407040=DIRECTION('',(0.,0.,1.)); #407041=DIRECTION('center_axis',(-0.715039296629332,-0.699084261213074, 0.)); #407042=DIRECTION('ref_axis',(0.699084261213074,-0.715039296629332,0.)); #407043=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #407044=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #407045=DIRECTION('',(0.,0.,1.)); #407046=DIRECTION('center_axis',(0.,-1.,0.)); #407047=DIRECTION('ref_axis',(1.,0.,0.)); #407048=DIRECTION('',(1.,0.,0.)); #407049=DIRECTION('',(1.,0.,0.)); #407050=DIRECTION('',(0.,0.,1.)); #407051=DIRECTION('center_axis',(-1.,0.,0.)); #407052=DIRECTION('ref_axis',(0.,-1.,0.)); #407053=DIRECTION('',(0.,-1.,0.)); #407054=DIRECTION('',(0.,-1.,0.)); #407055=DIRECTION('',(0.,0.,1.)); #407056=DIRECTION('center_axis',(0.,1.,0.)); #407057=DIRECTION('ref_axis',(-1.,0.,0.)); #407058=DIRECTION('',(-1.,0.,0.)); #407059=DIRECTION('',(-1.,0.,0.)); #407060=DIRECTION('',(0.,0.,1.)); #407061=DIRECTION('center_axis',(1.,0.,0.)); #407062=DIRECTION('ref_axis',(0.,1.,0.)); #407063=DIRECTION('',(0.,1.,0.)); #407064=DIRECTION('',(0.,1.,0.)); #407065=DIRECTION('',(0.,0.,1.)); #407066=DIRECTION('center_axis',(0.7150384910573,0.699085085169538,0.)); #407067=DIRECTION('ref_axis',(-0.699085085169538,0.7150384910573,0.)); #407068=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #407069=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #407070=DIRECTION('',(0.,0.,1.)); #407071=DIRECTION('center_axis',(0.,1.,0.)); #407072=DIRECTION('ref_axis',(-1.,0.,0.)); #407073=DIRECTION('',(-1.,0.,0.)); #407074=DIRECTION('',(-1.,0.,0.)); #407075=DIRECTION('',(0.,0.,1.)); #407076=DIRECTION('center_axis',(-0.7150384910573,0.699085085169538,0.)); #407077=DIRECTION('ref_axis',(-0.699085085169538,-0.7150384910573,0.)); #407078=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #407079=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #407080=DIRECTION('',(0.,0.,1.)); #407081=DIRECTION('center_axis',(-1.,0.,0.)); #407082=DIRECTION('ref_axis',(0.,-1.,0.)); #407083=DIRECTION('',(0.,-1.,0.)); #407084=DIRECTION('',(0.,-1.,0.)); #407085=DIRECTION('',(0.,0.,1.)); #407086=DIRECTION('center_axis',(0.,1.,0.)); #407087=DIRECTION('ref_axis',(-1.,0.,0.)); #407088=DIRECTION('',(-1.,0.,0.)); #407089=DIRECTION('',(-1.,0.,0.)); #407090=DIRECTION('',(0.,0.,1.)); #407091=DIRECTION('center_axis',(-1.,0.,0.)); #407092=DIRECTION('ref_axis',(0.,-1.,0.)); #407093=DIRECTION('',(0.,-1.,0.)); #407094=DIRECTION('',(0.,-1.,0.)); #407095=DIRECTION('',(0.,0.,1.)); #407096=DIRECTION('center_axis',(0.,-1.,0.)); #407097=DIRECTION('ref_axis',(1.,0.,0.)); #407098=DIRECTION('',(1.,0.,0.)); #407099=DIRECTION('',(1.,0.,0.)); #407100=DIRECTION('',(0.,0.,1.)); #407101=DIRECTION('center_axis',(-1.,0.,0.)); #407102=DIRECTION('ref_axis',(0.,-1.,0.)); #407103=DIRECTION('',(0.,-1.,0.)); #407104=DIRECTION('',(0.,-1.,0.)); #407105=DIRECTION('',(0.,0.,1.)); #407106=DIRECTION('center_axis',(0.,1.,0.)); #407107=DIRECTION('ref_axis',(-1.,0.,0.)); #407108=DIRECTION('',(-1.,0.,0.)); #407109=DIRECTION('',(-1.,0.,0.)); #407110=DIRECTION('',(0.,0.,1.)); #407111=DIRECTION('center_axis',(1.,0.,0.)); #407112=DIRECTION('ref_axis',(0.,1.,0.)); #407113=DIRECTION('',(0.,1.,0.)); #407114=DIRECTION('',(0.,1.,0.)); #407115=DIRECTION('',(0.,0.,1.)); #407116=DIRECTION('center_axis',(0.,-1.,0.)); #407117=DIRECTION('ref_axis',(1.,0.,0.)); #407118=DIRECTION('',(1.,0.,0.)); #407119=DIRECTION('',(1.,0.,0.)); #407120=DIRECTION('',(0.,0.,1.)); #407121=DIRECTION('center_axis',(1.,0.,0.)); #407122=DIRECTION('ref_axis',(0.,1.,0.)); #407123=DIRECTION('',(0.,1.,0.)); #407124=DIRECTION('',(0.,1.,0.)); #407125=DIRECTION('',(0.,0.,1.)); #407126=DIRECTION('center_axis',(0.,1.,0.)); #407127=DIRECTION('ref_axis',(-1.,0.,0.)); #407128=DIRECTION('',(-1.,0.,0.)); #407129=DIRECTION('',(-1.,0.,0.)); #407130=DIRECTION('',(0.,0.,1.)); #407131=DIRECTION('center_axis',(1.,0.,0.)); #407132=DIRECTION('ref_axis',(0.,1.,0.)); #407133=DIRECTION('',(0.,1.,0.)); #407134=DIRECTION('',(0.,1.,0.)); #407135=DIRECTION('',(0.,0.,1.)); #407136=DIRECTION('center_axis',(0.,1.,0.)); #407137=DIRECTION('ref_axis',(-1.,0.,0.)); #407138=DIRECTION('',(-1.,0.,0.)); #407139=DIRECTION('',(-1.,0.,0.)); #407140=DIRECTION('',(0.,0.,1.)); #407141=DIRECTION('center_axis',(0.,0.,1.)); #407142=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #407143=DIRECTION('center_axis',(0.,0.,1.)); #407144=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #407145=DIRECTION('center_axis',(0.,0.,1.)); #407146=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #407147=DIRECTION('',(0.,0.,1.)); #407148=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407149=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407150=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407151=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407152=DIRECTION('',(0.,0.,1.)); #407153=DIRECTION('center_axis',(0.,1.,0.)); #407154=DIRECTION('ref_axis',(-1.,0.,0.)); #407155=DIRECTION('',(-1.,0.,0.)); #407156=DIRECTION('',(-1.,0.,0.)); #407157=DIRECTION('',(0.,0.,1.)); #407158=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407159=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407160=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407161=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407162=DIRECTION('',(0.,0.,1.)); #407163=DIRECTION('center_axis',(-1.,0.,0.)); #407164=DIRECTION('ref_axis',(0.,-1.,0.)); #407165=DIRECTION('',(0.,-1.,0.)); #407166=DIRECTION('',(0.,-1.,0.)); #407167=DIRECTION('',(0.,0.,1.)); #407168=DIRECTION('center_axis',(-0.98155209914416,0.191194865688631,0.)); #407169=DIRECTION('ref_axis',(-0.191194865688631,-0.98155209914416,0.)); #407170=DIRECTION('',(-0.191194865688631,-0.98155209914416,0.)); #407171=DIRECTION('',(-0.191194865688631,-0.98155209914416,0.)); #407172=DIRECTION('',(0.,0.,1.)); #407173=DIRECTION('center_axis',(-0.833699290017759,0.55221870108127,0.)); #407174=DIRECTION('ref_axis',(-0.55221870108127,-0.833699290017759,0.)); #407175=DIRECTION('',(-0.55221870108127,-0.833699290017759,0.)); #407176=DIRECTION('',(-0.55221870108127,-0.833699290017759,0.)); #407177=DIRECTION('',(0.,0.,1.)); #407178=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407179=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407180=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407181=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407182=DIRECTION('',(0.,0.,1.)); #407183=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #407184=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #407185=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #407186=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #407187=DIRECTION('',(0.,0.,1.)); #407188=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #407189=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #407190=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #407191=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #407192=DIRECTION('',(0.,0.,1.)); #407193=DIRECTION('center_axis',(0.,1.,0.)); #407194=DIRECTION('ref_axis',(-1.,0.,0.)); #407195=DIRECTION('',(-1.,0.,0.)); #407196=DIRECTION('',(-1.,0.,0.)); #407197=DIRECTION('',(0.,0.,1.)); #407198=DIRECTION('center_axis',(0.183314787014901,0.983054265471485,0.)); #407199=DIRECTION('ref_axis',(-0.983054265471485,0.183314787014901,0.)); #407200=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #407201=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #407202=DIRECTION('',(0.,0.,1.)); #407203=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #407204=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #407205=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #407206=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #407207=DIRECTION('',(0.,0.,1.)); #407208=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #407209=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #407210=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #407211=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #407212=DIRECTION('',(0.,0.,1.)); #407213=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #407214=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #407215=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #407216=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #407217=DIRECTION('',(0.,0.,1.)); #407218=DIRECTION('center_axis',(1.,0.,0.)); #407219=DIRECTION('ref_axis',(0.,1.,0.)); #407220=DIRECTION('',(0.,1.,0.)); #407221=DIRECTION('',(0.,1.,0.)); #407222=DIRECTION('',(0.,0.,1.)); #407223=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #407224=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #407225=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #407226=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #407227=DIRECTION('',(0.,0.,1.)); #407228=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #407229=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #407230=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #407231=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #407232=DIRECTION('',(0.,0.,1.)); #407233=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #407234=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #407235=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #407236=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #407237=DIRECTION('',(0.,0.,1.)); #407238=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #407239=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #407240=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #407241=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #407242=DIRECTION('',(0.,0.,1.)); #407243=DIRECTION('center_axis',(0.,-1.,0.)); #407244=DIRECTION('ref_axis',(1.,0.,0.)); #407245=DIRECTION('',(1.,0.,0.)); #407246=DIRECTION('',(1.,0.,0.)); #407247=DIRECTION('',(0.,0.,1.)); #407248=DIRECTION('center_axis',(-0.186832448890556,-0.98239179355365,0.)); #407249=DIRECTION('ref_axis',(0.98239179355365,-0.186832448890556,0.)); #407250=DIRECTION('',(0.98239179355365,-0.186832448890556,0.)); #407251=DIRECTION('',(0.98239179355365,-0.186832448890556,0.)); #407252=DIRECTION('',(0.,0.,1.)); #407253=DIRECTION('center_axis',(0.00876585586179642,-0.999961579147424, 0.)); #407254=DIRECTION('ref_axis',(0.999961579147424,0.00876585586179642,0.)); #407255=DIRECTION('',(0.999961579147424,0.00876585586179642,0.)); #407256=DIRECTION('',(0.999961579147424,0.00876585586179642,0.)); #407257=DIRECTION('',(0.,0.,1.)); #407258=DIRECTION('center_axis',(-0.178972206821816,-0.983854130034188, 0.)); #407259=DIRECTION('ref_axis',(0.983854130034188,-0.178972206821816,0.)); #407260=DIRECTION('',(0.983854130034188,-0.178972206821816,0.)); #407261=DIRECTION('',(0.983854130034188,-0.178972206821816,0.)); #407262=DIRECTION('',(0.,0.,1.)); #407263=DIRECTION('center_axis',(-0.538928498327815,-0.842351514327675, 0.)); #407264=DIRECTION('ref_axis',(0.842351514327675,-0.538928498327815,0.)); #407265=DIRECTION('',(0.842351514327675,-0.538928498327815,0.)); #407266=DIRECTION('',(0.842351514327675,-0.538928498327815,0.)); #407267=DIRECTION('',(0.,0.,1.)); #407268=DIRECTION('center_axis',(-0.824759961060249,-0.565482985271791, 0.)); #407269=DIRECTION('ref_axis',(0.565482985271791,-0.824759961060249,0.)); #407270=DIRECTION('',(0.565482985271791,-0.824759961060249,0.)); #407271=DIRECTION('',(0.565482985271791,-0.824759961060249,0.)); #407272=DIRECTION('',(0.,0.,1.)); #407273=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #407274=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #407275=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #407276=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #407277=DIRECTION('',(0.,0.,1.)); #407278=DIRECTION('center_axis',(-1.,0.,0.)); #407279=DIRECTION('ref_axis',(0.,-1.,0.)); #407280=DIRECTION('',(0.,-1.,0.)); #407281=DIRECTION('',(0.,-1.,0.)); #407282=DIRECTION('',(0.,0.,1.)); #407283=DIRECTION('center_axis',(-0.982315373407575,0.187233830188716,0.)); #407284=DIRECTION('ref_axis',(-0.187233830188716,-0.982315373407575,0.)); #407285=DIRECTION('',(-0.187233830188716,-0.982315373407575,0.)); #407286=DIRECTION('',(-0.187233830188716,-0.982315373407575,0.)); #407287=DIRECTION('',(0.,0.,1.)); #407288=DIRECTION('center_axis',(-0.838045628986555,0.545600150051784,0.)); #407289=DIRECTION('ref_axis',(-0.545600150051784,-0.838045628986555,0.)); #407290=DIRECTION('',(-0.545600150051784,-0.838045628986555,0.)); #407291=DIRECTION('',(-0.545600150051784,-0.838045628986555,0.)); #407292=DIRECTION('',(0.,0.,1.)); #407293=DIRECTION('center_axis',(-0.558829800309304,0.829282373070997,0.)); #407294=DIRECTION('ref_axis',(-0.829282373070997,-0.558829800309304,0.)); #407295=DIRECTION('',(-0.829282373070997,-0.558829800309304,0.)); #407296=DIRECTION('',(-0.829282373070997,-0.558829800309304,0.)); #407297=DIRECTION('',(0.,0.,1.)); #407298=DIRECTION('center_axis',(-0.382686034972584,0.92387845447167,0.)); #407299=DIRECTION('ref_axis',(-0.92387845447167,-0.382686034972584,0.)); #407300=DIRECTION('',(-0.92387845447167,-0.382686034972584,0.)); #407301=DIRECTION('',(-0.92387845447167,-0.382686034972584,0.)); #407302=DIRECTION('',(0.,0.,1.)); #407303=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407304=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407305=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407306=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407307=DIRECTION('',(0.,0.,1.)); #407308=DIRECTION('center_axis',(-0.923882765954851,0.382675626048503,0.)); #407309=DIRECTION('ref_axis',(-0.382675626048503,-0.923882765954851,0.)); #407310=DIRECTION('',(-0.382675626048503,-0.923882765954851,0.)); #407311=DIRECTION('',(-0.382675626048503,-0.923882765954851,0.)); #407312=DIRECTION('',(0.,0.,1.)); #407313=DIRECTION('center_axis',(-1.,0.,0.)); #407314=DIRECTION('ref_axis',(0.,-1.,0.)); #407315=DIRECTION('',(0.,-1.,0.)); #407316=DIRECTION('',(0.,-1.,0.)); #407317=DIRECTION('',(0.,0.,1.)); #407318=DIRECTION('center_axis',(-0.923882765954851,-0.382675626048503, 0.)); #407319=DIRECTION('ref_axis',(0.382675626048503,-0.923882765954851,0.)); #407320=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #407321=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #407322=DIRECTION('',(0.,0.,1.)); #407323=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407324=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407325=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407326=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407327=DIRECTION('',(0.,0.,1.)); #407328=DIRECTION('center_axis',(-0.382675626048509,-0.923882765954849, 0.)); #407329=DIRECTION('ref_axis',(0.923882765954849,-0.382675626048509,0.)); #407330=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #407331=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #407332=DIRECTION('',(0.,0.,1.)); #407333=DIRECTION('center_axis',(0.,-1.,0.)); #407334=DIRECTION('ref_axis',(1.,0.,0.)); #407335=DIRECTION('',(1.,0.,0.)); #407336=DIRECTION('',(1.,0.,0.)); #407337=DIRECTION('',(0.,0.,1.)); #407338=DIRECTION('center_axis',(0.382675626048513,-0.923882765954847,0.)); #407339=DIRECTION('ref_axis',(0.923882765954847,0.382675626048513,0.)); #407340=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #407341=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #407342=DIRECTION('',(0.,0.,1.)); #407343=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407344=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407345=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407346=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407347=DIRECTION('',(0.,0.,1.)); #407348=DIRECTION('center_axis',(0.923882765954847,-0.382675626048513,0.)); #407349=DIRECTION('ref_axis',(0.382675626048513,0.923882765954847,0.)); #407350=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #407351=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #407352=DIRECTION('',(0.,0.,1.)); #407353=DIRECTION('center_axis',(1.,0.,0.)); #407354=DIRECTION('ref_axis',(0.,1.,0.)); #407355=DIRECTION('',(0.,1.,0.)); #407356=DIRECTION('',(0.,1.,0.)); #407357=DIRECTION('',(0.,0.,1.)); #407358=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #407359=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #407360=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #407361=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #407362=DIRECTION('',(0.,0.,1.)); #407363=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #407364=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #407365=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #407366=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #407367=DIRECTION('',(0.,0.,1.)); #407368=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #407369=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #407370=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #407371=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #407372=DIRECTION('',(0.,0.,1.)); #407373=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #407374=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #407375=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #407376=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #407377=DIRECTION('',(0.,0.,1.)); #407378=DIRECTION('center_axis',(0.,-1.,0.)); #407379=DIRECTION('ref_axis',(1.,0.,0.)); #407380=DIRECTION('',(1.,0.,0.)); #407381=DIRECTION('',(1.,0.,0.)); #407382=DIRECTION('',(0.,0.,1.)); #407383=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407384=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407385=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407386=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407387=DIRECTION('',(0.,0.,1.)); #407388=DIRECTION('center_axis',(0.,0.,1.)); #407389=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #407390=DIRECTION('center_axis',(0.,0.,1.)); #407391=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #407392=DIRECTION('center_axis',(0.,0.,1.)); #407393=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #407394=DIRECTION('',(0.,0.,1.)); #407395=DIRECTION('center_axis',(0.,-1.,0.)); #407396=DIRECTION('ref_axis',(1.,0.,0.)); #407397=DIRECTION('',(1.,0.,0.)); #407398=DIRECTION('',(1.,0.,0.)); #407399=DIRECTION('',(0.,0.,1.)); #407400=DIRECTION('center_axis',(0.,0.,1.)); #407401=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #407402=DIRECTION('center_axis',(0.,0.,1.)); #407403=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #407404=DIRECTION('center_axis',(0.,0.,1.)); #407405=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #407406=DIRECTION('',(0.,0.,1.)); #407407=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407408=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407409=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407410=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407411=DIRECTION('',(0.,0.,1.)); #407412=DIRECTION('center_axis',(0.,-1.,0.)); #407413=DIRECTION('ref_axis',(1.,0.,0.)); #407414=DIRECTION('',(1.,0.,0.)); #407415=DIRECTION('',(1.,0.,0.)); #407416=DIRECTION('',(0.,0.,1.)); #407417=DIRECTION('center_axis',(1.,0.,0.)); #407418=DIRECTION('ref_axis',(0.,1.,0.)); #407419=DIRECTION('',(0.,1.,0.)); #407420=DIRECTION('',(0.,1.,0.)); #407421=DIRECTION('',(0.,0.,1.)); #407422=DIRECTION('center_axis',(0.,-1.,0.)); #407423=DIRECTION('ref_axis',(1.,0.,0.)); #407424=DIRECTION('',(1.,0.,0.)); #407425=DIRECTION('',(1.,0.,0.)); #407426=DIRECTION('',(0.,0.,1.)); #407427=DIRECTION('center_axis',(0.715039296629332,-0.699084261213074,0.)); #407428=DIRECTION('ref_axis',(0.699084261213074,0.715039296629332,0.)); #407429=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #407430=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #407431=DIRECTION('',(0.,0.,1.)); #407432=DIRECTION('center_axis',(0.,0.,1.)); #407433=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #407434=DIRECTION('center_axis',(0.,0.,1.)); #407435=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #407436=DIRECTION('center_axis',(0.,0.,1.)); #407437=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #407438=DIRECTION('center_axis',(0.,0.,1.)); #407439=DIRECTION('ref_axis',(1.,0.,0.)); #407440=DIRECTION('center_axis',(0.,0.,1.)); #407441=DIRECTION('ref_axis',(1.,0.,0.)); #407442=DIRECTION('center_axis',(0.,0.,1.)); #407443=DIRECTION('ref_axis',(1.,0.,0.)); #407444=DIRECTION('center_axis',(0.,0.,1.)); #407445=DIRECTION('ref_axis',(1.,0.,0.)); #407446=DIRECTION('',(0.,0.,1.)); #407447=DIRECTION('center_axis',(0.,0.,-1.)); #407448=DIRECTION('ref_axis',(1.,0.,0.)); #407449=DIRECTION('center_axis',(0.,0.,1.)); #407450=DIRECTION('ref_axis',(1.,0.,0.)); #407451=DIRECTION('center_axis',(0.,0.,1.)); #407452=DIRECTION('ref_axis',(1.,0.,0.)); #407453=DIRECTION('',(0.,0.,1.)); #407454=DIRECTION('center_axis',(0.,0.,-1.)); #407455=DIRECTION('ref_axis',(1.,0.,0.)); #407456=DIRECTION('center_axis',(0.,0.,1.)); #407457=DIRECTION('ref_axis',(1.,0.,0.)); #407458=DIRECTION('center_axis',(0.,0.,1.)); #407459=DIRECTION('ref_axis',(1.,0.,0.)); #407460=DIRECTION('',(0.,0.,1.)); #407461=DIRECTION('center_axis',(0.,0.,-1.)); #407462=DIRECTION('ref_axis',(1.,0.,0.)); #407463=DIRECTION('center_axis',(0.,0.,1.)); #407464=DIRECTION('ref_axis',(1.,0.,0.)); #407465=DIRECTION('center_axis',(0.,0.,1.)); #407466=DIRECTION('ref_axis',(1.,0.,0.)); #407467=DIRECTION('',(0.,0.,1.)); #407468=DIRECTION('center_axis',(0.,0.,-1.)); #407469=DIRECTION('ref_axis',(1.,0.,0.)); #407470=DIRECTION('center_axis',(0.,0.,1.)); #407471=DIRECTION('ref_axis',(1.,0.,0.)); #407472=DIRECTION('center_axis',(0.,0.,1.)); #407473=DIRECTION('ref_axis',(1.,0.,0.)); #407474=DIRECTION('',(0.,0.,1.)); #407475=DIRECTION('center_axis',(0.,0.,-1.)); #407476=DIRECTION('ref_axis',(1.,0.,0.)); #407477=DIRECTION('center_axis',(0.,0.,1.)); #407478=DIRECTION('ref_axis',(1.,0.,0.)); #407479=DIRECTION('center_axis',(0.,0.,1.)); #407480=DIRECTION('ref_axis',(1.,0.,0.)); #407481=DIRECTION('',(0.,0.,1.)); #407482=DIRECTION('center_axis',(0.,0.,-1.)); #407483=DIRECTION('ref_axis',(1.,0.,0.)); #407484=DIRECTION('center_axis',(0.,0.,1.)); #407485=DIRECTION('ref_axis',(1.,0.,0.)); #407486=DIRECTION('center_axis',(0.,0.,1.)); #407487=DIRECTION('ref_axis',(1.,0.,0.)); #407488=DIRECTION('',(0.,0.,1.)); #407489=DIRECTION('center_axis',(0.,0.,-1.)); #407490=DIRECTION('ref_axis',(1.,0.,0.)); #407491=DIRECTION('center_axis',(0.,0.,1.)); #407492=DIRECTION('ref_axis',(1.,0.,0.)); #407493=DIRECTION('center_axis',(0.,0.,1.)); #407494=DIRECTION('ref_axis',(1.,0.,0.)); #407495=DIRECTION('',(0.,0.,1.)); #407496=DIRECTION('center_axis',(0.,0.,-1.)); #407497=DIRECTION('ref_axis',(1.,0.,0.)); #407498=DIRECTION('center_axis',(0.,0.,1.)); #407499=DIRECTION('ref_axis',(1.,0.,0.)); #407500=DIRECTION('center_axis',(0.,0.,1.)); #407501=DIRECTION('ref_axis',(1.,0.,0.)); #407502=DIRECTION('',(0.,0.,1.)); #407503=DIRECTION('center_axis',(0.,0.,-1.)); #407504=DIRECTION('ref_axis',(1.,0.,0.)); #407505=DIRECTION('center_axis',(0.,0.,1.)); #407506=DIRECTION('ref_axis',(1.,0.,0.)); #407507=DIRECTION('center_axis',(0.,0.,1.)); #407508=DIRECTION('ref_axis',(1.,0.,0.)); #407509=DIRECTION('',(0.,0.,1.)); #407510=DIRECTION('center_axis',(0.,0.,-1.)); #407511=DIRECTION('ref_axis',(1.,0.,0.)); #407512=DIRECTION('center_axis',(0.,0.,1.)); #407513=DIRECTION('ref_axis',(1.,0.,0.)); #407514=DIRECTION('center_axis',(0.,0.,1.)); #407515=DIRECTION('ref_axis',(1.,0.,0.)); #407516=DIRECTION('',(0.,0.,1.)); #407517=DIRECTION('center_axis',(0.,0.,-1.)); #407518=DIRECTION('ref_axis',(1.,0.,0.)); #407519=DIRECTION('center_axis',(0.,0.,1.)); #407520=DIRECTION('ref_axis',(1.,0.,0.)); #407521=DIRECTION('center_axis',(0.,0.,1.)); #407522=DIRECTION('ref_axis',(1.,0.,0.)); #407523=DIRECTION('',(0.,0.,1.)); #407524=DIRECTION('center_axis',(0.,0.,-1.)); #407525=DIRECTION('ref_axis',(1.,0.,0.)); #407526=DIRECTION('center_axis',(0.,1.,0.)); #407527=DIRECTION('ref_axis',(-1.,0.,0.)); #407528=DIRECTION('',(-1.,0.,0.)); #407529=DIRECTION('',(0.,0.,1.)); #407530=DIRECTION('',(-1.,0.,0.)); #407531=DIRECTION('',(0.,0.,1.)); #407532=DIRECTION('center_axis',(-0.382688195372249,0.92387755959474,0.)); #407533=DIRECTION('ref_axis',(-0.92387755959474,-0.382688195372249,0.)); #407534=DIRECTION('',(-0.92387755959474,-0.382688195372249,0.)); #407535=DIRECTION('',(-0.92387755959474,-0.382688195372249,0.)); #407536=DIRECTION('',(0.,0.,1.)); #407537=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407538=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407539=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407540=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407541=DIRECTION('',(0.,0.,1.)); #407542=DIRECTION('center_axis',(-0.923877559594738,0.382688195372252,0.)); #407543=DIRECTION('ref_axis',(-0.382688195372252,-0.923877559594738,0.)); #407544=DIRECTION('',(-0.382688195372252,-0.923877559594738,0.)); #407545=DIRECTION('',(-0.382688195372252,-0.923877559594738,0.)); #407546=DIRECTION('',(0.,0.,1.)); #407547=DIRECTION('center_axis',(-1.,0.,0.)); #407548=DIRECTION('ref_axis',(0.,-1.,0.)); #407549=DIRECTION('',(0.,-1.,0.)); #407550=DIRECTION('',(0.,-1.,0.)); #407551=DIRECTION('',(0.,0.,1.)); #407552=DIRECTION('center_axis',(-0.92387755959474,-0.382688195372249,0.)); #407553=DIRECTION('ref_axis',(0.382688195372249,-0.92387755959474,0.)); #407554=DIRECTION('',(0.382688195372249,-0.92387755959474,0.)); #407555=DIRECTION('',(0.382688195372249,-0.92387755959474,0.)); #407556=DIRECTION('',(0.,0.,1.)); #407557=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407558=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407559=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407560=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407561=DIRECTION('',(0.,0.,1.)); #407562=DIRECTION('center_axis',(-0.38268819537224,-0.923877559594743,0.)); #407563=DIRECTION('ref_axis',(0.923877559594743,-0.38268819537224,0.)); #407564=DIRECTION('',(0.923877559594743,-0.38268819537224,0.)); #407565=DIRECTION('',(0.923877559594743,-0.38268819537224,0.)); #407566=DIRECTION('',(0.,0.,1.)); #407567=DIRECTION('center_axis',(0.,-1.,0.)); #407568=DIRECTION('ref_axis',(1.,0.,0.)); #407569=DIRECTION('',(1.,0.,0.)); #407570=DIRECTION('',(1.,0.,0.)); #407571=DIRECTION('',(0.,0.,1.)); #407572=DIRECTION('center_axis',(0.382688195372243,-0.923877559594742,0.)); #407573=DIRECTION('ref_axis',(0.923877559594742,0.382688195372243,0.)); #407574=DIRECTION('',(0.923877559594742,0.382688195372243,0.)); #407575=DIRECTION('',(0.923877559594742,0.382688195372243,0.)); #407576=DIRECTION('',(0.,0.,1.)); #407577=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407578=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407579=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407580=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407581=DIRECTION('',(0.,0.,1.)); #407582=DIRECTION('center_axis',(0.92387755959474,-0.382688195372249,0.)); #407583=DIRECTION('ref_axis',(0.382688195372249,0.92387755959474,0.)); #407584=DIRECTION('',(0.382688195372249,0.92387755959474,0.)); #407585=DIRECTION('',(0.382688195372249,0.92387755959474,0.)); #407586=DIRECTION('',(0.,0.,1.)); #407587=DIRECTION('center_axis',(1.,0.,0.)); #407588=DIRECTION('ref_axis',(0.,1.,0.)); #407589=DIRECTION('',(0.,1.,0.)); #407590=DIRECTION('',(0.,1.,0.)); #407591=DIRECTION('',(0.,0.,1.)); #407592=DIRECTION('center_axis',(0.923877559594738,0.382688195372252,0.)); #407593=DIRECTION('ref_axis',(-0.382688195372252,0.923877559594738,0.)); #407594=DIRECTION('',(-0.382688195372252,0.923877559594738,0.)); #407595=DIRECTION('',(-0.382688195372252,0.923877559594738,0.)); #407596=DIRECTION('',(0.,0.,1.)); #407597=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407598=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407599=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407600=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407601=DIRECTION('',(0.,0.,1.)); #407602=DIRECTION('center_axis',(0.382688195372252,0.923877559594738,0.)); #407603=DIRECTION('ref_axis',(-0.923877559594738,0.382688195372252,0.)); #407604=DIRECTION('',(-0.923877559594738,0.382688195372252,0.)); #407605=DIRECTION('',(-0.923877559594738,0.382688195372252,0.)); #407606=DIRECTION('center_axis',(0.,1.,0.)); #407607=DIRECTION('ref_axis',(-1.,0.,0.)); #407608=DIRECTION('',(-1.,0.,0.)); #407609=DIRECTION('',(0.,0.,1.)); #407610=DIRECTION('',(-1.,0.,0.)); #407611=DIRECTION('',(0.,0.,1.)); #407612=DIRECTION('center_axis',(-0.382688195372252,0.923877559594738,0.)); #407613=DIRECTION('ref_axis',(-0.923877559594738,-0.382688195372252,0.)); #407614=DIRECTION('',(-0.923877559594738,-0.382688195372252,0.)); #407615=DIRECTION('',(-0.923877559594738,-0.382688195372252,0.)); #407616=DIRECTION('',(0.,0.,1.)); #407617=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407618=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407619=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407620=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407621=DIRECTION('',(0.,0.,1.)); #407622=DIRECTION('center_axis',(-0.923877559594738,0.382688195372252,0.)); #407623=DIRECTION('ref_axis',(-0.382688195372252,-0.923877559594738,0.)); #407624=DIRECTION('',(-0.382688195372252,-0.923877559594738,0.)); #407625=DIRECTION('',(-0.382688195372252,-0.923877559594738,0.)); #407626=DIRECTION('',(0.,0.,1.)); #407627=DIRECTION('center_axis',(-1.,0.,0.)); #407628=DIRECTION('ref_axis',(0.,-1.,0.)); #407629=DIRECTION('',(0.,-1.,0.)); #407630=DIRECTION('',(0.,-1.,0.)); #407631=DIRECTION('',(0.,0.,1.)); #407632=DIRECTION('center_axis',(-0.92387755959474,-0.382688195372249,0.)); #407633=DIRECTION('ref_axis',(0.382688195372249,-0.92387755959474,0.)); #407634=DIRECTION('',(0.382688195372249,-0.92387755959474,0.)); #407635=DIRECTION('',(0.382688195372249,-0.92387755959474,0.)); #407636=DIRECTION('',(0.,0.,1.)); #407637=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407638=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407639=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407640=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407641=DIRECTION('',(0.,0.,1.)); #407642=DIRECTION('center_axis',(-0.382688195372243,-0.923877559594742, 0.)); #407643=DIRECTION('ref_axis',(0.923877559594742,-0.382688195372243,0.)); #407644=DIRECTION('',(0.923877559594742,-0.382688195372243,0.)); #407645=DIRECTION('',(0.923877559594742,-0.382688195372243,0.)); #407646=DIRECTION('',(0.,0.,1.)); #407647=DIRECTION('center_axis',(0.,-1.,0.)); #407648=DIRECTION('ref_axis',(1.,0.,0.)); #407649=DIRECTION('',(1.,0.,0.)); #407650=DIRECTION('',(1.,0.,0.)); #407651=DIRECTION('',(0.,0.,1.)); #407652=DIRECTION('center_axis',(0.382688195372236,-0.923877559594745,0.)); #407653=DIRECTION('ref_axis',(0.923877559594745,0.382688195372236,0.)); #407654=DIRECTION('',(0.923877559594745,0.382688195372236,0.)); #407655=DIRECTION('',(0.923877559594745,0.382688195372236,0.)); #407656=DIRECTION('',(0.,0.,1.)); #407657=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407658=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407659=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407660=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407661=DIRECTION('',(0.,0.,1.)); #407662=DIRECTION('center_axis',(0.923877559594743,-0.38268819537224,0.)); #407663=DIRECTION('ref_axis',(0.38268819537224,0.923877559594743,0.)); #407664=DIRECTION('',(0.38268819537224,0.923877559594743,0.)); #407665=DIRECTION('',(0.38268819537224,0.923877559594743,0.)); #407666=DIRECTION('',(0.,0.,1.)); #407667=DIRECTION('center_axis',(1.,0.,0.)); #407668=DIRECTION('ref_axis',(0.,1.,0.)); #407669=DIRECTION('',(0.,1.,0.)); #407670=DIRECTION('',(0.,1.,0.)); #407671=DIRECTION('',(0.,0.,1.)); #407672=DIRECTION('center_axis',(0.923877559594742,0.382688195372243,0.)); #407673=DIRECTION('ref_axis',(-0.382688195372243,0.923877559594742,0.)); #407674=DIRECTION('',(-0.382688195372243,0.923877559594742,0.)); #407675=DIRECTION('',(-0.382688195372243,0.923877559594742,0.)); #407676=DIRECTION('',(0.,0.,1.)); #407677=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407678=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407679=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407680=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407681=DIRECTION('',(0.,0.,1.)); #407682=DIRECTION('center_axis',(0.382688195372245,0.923877559594741,0.)); #407683=DIRECTION('ref_axis',(-0.923877559594741,0.382688195372245,0.)); #407684=DIRECTION('',(-0.923877559594741,0.382688195372245,0.)); #407685=DIRECTION('',(-0.923877559594741,0.382688195372245,0.)); #407686=DIRECTION('center_axis',(0.,1.,0.)); #407687=DIRECTION('ref_axis',(-1.,0.,0.)); #407688=DIRECTION('',(-1.,0.,0.)); #407689=DIRECTION('',(0.,0.,1.)); #407690=DIRECTION('',(-1.,0.,0.)); #407691=DIRECTION('',(0.,0.,1.)); #407692=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #407693=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #407694=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #407695=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #407696=DIRECTION('',(0.,0.,1.)); #407697=DIRECTION('center_axis',(-1.,0.,0.)); #407698=DIRECTION('ref_axis',(0.,-1.,0.)); #407699=DIRECTION('',(0.,-1.,0.)); #407700=DIRECTION('',(0.,-1.,0.)); #407701=DIRECTION('',(0.,0.,1.)); #407702=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #407703=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #407704=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #407705=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #407706=DIRECTION('',(0.,0.,1.)); #407707=DIRECTION('center_axis',(0.,-1.,0.)); #407708=DIRECTION('ref_axis',(1.,0.,0.)); #407709=DIRECTION('',(1.,0.,0.)); #407710=DIRECTION('',(1.,0.,0.)); #407711=DIRECTION('',(0.,0.,1.)); #407712=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #407713=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #407714=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #407715=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #407716=DIRECTION('',(0.,0.,1.)); #407717=DIRECTION('center_axis',(1.,0.,0.)); #407718=DIRECTION('ref_axis',(0.,1.,0.)); #407719=DIRECTION('',(0.,1.,0.)); #407720=DIRECTION('',(0.,1.,0.)); #407721=DIRECTION('',(0.,0.,1.)); #407722=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #407723=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #407724=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #407725=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #407726=DIRECTION('center_axis',(0.,1.,0.)); #407727=DIRECTION('ref_axis',(-1.,0.,0.)); #407728=DIRECTION('',(-1.,0.,0.)); #407729=DIRECTION('',(0.,0.,1.)); #407730=DIRECTION('',(-1.,0.,0.)); #407731=DIRECTION('',(0.,0.,1.)); #407732=DIRECTION('center_axis',(-0.707104593400154,0.707108968966173,0.)); #407733=DIRECTION('ref_axis',(-0.707108968966173,-0.707104593400154,0.)); #407734=DIRECTION('',(-0.707108968966173,-0.707104593400154,0.)); #407735=DIRECTION('',(-0.707108968966173,-0.707104593400154,0.)); #407736=DIRECTION('',(0.,0.,1.)); #407737=DIRECTION('center_axis',(-0.558865776602003,0.829258128536007,0.)); #407738=DIRECTION('ref_axis',(-0.829258128536007,-0.558865776602003,0.)); #407739=DIRECTION('',(-0.829258128536007,-0.558865776602003,0.)); #407740=DIRECTION('',(-0.829258128536007,-0.558865776602003,0.)); #407741=DIRECTION('',(0.,0.,1.)); #407742=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #407743=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #407744=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #407745=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #407746=DIRECTION('',(0.,0.,1.)); #407747=DIRECTION('center_axis',(0.,1.,0.)); #407748=DIRECTION('ref_axis',(-1.,0.,0.)); #407749=DIRECTION('',(-1.,0.,0.)); #407750=DIRECTION('',(-1.,0.,0.)); #407751=DIRECTION('',(0.,0.,1.)); #407752=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #407753=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #407754=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #407755=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #407756=DIRECTION('',(0.,0.,1.)); #407757=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #407758=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #407759=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #407760=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #407761=DIRECTION('',(0.,0.,1.)); #407762=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #407763=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #407764=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #407765=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #407766=DIRECTION('',(0.,0.,1.)); #407767=DIRECTION('center_axis',(0.,1.,0.)); #407768=DIRECTION('ref_axis',(-1.,0.,0.)); #407769=DIRECTION('',(-1.,0.,0.)); #407770=DIRECTION('',(-1.,0.,0.)); #407771=DIRECTION('',(0.,0.,1.)); #407772=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407773=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407774=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407775=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407776=DIRECTION('',(0.,0.,1.)); #407777=DIRECTION('center_axis',(-1.,0.,0.)); #407778=DIRECTION('ref_axis',(0.,-1.,0.)); #407779=DIRECTION('',(0.,-1.,0.)); #407780=DIRECTION('',(0.,-1.,0.)); #407781=DIRECTION('',(0.,0.,1.)); #407782=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407783=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407784=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407785=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407786=DIRECTION('',(0.,0.,1.)); #407787=DIRECTION('center_axis',(0.,-1.,0.)); #407788=DIRECTION('ref_axis',(1.,0.,0.)); #407789=DIRECTION('',(1.,0.,0.)); #407790=DIRECTION('',(1.,0.,0.)); #407791=DIRECTION('',(0.,0.,1.)); #407792=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407793=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407794=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407795=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #407796=DIRECTION('',(0.,0.,1.)); #407797=DIRECTION('center_axis',(0.558856232804877,-0.829264560351605,0.)); #407798=DIRECTION('ref_axis',(0.829264560351605,0.558856232804877,0.)); #407799=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #407800=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #407801=DIRECTION('',(0.,0.,1.)); #407802=DIRECTION('center_axis',(0.199006783325467,-0.979998112340249,0.)); #407803=DIRECTION('ref_axis',(0.979998112340249,0.199006783325467,0.)); #407804=DIRECTION('',(0.979998112340249,0.199006783325467,0.)); #407805=DIRECTION('',(0.979998112340249,0.199006783325467,0.)); #407806=DIRECTION('',(0.,0.,1.)); #407807=DIRECTION('center_axis',(0.,-1.,0.)); #407808=DIRECTION('ref_axis',(1.,0.,0.)); #407809=DIRECTION('',(1.,0.,0.)); #407810=DIRECTION('',(1.,0.,0.)); #407811=DIRECTION('',(0.,0.,1.)); #407812=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #407813=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #407814=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #407815=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #407816=DIRECTION('',(0.,0.,1.)); #407817=DIRECTION('center_axis',(-0.552227809242827,-0.833693256958978, 0.)); #407818=DIRECTION('ref_axis',(0.833693256958978,-0.552227809242827,0.)); #407819=DIRECTION('',(0.833693256958978,-0.552227809242827,0.)); #407820=DIRECTION('',(0.833693256958978,-0.552227809242827,0.)); #407821=DIRECTION('',(0.,0.,1.)); #407822=DIRECTION('center_axis',(-0.707104593400163,-0.707108968966163, 0.)); #407823=DIRECTION('ref_axis',(0.707108968966163,-0.707104593400163,0.)); #407824=DIRECTION('',(0.707108968966163,-0.707104593400163,0.)); #407825=DIRECTION('',(0.707108968966163,-0.707104593400163,0.)); #407826=DIRECTION('',(0.,0.,1.)); #407827=DIRECTION('center_axis',(0.,-1.,0.)); #407828=DIRECTION('ref_axis',(1.,0.,0.)); #407829=DIRECTION('',(1.,0.,0.)); #407830=DIRECTION('',(1.,0.,0.)); #407831=DIRECTION('',(0.,0.,1.)); #407832=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407833=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407834=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407835=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407836=DIRECTION('',(0.,0.,1.)); #407837=DIRECTION('center_axis',(1.,0.,0.)); #407838=DIRECTION('ref_axis',(0.,1.,0.)); #407839=DIRECTION('',(0.,1.,0.)); #407840=DIRECTION('',(0.,1.,0.)); #407841=DIRECTION('',(0.,0.,1.)); #407842=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407843=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407844=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407845=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407846=DIRECTION('center_axis',(0.,1.,0.)); #407847=DIRECTION('ref_axis',(-1.,0.,0.)); #407848=DIRECTION('',(-1.,0.,0.)); #407849=DIRECTION('',(0.,0.,1.)); #407850=DIRECTION('',(-1.,0.,0.)); #407851=DIRECTION('',(0.,0.,1.)); #407852=DIRECTION('center_axis',(-0.707104593400144,0.707108968966182,0.)); #407853=DIRECTION('ref_axis',(-0.707108968966182,-0.707104593400144,0.)); #407854=DIRECTION('',(-0.707108968966182,-0.707104593400144,0.)); #407855=DIRECTION('',(-0.707108968966182,-0.707104593400144,0.)); #407856=DIRECTION('',(0.,0.,1.)); #407857=DIRECTION('center_axis',(-0.558865776602045,0.829258128535978,0.)); #407858=DIRECTION('ref_axis',(-0.829258128535978,-0.558865776602045,0.)); #407859=DIRECTION('',(-0.829258128535978,-0.558865776602045,0.)); #407860=DIRECTION('',(-0.829258128535978,-0.558865776602045,0.)); #407861=DIRECTION('',(0.,0.,1.)); #407862=DIRECTION('center_axis',(-0.199006783325552,0.979998112340232,0.)); #407863=DIRECTION('ref_axis',(-0.979998112340231,-0.199006783325552,0.)); #407864=DIRECTION('',(-0.979998112340231,-0.199006783325552,0.)); #407865=DIRECTION('',(-0.979998112340231,-0.199006783325552,0.)); #407866=DIRECTION('',(0.,0.,1.)); #407867=DIRECTION('center_axis',(0.,1.,0.)); #407868=DIRECTION('ref_axis',(-1.,0.,0.)); #407869=DIRECTION('',(-1.,0.,0.)); #407870=DIRECTION('',(-1.,0.,0.)); #407871=DIRECTION('',(0.,0.,1.)); #407872=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #407873=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #407874=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #407875=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #407876=DIRECTION('',(0.,0.,1.)); #407877=DIRECTION('center_axis',(0.552218701081189,0.833699290017812,0.)); #407878=DIRECTION('ref_axis',(-0.833699290017812,0.552218701081189,0.)); #407879=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #407880=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #407881=DIRECTION('',(0.,0.,1.)); #407882=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407883=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407884=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407885=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407886=DIRECTION('',(0.,0.,1.)); #407887=DIRECTION('center_axis',(0.,1.,0.)); #407888=DIRECTION('ref_axis',(-1.,0.,0.)); #407889=DIRECTION('',(-1.,0.,0.)); #407890=DIRECTION('',(-1.,0.,0.)); #407891=DIRECTION('',(0.,0.,1.)); #407892=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407893=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407894=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407895=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407896=DIRECTION('',(0.,0.,1.)); #407897=DIRECTION('center_axis',(-1.,0.,0.)); #407898=DIRECTION('ref_axis',(0.,-1.,0.)); #407899=DIRECTION('',(0.,-1.,0.)); #407900=DIRECTION('',(0.,-1.,0.)); #407901=DIRECTION('',(0.,0.,1.)); #407902=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407903=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407904=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407905=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407906=DIRECTION('',(0.,0.,1.)); #407907=DIRECTION('center_axis',(0.,-1.,0.)); #407908=DIRECTION('ref_axis',(1.,0.,0.)); #407909=DIRECTION('',(1.,0.,0.)); #407910=DIRECTION('',(1.,0.,0.)); #407911=DIRECTION('',(0.,0.,1.)); #407912=DIRECTION('center_axis',(0.707106781186557,-0.707106781186538,0.)); #407913=DIRECTION('ref_axis',(0.707106781186538,0.707106781186557,0.)); #407914=DIRECTION('',(0.707106781186538,0.707106781186557,0.)); #407915=DIRECTION('',(0.707106781186538,0.707106781186557,0.)); #407916=DIRECTION('',(0.,0.,1.)); #407917=DIRECTION('center_axis',(0.558856232804877,-0.829264560351605,0.)); #407918=DIRECTION('ref_axis',(0.829264560351605,0.558856232804877,0.)); #407919=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #407920=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #407921=DIRECTION('',(0.,0.,1.)); #407922=DIRECTION('center_axis',(0.19900678332545,-0.979998112340252,0.)); #407923=DIRECTION('ref_axis',(0.979998112340252,0.19900678332545,0.)); #407924=DIRECTION('',(0.979998112340252,0.19900678332545,0.)); #407925=DIRECTION('',(0.979998112340252,0.19900678332545,0.)); #407926=DIRECTION('',(0.,0.,1.)); #407927=DIRECTION('center_axis',(0.,-1.,0.)); #407928=DIRECTION('ref_axis',(1.,0.,0.)); #407929=DIRECTION('',(1.,0.,0.)); #407930=DIRECTION('',(1.,0.,0.)); #407931=DIRECTION('',(0.,0.,1.)); #407932=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #407933=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #407934=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #407935=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #407936=DIRECTION('',(0.,0.,1.)); #407937=DIRECTION('center_axis',(-0.552227809242907,-0.833693256958924, 0.)); #407938=DIRECTION('ref_axis',(0.833693256958924,-0.552227809242907,0.)); #407939=DIRECTION('',(0.833693256958924,-0.552227809242907,0.)); #407940=DIRECTION('',(0.833693256958924,-0.552227809242907,0.)); #407941=DIRECTION('',(0.,0.,1.)); #407942=DIRECTION('center_axis',(-0.707104593400154,-0.707108968966173, 0.)); #407943=DIRECTION('ref_axis',(0.707108968966173,-0.707104593400154,0.)); #407944=DIRECTION('',(0.707108968966173,-0.707104593400154,0.)); #407945=DIRECTION('',(0.707108968966173,-0.707104593400154,0.)); #407946=DIRECTION('',(0.,0.,1.)); #407947=DIRECTION('center_axis',(0.,-1.,0.)); #407948=DIRECTION('ref_axis',(1.,0.,0.)); #407949=DIRECTION('',(1.,0.,0.)); #407950=DIRECTION('',(1.,0.,0.)); #407951=DIRECTION('',(0.,0.,1.)); #407952=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407953=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407954=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407955=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407956=DIRECTION('',(0.,0.,1.)); #407957=DIRECTION('center_axis',(1.,0.,0.)); #407958=DIRECTION('ref_axis',(0.,1.,0.)); #407959=DIRECTION('',(0.,1.,0.)); #407960=DIRECTION('',(0.,1.,0.)); #407961=DIRECTION('',(0.,0.,1.)); #407962=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407963=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407964=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407965=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407966=DIRECTION('center_axis',(0.,1.,0.)); #407967=DIRECTION('ref_axis',(-1.,0.,0.)); #407968=DIRECTION('',(-1.,0.,0.)); #407969=DIRECTION('',(0.,0.,1.)); #407970=DIRECTION('',(-1.,0.,0.)); #407971=DIRECTION('',(0.,0.,1.)); #407972=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407973=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407974=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407975=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407976=DIRECTION('',(0.,0.,1.)); #407977=DIRECTION('center_axis',(-1.,0.,0.)); #407978=DIRECTION('ref_axis',(0.,-1.,0.)); #407979=DIRECTION('',(0.,-1.,0.)); #407980=DIRECTION('',(0.,-1.,0.)); #407981=DIRECTION('',(0.,0.,1.)); #407982=DIRECTION('center_axis',(-0.707108968966173,-0.707104593400154, 0.)); #407983=DIRECTION('ref_axis',(0.707104593400154,-0.707108968966173,0.)); #407984=DIRECTION('',(0.707104593400154,-0.707108968966173,0.)); #407985=DIRECTION('',(0.707104593400154,-0.707108968966173,0.)); #407986=DIRECTION('',(0.,0.,1.)); #407987=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #407988=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #407989=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #407990=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #407991=DIRECTION('',(0.,0.,1.)); #407992=DIRECTION('center_axis',(-0.979998112340249,-0.199006783325467, 0.)); #407993=DIRECTION('ref_axis',(0.199006783325467,-0.979998112340249,0.)); #407994=DIRECTION('',(0.199006783325467,-0.979998112340249,0.)); #407995=DIRECTION('',(0.199006783325467,-0.979998112340249,0.)); #407996=DIRECTION('',(0.,0.,1.)); #407997=DIRECTION('center_axis',(-1.,0.,0.)); #407998=DIRECTION('ref_axis',(0.,-1.,0.)); #407999=DIRECTION('',(0.,-1.,0.)); #408000=DIRECTION('',(0.,-1.,0.)); #408001=DIRECTION('',(0.,0.,1.)); #408002=DIRECTION('center_axis',(-0.981552851945484,0.191191000932803,0.)); #408003=DIRECTION('ref_axis',(-0.191191000932803,-0.981552851945484,0.)); #408004=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #408005=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #408006=DIRECTION('',(0.,0.,1.)); #408007=DIRECTION('center_axis',(-0.833699290017785,0.55221870108123,0.)); #408008=DIRECTION('ref_axis',(-0.55221870108123,-0.833699290017785,0.)); #408009=DIRECTION('',(-0.55221870108123,-0.833699290017785,0.)); #408010=DIRECTION('',(-0.55221870108123,-0.833699290017785,0.)); #408011=DIRECTION('',(0.,0.,1.)); #408012=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #408013=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #408014=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408015=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408016=DIRECTION('',(0.,0.,1.)); #408017=DIRECTION('center_axis',(-1.,0.,0.)); #408018=DIRECTION('ref_axis',(0.,-1.,0.)); #408019=DIRECTION('',(0.,-1.,0.)); #408020=DIRECTION('',(0.,-1.,0.)); #408021=DIRECTION('',(0.,0.,1.)); #408022=DIRECTION('center_axis',(-0.707106781186503,-0.707106781186592, 0.)); #408023=DIRECTION('ref_axis',(0.707106781186592,-0.707106781186503,0.)); #408024=DIRECTION('',(0.707106781186592,-0.707106781186503,0.)); #408025=DIRECTION('',(0.707106781186592,-0.707106781186503,0.)); #408026=DIRECTION('',(0.,0.,1.)); #408027=DIRECTION('center_axis',(-0.824734288892639,-0.565520426443425, 0.)); #408028=DIRECTION('ref_axis',(0.565520426443425,-0.824734288892639,0.)); #408029=DIRECTION('',(0.565520426443425,-0.824734288892639,0.)); #408030=DIRECTION('',(0.565520426443425,-0.824734288892639,0.)); #408031=DIRECTION('',(0.,0.,1.)); #408032=DIRECTION('center_axis',(-0.976707444581188,-0.214575319409492, 0.)); #408033=DIRECTION('ref_axis',(0.214575319409492,-0.976707444581188,0.)); #408034=DIRECTION('',(0.214575319409492,-0.976707444581188,0.)); #408035=DIRECTION('',(0.214575319409492,-0.976707444581188,0.)); #408036=DIRECTION('',(0.,0.,1.)); #408037=DIRECTION('center_axis',(-0.983044888412445,0.183365065828154,0.)); #408038=DIRECTION('ref_axis',(-0.183365065828154,-0.983044888412445,0.)); #408039=DIRECTION('',(-0.183365065828154,-0.983044888412445,0.)); #408040=DIRECTION('',(-0.183365065828154,-0.983044888412445,0.)); #408041=DIRECTION('',(0.,0.,1.)); #408042=DIRECTION('center_axis',(-0.833699290017691,0.552218701081372,0.)); #408043=DIRECTION('ref_axis',(-0.552218701081372,-0.833699290017691,0.)); #408044=DIRECTION('',(-0.552218701081372,-0.833699290017691,0.)); #408045=DIRECTION('',(-0.552218701081372,-0.833699290017691,0.)); #408046=DIRECTION('',(0.,0.,1.)); #408047=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #408048=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #408049=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #408050=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #408051=DIRECTION('',(0.,0.,1.)); #408052=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #408053=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #408054=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #408055=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #408056=DIRECTION('',(0.,0.,1.)); #408057=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #408058=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #408059=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #408060=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #408061=DIRECTION('',(0.,0.,1.)); #408062=DIRECTION('center_axis',(0.,1.,0.)); #408063=DIRECTION('ref_axis',(-1.,0.,0.)); #408064=DIRECTION('',(-1.,0.,0.)); #408065=DIRECTION('',(-1.,0.,0.)); #408066=DIRECTION('',(0.,0.,1.)); #408067=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #408068=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #408069=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #408070=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #408071=DIRECTION('',(0.,0.,1.)); #408072=DIRECTION('center_axis',(0.552227809242827,0.833693256958978,0.)); #408073=DIRECTION('ref_axis',(-0.833693256958978,0.552227809242827,0.)); #408074=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #408075=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #408076=DIRECTION('',(0.,0.,1.)); #408077=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408078=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408079=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408080=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408081=DIRECTION('',(0.,0.,1.)); #408082=DIRECTION('center_axis',(0.829258128535993,0.558865776602023,0.)); #408083=DIRECTION('ref_axis',(-0.558865776602023,0.829258128535993,0.)); #408084=DIRECTION('',(-0.558865776602023,0.829258128535993,0.)); #408085=DIRECTION('',(-0.558865776602023,0.829258128535993,0.)); #408086=DIRECTION('',(0.,0.,1.)); #408087=DIRECTION('center_axis',(0.979998112340232,0.199006783325552,0.)); #408088=DIRECTION('ref_axis',(-0.199006783325552,0.979998112340231,0.)); #408089=DIRECTION('',(-0.199006783325552,0.979998112340231,0.)); #408090=DIRECTION('',(-0.199006783325552,0.979998112340231,0.)); #408091=DIRECTION('',(0.,0.,1.)); #408092=DIRECTION('center_axis',(1.,0.,0.)); #408093=DIRECTION('ref_axis',(0.,1.,0.)); #408094=DIRECTION('',(0.,1.,0.)); #408095=DIRECTION('',(0.,1.,0.)); #408096=DIRECTION('',(0.,0.,1.)); #408097=DIRECTION('center_axis',(0.983054265471465,-0.18331478701501,0.)); #408098=DIRECTION('ref_axis',(0.18331478701501,0.983054265471465,0.)); #408099=DIRECTION('',(0.18331478701501,0.983054265471465,0.)); #408100=DIRECTION('',(0.18331478701501,0.983054265471465,0.)); #408101=DIRECTION('',(0.,0.,1.)); #408102=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #408103=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #408104=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #408105=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #408106=DIRECTION('',(0.,0.,1.)); #408107=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #408108=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #408109=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #408110=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #408111=DIRECTION('',(0.,0.,1.)); #408112=DIRECTION('center_axis',(0.199010641789581,-0.979997328799675,0.)); #408113=DIRECTION('ref_axis',(0.979997328799675,0.199010641789581,0.)); #408114=DIRECTION('',(0.979997328799675,0.199010641789581,0.)); #408115=DIRECTION('',(0.979997328799675,0.199010641789581,0.)); #408116=DIRECTION('',(0.,0.,1.)); #408117=DIRECTION('center_axis',(0.,-1.,0.)); #408118=DIRECTION('ref_axis',(1.,0.,0.)); #408119=DIRECTION('',(1.,0.,0.)); #408120=DIRECTION('',(1.,0.,0.)); #408121=DIRECTION('',(0.,0.,1.)); #408122=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #408123=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #408124=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #408125=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #408126=DIRECTION('',(0.,0.,1.)); #408127=DIRECTION('center_axis',(-0.538914460439928,-0.842360495470165, 0.)); #408128=DIRECTION('ref_axis',(0.842360495470165,-0.538914460439928,0.)); #408129=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #408130=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #408131=DIRECTION('',(0.,0.,1.)); #408132=DIRECTION('center_axis',(-0.82476628744178,-0.565473758099792,0.)); #408133=DIRECTION('ref_axis',(0.565473758099792,-0.82476628744178,0.)); #408134=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #408135=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #408136=DIRECTION('',(0.,0.,1.)); #408137=DIRECTION('center_axis',(-0.979997328799713,-0.199010641789396, 0.)); #408138=DIRECTION('ref_axis',(0.199010641789396,-0.979997328799713,0.)); #408139=DIRECTION('',(0.199010641789396,-0.979997328799713,0.)); #408140=DIRECTION('',(0.199010641789396,-0.979997328799713,0.)); #408141=DIRECTION('',(0.,0.,1.)); #408142=DIRECTION('center_axis',(-1.,0.,0.)); #408143=DIRECTION('ref_axis',(0.,-1.,0.)); #408144=DIRECTION('',(0.,-1.,0.)); #408145=DIRECTION('',(0.,-1.,0.)); #408146=DIRECTION('',(0.,0.,1.)); #408147=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #408148=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #408149=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #408150=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #408151=DIRECTION('',(0.,0.,1.)); #408152=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #408153=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #408154=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408155=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408156=DIRECTION('',(0.,0.,1.)); #408157=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #408158=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #408159=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408160=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #408161=DIRECTION('',(0.,0.,1.)); #408162=DIRECTION('center_axis',(-1.,0.,0.)); #408163=DIRECTION('ref_axis',(0.,-1.,0.)); #408164=DIRECTION('',(0.,-1.,0.)); #408165=DIRECTION('',(0.,-1.,0.)); #408166=DIRECTION('',(0.,0.,1.)); #408167=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408168=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408169=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408170=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408171=DIRECTION('',(0.,0.,1.)); #408172=DIRECTION('center_axis',(0.,-1.,0.)); #408173=DIRECTION('ref_axis',(1.,0.,0.)); #408174=DIRECTION('',(1.,0.,0.)); #408175=DIRECTION('',(1.,0.,0.)); #408176=DIRECTION('',(0.,0.,1.)); #408177=DIRECTION('center_axis',(0.707106781186562,-0.707106781186533,0.)); #408178=DIRECTION('ref_axis',(0.707106781186533,0.707106781186562,0.)); #408179=DIRECTION('',(0.707106781186533,0.707106781186562,0.)); #408180=DIRECTION('',(0.707106781186533,0.707106781186562,0.)); #408181=DIRECTION('',(0.,0.,1.)); #408182=DIRECTION('center_axis',(0.565475774224458,-0.824764905148885,0.)); #408183=DIRECTION('ref_axis',(0.824764905148885,0.565475774224458,0.)); #408184=DIRECTION('',(0.824764905148885,0.565475774224458,0.)); #408185=DIRECTION('',(0.824764905148885,0.565475774224458,0.)); #408186=DIRECTION('',(0.,0.,1.)); #408187=DIRECTION('center_axis',(0.214594192212272,-0.976703298176454,0.)); #408188=DIRECTION('ref_axis',(0.976703298176454,0.214594192212272,0.)); #408189=DIRECTION('',(0.976703298176454,0.214594192212272,0.)); #408190=DIRECTION('',(0.976703298176454,0.214594192212272,0.)); #408191=DIRECTION('',(0.,0.,1.)); #408192=DIRECTION('center_axis',(-0.183380618657332,-0.983041987252251, 0.)); #408193=DIRECTION('ref_axis',(0.983041987252251,-0.183380618657332,0.)); #408194=DIRECTION('',(0.983041987252251,-0.183380618657332,0.)); #408195=DIRECTION('',(0.983041987252251,-0.183380618657332,0.)); #408196=DIRECTION('',(0.,0.,1.)); #408197=DIRECTION('center_axis',(-0.552214058332361,-0.833702365224007, 0.)); #408198=DIRECTION('ref_axis',(0.833702365224007,-0.552214058332361,0.)); #408199=DIRECTION('',(0.833702365224007,-0.552214058332361,0.)); #408200=DIRECTION('',(0.833702365224007,-0.552214058332361,0.)); #408201=DIRECTION('',(0.,0.,1.)); #408202=DIRECTION('center_axis',(-0.707106781186562,-0.707106781186533, 0.)); #408203=DIRECTION('ref_axis',(0.707106781186533,-0.707106781186562,0.)); #408204=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #408205=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #408206=DIRECTION('',(0.,0.,1.)); #408207=DIRECTION('center_axis',(0.,-1.,0.)); #408208=DIRECTION('ref_axis',(1.,0.,0.)); #408209=DIRECTION('',(1.,0.,0.)); #408210=DIRECTION('',(1.,0.,0.)); #408211=DIRECTION('',(0.,0.,1.)); #408212=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #408213=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #408214=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #408215=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #408216=DIRECTION('',(0.,0.,1.)); #408217=DIRECTION('center_axis',(1.,0.,0.)); #408218=DIRECTION('ref_axis',(0.,1.,0.)); #408219=DIRECTION('',(0.,1.,0.)); #408220=DIRECTION('',(0.,1.,0.)); #408221=DIRECTION('',(0.,0.,1.)); #408222=DIRECTION('center_axis',(0.707106781186567,0.707106781186528,0.)); #408223=DIRECTION('ref_axis',(-0.707106781186528,0.707106781186567,0.)); #408224=DIRECTION('',(-0.707106781186528,0.707106781186567,0.)); #408225=DIRECTION('',(-0.707106781186528,0.707106781186567,0.)); #408226=DIRECTION('',(0.,0.,1.)); #408227=DIRECTION('center_axis',(0.829264560351534,0.558856232804982,0.)); #408228=DIRECTION('ref_axis',(-0.558856232804982,0.829264560351534,0.)); #408229=DIRECTION('',(-0.558856232804982,0.829264560351534,0.)); #408230=DIRECTION('',(-0.558856232804982,0.829264560351534,0.)); #408231=DIRECTION('',(0.,0.,1.)); #408232=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #408233=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #408234=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #408235=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #408236=DIRECTION('',(0.,0.,1.)); #408237=DIRECTION('center_axis',(1.,0.,0.)); #408238=DIRECTION('ref_axis',(0.,1.,0.)); #408239=DIRECTION('',(0.,1.,0.)); #408240=DIRECTION('',(0.,1.,0.)); #408241=DIRECTION('',(0.,0.,1.)); #408242=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #408243=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #408244=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #408245=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #408246=DIRECTION('',(0.,0.,1.)); #408247=DIRECTION('center_axis',(0.833693256958911,-0.552227809242928,0.)); #408248=DIRECTION('ref_axis',(0.552227809242928,0.833693256958911,0.)); #408249=DIRECTION('',(0.552227809242928,0.833693256958911,0.)); #408250=DIRECTION('',(0.552227809242928,0.833693256958911,0.)); #408251=DIRECTION('',(0.,0.,1.)); #408252=DIRECTION('center_axis',(0.707108588915624,-0.707104973452849,0.)); #408253=DIRECTION('ref_axis',(0.707104973452849,0.707108588915624,0.)); #408254=DIRECTION('',(0.707104973452849,0.707108588915624,0.)); #408255=DIRECTION('',(0.707104973452849,0.707108588915624,0.)); #408256=DIRECTION('',(0.,0.,1.)); #408257=DIRECTION('center_axis',(0.842341965841361,-0.53894342243181,0.)); #408258=DIRECTION('ref_axis',(0.53894342243181,0.842341965841361,0.)); #408259=DIRECTION('',(0.53894342243181,0.842341965841361,0.)); #408260=DIRECTION('',(0.53894342243181,0.842341965841361,0.)); #408261=DIRECTION('',(0.,0.,1.)); #408262=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #408263=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #408264=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #408265=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #408266=DIRECTION('',(0.,0.,1.)); #408267=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #408268=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #408269=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #408270=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #408271=DIRECTION('',(0.,0.,1.)); #408272=DIRECTION('center_axis',(0.,-1.,0.)); #408273=DIRECTION('ref_axis',(1.,0.,0.)); #408274=DIRECTION('',(1.,0.,0.)); #408275=DIRECTION('',(1.,0.,0.)); #408276=DIRECTION('',(0.,0.,1.)); #408277=DIRECTION('center_axis',(-0.19119486568856,-0.981552099144174,0.)); #408278=DIRECTION('ref_axis',(0.981552099144174,-0.19119486568856,0.)); #408279=DIRECTION('',(0.981552099144174,-0.19119486568856,0.)); #408280=DIRECTION('',(0.981552099144174,-0.19119486568856,0.)); #408281=DIRECTION('',(0.,0.,1.)); #408282=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #408283=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #408284=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #408285=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #408286=DIRECTION('',(0.,0.,1.)); #408287=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #408288=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #408289=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #408290=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #408291=DIRECTION('',(0.,0.,1.)); #408292=DIRECTION('center_axis',(-0.637994662037034,-0.770040785421299, 0.)); #408293=DIRECTION('ref_axis',(0.770040785421299,-0.637994662037034,0.)); #408294=DIRECTION('',(0.770040785421299,-0.637994662037034,0.)); #408295=DIRECTION('',(0.770040785421299,-0.637994662037034,0.)); #408296=DIRECTION('',(0.,0.,1.)); #408297=DIRECTION('center_axis',(-0.483501430321377,-0.875343570763608, 0.)); #408298=DIRECTION('ref_axis',(0.875343570763608,-0.483501430321377,0.)); #408299=DIRECTION('',(0.875343570763608,-0.483501430321377,0.)); #408300=DIRECTION('',(0.875343570763608,-0.483501430321377,0.)); #408301=DIRECTION('',(0.,0.,1.)); #408302=DIRECTION('center_axis',(-0.312309900096934,-0.94998027679602,0.)); #408303=DIRECTION('ref_axis',(0.94998027679602,-0.312309900096934,0.)); #408304=DIRECTION('',(0.94998027679602,-0.312309900096934,0.)); #408305=DIRECTION('',(0.94998027679602,-0.312309900096934,0.)); #408306=DIRECTION('',(0.,0.,1.)); #408307=DIRECTION('center_axis',(-0.111701171619588,-0.993741841857739, 0.)); #408308=DIRECTION('ref_axis',(0.993741841857739,-0.111701171619588,0.)); #408309=DIRECTION('',(0.993741841857739,-0.111701171619588,0.)); #408310=DIRECTION('',(0.993741841857739,-0.111701171619588,0.)); #408311=DIRECTION('',(0.,0.,1.)); #408312=DIRECTION('center_axis',(0.,-1.,0.)); #408313=DIRECTION('ref_axis',(1.,0.,0.)); #408314=DIRECTION('',(1.,0.,0.)); #408315=DIRECTION('',(1.,0.,0.)); #408316=DIRECTION('',(0.,0.,1.)); #408317=DIRECTION('center_axis',(0.0934791361626953,-0.995621238776211, 0.)); #408318=DIRECTION('ref_axis',(0.995621238776211,0.0934791361626953,0.)); #408319=DIRECTION('',(0.995621238776211,0.0934791361626953,0.)); #408320=DIRECTION('',(0.995621238776211,0.0934791361626953,0.)); #408321=DIRECTION('',(0.,0.,1.)); #408322=DIRECTION('center_axis',(0.277021501204048,-0.960863719718179,0.)); #408323=DIRECTION('ref_axis',(0.960863719718179,0.277021501204048,0.)); #408324=DIRECTION('',(0.960863719718179,0.277021501204048,0.)); #408325=DIRECTION('',(0.960863719718179,0.277021501204048,0.)); #408326=DIRECTION('',(0.,0.,1.)); #408327=DIRECTION('center_axis',(0.450961552556783,-0.89254337604151,0.)); #408328=DIRECTION('ref_axis',(0.89254337604151,0.450961552556783,0.)); #408329=DIRECTION('',(0.89254337604151,0.450961552556783,0.)); #408330=DIRECTION('',(0.89254337604151,0.450961552556783,0.)); #408331=DIRECTION('',(0.,0.,1.)); #408332=DIRECTION('center_axis',(0.623635325767763,-0.781715408863441,0.)); #408333=DIRECTION('ref_axis',(0.781715408863441,0.623635325767763,0.)); #408334=DIRECTION('',(0.781715408863441,0.623635325767763,0.)); #408335=DIRECTION('',(0.781715408863441,0.623635325767763,0.)); #408336=DIRECTION('',(0.,0.,1.)); #408337=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #408338=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #408339=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #408340=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #408341=DIRECTION('',(0.,0.,1.)); #408342=DIRECTION('center_axis',(0.770040785421226,-0.637994662037122,0.)); #408343=DIRECTION('ref_axis',(0.637994662037122,0.770040785421226,0.)); #408344=DIRECTION('',(0.637994662037122,0.770040785421226,0.)); #408345=DIRECTION('',(0.637994662037122,0.770040785421226,0.)); #408346=DIRECTION('',(0.,0.,1.)); #408347=DIRECTION('center_axis',(0.875343570763608,-0.483501430321377,0.)); #408348=DIRECTION('ref_axis',(0.483501430321377,0.875343570763608,0.)); #408349=DIRECTION('',(0.483501430321377,0.875343570763608,0.)); #408350=DIRECTION('',(0.483501430321377,0.875343570763608,0.)); #408351=DIRECTION('',(0.,0.,1.)); #408352=DIRECTION('center_axis',(0.949980276796069,-0.312309900096786,0.)); #408353=DIRECTION('ref_axis',(0.312309900096786,0.949980276796069,0.)); #408354=DIRECTION('',(0.312309900096786,0.949980276796069,0.)); #408355=DIRECTION('',(0.312309900096786,0.949980276796069,0.)); #408356=DIRECTION('',(0.,0.,1.)); #408357=DIRECTION('center_axis',(0.993741841857739,-0.111701171619588,0.)); #408358=DIRECTION('ref_axis',(0.111701171619588,0.993741841857739,0.)); #408359=DIRECTION('',(0.111701171619588,0.993741841857739,0.)); #408360=DIRECTION('',(0.111701171619588,0.993741841857739,0.)); #408361=DIRECTION('',(0.,0.,1.)); #408362=DIRECTION('center_axis',(1.,0.,0.)); #408363=DIRECTION('ref_axis',(0.,1.,0.)); #408364=DIRECTION('',(0.,1.,0.)); #408365=DIRECTION('',(0.,1.,0.)); #408366=DIRECTION('',(0.,0.,1.)); #408367=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #408368=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #408369=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #408370=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #408371=DIRECTION('',(0.,0.,1.)); #408372=DIRECTION('center_axis',(0.833693256958937,-0.552227809242888,0.)); #408373=DIRECTION('ref_axis',(0.552227809242888,0.833693256958937,0.)); #408374=DIRECTION('',(0.552227809242888,0.833693256958937,0.)); #408375=DIRECTION('',(0.552227809242888,0.833693256958937,0.)); #408376=DIRECTION('',(0.,0.,1.)); #408377=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #408378=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #408379=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #408380=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #408381=DIRECTION('',(0.,0.,1.)); #408382=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #408383=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #408384=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #408385=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #408386=DIRECTION('',(0.,0.,1.)); #408387=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #408388=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #408389=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #408390=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #408391=DIRECTION('',(0.,0.,1.)); #408392=DIRECTION('center_axis',(0.,-1.,0.)); #408393=DIRECTION('ref_axis',(1.,0.,0.)); #408394=DIRECTION('',(1.,0.,0.)); #408395=DIRECTION('',(1.,0.,0.)); #408396=DIRECTION('',(0.,0.,1.)); #408397=DIRECTION('center_axis',(-0.175526666653581,-0.98447467681677,0.)); #408398=DIRECTION('ref_axis',(0.984474676816769,-0.175526666653581,0.)); #408399=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #408400=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #408401=DIRECTION('',(0.,0.,1.)); #408402=DIRECTION('center_axis',(-0.525399368451308,-0.850855747839178, 0.)); #408403=DIRECTION('ref_axis',(0.850855747839178,-0.525399368451308,0.)); #408404=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #408405=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #408406=DIRECTION('',(0.,0.,1.)); #408407=DIRECTION('center_axis',(-0.815623399209,-0.578583157949449,0.)); #408408=DIRECTION('ref_axis',(0.578583157949449,-0.815623399209,0.)); #408409=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #408410=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #408411=DIRECTION('',(0.,0.,1.)); #408412=DIRECTION('center_axis',(-0.976703298176472,-0.214594192212188, 0.)); #408413=DIRECTION('ref_axis',(0.214594192212188,-0.976703298176472,0.)); #408414=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #408415=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #408416=DIRECTION('',(0.,0.,1.)); #408417=DIRECTION('center_axis',(-0.983041987252267,0.183380618657247,0.)); #408418=DIRECTION('ref_axis',(-0.183380618657247,-0.983041987252266,0.)); #408419=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #408420=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #408421=DIRECTION('',(0.,0.,1.)); #408422=DIRECTION('center_axis',(-0.833693256958911,0.552227809242928,0.)); #408423=DIRECTION('ref_axis',(-0.552227809242928,-0.833693256958911,0.)); #408424=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #408425=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #408426=DIRECTION('',(0.,0.,1.)); #408427=DIRECTION('center_axis',(-0.707244739779098,0.70696879567262,0.)); #408428=DIRECTION('ref_axis',(-0.70696879567262,-0.707244739779098,0.)); #408429=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #408430=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #408431=DIRECTION('',(0.,0.,1.)); #408432=DIRECTION('center_axis',(-1.,0.,0.)); #408433=DIRECTION('ref_axis',(0.,-1.,0.)); #408434=DIRECTION('',(0.,-1.,0.)); #408435=DIRECTION('',(0.,-1.,0.)); #408436=DIRECTION('',(0.,0.,1.)); #408437=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408438=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408439=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408440=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408441=DIRECTION('',(0.,0.,1.)); #408442=DIRECTION('center_axis',(0.,-1.,0.)); #408443=DIRECTION('ref_axis',(1.,0.,0.)); #408444=DIRECTION('',(1.,0.,0.)); #408445=DIRECTION('',(1.,0.,0.)); #408446=DIRECTION('',(0.,0.,1.)); #408447=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #408448=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #408449=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #408450=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #408451=DIRECTION('',(0.,0.,1.)); #408452=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #408453=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #408454=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #408455=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #408456=DIRECTION('',(0.,0.,1.)); #408457=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408458=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408459=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408460=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #408461=DIRECTION('',(0.,0.,1.)); #408462=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #408463=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #408464=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #408465=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #408466=DIRECTION('',(0.,0.,1.)); #408467=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #408468=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #408469=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #408470=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #408471=DIRECTION('',(0.,0.,1.)); #408472=DIRECTION('center_axis',(-1.,0.,0.)); #408473=DIRECTION('ref_axis',(0.,-1.,0.)); #408474=DIRECTION('',(0.,-1.,0.)); #408475=DIRECTION('',(0.,-1.,0.)); #408476=DIRECTION('',(0.,0.,1.)); #408477=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408478=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408479=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408480=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408481=DIRECTION('',(0.,0.,1.)); #408482=DIRECTION('center_axis',(0.,-1.,0.)); #408483=DIRECTION('ref_axis',(1.,0.,0.)); #408484=DIRECTION('',(1.,0.,0.)); #408485=DIRECTION('',(1.,0.,0.)); #408486=DIRECTION('',(0.,0.,1.)); #408487=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #408488=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #408489=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #408490=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #408491=DIRECTION('',(0.,0.,1.)); #408492=DIRECTION('center_axis',(1.,0.,0.)); #408493=DIRECTION('ref_axis',(0.,1.,0.)); #408494=DIRECTION('',(0.,1.,0.)); #408495=DIRECTION('',(0.,1.,0.)); #408496=DIRECTION('',(0.,0.,1.)); #408497=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #408498=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #408499=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #408500=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #408501=DIRECTION('',(0.,0.,1.)); #408502=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #408503=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #408504=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #408505=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #408506=DIRECTION('',(0.,0.,1.)); #408507=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #408508=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #408509=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #408510=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #408511=DIRECTION('',(0.,0.,1.)); #408512=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #408513=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #408514=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #408515=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #408516=DIRECTION('',(0.,0.,1.)); #408517=DIRECTION('center_axis',(0.,-1.,0.)); #408518=DIRECTION('ref_axis',(1.,0.,0.)); #408519=DIRECTION('',(1.,0.,0.)); #408520=DIRECTION('',(1.,0.,0.)); #408521=DIRECTION('',(0.,0.,1.)); #408522=DIRECTION('center_axis',(0.140944937191862,-0.990017436553509,0.)); #408523=DIRECTION('ref_axis',(0.990017436553509,0.140944937191862,0.)); #408524=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #408525=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #408526=DIRECTION('',(0.,0.,1.)); #408527=DIRECTION('center_axis',(0.613211584811012,-0.789918699774583,0.)); #408528=DIRECTION('ref_axis',(0.789918699774583,0.613211584811012,0.)); #408529=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #408530=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #408531=DIRECTION('',(0.,0.,1.)); #408532=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #408533=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #408534=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #408535=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #408536=DIRECTION('',(0.,0.,1.)); #408537=DIRECTION('center_axis',(0.799763100782712,-0.600315735781115,0.)); #408538=DIRECTION('ref_axis',(0.600315735781115,0.799763100782712,0.)); #408539=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #408540=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #408541=DIRECTION('',(0.,0.,1.)); #408542=DIRECTION('center_axis',(0.992121345390238,-0.125280629073548,0.)); #408543=DIRECTION('ref_axis',(0.125280629073548,0.992121345390238,0.)); #408544=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #408545=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #408546=DIRECTION('',(0.,0.,1.)); #408547=DIRECTION('center_axis',(1.,0.,0.)); #408548=DIRECTION('ref_axis',(0.,1.,0.)); #408549=DIRECTION('',(0.,1.,0.)); #408550=DIRECTION('',(0.,1.,0.)); #408551=DIRECTION('',(0.,0.,1.)); #408552=DIRECTION('center_axis',(0.981552099144148,-0.191194865688692,0.)); #408553=DIRECTION('ref_axis',(0.191194865688692,0.981552099144148,0.)); #408554=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #408555=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #408556=DIRECTION('',(0.,0.,1.)); #408557=DIRECTION('center_axis',(0.833699290017772,-0.55221870108125,0.)); #408558=DIRECTION('ref_axis',(0.55221870108125,0.833699290017772,0.)); #408559=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #408560=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #408561=DIRECTION('',(0.,0.,1.)); #408562=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #408563=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #408564=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #408565=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #408566=DIRECTION('',(0.,0.,1.)); #408567=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #408568=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #408569=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #408570=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #408571=DIRECTION('',(0.,0.,1.)); #408572=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #408573=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #408574=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #408575=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #408576=DIRECTION('',(0.,0.,1.)); #408577=DIRECTION('center_axis',(0.,-1.,0.)); #408578=DIRECTION('ref_axis',(1.,0.,0.)); #408579=DIRECTION('',(1.,0.,0.)); #408580=DIRECTION('',(1.,0.,0.)); #408581=DIRECTION('',(0.,0.,1.)); #408582=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #408583=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #408584=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #408585=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #408586=DIRECTION('',(0.,0.,1.)); #408587=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #408588=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #408589=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #408590=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #408591=DIRECTION('',(0.,0.,1.)); #408592=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #408593=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #408594=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #408595=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #408596=DIRECTION('',(0.,0.,1.)); #408597=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #408598=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #408599=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #408600=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #408601=DIRECTION('',(0.,0.,1.)); #408602=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #408603=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #408604=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #408605=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #408606=DIRECTION('',(0.,0.,1.)); #408607=DIRECTION('center_axis',(-1.,0.,0.)); #408608=DIRECTION('ref_axis',(0.,-1.,0.)); #408609=DIRECTION('',(0.,-1.,0.)); #408610=DIRECTION('',(0.,-1.,0.)); #408611=DIRECTION('',(0.,0.,1.)); #408612=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #408613=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #408614=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #408615=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #408616=DIRECTION('',(0.,0.,1.)); #408617=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #408618=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #408619=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408620=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408621=DIRECTION('',(0.,0.,1.)); #408622=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #408623=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #408624=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #408625=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #408626=DIRECTION('',(0.,0.,1.)); #408627=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #408628=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #408629=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #408630=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #408631=DIRECTION('',(0.,0.,1.)); #408632=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #408633=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #408634=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #408635=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #408636=DIRECTION('',(0.,0.,1.)); #408637=DIRECTION('center_axis',(0.,1.,0.)); #408638=DIRECTION('ref_axis',(-1.,0.,0.)); #408639=DIRECTION('',(-1.,0.,0.)); #408640=DIRECTION('',(-1.,0.,0.)); #408641=DIRECTION('',(0.,0.,1.)); #408642=DIRECTION('center_axis',(0.191194865688736,0.981552099144139,0.)); #408643=DIRECTION('ref_axis',(-0.981552099144139,0.191194865688736,0.)); #408644=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #408645=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #408646=DIRECTION('',(0.,0.,1.)); #408647=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #408648=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #408649=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #408650=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #408651=DIRECTION('',(0.,0.,1.)); #408652=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408653=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408654=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408655=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408656=DIRECTION('',(0.,0.,1.)); #408657=DIRECTION('center_axis',(0.829264560351605,0.558856232804877,0.)); #408658=DIRECTION('ref_axis',(-0.558856232804877,0.829264560351605,0.)); #408659=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #408660=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #408661=DIRECTION('',(0.,0.,1.)); #408662=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #408663=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #408664=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #408665=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #408666=DIRECTION('',(0.,0.,1.)); #408667=DIRECTION('center_axis',(1.,0.,0.)); #408668=DIRECTION('ref_axis',(0.,1.,0.)); #408669=DIRECTION('',(0.,1.,0.)); #408670=DIRECTION('',(0.,1.,0.)); #408671=DIRECTION('',(0.,0.,1.)); #408672=DIRECTION('center_axis',(0.990017436553582,0.14094493719135,0.)); #408673=DIRECTION('ref_axis',(-0.14094493719135,0.990017436553582,0.)); #408674=DIRECTION('',(-0.14094493719135,0.990017436553582,0.)); #408675=DIRECTION('',(-0.14094493719135,0.990017436553582,0.)); #408676=DIRECTION('',(0.,0.,1.)); #408677=DIRECTION('center_axis',(0.789918699774164,0.613211584811551,0.)); #408678=DIRECTION('ref_axis',(-0.613211584811551,0.789918699774164,0.)); #408679=DIRECTION('',(-0.613211584811551,0.789918699774164,0.)); #408680=DIRECTION('',(-0.613211584811551,0.789918699774164,0.)); #408681=DIRECTION('',(0.,0.,1.)); #408682=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #408683=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #408684=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #408685=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #408686=DIRECTION('',(0.,0.,1.)); #408687=DIRECTION('center_axis',(0.600315735781037,0.799763100782771,0.)); #408688=DIRECTION('ref_axis',(-0.799763100782771,0.600315735781037,0.)); #408689=DIRECTION('',(-0.799763100782771,0.600315735781037,0.)); #408690=DIRECTION('',(-0.799763100782771,0.600315735781037,0.)); #408691=DIRECTION('',(0.,0.,1.)); #408692=DIRECTION('center_axis',(0.125280629073241,0.992121345390277,0.)); #408693=DIRECTION('ref_axis',(-0.992121345390277,0.125280629073241,0.)); #408694=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #408695=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #408696=DIRECTION('',(0.,0.,1.)); #408697=DIRECTION('center_axis',(0.,1.,0.)); #408698=DIRECTION('ref_axis',(-1.,0.,0.)); #408699=DIRECTION('',(-1.,0.,0.)); #408700=DIRECTION('',(-1.,0.,0.)); #408701=DIRECTION('',(0.,0.,1.)); #408702=DIRECTION('center_axis',(0.183314787014901,0.983054265471485,0.)); #408703=DIRECTION('ref_axis',(-0.983054265471485,0.183314787014901,0.)); #408704=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #408705=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #408706=DIRECTION('',(0.,0.,1.)); #408707=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #408708=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #408709=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #408710=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #408711=DIRECTION('',(0.,0.,1.)); #408712=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #408713=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #408714=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #408715=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #408716=DIRECTION('',(0.,0.,1.)); #408717=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #408718=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #408719=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #408720=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #408721=DIRECTION('',(0.,0.,1.)); #408722=DIRECTION('center_axis',(1.,0.,0.)); #408723=DIRECTION('ref_axis',(0.,1.,0.)); #408724=DIRECTION('',(0.,1.,0.)); #408725=DIRECTION('',(0.,1.,0.)); #408726=DIRECTION('',(0.,0.,1.)); #408727=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408728=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408729=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408730=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408731=DIRECTION('',(0.,0.,1.)); #408732=DIRECTION('center_axis',(0.,1.,0.)); #408733=DIRECTION('ref_axis',(-1.,0.,0.)); #408734=DIRECTION('',(-1.,0.,0.)); #408735=DIRECTION('',(-1.,0.,0.)); #408736=DIRECTION('',(0.,0.,1.)); #408737=DIRECTION('center_axis',(-0.707106781186533,0.707106781186562,0.)); #408738=DIRECTION('ref_axis',(-0.707106781186562,-0.707106781186533,0.)); #408739=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #408740=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #408741=DIRECTION('',(0.,0.,1.)); #408742=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #408743=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #408744=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #408745=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #408746=DIRECTION('',(0.,0.,1.)); #408747=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #408748=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #408749=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #408750=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #408751=DIRECTION('',(0.,0.,1.)); #408752=DIRECTION('center_axis',(0.183380618657178,0.983041987252279,0.)); #408753=DIRECTION('ref_axis',(-0.983041987252279,0.183380618657178,0.)); #408754=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #408755=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #408756=DIRECTION('',(0.,0.,1.)); #408757=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #408758=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #408759=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #408760=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #408761=DIRECTION('',(0.,0.,1.)); #408762=DIRECTION('center_axis',(0.707106781186533,0.707106781186562,0.)); #408763=DIRECTION('ref_axis',(-0.707106781186562,0.707106781186533,0.)); #408764=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #408765=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #408766=DIRECTION('center_axis',(0.,0.,1.)); #408767=DIRECTION('ref_axis',(1.,0.,0.)); #408768=DIRECTION('center_axis',(0.,0.,1.)); #408769=DIRECTION('ref_axis',(1.,0.,0.)); #408770=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #408771=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #408772=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #408773=DIRECTION('',(0.,0.,1.)); #408774=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #408775=DIRECTION('',(0.,0.,1.)); #408776=DIRECTION('center_axis',(-0.183380618657247,-0.983041987252267, 0.)); #408777=DIRECTION('ref_axis',(0.983041987252266,-0.183380618657247,0.)); #408778=DIRECTION('',(0.983041987252266,-0.183380618657247,0.)); #408779=DIRECTION('',(0.983041987252266,-0.183380618657247,0.)); #408780=DIRECTION('',(0.,0.,1.)); #408781=DIRECTION('center_axis',(-0.552214058332361,-0.833702365224007, 0.)); #408782=DIRECTION('ref_axis',(0.833702365224007,-0.552214058332361,0.)); #408783=DIRECTION('',(0.833702365224007,-0.552214058332361,0.)); #408784=DIRECTION('',(0.833702365224007,-0.552214058332361,0.)); #408785=DIRECTION('',(0.,0.,1.)); #408786=DIRECTION('center_axis',(-0.707125004883723,-0.707088557019695, 0.)); #408787=DIRECTION('ref_axis',(0.707088557019695,-0.707125004883723,0.)); #408788=DIRECTION('',(0.707088557019695,-0.707125004883723,0.)); #408789=DIRECTION('',(0.707088557019695,-0.707125004883723,0.)); #408790=DIRECTION('',(0.,0.,1.)); #408791=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #408792=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #408793=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #408794=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #408795=DIRECTION('',(0.,0.,1.)); #408796=DIRECTION('center_axis',(-0.979998112340266,-0.199006783325383, 0.)); #408797=DIRECTION('ref_axis',(0.199006783325383,-0.979998112340266,0.)); #408798=DIRECTION('',(0.199006783325383,-0.979998112340266,0.)); #408799=DIRECTION('',(0.199006783325383,-0.979998112340266,0.)); #408800=DIRECTION('',(0.,0.,1.)); #408801=DIRECTION('center_axis',(-1.,0.,0.)); #408802=DIRECTION('ref_axis',(0.,-1.,0.)); #408803=DIRECTION('',(0.,-1.,0.)); #408804=DIRECTION('',(0.,-1.,0.)); #408805=DIRECTION('',(0.,0.,1.)); #408806=DIRECTION('center_axis',(-0.981552851945501,0.191191000932715,0.)); #408807=DIRECTION('ref_axis',(-0.191191000932715,-0.981552851945501,0.)); #408808=DIRECTION('',(-0.191191000932715,-0.981552851945501,0.)); #408809=DIRECTION('',(-0.191191000932715,-0.981552851945501,0.)); #408810=DIRECTION('',(0.,0.,1.)); #408811=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #408812=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #408813=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408814=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #408815=DIRECTION('',(0.,0.,1.)); #408816=DIRECTION('center_axis',(-0.707106781186629,0.707106781186467,0.)); #408817=DIRECTION('ref_axis',(-0.707106781186467,-0.707106781186628,0.)); #408818=DIRECTION('',(-0.707106781186467,-0.707106781186629,0.)); #408819=DIRECTION('',(-0.707106781186467,-0.707106781186629,0.)); #408820=DIRECTION('',(0.,0.,1.)); #408821=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #408822=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #408823=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #408824=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #408825=DIRECTION('',(0.,0.,1.)); #408826=DIRECTION('center_axis',(-0.214594192212151,0.97670329817648,0.)); #408827=DIRECTION('ref_axis',(-0.97670329817648,-0.214594192212151,0.)); #408828=DIRECTION('',(-0.97670329817648,-0.214594192212151,0.)); #408829=DIRECTION('',(-0.97670329817648,-0.214594192212151,0.)); #408830=DIRECTION('',(0.,0.,1.)); #408831=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #408832=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #408833=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #408834=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #408835=DIRECTION('',(0.,0.,1.)); #408836=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #408837=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #408838=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #408839=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #408840=DIRECTION('',(0.,0.,1.)); #408841=DIRECTION('center_axis',(0.707106781186629,0.707106781186467,0.)); #408842=DIRECTION('ref_axis',(-0.707106781186467,0.707106781186628,0.)); #408843=DIRECTION('',(-0.707106781186467,0.707106781186629,0.)); #408844=DIRECTION('',(-0.707106781186467,0.707106781186629,0.)); #408845=DIRECTION('',(0.,0.,1.)); #408846=DIRECTION('center_axis',(0.829264560351534,0.558856232804982,0.)); #408847=DIRECTION('ref_axis',(-0.558856232804982,0.829264560351534,0.)); #408848=DIRECTION('',(-0.558856232804982,0.829264560351534,0.)); #408849=DIRECTION('',(-0.558856232804982,0.829264560351534,0.)); #408850=DIRECTION('',(0.,0.,1.)); #408851=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #408852=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #408853=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #408854=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #408855=DIRECTION('',(0.,0.,1.)); #408856=DIRECTION('center_axis',(1.,0.,0.)); #408857=DIRECTION('ref_axis',(0.,1.,0.)); #408858=DIRECTION('',(0.,1.,0.)); #408859=DIRECTION('',(0.,1.,0.)); #408860=DIRECTION('',(0.,0.,1.)); #408861=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #408862=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #408863=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #408864=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #408865=DIRECTION('',(0.,0.,1.)); #408866=DIRECTION('center_axis',(0.833693256958911,-0.552227809242928,0.)); #408867=DIRECTION('ref_axis',(0.552227809242928,0.833693256958911,0.)); #408868=DIRECTION('',(0.552227809242928,0.833693256958911,0.)); #408869=DIRECTION('',(0.552227809242928,0.833693256958911,0.)); #408870=DIRECTION('',(0.,0.,1.)); #408871=DIRECTION('center_axis',(0.707125004883723,-0.707088557019695,0.)); #408872=DIRECTION('ref_axis',(0.707088557019695,0.707125004883723,0.)); #408873=DIRECTION('',(0.707088557019695,0.707125004883723,0.)); #408874=DIRECTION('',(0.707088557019695,0.707125004883723,0.)); #408875=DIRECTION('',(0.,0.,1.)); #408876=DIRECTION('center_axis',(0.565475774224523,-0.824764905148841,0.)); #408877=DIRECTION('ref_axis',(0.82476490514884,0.565475774224523,0.)); #408878=DIRECTION('',(0.824764905148841,0.565475774224523,0.)); #408879=DIRECTION('',(0.824764905148841,0.565475774224523,0.)); #408880=DIRECTION('center_axis',(0.,0.,1.)); #408881=DIRECTION('ref_axis',(1.,0.,0.)); #408882=DIRECTION('center_axis',(0.,0.,1.)); #408883=DIRECTION('ref_axis',(1.,0.,0.)); #408884=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #408885=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #408886=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408887=DIRECTION('',(0.,0.,1.)); #408888=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #408889=DIRECTION('',(0.,0.,1.)); #408890=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #408891=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #408892=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #408893=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #408894=DIRECTION('',(0.,0.,1.)); #408895=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #408896=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #408897=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #408898=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #408899=DIRECTION('',(0.,0.,1.)); #408900=DIRECTION('center_axis',(-0.70710678118657,-0.707106781186525,0.)); #408901=DIRECTION('ref_axis',(0.707106781186525,-0.70710678118657,0.)); #408902=DIRECTION('',(0.707106781186525,-0.70710678118657,0.)); #408903=DIRECTION('',(0.707106781186525,-0.70710678118657,0.)); #408904=DIRECTION('',(0.,0.,1.)); #408905=DIRECTION('center_axis',(-0.829203833807176,-0.558946331949215, 0.)); #408906=DIRECTION('ref_axis',(0.558946331949215,-0.829203833807176,0.)); #408907=DIRECTION('',(0.558946331949215,-0.829203833807176,0.)); #408908=DIRECTION('',(0.558946331949215,-0.829203833807176,0.)); #408909=DIRECTION('',(0.,0.,1.)); #408910=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #408911=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #408912=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408913=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #408914=DIRECTION('',(0.,0.,1.)); #408915=DIRECTION('center_axis',(-1.,0.,0.)); #408916=DIRECTION('ref_axis',(0.,-1.,0.)); #408917=DIRECTION('',(0.,-1.,0.)); #408918=DIRECTION('',(0.,-1.,0.)); #408919=DIRECTION('',(0.,0.,1.)); #408920=DIRECTION('center_axis',(-0.981560865252957,0.191149856928713,0.)); #408921=DIRECTION('ref_axis',(-0.191149856928713,-0.981560865252957,0.)); #408922=DIRECTION('',(-0.191149856928713,-0.981560865252957,0.)); #408923=DIRECTION('',(-0.191149856928713,-0.981560865252957,0.)); #408924=DIRECTION('',(0.,0.,1.)); #408925=DIRECTION('center_axis',(-0.833659859749598,0.55227822539213,0.)); #408926=DIRECTION('ref_axis',(-0.55227822539213,-0.833659859749598,0.)); #408927=DIRECTION('',(-0.55227822539213,-0.833659859749598,0.)); #408928=DIRECTION('',(-0.55227822539213,-0.833659859749598,0.)); #408929=DIRECTION('',(0.,0.,1.)); #408930=DIRECTION('center_axis',(-0.707106781186592,0.707106781186503,0.)); #408931=DIRECTION('ref_axis',(-0.707106781186503,-0.707106781186592,0.)); #408932=DIRECTION('',(-0.707106781186503,-0.707106781186592,0.)); #408933=DIRECTION('',(-0.707106781186503,-0.707106781186592,0.)); #408934=DIRECTION('',(0.,0.,1.)); #408935=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #408936=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #408937=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #408938=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #408939=DIRECTION('',(0.,0.,1.)); #408940=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #408941=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #408942=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #408943=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #408944=DIRECTION('',(0.,0.,1.)); #408945=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #408946=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #408947=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #408948=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #408949=DIRECTION('',(0.,0.,1.)); #408950=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #408951=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #408952=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #408953=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #408954=DIRECTION('',(0.,0.,1.)); #408955=DIRECTION('center_axis',(0.707106781186592,0.707106781186503,0.)); #408956=DIRECTION('ref_axis',(-0.707106781186503,0.707106781186592,0.)); #408957=DIRECTION('',(-0.707106781186503,0.707106781186592,0.)); #408958=DIRECTION('',(-0.707106781186503,0.707106781186592,0.)); #408959=DIRECTION('',(0.,0.,1.)); #408960=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #408961=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #408962=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #408963=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #408964=DIRECTION('',(0.,0.,1.)); #408965=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #408966=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #408967=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #408968=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #408969=DIRECTION('',(0.,0.,1.)); #408970=DIRECTION('center_axis',(1.,0.,0.)); #408971=DIRECTION('ref_axis',(0.,1.,0.)); #408972=DIRECTION('',(0.,1.,0.)); #408973=DIRECTION('',(0.,1.,0.)); #408974=DIRECTION('',(0.,0.,1.)); #408975=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #408976=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #408977=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #408978=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #408979=DIRECTION('',(0.,0.,1.)); #408980=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #408981=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #408982=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #408983=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #408984=DIRECTION('',(0.,0.,1.)); #408985=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #408986=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #408987=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #408988=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #408989=DIRECTION('center_axis',(0.,0.,1.)); #408990=DIRECTION('ref_axis',(1.,0.,0.)); #408991=DIRECTION('center_axis',(0.,0.,1.)); #408992=DIRECTION('ref_axis',(1.,0.,0.)); #408993=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #408994=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #408995=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408996=DIRECTION('',(0.,0.,1.)); #408997=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408998=DIRECTION('',(0.,0.,1.)); #408999=DIRECTION('center_axis',(0.,-1.,0.)); #409000=DIRECTION('ref_axis',(1.,0.,0.)); #409001=DIRECTION('',(1.,0.,0.)); #409002=DIRECTION('',(1.,0.,0.)); #409003=DIRECTION('',(0.,0.,1.)); #409004=DIRECTION('center_axis',(-0.191149856928888,-0.981560865252922, 0.)); #409005=DIRECTION('ref_axis',(0.981560865252922,-0.191149856928888,0.)); #409006=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #409007=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #409008=DIRECTION('',(0.,0.,1.)); #409009=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #409010=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #409011=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #409012=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #409013=DIRECTION('',(0.,0.,1.)); #409014=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #409015=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #409016=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409017=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409018=DIRECTION('',(0.,0.,1.)); #409019=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #409020=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #409021=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #409022=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #409023=DIRECTION('',(0.,0.,1.)); #409024=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #409025=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #409026=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409027=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409028=DIRECTION('',(0.,0.,1.)); #409029=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #409030=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #409031=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409032=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409033=DIRECTION('',(0.,0.,1.)); #409034=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #409035=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #409036=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #409037=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #409038=DIRECTION('',(0.,0.,1.)); #409039=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #409040=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #409041=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409042=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409043=DIRECTION('',(0.,0.,1.)); #409044=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #409045=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #409046=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #409047=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #409048=DIRECTION('',(0.,0.,1.)); #409049=DIRECTION('center_axis',(0.,1.,0.)); #409050=DIRECTION('ref_axis',(-1.,0.,0.)); #409051=DIRECTION('',(-1.,0.,0.)); #409052=DIRECTION('',(-1.,0.,0.)); #409053=DIRECTION('',(0.,0.,1.)); #409054=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #409055=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #409056=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #409057=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #409058=DIRECTION('',(0.,0.,1.)); #409059=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #409060=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #409061=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409062=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409063=DIRECTION('',(0.,0.,1.)); #409064=DIRECTION('center_axis',(0.707106781186446,0.707106781186649,0.)); #409065=DIRECTION('ref_axis',(-0.707106781186649,0.707106781186446,0.)); #409066=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #409067=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #409068=DIRECTION('',(0.,0.,1.)); #409069=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #409070=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #409071=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #409072=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #409073=DIRECTION('',(0.,0.,1.)); #409074=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #409075=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #409076=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #409077=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #409078=DIRECTION('',(0.,0.,1.)); #409079=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #409080=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #409081=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #409082=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #409083=DIRECTION('',(0.,0.,1.)); #409084=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #409085=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #409086=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409087=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409088=DIRECTION('',(0.,0.,1.)); #409089=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #409090=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #409091=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409092=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409093=DIRECTION('center_axis',(0.,0.,1.)); #409094=DIRECTION('ref_axis',(1.,0.,0.)); #409095=DIRECTION('center_axis',(0.,0.,1.)); #409096=DIRECTION('ref_axis',(1.,0.,0.)); #409097=DIRECTION('center_axis',(0.,1.,0.)); #409098=DIRECTION('ref_axis',(-1.,0.,0.)); #409099=DIRECTION('',(1.,0.,0.)); #409100=DIRECTION('',(0.,0.,1.)); #409101=DIRECTION('',(-1.,0.,0.)); #409102=DIRECTION('',(0.,0.,1.)); #409103=DIRECTION('center_axis',(0.,0.,1.)); #409104=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #409105=DIRECTION('center_axis',(0.,0.,-1.)); #409106=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #409107=DIRECTION('center_axis',(0.,0.,1.)); #409108=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #409109=DIRECTION('',(0.,0.,1.)); #409110=DIRECTION('center_axis',(0.,-1.,0.)); #409111=DIRECTION('ref_axis',(1.,0.,0.)); #409112=DIRECTION('',(-1.,0.,0.)); #409113=DIRECTION('',(1.,0.,0.)); #409114=DIRECTION('',(0.,0.,1.)); #409115=DIRECTION('center_axis',(0.,0.,1.)); #409116=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #409117=DIRECTION('center_axis',(0.,0.,-1.)); #409118=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #409119=DIRECTION('center_axis',(0.,0.,1.)); #409120=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #409121=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #409122=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #409123=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #409124=DIRECTION('',(0.,0.,1.)); #409125=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #409126=DIRECTION('',(0.,0.,1.)); #409127=DIRECTION('center_axis',(0.,-1.,0.)); #409128=DIRECTION('ref_axis',(1.,0.,0.)); #409129=DIRECTION('',(1.,0.,0.)); #409130=DIRECTION('',(1.,0.,0.)); #409131=DIRECTION('',(0.,0.,1.)); #409132=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #409133=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #409134=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #409135=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #409136=DIRECTION('',(0.,0.,1.)); #409137=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #409138=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #409139=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #409140=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #409141=DIRECTION('',(0.,0.,1.)); #409142=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #409143=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #409144=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #409145=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #409146=DIRECTION('',(0.,0.,1.)); #409147=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #409148=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #409149=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #409150=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #409151=DIRECTION('',(0.,0.,1.)); #409152=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #409153=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #409154=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #409155=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #409156=DIRECTION('',(0.,0.,1.)); #409157=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #409158=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #409159=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #409160=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #409161=DIRECTION('',(0.,0.,1.)); #409162=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #409163=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #409164=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #409165=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #409166=DIRECTION('',(0.,0.,1.)); #409167=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #409168=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #409169=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #409170=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #409171=DIRECTION('',(0.,0.,1.)); #409172=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #409173=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #409174=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #409175=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #409176=DIRECTION('',(0.,0.,1.)); #409177=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #409178=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #409179=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #409180=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #409181=DIRECTION('',(0.,0.,1.)); #409182=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #409183=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #409184=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #409185=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #409186=DIRECTION('',(0.,0.,1.)); #409187=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #409188=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #409189=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #409190=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #409191=DIRECTION('',(0.,0.,1.)); #409192=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #409193=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #409194=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #409195=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #409196=DIRECTION('',(0.,0.,1.)); #409197=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #409198=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #409199=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #409200=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #409201=DIRECTION('',(0.,0.,1.)); #409202=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #409203=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #409204=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #409205=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #409206=DIRECTION('',(0.,0.,1.)); #409207=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #409208=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #409209=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #409210=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #409211=DIRECTION('',(0.,0.,1.)); #409212=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #409213=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #409214=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #409215=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #409216=DIRECTION('',(0.,0.,1.)); #409217=DIRECTION('center_axis',(-1.,0.,0.)); #409218=DIRECTION('ref_axis',(0.,-1.,0.)); #409219=DIRECTION('',(0.,-1.,0.)); #409220=DIRECTION('',(0.,-1.,0.)); #409221=DIRECTION('',(0.,0.,1.)); #409222=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #409223=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #409224=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #409225=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #409226=DIRECTION('',(0.,0.,1.)); #409227=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #409228=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #409229=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #409230=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #409231=DIRECTION('',(0.,0.,1.)); #409232=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #409233=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #409234=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #409235=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #409236=DIRECTION('',(0.,0.,1.)); #409237=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #409238=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #409239=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #409240=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #409241=DIRECTION('',(0.,0.,1.)); #409242=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #409243=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #409244=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #409245=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #409246=DIRECTION('',(0.,0.,1.)); #409247=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #409248=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #409249=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #409250=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #409251=DIRECTION('',(0.,0.,1.)); #409252=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #409253=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #409254=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #409255=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #409256=DIRECTION('',(0.,0.,1.)); #409257=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #409258=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #409259=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #409260=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #409261=DIRECTION('',(0.,0.,1.)); #409262=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409263=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409264=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409265=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409266=DIRECTION('',(0.,0.,1.)); #409267=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #409268=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #409269=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #409270=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #409271=DIRECTION('',(0.,0.,1.)); #409272=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #409273=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #409274=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #409275=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #409276=DIRECTION('',(0.,0.,1.)); #409277=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #409278=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #409279=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #409280=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #409281=DIRECTION('',(0.,0.,1.)); #409282=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #409283=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #409284=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #409285=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #409286=DIRECTION('',(0.,0.,1.)); #409287=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #409288=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #409289=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #409290=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #409291=DIRECTION('',(0.,0.,1.)); #409292=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #409293=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #409294=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #409295=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #409296=DIRECTION('',(0.,0.,1.)); #409297=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #409298=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #409299=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #409300=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #409301=DIRECTION('',(0.,0.,1.)); #409302=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #409303=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #409304=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #409305=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #409306=DIRECTION('',(0.,0.,1.)); #409307=DIRECTION('center_axis',(0.,1.,0.)); #409308=DIRECTION('ref_axis',(-1.,0.,0.)); #409309=DIRECTION('',(-1.,0.,0.)); #409310=DIRECTION('',(-1.,0.,0.)); #409311=DIRECTION('',(0.,0.,1.)); #409312=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #409313=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #409314=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #409315=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #409316=DIRECTION('',(0.,0.,1.)); #409317=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #409318=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #409319=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #409320=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #409321=DIRECTION('',(0.,0.,1.)); #409322=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #409323=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #409324=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #409325=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #409326=DIRECTION('',(0.,0.,1.)); #409327=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #409328=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #409329=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #409330=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #409331=DIRECTION('',(0.,0.,1.)); #409332=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #409333=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #409334=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #409335=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #409336=DIRECTION('',(0.,0.,1.)); #409337=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #409338=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #409339=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #409340=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #409341=DIRECTION('',(0.,0.,1.)); #409342=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #409343=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #409344=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #409345=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #409346=DIRECTION('',(0.,0.,1.)); #409347=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #409348=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #409349=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #409350=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #409351=DIRECTION('',(0.,0.,1.)); #409352=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409353=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409354=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409355=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409356=DIRECTION('',(0.,0.,1.)); #409357=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #409358=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #409359=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #409360=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #409361=DIRECTION('',(0.,0.,1.)); #409362=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #409363=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #409364=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #409365=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #409366=DIRECTION('',(0.,0.,1.)); #409367=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #409368=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #409369=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #409370=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #409371=DIRECTION('',(0.,0.,1.)); #409372=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #409373=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #409374=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #409375=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #409376=DIRECTION('',(0.,0.,1.)); #409377=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #409378=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #409379=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #409380=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #409381=DIRECTION('',(0.,0.,1.)); #409382=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #409383=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #409384=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #409385=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #409386=DIRECTION('',(0.,0.,1.)); #409387=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #409388=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #409389=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #409390=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #409391=DIRECTION('',(0.,0.,1.)); #409392=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #409393=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #409394=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #409395=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #409396=DIRECTION('',(0.,0.,1.)); #409397=DIRECTION('center_axis',(1.,0.,0.)); #409398=DIRECTION('ref_axis',(0.,1.,0.)); #409399=DIRECTION('',(0.,1.,0.)); #409400=DIRECTION('',(0.,1.,0.)); #409401=DIRECTION('',(0.,0.,1.)); #409402=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #409403=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #409404=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #409405=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #409406=DIRECTION('',(0.,0.,1.)); #409407=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #409408=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #409409=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #409410=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #409411=DIRECTION('',(0.,0.,1.)); #409412=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #409413=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #409414=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #409415=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #409416=DIRECTION('',(0.,0.,1.)); #409417=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #409418=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #409419=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #409420=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #409421=DIRECTION('',(0.,0.,1.)); #409422=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #409423=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #409424=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #409425=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #409426=DIRECTION('',(0.,0.,1.)); #409427=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #409428=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #409429=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #409430=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #409431=DIRECTION('',(0.,0.,1.)); #409432=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #409433=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #409434=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #409435=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #409436=DIRECTION('',(0.,0.,1.)); #409437=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #409438=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #409439=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #409440=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #409441=DIRECTION('',(0.,0.,1.)); #409442=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #409443=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #409444=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #409445=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #409446=DIRECTION('',(0.,0.,1.)); #409447=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #409448=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #409449=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #409450=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #409451=DIRECTION('',(0.,0.,1.)); #409452=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #409453=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #409454=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #409455=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #409456=DIRECTION('',(0.,0.,1.)); #409457=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #409458=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #409459=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #409460=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #409461=DIRECTION('',(0.,0.,1.)); #409462=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #409463=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #409464=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #409465=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #409466=DIRECTION('',(0.,0.,1.)); #409467=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #409468=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #409469=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #409470=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #409471=DIRECTION('',(0.,0.,1.)); #409472=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #409473=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #409474=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #409475=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #409476=DIRECTION('',(0.,0.,1.)); #409477=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #409478=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #409479=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #409480=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #409481=DIRECTION('center_axis',(0.,0.,1.)); #409482=DIRECTION('ref_axis',(1.,0.,0.)); #409483=DIRECTION('center_axis',(0.,0.,1.)); #409484=DIRECTION('ref_axis',(1.,0.,0.)); #409485=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #409486=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #409487=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409488=DIRECTION('',(0.,0.,1.)); #409489=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409490=DIRECTION('',(0.,0.,1.)); #409491=DIRECTION('center_axis',(0.,-1.,0.)); #409492=DIRECTION('ref_axis',(1.,0.,0.)); #409493=DIRECTION('',(1.,0.,0.)); #409494=DIRECTION('',(1.,0.,0.)); #409495=DIRECTION('',(0.,0.,1.)); #409496=DIRECTION('center_axis',(-0.171189112142556,-0.985238188401081, 0.)); #409497=DIRECTION('ref_axis',(0.985238188401081,-0.171189112142556,0.)); #409498=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #409499=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #409500=DIRECTION('',(0.,0.,1.)); #409501=DIRECTION('center_axis',(-0.51787634482484,-0.855455487720351,0.)); #409502=DIRECTION('ref_axis',(0.855455487720351,-0.51787634482484,0.)); #409503=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #409504=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #409505=DIRECTION('',(0.,0.,1.)); #409506=DIRECTION('center_axis',(-0.810521822508528,-0.585708438762371, 0.)); #409507=DIRECTION('ref_axis',(0.585708438762371,-0.810521822508528,0.)); #409508=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #409509=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #409510=DIRECTION('',(0.,0.,1.)); #409511=DIRECTION('center_axis',(-0.97478150286602,-0.223161425139436,0.)); #409512=DIRECTION('ref_axis',(0.223161425139436,-0.97478150286602,0.)); #409513=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #409514=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #409515=DIRECTION('',(0.,0.,1.)); #409516=DIRECTION('center_axis',(-0.98461814307028,0.174720097117746,0.)); #409517=DIRECTION('ref_axis',(-0.174720097117746,-0.98461814307028,0.)); #409518=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #409519=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #409520=DIRECTION('',(0.,0.,1.)); #409521=DIRECTION('center_axis',(-0.838476763151268,0.544937352046429,0.)); #409522=DIRECTION('ref_axis',(-0.544937352046428,-0.838476763151268,0.)); #409523=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #409524=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #409525=DIRECTION('',(0.,0.,1.)); #409526=DIRECTION('center_axis',(-0.713285424235251,0.700873671622453,0.)); #409527=DIRECTION('ref_axis',(-0.700873671622453,-0.713285424235251,0.)); #409528=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #409529=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #409530=DIRECTION('',(0.,0.,1.)); #409531=DIRECTION('center_axis',(-0.562560636388235,0.826756028333912,0.)); #409532=DIRECTION('ref_axis',(-0.826756028333912,-0.562560636388235,0.)); #409533=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #409534=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #409535=DIRECTION('',(0.,0.,1.)); #409536=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409537=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409538=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409539=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409540=DIRECTION('',(0.,0.,1.)); #409541=DIRECTION('center_axis',(0.,1.,0.)); #409542=DIRECTION('ref_axis',(-1.,0.,0.)); #409543=DIRECTION('',(-1.,0.,0.)); #409544=DIRECTION('',(-1.,0.,0.)); #409545=DIRECTION('',(0.,0.,1.)); #409546=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #409547=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #409548=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409549=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409550=DIRECTION('',(0.,0.,1.)); #409551=DIRECTION('center_axis',(0.525358802048774,0.85088079606363,0.)); #409552=DIRECTION('ref_axis',(-0.85088079606363,0.525358802048774,0.)); #409553=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #409554=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #409555=DIRECTION('',(0.,0.,1.)); #409556=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #409557=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #409558=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409559=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409560=DIRECTION('',(0.,0.,1.)); #409561=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409562=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409563=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409564=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409565=DIRECTION('',(0.,0.,1.)); #409566=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #409567=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #409568=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409569=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409570=DIRECTION('',(0.,0.,1.)); #409571=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #409572=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #409573=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409574=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409575=DIRECTION('',(0.,0.,1.)); #409576=DIRECTION('center_axis',(0.707110741027282,-0.707102821323638,0.)); #409577=DIRECTION('ref_axis',(0.707102821323638,0.707110741027282,0.)); #409578=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #409579=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #409580=DIRECTION('',(0.,0.,1.)); #409581=DIRECTION('center_axis',(1.,0.,0.)); #409582=DIRECTION('ref_axis',(0.,1.,0.)); #409583=DIRECTION('',(0.,1.,0.)); #409584=DIRECTION('',(0.,1.,0.)); #409585=DIRECTION('',(0.,0.,1.)); #409586=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409587=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409588=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409589=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409590=DIRECTION('',(0.,0.,1.)); #409591=DIRECTION('center_axis',(0.,1.,0.)); #409592=DIRECTION('ref_axis',(-1.,0.,0.)); #409593=DIRECTION('',(-1.,0.,0.)); #409594=DIRECTION('',(-1.,0.,0.)); #409595=DIRECTION('',(0.,0.,1.)); #409596=DIRECTION('center_axis',(-0.707104777838364,0.707108784529055,0.)); #409597=DIRECTION('ref_axis',(-0.707108784529055,-0.707104777838364,0.)); #409598=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #409599=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #409600=DIRECTION('',(0.,0.,1.)); #409601=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #409602=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #409603=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409604=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409605=DIRECTION('',(0.,0.,1.)); #409606=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409607=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409608=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409609=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409610=DIRECTION('',(0.,0.,1.)); #409611=DIRECTION('center_axis',(0.,1.,0.)); #409612=DIRECTION('ref_axis',(-1.,0.,0.)); #409613=DIRECTION('',(-1.,0.,0.)); #409614=DIRECTION('',(-1.,0.,0.)); #409615=DIRECTION('',(0.,0.,1.)); #409616=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #409617=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #409618=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409619=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409620=DIRECTION('',(0.,0.,1.)); #409621=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #409622=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #409623=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409624=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409625=DIRECTION('',(0.,0.,1.)); #409626=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409627=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409628=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409629=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409630=DIRECTION('',(0.,0.,1.)); #409631=DIRECTION('center_axis',(0.820212632122495,0.57205877154947,0.)); #409632=DIRECTION('ref_axis',(-0.57205877154947,0.820212632122495,0.)); #409633=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #409634=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #409635=DIRECTION('',(0.,0.,1.)); #409636=DIRECTION('center_axis',(0.973151456886464,0.230165683714478,0.)); #409637=DIRECTION('ref_axis',(-0.230165683714478,0.973151456886464,0.)); #409638=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #409639=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #409640=DIRECTION('',(0.,0.,1.)); #409641=DIRECTION('center_axis',(0.985847618607414,-0.167643887112211,0.)); #409642=DIRECTION('ref_axis',(0.167643887112211,0.985847618607414,0.)); #409643=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #409644=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #409645=DIRECTION('',(0.,0.,1.)); #409646=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #409647=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #409648=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409649=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409650=DIRECTION('',(0.,0.,1.)); #409651=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #409652=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #409653=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409654=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409655=DIRECTION('center_axis',(0.,0.,1.)); #409656=DIRECTION('ref_axis',(1.,0.,0.)); #409657=DIRECTION('center_axis',(0.,0.,1.)); #409658=DIRECTION('ref_axis',(1.,0.,0.)); #409659=DIRECTION('center_axis',(0.,0.,1.)); #409660=DIRECTION('ref_axis',(1.,0.,0.)); #409661=DIRECTION('center_axis',(0.,0.,1.)); #409662=DIRECTION('ref_axis',(1.,0.,0.)); #409663=DIRECTION('',(0.,0.,1.)); #409664=DIRECTION('center_axis',(0.,0.,-1.)); #409665=DIRECTION('ref_axis',(1.,0.,0.)); #409666=DIRECTION('center_axis',(0.707106781186541,-0.707106781186555,0.)); #409667=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #409668=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #409669=DIRECTION('',(0.,0.,1.)); #409670=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #409671=DIRECTION('',(0.,0.,1.)); #409672=DIRECTION('center_axis',(0.,-1.,0.)); #409673=DIRECTION('ref_axis',(1.,0.,0.)); #409674=DIRECTION('',(1.,0.,0.)); #409675=DIRECTION('',(1.,0.,0.)); #409676=DIRECTION('',(0.,0.,1.)); #409677=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186555, 0.)); #409678=DIRECTION('ref_axis',(0.707106781186555,-0.70710678118654,0.)); #409679=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #409680=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #409681=DIRECTION('',(0.,0.,1.)); #409682=DIRECTION('center_axis',(-1.,0.,0.)); #409683=DIRECTION('ref_axis',(0.,-1.,0.)); #409684=DIRECTION('',(0.,-1.,0.)); #409685=DIRECTION('',(0.,-1.,0.)); #409686=DIRECTION('',(0.,0.,1.)); #409687=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #409688=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #409689=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #409690=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #409691=DIRECTION('',(0.,0.,1.)); #409692=DIRECTION('center_axis',(0.,1.,0.)); #409693=DIRECTION('ref_axis',(-1.,0.,0.)); #409694=DIRECTION('',(-1.,0.,0.)); #409695=DIRECTION('',(-1.,0.,0.)); #409696=DIRECTION('',(0.,0.,1.)); #409697=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #409698=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #409699=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #409700=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #409701=DIRECTION('',(0.,0.,1.)); #409702=DIRECTION('center_axis',(1.,0.,0.)); #409703=DIRECTION('ref_axis',(0.,1.,0.)); #409704=DIRECTION('',(0.,1.,0.)); #409705=DIRECTION('',(0.,1.,0.)); #409706=DIRECTION('center_axis',(0.,0.,1.)); #409707=DIRECTION('ref_axis',(1.,0.,0.)); #409708=DIRECTION('center_axis',(0.,0.,1.)); #409709=DIRECTION('ref_axis',(1.,0.,0.)); #409710=DIRECTION('center_axis',(0.,0.,1.)); #409711=DIRECTION('ref_axis',(1.,0.,0.)); #409712=DIRECTION('center_axis',(0.,0.,1.)); #409713=DIRECTION('ref_axis',(1.,0.,0.)); #409714=DIRECTION('',(0.,0.,1.)); #409715=DIRECTION('center_axis',(0.,0.,-1.)); #409716=DIRECTION('ref_axis',(1.,0.,0.)); #409717=DIRECTION('center_axis',(0.,0.,1.)); #409718=DIRECTION('ref_axis',(1.,0.,0.)); #409719=DIRECTION('center_axis',(0.,0.,1.)); #409720=DIRECTION('ref_axis',(1.,0.,0.)); #409721=DIRECTION('',(0.,0.,1.)); #409722=DIRECTION('center_axis',(0.,0.,-1.)); #409723=DIRECTION('ref_axis',(1.,0.,0.)); #409724=DIRECTION('center_axis',(0.,0.,1.)); #409725=DIRECTION('ref_axis',(1.,0.,0.)); #409726=DIRECTION('center_axis',(0.,0.,1.)); #409727=DIRECTION('ref_axis',(1.,0.,0.)); #409728=DIRECTION('',(0.,0.,1.)); #409729=DIRECTION('center_axis',(0.,0.,-1.)); #409730=DIRECTION('ref_axis',(1.,0.,0.)); #409731=DIRECTION('center_axis',(0.,0.,1.)); #409732=DIRECTION('ref_axis',(1.,0.,0.)); #409733=DIRECTION('center_axis',(0.,0.,1.)); #409734=DIRECTION('ref_axis',(1.,0.,0.)); #409735=DIRECTION('',(0.,0.,1.)); #409736=DIRECTION('center_axis',(0.,0.,-1.)); #409737=DIRECTION('ref_axis',(1.,0.,0.)); #409738=DIRECTION('center_axis',(0.,0.,1.)); #409739=DIRECTION('ref_axis',(1.,0.,0.)); #409740=DIRECTION('center_axis',(0.,0.,1.)); #409741=DIRECTION('ref_axis',(1.,0.,0.)); #409742=DIRECTION('',(0.,0.,1.)); #409743=DIRECTION('center_axis',(0.,0.,-1.)); #409744=DIRECTION('ref_axis',(1.,0.,0.)); #409745=DIRECTION('center_axis',(0.,0.,1.)); #409746=DIRECTION('ref_axis',(1.,0.,0.)); #409747=DIRECTION('center_axis',(0.,0.,1.)); #409748=DIRECTION('ref_axis',(1.,0.,0.)); #409749=DIRECTION('',(0.,0.,1.)); #409750=DIRECTION('center_axis',(0.,0.,-1.)); #409751=DIRECTION('ref_axis',(1.,0.,0.)); #409752=DIRECTION('center_axis',(0.,0.,1.)); #409753=DIRECTION('ref_axis',(1.,0.,0.)); #409754=DIRECTION('center_axis',(0.,0.,1.)); #409755=DIRECTION('ref_axis',(1.,0.,0.)); #409756=DIRECTION('',(0.,0.,1.)); #409757=DIRECTION('center_axis',(0.,0.,-1.)); #409758=DIRECTION('ref_axis',(1.,0.,0.)); #409759=DIRECTION('center_axis',(0.,0.,1.)); #409760=DIRECTION('ref_axis',(1.,0.,0.)); #409761=DIRECTION('center_axis',(0.,0.,1.)); #409762=DIRECTION('ref_axis',(1.,0.,0.)); #409763=DIRECTION('',(0.,0.,1.)); #409764=DIRECTION('center_axis',(0.,0.,-1.)); #409765=DIRECTION('ref_axis',(1.,0.,0.)); #409766=DIRECTION('center_axis',(0.,0.,1.)); #409767=DIRECTION('ref_axis',(1.,0.,0.)); #409768=DIRECTION('center_axis',(0.,0.,1.)); #409769=DIRECTION('ref_axis',(1.,0.,0.)); #409770=DIRECTION('',(0.,0.,1.)); #409771=DIRECTION('center_axis',(0.,0.,-1.)); #409772=DIRECTION('ref_axis',(1.,0.,0.)); #409773=DIRECTION('center_axis',(0.,0.,1.)); #409774=DIRECTION('ref_axis',(1.,0.,0.)); #409775=DIRECTION('center_axis',(0.,0.,1.)); #409776=DIRECTION('ref_axis',(1.,0.,0.)); #409777=DIRECTION('',(0.,0.,1.)); #409778=DIRECTION('center_axis',(0.,0.,-1.)); #409779=DIRECTION('ref_axis',(1.,0.,0.)); #409780=DIRECTION('center_axis',(0.,0.,1.)); #409781=DIRECTION('ref_axis',(1.,0.,0.)); #409782=DIRECTION('center_axis',(0.,0.,1.)); #409783=DIRECTION('ref_axis',(1.,0.,0.)); #409784=DIRECTION('',(0.,0.,1.)); #409785=DIRECTION('center_axis',(0.,0.,-1.)); #409786=DIRECTION('ref_axis',(1.,0.,0.)); #409787=DIRECTION('center_axis',(0.,0.,1.)); #409788=DIRECTION('ref_axis',(1.,0.,0.)); #409789=DIRECTION('center_axis',(0.,0.,1.)); #409790=DIRECTION('ref_axis',(1.,0.,0.)); #409791=DIRECTION('',(0.,0.,1.)); #409792=DIRECTION('center_axis',(0.,0.,-1.)); #409793=DIRECTION('ref_axis',(1.,0.,0.)); #409794=DIRECTION('center_axis',(0.,0.,1.)); #409795=DIRECTION('ref_axis',(1.,0.,0.)); #409796=DIRECTION('center_axis',(0.,0.,1.)); #409797=DIRECTION('ref_axis',(1.,0.,0.)); #409798=DIRECTION('',(0.,0.,1.)); #409799=DIRECTION('center_axis',(0.,0.,-1.)); #409800=DIRECTION('ref_axis',(1.,0.,0.)); #409801=DIRECTION('center_axis',(0.,0.,1.)); #409802=DIRECTION('ref_axis',(1.,0.,0.)); #409803=DIRECTION('center_axis',(0.,0.,1.)); #409804=DIRECTION('ref_axis',(1.,0.,0.)); #409805=DIRECTION('',(0.,0.,1.)); #409806=DIRECTION('center_axis',(0.,0.,-1.)); #409807=DIRECTION('ref_axis',(1.,0.,0.)); #409808=DIRECTION('center_axis',(0.,0.,1.)); #409809=DIRECTION('ref_axis',(1.,0.,0.)); #409810=DIRECTION('center_axis',(0.,0.,1.)); #409811=DIRECTION('ref_axis',(1.,0.,0.)); #409812=DIRECTION('',(0.,0.,1.)); #409813=DIRECTION('center_axis',(0.,0.,-1.)); #409814=DIRECTION('ref_axis',(1.,0.,0.)); #409815=DIRECTION('center_axis',(0.,0.,1.)); #409816=DIRECTION('ref_axis',(1.,0.,0.)); #409817=DIRECTION('center_axis',(0.,0.,1.)); #409818=DIRECTION('ref_axis',(1.,0.,0.)); #409819=DIRECTION('',(0.,0.,1.)); #409820=DIRECTION('center_axis',(0.,0.,-1.)); #409821=DIRECTION('ref_axis',(1.,0.,0.)); #409822=DIRECTION('center_axis',(1.,0.,0.)); #409823=DIRECTION('ref_axis',(0.,1.,0.)); #409824=DIRECTION('',(0.,-1.,0.)); #409825=DIRECTION('',(0.,0.,1.)); #409826=DIRECTION('',(0.,1.,0.)); #409827=DIRECTION('',(0.,0.,1.)); #409828=DIRECTION('center_axis',(0.,0.,1.)); #409829=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409830=DIRECTION('center_axis',(0.,0.,-1.)); #409831=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409832=DIRECTION('center_axis',(0.,0.,1.)); #409833=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409834=DIRECTION('',(0.,0.,1.)); #409835=DIRECTION('center_axis',(-1.,0.,0.)); #409836=DIRECTION('ref_axis',(0.,-1.,0.)); #409837=DIRECTION('',(0.,1.,0.)); #409838=DIRECTION('',(0.,-1.,0.)); #409839=DIRECTION('',(0.,0.,1.)); #409840=DIRECTION('center_axis',(0.,0.,1.)); #409841=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409842=DIRECTION('center_axis',(0.,0.,-1.)); #409843=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409844=DIRECTION('center_axis',(0.,0.,1.)); #409845=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409846=DIRECTION('center_axis',(1.,0.,0.)); #409847=DIRECTION('ref_axis',(0.,1.,0.)); #409848=DIRECTION('',(0.,-1.,0.)); #409849=DIRECTION('',(0.,0.,1.)); #409850=DIRECTION('',(0.,1.,0.)); #409851=DIRECTION('',(0.,0.,1.)); #409852=DIRECTION('center_axis',(0.,0.,1.)); #409853=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409854=DIRECTION('center_axis',(0.,0.,-1.)); #409855=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409856=DIRECTION('center_axis',(0.,0.,1.)); #409857=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #409858=DIRECTION('',(0.,0.,1.)); #409859=DIRECTION('center_axis',(-1.,0.,0.)); #409860=DIRECTION('ref_axis',(0.,-1.,0.)); #409861=DIRECTION('',(0.,1.,0.)); #409862=DIRECTION('',(0.,-1.,0.)); #409863=DIRECTION('',(0.,0.,1.)); #409864=DIRECTION('center_axis',(0.,0.,1.)); #409865=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409866=DIRECTION('center_axis',(0.,0.,-1.)); #409867=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409868=DIRECTION('center_axis',(0.,0.,1.)); #409869=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #409870=DIRECTION('center_axis',(0.,0.,1.)); #409871=DIRECTION('ref_axis',(1.,0.,0.)); #409872=DIRECTION('center_axis',(0.,0.,1.)); #409873=DIRECTION('ref_axis',(1.,0.,0.)); #409874=DIRECTION('',(0.,0.,1.)); #409875=DIRECTION('center_axis',(0.,0.,-1.)); #409876=DIRECTION('ref_axis',(1.,0.,0.)); #409877=DIRECTION('center_axis',(0.,0.,1.)); #409878=DIRECTION('ref_axis',(1.,0.,0.)); #409879=DIRECTION('center_axis',(0.,0.,1.)); #409880=DIRECTION('ref_axis',(1.,0.,0.)); #409881=DIRECTION('',(0.,0.,1.)); #409882=DIRECTION('center_axis',(0.,0.,-1.)); #409883=DIRECTION('ref_axis',(1.,0.,0.)); #409884=DIRECTION('center_axis',(0.,0.,1.)); #409885=DIRECTION('ref_axis',(1.,0.,0.)); #409886=DIRECTION('center_axis',(0.,0.,1.)); #409887=DIRECTION('ref_axis',(1.,0.,0.)); #409888=DIRECTION('',(0.,0.,1.)); #409889=DIRECTION('center_axis',(0.,0.,-1.)); #409890=DIRECTION('ref_axis',(1.,0.,0.)); #409891=DIRECTION('center_axis',(0.,0.,1.)); #409892=DIRECTION('ref_axis',(1.,0.,0.)); #409893=DIRECTION('center_axis',(0.,0.,1.)); #409894=DIRECTION('ref_axis',(1.,0.,0.)); #409895=DIRECTION('',(0.,0.,1.)); #409896=DIRECTION('center_axis',(0.,0.,-1.)); #409897=DIRECTION('ref_axis',(1.,0.,0.)); #409898=DIRECTION('center_axis',(0.,0.,1.)); #409899=DIRECTION('ref_axis',(1.,0.,0.)); #409900=DIRECTION('center_axis',(0.,0.,1.)); #409901=DIRECTION('ref_axis',(1.,0.,0.)); #409902=DIRECTION('',(0.,0.,1.)); #409903=DIRECTION('center_axis',(0.,0.,-1.)); #409904=DIRECTION('ref_axis',(1.,0.,0.)); #409905=DIRECTION('center_axis',(0.,0.,1.)); #409906=DIRECTION('ref_axis',(1.,0.,0.)); #409907=DIRECTION('center_axis',(0.,0.,1.)); #409908=DIRECTION('ref_axis',(1.,0.,0.)); #409909=DIRECTION('',(0.,0.,1.)); #409910=DIRECTION('center_axis',(0.,0.,-1.)); #409911=DIRECTION('ref_axis',(1.,0.,0.)); #409912=DIRECTION('center_axis',(0.,0.,1.)); #409913=DIRECTION('ref_axis',(1.,0.,0.)); #409914=DIRECTION('center_axis',(0.,0.,1.)); #409915=DIRECTION('ref_axis',(1.,0.,0.)); #409916=DIRECTION('',(0.,0.,1.)); #409917=DIRECTION('center_axis',(0.,0.,-1.)); #409918=DIRECTION('ref_axis',(1.,0.,0.)); #409919=DIRECTION('center_axis',(0.,0.,1.)); #409920=DIRECTION('ref_axis',(1.,0.,0.)); #409921=DIRECTION('center_axis',(0.,0.,1.)); #409922=DIRECTION('ref_axis',(1.,0.,0.)); #409923=DIRECTION('',(0.,0.,1.)); #409924=DIRECTION('center_axis',(0.,0.,-1.)); #409925=DIRECTION('ref_axis',(1.,0.,0.)); #409926=DIRECTION('center_axis',(1.,0.,0.)); #409927=DIRECTION('ref_axis',(0.,1.,0.)); #409928=DIRECTION('',(0.,-1.,0.)); #409929=DIRECTION('',(0.,0.,1.)); #409930=DIRECTION('',(0.,1.,0.)); #409931=DIRECTION('',(0.,0.,1.)); #409932=DIRECTION('center_axis',(0.,0.,1.)); #409933=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409934=DIRECTION('center_axis',(0.,0.,-1.)); #409935=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409936=DIRECTION('center_axis',(0.,0.,1.)); #409937=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409938=DIRECTION('',(0.,0.,1.)); #409939=DIRECTION('center_axis',(-1.,0.,0.)); #409940=DIRECTION('ref_axis',(0.,-1.,0.)); #409941=DIRECTION('',(0.,1.,0.)); #409942=DIRECTION('',(0.,-1.,0.)); #409943=DIRECTION('',(0.,0.,1.)); #409944=DIRECTION('center_axis',(0.,0.,1.)); #409945=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409946=DIRECTION('center_axis',(0.,0.,-1.)); #409947=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409948=DIRECTION('center_axis',(0.,0.,1.)); #409949=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409950=DIRECTION('center_axis',(1.,0.,0.)); #409951=DIRECTION('ref_axis',(0.,1.,0.)); #409952=DIRECTION('',(0.,-1.,0.)); #409953=DIRECTION('',(0.,0.,1.)); #409954=DIRECTION('',(0.,1.,0.)); #409955=DIRECTION('',(0.,0.,1.)); #409956=DIRECTION('center_axis',(0.,0.,1.)); #409957=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409958=DIRECTION('center_axis',(0.,0.,-1.)); #409959=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409960=DIRECTION('center_axis',(0.,0.,1.)); #409961=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #409962=DIRECTION('',(0.,0.,1.)); #409963=DIRECTION('center_axis',(-1.,0.,0.)); #409964=DIRECTION('ref_axis',(0.,-1.,0.)); #409965=DIRECTION('',(0.,1.,0.)); #409966=DIRECTION('',(0.,-1.,0.)); #409967=DIRECTION('',(0.,0.,1.)); #409968=DIRECTION('center_axis',(0.,0.,1.)); #409969=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409970=DIRECTION('center_axis',(0.,0.,-1.)); #409971=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409972=DIRECTION('center_axis',(0.,0.,1.)); #409973=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #409974=DIRECTION('center_axis',(0.,0.,1.)); #409975=DIRECTION('ref_axis',(1.,0.,0.)); #409976=DIRECTION('center_axis',(0.,0.,1.)); #409977=DIRECTION('ref_axis',(1.,0.,0.)); #409978=DIRECTION('',(0.,0.,1.)); #409979=DIRECTION('center_axis',(0.,0.,-1.)); #409980=DIRECTION('ref_axis',(1.,0.,0.)); #409981=DIRECTION('center_axis',(0.,0.,1.)); #409982=DIRECTION('ref_axis',(1.,0.,0.)); #409983=DIRECTION('center_axis',(0.,0.,1.)); #409984=DIRECTION('ref_axis',(1.,0.,0.)); #409985=DIRECTION('',(0.,0.,1.)); #409986=DIRECTION('center_axis',(0.,0.,-1.)); #409987=DIRECTION('ref_axis',(1.,0.,0.)); #409988=DIRECTION('center_axis',(0.,0.,1.)); #409989=DIRECTION('ref_axis',(1.,0.,0.)); #409990=DIRECTION('center_axis',(0.,0.,1.)); #409991=DIRECTION('ref_axis',(1.,0.,0.)); #409992=DIRECTION('',(0.,0.,1.)); #409993=DIRECTION('center_axis',(0.,0.,-1.)); #409994=DIRECTION('ref_axis',(1.,0.,0.)); #409995=DIRECTION('center_axis',(0.,0.,1.)); #409996=DIRECTION('ref_axis',(1.,0.,0.)); #409997=DIRECTION('center_axis',(0.,0.,1.)); #409998=DIRECTION('ref_axis',(1.,0.,0.)); #409999=DIRECTION('',(0.,0.,1.)); #410000=DIRECTION('center_axis',(0.,0.,-1.)); #410001=DIRECTION('ref_axis',(1.,0.,0.)); #410002=DIRECTION('center_axis',(0.,0.,1.)); #410003=DIRECTION('ref_axis',(1.,0.,0.)); #410004=DIRECTION('center_axis',(0.,0.,1.)); #410005=DIRECTION('ref_axis',(1.,0.,0.)); #410006=DIRECTION('',(0.,0.,1.)); #410007=DIRECTION('center_axis',(0.,0.,-1.)); #410008=DIRECTION('ref_axis',(1.,0.,0.)); #410009=DIRECTION('center_axis',(0.,0.,1.)); #410010=DIRECTION('ref_axis',(1.,0.,0.)); #410011=DIRECTION('center_axis',(0.,0.,1.)); #410012=DIRECTION('ref_axis',(1.,0.,0.)); #410013=DIRECTION('',(0.,0.,1.)); #410014=DIRECTION('center_axis',(0.,0.,-1.)); #410015=DIRECTION('ref_axis',(1.,0.,0.)); #410016=DIRECTION('center_axis',(0.,0.,1.)); #410017=DIRECTION('ref_axis',(1.,0.,0.)); #410018=DIRECTION('center_axis',(0.,0.,1.)); #410019=DIRECTION('ref_axis',(1.,0.,0.)); #410020=DIRECTION('',(0.,0.,1.)); #410021=DIRECTION('center_axis',(0.,0.,-1.)); #410022=DIRECTION('ref_axis',(1.,0.,0.)); #410023=DIRECTION('center_axis',(0.,0.,1.)); #410024=DIRECTION('ref_axis',(1.,0.,0.)); #410025=DIRECTION('center_axis',(0.,0.,1.)); #410026=DIRECTION('ref_axis',(1.,0.,0.)); #410027=DIRECTION('',(0.,0.,1.)); #410028=DIRECTION('center_axis',(0.,0.,-1.)); #410029=DIRECTION('ref_axis',(1.,0.,0.)); #410030=DIRECTION('center_axis',(0.,0.,1.)); #410031=DIRECTION('ref_axis',(1.,0.,0.)); #410032=DIRECTION('center_axis',(0.,0.,1.)); #410033=DIRECTION('ref_axis',(1.,0.,0.)); #410034=DIRECTION('',(0.,0.,1.)); #410035=DIRECTION('center_axis',(0.,0.,-1.)); #410036=DIRECTION('ref_axis',(1.,0.,0.)); #410037=DIRECTION('center_axis',(0.,0.,1.)); #410038=DIRECTION('ref_axis',(1.,0.,0.)); #410039=DIRECTION('center_axis',(0.,0.,1.)); #410040=DIRECTION('ref_axis',(1.,0.,0.)); #410041=DIRECTION('',(0.,0.,1.)); #410042=DIRECTION('center_axis',(0.,0.,-1.)); #410043=DIRECTION('ref_axis',(1.,0.,0.)); #410044=DIRECTION('center_axis',(0.,0.,1.)); #410045=DIRECTION('ref_axis',(1.,0.,0.)); #410046=DIRECTION('center_axis',(0.,0.,1.)); #410047=DIRECTION('ref_axis',(1.,0.,0.)); #410048=DIRECTION('',(0.,0.,1.)); #410049=DIRECTION('center_axis',(0.,0.,-1.)); #410050=DIRECTION('ref_axis',(1.,0.,0.)); #410051=DIRECTION('center_axis',(0.,0.,1.)); #410052=DIRECTION('ref_axis',(1.,0.,0.)); #410053=DIRECTION('center_axis',(0.,0.,1.)); #410054=DIRECTION('ref_axis',(1.,0.,0.)); #410055=DIRECTION('',(0.,0.,1.)); #410056=DIRECTION('center_axis',(0.,0.,-1.)); #410057=DIRECTION('ref_axis',(1.,0.,0.)); #410058=DIRECTION('center_axis',(0.,0.,1.)); #410059=DIRECTION('ref_axis',(1.,0.,0.)); #410060=DIRECTION('center_axis',(0.,0.,1.)); #410061=DIRECTION('ref_axis',(1.,0.,0.)); #410062=DIRECTION('',(0.,0.,1.)); #410063=DIRECTION('center_axis',(0.,0.,-1.)); #410064=DIRECTION('ref_axis',(1.,0.,0.)); #410065=DIRECTION('center_axis',(0.,0.,1.)); #410066=DIRECTION('ref_axis',(1.,0.,0.)); #410067=DIRECTION('center_axis',(0.,0.,1.)); #410068=DIRECTION('ref_axis',(1.,0.,0.)); #410069=DIRECTION('',(0.,0.,1.)); #410070=DIRECTION('center_axis',(0.,0.,-1.)); #410071=DIRECTION('ref_axis',(1.,0.,0.)); #410072=DIRECTION('center_axis',(0.,0.,1.)); #410073=DIRECTION('ref_axis',(1.,0.,0.)); #410074=DIRECTION('center_axis',(0.,0.,1.)); #410075=DIRECTION('ref_axis',(1.,0.,0.)); #410076=DIRECTION('',(0.,0.,1.)); #410077=DIRECTION('center_axis',(0.,0.,-1.)); #410078=DIRECTION('ref_axis',(1.,0.,0.)); #410079=DIRECTION('center_axis',(0.,0.,1.)); #410080=DIRECTION('ref_axis',(1.,0.,0.)); #410081=DIRECTION('center_axis',(0.,0.,1.)); #410082=DIRECTION('ref_axis',(1.,0.,0.)); #410083=DIRECTION('',(0.,0.,1.)); #410084=DIRECTION('center_axis',(0.,0.,-1.)); #410085=DIRECTION('ref_axis',(1.,0.,0.)); #410086=DIRECTION('center_axis',(0.,0.,1.)); #410087=DIRECTION('ref_axis',(1.,0.,0.)); #410088=DIRECTION('center_axis',(0.,0.,1.)); #410089=DIRECTION('ref_axis',(1.,0.,0.)); #410090=DIRECTION('',(0.,0.,1.)); #410091=DIRECTION('center_axis',(0.,0.,-1.)); #410092=DIRECTION('ref_axis',(1.,0.,0.)); #410093=DIRECTION('center_axis',(0.,0.,1.)); #410094=DIRECTION('ref_axis',(1.,0.,0.)); #410095=DIRECTION('center_axis',(0.,0.,1.)); #410096=DIRECTION('ref_axis',(1.,0.,0.)); #410097=DIRECTION('',(0.,0.,1.)); #410098=DIRECTION('center_axis',(0.,0.,-1.)); #410099=DIRECTION('ref_axis',(1.,0.,0.)); #410100=DIRECTION('center_axis',(0.,0.,1.)); #410101=DIRECTION('ref_axis',(1.,0.,0.)); #410102=DIRECTION('center_axis',(0.,0.,1.)); #410103=DIRECTION('ref_axis',(1.,0.,0.)); #410104=DIRECTION('',(0.,0.,1.)); #410105=DIRECTION('center_axis',(0.,0.,-1.)); #410106=DIRECTION('ref_axis',(1.,0.,0.)); #410107=DIRECTION('center_axis',(0.,0.,1.)); #410108=DIRECTION('ref_axis',(1.,0.,0.)); #410109=DIRECTION('center_axis',(0.,0.,1.)); #410110=DIRECTION('ref_axis',(1.,0.,0.)); #410111=DIRECTION('',(0.,0.,1.)); #410112=DIRECTION('center_axis',(0.,0.,-1.)); #410113=DIRECTION('ref_axis',(1.,0.,0.)); #410114=DIRECTION('center_axis',(0.,0.,1.)); #410115=DIRECTION('ref_axis',(1.,0.,0.)); #410116=DIRECTION('center_axis',(0.,0.,1.)); #410117=DIRECTION('ref_axis',(1.,0.,0.)); #410118=DIRECTION('',(0.,0.,1.)); #410119=DIRECTION('center_axis',(0.,0.,-1.)); #410120=DIRECTION('ref_axis',(1.,0.,0.)); #410121=DIRECTION('center_axis',(0.,0.,1.)); #410122=DIRECTION('ref_axis',(1.,0.,0.)); #410123=DIRECTION('center_axis',(0.,0.,1.)); #410124=DIRECTION('ref_axis',(1.,0.,0.)); #410125=DIRECTION('',(0.,0.,1.)); #410126=DIRECTION('center_axis',(0.,0.,-1.)); #410127=DIRECTION('ref_axis',(1.,0.,0.)); #410128=DIRECTION('center_axis',(0.,0.,1.)); #410129=DIRECTION('ref_axis',(1.,0.,0.)); #410130=DIRECTION('center_axis',(0.,0.,1.)); #410131=DIRECTION('ref_axis',(1.,0.,0.)); #410132=DIRECTION('',(0.,0.,1.)); #410133=DIRECTION('center_axis',(0.,0.,-1.)); #410134=DIRECTION('ref_axis',(1.,0.,0.)); #410135=DIRECTION('center_axis',(0.,0.,1.)); #410136=DIRECTION('ref_axis',(1.,0.,0.)); #410137=DIRECTION('center_axis',(0.,0.,1.)); #410138=DIRECTION('ref_axis',(1.,0.,0.)); #410139=DIRECTION('',(0.,0.,1.)); #410140=DIRECTION('center_axis',(0.,0.,-1.)); #410141=DIRECTION('ref_axis',(1.,0.,0.)); #410142=DIRECTION('center_axis',(0.,0.,1.)); #410143=DIRECTION('ref_axis',(1.,0.,0.)); #410144=DIRECTION('center_axis',(0.,0.,1.)); #410145=DIRECTION('ref_axis',(1.,0.,0.)); #410146=DIRECTION('',(0.,0.,1.)); #410147=DIRECTION('center_axis',(0.,0.,-1.)); #410148=DIRECTION('ref_axis',(1.,0.,0.)); #410149=DIRECTION('center_axis',(0.,0.,1.)); #410150=DIRECTION('ref_axis',(1.,0.,0.)); #410151=DIRECTION('center_axis',(0.,0.,1.)); #410152=DIRECTION('ref_axis',(1.,0.,0.)); #410153=DIRECTION('',(0.,0.,1.)); #410154=DIRECTION('center_axis',(0.,0.,-1.)); #410155=DIRECTION('ref_axis',(1.,0.,0.)); #410156=DIRECTION('center_axis',(0.,0.,1.)); #410157=DIRECTION('ref_axis',(1.,0.,0.)); #410158=DIRECTION('center_axis',(0.,0.,1.)); #410159=DIRECTION('ref_axis',(1.,0.,0.)); #410160=DIRECTION('',(0.,0.,1.)); #410161=DIRECTION('center_axis',(0.,0.,-1.)); #410162=DIRECTION('ref_axis',(1.,0.,0.)); #410163=DIRECTION('center_axis',(0.,0.,1.)); #410164=DIRECTION('ref_axis',(1.,0.,0.)); #410165=DIRECTION('center_axis',(0.,0.,1.)); #410166=DIRECTION('ref_axis',(1.,0.,0.)); #410167=DIRECTION('',(0.,0.,1.)); #410168=DIRECTION('center_axis',(0.,0.,-1.)); #410169=DIRECTION('ref_axis',(1.,0.,0.)); #410170=DIRECTION('center_axis',(0.,0.,1.)); #410171=DIRECTION('ref_axis',(1.,0.,0.)); #410172=DIRECTION('center_axis',(0.,0.,1.)); #410173=DIRECTION('ref_axis',(1.,0.,0.)); #410174=DIRECTION('',(0.,0.,1.)); #410175=DIRECTION('center_axis',(0.,0.,-1.)); #410176=DIRECTION('ref_axis',(1.,0.,0.)); #410177=DIRECTION('center_axis',(0.,0.,1.)); #410178=DIRECTION('ref_axis',(1.,0.,0.)); #410179=DIRECTION('center_axis',(0.,0.,1.)); #410180=DIRECTION('ref_axis',(1.,0.,0.)); #410181=DIRECTION('',(0.,0.,1.)); #410182=DIRECTION('center_axis',(0.,0.,-1.)); #410183=DIRECTION('ref_axis',(1.,0.,0.)); #410184=DIRECTION('center_axis',(0.,0.,1.)); #410185=DIRECTION('ref_axis',(1.,0.,0.)); #410186=DIRECTION('center_axis',(0.,0.,1.)); #410187=DIRECTION('ref_axis',(1.,0.,0.)); #410188=DIRECTION('',(0.,0.,1.)); #410189=DIRECTION('center_axis',(0.,0.,-1.)); #410190=DIRECTION('ref_axis',(1.,0.,0.)); #410191=DIRECTION('center_axis',(0.,0.,1.)); #410192=DIRECTION('ref_axis',(1.,0.,0.)); #410193=DIRECTION('center_axis',(0.,0.,1.)); #410194=DIRECTION('ref_axis',(1.,0.,0.)); #410195=DIRECTION('',(0.,0.,1.)); #410196=DIRECTION('center_axis',(0.,0.,-1.)); #410197=DIRECTION('ref_axis',(1.,0.,0.)); #410198=DIRECTION('center_axis',(0.,0.,1.)); #410199=DIRECTION('ref_axis',(1.,0.,0.)); #410200=DIRECTION('center_axis',(0.,0.,1.)); #410201=DIRECTION('ref_axis',(1.,0.,0.)); #410202=DIRECTION('',(0.,0.,1.)); #410203=DIRECTION('center_axis',(0.,0.,-1.)); #410204=DIRECTION('ref_axis',(1.,0.,0.)); #410205=DIRECTION('center_axis',(0.,0.,1.)); #410206=DIRECTION('ref_axis',(1.,0.,0.)); #410207=DIRECTION('center_axis',(0.,0.,1.)); #410208=DIRECTION('ref_axis',(1.,0.,0.)); #410209=DIRECTION('',(0.,0.,1.)); #410210=DIRECTION('center_axis',(0.,0.,-1.)); #410211=DIRECTION('ref_axis',(1.,0.,0.)); #410212=DIRECTION('center_axis',(0.,0.,1.)); #410213=DIRECTION('ref_axis',(1.,0.,0.)); #410214=DIRECTION('center_axis',(0.,0.,1.)); #410215=DIRECTION('ref_axis',(1.,0.,0.)); #410216=DIRECTION('',(0.,0.,1.)); #410217=DIRECTION('center_axis',(0.,0.,-1.)); #410218=DIRECTION('ref_axis',(1.,0.,0.)); #410219=DIRECTION('center_axis',(0.,0.,1.)); #410220=DIRECTION('ref_axis',(1.,0.,0.)); #410221=DIRECTION('center_axis',(0.,0.,1.)); #410222=DIRECTION('ref_axis',(1.,0.,0.)); #410223=DIRECTION('',(0.,0.,1.)); #410224=DIRECTION('center_axis',(0.,0.,-1.)); #410225=DIRECTION('ref_axis',(1.,0.,0.)); #410226=DIRECTION('center_axis',(0.,0.,1.)); #410227=DIRECTION('ref_axis',(1.,0.,0.)); #410228=DIRECTION('center_axis',(0.,0.,1.)); #410229=DIRECTION('ref_axis',(1.,0.,0.)); #410230=DIRECTION('',(0.,0.,1.)); #410231=DIRECTION('center_axis',(0.,0.,-1.)); #410232=DIRECTION('ref_axis',(1.,0.,0.)); #410233=DIRECTION('center_axis',(0.,0.,1.)); #410234=DIRECTION('ref_axis',(1.,0.,0.)); #410235=DIRECTION('center_axis',(0.,0.,1.)); #410236=DIRECTION('ref_axis',(1.,0.,0.)); #410237=DIRECTION('',(0.,0.,1.)); #410238=DIRECTION('center_axis',(0.,0.,-1.)); #410239=DIRECTION('ref_axis',(1.,0.,0.)); #410240=DIRECTION('center_axis',(0.,0.,1.)); #410241=DIRECTION('ref_axis',(1.,0.,0.)); #410242=DIRECTION('center_axis',(0.,0.,1.)); #410243=DIRECTION('ref_axis',(1.,0.,0.)); #410244=DIRECTION('',(0.,0.,1.)); #410245=DIRECTION('center_axis',(0.,0.,-1.)); #410246=DIRECTION('ref_axis',(1.,0.,0.)); #410247=DIRECTION('center_axis',(0.,0.,1.)); #410248=DIRECTION('ref_axis',(1.,0.,0.)); #410249=DIRECTION('center_axis',(0.,0.,1.)); #410250=DIRECTION('ref_axis',(1.,0.,0.)); #410251=DIRECTION('',(0.,0.,1.)); #410252=DIRECTION('center_axis',(0.,0.,-1.)); #410253=DIRECTION('ref_axis',(1.,0.,0.)); #410254=DIRECTION('center_axis',(0.,0.,1.)); #410255=DIRECTION('ref_axis',(1.,0.,0.)); #410256=DIRECTION('center_axis',(0.,0.,1.)); #410257=DIRECTION('ref_axis',(1.,0.,0.)); #410258=DIRECTION('',(0.,0.,1.)); #410259=DIRECTION('center_axis',(0.,0.,-1.)); #410260=DIRECTION('ref_axis',(1.,0.,0.)); #410261=DIRECTION('center_axis',(0.,0.,1.)); #410262=DIRECTION('ref_axis',(1.,0.,0.)); #410263=DIRECTION('center_axis',(0.,0.,1.)); #410264=DIRECTION('ref_axis',(1.,0.,0.)); #410265=DIRECTION('',(0.,0.,1.)); #410266=DIRECTION('center_axis',(0.,0.,-1.)); #410267=DIRECTION('ref_axis',(1.,0.,0.)); #410268=DIRECTION('center_axis',(0.,0.,1.)); #410269=DIRECTION('ref_axis',(1.,0.,0.)); #410270=DIRECTION('center_axis',(0.,0.,1.)); #410271=DIRECTION('ref_axis',(1.,0.,0.)); #410272=DIRECTION('',(0.,0.,1.)); #410273=DIRECTION('center_axis',(0.,0.,-1.)); #410274=DIRECTION('ref_axis',(1.,0.,0.)); #410275=DIRECTION('center_axis',(0.,0.,1.)); #410276=DIRECTION('ref_axis',(1.,0.,0.)); #410277=DIRECTION('center_axis',(0.,0.,1.)); #410278=DIRECTION('ref_axis',(1.,0.,0.)); #410279=DIRECTION('',(0.,0.,1.)); #410280=DIRECTION('center_axis',(0.,0.,-1.)); #410281=DIRECTION('ref_axis',(1.,0.,0.)); #410282=DIRECTION('center_axis',(0.,0.,1.)); #410283=DIRECTION('ref_axis',(1.,0.,0.)); #410284=DIRECTION('center_axis',(0.,0.,1.)); #410285=DIRECTION('ref_axis',(1.,0.,0.)); #410286=DIRECTION('',(0.,0.,1.)); #410287=DIRECTION('center_axis',(0.,0.,-1.)); #410288=DIRECTION('ref_axis',(1.,0.,0.)); #410289=DIRECTION('center_axis',(0.,0.,1.)); #410290=DIRECTION('ref_axis',(1.,0.,0.)); #410291=DIRECTION('center_axis',(0.,0.,1.)); #410292=DIRECTION('ref_axis',(1.,0.,0.)); #410293=DIRECTION('',(0.,0.,1.)); #410294=DIRECTION('center_axis',(0.,0.,-1.)); #410295=DIRECTION('ref_axis',(1.,0.,0.)); #410296=DIRECTION('center_axis',(0.,0.,1.)); #410297=DIRECTION('ref_axis',(1.,0.,0.)); #410298=DIRECTION('center_axis',(0.,0.,1.)); #410299=DIRECTION('ref_axis',(1.,0.,0.)); #410300=DIRECTION('',(0.,0.,1.)); #410301=DIRECTION('center_axis',(0.,0.,-1.)); #410302=DIRECTION('ref_axis',(1.,0.,0.)); #410303=DIRECTION('center_axis',(0.,0.,1.)); #410304=DIRECTION('ref_axis',(1.,0.,0.)); #410305=DIRECTION('center_axis',(0.,0.,1.)); #410306=DIRECTION('ref_axis',(1.,0.,0.)); #410307=DIRECTION('',(0.,0.,1.)); #410308=DIRECTION('center_axis',(0.,0.,-1.)); #410309=DIRECTION('ref_axis',(1.,0.,0.)); #410310=DIRECTION('center_axis',(0.,0.,1.)); #410311=DIRECTION('ref_axis',(1.,0.,0.)); #410312=DIRECTION('center_axis',(0.,0.,1.)); #410313=DIRECTION('ref_axis',(1.,0.,0.)); #410314=DIRECTION('',(0.,0.,1.)); #410315=DIRECTION('center_axis',(0.,0.,-1.)); #410316=DIRECTION('ref_axis',(1.,0.,0.)); #410317=DIRECTION('center_axis',(0.,0.,1.)); #410318=DIRECTION('ref_axis',(1.,0.,0.)); #410319=DIRECTION('center_axis',(0.,0.,1.)); #410320=DIRECTION('ref_axis',(1.,0.,0.)); #410321=DIRECTION('',(0.,0.,1.)); #410322=DIRECTION('center_axis',(0.,0.,-1.)); #410323=DIRECTION('ref_axis',(1.,0.,0.)); #410324=DIRECTION('center_axis',(0.,0.,1.)); #410325=DIRECTION('ref_axis',(1.,0.,0.)); #410326=DIRECTION('center_axis',(0.,0.,1.)); #410327=DIRECTION('ref_axis',(1.,0.,0.)); #410328=DIRECTION('',(0.,0.,1.)); #410329=DIRECTION('center_axis',(0.,0.,-1.)); #410330=DIRECTION('ref_axis',(1.,0.,0.)); #410331=DIRECTION('center_axis',(0.,0.,1.)); #410332=DIRECTION('ref_axis',(1.,0.,0.)); #410333=DIRECTION('center_axis',(0.,0.,1.)); #410334=DIRECTION('ref_axis',(1.,0.,0.)); #410335=DIRECTION('',(0.,0.,1.)); #410336=DIRECTION('center_axis',(0.,0.,-1.)); #410337=DIRECTION('ref_axis',(1.,0.,0.)); #410338=DIRECTION('center_axis',(0.,0.,1.)); #410339=DIRECTION('ref_axis',(1.,0.,0.)); #410340=DIRECTION('center_axis',(0.,0.,1.)); #410341=DIRECTION('ref_axis',(1.,0.,0.)); #410342=DIRECTION('',(0.,0.,1.)); #410343=DIRECTION('center_axis',(0.,0.,-1.)); #410344=DIRECTION('ref_axis',(1.,0.,0.)); #410345=DIRECTION('center_axis',(0.,0.,1.)); #410346=DIRECTION('ref_axis',(1.,0.,0.)); #410347=DIRECTION('center_axis',(0.,0.,1.)); #410348=DIRECTION('ref_axis',(1.,0.,0.)); #410349=DIRECTION('',(0.,0.,1.)); #410350=DIRECTION('center_axis',(0.,0.,-1.)); #410351=DIRECTION('ref_axis',(1.,0.,0.)); #410352=DIRECTION('center_axis',(0.,0.,1.)); #410353=DIRECTION('ref_axis',(1.,0.,0.)); #410354=DIRECTION('center_axis',(0.,0.,1.)); #410355=DIRECTION('ref_axis',(1.,0.,0.)); #410356=DIRECTION('',(0.,0.,1.)); #410357=DIRECTION('center_axis',(0.,0.,-1.)); #410358=DIRECTION('ref_axis',(1.,0.,0.)); #410359=DIRECTION('center_axis',(0.,0.,1.)); #410360=DIRECTION('ref_axis',(1.,0.,0.)); #410361=DIRECTION('center_axis',(0.,0.,1.)); #410362=DIRECTION('ref_axis',(1.,0.,0.)); #410363=DIRECTION('',(0.,0.,1.)); #410364=DIRECTION('center_axis',(0.,0.,-1.)); #410365=DIRECTION('ref_axis',(1.,0.,0.)); #410366=DIRECTION('center_axis',(0.,0.,1.)); #410367=DIRECTION('ref_axis',(1.,0.,0.)); #410368=DIRECTION('center_axis',(0.,0.,1.)); #410369=DIRECTION('ref_axis',(1.,0.,0.)); #410370=DIRECTION('',(0.,0.,1.)); #410371=DIRECTION('center_axis',(0.,0.,-1.)); #410372=DIRECTION('ref_axis',(1.,0.,0.)); #410373=DIRECTION('center_axis',(0.,0.,1.)); #410374=DIRECTION('ref_axis',(1.,0.,0.)); #410375=DIRECTION('center_axis',(0.,0.,1.)); #410376=DIRECTION('ref_axis',(1.,0.,0.)); #410377=DIRECTION('',(0.,0.,1.)); #410378=DIRECTION('center_axis',(0.,0.,-1.)); #410379=DIRECTION('ref_axis',(1.,0.,0.)); #410380=DIRECTION('center_axis',(0.,0.,1.)); #410381=DIRECTION('ref_axis',(1.,0.,0.)); #410382=DIRECTION('center_axis',(0.,0.,1.)); #410383=DIRECTION('ref_axis',(1.,0.,0.)); #410384=DIRECTION('',(0.,0.,1.)); #410385=DIRECTION('center_axis',(0.,0.,-1.)); #410386=DIRECTION('ref_axis',(1.,0.,0.)); #410387=DIRECTION('center_axis',(0.,0.,1.)); #410388=DIRECTION('ref_axis',(1.,0.,0.)); #410389=DIRECTION('center_axis',(0.,0.,1.)); #410390=DIRECTION('ref_axis',(1.,0.,0.)); #410391=DIRECTION('',(0.,0.,1.)); #410392=DIRECTION('center_axis',(0.,0.,-1.)); #410393=DIRECTION('ref_axis',(1.,0.,0.)); #410394=DIRECTION('center_axis',(0.,0.,1.)); #410395=DIRECTION('ref_axis',(1.,0.,0.)); #410396=DIRECTION('center_axis',(0.,0.,1.)); #410397=DIRECTION('ref_axis',(1.,0.,0.)); #410398=DIRECTION('',(0.,0.,1.)); #410399=DIRECTION('center_axis',(0.,0.,-1.)); #410400=DIRECTION('ref_axis',(1.,0.,0.)); #410401=DIRECTION('center_axis',(0.,0.,1.)); #410402=DIRECTION('ref_axis',(1.,0.,0.)); #410403=DIRECTION('center_axis',(0.,0.,1.)); #410404=DIRECTION('ref_axis',(1.,0.,0.)); #410405=DIRECTION('',(0.,0.,1.)); #410406=DIRECTION('center_axis',(0.,0.,-1.)); #410407=DIRECTION('ref_axis',(1.,0.,0.)); #410408=DIRECTION('center_axis',(0.,0.,1.)); #410409=DIRECTION('ref_axis',(1.,0.,0.)); #410410=DIRECTION('center_axis',(0.,0.,1.)); #410411=DIRECTION('ref_axis',(1.,0.,0.)); #410412=DIRECTION('',(0.,0.,1.)); #410413=DIRECTION('center_axis',(0.,0.,-1.)); #410414=DIRECTION('ref_axis',(1.,0.,0.)); #410415=DIRECTION('center_axis',(0.,0.,1.)); #410416=DIRECTION('ref_axis',(1.,0.,0.)); #410417=DIRECTION('center_axis',(0.,0.,1.)); #410418=DIRECTION('ref_axis',(1.,0.,0.)); #410419=DIRECTION('',(0.,0.,1.)); #410420=DIRECTION('center_axis',(0.,0.,-1.)); #410421=DIRECTION('ref_axis',(1.,0.,0.)); #410422=DIRECTION('center_axis',(0.,0.,1.)); #410423=DIRECTION('ref_axis',(1.,0.,0.)); #410424=DIRECTION('center_axis',(0.,0.,1.)); #410425=DIRECTION('ref_axis',(1.,0.,0.)); #410426=DIRECTION('',(0.,0.,1.)); #410427=DIRECTION('center_axis',(0.,0.,-1.)); #410428=DIRECTION('ref_axis',(1.,0.,0.)); #410429=DIRECTION('center_axis',(0.,0.,1.)); #410430=DIRECTION('ref_axis',(1.,0.,0.)); #410431=DIRECTION('center_axis',(0.,0.,1.)); #410432=DIRECTION('ref_axis',(1.,0.,0.)); #410433=DIRECTION('',(0.,0.,1.)); #410434=DIRECTION('center_axis',(0.,0.,-1.)); #410435=DIRECTION('ref_axis',(1.,0.,0.)); #410436=DIRECTION('center_axis',(0.,0.,1.)); #410437=DIRECTION('ref_axis',(1.,0.,0.)); #410438=DIRECTION('center_axis',(0.,0.,1.)); #410439=DIRECTION('ref_axis',(1.,0.,0.)); #410440=DIRECTION('',(0.,0.,1.)); #410441=DIRECTION('center_axis',(0.,0.,-1.)); #410442=DIRECTION('ref_axis',(1.,0.,0.)); #410443=DIRECTION('center_axis',(0.,0.,1.)); #410444=DIRECTION('ref_axis',(1.,0.,0.)); #410445=DIRECTION('center_axis',(0.,0.,1.)); #410446=DIRECTION('ref_axis',(1.,0.,0.)); #410447=DIRECTION('',(0.,0.,1.)); #410448=DIRECTION('center_axis',(0.,0.,-1.)); #410449=DIRECTION('ref_axis',(1.,0.,0.)); #410450=DIRECTION('center_axis',(0.,0.,1.)); #410451=DIRECTION('ref_axis',(1.,0.,0.)); #410452=DIRECTION('center_axis',(0.,0.,1.)); #410453=DIRECTION('ref_axis',(1.,0.,0.)); #410454=DIRECTION('',(0.,0.,1.)); #410455=DIRECTION('center_axis',(0.,0.,-1.)); #410456=DIRECTION('ref_axis',(1.,0.,0.)); #410457=DIRECTION('center_axis',(0.,0.,1.)); #410458=DIRECTION('ref_axis',(1.,0.,0.)); #410459=DIRECTION('center_axis',(0.,0.,1.)); #410460=DIRECTION('ref_axis',(1.,0.,0.)); #410461=DIRECTION('',(0.,0.,1.)); #410462=DIRECTION('center_axis',(0.,0.,-1.)); #410463=DIRECTION('ref_axis',(1.,0.,0.)); #410464=DIRECTION('center_axis',(0.,0.,1.)); #410465=DIRECTION('ref_axis',(1.,0.,0.)); #410466=DIRECTION('center_axis',(0.,0.,1.)); #410467=DIRECTION('ref_axis',(1.,0.,0.)); #410468=DIRECTION('',(0.,0.,1.)); #410469=DIRECTION('center_axis',(0.,0.,-1.)); #410470=DIRECTION('ref_axis',(1.,0.,0.)); #410471=DIRECTION('center_axis',(0.,0.,1.)); #410472=DIRECTION('ref_axis',(1.,0.,0.)); #410473=DIRECTION('center_axis',(0.,0.,1.)); #410474=DIRECTION('ref_axis',(1.,0.,0.)); #410475=DIRECTION('',(0.,0.,1.)); #410476=DIRECTION('center_axis',(0.,0.,-1.)); #410477=DIRECTION('ref_axis',(1.,0.,0.)); #410478=DIRECTION('center_axis',(0.,0.,1.)); #410479=DIRECTION('ref_axis',(1.,0.,0.)); #410480=DIRECTION('center_axis',(0.,0.,1.)); #410481=DIRECTION('ref_axis',(1.,0.,0.)); #410482=DIRECTION('',(0.,0.,1.)); #410483=DIRECTION('center_axis',(0.,0.,-1.)); #410484=DIRECTION('ref_axis',(1.,0.,0.)); #410485=DIRECTION('center_axis',(0.,0.,1.)); #410486=DIRECTION('ref_axis',(1.,0.,0.)); #410487=DIRECTION('center_axis',(0.,0.,1.)); #410488=DIRECTION('ref_axis',(1.,0.,0.)); #410489=DIRECTION('',(0.,0.,1.)); #410490=DIRECTION('center_axis',(0.,0.,-1.)); #410491=DIRECTION('ref_axis',(1.,0.,0.)); #410492=DIRECTION('center_axis',(0.,0.,1.)); #410493=DIRECTION('ref_axis',(1.,0.,0.)); #410494=DIRECTION('center_axis',(0.,0.,1.)); #410495=DIRECTION('ref_axis',(1.,0.,0.)); #410496=DIRECTION('',(0.,0.,1.)); #410497=DIRECTION('center_axis',(0.,0.,-1.)); #410498=DIRECTION('ref_axis',(1.,0.,0.)); #410499=DIRECTION('center_axis',(0.,0.,1.)); #410500=DIRECTION('ref_axis',(1.,0.,0.)); #410501=DIRECTION('center_axis',(0.,0.,1.)); #410502=DIRECTION('ref_axis',(1.,0.,0.)); #410503=DIRECTION('',(0.,0.,1.)); #410504=DIRECTION('center_axis',(0.,0.,-1.)); #410505=DIRECTION('ref_axis',(1.,0.,0.)); #410506=DIRECTION('center_axis',(0.,0.,1.)); #410507=DIRECTION('ref_axis',(1.,0.,0.)); #410508=DIRECTION('center_axis',(0.,0.,1.)); #410509=DIRECTION('ref_axis',(1.,0.,0.)); #410510=DIRECTION('',(0.,0.,1.)); #410511=DIRECTION('center_axis',(0.,0.,-1.)); #410512=DIRECTION('ref_axis',(1.,0.,0.)); #410513=DIRECTION('center_axis',(0.,0.,1.)); #410514=DIRECTION('ref_axis',(1.,0.,0.)); #410515=DIRECTION('center_axis',(0.,0.,1.)); #410516=DIRECTION('ref_axis',(1.,0.,0.)); #410517=DIRECTION('',(0.,0.,1.)); #410518=DIRECTION('center_axis',(0.,0.,-1.)); #410519=DIRECTION('ref_axis',(1.,0.,0.)); #410520=DIRECTION('center_axis',(0.,0.,1.)); #410521=DIRECTION('ref_axis',(1.,0.,0.)); #410522=DIRECTION('center_axis',(0.,0.,1.)); #410523=DIRECTION('ref_axis',(1.,0.,0.)); #410524=DIRECTION('',(0.,0.,1.)); #410525=DIRECTION('center_axis',(0.,0.,-1.)); #410526=DIRECTION('ref_axis',(1.,0.,0.)); #410527=DIRECTION('center_axis',(0.,0.,1.)); #410528=DIRECTION('ref_axis',(1.,0.,0.)); #410529=DIRECTION('center_axis',(0.,0.,1.)); #410530=DIRECTION('ref_axis',(1.,0.,0.)); #410531=DIRECTION('',(0.,0.,1.)); #410532=DIRECTION('center_axis',(0.,0.,-1.)); #410533=DIRECTION('ref_axis',(1.,0.,0.)); #410534=DIRECTION('center_axis',(0.,0.,1.)); #410535=DIRECTION('ref_axis',(1.,0.,0.)); #410536=DIRECTION('center_axis',(0.,0.,1.)); #410537=DIRECTION('ref_axis',(1.,0.,0.)); #410538=DIRECTION('',(0.,0.,1.)); #410539=DIRECTION('center_axis',(0.,0.,-1.)); #410540=DIRECTION('ref_axis',(1.,0.,0.)); #410541=DIRECTION('center_axis',(0.,0.,1.)); #410542=DIRECTION('ref_axis',(1.,0.,0.)); #410543=DIRECTION('center_axis',(0.,0.,1.)); #410544=DIRECTION('ref_axis',(1.,0.,0.)); #410545=DIRECTION('',(0.,0.,1.)); #410546=DIRECTION('center_axis',(0.,0.,-1.)); #410547=DIRECTION('ref_axis',(1.,0.,0.)); #410548=DIRECTION('center_axis',(0.,0.,1.)); #410549=DIRECTION('ref_axis',(1.,0.,0.)); #410550=DIRECTION('center_axis',(0.,0.,1.)); #410551=DIRECTION('ref_axis',(1.,0.,0.)); #410552=DIRECTION('',(0.,0.,1.)); #410553=DIRECTION('center_axis',(0.,0.,-1.)); #410554=DIRECTION('ref_axis',(1.,0.,0.)); #410555=DIRECTION('center_axis',(0.,0.,1.)); #410556=DIRECTION('ref_axis',(1.,0.,0.)); #410557=DIRECTION('center_axis',(0.,0.,1.)); #410558=DIRECTION('ref_axis',(1.,0.,0.)); #410559=DIRECTION('',(0.,0.,1.)); #410560=DIRECTION('center_axis',(0.,0.,-1.)); #410561=DIRECTION('ref_axis',(1.,0.,0.)); #410562=DIRECTION('center_axis',(0.,0.,1.)); #410563=DIRECTION('ref_axis',(1.,0.,0.)); #410564=DIRECTION('center_axis',(0.,0.,1.)); #410565=DIRECTION('ref_axis',(1.,0.,0.)); #410566=DIRECTION('',(0.,0.,1.)); #410567=DIRECTION('center_axis',(0.,0.,-1.)); #410568=DIRECTION('ref_axis',(1.,0.,0.)); #410569=DIRECTION('center_axis',(0.,0.,1.)); #410570=DIRECTION('ref_axis',(1.,0.,0.)); #410571=DIRECTION('center_axis',(0.,0.,1.)); #410572=DIRECTION('ref_axis',(1.,0.,0.)); #410573=DIRECTION('',(0.,0.,1.)); #410574=DIRECTION('center_axis',(0.,0.,-1.)); #410575=DIRECTION('ref_axis',(1.,0.,0.)); #410576=DIRECTION('center_axis',(0.,0.,1.)); #410577=DIRECTION('ref_axis',(1.,0.,0.)); #410578=DIRECTION('center_axis',(0.,0.,1.)); #410579=DIRECTION('ref_axis',(1.,0.,0.)); #410580=DIRECTION('',(0.,0.,1.)); #410581=DIRECTION('center_axis',(0.,0.,-1.)); #410582=DIRECTION('ref_axis',(1.,0.,0.)); #410583=DIRECTION('center_axis',(0.,0.,1.)); #410584=DIRECTION('ref_axis',(1.,0.,0.)); #410585=DIRECTION('center_axis',(0.,0.,1.)); #410586=DIRECTION('ref_axis',(1.,0.,0.)); #410587=DIRECTION('',(0.,0.,1.)); #410588=DIRECTION('center_axis',(0.,0.,-1.)); #410589=DIRECTION('ref_axis',(1.,0.,0.)); #410590=DIRECTION('center_axis',(0.,0.,1.)); #410591=DIRECTION('ref_axis',(1.,0.,0.)); #410592=DIRECTION('center_axis',(0.,0.,1.)); #410593=DIRECTION('ref_axis',(1.,0.,0.)); #410594=DIRECTION('',(0.,0.,1.)); #410595=DIRECTION('center_axis',(0.,0.,-1.)); #410596=DIRECTION('ref_axis',(1.,0.,0.)); #410597=DIRECTION('center_axis',(0.,0.,1.)); #410598=DIRECTION('ref_axis',(1.,0.,0.)); #410599=DIRECTION('center_axis',(0.,0.,1.)); #410600=DIRECTION('ref_axis',(1.,0.,0.)); #410601=DIRECTION('',(0.,0.,1.)); #410602=DIRECTION('center_axis',(0.,0.,-1.)); #410603=DIRECTION('ref_axis',(1.,0.,0.)); #410604=DIRECTION('center_axis',(0.,0.,1.)); #410605=DIRECTION('ref_axis',(1.,0.,0.)); #410606=DIRECTION('center_axis',(0.,0.,1.)); #410607=DIRECTION('ref_axis',(1.,0.,0.)); #410608=DIRECTION('',(0.,0.,1.)); #410609=DIRECTION('center_axis',(0.,0.,-1.)); #410610=DIRECTION('ref_axis',(1.,0.,0.)); #410611=DIRECTION('center_axis',(0.,0.,1.)); #410612=DIRECTION('ref_axis',(1.,0.,0.)); #410613=DIRECTION('center_axis',(0.,0.,1.)); #410614=DIRECTION('ref_axis',(1.,0.,0.)); #410615=DIRECTION('',(0.,0.,1.)); #410616=DIRECTION('center_axis',(0.,0.,-1.)); #410617=DIRECTION('ref_axis',(1.,0.,0.)); #410618=DIRECTION('center_axis',(0.,0.,1.)); #410619=DIRECTION('ref_axis',(1.,0.,0.)); #410620=DIRECTION('center_axis',(0.,0.,1.)); #410621=DIRECTION('ref_axis',(1.,0.,0.)); #410622=DIRECTION('',(0.,0.,1.)); #410623=DIRECTION('center_axis',(0.,0.,-1.)); #410624=DIRECTION('ref_axis',(1.,0.,0.)); #410625=DIRECTION('center_axis',(0.,0.,1.)); #410626=DIRECTION('ref_axis',(1.,0.,0.)); #410627=DIRECTION('center_axis',(0.,0.,1.)); #410628=DIRECTION('ref_axis',(1.,0.,0.)); #410629=DIRECTION('',(0.,0.,1.)); #410630=DIRECTION('center_axis',(0.,0.,-1.)); #410631=DIRECTION('ref_axis',(1.,0.,0.)); #410632=DIRECTION('center_axis',(0.,0.,1.)); #410633=DIRECTION('ref_axis',(1.,0.,0.)); #410634=DIRECTION('center_axis',(0.,0.,1.)); #410635=DIRECTION('ref_axis',(1.,0.,0.)); #410636=DIRECTION('',(0.,0.,1.)); #410637=DIRECTION('center_axis',(0.,0.,-1.)); #410638=DIRECTION('ref_axis',(1.,0.,0.)); #410639=DIRECTION('center_axis',(0.,0.,1.)); #410640=DIRECTION('ref_axis',(1.,0.,0.)); #410641=DIRECTION('center_axis',(0.,0.,1.)); #410642=DIRECTION('ref_axis',(1.,0.,0.)); #410643=DIRECTION('',(0.,0.,1.)); #410644=DIRECTION('center_axis',(0.,0.,-1.)); #410645=DIRECTION('ref_axis',(1.,0.,0.)); #410646=DIRECTION('center_axis',(0.,0.,1.)); #410647=DIRECTION('ref_axis',(1.,0.,0.)); #410648=DIRECTION('center_axis',(0.,0.,1.)); #410649=DIRECTION('ref_axis',(1.,0.,0.)); #410650=DIRECTION('',(0.,0.,1.)); #410651=DIRECTION('center_axis',(0.,0.,-1.)); #410652=DIRECTION('ref_axis',(1.,0.,0.)); #410653=DIRECTION('center_axis',(0.,0.,1.)); #410654=DIRECTION('ref_axis',(1.,0.,0.)); #410655=DIRECTION('center_axis',(0.,0.,1.)); #410656=DIRECTION('ref_axis',(1.,0.,0.)); #410657=DIRECTION('',(0.,0.,1.)); #410658=DIRECTION('center_axis',(0.,0.,-1.)); #410659=DIRECTION('ref_axis',(1.,0.,0.)); #410660=DIRECTION('center_axis',(0.,0.,1.)); #410661=DIRECTION('ref_axis',(1.,0.,0.)); #410662=DIRECTION('center_axis',(0.,0.,1.)); #410663=DIRECTION('ref_axis',(1.,0.,0.)); #410664=DIRECTION('',(0.,0.,1.)); #410665=DIRECTION('center_axis',(0.,0.,-1.)); #410666=DIRECTION('ref_axis',(1.,0.,0.)); #410667=DIRECTION('center_axis',(1.,0.,0.)); #410668=DIRECTION('ref_axis',(0.,1.,0.)); #410669=DIRECTION('',(0.,1.,0.)); #410670=DIRECTION('',(0.,0.,1.)); #410671=DIRECTION('',(0.,1.,0.)); #410672=DIRECTION('',(0.,0.,1.)); #410673=DIRECTION('center_axis',(0.,-1.,0.)); #410674=DIRECTION('ref_axis',(1.,0.,0.)); #410675=DIRECTION('',(1.,0.,0.)); #410676=DIRECTION('',(1.,0.,0.)); #410677=DIRECTION('',(0.,0.,1.)); #410678=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #410679=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #410680=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #410681=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #410682=DIRECTION('',(0.,0.,1.)); #410683=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #410684=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #410685=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #410686=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #410687=DIRECTION('',(0.,0.,1.)); #410688=DIRECTION('center_axis',(1.,0.,0.)); #410689=DIRECTION('ref_axis',(0.,1.,0.)); #410690=DIRECTION('',(0.,1.,0.)); #410691=DIRECTION('',(0.,1.,0.)); #410692=DIRECTION('',(0.,0.,1.)); #410693=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #410694=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #410695=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #410696=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #410697=DIRECTION('',(0.,0.,1.)); #410698=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #410699=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #410700=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #410701=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #410702=DIRECTION('',(0.,0.,1.)); #410703=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #410704=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #410705=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #410706=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #410707=DIRECTION('',(0.,0.,1.)); #410708=DIRECTION('center_axis',(0.,1.,0.)); #410709=DIRECTION('ref_axis',(-1.,0.,0.)); #410710=DIRECTION('',(-1.,0.,0.)); #410711=DIRECTION('',(-1.,0.,0.)); #410712=DIRECTION('',(0.,0.,1.)); #410713=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #410714=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #410715=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #410716=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #410717=DIRECTION('',(0.,0.,1.)); #410718=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #410719=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #410720=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #410721=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #410722=DIRECTION('',(0.,0.,1.)); #410723=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #410724=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #410725=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #410726=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #410727=DIRECTION('',(0.,0.,1.)); #410728=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #410729=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #410730=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #410731=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #410732=DIRECTION('',(0.,0.,1.)); #410733=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #410734=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #410735=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #410736=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #410737=DIRECTION('',(0.,0.,1.)); #410738=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #410739=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #410740=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #410741=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #410742=DIRECTION('',(0.,0.,1.)); #410743=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #410744=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #410745=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #410746=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #410747=DIRECTION('',(0.,0.,1.)); #410748=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #410749=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #410750=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #410751=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #410752=DIRECTION('',(0.,0.,1.)); #410753=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #410754=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #410755=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #410756=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #410757=DIRECTION('',(0.,0.,1.)); #410758=DIRECTION('center_axis',(0.,1.,0.)); #410759=DIRECTION('ref_axis',(-1.,0.,0.)); #410760=DIRECTION('',(-1.,0.,0.)); #410761=DIRECTION('',(-1.,0.,0.)); #410762=DIRECTION('',(0.,0.,1.)); #410763=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #410764=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #410765=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #410766=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #410767=DIRECTION('',(0.,0.,1.)); #410768=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #410769=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #410770=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #410771=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #410772=DIRECTION('',(0.,0.,1.)); #410773=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #410774=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #410775=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #410776=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #410777=DIRECTION('',(0.,0.,1.)); #410778=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #410779=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #410780=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #410781=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #410782=DIRECTION('',(0.,0.,1.)); #410783=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #410784=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #410785=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #410786=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #410787=DIRECTION('',(0.,0.,1.)); #410788=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #410789=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #410790=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #410791=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #410792=DIRECTION('',(0.,0.,1.)); #410793=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #410794=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #410795=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #410796=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #410797=DIRECTION('',(0.,0.,1.)); #410798=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #410799=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #410800=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #410801=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #410802=DIRECTION('',(0.,0.,1.)); #410803=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #410804=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #410805=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #410806=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #410807=DIRECTION('',(0.,0.,1.)); #410808=DIRECTION('center_axis',(0.,1.,0.)); #410809=DIRECTION('ref_axis',(-1.,0.,0.)); #410810=DIRECTION('',(-1.,0.,0.)); #410811=DIRECTION('',(-1.,0.,0.)); #410812=DIRECTION('',(0.,0.,1.)); #410813=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #410814=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #410815=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #410816=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #410817=DIRECTION('',(0.,0.,1.)); #410818=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #410819=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #410820=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #410821=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #410822=DIRECTION('',(0.,0.,1.)); #410823=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #410824=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #410825=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #410826=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #410827=DIRECTION('',(0.,0.,1.)); #410828=DIRECTION('center_axis',(-1.,0.,0.)); #410829=DIRECTION('ref_axis',(0.,-1.,0.)); #410830=DIRECTION('',(0.,-1.,0.)); #410831=DIRECTION('',(0.,-1.,0.)); #410832=DIRECTION('',(0.,0.,1.)); #410833=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #410834=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #410835=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #410836=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #410837=DIRECTION('',(0.,0.,1.)); #410838=DIRECTION('center_axis',(-0.707105741061318,-0.707107821310248, 0.)); #410839=DIRECTION('ref_axis',(0.707107821310248,-0.707105741061318,0.)); #410840=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #410841=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #410842=DIRECTION('',(0.,0.,1.)); #410843=DIRECTION('center_axis',(0.,-1.,0.)); #410844=DIRECTION('ref_axis',(1.,0.,0.)); #410845=DIRECTION('',(1.,0.,0.)); #410846=DIRECTION('',(1.,0.,0.)); #410847=DIRECTION('',(0.,0.,1.)); #410848=DIRECTION('center_axis',(-1.,0.,0.)); #410849=DIRECTION('ref_axis',(0.,-1.,0.)); #410850=DIRECTION('',(0.,-1.,0.)); #410851=DIRECTION('',(0.,-1.,0.)); #410852=DIRECTION('',(0.,0.,1.)); #410853=DIRECTION('center_axis',(-0.538917034664692,-0.842358848560526, 0.)); #410854=DIRECTION('ref_axis',(0.842358848560526,-0.538917034664692,0.)); #410855=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #410856=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #410857=DIRECTION('',(0.,0.,1.)); #410858=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #410859=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #410860=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #410861=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #410862=DIRECTION('',(0.,0.,1.)); #410863=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #410864=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #410865=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #410866=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #410867=DIRECTION('',(0.,0.,1.)); #410868=DIRECTION('center_axis',(-1.,0.,0.)); #410869=DIRECTION('ref_axis',(0.,-1.,0.)); #410870=DIRECTION('',(0.,-1.,0.)); #410871=DIRECTION('',(0.,-1.,0.)); #410872=DIRECTION('',(0.,0.,1.)); #410873=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #410874=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #410875=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #410876=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #410877=DIRECTION('',(0.,0.,1.)); #410878=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #410879=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #410880=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #410881=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #410882=DIRECTION('',(0.,0.,1.)); #410883=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #410884=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #410885=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #410886=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #410887=DIRECTION('',(0.,0.,1.)); #410888=DIRECTION('center_axis',(-0.198968686113119,0.980005847914398,0.)); #410889=DIRECTION('ref_axis',(-0.980005847914398,-0.198968686113119,0.)); #410890=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #410891=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #410892=DIRECTION('',(0.,0.,1.)); #410893=DIRECTION('center_axis',(0.,1.,0.)); #410894=DIRECTION('ref_axis',(-1.,0.,0.)); #410895=DIRECTION('',(-1.,0.,0.)); #410896=DIRECTION('',(-1.,0.,0.)); #410897=DIRECTION('',(0.,0.,1.)); #410898=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #410899=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #410900=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #410901=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #410902=DIRECTION('',(0.,0.,1.)); #410903=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #410904=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #410905=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #410906=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #410907=DIRECTION('',(0.,0.,1.)); #410908=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #410909=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #410910=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #410911=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #410912=DIRECTION('',(0.,0.,1.)); #410913=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #410914=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #410915=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #410916=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #410917=DIRECTION('',(0.,0.,1.)); #410918=DIRECTION('center_axis',(-0.707107138230129,0.707106424142786,0.)); #410919=DIRECTION('ref_axis',(-0.707106424142786,-0.707107138230129,0.)); #410920=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #410921=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #410922=DIRECTION('',(0.,0.,1.)); #410923=DIRECTION('center_axis',(-0.778059644424422,0.628190408807825,0.)); #410924=DIRECTION('ref_axis',(-0.628190408807825,-0.778059644424422,0.)); #410925=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #410926=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #410927=DIRECTION('',(0.,0.,1.)); #410928=DIRECTION('center_axis',(-0.892934999709465,0.450185613157348,0.)); #410929=DIRECTION('ref_axis',(-0.450185613157348,-0.892934999709465,0.)); #410930=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #410931=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #410932=DIRECTION('',(0.,0.,1.)); #410933=DIRECTION('center_axis',(-0.967663683018303,0.252243922756234,0.)); #410934=DIRECTION('ref_axis',(-0.252243922756234,-0.967663683018303,0.)); #410935=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #410936=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #410937=DIRECTION('',(0.,0.,1.)); #410938=DIRECTION('center_axis',(-0.997237953249289,0.0742729062254092, 0.)); #410939=DIRECTION('ref_axis',(-0.0742729062254092,-0.997237953249289,0.)); #410940=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #410941=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #410942=DIRECTION('',(0.,0.,1.)); #410943=DIRECTION('center_axis',(-1.,0.,0.)); #410944=DIRECTION('ref_axis',(0.,-1.,0.)); #410945=DIRECTION('',(0.,-1.,0.)); #410946=DIRECTION('',(0.,-1.,0.)); #410947=DIRECTION('',(0.,0.,1.)); #410948=DIRECTION('center_axis',(-0.994378331598729,-0.105885474201746, 0.)); #410949=DIRECTION('ref_axis',(0.105885474201746,-0.994378331598729,0.)); #410950=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #410951=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #410952=DIRECTION('',(0.,0.,1.)); #410953=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #410954=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #410955=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #410956=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #410957=DIRECTION('',(0.,0.,1.)); #410958=DIRECTION('center_axis',(-0.862466061684739,-0.50611490043469,0.)); #410959=DIRECTION('ref_axis',(0.50611490043469,-0.862466061684739,0.)); #410960=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #410961=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #410962=DIRECTION('',(0.,0.,1.)); #410963=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #410964=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #410965=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #410966=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #410967=DIRECTION('',(0.,0.,1.)); #410968=DIRECTION('center_axis',(-0.707106067099204,-0.70710749527317,0.)); #410969=DIRECTION('ref_axis',(0.70710749527317,-0.707106067099204,0.)); #410970=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #410971=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #410972=DIRECTION('',(0.,0.,1.)); #410973=DIRECTION('center_axis',(-0.628203826084784,-0.778048811381676, 0.)); #410974=DIRECTION('ref_axis',(0.778048811381676,-0.628203826084784,0.)); #410975=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #410976=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #410977=DIRECTION('',(0.,0.,1.)); #410978=DIRECTION('center_axis',(-0.450178111980026,-0.892938781492941, 0.)); #410979=DIRECTION('ref_axis',(0.892938781492941,-0.450178111980026,0.)); #410980=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #410981=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #410982=DIRECTION('',(0.,0.,1.)); #410983=DIRECTION('center_axis',(-0.252249312357542,-0.967662278078022, 0.)); #410984=DIRECTION('ref_axis',(0.967662278078022,-0.252249312357542,0.)); #410985=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #410986=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #410987=DIRECTION('',(0.,0.,1.)); #410988=DIRECTION('center_axis',(-0.0742706898419095,-0.997238118319996, 0.)); #410989=DIRECTION('ref_axis',(0.997238118319996,-0.0742706898419095,0.)); #410990=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #410991=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #410992=DIRECTION('',(0.,0.,1.)); #410993=DIRECTION('center_axis',(0.,-1.,0.)); #410994=DIRECTION('ref_axis',(1.,0.,0.)); #410995=DIRECTION('',(1.,0.,0.)); #410996=DIRECTION('',(1.,0.,0.)); #410997=DIRECTION('',(0.,0.,1.)); #410998=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #410999=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #411000=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #411001=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #411002=DIRECTION('',(0.,0.,1.)); #411003=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #411004=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #411005=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #411006=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #411007=DIRECTION('',(0.,0.,1.)); #411008=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #411009=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #411010=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #411011=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #411012=DIRECTION('',(0.,0.,1.)); #411013=DIRECTION('center_axis',(-0.980003828794037,-0.198978630885398, 0.)); #411014=DIRECTION('ref_axis',(0.198978630885398,-0.980003828794037,0.)); #411015=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #411016=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #411017=DIRECTION('',(0.,0.,1.)); #411018=DIRECTION('center_axis',(-0.707105370129525,-0.707108192240754, 0.)); #411019=DIRECTION('ref_axis',(0.707108192240754,-0.707105370129525,0.)); #411020=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #411021=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #411022=DIRECTION('',(0.,0.,1.)); #411023=DIRECTION('center_axis',(0.,-1.,0.)); #411024=DIRECTION('ref_axis',(1.,0.,0.)); #411025=DIRECTION('',(1.,0.,0.)); #411026=DIRECTION('',(1.,0.,0.)); #411027=DIRECTION('',(0.,0.,1.)); #411028=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #411029=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #411030=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #411031=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #411032=DIRECTION('',(0.,0.,1.)); #411033=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #411034=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #411035=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #411036=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #411037=DIRECTION('',(0.,0.,1.)); #411038=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #411039=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #411040=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #411041=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #411042=DIRECTION('',(0.,0.,1.)); #411043=DIRECTION('center_axis',(0.,-1.,0.)); #411044=DIRECTION('ref_axis',(1.,0.,0.)); #411045=DIRECTION('',(1.,0.,0.)); #411046=DIRECTION('',(1.,0.,0.)); #411047=DIRECTION('',(0.,0.,1.)); #411048=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #411049=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #411050=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #411051=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #411052=DIRECTION('',(0.,0.,1.)); #411053=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #411054=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #411055=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #411056=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #411057=DIRECTION('',(0.,0.,1.)); #411058=DIRECTION('center_axis',(-0.815709411156782,-0.578461888589262, 0.)); #411059=DIRECTION('ref_axis',(0.578461888589262,-0.815709411156782,0.)); #411060=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #411061=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #411062=DIRECTION('',(0.,0.,1.)); #411063=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #411064=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #411065=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #411066=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #411067=DIRECTION('',(0.,0.,1.)); #411068=DIRECTION('center_axis',(-0.983050529880644,0.183334818573518,0.)); #411069=DIRECTION('ref_axis',(-0.183334818573518,-0.983050529880644,0.)); #411070=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #411071=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #411072=DIRECTION('',(0.,0.,1.)); #411073=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #411074=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #411075=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #411076=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #411077=DIRECTION('',(0.,0.,1.)); #411078=DIRECTION('center_axis',(-0.707108431109792,0.707105131259453,0.)); #411079=DIRECTION('ref_axis',(-0.707105131259453,-0.707108431109792,0.)); #411080=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #411081=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #411082=DIRECTION('',(0.,0.,1.)); #411083=DIRECTION('center_axis',(-1.,0.,0.)); #411084=DIRECTION('ref_axis',(0.,-1.,0.)); #411085=DIRECTION('',(0.,-1.,0.)); #411086=DIRECTION('',(0.,-1.,0.)); #411087=DIRECTION('',(0.,0.,1.)); #411088=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411089=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411090=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411091=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411092=DIRECTION('',(0.,0.,1.)); #411093=DIRECTION('center_axis',(0.,-1.,0.)); #411094=DIRECTION('ref_axis',(1.,0.,0.)); #411095=DIRECTION('',(1.,0.,0.)); #411096=DIRECTION('',(1.,0.,0.)); #411097=DIRECTION('',(0.,0.,1.)); #411098=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #411099=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #411100=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #411101=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #411102=DIRECTION('',(0.,0.,1.)); #411103=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #411104=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #411105=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #411106=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #411107=DIRECTION('',(0.,0.,1.)); #411108=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #411109=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #411110=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #411111=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #411112=DIRECTION('',(0.,0.,1.)); #411113=DIRECTION('center_axis',(0.,-1.,0.)); #411114=DIRECTION('ref_axis',(1.,0.,0.)); #411115=DIRECTION('',(1.,0.,0.)); #411116=DIRECTION('',(1.,0.,0.)); #411117=DIRECTION('',(0.,0.,1.)); #411118=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #411119=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #411120=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #411121=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #411122=DIRECTION('',(0.,0.,1.)); #411123=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #411124=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #411125=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #411126=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #411127=DIRECTION('',(0.,0.,1.)); #411128=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #411129=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #411130=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #411131=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #411132=DIRECTION('',(0.,0.,1.)); #411133=DIRECTION('center_axis',(0.,-1.,0.)); #411134=DIRECTION('ref_axis',(1.,0.,0.)); #411135=DIRECTION('',(1.,0.,0.)); #411136=DIRECTION('',(1.,0.,0.)); #411137=DIRECTION('',(0.,0.,1.)); #411138=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411139=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411140=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411141=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411142=DIRECTION('',(0.,0.,1.)); #411143=DIRECTION('center_axis',(1.,0.,0.)); #411144=DIRECTION('ref_axis',(0.,1.,0.)); #411145=DIRECTION('',(0.,1.,0.)); #411146=DIRECTION('',(0.,1.,0.)); #411147=DIRECTION('',(0.,0.,1.)); #411148=DIRECTION('center_axis',(0.707109234974272,0.707104327390308,0.)); #411149=DIRECTION('ref_axis',(-0.707104327390308,0.707109234974272,0.)); #411150=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #411151=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #411152=DIRECTION('',(0.,0.,1.)); #411153=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #411154=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #411155=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411156=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411157=DIRECTION('',(0.,0.,1.)); #411158=DIRECTION('center_axis',(0.976685690837527,0.214674314516719,0.)); #411159=DIRECTION('ref_axis',(-0.214674314516719,0.976685690837527,0.)); #411160=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #411161=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #411162=DIRECTION('',(0.,0.,1.)); #411163=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #411164=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #411165=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #411166=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #411167=DIRECTION('',(0.,0.,1.)); #411168=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #411169=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #411170=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #411171=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #411172=DIRECTION('',(0.,0.,1.)); #411173=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #411174=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #411175=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #411176=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #411177=DIRECTION('',(0.,0.,1.)); #411178=DIRECTION('center_axis',(1.,0.,0.)); #411179=DIRECTION('ref_axis',(0.,1.,0.)); #411180=DIRECTION('',(0.,1.,0.)); #411181=DIRECTION('',(0.,1.,0.)); #411182=DIRECTION('',(0.,0.,1.)); #411183=DIRECTION('center_axis',(0.707101099674552,0.707112462652893,0.)); #411184=DIRECTION('ref_axis',(-0.707112462652893,0.707101099674552,0.)); #411185=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #411186=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #411187=DIRECTION('',(0.,0.,1.)); #411188=DIRECTION('center_axis',(0.824778447639048,0.565456021552625,0.)); #411189=DIRECTION('ref_axis',(-0.565456021552625,0.824778447639048,0.)); #411190=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #411191=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #411192=DIRECTION('',(0.,0.,1.)); #411193=DIRECTION('center_axis',(0.976687210469078,0.214667400660024,0.)); #411194=DIRECTION('ref_axis',(-0.214667400660024,0.976687210469078,0.)); #411195=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #411196=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #411197=DIRECTION('',(0.,0.,1.)); #411198=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #411199=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #411200=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411201=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411202=DIRECTION('',(0.,0.,1.)); #411203=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #411204=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #411205=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411206=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411207=DIRECTION('',(0.,0.,1.)); #411208=DIRECTION('center_axis',(0.70712882626081,-0.707084735424976,0.)); #411209=DIRECTION('ref_axis',(0.707084735424976,0.70712882626081,0.)); #411210=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #411211=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #411212=DIRECTION('',(0.,0.,1.)); #411213=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #411214=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #411215=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #411216=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #411217=DIRECTION('',(0.,0.,1.)); #411218=DIRECTION('center_axis',(0.892938781493004,-0.450178111979902,0.)); #411219=DIRECTION('ref_axis',(0.450178111979902,0.892938781493004,0.)); #411220=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #411221=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #411222=DIRECTION('',(0.,0.,1.)); #411223=DIRECTION('center_axis',(0.967662278077992,-0.252249312357658,0.)); #411224=DIRECTION('ref_axis',(0.252249312357658,0.967662278077992,0.)); #411225=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #411226=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #411227=DIRECTION('',(0.,0.,1.)); #411228=DIRECTION('center_axis',(0.997238085307025,-0.0742711331082209, 0.)); #411229=DIRECTION('ref_axis',(0.0742711331082209,0.997238085307025,0.)); #411230=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #411231=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #411232=DIRECTION('',(0.,0.,1.)); #411233=DIRECTION('center_axis',(1.,0.,0.)); #411234=DIRECTION('ref_axis',(0.,1.,0.)); #411235=DIRECTION('',(0.,1.,0.)); #411236=DIRECTION('',(0.,1.,0.)); #411237=DIRECTION('',(0.,0.,1.)); #411238=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #411239=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #411240=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #411241=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #411242=DIRECTION('',(0.,0.,1.)); #411243=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #411244=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #411245=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #411246=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #411247=DIRECTION('',(0.,0.,1.)); #411248=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #411249=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #411250=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #411251=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #411252=DIRECTION('',(0.,0.,1.)); #411253=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #411254=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #411255=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #411256=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #411257=DIRECTION('',(0.,0.,1.)); #411258=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #411259=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #411260=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #411261=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #411262=DIRECTION('',(0.,0.,1.)); #411263=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #411264=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #411265=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #411266=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #411267=DIRECTION('',(0.,0.,1.)); #411268=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #411269=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #411270=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #411271=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #411272=DIRECTION('',(0.,0.,1.)); #411273=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #411274=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #411275=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #411276=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #411277=DIRECTION('',(0.,0.,1.)); #411278=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #411279=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #411280=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #411281=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #411282=DIRECTION('',(0.,0.,1.)); #411283=DIRECTION('center_axis',(0.,1.,0.)); #411284=DIRECTION('ref_axis',(-1.,0.,0.)); #411285=DIRECTION('',(-1.,0.,0.)); #411286=DIRECTION('',(-1.,0.,0.)); #411287=DIRECTION('',(0.,0.,1.)); #411288=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #411289=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #411290=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #411291=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #411292=DIRECTION('',(0.,0.,1.)); #411293=DIRECTION('center_axis',(0.53890444764079,0.842366901244924,0.)); #411294=DIRECTION('ref_axis',(-0.842366901244924,0.53890444764079,0.)); #411295=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #411296=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #411297=DIRECTION('',(0.,0.,1.)); #411298=DIRECTION('center_axis',(0.824750821382036,0.565496315310415,0.)); #411299=DIRECTION('ref_axis',(-0.565496315310415,0.824750821382036,0.)); #411300=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #411301=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #411302=DIRECTION('',(0.,0.,1.)); #411303=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #411304=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #411305=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #411306=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #411307=DIRECTION('',(0.,0.,1.)); #411308=DIRECTION('center_axis',(1.,0.,0.)); #411309=DIRECTION('ref_axis',(0.,1.,0.)); #411310=DIRECTION('',(0.,1.,0.)); #411311=DIRECTION('',(0.,1.,0.)); #411312=DIRECTION('',(0.,0.,1.)); #411313=DIRECTION('center_axis',(0.983055675573424,-0.183307224960934,0.)); #411314=DIRECTION('ref_axis',(0.183307224960934,0.983055675573424,0.)); #411315=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #411316=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #411317=DIRECTION('',(0.,0.,1.)); #411318=DIRECTION('center_axis',(0.842361453103688,-0.538912963589709,0.)); #411319=DIRECTION('ref_axis',(0.538912963589709,0.842361453103688,0.)); #411320=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #411321=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #411322=DIRECTION('',(0.,0.,1.)); #411323=DIRECTION('center_axis',(0.565494227239089,-0.82475225307923,0.)); #411324=DIRECTION('ref_axis',(0.824752253079229,0.565494227239089,0.)); #411325=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #411326=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #411327=DIRECTION('center_axis',(0.,1.,0.)); #411328=DIRECTION('ref_axis',(-1.,0.,0.)); #411329=DIRECTION('',(-1.,0.,0.)); #411330=DIRECTION('',(0.,0.,1.)); #411331=DIRECTION('',(-1.,0.,0.)); #411332=DIRECTION('',(0.,0.,1.)); #411333=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #411334=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #411335=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #411336=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #411337=DIRECTION('',(0.,0.,1.)); #411338=DIRECTION('center_axis',(-1.,0.,0.)); #411339=DIRECTION('ref_axis',(0.,-1.,0.)); #411340=DIRECTION('',(0.,-1.,0.)); #411341=DIRECTION('',(0.,-1.,0.)); #411342=DIRECTION('',(0.,0.,1.)); #411343=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411344=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411345=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411346=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411347=DIRECTION('',(0.,0.,1.)); #411348=DIRECTION('center_axis',(0.,-1.,0.)); #411349=DIRECTION('ref_axis',(1.,0.,0.)); #411350=DIRECTION('',(1.,0.,0.)); #411351=DIRECTION('',(1.,0.,0.)); #411352=DIRECTION('',(0.,0.,1.)); #411353=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411354=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411355=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411356=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411357=DIRECTION('',(0.,0.,1.)); #411358=DIRECTION('center_axis',(1.,0.,0.)); #411359=DIRECTION('ref_axis',(0.,1.,0.)); #411360=DIRECTION('',(0.,1.,0.)); #411361=DIRECTION('',(0.,1.,0.)); #411362=DIRECTION('',(0.,0.,1.)); #411363=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #411364=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #411365=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #411366=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #411367=DIRECTION('center_axis',(0.,1.,0.)); #411368=DIRECTION('ref_axis',(-1.,0.,0.)); #411369=DIRECTION('',(-1.,0.,0.)); #411370=DIRECTION('',(0.,0.,1.)); #411371=DIRECTION('',(-1.,0.,0.)); #411372=DIRECTION('',(0.,0.,1.)); #411373=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #411374=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #411375=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #411376=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #411377=DIRECTION('',(0.,0.,1.)); #411378=DIRECTION('center_axis',(-1.,0.,0.)); #411379=DIRECTION('ref_axis',(0.,-1.,0.)); #411380=DIRECTION('',(0.,-1.,0.)); #411381=DIRECTION('',(0.,-1.,0.)); #411382=DIRECTION('',(0.,0.,1.)); #411383=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #411384=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #411385=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #411386=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #411387=DIRECTION('',(0.,0.,1.)); #411388=DIRECTION('center_axis',(0.,-1.,0.)); #411389=DIRECTION('ref_axis',(1.,0.,0.)); #411390=DIRECTION('',(1.,0.,0.)); #411391=DIRECTION('',(1.,0.,0.)); #411392=DIRECTION('',(0.,0.,1.)); #411393=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #411394=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #411395=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #411396=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #411397=DIRECTION('',(0.,0.,1.)); #411398=DIRECTION('center_axis',(1.,0.,0.)); #411399=DIRECTION('ref_axis',(0.,1.,0.)); #411400=DIRECTION('',(0.,1.,0.)); #411401=DIRECTION('',(0.,1.,0.)); #411402=DIRECTION('',(0.,0.,1.)); #411403=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #411404=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #411405=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #411406=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #411407=DIRECTION('center_axis',(0.,1.,0.)); #411408=DIRECTION('ref_axis',(-1.,0.,0.)); #411409=DIRECTION('',(-1.,0.,0.)); #411410=DIRECTION('',(0.,0.,1.)); #411411=DIRECTION('',(-1.,0.,0.)); #411412=DIRECTION('',(0.,0.,1.)); #411413=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #411414=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #411415=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #411416=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #411417=DIRECTION('',(0.,0.,1.)); #411418=DIRECTION('center_axis',(-1.,0.,0.)); #411419=DIRECTION('ref_axis',(0.,-1.,0.)); #411420=DIRECTION('',(0.,-1.,0.)); #411421=DIRECTION('',(0.,-1.,0.)); #411422=DIRECTION('',(0.,0.,1.)); #411423=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411424=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411425=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411426=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #411427=DIRECTION('',(0.,0.,1.)); #411428=DIRECTION('center_axis',(0.,-1.,0.)); #411429=DIRECTION('ref_axis',(1.,0.,0.)); #411430=DIRECTION('',(1.,0.,0.)); #411431=DIRECTION('',(1.,0.,0.)); #411432=DIRECTION('',(0.,0.,1.)); #411433=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411434=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411435=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411436=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #411437=DIRECTION('',(0.,0.,1.)); #411438=DIRECTION('center_axis',(1.,0.,0.)); #411439=DIRECTION('ref_axis',(0.,1.,0.)); #411440=DIRECTION('',(0.,1.,0.)); #411441=DIRECTION('',(0.,1.,0.)); #411442=DIRECTION('',(0.,0.,1.)); #411443=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #411444=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #411445=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #411446=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #411447=DIRECTION('center_axis',(0.,1.,0.)); #411448=DIRECTION('ref_axis',(-1.,0.,0.)); #411449=DIRECTION('',(-1.,0.,0.)); #411450=DIRECTION('',(0.,0.,1.)); #411451=DIRECTION('',(-1.,0.,0.)); #411452=DIRECTION('',(0.,0.,1.)); #411453=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411454=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411455=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #411456=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #411457=DIRECTION('',(0.,0.,1.)); #411458=DIRECTION('center_axis',(-1.,0.,0.)); #411459=DIRECTION('ref_axis',(0.,-1.,0.)); #411460=DIRECTION('',(0.,-1.,0.)); #411461=DIRECTION('',(0.,-1.,0.)); #411462=DIRECTION('',(0.,0.,1.)); #411463=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #411464=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #411465=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #411466=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #411467=DIRECTION('',(0.,0.,1.)); #411468=DIRECTION('center_axis',(-0.829203833807295,-0.558946331949038, 0.)); #411469=DIRECTION('ref_axis',(0.558946331949038,-0.829203833807295,0.)); #411470=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #411471=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #411472=DIRECTION('',(0.,0.,1.)); #411473=DIRECTION('center_axis',(-0.980008419561378,-0.198956019232416, 0.)); #411474=DIRECTION('ref_axis',(0.198956019232416,-0.980008419561378,0.)); #411475=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #411476=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #411477=DIRECTION('',(0.,0.,1.)); #411478=DIRECTION('center_axis',(-1.,0.,0.)); #411479=DIRECTION('ref_axis',(0.,-1.,0.)); #411480=DIRECTION('',(0.,-1.,0.)); #411481=DIRECTION('',(0.,-1.,0.)); #411482=DIRECTION('',(0.,0.,1.)); #411483=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #411484=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #411485=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #411486=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #411487=DIRECTION('',(0.,0.,1.)); #411488=DIRECTION('center_axis',(0.,-1.,0.)); #411489=DIRECTION('ref_axis',(1.,0.,0.)); #411490=DIRECTION('',(1.,0.,0.)); #411491=DIRECTION('',(1.,0.,0.)); #411492=DIRECTION('',(0.,0.,1.)); #411493=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #411494=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #411495=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #411496=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #411497=DIRECTION('',(0.,0.,1.)); #411498=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #411499=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #411500=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #411501=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #411502=DIRECTION('',(0.,0.,1.)); #411503=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #411504=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #411505=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #411506=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #411507=DIRECTION('',(0.,0.,1.)); #411508=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #411509=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #411510=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #411511=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #411512=DIRECTION('',(0.,0.,1.)); #411513=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #411514=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #411515=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #411516=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #411517=DIRECTION('',(0.,0.,1.)); #411518=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #411519=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #411520=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #411521=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #411522=DIRECTION('',(0.,0.,1.)); #411523=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #411524=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #411525=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #411526=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #411527=DIRECTION('',(0.,0.,1.)); #411528=DIRECTION('center_axis',(-0.707109234974277,0.707104327390303,0.)); #411529=DIRECTION('ref_axis',(-0.707104327390303,-0.707109234974277,0.)); #411530=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #411531=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #411532=DIRECTION('',(0.,0.,1.)); #411533=DIRECTION('center_axis',(-1.,0.,0.)); #411534=DIRECTION('ref_axis',(0.,-1.,0.)); #411535=DIRECTION('',(0.,-1.,0.)); #411536=DIRECTION('',(0.,-1.,0.)); #411537=DIRECTION('',(0.,0.,1.)); #411538=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411539=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411540=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411541=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411542=DIRECTION('',(0.,0.,1.)); #411543=DIRECTION('center_axis',(0.,-1.,0.)); #411544=DIRECTION('ref_axis',(1.,0.,0.)); #411545=DIRECTION('',(1.,0.,0.)); #411546=DIRECTION('',(1.,0.,0.)); #411547=DIRECTION('',(0.,0.,1.)); #411548=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411549=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411550=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411551=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411552=DIRECTION('',(0.,0.,1.)); #411553=DIRECTION('center_axis',(1.,0.,0.)); #411554=DIRECTION('ref_axis',(0.,1.,0.)); #411555=DIRECTION('',(0.,1.,0.)); #411556=DIRECTION('',(0.,1.,0.)); #411557=DIRECTION('',(0.,0.,1.)); #411558=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #411559=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #411560=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #411561=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #411562=DIRECTION('',(0.,0.,1.)); #411563=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #411564=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #411565=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411566=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411567=DIRECTION('',(0.,0.,1.)); #411568=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #411569=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #411570=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #411571=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #411572=DIRECTION('',(0.,0.,1.)); #411573=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #411574=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #411575=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411576=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411577=DIRECTION('',(0.,0.,1.)); #411578=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #411579=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #411580=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #411581=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #411582=DIRECTION('',(0.,0.,1.)); #411583=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #411584=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #411585=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #411586=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #411587=DIRECTION('',(0.,0.,1.)); #411588=DIRECTION('center_axis',(1.,0.,0.)); #411589=DIRECTION('ref_axis',(0.,1.,0.)); #411590=DIRECTION('',(0.,1.,0.)); #411591=DIRECTION('',(0.,1.,0.)); #411592=DIRECTION('',(0.,0.,1.)); #411593=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #411594=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #411595=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #411596=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #411597=DIRECTION('',(0.,0.,1.)); #411598=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #411599=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #411600=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411601=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #411602=DIRECTION('',(0.,0.,1.)); #411603=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #411604=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #411605=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #411606=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #411607=DIRECTION('',(0.,0.,1.)); #411608=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #411609=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #411610=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411611=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #411612=DIRECTION('',(0.,0.,1.)); #411613=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #411614=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #411615=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #411616=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #411617=DIRECTION('',(0.,0.,1.)); #411618=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #411619=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #411620=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #411621=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #411622=DIRECTION('',(0.,0.,1.)); #411623=DIRECTION('center_axis',(1.,0.,0.)); #411624=DIRECTION('ref_axis',(0.,1.,0.)); #411625=DIRECTION('',(0.,1.,0.)); #411626=DIRECTION('',(0.,1.,0.)); #411627=DIRECTION('',(0.,0.,1.)); #411628=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411629=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411630=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411631=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411632=DIRECTION('',(0.,0.,1.)); #411633=DIRECTION('center_axis',(0.,1.,0.)); #411634=DIRECTION('ref_axis',(-1.,0.,0.)); #411635=DIRECTION('',(-1.,0.,0.)); #411636=DIRECTION('',(-1.,0.,0.)); #411637=DIRECTION('',(0.,0.,1.)); #411638=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411639=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411640=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #411641=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #411642=DIRECTION('',(0.,0.,1.)); #411643=DIRECTION('center_axis',(-1.,0.,0.)); #411644=DIRECTION('ref_axis',(0.,-1.,0.)); #411645=DIRECTION('',(0.,-1.,0.)); #411646=DIRECTION('',(0.,-1.,0.)); #411647=DIRECTION('',(0.,0.,1.)); #411648=DIRECTION('center_axis',(-0.707109234974277,-0.707104327390303, 0.)); #411649=DIRECTION('ref_axis',(0.707104327390303,-0.707109234974277,0.)); #411650=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #411651=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #411652=DIRECTION('',(0.,0.,1.)); #411653=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #411654=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #411655=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #411656=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #411657=DIRECTION('',(0.,0.,1.)); #411658=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #411659=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #411660=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #411661=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #411662=DIRECTION('',(0.,0.,1.)); #411663=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #411664=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #411665=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #411666=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #411667=DIRECTION('',(0.,0.,1.)); #411668=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #411669=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #411670=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #411671=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #411672=DIRECTION('',(0.,0.,1.)); #411673=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #411674=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #411675=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #411676=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #411677=DIRECTION('',(0.,0.,1.)); #411678=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #411679=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #411680=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #411681=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #411682=DIRECTION('',(0.,0.,1.)); #411683=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #411684=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #411685=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #411686=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #411687=DIRECTION('',(0.,0.,1.)); #411688=DIRECTION('center_axis',(0.,1.,0.)); #411689=DIRECTION('ref_axis',(-1.,0.,0.)); #411690=DIRECTION('',(-1.,0.,0.)); #411691=DIRECTION('',(-1.,0.,0.)); #411692=DIRECTION('',(0.,0.,1.)); #411693=DIRECTION('center_axis',(0.1911498569289,0.98156086525292,0.)); #411694=DIRECTION('ref_axis',(-0.98156086525292,0.1911498569289,0.)); #411695=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #411696=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #411697=DIRECTION('',(0.,0.,1.)); #411698=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #411699=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #411700=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #411701=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #411702=DIRECTION('',(0.,0.,1.)); #411703=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #411704=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #411705=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #411706=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #411707=DIRECTION('',(0.,0.,1.)); #411708=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #411709=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #411710=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #411711=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #411712=DIRECTION('',(0.,0.,1.)); #411713=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #411714=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #411715=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411716=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411717=DIRECTION('',(0.,0.,1.)); #411718=DIRECTION('center_axis',(1.,0.,0.)); #411719=DIRECTION('ref_axis',(0.,1.,0.)); #411720=DIRECTION('',(0.,1.,0.)); #411721=DIRECTION('',(0.,1.,0.)); #411722=DIRECTION('',(0.,0.,1.)); #411723=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #411724=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #411725=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411726=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #411727=DIRECTION('',(0.,0.,1.)); #411728=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #411729=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #411730=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411731=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #411732=DIRECTION('',(0.,0.,1.)); #411733=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #411734=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #411735=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #411736=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #411737=DIRECTION('',(0.,0.,1.)); #411738=DIRECTION('center_axis',(1.,0.,0.)); #411739=DIRECTION('ref_axis',(0.,1.,0.)); #411740=DIRECTION('',(0.,1.,0.)); #411741=DIRECTION('',(0.,1.,0.)); #411742=DIRECTION('',(0.,0.,1.)); #411743=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411744=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411745=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411746=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411747=DIRECTION('center_axis',(0.,1.,0.)); #411748=DIRECTION('ref_axis',(-1.,0.,0.)); #411749=DIRECTION('',(-1.,0.,0.)); #411750=DIRECTION('',(0.,0.,1.)); #411751=DIRECTION('',(-1.,0.,0.)); #411752=DIRECTION('',(0.,0.,1.)); #411753=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #411754=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #411755=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #411756=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #411757=DIRECTION('',(0.,0.,1.)); #411758=DIRECTION('center_axis',(-1.,0.,0.)); #411759=DIRECTION('ref_axis',(0.,-1.,0.)); #411760=DIRECTION('',(0.,-1.,0.)); #411761=DIRECTION('',(0.,-1.,0.)); #411762=DIRECTION('',(0.,0.,1.)); #411763=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #411764=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #411765=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #411766=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #411767=DIRECTION('',(0.,0.,1.)); #411768=DIRECTION('center_axis',(0.,-1.,0.)); #411769=DIRECTION('ref_axis',(1.,0.,0.)); #411770=DIRECTION('',(1.,0.,0.)); #411771=DIRECTION('',(1.,0.,0.)); #411772=DIRECTION('',(0.,0.,1.)); #411773=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #411774=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #411775=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #411776=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #411777=DIRECTION('',(0.,0.,1.)); #411778=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #411779=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #411780=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #411781=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #411782=DIRECTION('',(0.,0.,1.)); #411783=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #411784=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #411785=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #411786=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #411787=DIRECTION('',(0.,0.,1.)); #411788=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #411789=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #411790=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #411791=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #411792=DIRECTION('',(0.,0.,1.)); #411793=DIRECTION('center_axis',(-1.,0.,0.)); #411794=DIRECTION('ref_axis',(0.,-1.,0.)); #411795=DIRECTION('',(0.,-1.,0.)); #411796=DIRECTION('',(0.,-1.,0.)); #411797=DIRECTION('',(0.,0.,1.)); #411798=DIRECTION('center_axis',(-0.707106781186555,-0.707106781186541, 0.)); #411799=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186554,0.)); #411800=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #411801=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #411802=DIRECTION('',(0.,0.,1.)); #411803=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #411804=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #411805=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #411806=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #411807=DIRECTION('',(0.,0.,1.)); #411808=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #411809=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #411810=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411811=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #411812=DIRECTION('',(0.,0.,1.)); #411813=DIRECTION('center_axis',(-1.,0.,0.)); #411814=DIRECTION('ref_axis',(0.,-1.,0.)); #411815=DIRECTION('',(0.,-1.,0.)); #411816=DIRECTION('',(0.,-1.,0.)); #411817=DIRECTION('',(0.,0.,1.)); #411818=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #411819=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #411820=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #411821=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #411822=DIRECTION('',(0.,0.,1.)); #411823=DIRECTION('center_axis',(0.,-1.,0.)); #411824=DIRECTION('ref_axis',(1.,0.,0.)); #411825=DIRECTION('',(1.,0.,0.)); #411826=DIRECTION('',(1.,0.,0.)); #411827=DIRECTION('',(0.,0.,1.)); #411828=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #411829=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #411830=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #411831=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #411832=DIRECTION('',(0.,0.,1.)); #411833=DIRECTION('center_axis',(1.,0.,0.)); #411834=DIRECTION('ref_axis',(0.,1.,0.)); #411835=DIRECTION('',(0.,1.,0.)); #411836=DIRECTION('',(0.,1.,0.)); #411837=DIRECTION('',(0.,0.,1.)); #411838=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #411839=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #411840=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #411841=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #411842=DIRECTION('',(0.,0.,1.)); #411843=DIRECTION('center_axis',(0.,1.,0.)); #411844=DIRECTION('ref_axis',(-1.,0.,0.)); #411845=DIRECTION('',(-1.,0.,0.)); #411846=DIRECTION('',(-1.,0.,0.)); #411847=DIRECTION('',(0.,0.,1.)); #411848=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #411849=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #411850=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #411851=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #411852=DIRECTION('',(0.,0.,1.)); #411853=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #411854=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #411855=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #411856=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #411857=DIRECTION('',(0.,0.,1.)); #411858=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411859=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411860=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411861=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #411862=DIRECTION('',(0.,0.,1.)); #411863=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #411864=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #411865=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #411866=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #411867=DIRECTION('',(0.,0.,1.)); #411868=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #411869=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #411870=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411871=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #411872=DIRECTION('',(0.,0.,1.)); #411873=DIRECTION('center_axis',(1.,0.,0.)); #411874=DIRECTION('ref_axis',(0.,1.,0.)); #411875=DIRECTION('',(0.,1.,0.)); #411876=DIRECTION('',(0.,1.,0.)); #411877=DIRECTION('',(0.,0.,1.)); #411878=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #411879=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #411880=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #411881=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #411882=DIRECTION('',(0.,0.,1.)); #411883=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #411884=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #411885=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #411886=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #411887=DIRECTION('',(0.,0.,1.)); #411888=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #411889=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #411890=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #411891=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #411892=DIRECTION('',(0.,0.,1.)); #411893=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #411894=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #411895=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #411896=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #411897=DIRECTION('',(0.,0.,1.)); #411898=DIRECTION('center_axis',(0.,-1.,0.)); #411899=DIRECTION('ref_axis',(1.,0.,0.)); #411900=DIRECTION('',(1.,0.,0.)); #411901=DIRECTION('',(1.,0.,0.)); #411902=DIRECTION('',(0.,0.,1.)); #411903=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #411904=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #411905=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #411906=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #411907=DIRECTION('',(0.,0.,1.)); #411908=DIRECTION('center_axis',(1.,0.,0.)); #411909=DIRECTION('ref_axis',(0.,1.,0.)); #411910=DIRECTION('',(0.,1.,0.)); #411911=DIRECTION('',(0.,1.,0.)); #411912=DIRECTION('',(0.,0.,1.)); #411913=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #411914=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #411915=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #411916=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #411917=DIRECTION('center_axis',(0.,1.,0.)); #411918=DIRECTION('ref_axis',(-1.,0.,0.)); #411919=DIRECTION('',(-1.,0.,0.)); #411920=DIRECTION('',(0.,0.,1.)); #411921=DIRECTION('',(-1.,0.,0.)); #411922=DIRECTION('',(0.,0.,1.)); #411923=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #411924=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #411925=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #411926=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #411927=DIRECTION('',(0.,0.,1.)); #411928=DIRECTION('center_axis',(-1.,0.,0.)); #411929=DIRECTION('ref_axis',(0.,-1.,0.)); #411930=DIRECTION('',(0.,-1.,0.)); #411931=DIRECTION('',(0.,-1.,0.)); #411932=DIRECTION('',(0.,0.,1.)); #411933=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #411934=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #411935=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #411936=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #411937=DIRECTION('',(0.,0.,1.)); #411938=DIRECTION('center_axis',(0.,-1.,0.)); #411939=DIRECTION('ref_axis',(1.,0.,0.)); #411940=DIRECTION('',(1.,0.,0.)); #411941=DIRECTION('',(1.,0.,0.)); #411942=DIRECTION('',(0.,0.,1.)); #411943=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #411944=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #411945=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #411946=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #411947=DIRECTION('',(0.,0.,1.)); #411948=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #411949=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #411950=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #411951=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #411952=DIRECTION('',(0.,0.,1.)); #411953=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #411954=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #411955=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #411956=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #411957=DIRECTION('',(0.,0.,1.)); #411958=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #411959=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #411960=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #411961=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #411962=DIRECTION('',(0.,0.,1.)); #411963=DIRECTION('center_axis',(-1.,0.,0.)); #411964=DIRECTION('ref_axis',(0.,-1.,0.)); #411965=DIRECTION('',(0.,-1.,0.)); #411966=DIRECTION('',(0.,-1.,0.)); #411967=DIRECTION('',(0.,0.,1.)); #411968=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #411969=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #411970=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #411971=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #411972=DIRECTION('',(0.,0.,1.)); #411973=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #411974=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #411975=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #411976=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #411977=DIRECTION('',(0.,0.,1.)); #411978=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #411979=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #411980=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411981=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #411982=DIRECTION('',(0.,0.,1.)); #411983=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #411984=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #411985=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #411986=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #411987=DIRECTION('',(0.,0.,1.)); #411988=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #411989=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #411990=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #411991=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #411992=DIRECTION('',(0.,0.,1.)); #411993=DIRECTION('center_axis',(0.,1.,0.)); #411994=DIRECTION('ref_axis',(-1.,0.,0.)); #411995=DIRECTION('',(-1.,0.,0.)); #411996=DIRECTION('',(-1.,0.,0.)); #411997=DIRECTION('',(0.,0.,1.)); #411998=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #411999=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #412000=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412001=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412002=DIRECTION('',(0.,0.,1.)); #412003=DIRECTION('center_axis',(-1.,0.,0.)); #412004=DIRECTION('ref_axis',(0.,-1.,0.)); #412005=DIRECTION('',(0.,-1.,0.)); #412006=DIRECTION('',(0.,-1.,0.)); #412007=DIRECTION('',(0.,0.,1.)); #412008=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #412009=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #412010=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #412011=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #412012=DIRECTION('',(0.,0.,1.)); #412013=DIRECTION('center_axis',(0.,-1.,0.)); #412014=DIRECTION('ref_axis',(1.,0.,0.)); #412015=DIRECTION('',(1.,0.,0.)); #412016=DIRECTION('',(1.,0.,0.)); #412017=DIRECTION('',(0.,0.,1.)); #412018=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #412019=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #412020=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #412021=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #412022=DIRECTION('',(0.,0.,1.)); #412023=DIRECTION('center_axis',(1.,0.,0.)); #412024=DIRECTION('ref_axis',(0.,1.,0.)); #412025=DIRECTION('',(0.,1.,0.)); #412026=DIRECTION('',(0.,1.,0.)); #412027=DIRECTION('',(0.,0.,1.)); #412028=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #412029=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #412030=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #412031=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #412032=DIRECTION('',(0.,0.,1.)); #412033=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #412034=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #412035=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #412036=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #412037=DIRECTION('',(0.,0.,1.)); #412038=DIRECTION('center_axis',(0.707106781186555,-0.707106781186541,0.)); #412039=DIRECTION('ref_axis',(0.70710678118654,0.707106781186554,0.)); #412040=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #412041=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #412042=DIRECTION('',(0.,0.,1.)); #412043=DIRECTION('center_axis',(1.,0.,0.)); #412044=DIRECTION('ref_axis',(0.,1.,0.)); #412045=DIRECTION('',(0.,1.,0.)); #412046=DIRECTION('',(0.,1.,0.)); #412047=DIRECTION('',(0.,0.,1.)); #412048=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #412049=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #412050=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #412051=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #412052=DIRECTION('',(0.,0.,1.)); #412053=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #412054=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #412055=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #412056=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #412057=DIRECTION('',(0.,0.,1.)); #412058=DIRECTION('center_axis',(0.565504004714826,-0.82474554903406,0.)); #412059=DIRECTION('ref_axis',(0.82474554903406,0.565504004714826,0.)); #412060=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #412061=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #412062=DIRECTION('',(0.,0.,1.)); #412063=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #412064=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #412065=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #412066=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #412067=DIRECTION('',(0.,0.,1.)); #412068=DIRECTION('center_axis',(0.,-1.,0.)); #412069=DIRECTION('ref_axis',(1.,0.,0.)); #412070=DIRECTION('',(1.,0.,0.)); #412071=DIRECTION('',(1.,0.,0.)); #412072=DIRECTION('',(0.,0.,1.)); #412073=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #412074=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #412075=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #412076=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #412077=DIRECTION('',(0.,0.,1.)); #412078=DIRECTION('center_axis',(1.,0.,0.)); #412079=DIRECTION('ref_axis',(0.,1.,0.)); #412080=DIRECTION('',(0.,1.,0.)); #412081=DIRECTION('',(0.,1.,0.)); #412082=DIRECTION('',(0.,0.,1.)); #412083=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #412084=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #412085=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #412086=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #412087=DIRECTION('center_axis',(0.,1.,0.)); #412088=DIRECTION('ref_axis',(-1.,0.,0.)); #412089=DIRECTION('',(-1.,0.,0.)); #412090=DIRECTION('',(0.,0.,1.)); #412091=DIRECTION('',(-1.,0.,0.)); #412092=DIRECTION('',(0.,0.,1.)); #412093=DIRECTION('center_axis',(-0.105885061288576,0.994378375567326,0.)); #412094=DIRECTION('ref_axis',(-0.994378375567326,-0.105885061288576,0.)); #412095=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #412096=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #412097=DIRECTION('',(0.,0.,1.)); #412098=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #412099=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #412100=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #412101=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #412102=DIRECTION('',(0.,0.,1.)); #412103=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #412104=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #412105=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #412106=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #412107=DIRECTION('',(0.,0.,1.)); #412108=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #412109=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #412110=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #412111=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #412112=DIRECTION('',(0.,0.,1.)); #412113=DIRECTION('center_axis',(-0.707108223597805,0.707105338772347,0.)); #412114=DIRECTION('ref_axis',(-0.707105338772347,-0.707108223597805,0.)); #412115=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #412116=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #412117=DIRECTION('',(0.,0.,1.)); #412118=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #412119=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #412120=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #412121=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #412122=DIRECTION('',(0.,0.,1.)); #412123=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #412124=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #412125=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #412126=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #412127=DIRECTION('',(0.,0.,1.)); #412128=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #412129=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #412130=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #412131=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #412132=DIRECTION('',(0.,0.,1.)); #412133=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #412134=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #412135=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #412136=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #412137=DIRECTION('',(0.,0.,1.)); #412138=DIRECTION('center_axis',(-1.,0.,0.)); #412139=DIRECTION('ref_axis',(0.,-1.,0.)); #412140=DIRECTION('',(0.,-1.,0.)); #412141=DIRECTION('',(0.,-1.,0.)); #412142=DIRECTION('',(0.,0.,1.)); #412143=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #412144=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #412145=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #412146=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #412147=DIRECTION('',(0.,0.,1.)); #412148=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #412149=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #412150=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #412151=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #412152=DIRECTION('',(0.,0.,1.)); #412153=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #412154=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #412155=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #412156=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #412157=DIRECTION('',(0.,0.,1.)); #412158=DIRECTION('center_axis',(-0.757781125399967,-0.652508824451868, 0.)); #412159=DIRECTION('ref_axis',(0.652508824451868,-0.757781125399967,0.)); #412160=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #412161=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #412162=DIRECTION('',(0.,0.,1.)); #412163=DIRECTION('center_axis',(-0.707105338772335,-0.707108223597818, 0.)); #412164=DIRECTION('ref_axis',(0.707108223597818,-0.707105338772335,0.)); #412165=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #412166=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #412167=DIRECTION('',(0.,0.,1.)); #412168=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #412169=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #412170=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #412171=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #412172=DIRECTION('',(0.,0.,1.)); #412173=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #412174=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #412175=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #412176=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #412177=DIRECTION('',(0.,0.,1.)); #412178=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #412179=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #412180=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #412181=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #412182=DIRECTION('',(0.,0.,1.)); #412183=DIRECTION('center_axis',(-0.0742706898420416,-0.997238118319986, 0.)); #412184=DIRECTION('ref_axis',(0.997238118319986,-0.0742706898420416,0.)); #412185=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #412186=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #412187=DIRECTION('',(0.,0.,1.)); #412188=DIRECTION('center_axis',(0.,-1.,0.)); #412189=DIRECTION('ref_axis',(1.,0.,0.)); #412190=DIRECTION('',(1.,0.,0.)); #412191=DIRECTION('',(1.,0.,0.)); #412192=DIRECTION('',(0.,0.,1.)); #412193=DIRECTION('center_axis',(0.105885061288526,-0.994378375567332,0.)); #412194=DIRECTION('ref_axis',(0.994378375567332,0.105885061288526,0.)); #412195=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #412196=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #412197=DIRECTION('',(0.,0.,1.)); #412198=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #412199=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #412200=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #412201=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #412202=DIRECTION('',(0.,0.,1.)); #412203=DIRECTION('center_axis',(0.506114900434707,-0.862466061684729,0.)); #412204=DIRECTION('ref_axis',(0.862466061684729,0.506114900434707,0.)); #412205=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #412206=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #412207=DIRECTION('',(0.,0.,1.)); #412208=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #412209=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #412210=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #412211=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #412212=DIRECTION('',(0.,0.,1.)); #412213=DIRECTION('center_axis',(0.707108223597812,-0.707105338772341,0.)); #412214=DIRECTION('ref_axis',(0.707105338772341,0.707108223597812,0.)); #412215=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #412216=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #412217=DIRECTION('',(0.,0.,1.)); #412218=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #412219=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #412220=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #412221=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #412222=DIRECTION('',(0.,0.,1.)); #412223=DIRECTION('center_axis',(0.892938781493025,-0.450178111979861,0.)); #412224=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #412225=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #412226=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #412227=DIRECTION('',(0.,0.,1.)); #412228=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #412229=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #412230=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #412231=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #412232=DIRECTION('',(0.,0.,1.)); #412233=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #412234=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #412235=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #412236=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #412237=DIRECTION('',(0.,0.,1.)); #412238=DIRECTION('center_axis',(1.,0.,0.)); #412239=DIRECTION('ref_axis',(0.,1.,0.)); #412240=DIRECTION('',(0.,1.,0.)); #412241=DIRECTION('',(0.,1.,0.)); #412242=DIRECTION('',(0.,0.,1.)); #412243=DIRECTION('center_axis',(0.99437837556735,0.105885061288354,0.)); #412244=DIRECTION('ref_axis',(-0.105885061288354,0.99437837556735,0.)); #412245=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #412246=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #412247=DIRECTION('',(0.,0.,1.)); #412248=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #412249=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #412250=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #412251=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #412252=DIRECTION('',(0.,0.,1.)); #412253=DIRECTION('center_axis',(0.86247737068662,0.50609562836829,0.)); #412254=DIRECTION('ref_axis',(-0.50609562836829,0.86247737068662,0.)); #412255=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #412256=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #412257=DIRECTION('',(0.,0.,1.)); #412258=DIRECTION('center_axis',(0.757781125399535,0.65250882445237,0.)); #412259=DIRECTION('ref_axis',(-0.65250882445237,0.757781125399535,0.)); #412260=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #412261=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #412262=DIRECTION('',(0.,0.,1.)); #412263=DIRECTION('center_axis',(0.707105338772344,0.707108223597809,0.)); #412264=DIRECTION('ref_axis',(-0.707108223597808,0.707105338772344,0.)); #412265=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #412266=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #412267=DIRECTION('',(0.,0.,1.)); #412268=DIRECTION('center_axis',(0.628203826084731,0.778048811381718,0.)); #412269=DIRECTION('ref_axis',(-0.778048811381718,0.628203826084731,0.)); #412270=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #412271=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #412272=DIRECTION('',(0.,0.,1.)); #412273=DIRECTION('center_axis',(0.450178111979944,0.892938781492983,0.)); #412274=DIRECTION('ref_axis',(-0.892938781492983,0.450178111979944,0.)); #412275=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #412276=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #412277=DIRECTION('',(0.,0.,1.)); #412278=DIRECTION('center_axis',(0.252249312357822,0.967662278077949,0.)); #412279=DIRECTION('ref_axis',(-0.967662278077949,0.252249312357821,0.)); #412280=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #412281=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #412282=DIRECTION('',(0.,0.,1.)); #412283=DIRECTION('center_axis',(0.0742706898419095,0.997238118319996,0.)); #412284=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419095,0.)); #412285=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #412286=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #412287=DIRECTION('center_axis',(0.,1.,0.)); #412288=DIRECTION('ref_axis',(-1.,0.,0.)); #412289=DIRECTION('',(-1.,0.,0.)); #412290=DIRECTION('',(0.,0.,1.)); #412291=DIRECTION('',(-1.,0.,0.)); #412292=DIRECTION('',(0.,0.,1.)); #412293=DIRECTION('center_axis',(-0.382685866754958,0.92387852415023,0.)); #412294=DIRECTION('ref_axis',(-0.92387852415023,-0.382685866754958,0.)); #412295=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #412296=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #412297=DIRECTION('',(0.,0.,1.)); #412298=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #412299=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #412300=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #412301=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #412302=DIRECTION('',(0.,0.,1.)); #412303=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #412304=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #412305=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #412306=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #412307=DIRECTION('',(0.,0.,1.)); #412308=DIRECTION('center_axis',(-1.,0.,0.)); #412309=DIRECTION('ref_axis',(0.,-1.,0.)); #412310=DIRECTION('',(0.,-1.,0.)); #412311=DIRECTION('',(0.,-1.,0.)); #412312=DIRECTION('',(0.,0.,1.)); #412313=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #412314=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #412315=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #412316=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #412317=DIRECTION('',(0.,0.,1.)); #412318=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #412319=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #412320=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #412321=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #412322=DIRECTION('',(0.,0.,1.)); #412323=DIRECTION('center_axis',(-0.382685866754976,-0.923878524150222, 0.)); #412324=DIRECTION('ref_axis',(0.923878524150222,-0.382685866754976,0.)); #412325=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #412326=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #412327=DIRECTION('',(0.,0.,1.)); #412328=DIRECTION('center_axis',(0.,-1.,0.)); #412329=DIRECTION('ref_axis',(1.,0.,0.)); #412330=DIRECTION('',(1.,0.,0.)); #412331=DIRECTION('',(1.,0.,0.)); #412332=DIRECTION('',(0.,0.,1.)); #412333=DIRECTION('center_axis',(0.382685022283815,-0.923878873943785,0.)); #412334=DIRECTION('ref_axis',(0.923878873943785,0.382685022283815,0.)); #412335=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #412336=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #412337=DIRECTION('',(0.,0.,1.)); #412338=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #412339=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #412340=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #412341=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #412342=DIRECTION('',(0.,0.,1.)); #412343=DIRECTION('center_axis',(0.923878524150223,-0.382685866754975,0.)); #412344=DIRECTION('ref_axis',(0.382685866754975,0.923878524150223,0.)); #412345=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #412346=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #412347=DIRECTION('',(0.,0.,1.)); #412348=DIRECTION('center_axis',(1.,0.,0.)); #412349=DIRECTION('ref_axis',(0.,1.,0.)); #412350=DIRECTION('',(0.,1.,0.)); #412351=DIRECTION('',(0.,1.,0.)); #412352=DIRECTION('',(0.,0.,1.)); #412353=DIRECTION('center_axis',(0.923878524150226,0.382685866754967,0.)); #412354=DIRECTION('ref_axis',(-0.382685866754967,0.923878524150226,0.)); #412355=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #412356=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #412357=DIRECTION('',(0.,0.,1.)); #412358=DIRECTION('center_axis',(0.707107975447159,0.707105586923919,0.)); #412359=DIRECTION('ref_axis',(-0.707105586923919,0.707107975447159,0.)); #412360=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #412361=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #412362=DIRECTION('',(0.,0.,1.)); #412363=DIRECTION('center_axis',(0.382685022283797,0.923878873943793,0.)); #412364=DIRECTION('ref_axis',(-0.923878873943792,0.382685022283797,0.)); #412365=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #412366=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #412367=DIRECTION('center_axis',(0.,1.,0.)); #412368=DIRECTION('ref_axis',(-1.,0.,0.)); #412369=DIRECTION('',(-1.,0.,0.)); #412370=DIRECTION('',(0.,0.,1.)); #412371=DIRECTION('',(-1.,0.,0.)); #412372=DIRECTION('',(0.,0.,1.)); #412373=DIRECTION('center_axis',(-0.707105069761834,0.707108492607118,0.)); #412374=DIRECTION('ref_axis',(-0.707108492607118,-0.707105069761834,0.)); #412375=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #412376=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #412377=DIRECTION('',(0.,0.,1.)); #412378=DIRECTION('center_axis',(-0.56543933110131,0.824789890119722,0.)); #412379=DIRECTION('ref_axis',(-0.824789890119722,-0.56543933110131,0.)); #412380=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #412381=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #412382=DIRECTION('',(0.,0.,1.)); #412383=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #412384=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #412385=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #412386=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #412387=DIRECTION('',(0.,0.,1.)); #412388=DIRECTION('center_axis',(0.183334818573602,0.983050529880628,0.)); #412389=DIRECTION('ref_axis',(-0.983050529880628,0.183334818573602,0.)); #412390=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #412391=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #412392=DIRECTION('',(0.,0.,1.)); #412393=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #412394=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #412395=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412396=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412397=DIRECTION('',(0.,0.,1.)); #412398=DIRECTION('center_axis',(0.707105069761834,0.707108492607118,0.)); #412399=DIRECTION('ref_axis',(-0.707108492607118,0.707105069761834,0.)); #412400=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #412401=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #412402=DIRECTION('',(0.,0.,1.)); #412403=DIRECTION('center_axis',(0.,1.,0.)); #412404=DIRECTION('ref_axis',(-1.,0.,0.)); #412405=DIRECTION('',(-1.,0.,0.)); #412406=DIRECTION('',(-1.,0.,0.)); #412407=DIRECTION('',(0.,0.,1.)); #412408=DIRECTION('center_axis',(-0.707104777838369,0.707108784529051,0.)); #412409=DIRECTION('ref_axis',(-0.707108784529051,-0.707104777838369,0.)); #412410=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #412411=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #412412=DIRECTION('',(0.,0.,1.)); #412413=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #412414=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #412415=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #412416=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #412417=DIRECTION('',(0.,0.,1.)); #412418=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #412419=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #412420=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #412421=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #412422=DIRECTION('',(0.,0.,1.)); #412423=DIRECTION('center_axis',(0.,1.,0.)); #412424=DIRECTION('ref_axis',(-1.,0.,0.)); #412425=DIRECTION('',(-1.,0.,0.)); #412426=DIRECTION('',(-1.,0.,0.)); #412427=DIRECTION('',(0.,0.,1.)); #412428=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412429=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412430=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #412431=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #412432=DIRECTION('',(0.,0.,1.)); #412433=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #412434=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #412435=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #412436=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #412437=DIRECTION('',(0.,0.,1.)); #412438=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #412439=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #412440=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #412441=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #412442=DIRECTION('',(0.,0.,1.)); #412443=DIRECTION('center_axis',(0.,1.,0.)); #412444=DIRECTION('ref_axis',(-1.,0.,0.)); #412445=DIRECTION('',(-1.,0.,0.)); #412446=DIRECTION('',(-1.,0.,0.)); #412447=DIRECTION('',(0.,0.,1.)); #412448=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412449=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412450=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412451=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412452=DIRECTION('',(0.,0.,1.)); #412453=DIRECTION('center_axis',(-1.,0.,0.)); #412454=DIRECTION('ref_axis',(0.,-1.,0.)); #412455=DIRECTION('',(0.,-1.,0.)); #412456=DIRECTION('',(0.,-1.,0.)); #412457=DIRECTION('',(0.,0.,1.)); #412458=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #412459=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #412460=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #412461=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #412462=DIRECTION('',(0.,0.,1.)); #412463=DIRECTION('center_axis',(0.,-1.,0.)); #412464=DIRECTION('ref_axis',(1.,0.,0.)); #412465=DIRECTION('',(1.,0.,0.)); #412466=DIRECTION('',(1.,0.,0.)); #412467=DIRECTION('',(0.,0.,1.)); #412468=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #412469=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #412470=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #412471=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #412472=DIRECTION('',(0.,0.,1.)); #412473=DIRECTION('center_axis',(1.,0.,0.)); #412474=DIRECTION('ref_axis',(0.,1.,0.)); #412475=DIRECTION('',(0.,1.,0.)); #412476=DIRECTION('',(0.,1.,0.)); #412477=DIRECTION('',(0.,0.,1.)); #412478=DIRECTION('center_axis',(0.981560865252914,-0.191149856928931,0.)); #412479=DIRECTION('ref_axis',(0.191149856928931,0.981560865252914,0.)); #412480=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #412481=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #412482=DIRECTION('',(0.,0.,1.)); #412483=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #412484=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #412485=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #412486=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #412487=DIRECTION('',(0.,0.,1.)); #412488=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #412489=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #412490=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #412491=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #412492=DIRECTION('',(0.,0.,1.)); #412493=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #412494=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #412495=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #412496=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #412497=DIRECTION('',(0.,0.,1.)); #412498=DIRECTION('center_axis',(0.19895601923267,-0.980008419561327,0.)); #412499=DIRECTION('ref_axis',(0.980008419561327,0.19895601923267,0.)); #412500=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #412501=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #412502=DIRECTION('',(0.,0.,1.)); #412503=DIRECTION('center_axis',(0.,-1.,0.)); #412504=DIRECTION('ref_axis',(1.,0.,0.)); #412505=DIRECTION('',(1.,0.,0.)); #412506=DIRECTION('',(1.,0.,0.)); #412507=DIRECTION('',(0.,0.,1.)); #412508=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #412509=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #412510=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #412511=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #412512=DIRECTION('',(0.,0.,1.)); #412513=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #412514=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #412515=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412516=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412517=DIRECTION('',(0.,0.,1.)); #412518=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #412519=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #412520=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #412521=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #412522=DIRECTION('',(0.,0.,1.)); #412523=DIRECTION('center_axis',(0.,-1.,0.)); #412524=DIRECTION('ref_axis',(1.,0.,0.)); #412525=DIRECTION('',(1.,0.,0.)); #412526=DIRECTION('',(1.,0.,0.)); #412527=DIRECTION('',(0.,0.,1.)); #412528=DIRECTION('center_axis',(0.707105069761834,-0.707108492607118,0.)); #412529=DIRECTION('ref_axis',(0.707108492607118,0.707105069761834,0.)); #412530=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #412531=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #412532=DIRECTION('',(0.,0.,1.)); #412533=DIRECTION('center_axis',(0.56543933110131,-0.824789890119722,0.)); #412534=DIRECTION('ref_axis',(0.824789890119722,0.56543933110131,0.)); #412535=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #412536=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #412537=DIRECTION('',(0.,0.,1.)); #412538=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #412539=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #412540=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #412541=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #412542=DIRECTION('',(0.,0.,1.)); #412543=DIRECTION('center_axis',(-0.183334818573602,-0.983050529880628, 0.)); #412544=DIRECTION('ref_axis',(0.983050529880628,-0.183334818573602,0.)); #412545=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #412546=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #412547=DIRECTION('',(0.,0.,1.)); #412548=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #412549=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #412550=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #412551=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #412552=DIRECTION('',(0.,0.,1.)); #412553=DIRECTION('center_axis',(-0.707105069761834,-0.707108492607118, 0.)); #412554=DIRECTION('ref_axis',(0.707108492607118,-0.707105069761834,0.)); #412555=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #412556=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #412557=DIRECTION('',(0.,0.,1.)); #412558=DIRECTION('center_axis',(0.,-1.,0.)); #412559=DIRECTION('ref_axis',(1.,0.,0.)); #412560=DIRECTION('',(1.,0.,0.)); #412561=DIRECTION('',(1.,0.,0.)); #412562=DIRECTION('',(0.,0.,1.)); #412563=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #412564=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #412565=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #412566=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #412567=DIRECTION('',(0.,0.,1.)); #412568=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #412569=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #412570=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #412571=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #412572=DIRECTION('',(0.,0.,1.)); #412573=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #412574=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #412575=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #412576=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #412577=DIRECTION('',(0.,0.,1.)); #412578=DIRECTION('center_axis',(0.,-1.,0.)); #412579=DIRECTION('ref_axis',(1.,0.,0.)); #412580=DIRECTION('',(1.,0.,0.)); #412581=DIRECTION('',(1.,0.,0.)); #412582=DIRECTION('',(0.,0.,1.)); #412583=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #412584=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #412585=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #412586=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #412587=DIRECTION('',(0.,0.,1.)); #412588=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #412589=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #412590=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #412591=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #412592=DIRECTION('',(0.,0.,1.)); #412593=DIRECTION('center_axis',(-0.815709411156713,-0.578461888589359, 0.)); #412594=DIRECTION('ref_axis',(0.578461888589359,-0.815709411156713,0.)); #412595=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #412596=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #412597=DIRECTION('',(0.,0.,1.)); #412598=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #412599=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #412600=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #412601=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #412602=DIRECTION('',(0.,0.,1.)); #412603=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #412604=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #412605=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #412606=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #412607=DIRECTION('',(0.,0.,1.)); #412608=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #412609=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #412610=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #412611=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #412612=DIRECTION('',(0.,0.,1.)); #412613=DIRECTION('center_axis',(-0.7071084311098,0.707105131259446,0.)); #412614=DIRECTION('ref_axis',(-0.707105131259446,-0.7071084311098,0.)); #412615=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #412616=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #412617=DIRECTION('',(0.,0.,1.)); #412618=DIRECTION('center_axis',(-1.,0.,0.)); #412619=DIRECTION('ref_axis',(0.,-1.,0.)); #412620=DIRECTION('',(0.,-1.,0.)); #412621=DIRECTION('',(0.,-1.,0.)); #412622=DIRECTION('',(0.,0.,1.)); #412623=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412624=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412625=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412626=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412627=DIRECTION('',(0.,0.,1.)); #412628=DIRECTION('center_axis',(0.,-1.,0.)); #412629=DIRECTION('ref_axis',(1.,0.,0.)); #412630=DIRECTION('',(1.,0.,0.)); #412631=DIRECTION('',(1.,0.,0.)); #412632=DIRECTION('',(0.,0.,1.)); #412633=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #412634=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #412635=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #412636=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #412637=DIRECTION('',(0.,0.,1.)); #412638=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #412639=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #412640=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #412641=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #412642=DIRECTION('',(0.,0.,1.)); #412643=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #412644=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #412645=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #412646=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #412647=DIRECTION('',(0.,0.,1.)); #412648=DIRECTION('center_axis',(0.,-1.,0.)); #412649=DIRECTION('ref_axis',(1.,0.,0.)); #412650=DIRECTION('',(1.,0.,0.)); #412651=DIRECTION('',(1.,0.,0.)); #412652=DIRECTION('',(0.,0.,1.)); #412653=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #412654=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #412655=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #412656=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #412657=DIRECTION('',(0.,0.,1.)); #412658=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #412659=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #412660=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412661=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #412662=DIRECTION('',(0.,0.,1.)); #412663=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412664=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412665=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412666=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #412667=DIRECTION('',(0.,0.,1.)); #412668=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949004, 0.)); #412669=DIRECTION('ref_axis',(0.558946331949004,-0.829203833807318,0.)); #412670=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #412671=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #412672=DIRECTION('',(0.,0.,1.)); #412673=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #412674=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #412675=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412676=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #412677=DIRECTION('',(0.,0.,1.)); #412678=DIRECTION('center_axis',(-1.,0.,0.)); #412679=DIRECTION('ref_axis',(0.,-1.,0.)); #412680=DIRECTION('',(0.,-1.,0.)); #412681=DIRECTION('',(0.,-1.,0.)); #412682=DIRECTION('',(0.,0.,1.)); #412683=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #412684=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #412685=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #412686=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #412687=DIRECTION('',(0.,0.,1.)); #412688=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #412689=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #412690=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #412691=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #412692=DIRECTION('',(0.,0.,1.)); #412693=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #412694=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #412695=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #412696=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #412697=DIRECTION('',(0.,0.,1.)); #412698=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #412699=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #412700=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #412701=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #412702=DIRECTION('',(0.,0.,1.)); #412703=DIRECTION('center_axis',(0.,1.,0.)); #412704=DIRECTION('ref_axis',(-1.,0.,0.)); #412705=DIRECTION('',(-1.,0.,0.)); #412706=DIRECTION('',(-1.,0.,0.)); #412707=DIRECTION('',(0.,0.,1.)); #412708=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #412709=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #412710=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #412711=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #412712=DIRECTION('',(0.,0.,1.)); #412713=DIRECTION('center_axis',(-1.,0.,0.)); #412714=DIRECTION('ref_axis',(0.,-1.,0.)); #412715=DIRECTION('',(0.,-1.,0.)); #412716=DIRECTION('',(0.,-1.,0.)); #412717=DIRECTION('',(0.,0.,1.)); #412718=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412719=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412720=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #412721=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #412722=DIRECTION('',(0.,0.,1.)); #412723=DIRECTION('center_axis',(0.,-1.,0.)); #412724=DIRECTION('ref_axis',(1.,0.,0.)); #412725=DIRECTION('',(1.,0.,0.)); #412726=DIRECTION('',(1.,0.,0.)); #412727=DIRECTION('',(0.,0.,1.)); #412728=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #412729=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #412730=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412731=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412732=DIRECTION('',(0.,0.,1.)); #412733=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #412734=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #412735=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412736=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #412737=DIRECTION('',(0.,0.,1.)); #412738=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #412739=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #412740=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #412741=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #412742=DIRECTION('',(0.,0.,1.)); #412743=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #412744=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #412745=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #412746=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #412747=DIRECTION('',(0.,0.,1.)); #412748=DIRECTION('center_axis',(-1.,0.,0.)); #412749=DIRECTION('ref_axis',(0.,-1.,0.)); #412750=DIRECTION('',(0.,-1.,0.)); #412751=DIRECTION('',(0.,-1.,0.)); #412752=DIRECTION('',(0.,0.,1.)); #412753=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #412754=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #412755=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412756=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #412757=DIRECTION('',(0.,0.,1.)); #412758=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #412759=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #412760=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #412761=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #412762=DIRECTION('',(0.,0.,1.)); #412763=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412764=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412765=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412766=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412767=DIRECTION('',(0.,0.,1.)); #412768=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #412769=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #412770=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #412771=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #412772=DIRECTION('',(0.,0.,1.)); #412773=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #412774=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #412775=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #412776=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #412777=DIRECTION('',(0.,0.,1.)); #412778=DIRECTION('center_axis',(0.,1.,0.)); #412779=DIRECTION('ref_axis',(-1.,0.,0.)); #412780=DIRECTION('',(-1.,0.,0.)); #412781=DIRECTION('',(-1.,0.,0.)); #412782=DIRECTION('',(0.,0.,1.)); #412783=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #412784=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #412785=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #412786=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #412787=DIRECTION('',(0.,0.,1.)); #412788=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #412789=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #412790=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #412791=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #412792=DIRECTION('',(0.,0.,1.)); #412793=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412794=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412795=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412796=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #412797=DIRECTION('',(0.,0.,1.)); #412798=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #412799=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #412800=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #412801=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #412802=DIRECTION('',(0.,0.,1.)); #412803=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #412804=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #412805=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #412806=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #412807=DIRECTION('',(0.,0.,1.)); #412808=DIRECTION('center_axis',(1.,0.,0.)); #412809=DIRECTION('ref_axis',(0.,1.,0.)); #412810=DIRECTION('',(0.,1.,0.)); #412811=DIRECTION('',(0.,1.,0.)); #412812=DIRECTION('',(0.,0.,1.)); #412813=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412814=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412815=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412816=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412817=DIRECTION('',(0.,0.,1.)); #412818=DIRECTION('center_axis',(0.,1.,0.)); #412819=DIRECTION('ref_axis',(-1.,0.,0.)); #412820=DIRECTION('',(-1.,0.,0.)); #412821=DIRECTION('',(-1.,0.,0.)); #412822=DIRECTION('',(0.,0.,1.)); #412823=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412824=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412825=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412826=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412827=DIRECTION('',(0.,0.,1.)); #412828=DIRECTION('center_axis',(-1.,0.,0.)); #412829=DIRECTION('ref_axis',(0.,-1.,0.)); #412830=DIRECTION('',(0.,-1.,0.)); #412831=DIRECTION('',(0.,-1.,0.)); #412832=DIRECTION('',(0.,0.,1.)); #412833=DIRECTION('center_axis',(-0.707110741027282,-0.707102821323638, 0.)); #412834=DIRECTION('ref_axis',(0.707102821323638,-0.707110741027282,0.)); #412835=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #412836=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #412837=DIRECTION('',(0.,0.,1.)); #412838=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #412839=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #412840=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #412841=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #412842=DIRECTION('',(0.,0.,1.)); #412843=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #412844=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #412845=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #412846=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #412847=DIRECTION('',(0.,0.,1.)); #412848=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #412849=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #412850=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #412851=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #412852=DIRECTION('',(0.,0.,1.)); #412853=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #412854=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #412855=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #412856=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #412857=DIRECTION('',(0.,0.,1.)); #412858=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #412859=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #412860=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #412861=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #412862=DIRECTION('',(0.,0.,1.)); #412863=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #412864=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #412865=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #412866=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #412867=DIRECTION('',(0.,0.,1.)); #412868=DIRECTION('center_axis',(0.,1.,0.)); #412869=DIRECTION('ref_axis',(-1.,0.,0.)); #412870=DIRECTION('',(-1.,0.,0.)); #412871=DIRECTION('',(-1.,0.,0.)); #412872=DIRECTION('',(0.,0.,1.)); #412873=DIRECTION('center_axis',(0.191149856928827,0.981560865252934,0.)); #412874=DIRECTION('ref_axis',(-0.981560865252934,0.191149856928827,0.)); #412875=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #412876=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #412877=DIRECTION('',(0.,0.,1.)); #412878=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #412879=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #412880=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412881=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #412882=DIRECTION('',(0.,0.,1.)); #412883=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412884=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412885=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412886=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412887=DIRECTION('',(0.,0.,1.)); #412888=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #412889=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #412890=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #412891=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #412892=DIRECTION('',(0.,0.,1.)); #412893=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #412894=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #412895=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412896=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #412897=DIRECTION('',(0.,0.,1.)); #412898=DIRECTION('center_axis',(1.,0.,0.)); #412899=DIRECTION('ref_axis',(0.,1.,0.)); #412900=DIRECTION('',(0.,1.,0.)); #412901=DIRECTION('',(0.,1.,0.)); #412902=DIRECTION('',(0.,0.,1.)); #412903=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #412904=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #412905=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #412906=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #412907=DIRECTION('',(0.,0.,1.)); #412908=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #412909=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #412910=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #412911=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #412912=DIRECTION('',(0.,0.,1.)); #412913=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #412914=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #412915=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #412916=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #412917=DIRECTION('',(0.,0.,1.)); #412918=DIRECTION('center_axis',(0.19895601923281,-0.980008419561298,0.)); #412919=DIRECTION('ref_axis',(0.980008419561298,0.19895601923281,0.)); #412920=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #412921=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #412922=DIRECTION('',(0.,0.,1.)); #412923=DIRECTION('center_axis',(0.,-1.,0.)); #412924=DIRECTION('ref_axis',(1.,0.,0.)); #412925=DIRECTION('',(1.,0.,0.)); #412926=DIRECTION('',(1.,0.,0.)); #412927=DIRECTION('',(0.,0.,1.)); #412928=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #412929=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #412930=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #412931=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #412932=DIRECTION('',(0.,0.,1.)); #412933=DIRECTION('center_axis',(1.,0.,0.)); #412934=DIRECTION('ref_axis',(0.,1.,0.)); #412935=DIRECTION('',(0.,1.,0.)); #412936=DIRECTION('',(0.,1.,0.)); #412937=DIRECTION('',(0.,0.,1.)); #412938=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #412939=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #412940=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #412941=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #412942=DIRECTION('',(0.,0.,1.)); #412943=DIRECTION('center_axis',(0.,1.,0.)); #412944=DIRECTION('ref_axis',(-1.,0.,0.)); #412945=DIRECTION('',(-1.,0.,0.)); #412946=DIRECTION('',(-1.,0.,0.)); #412947=DIRECTION('',(0.,0.,1.)); #412948=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #412949=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #412950=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412951=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412952=DIRECTION('',(0.,0.,1.)); #412953=DIRECTION('center_axis',(-1.,0.,0.)); #412954=DIRECTION('ref_axis',(0.,-1.,0.)); #412955=DIRECTION('',(0.,-1.,0.)); #412956=DIRECTION('',(0.,-1.,0.)); #412957=DIRECTION('',(0.,0.,1.)); #412958=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #412959=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #412960=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #412961=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #412962=DIRECTION('',(0.,0.,1.)); #412963=DIRECTION('center_axis',(0.,-1.,0.)); #412964=DIRECTION('ref_axis',(1.,0.,0.)); #412965=DIRECTION('',(1.,0.,0.)); #412966=DIRECTION('',(1.,0.,0.)); #412967=DIRECTION('',(0.,0.,1.)); #412968=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #412969=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #412970=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412971=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #412972=DIRECTION('',(0.,0.,1.)); #412973=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #412974=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #412975=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #412976=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #412977=DIRECTION('',(0.,0.,1.)); #412978=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #412979=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #412980=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #412981=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #412982=DIRECTION('',(0.,0.,1.)); #412983=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #412984=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #412985=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #412986=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #412987=DIRECTION('',(0.,0.,1.)); #412988=DIRECTION('center_axis',(-1.,0.,0.)); #412989=DIRECTION('ref_axis',(0.,-1.,0.)); #412990=DIRECTION('',(0.,-1.,0.)); #412991=DIRECTION('',(0.,-1.,0.)); #412992=DIRECTION('',(0.,0.,1.)); #412993=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #412994=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #412995=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #412996=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #412997=DIRECTION('',(0.,0.,1.)); #412998=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #412999=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #413000=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #413001=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #413002=DIRECTION('',(0.,0.,1.)); #413003=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #413004=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #413005=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #413006=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #413007=DIRECTION('',(0.,0.,1.)); #413008=DIRECTION('center_axis',(-0.985847618607369,0.167643887112471,0.)); #413009=DIRECTION('ref_axis',(-0.167643887112471,-0.985847618607369,0.)); #413010=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #413011=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #413012=DIRECTION('',(0.,0.,1.)); #413013=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #413014=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #413015=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #413016=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #413017=DIRECTION('',(0.,0.,1.)); #413018=DIRECTION('center_axis',(-0.565504004715199,0.824745549033805,0.)); #413019=DIRECTION('ref_axis',(-0.824745549033805,-0.565504004715199,0.)); #413020=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #413021=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #413022=DIRECTION('',(0.,0.,1.)); #413023=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #413024=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #413025=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #413026=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #413027=DIRECTION('',(0.,0.,1.)); #413028=DIRECTION('center_axis',(0.,1.,0.)); #413029=DIRECTION('ref_axis',(-1.,0.,0.)); #413030=DIRECTION('',(-1.,0.,0.)); #413031=DIRECTION('',(-1.,0.,0.)); #413032=DIRECTION('',(0.,0.,1.)); #413033=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #413034=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #413035=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #413036=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #413037=DIRECTION('',(0.,0.,1.)); #413038=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #413039=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #413040=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #413041=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #413042=DIRECTION('',(0.,0.,1.)); #413043=DIRECTION('center_axis',(-0.198956019232824,0.980008419561296,0.)); #413044=DIRECTION('ref_axis',(-0.980008419561295,-0.198956019232824,0.)); #413045=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #413046=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #413047=DIRECTION('',(0.,0.,1.)); #413048=DIRECTION('center_axis',(0.,1.,0.)); #413049=DIRECTION('ref_axis',(-1.,0.,0.)); #413050=DIRECTION('',(-1.,0.,0.)); #413051=DIRECTION('',(-1.,0.,0.)); #413052=DIRECTION('',(0.,0.,1.)); #413053=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413054=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413055=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413056=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #413057=DIRECTION('',(0.,0.,1.)); #413058=DIRECTION('center_axis',(-1.,0.,0.)); #413059=DIRECTION('ref_axis',(0.,-1.,0.)); #413060=DIRECTION('',(0.,-1.,0.)); #413061=DIRECTION('',(0.,-1.,0.)); #413062=DIRECTION('',(0.,0.,1.)); #413063=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #413064=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #413065=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #413066=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #413067=DIRECTION('',(0.,0.,1.)); #413068=DIRECTION('center_axis',(0.,-1.,0.)); #413069=DIRECTION('ref_axis',(1.,0.,0.)); #413070=DIRECTION('',(1.,0.,0.)); #413071=DIRECTION('',(1.,0.,0.)); #413072=DIRECTION('',(0.,0.,1.)); #413073=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #413074=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #413075=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #413076=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #413077=DIRECTION('',(0.,0.,1.)); #413078=DIRECTION('center_axis',(1.,0.,0.)); #413079=DIRECTION('ref_axis',(0.,1.,0.)); #413080=DIRECTION('',(0.,1.,0.)); #413081=DIRECTION('',(0.,1.,0.)); #413082=DIRECTION('',(0.,0.,1.)); #413083=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #413084=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #413085=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #413086=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #413087=DIRECTION('',(0.,0.,1.)); #413088=DIRECTION('center_axis',(0.833659859749732,-0.552278225391928,0.)); #413089=DIRECTION('ref_axis',(0.552278225391928,0.833659859749732,0.)); #413090=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #413091=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #413092=DIRECTION('',(0.,0.,1.)); #413093=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #413094=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #413095=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #413096=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #413097=DIRECTION('',(0.,0.,1.)); #413098=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #413099=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #413100=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #413101=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #413102=DIRECTION('',(0.,0.,1.)); #413103=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #413104=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #413105=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #413106=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #413107=DIRECTION('',(0.,0.,1.)); #413108=DIRECTION('center_axis',(0.,-1.,0.)); #413109=DIRECTION('ref_axis',(1.,0.,0.)); #413110=DIRECTION('',(1.,0.,0.)); #413111=DIRECTION('',(1.,0.,0.)); #413112=DIRECTION('',(0.,0.,1.)); #413113=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #413114=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #413115=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #413116=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #413117=DIRECTION('',(0.,0.,1.)); #413118=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #413119=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #413120=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #413121=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #413122=DIRECTION('',(0.,0.,1.)); #413123=DIRECTION('center_axis',(-0.824745549033783,-0.56550400471523,0.)); #413124=DIRECTION('ref_axis',(0.56550400471523,-0.824745549033783,0.)); #413125=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #413126=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #413127=DIRECTION('',(0.,0.,1.)); #413128=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #413129=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #413130=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #413131=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #413132=DIRECTION('',(0.,0.,1.)); #413133=DIRECTION('center_axis',(-1.,0.,0.)); #413134=DIRECTION('ref_axis',(0.,-1.,0.)); #413135=DIRECTION('',(0.,-1.,0.)); #413136=DIRECTION('',(0.,-1.,0.)); #413137=DIRECTION('',(0.,0.,1.)); #413138=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #413139=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #413140=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #413141=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #413142=DIRECTION('',(0.,0.,1.)); #413143=DIRECTION('center_axis',(0.,-1.,0.)); #413144=DIRECTION('ref_axis',(1.,0.,0.)); #413145=DIRECTION('',(1.,0.,0.)); #413146=DIRECTION('',(1.,0.,0.)); #413147=DIRECTION('',(0.,0.,1.)); #413148=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413149=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413150=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413151=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413152=DIRECTION('',(0.,0.,1.)); #413153=DIRECTION('center_axis',(1.,0.,0.)); #413154=DIRECTION('ref_axis',(0.,1.,0.)); #413155=DIRECTION('',(0.,1.,0.)); #413156=DIRECTION('',(0.,1.,0.)); #413157=DIRECTION('',(0.,0.,1.)); #413158=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #413159=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #413160=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #413161=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #413162=DIRECTION('',(0.,0.,1.)); #413163=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #413164=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #413165=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #413166=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #413167=DIRECTION('',(0.,0.,1.)); #413168=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #413169=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #413170=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #413171=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #413172=DIRECTION('',(0.,0.,1.)); #413173=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #413174=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #413175=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #413176=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #413177=DIRECTION('',(0.,0.,1.)); #413178=DIRECTION('center_axis',(0.,-1.,0.)); #413179=DIRECTION('ref_axis',(1.,0.,0.)); #413180=DIRECTION('',(1.,0.,0.)); #413181=DIRECTION('',(1.,0.,0.)); #413182=DIRECTION('',(0.,0.,1.)); #413183=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #413184=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #413185=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #413186=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #413187=DIRECTION('',(0.,0.,1.)); #413188=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #413189=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #413190=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #413191=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #413192=DIRECTION('',(0.,0.,1.)); #413193=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #413194=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #413195=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #413196=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #413197=DIRECTION('',(0.,0.,1.)); #413198=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #413199=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #413200=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #413201=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #413202=DIRECTION('',(0.,0.,1.)); #413203=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #413204=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #413205=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413206=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413207=DIRECTION('',(0.,0.,1.)); #413208=DIRECTION('center_axis',(-1.,0.,0.)); #413209=DIRECTION('ref_axis',(0.,-1.,0.)); #413210=DIRECTION('',(0.,-1.,0.)); #413211=DIRECTION('',(0.,-1.,0.)); #413212=DIRECTION('',(0.,0.,1.)); #413213=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #413214=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #413215=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #413216=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #413217=DIRECTION('',(0.,0.,1.)); #413218=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #413219=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #413220=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #413221=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #413222=DIRECTION('',(0.,0.,1.)); #413223=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #413224=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #413225=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #413226=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #413227=DIRECTION('',(0.,0.,1.)); #413228=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #413229=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #413230=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #413231=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #413232=DIRECTION('',(0.,0.,1.)); #413233=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413234=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413235=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413236=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413237=DIRECTION('',(0.,0.,1.)); #413238=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #413239=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #413240=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #413241=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #413242=DIRECTION('',(0.,0.,1.)); #413243=DIRECTION('center_axis',(-1.,0.,0.)); #413244=DIRECTION('ref_axis',(0.,-1.,0.)); #413245=DIRECTION('',(0.,-1.,0.)); #413246=DIRECTION('',(0.,-1.,0.)); #413247=DIRECTION('',(0.,0.,1.)); #413248=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #413249=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #413250=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #413251=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #413252=DIRECTION('',(0.,0.,1.)); #413253=DIRECTION('center_axis',(-0.842362410729396,0.538911466745847,0.)); #413254=DIRECTION('ref_axis',(-0.538911466745847,-0.842362410729396,0.)); #413255=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #413256=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #413257=DIRECTION('',(0.,0.,1.)); #413258=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #413259=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #413260=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #413261=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #413262=DIRECTION('',(0.,0.,1.)); #413263=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #413264=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #413265=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #413266=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #413267=DIRECTION('',(0.,0.,1.)); #413268=DIRECTION('center_axis',(0.,1.,0.)); #413269=DIRECTION('ref_axis',(-1.,0.,0.)); #413270=DIRECTION('',(-1.,0.,0.)); #413271=DIRECTION('',(-1.,0.,0.)); #413272=DIRECTION('',(0.,0.,1.)); #413273=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #413274=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #413275=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #413276=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #413277=DIRECTION('',(0.,0.,1.)); #413278=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #413279=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #413280=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #413281=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #413282=DIRECTION('',(0.,0.,1.)); #413283=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #413284=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #413285=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #413286=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #413287=DIRECTION('',(0.,0.,1.)); #413288=DIRECTION('center_axis',(-0.652508824452173,0.757781125399705,0.)); #413289=DIRECTION('ref_axis',(-0.757781125399705,-0.652508824452173,0.)); #413290=DIRECTION('',(-0.757781125399705,-0.652508824452173,0.)); #413291=DIRECTION('',(-0.757781125399705,-0.652508824452173,0.)); #413292=DIRECTION('',(0.,0.,1.)); #413293=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #413294=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #413295=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #413296=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #413297=DIRECTION('',(0.,0.,1.)); #413298=DIRECTION('center_axis',(-0.558946331948828,0.829203833807437,0.)); #413299=DIRECTION('ref_axis',(-0.829203833807437,-0.558946331948828,0.)); #413300=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #413301=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #413302=DIRECTION('',(0.,0.,1.)); #413303=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #413304=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #413305=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #413306=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #413307=DIRECTION('',(0.,0.,1.)); #413308=DIRECTION('center_axis',(0.,1.,0.)); #413309=DIRECTION('ref_axis',(-1.,0.,0.)); #413310=DIRECTION('',(-1.,0.,0.)); #413311=DIRECTION('',(-1.,0.,0.)); #413312=DIRECTION('',(0.,0.,1.)); #413313=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #413314=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #413315=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #413316=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #413317=DIRECTION('',(0.,0.,1.)); #413318=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #413319=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #413320=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #413321=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #413322=DIRECTION('',(0.,0.,1.)); #413323=DIRECTION('center_axis',(0.824745549033783,0.56550400471523,0.)); #413324=DIRECTION('ref_axis',(-0.56550400471523,0.824745549033783,0.)); #413325=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #413326=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #413327=DIRECTION('',(0.,0.,1.)); #413328=DIRECTION('center_axis',(0.980008419561376,0.19895601923243,0.)); #413329=DIRECTION('ref_axis',(-0.19895601923243,0.980008419561375,0.)); #413330=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #413331=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #413332=DIRECTION('',(0.,0.,1.)); #413333=DIRECTION('center_axis',(1.,0.,0.)); #413334=DIRECTION('ref_axis',(0.,1.,0.)); #413335=DIRECTION('',(0.,1.,0.)); #413336=DIRECTION('',(0.,1.,0.)); #413337=DIRECTION('',(0.,0.,1.)); #413338=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #413339=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #413340=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #413341=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #413342=DIRECTION('',(0.,0.,1.)); #413343=DIRECTION('center_axis',(0.,1.,0.)); #413344=DIRECTION('ref_axis',(-1.,0.,0.)); #413345=DIRECTION('',(-1.,0.,0.)); #413346=DIRECTION('',(-1.,0.,0.)); #413347=DIRECTION('',(0.,0.,1.)); #413348=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #413349=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #413350=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #413351=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #413352=DIRECTION('',(0.,0.,1.)); #413353=DIRECTION('center_axis',(-1.,0.,0.)); #413354=DIRECTION('ref_axis',(0.,-1.,0.)); #413355=DIRECTION('',(0.,-1.,0.)); #413356=DIRECTION('',(0.,-1.,0.)); #413357=DIRECTION('',(0.,0.,1.)); #413358=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #413359=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #413360=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #413361=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #413362=DIRECTION('',(0.,0.,1.)); #413363=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #413364=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #413365=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #413366=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #413367=DIRECTION('',(0.,0.,1.)); #413368=DIRECTION('center_axis',(-0.565504004715062,0.824745549033899,0.)); #413369=DIRECTION('ref_axis',(-0.824745549033899,-0.565504004715062,0.)); #413370=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #413371=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #413372=DIRECTION('',(0.,0.,1.)); #413373=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #413374=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #413375=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #413376=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #413377=DIRECTION('',(0.,0.,1.)); #413378=DIRECTION('center_axis',(0.,1.,0.)); #413379=DIRECTION('ref_axis',(-1.,0.,0.)); #413380=DIRECTION('',(-1.,0.,0.)); #413381=DIRECTION('',(-1.,0.,0.)); #413382=DIRECTION('',(0.,0.,1.)); #413383=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #413384=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #413385=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #413386=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #413387=DIRECTION('',(0.,0.,1.)); #413388=DIRECTION('center_axis',(0.538911466745922,0.842362410729348,0.)); #413389=DIRECTION('ref_axis',(-0.842362410729348,0.538911466745922,0.)); #413390=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #413391=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #413392=DIRECTION('',(0.,0.,1.)); #413393=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #413394=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #413395=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #413396=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #413397=DIRECTION('',(0.,0.,1.)); #413398=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #413399=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #413400=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #413401=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #413402=DIRECTION('',(0.,0.,1.)); #413403=DIRECTION('center_axis',(1.,0.,0.)); #413404=DIRECTION('ref_axis',(0.,1.,0.)); #413405=DIRECTION('',(0.,1.,0.)); #413406=DIRECTION('',(0.,1.,0.)); #413407=DIRECTION('',(0.,0.,1.)); #413408=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #413409=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #413410=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #413411=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #413412=DIRECTION('',(0.,0.,1.)); #413413=DIRECTION('center_axis',(0.,1.,0.)); #413414=DIRECTION('ref_axis',(-1.,0.,0.)); #413415=DIRECTION('',(-1.,0.,0.)); #413416=DIRECTION('',(-1.,0.,0.)); #413417=DIRECTION('',(0.,0.,1.)); #413418=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #413419=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #413420=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #413421=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #413422=DIRECTION('',(0.,0.,1.)); #413423=DIRECTION('center_axis',(-1.,0.,0.)); #413424=DIRECTION('ref_axis',(0.,-1.,0.)); #413425=DIRECTION('',(0.,-1.,0.)); #413426=DIRECTION('',(0.,-1.,0.)); #413427=DIRECTION('',(0.,0.,1.)); #413428=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #413429=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #413430=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #413431=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #413432=DIRECTION('',(0.,0.,1.)); #413433=DIRECTION('center_axis',(0.,-1.,0.)); #413434=DIRECTION('ref_axis',(1.,0.,0.)); #413435=DIRECTION('',(1.,0.,0.)); #413436=DIRECTION('',(1.,0.,0.)); #413437=DIRECTION('',(0.,0.,1.)); #413438=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #413439=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #413440=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #413441=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #413442=DIRECTION('',(0.,0.,1.)); #413443=DIRECTION('center_axis',(1.,0.,0.)); #413444=DIRECTION('ref_axis',(0.,1.,0.)); #413445=DIRECTION('',(0.,1.,0.)); #413446=DIRECTION('',(0.,1.,0.)); #413447=DIRECTION('',(0.,0.,1.)); #413448=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #413449=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #413450=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #413451=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #413452=DIRECTION('',(0.,0.,1.)); #413453=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #413454=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #413455=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #413456=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #413457=DIRECTION('',(0.,0.,1.)); #413458=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #413459=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #413460=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #413461=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #413462=DIRECTION('',(0.,0.,1.)); #413463=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #413464=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #413465=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #413466=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #413467=DIRECTION('',(0.,0.,1.)); #413468=DIRECTION('center_axis',(0.,-1.,0.)); #413469=DIRECTION('ref_axis',(1.,0.,0.)); #413470=DIRECTION('',(1.,0.,0.)); #413471=DIRECTION('',(1.,0.,0.)); #413472=DIRECTION('',(0.,0.,1.)); #413473=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #413474=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #413475=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #413476=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #413477=DIRECTION('',(0.,0.,1.)); #413478=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #413479=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #413480=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #413481=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #413482=DIRECTION('',(0.,0.,1.)); #413483=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #413484=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #413485=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #413486=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #413487=DIRECTION('',(0.,0.,1.)); #413488=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #413489=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #413490=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #413491=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #413492=DIRECTION('',(0.,0.,1.)); #413493=DIRECTION('center_axis',(-1.,0.,0.)); #413494=DIRECTION('ref_axis',(0.,-1.,0.)); #413495=DIRECTION('',(0.,-1.,0.)); #413496=DIRECTION('',(0.,-1.,0.)); #413497=DIRECTION('',(0.,0.,1.)); #413498=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #413499=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #413500=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #413501=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #413502=DIRECTION('',(0.,0.,1.)); #413503=DIRECTION('center_axis',(0.,-1.,0.)); #413504=DIRECTION('ref_axis',(1.,0.,0.)); #413505=DIRECTION('',(1.,0.,0.)); #413506=DIRECTION('',(1.,0.,0.)); #413507=DIRECTION('',(0.,0.,1.)); #413508=DIRECTION('center_axis',(-0.183305290257358,-0.983056036329398, 0.)); #413509=DIRECTION('ref_axis',(0.983056036329397,-0.183305290257358,0.)); #413510=DIRECTION('',(0.983056036329397,-0.183305290257358,0.)); #413511=DIRECTION('',(0.983056036329397,-0.183305290257358,0.)); #413512=DIRECTION('',(0.,0.,1.)); #413513=DIRECTION('center_axis',(-0.538911466746084,-0.842362410729244, 0.)); #413514=DIRECTION('ref_axis',(0.842362410729244,-0.538911466746084,0.)); #413515=DIRECTION('',(0.842362410729244,-0.538911466746084,0.)); #413516=DIRECTION('',(0.842362410729244,-0.538911466746084,0.)); #413517=DIRECTION('',(0.,0.,1.)); #413518=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #413519=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #413520=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #413521=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #413522=DIRECTION('',(0.,0.,1.)); #413523=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #413524=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #413525=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413526=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413527=DIRECTION('',(0.,0.,1.)); #413528=DIRECTION('center_axis',(-1.,0.,0.)); #413529=DIRECTION('ref_axis',(0.,-1.,0.)); #413530=DIRECTION('',(0.,-1.,0.)); #413531=DIRECTION('',(0.,-1.,0.)); #413532=DIRECTION('',(0.,0.,1.)); #413533=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #413534=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #413535=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #413536=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #413537=DIRECTION('',(0.,0.,1.)); #413538=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #413539=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #413540=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #413541=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #413542=DIRECTION('',(0.,0.,1.)); #413543=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #413544=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #413545=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #413546=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #413547=DIRECTION('',(0.,0.,1.)); #413548=DIRECTION('center_axis',(-1.,0.,0.)); #413549=DIRECTION('ref_axis',(0.,-1.,0.)); #413550=DIRECTION('',(0.,-1.,0.)); #413551=DIRECTION('',(0.,-1.,0.)); #413552=DIRECTION('',(0.,0.,1.)); #413553=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #413554=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #413555=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413556=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #413557=DIRECTION('',(0.,0.,1.)); #413558=DIRECTION('center_axis',(0.,-1.,0.)); #413559=DIRECTION('ref_axis',(1.,0.,0.)); #413560=DIRECTION('',(1.,0.,0.)); #413561=DIRECTION('',(1.,0.,0.)); #413562=DIRECTION('',(0.,0.,1.)); #413563=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #413564=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #413565=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #413566=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #413567=DIRECTION('',(0.,0.,1.)); #413568=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #413569=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #413570=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #413571=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #413572=DIRECTION('',(0.,0.,1.)); #413573=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #413574=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #413575=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #413576=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #413577=DIRECTION('',(0.,0.,1.)); #413578=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #413579=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #413580=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #413581=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #413582=DIRECTION('',(0.,0.,1.)); #413583=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #413584=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #413585=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413586=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #413587=DIRECTION('',(0.,0.,1.)); #413588=DIRECTION('center_axis',(-1.,0.,0.)); #413589=DIRECTION('ref_axis',(0.,-1.,0.)); #413590=DIRECTION('',(0.,-1.,0.)); #413591=DIRECTION('',(0.,-1.,0.)); #413592=DIRECTION('',(0.,0.,1.)); #413593=DIRECTION('center_axis',(-0.983056036329376,0.183305290257473,0.)); #413594=DIRECTION('ref_axis',(-0.183305290257473,-0.983056036329376,0.)); #413595=DIRECTION('',(-0.183305290257473,-0.983056036329376,0.)); #413596=DIRECTION('',(-0.183305290257473,-0.983056036329376,0.)); #413597=DIRECTION('',(0.,0.,1.)); #413598=DIRECTION('center_axis',(-0.842362410729415,0.538911466745818,0.)); #413599=DIRECTION('ref_axis',(-0.538911466745818,-0.842362410729414,0.)); #413600=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #413601=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #413602=DIRECTION('',(0.,0.,1.)); #413603=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #413604=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #413605=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #413606=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #413607=DIRECTION('',(0.,0.,1.)); #413608=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #413609=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #413610=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #413611=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #413612=DIRECTION('',(0.,0.,1.)); #413613=DIRECTION('center_axis',(0.,1.,0.)); #413614=DIRECTION('ref_axis',(-1.,0.,0.)); #413615=DIRECTION('',(-1.,0.,0.)); #413616=DIRECTION('',(-1.,0.,0.)); #413617=DIRECTION('',(0.,0.,1.)); #413618=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #413619=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #413620=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #413621=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #413622=DIRECTION('',(0.,0.,1.)); #413623=DIRECTION('center_axis',(-1.,0.,0.)); #413624=DIRECTION('ref_axis',(0.,-1.,0.)); #413625=DIRECTION('',(0.,-1.,0.)); #413626=DIRECTION('',(0.,-1.,0.)); #413627=DIRECTION('',(0.,0.,1.)); #413628=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #413629=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #413630=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #413631=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #413632=DIRECTION('',(0.,0.,1.)); #413633=DIRECTION('center_axis',(0.,-1.,0.)); #413634=DIRECTION('ref_axis',(1.,0.,0.)); #413635=DIRECTION('',(1.,0.,0.)); #413636=DIRECTION('',(1.,0.,0.)); #413637=DIRECTION('',(0.,0.,1.)); #413638=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413639=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413640=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413641=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #413642=DIRECTION('',(0.,0.,1.)); #413643=DIRECTION('center_axis',(1.,0.,0.)); #413644=DIRECTION('ref_axis',(0.,1.,0.)); #413645=DIRECTION('',(0.,1.,0.)); #413646=DIRECTION('',(0.,1.,0.)); #413647=DIRECTION('',(0.,0.,1.)); #413648=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #413649=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #413650=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #413651=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #413652=DIRECTION('',(0.,0.,1.)); #413653=DIRECTION('center_axis',(0.,1.,0.)); #413654=DIRECTION('ref_axis',(-1.,0.,0.)); #413655=DIRECTION('',(-1.,0.,0.)); #413656=DIRECTION('',(-1.,0.,0.)); #413657=DIRECTION('',(0.,0.,1.)); #413658=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #413659=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #413660=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #413661=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #413662=DIRECTION('',(0.,0.,1.)); #413663=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #413664=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #413665=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #413666=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #413667=DIRECTION('',(0.,0.,1.)); #413668=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #413669=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #413670=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #413671=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #413672=DIRECTION('',(0.,0.,1.)); #413673=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #413674=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #413675=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #413676=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #413677=DIRECTION('',(0.,0.,1.)); #413678=DIRECTION('center_axis',(1.,0.,0.)); #413679=DIRECTION('ref_axis',(0.,1.,0.)); #413680=DIRECTION('',(0.,1.,0.)); #413681=DIRECTION('',(0.,1.,0.)); #413682=DIRECTION('',(0.,0.,1.)); #413683=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413684=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413685=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413686=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #413687=DIRECTION('',(0.,0.,1.)); #413688=DIRECTION('center_axis',(0.820212632122705,0.572058771549169,0.)); #413689=DIRECTION('ref_axis',(-0.572058771549169,0.820212632122705,0.)); #413690=DIRECTION('',(-0.572058771549169,0.820212632122705,0.)); #413691=DIRECTION('',(-0.572058771549169,0.820212632122705,0.)); #413692=DIRECTION('',(0.,0.,1.)); #413693=DIRECTION('center_axis',(0.97315145688639,0.230165683714788,0.)); #413694=DIRECTION('ref_axis',(-0.230165683714788,0.97315145688639,0.)); #413695=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #413696=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #413697=DIRECTION('',(0.,0.,1.)); #413698=DIRECTION('center_axis',(0.985847618607369,-0.167643887112471,0.)); #413699=DIRECTION('ref_axis',(0.167643887112471,0.985847618607369,0.)); #413700=DIRECTION('',(0.167643887112471,0.985847618607369,0.)); #413701=DIRECTION('',(0.167643887112471,0.985847618607369,0.)); #413702=DIRECTION('',(0.,0.,1.)); #413703=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #413704=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #413705=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #413706=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #413707=DIRECTION('',(0.,0.,1.)); #413708=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #413709=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #413710=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #413711=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #413712=DIRECTION('',(0.,0.,1.)); #413713=DIRECTION('center_axis',(0.198956019232654,-0.98000841956133,0.)); #413714=DIRECTION('ref_axis',(0.98000841956133,0.198956019232654,0.)); #413715=DIRECTION('',(0.98000841956133,0.198956019232654,0.)); #413716=DIRECTION('',(0.98000841956133,0.198956019232654,0.)); #413717=DIRECTION('',(0.,0.,1.)); #413718=DIRECTION('center_axis',(0.,-1.,0.)); #413719=DIRECTION('ref_axis',(1.,0.,0.)); #413720=DIRECTION('',(1.,0.,0.)); #413721=DIRECTION('',(1.,0.,0.)); #413722=DIRECTION('',(0.,0.,1.)); #413723=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #413724=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #413725=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #413726=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #413727=DIRECTION('',(0.,0.,1.)); #413728=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #413729=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #413730=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #413731=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #413732=DIRECTION('',(0.,0.,1.)); #413733=DIRECTION('center_axis',(0.506095628368393,-0.86247737068656,0.)); #413734=DIRECTION('ref_axis',(0.86247737068656,0.506095628368393,0.)); #413735=DIRECTION('',(0.86247737068656,0.506095628368393,0.)); #413736=DIRECTION('',(0.86247737068656,0.506095628368393,0.)); #413737=DIRECTION('',(0.,0.,1.)); #413738=DIRECTION('center_axis',(0.652508824452284,-0.75778112539961,0.)); #413739=DIRECTION('ref_axis',(0.75778112539961,0.652508824452284,0.)); #413740=DIRECTION('',(0.75778112539961,0.652508824452284,0.)); #413741=DIRECTION('',(0.75778112539961,0.652508824452284,0.)); #413742=DIRECTION('',(0.,0.,1.)); #413743=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #413744=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #413745=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #413746=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #413747=DIRECTION('',(0.,0.,1.)); #413748=DIRECTION('center_axis',(0.565556180089685,-0.824709771472585,0.)); #413749=DIRECTION('ref_axis',(0.824709771472585,0.565556180089685,0.)); #413750=DIRECTION('',(0.824709771472585,0.565556180089685,0.)); #413751=DIRECTION('',(0.824709771472585,0.565556180089685,0.)); #413752=DIRECTION('',(0.,0.,1.)); #413753=DIRECTION('center_axis',(0.21464666169811,-0.976691768482697,0.)); #413754=DIRECTION('ref_axis',(0.976691768482697,0.21464666169811,0.)); #413755=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #413756=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #413757=DIRECTION('',(0.,0.,1.)); #413758=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #413759=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #413760=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #413761=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #413762=DIRECTION('',(0.,0.,1.)); #413763=DIRECTION('center_axis',(-0.552197211335402,-0.833713523815828, 0.)); #413764=DIRECTION('ref_axis',(0.833713523815828,-0.552197211335402,0.)); #413765=DIRECTION('',(0.833713523815828,-0.552197211335402,0.)); #413766=DIRECTION('',(0.833713523815828,-0.552197211335402,0.)); #413767=DIRECTION('',(0.,0.,1.)); #413768=DIRECTION('center_axis',(0.,-1.,0.)); #413769=DIRECTION('ref_axis',(1.,0.,0.)); #413770=DIRECTION('',(1.,0.,0.)); #413771=DIRECTION('',(1.,0.,0.)); #413772=DIRECTION('',(0.,0.,1.)); #413773=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #413774=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #413775=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #413776=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #413777=DIRECTION('',(0.,0.,1.)); #413778=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #413779=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #413780=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #413781=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #413782=DIRECTION('',(0.,0.,1.)); #413783=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #413784=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #413785=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #413786=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #413787=DIRECTION('',(0.,0.,1.)); #413788=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #413789=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #413790=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #413791=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #413792=DIRECTION('',(0.,0.,1.)); #413793=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #413794=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #413795=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #413796=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #413797=DIRECTION('',(0.,0.,1.)); #413798=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #413799=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #413800=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #413801=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #413802=DIRECTION('',(0.,0.,1.)); #413803=DIRECTION('center_axis',(0.,-1.,0.)); #413804=DIRECTION('ref_axis',(1.,0.,0.)); #413805=DIRECTION('',(1.,0.,0.)); #413806=DIRECTION('',(1.,0.,0.)); #413807=DIRECTION('',(0.,0.,1.)); #413808=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #413809=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #413810=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #413811=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #413812=DIRECTION('',(0.,0.,1.)); #413813=DIRECTION('center_axis',(1.,0.,0.)); #413814=DIRECTION('ref_axis',(0.,1.,0.)); #413815=DIRECTION('',(0.,1.,0.)); #413816=DIRECTION('',(0.,1.,0.)); #413817=DIRECTION('',(0.,0.,1.)); #413818=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #413819=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #413820=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #413821=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #413822=DIRECTION('',(0.,0.,1.)); #413823=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #413824=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #413825=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #413826=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #413827=DIRECTION('',(0.,0.,1.)); #413828=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #413829=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #413830=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #413831=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #413832=DIRECTION('',(0.,0.,1.)); #413833=DIRECTION('center_axis',(1.,0.,0.)); #413834=DIRECTION('ref_axis',(0.,1.,0.)); #413835=DIRECTION('',(0.,1.,0.)); #413836=DIRECTION('',(0.,1.,0.)); #413837=DIRECTION('',(0.,0.,1.)); #413838=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #413839=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #413840=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #413841=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #413842=DIRECTION('',(0.,0.,1.)); #413843=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #413844=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #413845=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #413846=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #413847=DIRECTION('',(0.,0.,1.)); #413848=DIRECTION('center_axis',(0.707108782544492,-0.707104779822939,0.)); #413849=DIRECTION('ref_axis',(0.707104779822939,0.707108782544492,0.)); #413850=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #413851=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #413852=DIRECTION('',(0.,0.,1.)); #413853=DIRECTION('center_axis',(0.983052942270892,-0.183321882743286,0.)); #413854=DIRECTION('ref_axis',(0.183321882743286,0.983052942270892,0.)); #413855=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #413856=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #413857=DIRECTION('',(0.,0.,1.)); #413858=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #413859=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #413860=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #413861=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #413862=DIRECTION('',(0.,0.,1.)); #413863=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #413864=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #413865=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #413866=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #413867=DIRECTION('',(0.,0.,1.)); #413868=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #413869=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #413870=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #413871=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #413872=DIRECTION('',(0.,0.,1.)); #413873=DIRECTION('center_axis',(0.,-1.,0.)); #413874=DIRECTION('ref_axis',(1.,0.,0.)); #413875=DIRECTION('',(1.,0.,0.)); #413876=DIRECTION('',(1.,0.,0.)); #413877=DIRECTION('',(0.,0.,1.)); #413878=DIRECTION('center_axis',(-0.191149856928623,-0.981560865252974, 0.)); #413879=DIRECTION('ref_axis',(0.981560865252974,-0.191149856928623,0.)); #413880=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #413881=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #413882=DIRECTION('',(0.,0.,1.)); #413883=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #413884=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #413885=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #413886=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #413887=DIRECTION('',(0.,0.,1.)); #413888=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #413889=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #413890=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #413891=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #413892=DIRECTION('',(0.,0.,1.)); #413893=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #413894=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #413895=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #413896=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #413897=DIRECTION('',(0.,0.,1.)); #413898=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #413899=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #413900=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #413901=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #413902=DIRECTION('',(0.,0.,1.)); #413903=DIRECTION('center_axis',(-1.,0.,0.)); #413904=DIRECTION('ref_axis',(0.,-1.,0.)); #413905=DIRECTION('',(0.,-1.,0.)); #413906=DIRECTION('',(0.,-1.,0.)); #413907=DIRECTION('',(0.,0.,1.)); #413908=DIRECTION('center_axis',(-0.994378375567334,-0.105885061288508, 0.)); #413909=DIRECTION('ref_axis',(0.105885061288508,-0.994378375567334,0.)); #413910=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #413911=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #413912=DIRECTION('',(0.,0.,1.)); #413913=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #413914=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #413915=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #413916=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #413917=DIRECTION('',(0.,0.,1.)); #413918=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #413919=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #413920=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #413921=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #413922=DIRECTION('',(0.,0.,1.)); #413923=DIRECTION('center_axis',(-0.757781125399591,-0.652508824452305, 0.)); #413924=DIRECTION('ref_axis',(0.652508824452305,-0.757781125399591,0.)); #413925=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #413926=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #413927=DIRECTION('',(0.,0.,1.)); #413928=DIRECTION('center_axis',(-0.707106425896589,-0.707107136476328, 0.)); #413929=DIRECTION('ref_axis',(0.707107136476328,-0.707106425896589,0.)); #413930=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #413931=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #413932=DIRECTION('',(0.,0.,1.)); #413933=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #413934=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #413935=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #413936=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #413937=DIRECTION('',(0.,0.,1.)); #413938=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #413939=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #413940=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #413941=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #413942=DIRECTION('',(0.,0.,1.)); #413943=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #413944=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #413945=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #413946=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #413947=DIRECTION('',(0.,0.,1.)); #413948=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #413949=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #413950=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #413951=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #413952=DIRECTION('',(0.,0.,1.)); #413953=DIRECTION('center_axis',(0.,-1.,0.)); #413954=DIRECTION('ref_axis',(1.,0.,0.)); #413955=DIRECTION('',(1.,0.,0.)); #413956=DIRECTION('',(1.,0.,0.)); #413957=DIRECTION('',(0.,0.,1.)); #413958=DIRECTION('center_axis',(0.105885061288517,-0.994378375567333,0.)); #413959=DIRECTION('ref_axis',(0.994378375567332,0.105885061288517,0.)); #413960=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #413961=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #413962=DIRECTION('',(0.,0.,1.)); #413963=DIRECTION('center_axis',(0.312935976921447,-0.949774222827836,0.)); #413964=DIRECTION('ref_axis',(0.949774222827836,0.312935976921447,0.)); #413965=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #413966=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #413967=DIRECTION('',(0.,0.,1.)); #413968=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #413969=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #413970=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #413971=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #413972=DIRECTION('',(0.,0.,1.)); #413973=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #413974=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #413975=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #413976=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #413977=DIRECTION('',(0.,0.,1.)); #413978=DIRECTION('center_axis',(0.707107136476331,-0.707106425896586,0.)); #413979=DIRECTION('ref_axis',(0.707106425896586,0.707107136476331,0.)); #413980=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #413981=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #413982=DIRECTION('',(0.,0.,1.)); #413983=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #413984=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #413985=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #413986=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #413987=DIRECTION('',(0.,0.,1.)); #413988=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #413989=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #413990=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #413991=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #413992=DIRECTION('',(0.,0.,1.)); #413993=DIRECTION('center_axis',(0.967662278078022,-0.252249312357542,0.)); #413994=DIRECTION('ref_axis',(0.252249312357542,0.967662278078022,0.)); #413995=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #413996=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #413997=DIRECTION('',(0.,0.,1.)); #413998=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #413999=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #414000=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #414001=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #414002=DIRECTION('',(0.,0.,1.)); #414003=DIRECTION('center_axis',(1.,0.,0.)); #414004=DIRECTION('ref_axis',(0.,1.,0.)); #414005=DIRECTION('',(0.,1.,0.)); #414006=DIRECTION('',(0.,1.,0.)); #414007=DIRECTION('',(0.,0.,1.)); #414008=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #414009=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #414010=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #414011=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #414012=DIRECTION('',(0.,0.,1.)); #414013=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #414014=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #414015=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #414016=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #414017=DIRECTION('',(0.,0.,1.)); #414018=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #414019=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #414020=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #414021=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #414022=DIRECTION('',(0.,0.,1.)); #414023=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #414024=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #414025=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #414026=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #414027=DIRECTION('',(0.,0.,1.)); #414028=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #414029=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #414030=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #414031=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #414032=DIRECTION('',(0.,0.,1.)); #414033=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #414034=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #414035=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #414036=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #414037=DIRECTION('',(0.,0.,1.)); #414038=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #414039=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #414040=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #414041=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #414042=DIRECTION('',(0.,0.,1.)); #414043=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #414044=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #414045=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #414046=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #414047=DIRECTION('',(0.,0.,1.)); #414048=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #414049=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #414050=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #414051=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #414052=DIRECTION('',(0.,0.,1.)); #414053=DIRECTION('center_axis',(0.,1.,0.)); #414054=DIRECTION('ref_axis',(-1.,0.,0.)); #414055=DIRECTION('',(-1.,0.,0.)); #414056=DIRECTION('',(-1.,0.,0.)); #414057=DIRECTION('',(0.,0.,1.)); #414058=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #414059=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #414060=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #414061=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #414062=DIRECTION('',(0.,0.,1.)); #414063=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #414064=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #414065=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #414066=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #414067=DIRECTION('',(0.,0.,1.)); #414068=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #414069=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #414070=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #414071=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #414072=DIRECTION('',(0.,0.,1.)); #414073=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #414074=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #414075=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #414076=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #414077=DIRECTION('',(0.,0.,1.)); #414078=DIRECTION('center_axis',(-0.707108223597802,0.707105338772351,0.)); #414079=DIRECTION('ref_axis',(-0.707105338772351,-0.707108223597802,0.)); #414080=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #414081=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #414082=DIRECTION('',(0.,0.,1.)); #414083=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #414084=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #414085=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #414086=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #414087=DIRECTION('',(0.,0.,1.)); #414088=DIRECTION('center_axis',(-0.892938781493088,0.450178111979736,0.)); #414089=DIRECTION('ref_axis',(-0.450178111979736,-0.892938781493088,0.)); #414090=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #414091=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #414092=DIRECTION('',(0.,0.,1.)); #414093=DIRECTION('center_axis',(-0.967662278077962,0.252249312357774,0.)); #414094=DIRECTION('ref_axis',(-0.252249312357774,-0.967662278077962,0.)); #414095=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #414096=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #414097=DIRECTION('',(0.,0.,1.)); #414098=DIRECTION('center_axis',(-0.997238118319996,0.0742706898419193, 0.)); #414099=DIRECTION('ref_axis',(-0.0742706898419193,-0.997238118319996,0.)); #414100=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #414101=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #414102=DIRECTION('',(0.,0.,1.)); #414103=DIRECTION('center_axis',(-1.,0.,0.)); #414104=DIRECTION('ref_axis',(0.,-1.,0.)); #414105=DIRECTION('',(0.,-1.,0.)); #414106=DIRECTION('',(0.,-1.,0.)); #414107=DIRECTION('',(0.,0.,1.)); #414108=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #414109=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #414110=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #414111=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #414112=DIRECTION('',(0.,0.,1.)); #414113=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #414114=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #414115=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #414116=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #414117=DIRECTION('',(0.,0.,1.)); #414118=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414119=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414120=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414121=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #414122=DIRECTION('',(0.,0.,1.)); #414123=DIRECTION('center_axis',(-0.558946331948829,0.829203833807436,0.)); #414124=DIRECTION('ref_axis',(-0.829203833807436,-0.558946331948829,0.)); #414125=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #414126=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #414127=DIRECTION('',(0.,0.,1.)); #414128=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #414129=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #414130=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414131=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414132=DIRECTION('',(0.,0.,1.)); #414133=DIRECTION('center_axis',(0.,1.,0.)); #414134=DIRECTION('ref_axis',(-1.,0.,0.)); #414135=DIRECTION('',(-1.,0.,0.)); #414136=DIRECTION('',(-1.,0.,0.)); #414137=DIRECTION('',(0.,0.,1.)); #414138=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #414139=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #414140=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414141=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414142=DIRECTION('',(0.,0.,1.)); #414143=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #414144=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #414145=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #414146=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #414147=DIRECTION('',(0.,0.,1.)); #414148=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #414149=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #414150=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #414151=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #414152=DIRECTION('',(0.,0.,1.)); #414153=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #414154=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #414155=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #414156=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #414157=DIRECTION('',(0.,0.,1.)); #414158=DIRECTION('center_axis',(1.,0.,0.)); #414159=DIRECTION('ref_axis',(0.,1.,0.)); #414160=DIRECTION('',(0.,1.,0.)); #414161=DIRECTION('',(0.,1.,0.)); #414162=DIRECTION('',(0.,0.,1.)); #414163=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #414164=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #414165=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #414166=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #414167=DIRECTION('',(0.,0.,1.)); #414168=DIRECTION('center_axis',(0.,1.,0.)); #414169=DIRECTION('ref_axis',(-1.,0.,0.)); #414170=DIRECTION('',(-1.,0.,0.)); #414171=DIRECTION('',(-1.,0.,0.)); #414172=DIRECTION('',(0.,0.,1.)); #414173=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #414174=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #414175=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #414176=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #414177=DIRECTION('',(0.,0.,1.)); #414178=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #414179=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #414180=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414181=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414182=DIRECTION('',(0.,0.,1.)); #414183=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #414184=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #414185=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #414186=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #414187=DIRECTION('',(0.,0.,1.)); #414188=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #414189=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #414190=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #414191=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #414192=DIRECTION('',(0.,0.,1.)); #414193=DIRECTION('center_axis',(1.,0.,0.)); #414194=DIRECTION('ref_axis',(0.,1.,0.)); #414195=DIRECTION('',(0.,1.,0.)); #414196=DIRECTION('',(0.,1.,0.)); #414197=DIRECTION('',(0.,0.,1.)); #414198=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #414199=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #414200=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #414201=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #414202=DIRECTION('',(0.,0.,1.)); #414203=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #414204=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #414205=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #414206=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #414207=DIRECTION('',(0.,0.,1.)); #414208=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #414209=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #414210=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #414211=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #414212=DIRECTION('',(0.,0.,1.)); #414213=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #414214=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #414215=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #414216=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #414217=DIRECTION('',(0.,0.,1.)); #414218=DIRECTION('center_axis',(0.,-1.,0.)); #414219=DIRECTION('ref_axis',(1.,0.,0.)); #414220=DIRECTION('',(1.,0.,0.)); #414221=DIRECTION('',(1.,0.,0.)); #414222=DIRECTION('',(0.,0.,1.)); #414223=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414224=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414225=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414226=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414227=DIRECTION('',(0.,0.,1.)); #414228=DIRECTION('center_axis',(1.,0.,0.)); #414229=DIRECTION('ref_axis',(0.,1.,0.)); #414230=DIRECTION('',(0.,1.,0.)); #414231=DIRECTION('',(0.,1.,0.)); #414232=DIRECTION('',(0.,0.,1.)); #414233=DIRECTION('center_axis',(0.982611922978298,-0.18567123853977,0.)); #414234=DIRECTION('ref_axis',(0.18567123853977,0.982611922978298,0.)); #414235=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #414236=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #414237=DIRECTION('',(0.,0.,1.)); #414238=DIRECTION('center_axis',(0.839854000787644,-0.54281235925593,0.)); #414239=DIRECTION('ref_axis',(0.54281235925593,0.839854000787644,0.)); #414240=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #414241=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #414242=DIRECTION('',(0.,0.,1.)); #414243=DIRECTION('center_axis',(0.715039514836094,-0.699084038026161,0.)); #414244=DIRECTION('ref_axis',(0.699084038026161,0.715039514836094,0.)); #414245=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #414246=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #414247=DIRECTION('',(0.,0.,1.)); #414248=DIRECTION('center_axis',(0.563583164549436,-0.826059329973606,0.)); #414249=DIRECTION('ref_axis',(0.826059329973606,0.563583164549436,0.)); #414250=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #414251=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #414252=DIRECTION('',(0.,0.,1.)); #414253=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #414254=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #414255=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #414256=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #414257=DIRECTION('',(0.,0.,1.)); #414258=DIRECTION('center_axis',(0.,-1.,0.)); #414259=DIRECTION('ref_axis',(1.,0.,0.)); #414260=DIRECTION('',(1.,0.,0.)); #414261=DIRECTION('',(1.,0.,0.)); #414262=DIRECTION('',(0.,0.,1.)); #414263=DIRECTION('center_axis',(-0.196699828896315,-0.980463756246074, 0.)); #414264=DIRECTION('ref_axis',(0.980463756246074,-0.196699828896315,0.)); #414265=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #414266=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #414267=DIRECTION('',(0.,0.,1.)); #414268=DIRECTION('center_axis',(-0.56162882618623,-0.827389304739116,0.)); #414269=DIRECTION('ref_axis',(0.827389304739116,-0.56162882618623,0.)); #414270=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #414271=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #414272=DIRECTION('',(0.,0.,1.)); #414273=DIRECTION('center_axis',(-0.715039514836111,-0.699084038026144, 0.)); #414274=DIRECTION('ref_axis',(0.699084038026144,-0.715039514836111,0.)); #414275=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #414276=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #414277=DIRECTION('',(0.,0.,1.)); #414278=DIRECTION('center_axis',(-0.832343802234883,-0.554259681810952, 0.)); #414279=DIRECTION('ref_axis',(0.554259681810952,-0.832343802234883,0.)); #414280=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #414281=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #414282=DIRECTION('',(0.,0.,1.)); #414283=DIRECTION('center_axis',(-0.980008419561333,-0.198956019232641, 0.)); #414284=DIRECTION('ref_axis',(0.198956019232641,-0.980008419561333,0.)); #414285=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #414286=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #414287=DIRECTION('',(0.,0.,1.)); #414288=DIRECTION('center_axis',(-1.,0.,0.)); #414289=DIRECTION('ref_axis',(0.,-1.,0.)); #414290=DIRECTION('',(0.,-1.,0.)); #414291=DIRECTION('',(0.,-1.,0.)); #414292=DIRECTION('',(0.,0.,1.)); #414293=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #414294=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #414295=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #414296=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #414297=DIRECTION('',(0.,0.,1.)); #414298=DIRECTION('center_axis',(0.,-1.,0.)); #414299=DIRECTION('ref_axis',(1.,0.,0.)); #414300=DIRECTION('',(1.,0.,0.)); #414301=DIRECTION('',(1.,0.,0.)); #414302=DIRECTION('',(0.,0.,1.)); #414303=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #414304=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #414305=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #414306=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #414307=DIRECTION('',(0.,0.,1.)); #414308=DIRECTION('center_axis',(1.,0.,0.)); #414309=DIRECTION('ref_axis',(0.,1.,0.)); #414310=DIRECTION('',(0.,1.,0.)); #414311=DIRECTION('',(0.,1.,0.)); #414312=DIRECTION('',(0.,0.,1.)); #414313=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #414314=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #414315=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414316=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414317=DIRECTION('',(0.,0.,1.)); #414318=DIRECTION('center_axis',(0.,1.,0.)); #414319=DIRECTION('ref_axis',(-1.,0.,0.)); #414320=DIRECTION('',(-1.,0.,0.)); #414321=DIRECTION('',(-1.,0.,0.)); #414322=DIRECTION('',(0.,0.,1.)); #414323=DIRECTION('center_axis',(0.196699828896585,0.98046375624602,0.)); #414324=DIRECTION('ref_axis',(-0.98046375624602,0.196699828896585,0.)); #414325=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #414326=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #414327=DIRECTION('',(0.,0.,1.)); #414328=DIRECTION('center_axis',(0.561635254820536,0.82738494096924,0.)); #414329=DIRECTION('ref_axis',(-0.827384940969239,0.561635254820536,0.)); #414330=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #414331=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #414332=DIRECTION('',(0.,0.,1.)); #414333=DIRECTION('center_axis',(0.715042436930051,0.69908104922758,0.)); #414334=DIRECTION('ref_axis',(-0.69908104922758,0.715042436930051,0.)); #414335=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #414336=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #414337=DIRECTION('',(0.,0.,1.)); #414338=DIRECTION('center_axis',(0.832434679563789,0.554123185094734,0.)); #414339=DIRECTION('ref_axis',(-0.554123185094734,0.832434679563789,0.)); #414340=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #414341=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #414342=DIRECTION('',(0.,0.,1.)); #414343=DIRECTION('center_axis',(0.707102543829842,0.707111018517861,0.)); #414344=DIRECTION('ref_axis',(-0.707111018517861,0.707102543829842,0.)); #414345=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #414346=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #414347=DIRECTION('',(0.,0.,1.)); #414348=DIRECTION('center_axis',(0.71113578107923,0.703054692658283,0.)); #414349=DIRECTION('ref_axis',(-0.703054692658283,0.71113578107923,0.)); #414350=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #414351=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #414352=DIRECTION('',(0.,0.,1.)); #414353=DIRECTION('center_axis',(0.715080716772187,0.699041893237147,0.)); #414354=DIRECTION('ref_axis',(-0.699041893237147,0.715080716772187,0.)); #414355=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #414356=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #414357=DIRECTION('',(0.,0.,1.)); #414358=DIRECTION('center_axis',(0.828019304303592,0.56069959131481,0.)); #414359=DIRECTION('ref_axis',(-0.56069959131481,0.828019304303592,0.)); #414360=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #414361=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #414362=DIRECTION('',(0.,0.,1.)); #414363=DIRECTION('center_axis',(0.976685690837549,0.214674314516619,0.)); #414364=DIRECTION('ref_axis',(-0.214674314516619,0.976685690837549,0.)); #414365=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #414366=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #414367=DIRECTION('',(0.,0.,1.)); #414368=DIRECTION('center_axis',(0.983050529880602,-0.183334818573744,0.)); #414369=DIRECTION('ref_axis',(0.183334818573744,0.983050529880602,0.)); #414370=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #414371=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #414372=DIRECTION('',(0.,0.,1.)); #414373=DIRECTION('center_axis',(0.833659859749789,-0.552278225391843,0.)); #414374=DIRECTION('ref_axis',(0.552278225391843,0.833659859749789,0.)); #414375=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #414376=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #414377=DIRECTION('',(0.,0.,1.)); #414378=DIRECTION('center_axis',(0.707183795484354,-0.707029758499841,0.)); #414379=DIRECTION('ref_axis',(0.707029758499841,0.707183795484354,0.)); #414380=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #414381=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #414382=DIRECTION('',(0.,0.,1.)); #414383=DIRECTION('center_axis',(0.691067810975528,-0.722789928425605,0.)); #414384=DIRECTION('ref_axis',(0.722789928425605,0.691067810975528,0.)); #414385=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #414386=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #414387=DIRECTION('',(0.,0.,1.)); #414388=DIRECTION('center_axis',(0.675121408869211,-0.737706637686317,0.)); #414389=DIRECTION('ref_axis',(0.737706637686317,0.675121408869211,0.)); #414390=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #414391=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #414392=DIRECTION('',(0.,0.,1.)); #414393=DIRECTION('center_axis',(0.540479579461297,-0.841357132367308,0.)); #414394=DIRECTION('ref_axis',(0.841357132367308,0.540479579461297,0.)); #414395=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #414396=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #414397=DIRECTION('',(0.,0.,1.)); #414398=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #414399=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #414400=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #414401=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #414402=DIRECTION('',(0.,0.,1.)); #414403=DIRECTION('center_axis',(0.,-1.,0.)); #414404=DIRECTION('ref_axis',(1.,0.,0.)); #414405=DIRECTION('',(1.,0.,0.)); #414406=DIRECTION('',(1.,0.,0.)); #414407=DIRECTION('',(0.,0.,1.)); #414408=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #414409=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #414410=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #414411=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #414412=DIRECTION('',(0.,0.,1.)); #414413=DIRECTION('center_axis',(1.,0.,0.)); #414414=DIRECTION('ref_axis',(0.,1.,0.)); #414415=DIRECTION('',(0.,1.,0.)); #414416=DIRECTION('',(0.,1.,0.)); #414417=DIRECTION('',(0.,0.,1.)); #414418=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #414419=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #414420=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414421=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414422=DIRECTION('',(0.,0.,1.)); #414423=DIRECTION('center_axis',(0.,1.,0.)); #414424=DIRECTION('ref_axis',(-1.,0.,0.)); #414425=DIRECTION('',(-1.,0.,0.)); #414426=DIRECTION('',(-1.,0.,0.)); #414427=DIRECTION('',(0.,0.,1.)); #414428=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #414429=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #414430=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414431=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414432=DIRECTION('',(0.,0.,1.)); #414433=DIRECTION('center_axis',(0.538911466745984,0.842362410729308,0.)); #414434=DIRECTION('ref_axis',(-0.842362410729308,0.538911466745984,0.)); #414435=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #414436=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #414437=DIRECTION('',(0.,0.,1.)); #414438=DIRECTION('center_axis',(0.824745549033933,0.565504004715012,0.)); #414439=DIRECTION('ref_axis',(-0.565504004715012,0.824745549033933,0.)); #414440=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #414441=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #414442=DIRECTION('',(0.,0.,1.)); #414443=DIRECTION('center_axis',(0.980008419561333,0.198956019232641,0.)); #414444=DIRECTION('ref_axis',(-0.198956019232641,0.980008419561333,0.)); #414445=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #414446=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #414447=DIRECTION('',(0.,0.,1.)); #414448=DIRECTION('center_axis',(1.,0.,0.)); #414449=DIRECTION('ref_axis',(0.,1.,0.)); #414450=DIRECTION('',(0.,1.,0.)); #414451=DIRECTION('',(0.,1.,0.)); #414452=DIRECTION('',(0.,0.,1.)); #414453=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #414454=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #414455=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #414456=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #414457=DIRECTION('',(0.,0.,1.)); #414458=DIRECTION('center_axis',(0.820212632122557,0.572058771549381,0.)); #414459=DIRECTION('ref_axis',(-0.572058771549381,0.820212632122557,0.)); #414460=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #414461=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #414462=DIRECTION('',(0.,0.,1.)); #414463=DIRECTION('center_axis',(0.973151456886414,0.230165683714686,0.)); #414464=DIRECTION('ref_axis',(-0.230165683714686,0.973151456886414,0.)); #414465=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #414466=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #414467=DIRECTION('',(0.,0.,1.)); #414468=DIRECTION('center_axis',(0.985847618607377,-0.167643887112424,0.)); #414469=DIRECTION('ref_axis',(0.167643887112424,0.985847618607377,0.)); #414470=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #414471=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #414472=DIRECTION('',(0.,0.,1.)); #414473=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #414474=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #414475=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #414476=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #414477=DIRECTION('',(0.,0.,1.)); #414478=DIRECTION('center_axis',(0.565504004714897,-0.824745549034012,0.)); #414479=DIRECTION('ref_axis',(0.824745549034012,0.565504004714897,0.)); #414480=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #414481=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #414482=DIRECTION('',(0.,0.,1.)); #414483=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #414484=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #414485=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #414486=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #414487=DIRECTION('',(0.,0.,1.)); #414488=DIRECTION('center_axis',(0.,-1.,0.)); #414489=DIRECTION('ref_axis',(1.,0.,0.)); #414490=DIRECTION('',(1.,0.,0.)); #414491=DIRECTION('',(1.,0.,0.)); #414492=DIRECTION('',(0.,0.,1.)); #414493=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #414494=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #414495=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #414496=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #414497=DIRECTION('',(0.,0.,1.)); #414498=DIRECTION('center_axis',(1.,0.,0.)); #414499=DIRECTION('ref_axis',(0.,1.,0.)); #414500=DIRECTION('',(0.,1.,0.)); #414501=DIRECTION('',(0.,1.,0.)); #414502=DIRECTION('',(0.,0.,1.)); #414503=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #414504=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #414505=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #414506=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #414507=DIRECTION('',(0.,0.,1.)); #414508=DIRECTION('center_axis',(0.,1.,0.)); #414509=DIRECTION('ref_axis',(-1.,0.,0.)); #414510=DIRECTION('',(-1.,0.,0.)); #414511=DIRECTION('',(-1.,0.,0.)); #414512=DIRECTION('',(0.,0.,1.)); #414513=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #414514=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #414515=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414516=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #414517=DIRECTION('',(0.,0.,1.)); #414518=DIRECTION('center_axis',(-1.,0.,0.)); #414519=DIRECTION('ref_axis',(0.,-1.,0.)); #414520=DIRECTION('',(0.,-1.,0.)); #414521=DIRECTION('',(0.,-1.,0.)); #414522=DIRECTION('',(0.,0.,1.)); #414523=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #414524=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #414525=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #414526=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #414527=DIRECTION('',(0.,0.,1.)); #414528=DIRECTION('center_axis',(0.,-1.,0.)); #414529=DIRECTION('ref_axis',(1.,0.,0.)); #414530=DIRECTION('',(1.,0.,0.)); #414531=DIRECTION('',(1.,0.,0.)); #414532=DIRECTION('',(0.,0.,1.)); #414533=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #414534=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #414535=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #414536=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #414537=DIRECTION('',(0.,0.,1.)); #414538=DIRECTION('center_axis',(-0.538911466745984,-0.842362410729308, 0.)); #414539=DIRECTION('ref_axis',(0.842362410729308,-0.538911466745984,0.)); #414540=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #414541=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #414542=DIRECTION('',(0.,0.,1.)); #414543=DIRECTION('center_axis',(-0.824745549033886,-0.565504004715081, 0.)); #414544=DIRECTION('ref_axis',(0.565504004715081,-0.824745549033886,0.)); #414545=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #414546=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #414547=DIRECTION('',(0.,0.,1.)); #414548=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #414549=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #414550=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #414551=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #414552=DIRECTION('',(0.,0.,1.)); #414553=DIRECTION('center_axis',(-1.,0.,0.)); #414554=DIRECTION('ref_axis',(0.,-1.,0.)); #414555=DIRECTION('',(0.,-1.,0.)); #414556=DIRECTION('',(0.,-1.,0.)); #414557=DIRECTION('',(0.,0.,1.)); #414558=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #414559=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #414560=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #414561=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #414562=DIRECTION('',(0.,0.,1.)); #414563=DIRECTION('center_axis',(-0.820212632122666,-0.572058771549225, 0.)); #414564=DIRECTION('ref_axis',(0.572058771549225,-0.820212632122666,0.)); #414565=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #414566=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #414567=DIRECTION('',(0.,0.,1.)); #414568=DIRECTION('center_axis',(-0.973151456886414,-0.230165683714686, 0.)); #414569=DIRECTION('ref_axis',(0.230165683714686,-0.973151456886414,0.)); #414570=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #414571=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #414572=DIRECTION('',(0.,0.,1.)); #414573=DIRECTION('center_axis',(-0.985847618607377,0.167643887112424,0.)); #414574=DIRECTION('ref_axis',(-0.167643887112424,-0.985847618607377,0.)); #414575=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #414576=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #414577=DIRECTION('',(0.,0.,1.)); #414578=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #414579=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #414580=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #414581=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #414582=DIRECTION('',(0.,0.,1.)); #414583=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #414584=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #414585=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #414586=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #414587=DIRECTION('',(0.,0.,1.)); #414588=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #414589=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #414590=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414591=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414592=DIRECTION('',(0.,0.,1.)); #414593=DIRECTION('center_axis',(0.,1.,0.)); #414594=DIRECTION('ref_axis',(-1.,0.,0.)); #414595=DIRECTION('',(-1.,0.,0.)); #414596=DIRECTION('',(-1.,0.,0.)); #414597=DIRECTION('',(0.,0.,1.)); #414598=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #414599=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #414600=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #414601=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #414602=DIRECTION('',(0.,0.,1.)); #414603=DIRECTION('center_axis',(-1.,0.,0.)); #414604=DIRECTION('ref_axis',(0.,-1.,0.)); #414605=DIRECTION('',(0.,-1.,0.)); #414606=DIRECTION('',(0.,-1.,0.)); #414607=DIRECTION('',(0.,0.,1.)); #414608=DIRECTION('center_axis',(-0.977078051684027,0.212881377572923,0.)); #414609=DIRECTION('ref_axis',(-0.212881377572923,-0.977078051684027,0.)); #414610=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #414611=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #414612=DIRECTION('',(0.,0.,1.)); #414613=DIRECTION('center_axis',(-0.80840798051023,0.588622576059882,0.)); #414614=DIRECTION('ref_axis',(-0.588622576059882,-0.80840798051023,0.)); #414615=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #414616=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #414617=DIRECTION('',(0.,0.,1.)); #414618=DIRECTION('center_axis',(-0.675123051628175,0.737705134291649,0.)); #414619=DIRECTION('ref_axis',(-0.737705134291649,-0.675123051628175,0.)); #414620=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #414621=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #414622=DIRECTION('',(0.,0.,1.)); #414623=DIRECTION('center_axis',(-0.540452160249097,0.841374745569466,0.)); #414624=DIRECTION('ref_axis',(-0.841374745569466,-0.540452160249097,0.)); #414625=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #414626=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #414627=DIRECTION('',(0.,0.,1.)); #414628=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #414629=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #414630=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #414631=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #414632=DIRECTION('',(0.,0.,1.)); #414633=DIRECTION('center_axis',(0.,1.,0.)); #414634=DIRECTION('ref_axis',(-1.,0.,0.)); #414635=DIRECTION('',(-1.,0.,0.)); #414636=DIRECTION('',(-1.,0.,0.)); #414637=DIRECTION('',(0.,0.,1.)); #414638=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #414639=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #414640=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #414641=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #414642=DIRECTION('',(0.,0.,1.)); #414643=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #414644=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #414645=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414646=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414647=DIRECTION('',(0.,0.,1.)); #414648=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #414649=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #414650=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #414651=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #414652=DIRECTION('',(0.,0.,1.)); #414653=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #414654=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #414655=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #414656=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #414657=DIRECTION('',(0.,0.,1.)); #414658=DIRECTION('center_axis',(1.,0.,0.)); #414659=DIRECTION('ref_axis',(0.,1.,0.)); #414660=DIRECTION('',(0.,1.,0.)); #414661=DIRECTION('',(0.,1.,0.)); #414662=DIRECTION('',(0.,0.,1.)); #414663=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414664=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414665=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414666=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414667=DIRECTION('',(0.,0.,1.)); #414668=DIRECTION('center_axis',(0.,1.,0.)); #414669=DIRECTION('ref_axis',(-1.,0.,0.)); #414670=DIRECTION('',(-1.,0.,0.)); #414671=DIRECTION('',(-1.,0.,0.)); #414672=DIRECTION('',(0.,0.,1.)); #414673=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #414674=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #414675=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #414676=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #414677=DIRECTION('',(0.,0.,1.)); #414678=DIRECTION('center_axis',(-1.,0.,0.)); #414679=DIRECTION('ref_axis',(0.,-1.,0.)); #414680=DIRECTION('',(0.,-1.,0.)); #414681=DIRECTION('',(0.,-1.,0.)); #414682=DIRECTION('',(0.,0.,1.)); #414683=DIRECTION('center_axis',(-0.707106781186581,-0.707106781186514, 0.)); #414684=DIRECTION('ref_axis',(0.707106781186514,-0.707106781186581,0.)); #414685=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #414686=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #414687=DIRECTION('',(0.,0.,1.)); #414688=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #414689=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #414690=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #414691=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #414692=DIRECTION('',(0.,0.,1.)); #414693=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #414694=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #414695=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #414696=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #414697=DIRECTION('',(0.,0.,1.)); #414698=DIRECTION('center_axis',(-0.985842168327545,0.167675934907917,0.)); #414699=DIRECTION('ref_axis',(-0.167675934907917,-0.985842168327545,0.)); #414700=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #414701=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #414702=DIRECTION('',(0.,0.,1.)); #414703=DIRECTION('center_axis',(-0.84237737907358,0.538888069291876,0.)); #414704=DIRECTION('ref_axis',(-0.538888069291876,-0.84237737907358,0.)); #414705=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #414706=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #414707=DIRECTION('',(0.,0.,1.)); #414708=DIRECTION('center_axis',(-0.565488626065756,0.824756093515085,0.)); #414709=DIRECTION('ref_axis',(-0.824756093515085,-0.565488626065756,0.)); #414710=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #414711=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #414712=DIRECTION('',(0.,0.,1.)); #414713=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #414714=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #414715=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414716=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #414717=DIRECTION('',(0.,0.,1.)); #414718=DIRECTION('center_axis',(0.,1.,0.)); #414719=DIRECTION('ref_axis',(-1.,0.,0.)); #414720=DIRECTION('',(-1.,0.,0.)); #414721=DIRECTION('',(-1.,0.,0.)); #414722=DIRECTION('',(0.,0.,1.)); #414723=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #414724=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #414725=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414726=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #414727=DIRECTION('',(0.,0.,1.)); #414728=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #414729=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #414730=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414731=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #414732=DIRECTION('',(0.,0.,1.)); #414733=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #414734=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #414735=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #414736=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #414737=DIRECTION('',(0.,0.,1.)); #414738=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #414739=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #414740=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #414741=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #414742=DIRECTION('',(0.,0.,1.)); #414743=DIRECTION('center_axis',(1.,0.,0.)); #414744=DIRECTION('ref_axis',(0.,1.,0.)); #414745=DIRECTION('',(0.,1.,0.)); #414746=DIRECTION('',(0.,1.,0.)); #414747=DIRECTION('',(0.,0.,1.)); #414748=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414749=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414750=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414751=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414752=DIRECTION('',(0.,0.,1.)); #414753=DIRECTION('center_axis',(0.,1.,0.)); #414754=DIRECTION('ref_axis',(-1.,0.,0.)); #414755=DIRECTION('',(-1.,0.,0.)); #414756=DIRECTION('',(-1.,0.,0.)); #414757=DIRECTION('',(0.,0.,1.)); #414758=DIRECTION('center_axis',(0.199846156682573,0.979827287668191,0.)); #414759=DIRECTION('ref_axis',(-0.979827287668191,0.199846156682573,0.)); #414760=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #414761=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #414762=DIRECTION('',(0.,0.,1.)); #414763=DIRECTION('center_axis',(0.566905176607873,0.823783054411291,0.)); #414764=DIRECTION('ref_axis',(-0.823783054411291,0.566905176607873,0.)); #414765=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #414766=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #414767=DIRECTION('',(0.,0.,1.)); #414768=DIRECTION('center_axis',(0.719515510730693,0.694476370957249,0.)); #414769=DIRECTION('ref_axis',(-0.694476370957248,0.719515510730693,0.)); #414770=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #414771=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #414772=DIRECTION('',(0.,0.,1.)); #414773=DIRECTION('center_axis',(0.834178434634006,0.551494641126783,0.)); #414774=DIRECTION('ref_axis',(-0.551494641126783,0.834178434634006,0.)); #414775=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #414776=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #414777=DIRECTION('',(0.,0.,1.)); #414778=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414779=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414780=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414781=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #414782=DIRECTION('',(0.,0.,1.)); #414783=DIRECTION('center_axis',(0.712640885669669,0.701529021546472,0.)); #414784=DIRECTION('ref_axis',(-0.701529021546472,0.712640885669669,0.)); #414785=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #414786=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #414787=DIRECTION('',(0.,0.,1.)); #414788=DIRECTION('center_axis',(0.71951552769576,0.694476353380511,0.)); #414789=DIRECTION('ref_axis',(-0.694476353380511,0.71951552769576,0.)); #414790=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #414791=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #414792=DIRECTION('',(0.,0.,1.)); #414793=DIRECTION('center_axis',(0.,1.,0.)); #414794=DIRECTION('ref_axis',(-1.,0.,0.)); #414795=DIRECTION('',(-1.,0.,0.)); #414796=DIRECTION('',(-1.,0.,0.)); #414797=DIRECTION('',(0.,0.,1.)); #414798=DIRECTION('center_axis',(0.00962918318140685,0.999953638340928, 0.)); #414799=DIRECTION('ref_axis',(-0.999953638340928,0.00962918318140685,0.)); #414800=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #414801=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #414802=DIRECTION('',(0.,0.,1.)); #414803=DIRECTION('center_axis',(0.0177027626757272,0.99984329381841,0.)); #414804=DIRECTION('ref_axis',(-0.99984329381841,0.0177027626757272,0.)); #414805=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #414806=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #414807=DIRECTION('',(0.,0.,1.)); #414808=DIRECTION('center_axis',(-0.19891199786221,0.980017355512883,0.)); #414809=DIRECTION('ref_axis',(-0.980017355512883,-0.19891199786221,0.)); #414810=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #414811=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #414812=DIRECTION('',(0.,0.,1.)); #414813=DIRECTION('center_axis',(0.,1.,0.)); #414814=DIRECTION('ref_axis',(-1.,0.,0.)); #414815=DIRECTION('',(-1.,0.,0.)); #414816=DIRECTION('',(-1.,0.,0.)); #414817=DIRECTION('',(0.,0.,1.)); #414818=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #414819=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #414820=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #414821=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #414822=DIRECTION('',(0.,0.,1.)); #414823=DIRECTION('center_axis',(0.525373540973398,0.850871695642224,0.)); #414824=DIRECTION('ref_axis',(-0.850871695642224,0.525373540973398,0.)); #414825=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #414826=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #414827=DIRECTION('',(0.,0.,1.)); #414828=DIRECTION('center_axis',(0.81569385217887,0.578483828224779,0.)); #414829=DIRECTION('ref_axis',(-0.578483828224779,0.81569385217887,0.)); #414830=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #414831=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #414832=DIRECTION('',(0.,0.,1.)); #414833=DIRECTION('center_axis',(0.976685690837551,0.21467431451661,0.)); #414834=DIRECTION('ref_axis',(-0.21467431451661,0.976685690837551,0.)); #414835=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #414836=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #414837=DIRECTION('',(0.,0.,1.)); #414838=DIRECTION('center_axis',(0.98305052988062,-0.183334818573646,0.)); #414839=DIRECTION('ref_axis',(0.183334818573646,0.98305052988062,0.)); #414840=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #414841=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #414842=DIRECTION('',(0.,0.,1.)); #414843=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #414844=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #414845=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #414846=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #414847=DIRECTION('',(0.,0.,1.)); #414848=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #414849=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #414850=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #414851=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #414852=DIRECTION('',(0.,0.,1.)); #414853=DIRECTION('center_axis',(1.,0.,0.)); #414854=DIRECTION('ref_axis',(0.,1.,0.)); #414855=DIRECTION('',(0.,1.,0.)); #414856=DIRECTION('',(0.,1.,0.)); #414857=DIRECTION('',(0.,0.,1.)); #414858=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #414859=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #414860=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #414861=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #414862=DIRECTION('',(0.,0.,1.)); #414863=DIRECTION('center_axis',(0.842362410729284,-0.538911466746022,0.)); #414864=DIRECTION('ref_axis',(0.538911466746022,0.842362410729284,0.)); #414865=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #414866=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #414867=DIRECTION('',(0.,0.,1.)); #414868=DIRECTION('center_axis',(0.565504004714981,-0.824745549033954,0.)); #414869=DIRECTION('ref_axis',(0.824745549033954,0.565504004714981,0.)); #414870=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #414871=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #414872=DIRECTION('',(0.,0.,1.)); #414873=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #414874=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #414875=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #414876=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #414877=DIRECTION('',(0.,0.,1.)); #414878=DIRECTION('center_axis',(0.,-1.,0.)); #414879=DIRECTION('ref_axis',(1.,0.,0.)); #414880=DIRECTION('',(1.,0.,0.)); #414881=DIRECTION('',(1.,0.,0.)); #414882=DIRECTION('',(0.,0.,1.)); #414883=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414884=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414885=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414886=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414887=DIRECTION('',(0.,0.,1.)); #414888=DIRECTION('center_axis',(1.,0.,0.)); #414889=DIRECTION('ref_axis',(0.,1.,0.)); #414890=DIRECTION('',(0.,1.,0.)); #414891=DIRECTION('',(0.,1.,0.)); #414892=DIRECTION('',(0.,0.,1.)); #414893=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #414894=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #414895=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414896=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #414897=DIRECTION('',(0.,0.,1.)); #414898=DIRECTION('center_axis',(0.,1.,0.)); #414899=DIRECTION('ref_axis',(-1.,0.,0.)); #414900=DIRECTION('',(-1.,0.,0.)); #414901=DIRECTION('',(-1.,0.,0.)); #414902=DIRECTION('',(0.,0.,1.)); #414903=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #414904=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #414905=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #414906=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #414907=DIRECTION('',(0.,0.,1.)); #414908=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #414909=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #414910=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #414911=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #414912=DIRECTION('',(0.,0.,1.)); #414913=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #414914=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #414915=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #414916=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #414917=DIRECTION('',(0.,0.,1.)); #414918=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #414919=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #414920=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #414921=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #414922=DIRECTION('',(0.,0.,1.)); #414923=DIRECTION('center_axis',(1.,0.,0.)); #414924=DIRECTION('ref_axis',(0.,1.,0.)); #414925=DIRECTION('',(0.,1.,0.)); #414926=DIRECTION('',(0.,1.,0.)); #414927=DIRECTION('',(0.,0.,1.)); #414928=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #414929=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #414930=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414931=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #414932=DIRECTION('',(0.,0.,1.)); #414933=DIRECTION('center_axis',(0.,1.,0.)); #414934=DIRECTION('ref_axis',(-1.,0.,0.)); #414935=DIRECTION('',(-1.,0.,0.)); #414936=DIRECTION('',(-1.,0.,0.)); #414937=DIRECTION('',(0.,0.,1.)); #414938=DIRECTION('center_axis',(0.191149856928741,0.981560865252951,0.)); #414939=DIRECTION('ref_axis',(-0.981560865252951,0.191149856928741,0.)); #414940=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #414941=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #414942=DIRECTION('',(0.,0.,1.)); #414943=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #414944=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #414945=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #414946=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #414947=DIRECTION('',(0.,0.,1.)); #414948=DIRECTION('center_axis',(0.707104777838369,0.707108784529051,0.)); #414949=DIRECTION('ref_axis',(-0.707108784529051,0.707104777838369,0.)); #414950=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #414951=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #414952=DIRECTION('center_axis',(0.,1.,0.)); #414953=DIRECTION('ref_axis',(-1.,0.,0.)); #414954=DIRECTION('',(-1.,0.,0.)); #414955=DIRECTION('',(0.,0.,1.)); #414956=DIRECTION('',(-1.,0.,0.)); #414957=DIRECTION('',(0.,0.,1.)); #414958=DIRECTION('center_axis',(-0.382685022283793,0.923878873943794,0.)); #414959=DIRECTION('ref_axis',(-0.923878873943794,-0.382685022283793,0.)); #414960=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #414961=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #414962=DIRECTION('',(0.,0.,1.)); #414963=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #414964=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #414965=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #414966=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #414967=DIRECTION('',(0.,0.,1.)); #414968=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #414969=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #414970=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #414971=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #414972=DIRECTION('',(0.,0.,1.)); #414973=DIRECTION('center_axis',(-1.,0.,0.)); #414974=DIRECTION('ref_axis',(0.,-1.,0.)); #414975=DIRECTION('',(0.,-1.,0.)); #414976=DIRECTION('',(0.,-1.,0.)); #414977=DIRECTION('',(0.,0.,1.)); #414978=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #414979=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #414980=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #414981=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #414982=DIRECTION('',(0.,0.,1.)); #414983=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #414984=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #414985=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #414986=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #414987=DIRECTION('',(0.,0.,1.)); #414988=DIRECTION('center_axis',(-0.382685022283811,-0.923878873943787, 0.)); #414989=DIRECTION('ref_axis',(0.923878873943787,-0.382685022283811,0.)); #414990=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #414991=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #414992=DIRECTION('',(0.,0.,1.)); #414993=DIRECTION('center_axis',(0.,-1.,0.)); #414994=DIRECTION('ref_axis',(1.,0.,0.)); #414995=DIRECTION('',(1.,0.,0.)); #414996=DIRECTION('',(1.,0.,0.)); #414997=DIRECTION('',(0.,0.,1.)); #414998=DIRECTION('center_axis',(0.382685866754976,-0.923878524150222,0.)); #414999=DIRECTION('ref_axis',(0.923878524150222,0.382685866754976,0.)); #415000=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #415001=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #415002=DIRECTION('',(0.,0.,1.)); #415003=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #415004=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #415005=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #415006=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #415007=DIRECTION('',(0.,0.,1.)); #415008=DIRECTION('center_axis',(0.923878524150215,-0.382685866754993,0.)); #415009=DIRECTION('ref_axis',(0.382685866754993,0.923878524150215,0.)); #415010=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #415011=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #415012=DIRECTION('',(0.,0.,1.)); #415013=DIRECTION('center_axis',(1.,0.,0.)); #415014=DIRECTION('ref_axis',(0.,1.,0.)); #415015=DIRECTION('',(0.,1.,0.)); #415016=DIRECTION('',(0.,1.,0.)); #415017=DIRECTION('',(0.,0.,1.)); #415018=DIRECTION('center_axis',(0.923878524150219,0.382685866754985,0.)); #415019=DIRECTION('ref_axis',(-0.382685866754985,0.923878524150219,0.)); #415020=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #415021=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #415022=DIRECTION('',(0.,0.,1.)); #415023=DIRECTION('center_axis',(0.70710797544717,0.707105586923908,0.)); #415024=DIRECTION('ref_axis',(-0.707105586923908,0.70710797544717,0.)); #415025=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #415026=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #415027=DIRECTION('',(0.,0.,1.)); #415028=DIRECTION('center_axis',(0.382685866754958,0.92387852415023,0.)); #415029=DIRECTION('ref_axis',(-0.92387852415023,0.382685866754958,0.)); #415030=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #415031=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #415032=DIRECTION('center_axis',(0.,1.,0.)); #415033=DIRECTION('ref_axis',(-1.,0.,0.)); #415034=DIRECTION('',(-1.,0.,0.)); #415035=DIRECTION('',(0.,0.,1.)); #415036=DIRECTION('',(-1.,0.,0.)); #415037=DIRECTION('',(0.,0.,1.)); #415038=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #415039=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #415040=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #415041=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #415042=DIRECTION('',(0.,0.,1.)); #415043=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #415044=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #415045=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #415046=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #415047=DIRECTION('',(0.,0.,1.)); #415048=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #415049=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #415050=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #415051=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #415052=DIRECTION('',(0.,0.,1.)); #415053=DIRECTION('center_axis',(0.,1.,0.)); #415054=DIRECTION('ref_axis',(-1.,0.,0.)); #415055=DIRECTION('',(-1.,0.,0.)); #415056=DIRECTION('',(-1.,0.,0.)); #415057=DIRECTION('',(0.,0.,1.)); #415058=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415059=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415060=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415061=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415062=DIRECTION('',(0.,0.,1.)); #415063=DIRECTION('center_axis',(-1.,0.,0.)); #415064=DIRECTION('ref_axis',(0.,-1.,0.)); #415065=DIRECTION('',(0.,-1.,0.)); #415066=DIRECTION('',(0.,-1.,0.)); #415067=DIRECTION('',(0.,0.,1.)); #415068=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #415069=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #415070=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #415071=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #415072=DIRECTION('',(0.,0.,1.)); #415073=DIRECTION('center_axis',(0.,-1.,0.)); #415074=DIRECTION('ref_axis',(1.,0.,0.)); #415075=DIRECTION('',(1.,0.,0.)); #415076=DIRECTION('',(1.,0.,0.)); #415077=DIRECTION('',(0.,0.,1.)); #415078=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #415079=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #415080=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #415081=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #415082=DIRECTION('',(0.,0.,1.)); #415083=DIRECTION('center_axis',(-0.525373540973715,-0.850871695642028, 0.)); #415084=DIRECTION('ref_axis',(0.850871695642028,-0.525373540973715,0.)); #415085=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #415086=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #415087=DIRECTION('',(0.,0.,1.)); #415088=DIRECTION('center_axis',(-0.81569385217891,-0.578483828224723,0.)); #415089=DIRECTION('ref_axis',(0.578483828224723,-0.81569385217891,0.)); #415090=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #415091=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #415092=DIRECTION('',(0.,0.,1.)); #415093=DIRECTION('center_axis',(-0.97668569083752,-0.21467431451675,0.)); #415094=DIRECTION('ref_axis',(0.21467431451675,-0.97668569083752,0.)); #415095=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #415096=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #415097=DIRECTION('',(0.,0.,1.)); #415098=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #415099=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #415100=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #415101=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #415102=DIRECTION('',(0.,0.,1.)); #415103=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #415104=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #415105=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #415106=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #415107=DIRECTION('',(0.,0.,1.)); #415108=DIRECTION('center_axis',(-0.707107405166971,0.707106157205574,0.)); #415109=DIRECTION('ref_axis',(-0.707106157205574,-0.707107405166971,0.)); #415110=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #415111=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #415112=DIRECTION('',(0.,0.,1.)); #415113=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #415114=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #415115=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #415116=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #415117=DIRECTION('',(0.,0.,1.)); #415118=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #415119=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #415120=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #415121=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #415122=DIRECTION('',(0.,0.,1.)); #415123=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #415124=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #415125=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #415126=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #415127=DIRECTION('',(0.,0.,1.)); #415128=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #415129=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #415130=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #415131=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #415132=DIRECTION('',(0.,0.,1.)); #415133=DIRECTION('center_axis',(-1.,0.,0.)); #415134=DIRECTION('ref_axis',(0.,-1.,0.)); #415135=DIRECTION('',(0.,-1.,0.)); #415136=DIRECTION('',(0.,-1.,0.)); #415137=DIRECTION('',(0.,0.,1.)); #415138=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #415139=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #415140=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #415141=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #415142=DIRECTION('',(0.,0.,1.)); #415143=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #415144=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #415145=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #415146=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #415147=DIRECTION('',(0.,0.,1.)); #415148=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #415149=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #415150=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #415151=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #415152=DIRECTION('',(0.,0.,1.)); #415153=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #415154=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #415155=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #415156=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #415157=DIRECTION('',(0.,0.,1.)); #415158=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #415159=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #415160=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #415161=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #415162=DIRECTION('',(0.,0.,1.)); #415163=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #415164=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #415165=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415166=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415167=DIRECTION('',(0.,0.,1.)); #415168=DIRECTION('center_axis',(-0.450178111980108,-0.8929387814929,0.)); #415169=DIRECTION('ref_axis',(0.8929387814929,-0.450178111980107,0.)); #415170=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #415171=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #415172=DIRECTION('',(0.,0.,1.)); #415173=DIRECTION('center_axis',(-0.252249312357546,-0.967662278078021, 0.)); #415174=DIRECTION('ref_axis',(0.967662278078021,-0.252249312357546,0.)); #415175=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #415176=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #415177=DIRECTION('',(0.,0.,1.)); #415178=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #415179=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #415180=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415181=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415182=DIRECTION('',(0.,0.,1.)); #415183=DIRECTION('center_axis',(0.,-1.,0.)); #415184=DIRECTION('ref_axis',(1.,0.,0.)); #415185=DIRECTION('',(1.,0.,0.)); #415186=DIRECTION('',(1.,0.,0.)); #415187=DIRECTION('',(0.,0.,1.)); #415188=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #415189=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #415190=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #415191=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #415192=DIRECTION('',(0.,0.,1.)); #415193=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #415194=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #415195=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #415196=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #415197=DIRECTION('',(0.,0.,1.)); #415198=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #415199=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #415200=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #415201=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #415202=DIRECTION('',(0.,0.,1.)); #415203=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #415204=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #415205=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #415206=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #415207=DIRECTION('',(0.,0.,1.)); #415208=DIRECTION('center_axis',(-1.,0.,0.)); #415209=DIRECTION('ref_axis',(0.,-1.,0.)); #415210=DIRECTION('',(0.,-1.,0.)); #415211=DIRECTION('',(0.,-1.,0.)); #415212=DIRECTION('',(0.,0.,1.)); #415213=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #415214=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #415215=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #415216=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #415217=DIRECTION('',(0.,0.,1.)); #415218=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #415219=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #415220=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #415221=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #415222=DIRECTION('',(0.,0.,1.)); #415223=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #415224=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #415225=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #415226=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #415227=DIRECTION('',(0.,0.,1.)); #415228=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #415229=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #415230=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #415231=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #415232=DIRECTION('',(0.,0.,1.)); #415233=DIRECTION('center_axis',(-0.707106425896587,-0.707107136476329, 0.)); #415234=DIRECTION('ref_axis',(0.707107136476329,-0.707106425896587,0.)); #415235=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #415236=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #415237=DIRECTION('',(0.,0.,1.)); #415238=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #415239=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #415240=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415241=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415242=DIRECTION('',(0.,0.,1.)); #415243=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #415244=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #415245=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #415246=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #415247=DIRECTION('',(0.,0.,1.)); #415248=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #415249=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #415250=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #415251=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #415252=DIRECTION('',(0.,0.,1.)); #415253=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #415254=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #415255=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415256=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415257=DIRECTION('',(0.,0.,1.)); #415258=DIRECTION('center_axis',(0.,-1.,0.)); #415259=DIRECTION('ref_axis',(1.,0.,0.)); #415260=DIRECTION('',(1.,0.,0.)); #415261=DIRECTION('',(1.,0.,0.)); #415262=DIRECTION('',(0.,0.,1.)); #415263=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #415264=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #415265=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #415266=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #415267=DIRECTION('',(0.,0.,1.)); #415268=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #415269=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #415270=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #415271=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #415272=DIRECTION('',(0.,0.,1.)); #415273=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #415274=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #415275=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #415276=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #415277=DIRECTION('',(0.,0.,1.)); #415278=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #415279=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #415280=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #415281=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #415282=DIRECTION('',(0.,0.,1.)); #415283=DIRECTION('center_axis',(-1.,0.,0.)); #415284=DIRECTION('ref_axis',(0.,-1.,0.)); #415285=DIRECTION('',(0.,-1.,0.)); #415286=DIRECTION('',(0.,-1.,0.)); #415287=DIRECTION('',(0.,0.,1.)); #415288=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #415289=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #415290=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #415291=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #415292=DIRECTION('',(0.,0.,1.)); #415293=DIRECTION('center_axis',(0.,-1.,0.)); #415294=DIRECTION('ref_axis',(1.,0.,0.)); #415295=DIRECTION('',(1.,0.,0.)); #415296=DIRECTION('',(1.,0.,0.)); #415297=DIRECTION('',(0.,0.,1.)); #415298=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #415299=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #415300=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #415301=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #415302=DIRECTION('',(0.,0.,1.)); #415303=DIRECTION('center_axis',(1.,0.,0.)); #415304=DIRECTION('ref_axis',(0.,1.,0.)); #415305=DIRECTION('',(0.,1.,0.)); #415306=DIRECTION('',(0.,1.,0.)); #415307=DIRECTION('',(0.,0.,1.)); #415308=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #415309=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #415310=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #415311=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #415312=DIRECTION('',(0.,0.,1.)); #415313=DIRECTION('center_axis',(0.842362410729481,-0.538911466745714,0.)); #415314=DIRECTION('ref_axis',(0.538911466745714,0.842362410729481,0.)); #415315=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #415316=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #415317=DIRECTION('',(0.,0.,1.)); #415318=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #415319=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #415320=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #415321=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #415322=DIRECTION('',(0.,0.,1.)); #415323=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #415324=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #415325=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #415326=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #415327=DIRECTION('',(0.,0.,1.)); #415328=DIRECTION('center_axis',(0.,-1.,0.)); #415329=DIRECTION('ref_axis',(1.,0.,0.)); #415330=DIRECTION('',(1.,0.,0.)); #415331=DIRECTION('',(1.,0.,0.)); #415332=DIRECTION('',(0.,0.,1.)); #415333=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #415334=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #415335=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #415336=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #415337=DIRECTION('',(0.,0.,1.)); #415338=DIRECTION('center_axis',(-0.55227822539186,-0.833659859749777,0.)); #415339=DIRECTION('ref_axis',(0.833659859749777,-0.55227822539186,0.)); #415340=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #415341=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #415342=DIRECTION('',(0.,0.,1.)); #415343=DIRECTION('center_axis',(-0.707106272520001,-0.707107289852728, 0.)); #415344=DIRECTION('ref_axis',(0.707107289852728,-0.707106272520001,0.)); #415345=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #415346=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #415347=DIRECTION('',(0.,0.,1.)); #415348=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #415349=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #415350=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415351=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #415352=DIRECTION('',(0.,0.,1.)); #415353=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #415354=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #415355=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #415356=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #415357=DIRECTION('',(0.,0.,1.)); #415358=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #415359=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #415360=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #415361=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #415362=DIRECTION('',(0.,0.,1.)); #415363=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #415364=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #415365=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415366=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #415367=DIRECTION('',(0.,0.,1.)); #415368=DIRECTION('center_axis',(0.,-1.,0.)); #415369=DIRECTION('ref_axis',(1.,0.,0.)); #415370=DIRECTION('',(1.,0.,0.)); #415371=DIRECTION('',(1.,0.,0.)); #415372=DIRECTION('',(0.,0.,1.)); #415373=DIRECTION('center_axis',(0.105885061288336,-0.994378375567352,0.)); #415374=DIRECTION('ref_axis',(0.994378375567352,0.105885061288336,0.)); #415375=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #415376=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #415377=DIRECTION('',(0.,0.,1.)); #415378=DIRECTION('center_axis',(0.312922107779005,-0.949778792384387,0.)); #415379=DIRECTION('ref_axis',(0.949778792384387,0.312922107779005,0.)); #415380=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #415381=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #415382=DIRECTION('',(0.,0.,1.)); #415383=DIRECTION('center_axis',(0.506114900434501,-0.86246606168485,0.)); #415384=DIRECTION('ref_axis',(0.86246606168485,0.506114900434501,0.)); #415385=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #415386=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #415387=DIRECTION('',(0.,0.,1.)); #415388=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #415389=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #415390=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #415391=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #415392=DIRECTION('',(0.,0.,1.)); #415393=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #415394=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #415395=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #415396=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #415397=DIRECTION('',(0.,0.,1.)); #415398=DIRECTION('center_axis',(0.778048811381633,-0.628203826084836,0.)); #415399=DIRECTION('ref_axis',(0.628203826084836,0.778048811381633,0.)); #415400=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #415401=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #415402=DIRECTION('',(0.,0.,1.)); #415403=DIRECTION('center_axis',(0.892938781492983,-0.450178111979944,0.)); #415404=DIRECTION('ref_axis',(0.450178111979944,0.892938781492983,0.)); #415405=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #415406=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #415407=DIRECTION('',(0.,0.,1.)); #415408=DIRECTION('center_axis',(0.967662278078046,-0.25224931235745,0.)); #415409=DIRECTION('ref_axis',(0.25224931235745,0.967662278078046,0.)); #415410=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #415411=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #415412=DIRECTION('',(0.,0.,1.)); #415413=DIRECTION('center_axis',(0.997238118319986,-0.0742706898420416, 0.)); #415414=DIRECTION('ref_axis',(0.0742706898420416,0.997238118319986,0.)); #415415=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #415416=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #415417=DIRECTION('',(0.,0.,1.)); #415418=DIRECTION('center_axis',(1.,0.,0.)); #415419=DIRECTION('ref_axis',(0.,1.,0.)); #415420=DIRECTION('',(0.,1.,0.)); #415421=DIRECTION('',(0.,1.,0.)); #415422=DIRECTION('',(0.,0.,1.)); #415423=DIRECTION('center_axis',(0.994378375567332,0.105885061288526,0.)); #415424=DIRECTION('ref_axis',(-0.105885061288526,0.994378375567332,0.)); #415425=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #415426=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #415427=DIRECTION('',(0.,0.,1.)); #415428=DIRECTION('center_axis',(0.949778792384449,0.312922107778818,0.)); #415429=DIRECTION('ref_axis',(-0.312922107778818,0.949778792384449,0.)); #415430=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #415431=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #415432=DIRECTION('',(0.,0.,1.)); #415433=DIRECTION('center_axis',(0.862466061684729,0.506114900434707,0.)); #415434=DIRECTION('ref_axis',(-0.506114900434707,0.862466061684729,0.)); #415435=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #415436=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #415437=DIRECTION('',(0.,0.,1.)); #415438=DIRECTION('center_axis',(0.757781125399854,0.652508824452,0.)); #415439=DIRECTION('ref_axis',(-0.652508824452,0.757781125399854,0.)); #415440=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #415441=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #415442=DIRECTION('',(0.,0.,1.)); #415443=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415444=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415445=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415446=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415447=DIRECTION('',(0.,0.,1.)); #415448=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #415449=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #415450=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #415451=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #415452=DIRECTION('',(0.,0.,1.)); #415453=DIRECTION('center_axis',(0.973144071552979,0.230196907019384,0.)); #415454=DIRECTION('ref_axis',(-0.230196907019384,0.973144071552979,0.)); #415455=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #415456=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #415457=DIRECTION('',(0.,0.,1.)); #415458=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #415459=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #415460=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #415461=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #415462=DIRECTION('',(0.,0.,1.)); #415463=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #415464=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #415465=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #415466=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #415467=DIRECTION('',(0.,0.,1.)); #415468=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #415469=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #415470=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #415471=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #415472=DIRECTION('',(0.,0.,1.)); #415473=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #415474=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #415475=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #415476=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #415477=DIRECTION('',(0.,0.,1.)); #415478=DIRECTION('center_axis',(0.,-1.,0.)); #415479=DIRECTION('ref_axis',(1.,0.,0.)); #415480=DIRECTION('',(1.,0.,0.)); #415481=DIRECTION('',(1.,0.,0.)); #415482=DIRECTION('',(0.,0.,1.)); #415483=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #415484=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #415485=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #415486=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #415487=DIRECTION('',(0.,0.,1.)); #415488=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #415489=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #415490=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #415491=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #415492=DIRECTION('',(0.,0.,1.)); #415493=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #415494=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #415495=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #415496=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #415497=DIRECTION('',(0.,0.,1.)); #415498=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #415499=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #415500=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #415501=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #415502=DIRECTION('',(0.,0.,1.)); #415503=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #415504=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #415505=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #415506=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #415507=DIRECTION('',(0.,0.,1.)); #415508=DIRECTION('center_axis',(-1.,0.,0.)); #415509=DIRECTION('ref_axis',(0.,-1.,0.)); #415510=DIRECTION('',(0.,-1.,0.)); #415511=DIRECTION('',(0.,-1.,0.)); #415512=DIRECTION('',(0.,0.,1.)); #415513=DIRECTION('center_axis',(-0.981560865252906,0.191149856928974,0.)); #415514=DIRECTION('ref_axis',(-0.191149856928974,-0.981560865252906,0.)); #415515=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #415516=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #415517=DIRECTION('',(0.,0.,1.)); #415518=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #415519=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #415520=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #415521=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #415522=DIRECTION('',(0.,0.,1.)); #415523=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #415524=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #415525=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #415526=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #415527=DIRECTION('',(0.,0.,1.)); #415528=DIRECTION('center_axis',(-1.,0.,0.)); #415529=DIRECTION('ref_axis',(0.,-1.,0.)); #415530=DIRECTION('',(0.,-1.,0.)); #415531=DIRECTION('',(0.,-1.,0.)); #415532=DIRECTION('',(0.,0.,1.)); #415533=DIRECTION('center_axis',(-0.981560865252928,0.191149856928859,0.)); #415534=DIRECTION('ref_axis',(-0.191149856928859,-0.981560865252928,0.)); #415535=DIRECTION('',(-0.191149856928859,-0.981560865252928,0.)); #415536=DIRECTION('',(-0.191149856928859,-0.981560865252928,0.)); #415537=DIRECTION('',(0.,0.,1.)); #415538=DIRECTION('center_axis',(-0.833659859749666,0.552278225392028,0.)); #415539=DIRECTION('ref_axis',(-0.552278225392028,-0.833659859749665,0.)); #415540=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #415541=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #415542=DIRECTION('',(0.,0.,1.)); #415543=DIRECTION('center_axis',(-0.707108784529064,0.707104777838355,0.)); #415544=DIRECTION('ref_axis',(-0.707104777838355,-0.707108784529064,0.)); #415545=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #415546=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #415547=DIRECTION('',(0.,0.,1.)); #415548=DIRECTION('center_axis',(-1.,0.,0.)); #415549=DIRECTION('ref_axis',(0.,-1.,0.)); #415550=DIRECTION('',(0.,-1.,0.)); #415551=DIRECTION('',(0.,-1.,0.)); #415552=DIRECTION('',(0.,0.,1.)); #415553=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #415554=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #415555=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415556=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #415557=DIRECTION('',(0.,0.,1.)); #415558=DIRECTION('center_axis',(0.,-1.,0.)); #415559=DIRECTION('ref_axis',(1.,0.,0.)); #415560=DIRECTION('',(1.,0.,0.)); #415561=DIRECTION('',(1.,0.,0.)); #415562=DIRECTION('',(0.,0.,1.)); #415563=DIRECTION('center_axis',(0.707104327390314,-0.707109234974266,0.)); #415564=DIRECTION('ref_axis',(0.707109234974266,0.707104327390314,0.)); #415565=DIRECTION('',(0.707109234974266,0.707104327390314,0.)); #415566=DIRECTION('',(0.707109234974266,0.707104327390314,0.)); #415567=DIRECTION('',(0.,0.,1.)); #415568=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #415569=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #415570=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #415571=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #415572=DIRECTION('',(0.,0.,1.)); #415573=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #415574=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #415575=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #415576=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #415577=DIRECTION('',(0.,0.,1.)); #415578=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #415579=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #415580=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #415581=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #415582=DIRECTION('',(0.,0.,1.)); #415583=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #415584=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #415585=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #415586=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #415587=DIRECTION('',(0.,0.,1.)); #415588=DIRECTION('center_axis',(-0.707104327390314,-0.707109234974266, 0.)); #415589=DIRECTION('ref_axis',(0.707109234974266,-0.707104327390314,0.)); #415590=DIRECTION('',(0.707109234974266,-0.707104327390314,0.)); #415591=DIRECTION('',(0.707109234974266,-0.707104327390314,0.)); #415592=DIRECTION('',(0.,0.,1.)); #415593=DIRECTION('center_axis',(0.,-1.,0.)); #415594=DIRECTION('ref_axis',(1.,0.,0.)); #415595=DIRECTION('',(1.,0.,0.)); #415596=DIRECTION('',(1.,0.,0.)); #415597=DIRECTION('',(0.,0.,1.)); #415598=DIRECTION('center_axis',(0.707104327390325,-0.707109234974255,0.)); #415599=DIRECTION('ref_axis',(0.707109234974255,0.707104327390324,0.)); #415600=DIRECTION('',(0.707109234974255,0.707104327390324,0.)); #415601=DIRECTION('',(0.707109234974255,0.707104327390324,0.)); #415602=DIRECTION('',(0.,0.,1.)); #415603=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #415604=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #415605=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #415606=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #415607=DIRECTION('',(0.,0.,1.)); #415608=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #415609=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #415610=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #415611=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #415612=DIRECTION('',(0.,0.,1.)); #415613=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #415614=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #415615=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #415616=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #415617=DIRECTION('',(0.,0.,1.)); #415618=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #415619=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #415620=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #415621=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #415622=DIRECTION('',(0.,0.,1.)); #415623=DIRECTION('center_axis',(-0.707104327390314,-0.707109234974266, 0.)); #415624=DIRECTION('ref_axis',(0.707109234974266,-0.707104327390314,0.)); #415625=DIRECTION('',(0.707109234974266,-0.707104327390314,0.)); #415626=DIRECTION('',(0.707109234974266,-0.707104327390314,0.)); #415627=DIRECTION('',(0.,0.,1.)); #415628=DIRECTION('center_axis',(0.,-1.,0.)); #415629=DIRECTION('ref_axis',(1.,0.,0.)); #415630=DIRECTION('',(1.,0.,0.)); #415631=DIRECTION('',(1.,0.,0.)); #415632=DIRECTION('',(0.,0.,1.)); #415633=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #415634=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #415635=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415636=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415637=DIRECTION('',(0.,0.,1.)); #415638=DIRECTION('center_axis',(1.,0.,0.)); #415639=DIRECTION('ref_axis',(0.,1.,0.)); #415640=DIRECTION('',(0.,1.,0.)); #415641=DIRECTION('',(0.,1.,0.)); #415642=DIRECTION('',(0.,0.,1.)); #415643=DIRECTION('center_axis',(0.707108784529064,0.707104777838355,0.)); #415644=DIRECTION('ref_axis',(-0.707104777838355,0.707108784529064,0.)); #415645=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #415646=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #415647=DIRECTION('',(0.,0.,1.)); #415648=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #415649=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #415650=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #415651=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #415652=DIRECTION('',(0.,0.,1.)); #415653=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #415654=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #415655=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #415656=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #415657=DIRECTION('',(0.,0.,1.)); #415658=DIRECTION('center_axis',(1.,0.,0.)); #415659=DIRECTION('ref_axis',(0.,1.,0.)); #415660=DIRECTION('',(0.,1.,0.)); #415661=DIRECTION('',(0.,1.,0.)); #415662=DIRECTION('',(0.,0.,1.)); #415663=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #415664=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #415665=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #415666=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #415667=DIRECTION('',(0.,0.,1.)); #415668=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #415669=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #415670=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #415671=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #415672=DIRECTION('',(0.,0.,1.)); #415673=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #415674=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #415675=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #415676=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #415677=DIRECTION('',(0.,0.,1.)); #415678=DIRECTION('center_axis',(1.,0.,0.)); #415679=DIRECTION('ref_axis',(0.,1.,0.)); #415680=DIRECTION('',(0.,1.,0.)); #415681=DIRECTION('',(0.,1.,0.)); #415682=DIRECTION('',(0.,0.,1.)); #415683=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #415684=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #415685=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #415686=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #415687=DIRECTION('',(0.,0.,1.)); #415688=DIRECTION('center_axis',(0.,1.,0.)); #415689=DIRECTION('ref_axis',(-1.,0.,0.)); #415690=DIRECTION('',(-1.,0.,0.)); #415691=DIRECTION('',(-1.,0.,0.)); #415692=DIRECTION('',(0.,0.,1.)); #415693=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #415694=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #415695=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #415696=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #415697=DIRECTION('',(0.,0.,1.)); #415698=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #415699=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #415700=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #415701=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #415702=DIRECTION('',(0.,0.,1.)); #415703=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415704=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415705=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415706=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415707=DIRECTION('',(0.,0.,1.)); #415708=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #415709=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #415710=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #415711=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #415712=DIRECTION('',(0.,0.,1.)); #415713=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #415714=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #415715=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #415716=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #415717=DIRECTION('',(0.,0.,1.)); #415718=DIRECTION('center_axis',(1.,0.,0.)); #415719=DIRECTION('ref_axis',(0.,1.,0.)); #415720=DIRECTION('',(0.,1.,0.)); #415721=DIRECTION('',(0.,1.,0.)); #415722=DIRECTION('',(0.,0.,1.)); #415723=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #415724=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #415725=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #415726=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #415727=DIRECTION('',(0.,0.,1.)); #415728=DIRECTION('center_axis',(0.,1.,0.)); #415729=DIRECTION('ref_axis',(-1.,0.,0.)); #415730=DIRECTION('',(-1.,0.,0.)); #415731=DIRECTION('',(-1.,0.,0.)); #415732=DIRECTION('',(0.,0.,1.)); #415733=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #415734=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #415735=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #415736=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #415737=DIRECTION('',(0.,0.,1.)); #415738=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #415739=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #415740=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #415741=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #415742=DIRECTION('',(0.,0.,1.)); #415743=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #415744=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #415745=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #415746=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #415747=DIRECTION('',(0.,0.,1.)); #415748=DIRECTION('center_axis',(0.,1.,0.)); #415749=DIRECTION('ref_axis',(-1.,0.,0.)); #415750=DIRECTION('',(-1.,0.,0.)); #415751=DIRECTION('',(-1.,0.,0.)); #415752=DIRECTION('',(0.,0.,1.)); #415753=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #415754=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #415755=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #415756=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #415757=DIRECTION('',(0.,0.,1.)); #415758=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #415759=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #415760=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #415761=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #415762=DIRECTION('',(0.,0.,1.)); #415763=DIRECTION('center_axis',(0.824745549034014,0.565504004714894,0.)); #415764=DIRECTION('ref_axis',(-0.565504004714894,0.824745549034014,0.)); #415765=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #415766=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #415767=DIRECTION('',(0.,0.,1.)); #415768=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #415769=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #415770=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #415771=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #415772=DIRECTION('',(0.,0.,1.)); #415773=DIRECTION('center_axis',(1.,0.,0.)); #415774=DIRECTION('ref_axis',(0.,1.,0.)); #415775=DIRECTION('',(0.,1.,0.)); #415776=DIRECTION('',(0.,1.,0.)); #415777=DIRECTION('',(0.,0.,1.)); #415778=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #415779=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #415780=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415781=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #415782=DIRECTION('',(0.,0.,1.)); #415783=DIRECTION('center_axis',(0.,1.,0.)); #415784=DIRECTION('ref_axis',(-1.,0.,0.)); #415785=DIRECTION('',(-1.,0.,0.)); #415786=DIRECTION('',(-1.,0.,0.)); #415787=DIRECTION('',(0.,0.,1.)); #415788=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #415789=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #415790=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415791=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #415792=DIRECTION('',(0.,0.,1.)); #415793=DIRECTION('center_axis',(-1.,0.,0.)); #415794=DIRECTION('ref_axis',(0.,-1.,0.)); #415795=DIRECTION('',(0.,-1.,0.)); #415796=DIRECTION('',(0.,-1.,0.)); #415797=DIRECTION('',(0.,0.,1.)); #415798=DIRECTION('center_axis',(-0.707108431109807,-0.707105131259438, 0.)); #415799=DIRECTION('ref_axis',(0.707105131259438,-0.707108431109807,0.)); #415800=DIRECTION('',(0.707105131259438,-0.707108431109807,0.)); #415801=DIRECTION('',(0.707105131259438,-0.707108431109807,0.)); #415802=DIRECTION('',(0.,0.,1.)); #415803=DIRECTION('center_axis',(-0.820212632122596,-0.572058771549325, 0.)); #415804=DIRECTION('ref_axis',(0.572058771549325,-0.820212632122596,0.)); #415805=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #415806=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #415807=DIRECTION('',(0.,0.,1.)); #415808=DIRECTION('center_axis',(-0.973144071552962,-0.230196907019457, 0.)); #415809=DIRECTION('ref_axis',(0.230196907019457,-0.973144071552962,0.)); #415810=DIRECTION('',(0.230196907019457,-0.973144071552962,0.)); #415811=DIRECTION('',(0.230196907019457,-0.973144071552962,0.)); #415812=DIRECTION('',(0.,0.,1.)); #415813=DIRECTION('center_axis',(-0.985842168327505,0.167675934908153,0.)); #415814=DIRECTION('ref_axis',(-0.167675934908153,-0.985842168327505,0.)); #415815=DIRECTION('',(-0.167675934908153,-0.985842168327505,0.)); #415816=DIRECTION('',(-0.167675934908153,-0.985842168327505,0.)); #415817=DIRECTION('',(0.,0.,1.)); #415818=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #415819=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #415820=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #415821=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #415822=DIRECTION('',(0.,0.,1.)); #415823=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #415824=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #415825=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #415826=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #415827=DIRECTION('',(0.,0.,1.)); #415828=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #415829=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #415830=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #415831=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #415832=DIRECTION('',(0.,0.,1.)); #415833=DIRECTION('center_axis',(0.,1.,0.)); #415834=DIRECTION('ref_axis',(-1.,0.,0.)); #415835=DIRECTION('',(-1.,0.,0.)); #415836=DIRECTION('',(-1.,0.,0.)); #415837=DIRECTION('',(0.,0.,1.)); #415838=DIRECTION('center_axis',(0.191149856928859,0.981560865252928,0.)); #415839=DIRECTION('ref_axis',(-0.981560865252928,0.191149856928859,0.)); #415840=DIRECTION('',(-0.981560865252928,0.191149856928859,0.)); #415841=DIRECTION('',(-0.981560865252928,0.191149856928859,0.)); #415842=DIRECTION('',(0.,0.,1.)); #415843=DIRECTION('center_axis',(0.552278225391927,0.833659859749733,0.)); #415844=DIRECTION('ref_axis',(-0.833659859749733,0.552278225391927,0.)); #415845=DIRECTION('',(-0.833659859749733,0.552278225391927,0.)); #415846=DIRECTION('',(-0.833659859749733,0.552278225391927,0.)); #415847=DIRECTION('',(0.,0.,1.)); #415848=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #415849=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #415850=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #415851=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #415852=DIRECTION('',(0.,0.,1.)); #415853=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #415854=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #415855=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #415856=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #415857=DIRECTION('',(0.,0.,1.)); #415858=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #415859=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #415860=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #415861=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #415862=DIRECTION('',(0.,0.,1.)); #415863=DIRECTION('center_axis',(1.,0.,0.)); #415864=DIRECTION('ref_axis',(0.,1.,0.)); #415865=DIRECTION('',(0.,1.,0.)); #415866=DIRECTION('',(0.,1.,0.)); #415867=DIRECTION('',(0.,0.,1.)); #415868=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #415869=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #415870=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #415871=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #415872=DIRECTION('',(0.,0.,1.)); #415873=DIRECTION('center_axis',(0.8336598597498,-0.552278225391825,0.)); #415874=DIRECTION('ref_axis',(0.552278225391825,0.8336598597498,0.)); #415875=DIRECTION('',(0.552278225391825,0.8336598597498,0.)); #415876=DIRECTION('',(0.552278225391825,0.8336598597498,0.)); #415877=DIRECTION('',(0.,0.,1.)); #415878=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #415879=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #415880=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #415881=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #415882=DIRECTION('',(0.,0.,1.)); #415883=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #415884=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #415885=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #415886=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #415887=DIRECTION('',(0.,0.,1.)); #415888=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #415889=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #415890=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #415891=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #415892=DIRECTION('',(0.,0.,1.)); #415893=DIRECTION('center_axis',(0.,-1.,0.)); #415894=DIRECTION('ref_axis',(1.,0.,0.)); #415895=DIRECTION('',(1.,0.,0.)); #415896=DIRECTION('',(1.,0.,0.)); #415897=DIRECTION('',(0.,0.,1.)); #415898=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #415899=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #415900=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #415901=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #415902=DIRECTION('',(0.,0.,1.)); #415903=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #415904=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #415905=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #415906=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #415907=DIRECTION('',(0.,0.,1.)); #415908=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #415909=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #415910=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #415911=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #415912=DIRECTION('',(0.,0.,1.)); #415913=DIRECTION('center_axis',(0.,-1.,0.)); #415914=DIRECTION('ref_axis',(1.,0.,0.)); #415915=DIRECTION('',(1.,0.,0.)); #415916=DIRECTION('',(1.,0.,0.)); #415917=DIRECTION('',(0.,0.,1.)); #415918=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #415919=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #415920=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415921=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #415922=DIRECTION('',(0.,0.,1.)); #415923=DIRECTION('center_axis',(1.,0.,0.)); #415924=DIRECTION('ref_axis',(0.,1.,0.)); #415925=DIRECTION('',(0.,1.,0.)); #415926=DIRECTION('',(0.,1.,0.)); #415927=DIRECTION('',(0.,0.,1.)); #415928=DIRECTION('center_axis',(0.707110741027308,0.707102821323611,0.)); #415929=DIRECTION('ref_axis',(-0.707102821323611,0.707110741027308,0.)); #415930=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #415931=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #415932=DIRECTION('',(0.,0.,1.)); #415933=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #415934=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #415935=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #415936=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #415937=DIRECTION('',(0.,0.,1.)); #415938=DIRECTION('center_axis',(0.973144071552954,0.23019690701949,0.)); #415939=DIRECTION('ref_axis',(-0.23019690701949,0.973144071552954,0.)); #415940=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #415941=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #415942=DIRECTION('',(0.,0.,1.)); #415943=DIRECTION('center_axis',(0.985842168327501,-0.167675934908178,0.)); #415944=DIRECTION('ref_axis',(0.167675934908178,0.985842168327501,0.)); #415945=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #415946=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #415947=DIRECTION('',(0.,0.,1.)); #415948=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #415949=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #415950=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #415951=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #415952=DIRECTION('',(0.,0.,1.)); #415953=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #415954=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #415955=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #415956=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #415957=DIRECTION('',(0.,0.,1.)); #415958=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #415959=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #415960=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #415961=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #415962=DIRECTION('',(0.,0.,1.)); #415963=DIRECTION('center_axis',(0.,-1.,0.)); #415964=DIRECTION('ref_axis',(1.,0.,0.)); #415965=DIRECTION('',(1.,0.,0.)); #415966=DIRECTION('',(1.,0.,0.)); #415967=DIRECTION('',(0.,0.,1.)); #415968=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #415969=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #415970=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #415971=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #415972=DIRECTION('',(0.,0.,1.)); #415973=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #415974=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #415975=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #415976=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #415977=DIRECTION('',(0.,0.,1.)); #415978=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #415979=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #415980=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #415981=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #415982=DIRECTION('',(0.,0.,1.)); #415983=DIRECTION('center_axis',(0.,-1.,0.)); #415984=DIRECTION('ref_axis',(1.,0.,0.)); #415985=DIRECTION('',(1.,0.,0.)); #415986=DIRECTION('',(1.,0.,0.)); #415987=DIRECTION('',(0.,0.,1.)); #415988=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #415989=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #415990=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #415991=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #415992=DIRECTION('',(0.,0.,1.)); #415993=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #415994=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #415995=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #415996=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #415997=DIRECTION('',(0.,0.,1.)); #415998=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #415999=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #416000=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #416001=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #416002=DIRECTION('',(0.,0.,1.)); #416003=DIRECTION('center_axis',(0.,-1.,0.)); #416004=DIRECTION('ref_axis',(1.,0.,0.)); #416005=DIRECTION('',(1.,0.,0.)); #416006=DIRECTION('',(1.,0.,0.)); #416007=DIRECTION('',(0.,0.,1.)); #416008=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #416009=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #416010=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #416011=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #416012=DIRECTION('',(0.,0.,1.)); #416013=DIRECTION('center_axis',(1.,0.,0.)); #416014=DIRECTION('ref_axis',(0.,1.,0.)); #416015=DIRECTION('',(0.,1.,0.)); #416016=DIRECTION('',(0.,1.,0.)); #416017=DIRECTION('',(0.,0.,1.)); #416018=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416019=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416020=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416021=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416022=DIRECTION('',(0.,0.,1.)); #416023=DIRECTION('center_axis',(0.,1.,0.)); #416024=DIRECTION('ref_axis',(-1.,0.,0.)); #416025=DIRECTION('',(-1.,0.,0.)); #416026=DIRECTION('',(-1.,0.,0.)); #416027=DIRECTION('',(0.,0.,1.)); #416028=DIRECTION('center_axis',(-0.707104546424068,0.707109015941965,0.)); #416029=DIRECTION('ref_axis',(-0.707109015941965,-0.707104546424068,0.)); #416030=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #416031=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #416032=DIRECTION('',(0.,0.,1.)); #416033=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #416034=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #416035=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #416036=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #416037=DIRECTION('',(0.,0.,1.)); #416038=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #416039=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #416040=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #416041=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #416042=DIRECTION('',(0.,0.,1.)); #416043=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #416044=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #416045=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #416046=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #416047=DIRECTION('',(0.,0.,1.)); #416048=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #416049=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #416050=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #416051=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #416052=DIRECTION('',(0.,0.,1.)); #416053=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416054=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416055=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416056=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416057=DIRECTION('center_axis',(0.,1.,0.)); #416058=DIRECTION('ref_axis',(-1.,0.,0.)); #416059=DIRECTION('',(-1.,0.,0.)); #416060=DIRECTION('',(0.,0.,1.)); #416061=DIRECTION('',(-1.,0.,0.)); #416062=DIRECTION('',(0.,0.,1.)); #416063=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #416064=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #416065=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #416066=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #416067=DIRECTION('',(0.,0.,1.)); #416068=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #416069=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #416070=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #416071=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #416072=DIRECTION('',(0.,0.,1.)); #416073=DIRECTION('center_axis',(-0.506114900434793,0.862466061684679,0.)); #416074=DIRECTION('ref_axis',(-0.862466061684679,-0.506114900434793,0.)); #416075=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #416076=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #416077=DIRECTION('',(0.,0.,1.)); #416078=DIRECTION('center_axis',(-0.652508824452065,0.757781125399798,0.)); #416079=DIRECTION('ref_axis',(-0.757781125399798,-0.652508824452065,0.)); #416080=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #416081=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #416082=DIRECTION('',(0.,0.,1.)); #416083=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #416084=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #416085=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #416086=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #416087=DIRECTION('',(0.,0.,1.)); #416088=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #416089=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #416090=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #416091=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #416092=DIRECTION('',(0.,0.,1.)); #416093=DIRECTION('center_axis',(-0.19895601923243,0.980008419561376,0.)); #416094=DIRECTION('ref_axis',(-0.980008419561375,-0.19895601923243,0.)); #416095=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #416096=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #416097=DIRECTION('',(0.,0.,1.)); #416098=DIRECTION('center_axis',(0.,1.,0.)); #416099=DIRECTION('ref_axis',(-1.,0.,0.)); #416100=DIRECTION('',(-1.,0.,0.)); #416101=DIRECTION('',(-1.,0.,0.)); #416102=DIRECTION('',(0.,0.,1.)); #416103=DIRECTION('center_axis',(-0.105885061288698,0.994378375567313,0.)); #416104=DIRECTION('ref_axis',(-0.994378375567313,-0.105885061288698,0.)); #416105=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #416106=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #416107=DIRECTION('',(0.,0.,1.)); #416108=DIRECTION('center_axis',(-0.312922107778508,0.949778792384551,0.)); #416109=DIRECTION('ref_axis',(-0.949778792384551,-0.312922107778508,0.)); #416110=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #416111=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #416112=DIRECTION('',(0.,0.,1.)); #416113=DIRECTION('center_axis',(-0.506114900434878,0.862466061684628,0.)); #416114=DIRECTION('ref_axis',(-0.862466061684628,-0.506114900434878,0.)); #416115=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #416116=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #416117=DIRECTION('',(0.,0.,1.)); #416118=DIRECTION('center_axis',(-0.652508824452,0.757781125399854,0.)); #416119=DIRECTION('ref_axis',(-0.757781125399854,-0.652508824452,0.)); #416120=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #416121=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #416122=DIRECTION('',(0.,0.,1.)); #416123=DIRECTION('center_axis',(-0.707107255683049,0.707106306689728,0.)); #416124=DIRECTION('ref_axis',(-0.707106306689728,-0.707107255683049,0.)); #416125=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #416126=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #416127=DIRECTION('',(0.,0.,1.)); #416128=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #416129=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #416130=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #416131=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #416132=DIRECTION('',(0.,0.,1.)); #416133=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #416134=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #416135=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #416136=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #416137=DIRECTION('',(0.,0.,1.)); #416138=DIRECTION('center_axis',(-0.967662278077973,0.25224931235773,0.)); #416139=DIRECTION('ref_axis',(-0.25224931235773,-0.967662278077973,0.)); #416140=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #416141=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #416142=DIRECTION('',(0.,0.,1.)); #416143=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #416144=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #416145=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #416146=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #416147=DIRECTION('',(0.,0.,1.)); #416148=DIRECTION('center_axis',(-1.,0.,0.)); #416149=DIRECTION('ref_axis',(0.,-1.,0.)); #416150=DIRECTION('',(0.,-1.,0.)); #416151=DIRECTION('',(0.,-1.,0.)); #416152=DIRECTION('',(0.,0.,1.)); #416153=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #416154=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #416155=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #416156=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #416157=DIRECTION('',(0.,0.,1.)); #416158=DIRECTION('center_axis',(-0.833659859749844,0.552278225391759,0.)); #416159=DIRECTION('ref_axis',(-0.552278225391759,-0.833659859749844,0.)); #416160=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #416161=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #416162=DIRECTION('',(0.,0.,1.)); #416163=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #416164=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #416165=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #416166=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #416167=DIRECTION('',(0.,0.,1.)); #416168=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #416169=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #416170=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #416171=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #416172=DIRECTION('',(0.,0.,1.)); #416173=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #416174=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #416175=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416176=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416177=DIRECTION('',(0.,0.,1.)); #416178=DIRECTION('center_axis',(0.,1.,0.)); #416179=DIRECTION('ref_axis',(-1.,0.,0.)); #416180=DIRECTION('',(-1.,0.,0.)); #416181=DIRECTION('',(-1.,0.,0.)); #416182=DIRECTION('',(0.,0.,1.)); #416183=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #416184=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #416185=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #416186=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #416187=DIRECTION('',(0.,0.,1.)); #416188=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #416189=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #416190=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #416191=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #416192=DIRECTION('',(0.,0.,1.)); #416193=DIRECTION('center_axis',(-0.506114900434501,0.86246606168485,0.)); #416194=DIRECTION('ref_axis',(-0.86246606168485,-0.506114900434501,0.)); #416195=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #416196=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #416197=DIRECTION('',(0.,0.,1.)); #416198=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #416199=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #416200=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #416201=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #416202=DIRECTION('',(0.,0.,1.)); #416203=DIRECTION('center_axis',(-0.707107255683045,0.707106306689732,0.)); #416204=DIRECTION('ref_axis',(-0.707106306689732,-0.707107255683045,0.)); #416205=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #416206=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #416207=DIRECTION('',(0.,0.,1.)); #416208=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #416209=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #416210=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #416211=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #416212=DIRECTION('',(0.,0.,1.)); #416213=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #416214=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #416215=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #416216=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #416217=DIRECTION('',(0.,0.,1.)); #416218=DIRECTION('center_axis',(-0.967662278077998,0.252249312357634,0.)); #416219=DIRECTION('ref_axis',(-0.252249312357634,-0.967662278077998,0.)); #416220=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #416221=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #416222=DIRECTION('',(0.,0.,1.)); #416223=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #416224=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #416225=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #416226=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #416227=DIRECTION('',(0.,0.,1.)); #416228=DIRECTION('center_axis',(-1.,0.,0.)); #416229=DIRECTION('ref_axis',(0.,-1.,0.)); #416230=DIRECTION('',(0.,-1.,0.)); #416231=DIRECTION('',(0.,-1.,0.)); #416232=DIRECTION('',(0.,0.,1.)); #416233=DIRECTION('center_axis',(-0.994378375567317,-0.105885061288662, 0.)); #416234=DIRECTION('ref_axis',(0.105885061288662,-0.994378375567317,0.)); #416235=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #416236=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #416237=DIRECTION('',(0.,0.,1.)); #416238=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #416239=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #416240=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #416241=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #416242=DIRECTION('',(0.,0.,1.)); #416243=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #416244=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #416245=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #416246=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #416247=DIRECTION('',(0.,0.,1.)); #416248=DIRECTION('center_axis',(-0.757781125399818,-0.652508824452042, 0.)); #416249=DIRECTION('ref_axis',(0.652508824452042,-0.757781125399818,0.)); #416250=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #416251=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #416252=DIRECTION('',(0.,0.,1.)); #416253=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #416254=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #416255=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #416256=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #416257=DIRECTION('',(0.,0.,1.)); #416258=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #416259=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #416260=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #416261=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #416262=DIRECTION('',(0.,0.,1.)); #416263=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #416264=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #416265=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #416266=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #416267=DIRECTION('',(0.,0.,1.)); #416268=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #416269=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #416270=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #416271=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #416272=DIRECTION('',(0.,0.,1.)); #416273=DIRECTION('center_axis',(-0.074270689841797,-0.997238118320005, 0.)); #416274=DIRECTION('ref_axis',(0.997238118320005,-0.074270689841797,0.)); #416275=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #416276=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #416277=DIRECTION('',(0.,0.,1.)); #416278=DIRECTION('center_axis',(0.,-1.,0.)); #416279=DIRECTION('ref_axis',(1.,0.,0.)); #416280=DIRECTION('',(1.,0.,0.)); #416281=DIRECTION('',(1.,0.,0.)); #416282=DIRECTION('',(0.,0.,1.)); #416283=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #416284=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #416285=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #416286=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #416287=DIRECTION('',(0.,0.,1.)); #416288=DIRECTION('center_axis',(0.312935976921603,-0.949774222827785,0.)); #416289=DIRECTION('ref_axis',(0.949774222827785,0.312935976921603,0.)); #416290=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #416291=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #416292=DIRECTION('',(0.,0.,1.)); #416293=DIRECTION('center_axis',(0.506095628368333,-0.862477370686595,0.)); #416294=DIRECTION('ref_axis',(0.862477370686595,0.506095628368333,0.)); #416295=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #416296=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #416297=DIRECTION('',(0.,0.,1.)); #416298=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #416299=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #416300=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #416301=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #416302=DIRECTION('',(0.,0.,1.)); #416303=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #416304=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #416305=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #416306=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #416307=DIRECTION('',(0.,0.,1.)); #416308=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #416309=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #416310=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #416311=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #416312=DIRECTION('',(0.,0.,1.)); #416313=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #416314=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #416315=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #416316=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #416317=DIRECTION('',(0.,0.,1.)); #416318=DIRECTION('center_axis',(-0.167643887112186,-0.985847618607418, 0.)); #416319=DIRECTION('ref_axis',(0.985847618607418,-0.167643887112186,0.)); #416320=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #416321=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #416322=DIRECTION('',(0.,0.,1.)); #416323=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #416324=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #416325=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #416326=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #416327=DIRECTION('',(0.,0.,1.)); #416328=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #416329=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #416330=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #416331=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #416332=DIRECTION('',(0.,0.,1.)); #416333=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #416334=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #416335=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416336=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416337=DIRECTION('',(0.,0.,1.)); #416338=DIRECTION('center_axis',(-1.,0.,0.)); #416339=DIRECTION('ref_axis',(0.,-1.,0.)); #416340=DIRECTION('',(0.,-1.,0.)); #416341=DIRECTION('',(0.,-1.,0.)); #416342=DIRECTION('',(0.,0.,1.)); #416343=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #416344=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #416345=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #416346=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #416347=DIRECTION('',(0.,0.,1.)); #416348=DIRECTION('center_axis',(0.,-1.,0.)); #416349=DIRECTION('ref_axis',(1.,0.,0.)); #416350=DIRECTION('',(1.,0.,0.)); #416351=DIRECTION('',(1.,0.,0.)); #416352=DIRECTION('',(0.,0.,1.)); #416353=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #416354=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #416355=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #416356=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #416357=DIRECTION('',(0.,0.,1.)); #416358=DIRECTION('center_axis',(1.,0.,0.)); #416359=DIRECTION('ref_axis',(0.,1.,0.)); #416360=DIRECTION('',(0.,1.,0.)); #416361=DIRECTION('',(0.,1.,0.)); #416362=DIRECTION('',(0.,0.,1.)); #416363=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #416364=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #416365=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #416366=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #416367=DIRECTION('',(0.,0.,1.)); #416368=DIRECTION('center_axis',(0.842362410729415,-0.538911466745818,0.)); #416369=DIRECTION('ref_axis',(0.538911466745818,0.842362410729414,0.)); #416370=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #416371=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #416372=DIRECTION('',(0.,0.,1.)); #416373=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #416374=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #416375=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #416376=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #416377=DIRECTION('',(0.,0.,1.)); #416378=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #416379=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #416380=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #416381=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #416382=DIRECTION('',(0.,0.,1.)); #416383=DIRECTION('center_axis',(0.,-1.,0.)); #416384=DIRECTION('ref_axis',(1.,0.,0.)); #416385=DIRECTION('',(1.,0.,0.)); #416386=DIRECTION('',(1.,0.,0.)); #416387=DIRECTION('',(0.,0.,1.)); #416388=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #416389=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #416390=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #416391=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #416392=DIRECTION('',(0.,0.,1.)); #416393=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #416394=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #416395=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #416396=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #416397=DIRECTION('',(0.,0.,1.)); #416398=DIRECTION('center_axis',(0.50609562836829,-0.86247737068662,0.)); #416399=DIRECTION('ref_axis',(0.86247737068662,0.50609562836829,0.)); #416400=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #416401=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #416402=DIRECTION('',(0.,0.,1.)); #416403=DIRECTION('center_axis',(0.65250882445237,-0.757781125399535,0.)); #416404=DIRECTION('ref_axis',(0.757781125399535,0.65250882445237,0.)); #416405=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #416406=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #416407=DIRECTION('',(0.,0.,1.)); #416408=DIRECTION('center_axis',(0.707107136476326,-0.707106425896591,0.)); #416409=DIRECTION('ref_axis',(0.707106425896591,0.707107136476326,0.)); #416410=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #416411=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #416412=DIRECTION('',(0.,0.,1.)); #416413=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #416414=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #416415=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #416416=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #416417=DIRECTION('',(0.,0.,1.)); #416418=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #416419=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #416420=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #416421=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #416422=DIRECTION('',(0.,0.,1.)); #416423=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #416424=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #416425=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #416426=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #416427=DIRECTION('',(0.,0.,1.)); #416428=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #416429=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #416430=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #416431=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #416432=DIRECTION('',(0.,0.,1.)); #416433=DIRECTION('center_axis',(1.,0.,0.)); #416434=DIRECTION('ref_axis',(0.,1.,0.)); #416435=DIRECTION('',(0.,1.,0.)); #416436=DIRECTION('',(0.,1.,0.)); #416437=DIRECTION('',(0.,0.,1.)); #416438=DIRECTION('center_axis',(0.983056036329401,-0.183305290257339,0.)); #416439=DIRECTION('ref_axis',(0.183305290257339,0.983056036329401,0.)); #416440=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #416441=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #416442=DIRECTION('',(0.,0.,1.)); #416443=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #416444=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #416445=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #416446=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #416447=DIRECTION('',(0.,0.,1.)); #416448=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #416449=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #416450=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #416451=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #416452=DIRECTION('',(0.,0.,1.)); #416453=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #416454=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #416455=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #416456=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #416457=DIRECTION('',(0.,0.,1.)); #416458=DIRECTION('center_axis',(0.,-1.,0.)); #416459=DIRECTION('ref_axis',(1.,0.,0.)); #416460=DIRECTION('',(1.,0.,0.)); #416461=DIRECTION('',(1.,0.,0.)); #416462=DIRECTION('',(0.,0.,1.)); #416463=DIRECTION('center_axis',(0.105885061288345,-0.994378375567351,0.)); #416464=DIRECTION('ref_axis',(0.994378375567351,0.105885061288345,0.)); #416465=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #416466=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #416467=DIRECTION('',(0.,0.,1.)); #416468=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #416469=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #416470=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #416471=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #416472=DIRECTION('',(0.,0.,1.)); #416473=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #416474=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #416475=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #416476=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #416477=DIRECTION('',(0.,0.,1.)); #416478=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #416479=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #416480=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #416481=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #416482=DIRECTION('',(0.,0.,1.)); #416483=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #416484=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #416485=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #416486=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #416487=DIRECTION('',(0.,0.,1.)); #416488=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #416489=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #416490=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #416491=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #416492=DIRECTION('',(0.,0.,1.)); #416493=DIRECTION('center_axis',(0.892938781493025,-0.45017811197986,0.)); #416494=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #416495=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #416496=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #416497=DIRECTION('',(0.,0.,1.)); #416498=DIRECTION('center_axis',(0.967662278077997,-0.252249312357638,0.)); #416499=DIRECTION('ref_axis',(0.252249312357638,0.967662278077997,0.)); #416500=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #416501=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #416502=DIRECTION('',(0.,0.,1.)); #416503=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #416504=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #416505=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #416506=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #416507=DIRECTION('',(0.,0.,1.)); #416508=DIRECTION('center_axis',(1.,0.,0.)); #416509=DIRECTION('ref_axis',(0.,1.,0.)); #416510=DIRECTION('',(0.,1.,0.)); #416511=DIRECTION('',(0.,1.,0.)); #416512=DIRECTION('',(0.,0.,1.)); #416513=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #416514=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #416515=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #416516=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #416517=DIRECTION('',(0.,0.,1.)); #416518=DIRECTION('center_axis',(0.949778792384428,0.312922107778882,0.)); #416519=DIRECTION('ref_axis',(-0.312922107778882,0.949778792384428,0.)); #416520=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #416521=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #416522=DIRECTION('',(0.,0.,1.)); #416523=DIRECTION('center_axis',(0.862466061684714,0.506114900434732,0.)); #416524=DIRECTION('ref_axis',(-0.506114900434732,0.862466061684714,0.)); #416525=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #416526=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #416527=DIRECTION('',(0.,0.,1.)); #416528=DIRECTION('center_axis',(0.757781125399723,0.652508824452152,0.)); #416529=DIRECTION('ref_axis',(-0.652508824452152,0.757781125399723,0.)); #416530=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #416531=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #416532=DIRECTION('',(0.,0.,1.)); #416533=DIRECTION('center_axis',(0.70710630668973,0.707107255683047,0.)); #416534=DIRECTION('ref_axis',(-0.707107255683047,0.70710630668973,0.)); #416535=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #416536=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #416537=DIRECTION('',(0.,0.,1.)); #416538=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #416539=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #416540=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #416541=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #416542=DIRECTION('',(0.,0.,1.)); #416543=DIRECTION('center_axis',(0.450178111980232,0.892938781492837,0.)); #416544=DIRECTION('ref_axis',(-0.892938781492837,0.450178111980232,0.)); #416545=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #416546=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #416547=DIRECTION('',(0.,0.,1.)); #416548=DIRECTION('center_axis',(0.252249312357474,0.96766227807804,0.)); #416549=DIRECTION('ref_axis',(-0.96766227807804,0.252249312357474,0.)); #416550=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #416551=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #416552=DIRECTION('',(0.,0.,1.)); #416553=DIRECTION('center_axis',(0.0742706898417872,0.997238118320005,0.)); #416554=DIRECTION('ref_axis',(-0.997238118320005,0.0742706898417872,0.)); #416555=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #416556=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #416557=DIRECTION('center_axis',(0.,1.,0.)); #416558=DIRECTION('ref_axis',(-1.,0.,0.)); #416559=DIRECTION('',(-1.,0.,0.)); #416560=DIRECTION('',(0.,0.,1.)); #416561=DIRECTION('',(-1.,0.,0.)); #416562=DIRECTION('',(0.,0.,1.)); #416563=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416564=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416565=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416566=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416567=DIRECTION('',(0.,0.,1.)); #416568=DIRECTION('center_axis',(-1.,0.,0.)); #416569=DIRECTION('ref_axis',(0.,-1.,0.)); #416570=DIRECTION('',(0.,-1.,0.)); #416571=DIRECTION('',(0.,-1.,0.)); #416572=DIRECTION('',(0.,0.,1.)); #416573=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #416574=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #416575=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416576=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416577=DIRECTION('',(0.,0.,1.)); #416578=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #416579=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #416580=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #416581=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #416582=DIRECTION('',(0.,0.,1.)); #416583=DIRECTION('center_axis',(-0.980008419561296,-0.198956019232824, 0.)); #416584=DIRECTION('ref_axis',(0.198956019232824,-0.980008419561295,0.)); #416585=DIRECTION('',(0.198956019232824,-0.980008419561295,0.)); #416586=DIRECTION('',(0.198956019232824,-0.980008419561295,0.)); #416587=DIRECTION('',(0.,0.,1.)); #416588=DIRECTION('center_axis',(-1.,0.,0.)); #416589=DIRECTION('ref_axis',(0.,-1.,0.)); #416590=DIRECTION('',(0.,-1.,0.)); #416591=DIRECTION('',(0.,-1.,0.)); #416592=DIRECTION('',(0.,0.,1.)); #416593=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #416594=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #416595=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #416596=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #416597=DIRECTION('',(0.,0.,1.)); #416598=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #416599=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #416600=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #416601=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #416602=DIRECTION('',(0.,0.,1.)); #416603=DIRECTION('center_axis',(-0.707108784529046,0.707104777838373,0.)); #416604=DIRECTION('ref_axis',(-0.707104777838373,-0.707108784529046,0.)); #416605=DIRECTION('',(-0.707104777838373,-0.707108784529046,0.)); #416606=DIRECTION('',(-0.707104777838373,-0.707108784529046,0.)); #416607=DIRECTION('',(0.,0.,1.)); #416608=DIRECTION('center_axis',(-1.,0.,0.)); #416609=DIRECTION('ref_axis',(0.,-1.,0.)); #416610=DIRECTION('',(0.,-1.,0.)); #416611=DIRECTION('',(0.,-1.,0.)); #416612=DIRECTION('',(0.,0.,1.)); #416613=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #416614=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #416615=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #416616=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #416617=DIRECTION('',(0.,0.,1.)); #416618=DIRECTION('center_axis',(0.,-1.,0.)); #416619=DIRECTION('ref_axis',(1.,0.,0.)); #416620=DIRECTION('',(1.,0.,0.)); #416621=DIRECTION('',(1.,0.,0.)); #416622=DIRECTION('',(0.,0.,1.)); #416623=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #416624=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #416625=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #416626=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #416627=DIRECTION('',(0.,0.,1.)); #416628=DIRECTION('center_axis',(1.,0.,0.)); #416629=DIRECTION('ref_axis',(0.,1.,0.)); #416630=DIRECTION('',(0.,1.,0.)); #416631=DIRECTION('',(0.,1.,0.)); #416632=DIRECTION('',(0.,0.,1.)); #416633=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #416634=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #416635=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #416636=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #416637=DIRECTION('',(0.,0.,1.)); #416638=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #416639=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #416640=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #416641=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #416642=DIRECTION('',(0.,0.,1.)); #416643=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #416644=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #416645=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #416646=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #416647=DIRECTION('',(0.,0.,1.)); #416648=DIRECTION('center_axis',(1.,0.,0.)); #416649=DIRECTION('ref_axis',(0.,1.,0.)); #416650=DIRECTION('',(0.,1.,0.)); #416651=DIRECTION('',(0.,1.,0.)); #416652=DIRECTION('',(0.,0.,1.)); #416653=DIRECTION('center_axis',(0.981560865252974,-0.191149856928623,0.)); #416654=DIRECTION('ref_axis',(0.191149856928623,0.981560865252974,0.)); #416655=DIRECTION('',(0.191149856928623,0.981560865252974,0.)); #416656=DIRECTION('',(0.191149856928623,0.981560865252974,0.)); #416657=DIRECTION('',(0.,0.,1.)); #416658=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #416659=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #416660=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #416661=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #416662=DIRECTION('',(0.,0.,1.)); #416663=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #416664=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #416665=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #416666=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #416667=DIRECTION('',(0.,0.,1.)); #416668=DIRECTION('center_axis',(1.,0.,0.)); #416669=DIRECTION('ref_axis',(0.,1.,0.)); #416670=DIRECTION('',(0.,1.,0.)); #416671=DIRECTION('',(0.,1.,0.)); #416672=DIRECTION('',(0.,0.,1.)); #416673=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #416674=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #416675=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #416676=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #416677=DIRECTION('center_axis',(0.,1.,0.)); #416678=DIRECTION('ref_axis',(-1.,0.,0.)); #416679=DIRECTION('',(-1.,0.,0.)); #416680=DIRECTION('',(0.,0.,1.)); #416681=DIRECTION('',(-1.,0.,0.)); #416682=DIRECTION('',(0.,0.,1.)); #416683=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416684=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416685=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416686=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416687=DIRECTION('',(0.,0.,1.)); #416688=DIRECTION('center_axis',(-1.,0.,0.)); #416689=DIRECTION('ref_axis',(0.,-1.,0.)); #416690=DIRECTION('',(0.,-1.,0.)); #416691=DIRECTION('',(0.,-1.,0.)); #416692=DIRECTION('',(0.,0.,1.)); #416693=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #416694=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #416695=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416696=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416697=DIRECTION('',(0.,0.,1.)); #416698=DIRECTION('center_axis',(-0.829203833807507,-0.558946331948723, 0.)); #416699=DIRECTION('ref_axis',(0.558946331948723,-0.829203833807507,0.)); #416700=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #416701=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #416702=DIRECTION('',(0.,0.,1.)); #416703=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #416704=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #416705=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416706=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416707=DIRECTION('',(0.,0.,1.)); #416708=DIRECTION('center_axis',(-1.,0.,0.)); #416709=DIRECTION('ref_axis',(0.,-1.,0.)); #416710=DIRECTION('',(0.,-1.,0.)); #416711=DIRECTION('',(0.,-1.,0.)); #416712=DIRECTION('',(0.,0.,1.)); #416713=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #416714=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #416715=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #416716=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #416717=DIRECTION('',(0.,0.,1.)); #416718=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #416719=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #416720=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #416721=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #416722=DIRECTION('',(0.,0.,1.)); #416723=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #416724=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #416725=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #416726=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #416727=DIRECTION('',(0.,0.,1.)); #416728=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #416729=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #416730=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416731=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416732=DIRECTION('',(0.,0.,1.)); #416733=DIRECTION('center_axis',(0.,1.,0.)); #416734=DIRECTION('ref_axis',(-1.,0.,0.)); #416735=DIRECTION('',(-1.,0.,0.)); #416736=DIRECTION('',(-1.,0.,0.)); #416737=DIRECTION('',(0.,0.,1.)); #416738=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #416739=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #416740=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #416741=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #416742=DIRECTION('',(0.,0.,1.)); #416743=DIRECTION('center_axis',(-1.,0.,0.)); #416744=DIRECTION('ref_axis',(0.,-1.,0.)); #416745=DIRECTION('',(0.,-1.,0.)); #416746=DIRECTION('',(0.,-1.,0.)); #416747=DIRECTION('',(0.,0.,1.)); #416748=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #416749=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #416750=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #416751=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #416752=DIRECTION('',(0.,0.,1.)); #416753=DIRECTION('center_axis',(0.,-1.,0.)); #416754=DIRECTION('ref_axis',(1.,0.,0.)); #416755=DIRECTION('',(1.,0.,0.)); #416756=DIRECTION('',(1.,0.,0.)); #416757=DIRECTION('',(0.,0.,1.)); #416758=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #416759=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #416760=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #416761=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #416762=DIRECTION('',(0.,0.,1.)); #416763=DIRECTION('center_axis',(1.,0.,0.)); #416764=DIRECTION('ref_axis',(0.,1.,0.)); #416765=DIRECTION('',(0.,1.,0.)); #416766=DIRECTION('',(0.,1.,0.)); #416767=DIRECTION('',(0.,0.,1.)); #416768=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416769=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416770=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416771=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416772=DIRECTION('',(0.,0.,1.)); #416773=DIRECTION('center_axis',(0.,1.,0.)); #416774=DIRECTION('ref_axis',(-1.,0.,0.)); #416775=DIRECTION('',(-1.,0.,0.)); #416776=DIRECTION('',(-1.,0.,0.)); #416777=DIRECTION('',(0.,0.,1.)); #416778=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #416779=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #416780=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #416781=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #416782=DIRECTION('',(0.,0.,1.)); #416783=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #416784=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #416785=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #416786=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #416787=DIRECTION('',(0.,0.,1.)); #416788=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #416789=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #416790=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #416791=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #416792=DIRECTION('',(0.,0.,1.)); #416793=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #416794=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #416795=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #416796=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #416797=DIRECTION('',(0.,0.,1.)); #416798=DIRECTION('center_axis',(1.,0.,0.)); #416799=DIRECTION('ref_axis',(0.,1.,0.)); #416800=DIRECTION('',(0.,1.,0.)); #416801=DIRECTION('',(0.,1.,0.)); #416802=DIRECTION('',(0.,0.,1.)); #416803=DIRECTION('center_axis',(0.981560865252957,-0.191149856928713,0.)); #416804=DIRECTION('ref_axis',(0.191149856928713,0.981560865252957,0.)); #416805=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #416806=DIRECTION('',(0.191149856928713,0.981560865252957,0.)); #416807=DIRECTION('',(0.,0.,1.)); #416808=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #416809=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #416810=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #416811=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #416812=DIRECTION('',(0.,0.,1.)); #416813=DIRECTION('center_axis',(0.707108784529046,-0.707104777838373,0.)); #416814=DIRECTION('ref_axis',(0.707104777838373,0.707108784529046,0.)); #416815=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #416816=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #416817=DIRECTION('',(0.,0.,1.)); #416818=DIRECTION('center_axis',(1.,0.,0.)); #416819=DIRECTION('ref_axis',(0.,1.,0.)); #416820=DIRECTION('',(0.,1.,0.)); #416821=DIRECTION('',(0.,1.,0.)); #416822=DIRECTION('',(0.,0.,1.)); #416823=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416824=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416825=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416826=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #416827=DIRECTION('center_axis',(0.,1.,0.)); #416828=DIRECTION('ref_axis',(-1.,0.,0.)); #416829=DIRECTION('',(-1.,0.,0.)); #416830=DIRECTION('',(0.,0.,1.)); #416831=DIRECTION('',(-1.,0.,0.)); #416832=DIRECTION('',(0.,0.,1.)); #416833=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416834=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416835=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416836=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416837=DIRECTION('',(0.,0.,1.)); #416838=DIRECTION('center_axis',(-1.,0.,0.)); #416839=DIRECTION('ref_axis',(0.,-1.,0.)); #416840=DIRECTION('',(0.,-1.,0.)); #416841=DIRECTION('',(0.,-1.,0.)); #416842=DIRECTION('',(0.,0.,1.)); #416843=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #416844=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #416845=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416846=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416847=DIRECTION('',(0.,0.,1.)); #416848=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #416849=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #416850=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #416851=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #416852=DIRECTION('',(0.,0.,1.)); #416853=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #416854=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #416855=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416856=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #416857=DIRECTION('',(0.,0.,1.)); #416858=DIRECTION('center_axis',(-1.,0.,0.)); #416859=DIRECTION('ref_axis',(0.,-1.,0.)); #416860=DIRECTION('',(0.,-1.,0.)); #416861=DIRECTION('',(0.,-1.,0.)); #416862=DIRECTION('',(0.,0.,1.)); #416863=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #416864=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #416865=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #416866=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #416867=DIRECTION('',(0.,0.,1.)); #416868=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #416869=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #416870=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #416871=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #416872=DIRECTION('',(0.,0.,1.)); #416873=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #416874=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #416875=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #416876=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #416877=DIRECTION('',(0.,0.,1.)); #416878=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #416879=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #416880=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416881=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #416882=DIRECTION('',(0.,0.,1.)); #416883=DIRECTION('center_axis',(0.,1.,0.)); #416884=DIRECTION('ref_axis',(-1.,0.,0.)); #416885=DIRECTION('',(-1.,0.,0.)); #416886=DIRECTION('',(-1.,0.,0.)); #416887=DIRECTION('',(0.,0.,1.)); #416888=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #416889=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #416890=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #416891=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #416892=DIRECTION('',(0.,0.,1.)); #416893=DIRECTION('center_axis',(-1.,0.,0.)); #416894=DIRECTION('ref_axis',(0.,-1.,0.)); #416895=DIRECTION('',(0.,-1.,0.)); #416896=DIRECTION('',(0.,-1.,0.)); #416897=DIRECTION('',(0.,0.,1.)); #416898=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #416899=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #416900=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #416901=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #416902=DIRECTION('',(0.,0.,1.)); #416903=DIRECTION('center_axis',(0.,-1.,0.)); #416904=DIRECTION('ref_axis',(1.,0.,0.)); #416905=DIRECTION('',(1.,0.,0.)); #416906=DIRECTION('',(1.,0.,0.)); #416907=DIRECTION('',(0.,0.,1.)); #416908=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #416909=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #416910=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #416911=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #416912=DIRECTION('',(0.,0.,1.)); #416913=DIRECTION('center_axis',(1.,0.,0.)); #416914=DIRECTION('ref_axis',(0.,1.,0.)); #416915=DIRECTION('',(0.,1.,0.)); #416916=DIRECTION('',(0.,1.,0.)); #416917=DIRECTION('',(0.,0.,1.)); #416918=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #416919=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #416920=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416921=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #416922=DIRECTION('',(0.,0.,1.)); #416923=DIRECTION('center_axis',(0.,1.,0.)); #416924=DIRECTION('ref_axis',(-1.,0.,0.)); #416925=DIRECTION('',(-1.,0.,0.)); #416926=DIRECTION('',(-1.,0.,0.)); #416927=DIRECTION('',(0.,0.,1.)); #416928=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #416929=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #416930=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #416931=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #416932=DIRECTION('',(0.,0.,1.)); #416933=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #416934=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #416935=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #416936=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #416937=DIRECTION('',(0.,0.,1.)); #416938=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #416939=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #416940=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #416941=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #416942=DIRECTION('',(0.,0.,1.)); #416943=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #416944=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #416945=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #416946=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #416947=DIRECTION('',(0.,0.,1.)); #416948=DIRECTION('center_axis',(1.,0.,0.)); #416949=DIRECTION('ref_axis',(0.,1.,0.)); #416950=DIRECTION('',(0.,1.,0.)); #416951=DIRECTION('',(0.,1.,0.)); #416952=DIRECTION('',(0.,0.,1.)); #416953=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #416954=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #416955=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #416956=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #416957=DIRECTION('',(0.,0.,1.)); #416958=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #416959=DIRECTION('ref_axis',(0.552278225391927,0.833659859749733,0.)); #416960=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #416961=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #416962=DIRECTION('',(0.,0.,1.)); #416963=DIRECTION('center_axis',(0.707108784529037,-0.707104777838382,0.)); #416964=DIRECTION('ref_axis',(0.707104777838382,0.707108784529037,0.)); #416965=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #416966=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #416967=DIRECTION('',(0.,0.,1.)); #416968=DIRECTION('center_axis',(1.,0.,0.)); #416969=DIRECTION('ref_axis',(0.,1.,0.)); #416970=DIRECTION('',(0.,1.,0.)); #416971=DIRECTION('',(0.,1.,0.)); #416972=DIRECTION('',(0.,0.,1.)); #416973=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #416974=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #416975=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #416976=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #416977=DIRECTION('center_axis',(0.,1.,0.)); #416978=DIRECTION('ref_axis',(-1.,0.,0.)); #416979=DIRECTION('',(-1.,0.,0.)); #416980=DIRECTION('',(0.,0.,1.)); #416981=DIRECTION('',(-1.,0.,0.)); #416982=DIRECTION('',(0.,0.,1.)); #416983=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #416984=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #416985=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416986=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #416987=DIRECTION('',(0.,0.,1.)); #416988=DIRECTION('center_axis',(-1.,0.,0.)); #416989=DIRECTION('ref_axis',(0.,-1.,0.)); #416990=DIRECTION('',(0.,-1.,0.)); #416991=DIRECTION('',(0.,-1.,0.)); #416992=DIRECTION('',(0.,0.,1.)); #416993=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #416994=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #416995=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416996=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #416997=DIRECTION('',(0.,0.,1.)); #416998=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #416999=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #417000=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #417001=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #417002=DIRECTION('',(0.,0.,1.)); #417003=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #417004=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #417005=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #417006=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #417007=DIRECTION('',(0.,0.,1.)); #417008=DIRECTION('center_axis',(-1.,0.,0.)); #417009=DIRECTION('ref_axis',(0.,-1.,0.)); #417010=DIRECTION('',(0.,-1.,0.)); #417011=DIRECTION('',(0.,-1.,0.)); #417012=DIRECTION('',(0.,0.,1.)); #417013=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #417014=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #417015=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #417016=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #417017=DIRECTION('',(0.,0.,1.)); #417018=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #417019=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #417020=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #417021=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #417022=DIRECTION('',(0.,0.,1.)); #417023=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #417024=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #417025=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #417026=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #417027=DIRECTION('',(0.,0.,1.)); #417028=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #417029=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #417030=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #417031=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #417032=DIRECTION('',(0.,0.,1.)); #417033=DIRECTION('center_axis',(0.,1.,0.)); #417034=DIRECTION('ref_axis',(-1.,0.,0.)); #417035=DIRECTION('',(-1.,0.,0.)); #417036=DIRECTION('',(-1.,0.,0.)); #417037=DIRECTION('',(0.,0.,1.)); #417038=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417039=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417040=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #417041=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #417042=DIRECTION('',(0.,0.,1.)); #417043=DIRECTION('center_axis',(-1.,0.,0.)); #417044=DIRECTION('ref_axis',(0.,-1.,0.)); #417045=DIRECTION('',(0.,-1.,0.)); #417046=DIRECTION('',(0.,-1.,0.)); #417047=DIRECTION('',(0.,0.,1.)); #417048=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #417049=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #417050=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #417051=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #417052=DIRECTION('',(0.,0.,1.)); #417053=DIRECTION('center_axis',(0.,-1.,0.)); #417054=DIRECTION('ref_axis',(1.,0.,0.)); #417055=DIRECTION('',(1.,0.,0.)); #417056=DIRECTION('',(1.,0.,0.)); #417057=DIRECTION('',(0.,0.,1.)); #417058=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #417059=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #417060=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #417061=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #417062=DIRECTION('',(0.,0.,1.)); #417063=DIRECTION('center_axis',(1.,0.,0.)); #417064=DIRECTION('ref_axis',(0.,1.,0.)); #417065=DIRECTION('',(0.,1.,0.)); #417066=DIRECTION('',(0.,1.,0.)); #417067=DIRECTION('',(0.,0.,1.)); #417068=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #417069=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #417070=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #417071=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #417072=DIRECTION('',(0.,0.,1.)); #417073=DIRECTION('center_axis',(0.,1.,0.)); #417074=DIRECTION('ref_axis',(-1.,0.,0.)); #417075=DIRECTION('',(-1.,0.,0.)); #417076=DIRECTION('',(-1.,0.,0.)); #417077=DIRECTION('',(0.,0.,1.)); #417078=DIRECTION('center_axis',(0.183305290257444,0.983056036329382,0.)); #417079=DIRECTION('ref_axis',(-0.983056036329381,0.183305290257444,0.)); #417080=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #417081=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #417082=DIRECTION('',(0.,0.,1.)); #417083=DIRECTION('center_axis',(0.538911466745573,0.842362410729571,0.)); #417084=DIRECTION('ref_axis',(-0.842362410729571,0.538911466745573,0.)); #417085=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #417086=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #417087=DIRECTION('',(0.,0.,1.)); #417088=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #417089=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #417090=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #417091=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #417092=DIRECTION('',(0.,0.,1.)); #417093=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #417094=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #417095=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #417096=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #417097=DIRECTION('',(0.,0.,1.)); #417098=DIRECTION('center_axis',(1.,0.,0.)); #417099=DIRECTION('ref_axis',(0.,1.,0.)); #417100=DIRECTION('',(0.,1.,0.)); #417101=DIRECTION('',(0.,1.,0.)); #417102=DIRECTION('',(0.,0.,1.)); #417103=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #417104=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #417105=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #417106=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #417107=DIRECTION('',(0.,0.,1.)); #417108=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #417109=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #417110=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #417111=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #417112=DIRECTION('',(0.,0.,1.)); #417113=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #417114=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #417115=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #417116=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #417117=DIRECTION('',(0.,0.,1.)); #417118=DIRECTION('center_axis',(1.,0.,0.)); #417119=DIRECTION('ref_axis',(0.,1.,0.)); #417120=DIRECTION('',(0.,1.,0.)); #417121=DIRECTION('',(0.,1.,0.)); #417122=DIRECTION('',(0.,0.,1.)); #417123=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417124=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417125=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417126=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417127=DIRECTION('center_axis',(0.,1.,0.)); #417128=DIRECTION('ref_axis',(-1.,0.,0.)); #417129=DIRECTION('',(-1.,0.,0.)); #417130=DIRECTION('',(0.,0.,1.)); #417131=DIRECTION('',(-1.,0.,0.)); #417132=DIRECTION('',(0.,0.,1.)); #417133=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #417134=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #417135=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417136=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #417137=DIRECTION('',(0.,0.,1.)); #417138=DIRECTION('center_axis',(-1.,0.,0.)); #417139=DIRECTION('ref_axis',(0.,-1.,0.)); #417140=DIRECTION('',(0.,-1.,0.)); #417141=DIRECTION('',(0.,-1.,0.)); #417142=DIRECTION('',(0.,0.,1.)); #417143=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #417144=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #417145=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #417146=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #417147=DIRECTION('',(0.,0.,1.)); #417148=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #417149=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #417150=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #417151=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #417152=DIRECTION('',(0.,0.,1.)); #417153=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #417154=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #417155=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #417156=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #417157=DIRECTION('',(0.,0.,1.)); #417158=DIRECTION('center_axis',(-1.,0.,0.)); #417159=DIRECTION('ref_axis',(0.,-1.,0.)); #417160=DIRECTION('',(0.,-1.,0.)); #417161=DIRECTION('',(0.,-1.,0.)); #417162=DIRECTION('',(0.,0.,1.)); #417163=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #417164=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #417165=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #417166=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #417167=DIRECTION('',(0.,0.,1.)); #417168=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #417169=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #417170=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #417171=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #417172=DIRECTION('',(0.,0.,1.)); #417173=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #417174=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #417175=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #417176=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #417177=DIRECTION('',(0.,0.,1.)); #417178=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #417179=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #417180=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #417181=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #417182=DIRECTION('',(0.,0.,1.)); #417183=DIRECTION('center_axis',(0.,1.,0.)); #417184=DIRECTION('ref_axis',(-1.,0.,0.)); #417185=DIRECTION('',(-1.,0.,0.)); #417186=DIRECTION('',(-1.,0.,0.)); #417187=DIRECTION('',(0.,0.,1.)); #417188=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #417189=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #417190=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #417191=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #417192=DIRECTION('',(0.,0.,1.)); #417193=DIRECTION('center_axis',(-1.,0.,0.)); #417194=DIRECTION('ref_axis',(0.,-1.,0.)); #417195=DIRECTION('',(0.,-1.,0.)); #417196=DIRECTION('',(0.,-1.,0.)); #417197=DIRECTION('',(0.,0.,1.)); #417198=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #417199=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #417200=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #417201=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #417202=DIRECTION('',(0.,0.,1.)); #417203=DIRECTION('center_axis',(0.,-1.,0.)); #417204=DIRECTION('ref_axis',(1.,0.,0.)); #417205=DIRECTION('',(1.,0.,0.)); #417206=DIRECTION('',(1.,0.,0.)); #417207=DIRECTION('',(0.,0.,1.)); #417208=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #417209=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #417210=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #417211=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #417212=DIRECTION('',(0.,0.,1.)); #417213=DIRECTION('center_axis',(1.,0.,0.)); #417214=DIRECTION('ref_axis',(0.,1.,0.)); #417215=DIRECTION('',(0.,1.,0.)); #417216=DIRECTION('',(0.,1.,0.)); #417217=DIRECTION('',(0.,0.,1.)); #417218=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #417219=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #417220=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #417221=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #417222=DIRECTION('',(0.,0.,1.)); #417223=DIRECTION('center_axis',(0.,1.,0.)); #417224=DIRECTION('ref_axis',(-1.,0.,0.)); #417225=DIRECTION('',(-1.,0.,0.)); #417226=DIRECTION('',(-1.,0.,0.)); #417227=DIRECTION('',(0.,0.,1.)); #417228=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #417229=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #417230=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #417231=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #417232=DIRECTION('',(0.,0.,1.)); #417233=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #417234=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #417235=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #417236=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #417237=DIRECTION('',(0.,0.,1.)); #417238=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #417239=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #417240=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #417241=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #417242=DIRECTION('',(0.,0.,1.)); #417243=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #417244=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #417245=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #417246=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #417247=DIRECTION('',(0.,0.,1.)); #417248=DIRECTION('center_axis',(1.,0.,0.)); #417249=DIRECTION('ref_axis',(0.,1.,0.)); #417250=DIRECTION('',(0.,1.,0.)); #417251=DIRECTION('',(0.,1.,0.)); #417252=DIRECTION('',(0.,0.,1.)); #417253=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #417254=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #417255=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #417256=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #417257=DIRECTION('',(0.,0.,1.)); #417258=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #417259=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #417260=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #417261=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #417262=DIRECTION('',(0.,0.,1.)); #417263=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #417264=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #417265=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #417266=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #417267=DIRECTION('',(0.,0.,1.)); #417268=DIRECTION('center_axis',(1.,0.,0.)); #417269=DIRECTION('ref_axis',(0.,1.,0.)); #417270=DIRECTION('',(0.,1.,0.)); #417271=DIRECTION('',(0.,1.,0.)); #417272=DIRECTION('',(0.,0.,1.)); #417273=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417274=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417275=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417276=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417277=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #417278=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #417279=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #417280=DIRECTION('',(0.,0.,1.)); #417281=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #417282=DIRECTION('',(0.,0.,1.)); #417283=DIRECTION('center_axis',(0.,-1.,0.)); #417284=DIRECTION('ref_axis',(1.,0.,0.)); #417285=DIRECTION('',(1.,0.,0.)); #417286=DIRECTION('',(1.,0.,0.)); #417287=DIRECTION('',(0.,0.,1.)); #417288=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #417289=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #417290=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #417291=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #417292=DIRECTION('',(0.,0.,1.)); #417293=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #417294=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #417295=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #417296=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #417297=DIRECTION('',(0.,0.,1.)); #417298=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #417299=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #417300=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #417301=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #417302=DIRECTION('',(0.,0.,1.)); #417303=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #417304=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #417305=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #417306=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #417307=DIRECTION('',(0.,0.,1.)); #417308=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #417309=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #417310=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #417311=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #417312=DIRECTION('',(0.,0.,1.)); #417313=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #417314=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #417315=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #417316=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #417317=DIRECTION('',(0.,0.,1.)); #417318=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #417319=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #417320=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #417321=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #417322=DIRECTION('',(0.,0.,1.)); #417323=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #417324=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #417325=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #417326=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #417327=DIRECTION('',(0.,0.,1.)); #417328=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #417329=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #417330=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #417331=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #417332=DIRECTION('',(0.,0.,1.)); #417333=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #417334=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #417335=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #417336=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #417337=DIRECTION('',(0.,0.,1.)); #417338=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #417339=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #417340=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #417341=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #417342=DIRECTION('',(0.,0.,1.)); #417343=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #417344=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #417345=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #417346=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #417347=DIRECTION('',(0.,0.,1.)); #417348=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #417349=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #417350=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #417351=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #417352=DIRECTION('',(0.,0.,1.)); #417353=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #417354=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #417355=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #417356=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #417357=DIRECTION('',(0.,0.,1.)); #417358=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #417359=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #417360=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #417361=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #417362=DIRECTION('',(0.,0.,1.)); #417363=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #417364=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #417365=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #417366=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #417367=DIRECTION('',(0.,0.,1.)); #417368=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #417369=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #417370=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #417371=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #417372=DIRECTION('',(0.,0.,1.)); #417373=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #417374=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #417375=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #417376=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #417377=DIRECTION('',(0.,0.,1.)); #417378=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #417379=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #417380=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #417381=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #417382=DIRECTION('',(0.,0.,1.)); #417383=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #417384=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #417385=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #417386=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #417387=DIRECTION('',(0.,0.,1.)); #417388=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #417389=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #417390=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #417391=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #417392=DIRECTION('',(0.,0.,1.)); #417393=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #417394=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #417395=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #417396=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #417397=DIRECTION('',(0.,0.,1.)); #417398=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #417399=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #417400=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #417401=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #417402=DIRECTION('',(0.,0.,1.)); #417403=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #417404=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #417405=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #417406=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #417407=DIRECTION('',(0.,0.,1.)); #417408=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #417409=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #417410=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #417411=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #417412=DIRECTION('',(0.,0.,1.)); #417413=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #417414=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #417415=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #417416=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #417417=DIRECTION('',(0.,0.,1.)); #417418=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #417419=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #417420=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #417421=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #417422=DIRECTION('',(0.,0.,1.)); #417423=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #417424=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #417425=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #417426=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #417427=DIRECTION('',(0.,0.,1.)); #417428=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #417429=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #417430=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #417431=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #417432=DIRECTION('',(0.,0.,1.)); #417433=DIRECTION('center_axis',(-1.,0.,0.)); #417434=DIRECTION('ref_axis',(0.,-1.,0.)); #417435=DIRECTION('',(0.,-1.,0.)); #417436=DIRECTION('',(0.,-1.,0.)); #417437=DIRECTION('',(0.,0.,1.)); #417438=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #417439=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #417440=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #417441=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #417442=DIRECTION('',(0.,0.,1.)); #417443=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #417444=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #417445=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #417446=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #417447=DIRECTION('',(0.,0.,1.)); #417448=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #417449=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #417450=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #417451=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #417452=DIRECTION('',(0.,0.,1.)); #417453=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #417454=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #417455=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #417456=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #417457=DIRECTION('',(0.,0.,1.)); #417458=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #417459=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #417460=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #417461=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #417462=DIRECTION('',(0.,0.,1.)); #417463=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #417464=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #417465=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #417466=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #417467=DIRECTION('',(0.,0.,1.)); #417468=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #417469=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #417470=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #417471=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #417472=DIRECTION('',(0.,0.,1.)); #417473=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #417474=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #417475=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #417476=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #417477=DIRECTION('',(0.,0.,1.)); #417478=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #417479=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #417480=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #417481=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #417482=DIRECTION('',(0.,0.,1.)); #417483=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #417484=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #417485=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #417486=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #417487=DIRECTION('',(0.,0.,1.)); #417488=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #417489=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #417490=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #417491=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #417492=DIRECTION('',(0.,0.,1.)); #417493=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #417494=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #417495=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #417496=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #417497=DIRECTION('',(0.,0.,1.)); #417498=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #417499=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #417500=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #417501=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #417502=DIRECTION('',(0.,0.,1.)); #417503=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #417504=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #417505=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #417506=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #417507=DIRECTION('',(0.,0.,1.)); #417508=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #417509=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #417510=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #417511=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #417512=DIRECTION('',(0.,0.,1.)); #417513=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #417514=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #417515=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #417516=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #417517=DIRECTION('',(0.,0.,1.)); #417518=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #417519=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #417520=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #417521=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #417522=DIRECTION('',(0.,0.,1.)); #417523=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #417524=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #417525=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #417526=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #417527=DIRECTION('',(0.,0.,1.)); #417528=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #417529=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #417530=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #417531=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #417532=DIRECTION('',(0.,0.,1.)); #417533=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #417534=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #417535=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #417536=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #417537=DIRECTION('',(0.,0.,1.)); #417538=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #417539=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #417540=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #417541=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #417542=DIRECTION('',(0.,0.,1.)); #417543=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #417544=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #417545=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #417546=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #417547=DIRECTION('',(0.,0.,1.)); #417548=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #417549=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #417550=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #417551=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #417552=DIRECTION('',(0.,0.,1.)); #417553=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #417554=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #417555=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #417556=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #417557=DIRECTION('',(0.,0.,1.)); #417558=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #417559=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #417560=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #417561=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #417562=DIRECTION('',(0.,0.,1.)); #417563=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #417564=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #417565=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #417566=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #417567=DIRECTION('',(0.,0.,1.)); #417568=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #417569=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #417570=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #417571=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #417572=DIRECTION('',(0.,0.,1.)); #417573=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #417574=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #417575=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #417576=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #417577=DIRECTION('',(0.,0.,1.)); #417578=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #417579=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #417580=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #417581=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #417582=DIRECTION('',(0.,0.,1.)); #417583=DIRECTION('center_axis',(0.,1.,0.)); #417584=DIRECTION('ref_axis',(-1.,0.,0.)); #417585=DIRECTION('',(-1.,0.,0.)); #417586=DIRECTION('',(-1.,0.,0.)); #417587=DIRECTION('',(0.,0.,1.)); #417588=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #417589=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #417590=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #417591=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #417592=DIRECTION('',(0.,0.,1.)); #417593=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #417594=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #417595=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #417596=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #417597=DIRECTION('',(0.,0.,1.)); #417598=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #417599=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #417600=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #417601=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #417602=DIRECTION('',(0.,0.,1.)); #417603=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #417604=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #417605=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #417606=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #417607=DIRECTION('',(0.,0.,1.)); #417608=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #417609=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #417610=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #417611=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #417612=DIRECTION('',(0.,0.,1.)); #417613=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #417614=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #417615=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #417616=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #417617=DIRECTION('',(0.,0.,1.)); #417618=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #417619=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #417620=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #417621=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #417622=DIRECTION('',(0.,0.,1.)); #417623=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #417624=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #417625=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #417626=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #417627=DIRECTION('',(0.,0.,1.)); #417628=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #417629=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #417630=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #417631=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #417632=DIRECTION('',(0.,0.,1.)); #417633=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #417634=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #417635=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #417636=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #417637=DIRECTION('',(0.,0.,1.)); #417638=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #417639=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #417640=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #417641=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #417642=DIRECTION('',(0.,0.,1.)); #417643=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #417644=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #417645=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #417646=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #417647=DIRECTION('',(0.,0.,1.)); #417648=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #417649=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #417650=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #417651=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #417652=DIRECTION('',(0.,0.,1.)); #417653=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #417654=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #417655=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #417656=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #417657=DIRECTION('',(0.,0.,1.)); #417658=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417659=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417660=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417661=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417662=DIRECTION('',(0.,0.,1.)); #417663=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #417664=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #417665=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #417666=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #417667=DIRECTION('',(0.,0.,1.)); #417668=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #417669=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #417670=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #417671=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #417672=DIRECTION('',(0.,0.,1.)); #417673=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #417674=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #417675=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #417676=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #417677=DIRECTION('',(0.,0.,1.)); #417678=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #417679=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #417680=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #417681=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #417682=DIRECTION('',(0.,0.,1.)); #417683=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #417684=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #417685=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #417686=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #417687=DIRECTION('',(0.,0.,1.)); #417688=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #417689=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #417690=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #417691=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #417692=DIRECTION('',(0.,0.,1.)); #417693=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #417694=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #417695=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #417696=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #417697=DIRECTION('',(0.,0.,1.)); #417698=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #417699=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #417700=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #417701=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #417702=DIRECTION('',(0.,0.,1.)); #417703=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #417704=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #417705=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #417706=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #417707=DIRECTION('',(0.,0.,1.)); #417708=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #417709=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #417710=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #417711=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #417712=DIRECTION('',(0.,0.,1.)); #417713=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #417714=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #417715=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #417716=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #417717=DIRECTION('',(0.,0.,1.)); #417718=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #417719=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #417720=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #417721=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #417722=DIRECTION('',(0.,0.,1.)); #417723=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #417724=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #417725=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #417726=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #417727=DIRECTION('',(0.,0.,1.)); #417728=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #417729=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #417730=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #417731=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #417732=DIRECTION('',(0.,0.,1.)); #417733=DIRECTION('center_axis',(1.,0.,0.)); #417734=DIRECTION('ref_axis',(0.,1.,0.)); #417735=DIRECTION('',(0.,1.,0.)); #417736=DIRECTION('',(0.,1.,0.)); #417737=DIRECTION('',(0.,0.,1.)); #417738=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #417739=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #417740=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #417741=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #417742=DIRECTION('',(0.,0.,1.)); #417743=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #417744=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #417745=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #417746=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #417747=DIRECTION('',(0.,0.,1.)); #417748=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #417749=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #417750=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #417751=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #417752=DIRECTION('',(0.,0.,1.)); #417753=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #417754=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #417755=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #417756=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #417757=DIRECTION('',(0.,0.,1.)); #417758=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #417759=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #417760=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #417761=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #417762=DIRECTION('',(0.,0.,1.)); #417763=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #417764=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #417765=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #417766=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #417767=DIRECTION('',(0.,0.,1.)); #417768=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #417769=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #417770=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #417771=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #417772=DIRECTION('',(0.,0.,1.)); #417773=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #417774=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #417775=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #417776=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #417777=DIRECTION('',(0.,0.,1.)); #417778=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #417779=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #417780=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #417781=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #417782=DIRECTION('',(0.,0.,1.)); #417783=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #417784=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #417785=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #417786=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #417787=DIRECTION('',(0.,0.,1.)); #417788=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #417789=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #417790=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #417791=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #417792=DIRECTION('',(0.,0.,1.)); #417793=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #417794=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #417795=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #417796=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #417797=DIRECTION('',(0.,0.,1.)); #417798=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #417799=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #417800=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #417801=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #417802=DIRECTION('',(0.,0.,1.)); #417803=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #417804=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #417805=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #417806=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #417807=DIRECTION('',(0.,0.,1.)); #417808=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #417809=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #417810=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #417811=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #417812=DIRECTION('',(0.,0.,1.)); #417813=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #417814=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #417815=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #417816=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #417817=DIRECTION('',(0.,0.,1.)); #417818=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #417819=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #417820=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #417821=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #417822=DIRECTION('',(0.,0.,1.)); #417823=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #417824=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #417825=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #417826=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #417827=DIRECTION('',(0.,0.,1.)); #417828=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #417829=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #417830=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #417831=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #417832=DIRECTION('',(0.,0.,1.)); #417833=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #417834=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #417835=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #417836=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #417837=DIRECTION('',(0.,0.,1.)); #417838=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #417839=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #417840=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #417841=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #417842=DIRECTION('',(0.,0.,1.)); #417843=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #417844=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #417845=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #417846=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #417847=DIRECTION('',(0.,0.,1.)); #417848=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #417849=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #417850=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #417851=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #417852=DIRECTION('',(0.,0.,1.)); #417853=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #417854=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #417855=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #417856=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #417857=DIRECTION('',(0.,0.,1.)); #417858=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #417859=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #417860=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #417861=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #417862=DIRECTION('',(0.,0.,1.)); #417863=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #417864=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #417865=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #417866=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #417867=DIRECTION('',(0.,0.,1.)); #417868=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #417869=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #417870=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #417871=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #417872=DIRECTION('',(0.,0.,1.)); #417873=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #417874=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #417875=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #417876=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #417877=DIRECTION('center_axis',(0.,0.,1.)); #417878=DIRECTION('ref_axis',(1.,0.,0.)); #417879=DIRECTION('center_axis',(0.,0.,1.)); #417880=DIRECTION('ref_axis',(1.,0.,0.)); #417881=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #417882=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #417883=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #417884=DIRECTION('',(0.,0.,1.)); #417885=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #417886=DIRECTION('',(0.,0.,1.)); #417887=DIRECTION('center_axis',(0.,-1.,0.)); #417888=DIRECTION('ref_axis',(1.,0.,0.)); #417889=DIRECTION('',(1.,0.,0.)); #417890=DIRECTION('',(1.,0.,0.)); #417891=DIRECTION('',(0.,0.,1.)); #417892=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #417893=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #417894=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #417895=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #417896=DIRECTION('',(0.,0.,1.)); #417897=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #417898=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #417899=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #417900=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #417901=DIRECTION('',(0.,0.,1.)); #417902=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #417903=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #417904=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #417905=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #417906=DIRECTION('',(0.,0.,1.)); #417907=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #417908=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #417909=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #417910=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #417911=DIRECTION('',(0.,0.,1.)); #417912=DIRECTION('center_axis',(-1.,0.,0.)); #417913=DIRECTION('ref_axis',(0.,-1.,0.)); #417914=DIRECTION('',(0.,-1.,0.)); #417915=DIRECTION('',(0.,-1.,0.)); #417916=DIRECTION('',(0.,0.,1.)); #417917=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #417918=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #417919=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #417920=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #417921=DIRECTION('',(0.,0.,1.)); #417922=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #417923=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #417924=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #417925=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #417926=DIRECTION('',(0.,0.,1.)); #417927=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #417928=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #417929=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #417930=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #417931=DIRECTION('',(0.,0.,1.)); #417932=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #417933=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #417934=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #417935=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #417936=DIRECTION('',(0.,0.,1.)); #417937=DIRECTION('center_axis',(0.,1.,0.)); #417938=DIRECTION('ref_axis',(-1.,0.,0.)); #417939=DIRECTION('',(-1.,0.,0.)); #417940=DIRECTION('',(-1.,0.,0.)); #417941=DIRECTION('',(0.,0.,1.)); #417942=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #417943=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #417944=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #417945=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #417946=DIRECTION('',(0.,0.,1.)); #417947=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #417948=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #417949=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #417950=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #417951=DIRECTION('',(0.,0.,1.)); #417952=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #417953=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #417954=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #417955=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #417956=DIRECTION('',(0.,0.,1.)); #417957=DIRECTION('center_axis',(0.,1.,0.)); #417958=DIRECTION('ref_axis',(-1.,0.,0.)); #417959=DIRECTION('',(-1.,0.,0.)); #417960=DIRECTION('',(-1.,0.,0.)); #417961=DIRECTION('',(0.,0.,1.)); #417962=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #417963=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #417964=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #417965=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #417966=DIRECTION('',(0.,0.,1.)); #417967=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #417968=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #417969=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #417970=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #417971=DIRECTION('',(0.,0.,1.)); #417972=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #417973=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #417974=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #417975=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #417976=DIRECTION('',(0.,0.,1.)); #417977=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #417978=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #417979=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #417980=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #417981=DIRECTION('',(0.,0.,1.)); #417982=DIRECTION('center_axis',(1.,0.,0.)); #417983=DIRECTION('ref_axis',(0.,1.,0.)); #417984=DIRECTION('',(0.,1.,0.)); #417985=DIRECTION('',(0.,1.,0.)); #417986=DIRECTION('',(0.,0.,1.)); #417987=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #417988=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #417989=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #417990=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #417991=DIRECTION('',(0.,0.,1.)); #417992=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #417993=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #417994=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417995=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #417996=DIRECTION('',(0.,0.,1.)); #417997=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #417998=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #417999=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #418000=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #418001=DIRECTION('',(0.,0.,1.)); #418002=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #418003=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #418004=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #418005=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #418006=DIRECTION('',(0.,0.,1.)); #418007=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #418008=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #418009=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #418010=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #418011=DIRECTION('',(0.,0.,1.)); #418012=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #418013=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #418014=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #418015=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #418016=DIRECTION('',(0.,0.,1.)); #418017=DIRECTION('center_axis',(1.,0.,0.)); #418018=DIRECTION('ref_axis',(0.,1.,0.)); #418019=DIRECTION('',(0.,1.,0.)); #418020=DIRECTION('',(0.,1.,0.)); #418021=DIRECTION('',(0.,0.,1.)); #418022=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #418023=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #418024=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #418025=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #418026=DIRECTION('',(0.,0.,1.)); #418027=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #418028=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #418029=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #418030=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #418031=DIRECTION('',(0.,0.,1.)); #418032=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #418033=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #418034=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #418035=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #418036=DIRECTION('',(0.,0.,1.)); #418037=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #418038=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #418039=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #418040=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #418041=DIRECTION('center_axis',(0.,0.,1.)); #418042=DIRECTION('ref_axis',(1.,0.,0.)); #418043=DIRECTION('center_axis',(0.,0.,1.)); #418044=DIRECTION('ref_axis',(1.,0.,0.)); #418045=DIRECTION('center_axis',(0.198956019232584,-0.980008419561344,0.)); #418046=DIRECTION('ref_axis',(0.980008419561344,0.198956019232584,0.)); #418047=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #418048=DIRECTION('',(0.,0.,1.)); #418049=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #418050=DIRECTION('',(0.,0.,1.)); #418051=DIRECTION('center_axis',(0.,-1.,0.)); #418052=DIRECTION('ref_axis',(1.,0.,0.)); #418053=DIRECTION('',(1.,0.,0.)); #418054=DIRECTION('',(1.,0.,0.)); #418055=DIRECTION('',(0.,0.,1.)); #418056=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #418057=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #418058=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #418059=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #418060=DIRECTION('',(0.,0.,1.)); #418061=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #418062=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #418063=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #418064=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #418065=DIRECTION('',(0.,0.,1.)); #418066=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #418067=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #418068=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418069=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #418070=DIRECTION('',(0.,0.,1.)); #418071=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #418072=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #418073=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #418074=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #418075=DIRECTION('',(0.,0.,1.)); #418076=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #418077=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #418078=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #418079=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #418080=DIRECTION('',(0.,0.,1.)); #418081=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #418082=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #418083=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #418084=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #418085=DIRECTION('',(0.,0.,1.)); #418086=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #418087=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #418088=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #418089=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #418090=DIRECTION('',(0.,0.,1.)); #418091=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #418092=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #418093=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #418094=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #418095=DIRECTION('',(0.,0.,1.)); #418096=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #418097=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #418098=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #418099=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #418100=DIRECTION('',(0.,0.,1.)); #418101=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #418102=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #418103=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #418104=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #418105=DIRECTION('',(0.,0.,1.)); #418106=DIRECTION('center_axis',(0.,1.,0.)); #418107=DIRECTION('ref_axis',(-1.,0.,0.)); #418108=DIRECTION('',(-1.,0.,0.)); #418109=DIRECTION('',(-1.,0.,0.)); #418110=DIRECTION('',(0.,0.,1.)); #418111=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #418112=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #418113=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #418114=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #418115=DIRECTION('',(0.,0.,1.)); #418116=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #418117=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #418118=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #418119=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #418120=DIRECTION('',(0.,0.,1.)); #418121=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #418122=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #418123=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #418124=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #418125=DIRECTION('',(0.,0.,1.)); #418126=DIRECTION('center_axis',(0.820212632122756,0.572058771549096,0.)); #418127=DIRECTION('ref_axis',(-0.572058771549096,0.820212632122756,0.)); #418128=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #418129=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #418130=DIRECTION('',(0.,0.,1.)); #418131=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #418132=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #418133=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #418134=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #418135=DIRECTION('',(0.,0.,1.)); #418136=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #418137=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #418138=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #418139=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #418140=DIRECTION('',(0.,0.,1.)); #418141=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #418142=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #418143=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #418144=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #418145=DIRECTION('',(0.,0.,1.)); #418146=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #418147=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #418148=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #418149=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #418150=DIRECTION('center_axis',(0.,0.,1.)); #418151=DIRECTION('ref_axis',(1.,0.,0.)); #418152=DIRECTION('center_axis',(0.,0.,1.)); #418153=DIRECTION('ref_axis',(1.,0.,0.)); #418154=DIRECTION('center_axis',(0.,1.,0.)); #418155=DIRECTION('ref_axis',(-1.,0.,0.)); #418156=DIRECTION('',(1.,0.,0.)); #418157=DIRECTION('',(0.,0.,1.)); #418158=DIRECTION('',(-1.,0.,0.)); #418159=DIRECTION('',(0.,0.,1.)); #418160=DIRECTION('center_axis',(0.,0.,1.)); #418161=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418162=DIRECTION('center_axis',(0.,0.,-1.)); #418163=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418164=DIRECTION('center_axis',(0.,0.,1.)); #418165=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #418166=DIRECTION('',(0.,0.,1.)); #418167=DIRECTION('center_axis',(0.,-1.,0.)); #418168=DIRECTION('ref_axis',(1.,0.,0.)); #418169=DIRECTION('',(-1.,0.,0.)); #418170=DIRECTION('',(1.,0.,0.)); #418171=DIRECTION('',(0.,0.,1.)); #418172=DIRECTION('center_axis',(0.,0.,1.)); #418173=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418174=DIRECTION('center_axis',(0.,0.,-1.)); #418175=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418176=DIRECTION('center_axis',(0.,0.,1.)); #418177=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #418178=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #418179=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #418180=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #418181=DIRECTION('',(0.,0.,1.)); #418182=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #418183=DIRECTION('',(0.,0.,1.)); #418184=DIRECTION('center_axis',(0.,-1.,0.)); #418185=DIRECTION('ref_axis',(1.,0.,0.)); #418186=DIRECTION('',(1.,0.,0.)); #418187=DIRECTION('',(1.,0.,0.)); #418188=DIRECTION('',(0.,0.,1.)); #418189=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #418190=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #418191=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #418192=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #418193=DIRECTION('',(0.,0.,1.)); #418194=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #418195=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #418196=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #418197=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #418198=DIRECTION('',(0.,0.,1.)); #418199=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #418200=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #418201=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #418202=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #418203=DIRECTION('',(0.,0.,1.)); #418204=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #418205=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #418206=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #418207=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #418208=DIRECTION('',(0.,0.,1.)); #418209=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #418210=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #418211=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #418212=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #418213=DIRECTION('',(0.,0.,1.)); #418214=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #418215=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #418216=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #418217=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #418218=DIRECTION('',(0.,0.,1.)); #418219=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #418220=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #418221=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #418222=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #418223=DIRECTION('',(0.,0.,1.)); #418224=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #418225=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #418226=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #418227=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #418228=DIRECTION('',(0.,0.,1.)); #418229=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #418230=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #418231=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #418232=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #418233=DIRECTION('',(0.,0.,1.)); #418234=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #418235=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #418236=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #418237=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #418238=DIRECTION('',(0.,0.,1.)); #418239=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #418240=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #418241=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #418242=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #418243=DIRECTION('',(0.,0.,1.)); #418244=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #418245=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #418246=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #418247=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #418248=DIRECTION('',(0.,0.,1.)); #418249=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #418250=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #418251=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #418252=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #418253=DIRECTION('',(0.,0.,1.)); #418254=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #418255=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #418256=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #418257=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #418258=DIRECTION('',(0.,0.,1.)); #418259=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #418260=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #418261=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #418262=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #418263=DIRECTION('',(0.,0.,1.)); #418264=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #418265=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #418266=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #418267=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #418268=DIRECTION('',(0.,0.,1.)); #418269=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #418270=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #418271=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #418272=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #418273=DIRECTION('',(0.,0.,1.)); #418274=DIRECTION('center_axis',(-1.,0.,0.)); #418275=DIRECTION('ref_axis',(0.,-1.,0.)); #418276=DIRECTION('',(0.,-1.,0.)); #418277=DIRECTION('',(0.,-1.,0.)); #418278=DIRECTION('',(0.,0.,1.)); #418279=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #418280=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #418281=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #418282=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #418283=DIRECTION('',(0.,0.,1.)); #418284=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #418285=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #418286=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #418287=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #418288=DIRECTION('',(0.,0.,1.)); #418289=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #418290=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #418291=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #418292=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #418293=DIRECTION('',(0.,0.,1.)); #418294=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #418295=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #418296=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #418297=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #418298=DIRECTION('',(0.,0.,1.)); #418299=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #418300=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #418301=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #418302=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #418303=DIRECTION('',(0.,0.,1.)); #418304=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #418305=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #418306=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #418307=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #418308=DIRECTION('',(0.,0.,1.)); #418309=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #418310=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #418311=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #418312=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #418313=DIRECTION('',(0.,0.,1.)); #418314=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #418315=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #418316=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #418317=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #418318=DIRECTION('',(0.,0.,1.)); #418319=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #418320=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #418321=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #418322=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #418323=DIRECTION('',(0.,0.,1.)); #418324=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #418325=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #418326=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #418327=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #418328=DIRECTION('',(0.,0.,1.)); #418329=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #418330=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #418331=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #418332=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #418333=DIRECTION('',(0.,0.,1.)); #418334=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #418335=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #418336=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #418337=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #418338=DIRECTION('',(0.,0.,1.)); #418339=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #418340=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #418341=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #418342=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #418343=DIRECTION('',(0.,0.,1.)); #418344=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #418345=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #418346=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #418347=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #418348=DIRECTION('',(0.,0.,1.)); #418349=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #418350=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #418351=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #418352=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #418353=DIRECTION('',(0.,0.,1.)); #418354=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #418355=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #418356=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #418357=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #418358=DIRECTION('',(0.,0.,1.)); #418359=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #418360=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #418361=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #418362=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #418363=DIRECTION('',(0.,0.,1.)); #418364=DIRECTION('center_axis',(0.,1.,0.)); #418365=DIRECTION('ref_axis',(-1.,0.,0.)); #418366=DIRECTION('',(-1.,0.,0.)); #418367=DIRECTION('',(-1.,0.,0.)); #418368=DIRECTION('',(0.,0.,1.)); #418369=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #418370=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #418371=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #418372=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #418373=DIRECTION('',(0.,0.,1.)); #418374=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #418375=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #418376=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #418377=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #418378=DIRECTION('',(0.,0.,1.)); #418379=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #418380=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #418381=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #418382=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #418383=DIRECTION('',(0.,0.,1.)); #418384=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #418385=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #418386=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #418387=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #418388=DIRECTION('',(0.,0.,1.)); #418389=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #418390=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #418391=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #418392=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #418393=DIRECTION('',(0.,0.,1.)); #418394=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #418395=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #418396=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #418397=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #418398=DIRECTION('',(0.,0.,1.)); #418399=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #418400=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #418401=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #418402=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #418403=DIRECTION('',(0.,0.,1.)); #418404=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #418405=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #418406=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #418407=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #418408=DIRECTION('',(0.,0.,1.)); #418409=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #418410=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #418411=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #418412=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #418413=DIRECTION('',(0.,0.,1.)); #418414=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #418415=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #418416=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #418417=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #418418=DIRECTION('',(0.,0.,1.)); #418419=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #418420=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #418421=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #418422=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #418423=DIRECTION('',(0.,0.,1.)); #418424=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #418425=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #418426=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #418427=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #418428=DIRECTION('',(0.,0.,1.)); #418429=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #418430=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #418431=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #418432=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #418433=DIRECTION('',(0.,0.,1.)); #418434=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #418435=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #418436=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #418437=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #418438=DIRECTION('',(0.,0.,1.)); #418439=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #418440=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #418441=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #418442=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #418443=DIRECTION('',(0.,0.,1.)); #418444=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #418445=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #418446=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #418447=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #418448=DIRECTION('',(0.,0.,1.)); #418449=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #418450=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #418451=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #418452=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #418453=DIRECTION('',(0.,0.,1.)); #418454=DIRECTION('center_axis',(1.,0.,0.)); #418455=DIRECTION('ref_axis',(0.,1.,0.)); #418456=DIRECTION('',(0.,1.,0.)); #418457=DIRECTION('',(0.,1.,0.)); #418458=DIRECTION('',(0.,0.,1.)); #418459=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #418460=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #418461=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #418462=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #418463=DIRECTION('',(0.,0.,1.)); #418464=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #418465=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #418466=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #418467=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #418468=DIRECTION('',(0.,0.,1.)); #418469=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #418470=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #418471=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #418472=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #418473=DIRECTION('',(0.,0.,1.)); #418474=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #418475=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #418476=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #418477=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #418478=DIRECTION('',(0.,0.,1.)); #418479=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #418480=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #418481=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #418482=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #418483=DIRECTION('',(0.,0.,1.)); #418484=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #418485=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #418486=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #418487=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #418488=DIRECTION('',(0.,0.,1.)); #418489=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #418490=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #418491=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #418492=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #418493=DIRECTION('',(0.,0.,1.)); #418494=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #418495=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #418496=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #418497=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #418498=DIRECTION('',(0.,0.,1.)); #418499=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #418500=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #418501=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #418502=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #418503=DIRECTION('',(0.,0.,1.)); #418504=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #418505=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #418506=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #418507=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #418508=DIRECTION('',(0.,0.,1.)); #418509=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #418510=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #418511=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #418512=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #418513=DIRECTION('',(0.,0.,1.)); #418514=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #418515=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #418516=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #418517=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #418518=DIRECTION('',(0.,0.,1.)); #418519=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #418520=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #418521=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #418522=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #418523=DIRECTION('',(0.,0.,1.)); #418524=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #418525=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #418526=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #418527=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #418528=DIRECTION('',(0.,0.,1.)); #418529=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #418530=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #418531=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #418532=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #418533=DIRECTION('',(0.,0.,1.)); #418534=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #418535=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #418536=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #418537=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #418538=DIRECTION('center_axis',(0.,0.,1.)); #418539=DIRECTION('ref_axis',(1.,0.,0.)); #418540=DIRECTION('center_axis',(0.,0.,1.)); #418541=DIRECTION('ref_axis',(1.,0.,0.)); #418542=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #418543=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #418544=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #418545=DIRECTION('',(0.,0.,1.)); #418546=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #418547=DIRECTION('',(0.,0.,1.)); #418548=DIRECTION('center_axis',(0.,-1.,0.)); #418549=DIRECTION('ref_axis',(1.,0.,0.)); #418550=DIRECTION('',(1.,0.,0.)); #418551=DIRECTION('',(1.,0.,0.)); #418552=DIRECTION('',(0.,0.,1.)); #418553=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #418554=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #418555=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #418556=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #418557=DIRECTION('',(0.,0.,1.)); #418558=DIRECTION('center_axis',(-0.525373540973504,-0.850871695642159, 0.)); #418559=DIRECTION('ref_axis',(0.850871695642159,-0.525373540973504,0.)); #418560=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #418561=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #418562=DIRECTION('',(0.,0.,1.)); #418563=DIRECTION('center_axis',(-0.815693852178821,-0.578483828224848, 0.)); #418564=DIRECTION('ref_axis',(0.578483828224848,-0.815693852178821,0.)); #418565=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #418566=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #418567=DIRECTION('',(0.,0.,1.)); #418568=DIRECTION('center_axis',(-0.976692604453674,-0.214642857802207, 0.)); #418569=DIRECTION('ref_axis',(0.214642857802207,-0.976692604453674,0.)); #418570=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #418571=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #418572=DIRECTION('',(0.,0.,1.)); #418573=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #418574=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #418575=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #418576=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #418577=DIRECTION('',(0.,0.,1.)); #418578=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #418579=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #418580=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #418581=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #418582=DIRECTION('',(0.,0.,1.)); #418583=DIRECTION('center_axis',(-0.707106781186564,0.707106781186531,0.)); #418584=DIRECTION('ref_axis',(-0.707106781186531,-0.707106781186564,0.)); #418585=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #418586=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #418587=DIRECTION('',(0.,0.,1.)); #418588=DIRECTION('center_axis',(-1.,0.,0.)); #418589=DIRECTION('ref_axis',(0.,-1.,0.)); #418590=DIRECTION('',(0.,-1.,0.)); #418591=DIRECTION('',(0.,-1.,0.)); #418592=DIRECTION('',(0.,0.,1.)); #418593=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #418594=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #418595=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418596=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418597=DIRECTION('',(0.,0.,1.)); #418598=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #418599=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #418600=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #418601=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #418602=DIRECTION('',(0.,0.,1.)); #418603=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #418604=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #418605=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #418606=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #418607=DIRECTION('',(0.,0.,1.)); #418608=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #418609=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #418610=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #418611=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #418612=DIRECTION('',(0.,0.,1.)); #418613=DIRECTION('center_axis',(0.,1.,0.)); #418614=DIRECTION('ref_axis',(-1.,0.,0.)); #418615=DIRECTION('',(-1.,0.,0.)); #418616=DIRECTION('',(-1.,0.,0.)); #418617=DIRECTION('',(0.,0.,1.)); #418618=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #418619=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #418620=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #418621=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #418622=DIRECTION('',(0.,0.,1.)); #418623=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #418624=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #418625=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #418626=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #418627=DIRECTION('',(0.,0.,1.)); #418628=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #418629=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #418630=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #418631=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #418632=DIRECTION('',(0.,0.,1.)); #418633=DIRECTION('center_axis',(0.,1.,0.)); #418634=DIRECTION('ref_axis',(-1.,0.,0.)); #418635=DIRECTION('',(-1.,0.,0.)); #418636=DIRECTION('',(-1.,0.,0.)); #418637=DIRECTION('',(0.,0.,1.)); #418638=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #418639=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #418640=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #418641=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #418642=DIRECTION('',(0.,0.,1.)); #418643=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #418644=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #418645=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #418646=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #418647=DIRECTION('',(0.,0.,1.)); #418648=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #418649=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #418650=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #418651=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #418652=DIRECTION('',(0.,0.,1.)); #418653=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #418654=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #418655=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #418656=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #418657=DIRECTION('',(0.,0.,1.)); #418658=DIRECTION('center_axis',(1.,0.,0.)); #418659=DIRECTION('ref_axis',(0.,1.,0.)); #418660=DIRECTION('',(0.,1.,0.)); #418661=DIRECTION('',(0.,1.,0.)); #418662=DIRECTION('',(0.,0.,1.)); #418663=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #418664=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #418665=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #418666=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #418667=DIRECTION('',(0.,0.,1.)); #418668=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #418669=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #418670=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #418671=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #418672=DIRECTION('',(0.,0.,1.)); #418673=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #418674=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #418675=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #418676=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #418677=DIRECTION('center_axis',(0.,0.,1.)); #418678=DIRECTION('ref_axis',(1.,0.,0.)); #418679=DIRECTION('center_axis',(0.,0.,1.)); #418680=DIRECTION('ref_axis',(1.,0.,0.)); #418681=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #418682=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #418683=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #418684=DIRECTION('',(0.,0.,1.)); #418685=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #418686=DIRECTION('',(0.,0.,1.)); #418687=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #418688=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #418689=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #418690=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #418691=DIRECTION('',(0.,0.,1.)); #418692=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #418693=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #418694=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #418695=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #418696=DIRECTION('',(0.,0.,1.)); #418697=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #418698=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #418699=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #418700=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #418701=DIRECTION('',(0.,0.,1.)); #418702=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #418703=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #418704=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #418705=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #418706=DIRECTION('',(0.,0.,1.)); #418707=DIRECTION('center_axis',(-1.,0.,0.)); #418708=DIRECTION('ref_axis',(0.,-1.,0.)); #418709=DIRECTION('',(0.,-1.,0.)); #418710=DIRECTION('',(0.,-1.,0.)); #418711=DIRECTION('',(0.,0.,1.)); #418712=DIRECTION('center_axis',(-0.981560865252928,0.191149856928859,0.)); #418713=DIRECTION('ref_axis',(-0.191149856928859,-0.981560865252928,0.)); #418714=DIRECTION('',(-0.191149856928859,-0.981560865252928,0.)); #418715=DIRECTION('',(-0.191149856928859,-0.981560865252928,0.)); #418716=DIRECTION('',(0.,0.,1.)); #418717=DIRECTION('center_axis',(-0.833659859749666,0.552278225392028,0.)); #418718=DIRECTION('ref_axis',(-0.552278225392028,-0.833659859749665,0.)); #418719=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #418720=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #418721=DIRECTION('',(0.,0.,1.)); #418722=DIRECTION('center_axis',(-0.70710678118657,0.707106781186525,0.)); #418723=DIRECTION('ref_axis',(-0.707106781186525,-0.70710678118657,0.)); #418724=DIRECTION('',(-0.707106781186525,-0.70710678118657,0.)); #418725=DIRECTION('',(-0.707106781186525,-0.70710678118657,0.)); #418726=DIRECTION('',(0.,0.,1.)); #418727=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #418728=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #418729=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #418730=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #418731=DIRECTION('',(0.,0.,1.)); #418732=DIRECTION('center_axis',(-0.21467431451647,0.976685690837581,0.)); #418733=DIRECTION('ref_axis',(-0.976685690837581,-0.21467431451647,0.)); #418734=DIRECTION('',(-0.976685690837581,-0.21467431451647,0.)); #418735=DIRECTION('',(-0.976685690837581,-0.21467431451647,0.)); #418736=DIRECTION('',(0.,0.,1.)); #418737=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #418738=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #418739=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #418740=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #418741=DIRECTION('',(0.,0.,1.)); #418742=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #418743=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #418744=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #418745=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #418746=DIRECTION('',(0.,0.,1.)); #418747=DIRECTION('center_axis',(0.70710678118657,0.707106781186525,0.)); #418748=DIRECTION('ref_axis',(-0.707106781186525,0.70710678118657,0.)); #418749=DIRECTION('',(-0.707106781186525,0.70710678118657,0.)); #418750=DIRECTION('',(-0.707106781186525,0.70710678118657,0.)); #418751=DIRECTION('',(0.,0.,1.)); #418752=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #418753=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #418754=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #418755=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #418756=DIRECTION('',(0.,0.,1.)); #418757=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #418758=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #418759=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #418760=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #418761=DIRECTION('',(0.,0.,1.)); #418762=DIRECTION('center_axis',(1.,0.,0.)); #418763=DIRECTION('ref_axis',(0.,1.,0.)); #418764=DIRECTION('',(0.,1.,0.)); #418765=DIRECTION('',(0.,1.,0.)); #418766=DIRECTION('',(0.,0.,1.)); #418767=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #418768=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #418769=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #418770=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #418771=DIRECTION('',(0.,0.,1.)); #418772=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #418773=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #418774=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #418775=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #418776=DIRECTION('',(0.,0.,1.)); #418777=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #418778=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #418779=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #418780=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #418781=DIRECTION('',(0.,0.,1.)); #418782=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #418783=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #418784=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #418785=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #418786=DIRECTION('center_axis',(0.,0.,1.)); #418787=DIRECTION('ref_axis',(1.,0.,0.)); #418788=DIRECTION('center_axis',(0.,0.,1.)); #418789=DIRECTION('ref_axis',(1.,0.,0.)); #418790=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #418791=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #418792=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #418793=DIRECTION('',(0.,0.,1.)); #418794=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #418795=DIRECTION('',(0.,0.,1.)); #418796=DIRECTION('center_axis',(0.,-1.,0.)); #418797=DIRECTION('ref_axis',(1.,0.,0.)); #418798=DIRECTION('',(1.,0.,0.)); #418799=DIRECTION('',(1.,0.,0.)); #418800=DIRECTION('',(0.,0.,1.)); #418801=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #418802=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #418803=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #418804=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #418805=DIRECTION('',(0.,0.,1.)); #418806=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #418807=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #418808=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #418809=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #418810=DIRECTION('',(0.,0.,1.)); #418811=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #418812=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #418813=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #418814=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #418815=DIRECTION('',(0.,0.,1.)); #418816=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #418817=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #418818=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #418819=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #418820=DIRECTION('',(0.,0.,1.)); #418821=DIRECTION('center_axis',(-1.,0.,0.)); #418822=DIRECTION('ref_axis',(0.,-1.,0.)); #418823=DIRECTION('',(0.,-1.,0.)); #418824=DIRECTION('',(0.,-1.,0.)); #418825=DIRECTION('',(0.,0.,1.)); #418826=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #418827=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #418828=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418829=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418830=DIRECTION('',(0.,0.,1.)); #418831=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #418832=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #418833=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #418834=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #418835=DIRECTION('',(0.,0.,1.)); #418836=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #418837=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #418838=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #418839=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #418840=DIRECTION('',(0.,0.,1.)); #418841=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #418842=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #418843=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #418844=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #418845=DIRECTION('',(0.,0.,1.)); #418846=DIRECTION('center_axis',(0.,1.,0.)); #418847=DIRECTION('ref_axis',(-1.,0.,0.)); #418848=DIRECTION('',(-1.,0.,0.)); #418849=DIRECTION('',(-1.,0.,0.)); #418850=DIRECTION('',(0.,0.,1.)); #418851=DIRECTION('center_axis',(0.171182647289723,0.985239311673505,0.)); #418852=DIRECTION('ref_axis',(-0.985239311673505,0.171182647289723,0.)); #418853=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #418854=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #418855=DIRECTION('',(0.,0.,1.)); #418856=DIRECTION('center_axis',(0.517890952933023,0.855446644081398,0.)); #418857=DIRECTION('ref_axis',(-0.855446644081398,0.517890952933023,0.)); #418858=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #418859=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #418860=DIRECTION('',(0.,0.,1.)); #418861=DIRECTION('center_axis',(0.810521822508528,0.585708438762371,0.)); #418862=DIRECTION('ref_axis',(-0.585708438762371,0.810521822508528,0.)); #418863=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #418864=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #418865=DIRECTION('',(0.,0.,1.)); #418866=DIRECTION('center_axis',(0.974774329673769,0.223192755727094,0.)); #418867=DIRECTION('ref_axis',(-0.223192755727094,0.974774329673769,0.)); #418868=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #418869=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #418870=DIRECTION('',(0.,0.,1.)); #418871=DIRECTION('center_axis',(0.984612470278251,-0.174752062570259,0.)); #418872=DIRECTION('ref_axis',(0.174752062570259,0.984612470278251,0.)); #418873=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #418874=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #418875=DIRECTION('',(0.,0.,1.)); #418876=DIRECTION('center_axis',(0.838491829742335,-0.544914168888415,0.)); #418877=DIRECTION('ref_axis',(0.544914168888415,0.838491829742334,0.)); #418878=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #418879=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #418880=DIRECTION('',(0.,0.,1.)); #418881=DIRECTION('center_axis',(0.713285232756844,-0.700873866491693,0.)); #418882=DIRECTION('ref_axis',(0.700873866491693,0.713285232756844,0.)); #418883=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #418884=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #418885=DIRECTION('',(0.,0.,1.)); #418886=DIRECTION('center_axis',(0.562560636388235,-0.826756028333912,0.)); #418887=DIRECTION('ref_axis',(0.826756028333912,0.562560636388235,0.)); #418888=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #418889=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #418890=DIRECTION('center_axis',(0.,0.,1.)); #418891=DIRECTION('ref_axis',(1.,0.,0.)); #418892=DIRECTION('center_axis',(0.,0.,1.)); #418893=DIRECTION('ref_axis',(1.,0.,0.)); #418894=DIRECTION('center_axis',(0.,0.,1.)); #418895=DIRECTION('ref_axis',(1.,0.,0.)); #418896=DIRECTION('center_axis',(0.,0.,1.)); #418897=DIRECTION('ref_axis',(1.,0.,0.)); #418898=DIRECTION('',(0.,0.,1.)); #418899=DIRECTION('center_axis',(0.,0.,-1.)); #418900=DIRECTION('ref_axis',(1.,0.,0.)); #418901=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #418902=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #418903=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #418904=DIRECTION('',(0.,0.,1.)); #418905=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #418906=DIRECTION('',(0.,0.,1.)); #418907=DIRECTION('center_axis',(0.,-1.,0.)); #418908=DIRECTION('ref_axis',(1.,0.,0.)); #418909=DIRECTION('',(1.,0.,0.)); #418910=DIRECTION('',(1.,0.,0.)); #418911=DIRECTION('',(0.,0.,1.)); #418912=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #418913=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #418914=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #418915=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #418916=DIRECTION('',(0.,0.,1.)); #418917=DIRECTION('center_axis',(-1.,0.,0.)); #418918=DIRECTION('ref_axis',(0.,-1.,0.)); #418919=DIRECTION('',(0.,-1.,0.)); #418920=DIRECTION('',(0.,-1.,0.)); #418921=DIRECTION('',(0.,0.,1.)); #418922=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #418923=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #418924=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #418925=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #418926=DIRECTION('',(0.,0.,1.)); #418927=DIRECTION('center_axis',(0.,1.,0.)); #418928=DIRECTION('ref_axis',(-1.,0.,0.)); #418929=DIRECTION('',(-1.,0.,0.)); #418930=DIRECTION('',(-1.,0.,0.)); #418931=DIRECTION('',(0.,0.,1.)); #418932=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #418933=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #418934=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #418935=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #418936=DIRECTION('',(0.,0.,1.)); #418937=DIRECTION('center_axis',(1.,0.,0.)); #418938=DIRECTION('ref_axis',(0.,1.,0.)); #418939=DIRECTION('',(0.,1.,0.)); #418940=DIRECTION('',(0.,1.,0.)); #418941=DIRECTION('center_axis',(0.,0.,1.)); #418942=DIRECTION('ref_axis',(1.,0.,0.)); #418943=DIRECTION('center_axis',(0.,0.,1.)); #418944=DIRECTION('ref_axis',(1.,0.,0.)); #418945=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #418946=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #418947=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #418948=DIRECTION('',(0.,0.,1.)); #418949=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #418950=DIRECTION('',(0.,0.,1.)); #418951=DIRECTION('center_axis',(0.,-1.,0.)); #418952=DIRECTION('ref_axis',(1.,0.,0.)); #418953=DIRECTION('',(1.,0.,0.)); #418954=DIRECTION('',(1.,0.,0.)); #418955=DIRECTION('',(0.,0.,1.)); #418956=DIRECTION('center_axis',(-0.199846156682573,-0.979827287668191, 0.)); #418957=DIRECTION('ref_axis',(0.979827287668191,-0.199846156682573,0.)); #418958=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #418959=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #418960=DIRECTION('',(0.,0.,1.)); #418961=DIRECTION('center_axis',(-0.566920574659872,-0.82377245767707,0.)); #418962=DIRECTION('ref_axis',(0.82377245767707,-0.566920574659872,0.)); #418963=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #418964=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #418965=DIRECTION('',(0.,0.,1.)); #418966=DIRECTION('center_axis',(-0.71951429157795,-0.694477634063964,0.)); #418967=DIRECTION('ref_axis',(0.694477634063964,-0.71951429157795,0.)); #418968=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #418969=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #418970=DIRECTION('',(0.,0.,1.)); #418971=DIRECTION('center_axis',(-0.834146551597487,-0.551542863663398, 0.)); #418972=DIRECTION('ref_axis',(0.551542863663398,-0.834146551597487,0.)); #418973=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #418974=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #418975=DIRECTION('',(0.,0.,1.)); #418976=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #418977=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #418978=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #418979=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #418980=DIRECTION('',(0.,0.,1.)); #418981=DIRECTION('center_axis',(-1.,0.,0.)); #418982=DIRECTION('ref_axis',(0.,-1.,0.)); #418983=DIRECTION('',(0.,-1.,0.)); #418984=DIRECTION('',(0.,-1.,0.)); #418985=DIRECTION('',(0.,0.,1.)); #418986=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #418987=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #418988=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418989=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #418990=DIRECTION('',(0.,0.,1.)); #418991=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #418992=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #418993=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #418994=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #418995=DIRECTION('',(0.,0.,1.)); #418996=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #418997=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #418998=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #418999=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #419000=DIRECTION('',(0.,0.,1.)); #419001=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #419002=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #419003=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #419004=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #419005=DIRECTION('',(0.,0.,1.)); #419006=DIRECTION('center_axis',(0.,1.,0.)); #419007=DIRECTION('ref_axis',(-1.,0.,0.)); #419008=DIRECTION('',(-1.,0.,0.)); #419009=DIRECTION('',(-1.,0.,0.)); #419010=DIRECTION('',(0.,0.,1.)); #419011=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #419012=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #419013=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #419014=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #419015=DIRECTION('',(0.,0.,1.)); #419016=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #419017=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #419018=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #419019=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #419020=DIRECTION('',(0.,0.,1.)); #419021=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #419022=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #419023=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #419024=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #419025=DIRECTION('',(0.,0.,1.)); #419026=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #419027=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #419028=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419029=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419030=DIRECTION('',(0.,0.,1.)); #419031=DIRECTION('center_axis',(1.,0.,0.)); #419032=DIRECTION('ref_axis',(0.,1.,0.)); #419033=DIRECTION('',(0.,1.,0.)); #419034=DIRECTION('',(0.,1.,0.)); #419035=DIRECTION('',(0.,0.,1.)); #419036=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #419037=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #419038=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #419039=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #419040=DIRECTION('',(0.,0.,1.)); #419041=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #419042=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #419043=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #419044=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #419045=DIRECTION('',(0.,0.,1.)); #419046=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #419047=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #419048=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #419049=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #419050=DIRECTION('center_axis',(0.,0.,1.)); #419051=DIRECTION('ref_axis',(1.,0.,0.)); #419052=DIRECTION('center_axis',(0.,0.,1.)); #419053=DIRECTION('ref_axis',(1.,0.,0.)); #419054=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #419055=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #419056=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #419057=DIRECTION('',(0.,0.,1.)); #419058=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #419059=DIRECTION('',(0.,0.,1.)); #419060=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #419061=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #419062=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #419063=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #419064=DIRECTION('',(0.,0.,1.)); #419065=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #419066=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #419067=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #419068=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #419069=DIRECTION('',(0.,0.,1.)); #419070=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #419071=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #419072=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #419073=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #419074=DIRECTION('',(0.,0.,1.)); #419075=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #419076=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #419077=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #419078=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #419079=DIRECTION('',(0.,0.,1.)); #419080=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #419081=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #419082=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #419083=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #419084=DIRECTION('',(0.,0.,1.)); #419085=DIRECTION('center_axis',(-1.,0.,0.)); #419086=DIRECTION('ref_axis',(0.,-1.,0.)); #419087=DIRECTION('',(0.,-1.,0.)); #419088=DIRECTION('',(0.,-1.,0.)); #419089=DIRECTION('',(0.,0.,1.)); #419090=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #419091=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #419092=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #419093=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #419094=DIRECTION('',(0.,0.,1.)); #419095=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #419096=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #419097=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #419098=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #419099=DIRECTION('',(0.,0.,1.)); #419100=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #419101=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #419102=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #419103=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #419104=DIRECTION('',(0.,0.,1.)); #419105=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #419106=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #419107=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #419108=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #419109=DIRECTION('',(0.,0.,1.)); #419110=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #419111=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #419112=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #419113=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #419114=DIRECTION('',(0.,0.,1.)); #419115=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #419116=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #419117=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #419118=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #419119=DIRECTION('',(0.,0.,1.)); #419120=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #419121=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #419122=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #419123=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #419124=DIRECTION('',(0.,0.,1.)); #419125=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #419126=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #419127=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419128=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419129=DIRECTION('',(0.,0.,1.)); #419130=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #419131=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #419132=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #419133=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #419134=DIRECTION('',(0.,0.,1.)); #419135=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #419136=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #419137=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419138=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419139=DIRECTION('',(0.,0.,1.)); #419140=DIRECTION('center_axis',(1.,0.,0.)); #419141=DIRECTION('ref_axis',(0.,1.,0.)); #419142=DIRECTION('',(0.,1.,0.)); #419143=DIRECTION('',(0.,1.,0.)); #419144=DIRECTION('',(0.,0.,1.)); #419145=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #419146=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #419147=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #419148=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #419149=DIRECTION('',(0.,0.,1.)); #419150=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #419151=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #419152=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #419153=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #419154=DIRECTION('',(0.,0.,1.)); #419155=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #419156=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #419157=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #419158=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #419159=DIRECTION('',(0.,0.,1.)); #419160=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #419161=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #419162=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #419163=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #419164=DIRECTION('center_axis',(0.,0.,1.)); #419165=DIRECTION('ref_axis',(1.,0.,0.)); #419166=DIRECTION('center_axis',(0.,0.,1.)); #419167=DIRECTION('ref_axis',(1.,0.,0.)); #419168=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #419169=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #419170=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #419171=DIRECTION('',(0.,0.,1.)); #419172=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #419173=DIRECTION('',(0.,0.,1.)); #419174=DIRECTION('center_axis',(0.,-1.,0.)); #419175=DIRECTION('ref_axis',(1.,0.,0.)); #419176=DIRECTION('',(1.,0.,0.)); #419177=DIRECTION('',(1.,0.,0.)); #419178=DIRECTION('',(0.,0.,1.)); #419179=DIRECTION('center_axis',(-0.191149856928798,-0.98156086525294,0.)); #419180=DIRECTION('ref_axis',(0.98156086525294,-0.191149856928798,0.)); #419181=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #419182=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #419183=DIRECTION('',(0.,0.,1.)); #419184=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #419185=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #419186=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #419187=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #419188=DIRECTION('',(0.,0.,1.)); #419189=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #419190=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #419191=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #419192=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #419193=DIRECTION('',(0.,0.,1.)); #419194=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #419195=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #419196=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #419197=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #419198=DIRECTION('',(0.,0.,1.)); #419199=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #419200=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #419201=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #419202=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #419203=DIRECTION('',(0.,0.,1.)); #419204=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #419205=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #419206=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #419207=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #419208=DIRECTION('',(0.,0.,1.)); #419209=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #419210=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #419211=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #419212=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #419213=DIRECTION('',(0.,0.,1.)); #419214=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #419215=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #419216=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #419217=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #419218=DIRECTION('',(0.,0.,1.)); #419219=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #419220=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #419221=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #419222=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #419223=DIRECTION('',(0.,0.,1.)); #419224=DIRECTION('center_axis',(0.,1.,0.)); #419225=DIRECTION('ref_axis',(-1.,0.,0.)); #419226=DIRECTION('',(-1.,0.,0.)); #419227=DIRECTION('',(-1.,0.,0.)); #419228=DIRECTION('',(0.,0.,1.)); #419229=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #419230=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #419231=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #419232=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #419233=DIRECTION('',(0.,0.,1.)); #419234=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #419235=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #419236=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419237=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419238=DIRECTION('',(0.,0.,1.)); #419239=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #419240=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #419241=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #419242=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #419243=DIRECTION('',(0.,0.,1.)); #419244=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #419245=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #419246=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #419247=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #419248=DIRECTION('',(0.,0.,1.)); #419249=DIRECTION('center_axis',(1.,0.,0.)); #419250=DIRECTION('ref_axis',(0.,1.,0.)); #419251=DIRECTION('',(0.,1.,0.)); #419252=DIRECTION('',(0.,1.,0.)); #419253=DIRECTION('',(0.,0.,1.)); #419254=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #419255=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #419256=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #419257=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #419258=DIRECTION('',(0.,0.,1.)); #419259=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #419260=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #419261=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #419262=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #419263=DIRECTION('',(0.,0.,1.)); #419264=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #419265=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #419266=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #419267=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #419268=DIRECTION('center_axis',(0.,0.,1.)); #419269=DIRECTION('ref_axis',(1.,0.,0.)); #419270=DIRECTION('center_axis',(0.,0.,1.)); #419271=DIRECTION('ref_axis',(1.,0.,0.)); #419272=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #419273=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #419274=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #419275=DIRECTION('',(0.,0.,1.)); #419276=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #419277=DIRECTION('',(0.,0.,1.)); #419278=DIRECTION('center_axis',(0.,-1.,0.)); #419279=DIRECTION('ref_axis',(1.,0.,0.)); #419280=DIRECTION('',(1.,0.,0.)); #419281=DIRECTION('',(1.,0.,0.)); #419282=DIRECTION('',(0.,0.,1.)); #419283=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #419284=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #419285=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #419286=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #419287=DIRECTION('',(0.,0.,1.)); #419288=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #419289=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #419290=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #419291=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #419292=DIRECTION('',(0.,0.,1.)); #419293=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #419294=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #419295=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #419296=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #419297=DIRECTION('',(0.,0.,1.)); #419298=DIRECTION('center_axis',(0.,-1.,0.)); #419299=DIRECTION('ref_axis',(1.,0.,0.)); #419300=DIRECTION('',(1.,0.,0.)); #419301=DIRECTION('',(1.,0.,0.)); #419302=DIRECTION('',(0.,0.,1.)); #419303=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #419304=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #419305=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #419306=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #419307=DIRECTION('',(0.,0.,1.)); #419308=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #419309=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #419310=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #419311=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #419312=DIRECTION('',(0.,0.,1.)); #419313=DIRECTION('center_axis',(-0.824745549033988,-0.565504004714931, 0.)); #419314=DIRECTION('ref_axis',(0.565504004714931,-0.824745549033988,0.)); #419315=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #419316=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #419317=DIRECTION('',(0.,0.,1.)); #419318=DIRECTION('center_axis',(-0.98000841956129,-0.198956019232852,0.)); #419319=DIRECTION('ref_axis',(0.198956019232852,-0.98000841956129,0.)); #419320=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #419321=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #419322=DIRECTION('',(0.,0.,1.)); #419323=DIRECTION('center_axis',(-1.,0.,0.)); #419324=DIRECTION('ref_axis',(0.,-1.,0.)); #419325=DIRECTION('',(0.,-1.,0.)); #419326=DIRECTION('',(0.,-1.,0.)); #419327=DIRECTION('',(0.,0.,1.)); #419328=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #419329=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #419330=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #419331=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #419332=DIRECTION('',(0.,0.,1.)); #419333=DIRECTION('center_axis',(0.,-1.,0.)); #419334=DIRECTION('ref_axis',(1.,0.,0.)); #419335=DIRECTION('',(1.,0.,0.)); #419336=DIRECTION('',(1.,0.,0.)); #419337=DIRECTION('',(0.,0.,1.)); #419338=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #419339=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #419340=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #419341=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #419342=DIRECTION('',(0.,0.,1.)); #419343=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #419344=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #419345=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #419346=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #419347=DIRECTION('',(0.,0.,1.)); #419348=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #419349=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #419350=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #419351=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #419352=DIRECTION('',(0.,0.,1.)); #419353=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #419354=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #419355=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #419356=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #419357=DIRECTION('',(0.,0.,1.)); #419358=DIRECTION('center_axis',(-1.,0.,0.)); #419359=DIRECTION('ref_axis',(0.,-1.,0.)); #419360=DIRECTION('',(0.,-1.,0.)); #419361=DIRECTION('',(0.,-1.,0.)); #419362=DIRECTION('',(0.,0.,1.)); #419363=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #419364=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #419365=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #419366=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #419367=DIRECTION('',(0.,0.,1.)); #419368=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #419369=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #419370=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #419371=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #419372=DIRECTION('',(0.,0.,1.)); #419373=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #419374=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #419375=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #419376=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #419377=DIRECTION('',(0.,0.,1.)); #419378=DIRECTION('center_axis',(-0.198909787659726,0.980017804110294,0.)); #419379=DIRECTION('ref_axis',(-0.980017804110294,-0.198909787659726,0.)); #419380=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #419381=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #419382=DIRECTION('',(0.,0.,1.)); #419383=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #419384=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #419385=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #419386=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #419387=DIRECTION('',(0.,0.,1.)); #419388=DIRECTION('center_axis',(-1.,0.,0.)); #419389=DIRECTION('ref_axis',(0.,-1.,0.)); #419390=DIRECTION('',(0.,-1.,0.)); #419391=DIRECTION('',(0.,-1.,0.)); #419392=DIRECTION('',(0.,0.,1.)); #419393=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #419394=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #419395=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #419396=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #419397=DIRECTION('',(0.,0.,1.)); #419398=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #419399=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #419400=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #419401=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #419402=DIRECTION('',(0.,0.,1.)); #419403=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #419404=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #419405=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #419406=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #419407=DIRECTION('',(0.,0.,1.)); #419408=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #419409=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #419410=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #419411=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #419412=DIRECTION('',(0.,0.,1.)); #419413=DIRECTION('center_axis',(0.,1.,0.)); #419414=DIRECTION('ref_axis',(-1.,0.,0.)); #419415=DIRECTION('',(-1.,0.,0.)); #419416=DIRECTION('',(-1.,0.,0.)); #419417=DIRECTION('',(0.,0.,1.)); #419418=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #419419=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #419420=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #419421=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #419422=DIRECTION('',(0.,0.,1.)); #419423=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #419424=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #419425=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419426=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419427=DIRECTION('',(0.,0.,1.)); #419428=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #419429=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #419430=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #419431=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #419432=DIRECTION('',(0.,0.,1.)); #419433=DIRECTION('center_axis',(0.980003828794034,0.198978630885413,0.)); #419434=DIRECTION('ref_axis',(-0.198978630885413,0.980003828794034,0.)); #419435=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #419436=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #419437=DIRECTION('',(0.,0.,1.)); #419438=DIRECTION('center_axis',(0.707105370129522,0.707108192240757,0.)); #419439=DIRECTION('ref_axis',(-0.707108192240757,0.707105370129522,0.)); #419440=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #419441=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #419442=DIRECTION('',(0.,0.,1.)); #419443=DIRECTION('center_axis',(0.,1.,0.)); #419444=DIRECTION('ref_axis',(-1.,0.,0.)); #419445=DIRECTION('',(-1.,0.,0.)); #419446=DIRECTION('',(-1.,0.,0.)); #419447=DIRECTION('',(0.,0.,1.)); #419448=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #419449=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #419450=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #419451=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #419452=DIRECTION('',(0.,0.,1.)); #419453=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #419454=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #419455=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #419456=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #419457=DIRECTION('',(0.,0.,1.)); #419458=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #419459=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #419460=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419461=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419462=DIRECTION('',(0.,0.,1.)); #419463=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #419464=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #419465=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #419466=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #419467=DIRECTION('',(0.,0.,1.)); #419468=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #419469=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #419470=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419471=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #419472=DIRECTION('',(0.,0.,1.)); #419473=DIRECTION('center_axis',(1.,0.,0.)); #419474=DIRECTION('ref_axis',(0.,1.,0.)); #419475=DIRECTION('',(0.,1.,0.)); #419476=DIRECTION('',(0.,1.,0.)); #419477=DIRECTION('',(0.,0.,1.)); #419478=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #419479=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #419480=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #419481=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #419482=DIRECTION('',(0.,0.,1.)); #419483=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #419484=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #419485=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #419486=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #419487=DIRECTION('',(0.,0.,1.)); #419488=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #419489=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #419490=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #419491=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #419492=DIRECTION('',(0.,0.,1.)); #419493=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #419494=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #419495=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #419496=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #419497=DIRECTION('',(0.,0.,1.)); #419498=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #419499=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #419500=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #419501=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #419502=DIRECTION('',(0.,0.,1.)); #419503=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #419504=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #419505=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #419506=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #419507=DIRECTION('center_axis',(0.,0.,1.)); #419508=DIRECTION('ref_axis',(1.,0.,0.)); #419509=DIRECTION('center_axis',(0.,0.,1.)); #419510=DIRECTION('ref_axis',(1.,0.,0.)); #419511=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #419512=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #419513=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #419514=DIRECTION('',(0.,0.,1.)); #419515=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #419516=DIRECTION('',(0.,0.,1.)); #419517=DIRECTION('center_axis',(0.,-1.,0.)); #419518=DIRECTION('ref_axis',(1.,0.,0.)); #419519=DIRECTION('',(1.,0.,0.)); #419520=DIRECTION('',(1.,0.,0.)); #419521=DIRECTION('',(0.,0.,1.)); #419522=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #419523=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #419524=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #419525=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #419526=DIRECTION('',(0.,0.,1.)); #419527=DIRECTION('center_axis',(-0.552263045083163,-0.833669916115169, 0.)); #419528=DIRECTION('ref_axis',(0.833669916115169,-0.552263045083163,0.)); #419529=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #419530=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #419531=DIRECTION('',(0.,0.,1.)); #419532=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #419533=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #419534=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #419535=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #419536=DIRECTION('',(0.,0.,1.)); #419537=DIRECTION('center_axis',(-0.829214556504185,-0.558930424365652, 0.)); #419538=DIRECTION('ref_axis',(0.558930424365652,-0.829214556504185,0.)); #419539=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #419540=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #419541=DIRECTION('',(0.,0.,1.)); #419542=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #419543=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #419544=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #419545=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #419546=DIRECTION('',(0.,0.,1.)); #419547=DIRECTION('center_axis',(-1.,0.,0.)); #419548=DIRECTION('ref_axis',(0.,-1.,0.)); #419549=DIRECTION('',(0.,-1.,0.)); #419550=DIRECTION('',(0.,-1.,0.)); #419551=DIRECTION('',(0.,0.,1.)); #419552=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #419553=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #419554=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #419555=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #419556=DIRECTION('',(0.,0.,1.)); #419557=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #419558=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #419559=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #419560=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #419561=DIRECTION('',(0.,0.,1.)); #419562=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #419563=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #419564=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #419565=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #419566=DIRECTION('',(0.,0.,1.)); #419567=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #419568=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #419569=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #419570=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #419571=DIRECTION('',(0.,0.,1.)); #419572=DIRECTION('center_axis',(0.,1.,0.)); #419573=DIRECTION('ref_axis',(-1.,0.,0.)); #419574=DIRECTION('',(-1.,0.,0.)); #419575=DIRECTION('',(-1.,0.,0.)); #419576=DIRECTION('',(0.,0.,1.)); #419577=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #419578=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #419579=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #419580=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #419581=DIRECTION('',(0.,0.,1.)); #419582=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #419583=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #419584=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419585=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #419586=DIRECTION('',(0.,0.,1.)); #419587=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #419588=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #419589=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #419590=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #419591=DIRECTION('',(0.,0.,1.)); #419592=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #419593=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #419594=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #419595=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #419596=DIRECTION('',(0.,0.,1.)); #419597=DIRECTION('center_axis',(1.,0.,0.)); #419598=DIRECTION('ref_axis',(0.,1.,0.)); #419599=DIRECTION('',(0.,1.,0.)); #419600=DIRECTION('',(0.,1.,0.)); #419601=DIRECTION('',(0.,0.,1.)); #419602=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #419603=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #419604=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419605=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419606=DIRECTION('',(0.,0.,1.)); #419607=DIRECTION('center_axis',(0.,1.,0.)); #419608=DIRECTION('ref_axis',(-1.,0.,0.)); #419609=DIRECTION('',(-1.,0.,0.)); #419610=DIRECTION('',(-1.,0.,0.)); #419611=DIRECTION('',(0.,0.,1.)); #419612=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #419613=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #419614=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #419615=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #419616=DIRECTION('',(0.,0.,1.)); #419617=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #419618=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #419619=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #419620=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #419621=DIRECTION('',(0.,0.,1.)); #419622=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #419623=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #419624=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419625=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #419626=DIRECTION('',(0.,0.,1.)); #419627=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #419628=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #419629=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #419630=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #419631=DIRECTION('',(0.,0.,1.)); #419632=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #419633=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #419634=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #419635=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #419636=DIRECTION('',(0.,0.,1.)); #419637=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #419638=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #419639=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #419640=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #419641=DIRECTION('',(0.,0.,1.)); #419642=DIRECTION('center_axis',(0.842377379073625,-0.538888069291805,0.)); #419643=DIRECTION('ref_axis',(0.538888069291805,0.842377379073625,0.)); #419644=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #419645=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #419646=DIRECTION('',(0.,0.,1.)); #419647=DIRECTION('center_axis',(0.565488626065737,-0.824756093515098,0.)); #419648=DIRECTION('ref_axis',(0.824756093515098,0.565488626065737,0.)); #419649=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #419650=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #419651=DIRECTION('center_axis',(0.,0.,1.)); #419652=DIRECTION('ref_axis',(1.,0.,0.)); #419653=DIRECTION('center_axis',(0.,0.,1.)); #419654=DIRECTION('ref_axis',(1.,0.,0.)); #419655=DIRECTION('center_axis',(0.,0.,1.)); #419656=DIRECTION('ref_axis',(1.,0.,0.)); #419657=DIRECTION('center_axis',(0.,0.,1.)); #419658=DIRECTION('ref_axis',(1.,0.,0.)); #419659=DIRECTION('',(0.,0.,1.)); #419660=DIRECTION('center_axis',(0.,0.,-1.)); #419661=DIRECTION('ref_axis',(1.,0.,0.)); #419662=DIRECTION('center_axis',(0.,0.,1.)); #419663=DIRECTION('ref_axis',(1.,0.,0.)); #419664=DIRECTION('center_axis',(0.,0.,1.)); #419665=DIRECTION('ref_axis',(1.,0.,0.)); #419666=DIRECTION('',(0.,0.,1.)); #419667=DIRECTION('center_axis',(0.,0.,-1.)); #419668=DIRECTION('ref_axis',(1.,0.,0.)); #419669=DIRECTION('center_axis',(0.,0.,1.)); #419670=DIRECTION('ref_axis',(1.,0.,0.)); #419671=DIRECTION('center_axis',(0.,0.,1.)); #419672=DIRECTION('ref_axis',(1.,0.,0.)); #419673=DIRECTION('',(0.,0.,1.)); #419674=DIRECTION('center_axis',(0.,0.,-1.)); #419675=DIRECTION('ref_axis',(1.,0.,0.)); #419676=DIRECTION('center_axis',(0.,0.,1.)); #419677=DIRECTION('ref_axis',(1.,0.,0.)); #419678=DIRECTION('center_axis',(0.,0.,1.)); #419679=DIRECTION('ref_axis',(1.,0.,0.)); #419680=DIRECTION('',(0.,0.,1.)); #419681=DIRECTION('center_axis',(0.,0.,-1.)); #419682=DIRECTION('ref_axis',(1.,0.,0.)); #419683=DIRECTION('center_axis',(0.,0.,1.)); #419684=DIRECTION('ref_axis',(1.,0.,0.)); #419685=DIRECTION('center_axis',(0.,0.,1.)); #419686=DIRECTION('ref_axis',(1.,0.,0.)); #419687=DIRECTION('',(0.,0.,1.)); #419688=DIRECTION('center_axis',(0.,0.,-1.)); #419689=DIRECTION('ref_axis',(1.,0.,0.)); #419690=DIRECTION('center_axis',(0.,0.,1.)); #419691=DIRECTION('ref_axis',(1.,0.,0.)); #419692=DIRECTION('center_axis',(0.,0.,1.)); #419693=DIRECTION('ref_axis',(1.,0.,0.)); #419694=DIRECTION('',(0.,0.,1.)); #419695=DIRECTION('center_axis',(0.,0.,-1.)); #419696=DIRECTION('ref_axis',(1.,0.,0.)); #419697=DIRECTION('center_axis',(0.,0.,1.)); #419698=DIRECTION('ref_axis',(1.,0.,0.)); #419699=DIRECTION('center_axis',(0.,0.,1.)); #419700=DIRECTION('ref_axis',(1.,0.,0.)); #419701=DIRECTION('',(0.,0.,1.)); #419702=DIRECTION('center_axis',(0.,0.,-1.)); #419703=DIRECTION('ref_axis',(1.,0.,0.)); #419704=DIRECTION('center_axis',(0.,0.,1.)); #419705=DIRECTION('ref_axis',(1.,0.,0.)); #419706=DIRECTION('center_axis',(0.,0.,1.)); #419707=DIRECTION('ref_axis',(1.,0.,0.)); #419708=DIRECTION('',(0.,0.,1.)); #419709=DIRECTION('center_axis',(0.,0.,-1.)); #419710=DIRECTION('ref_axis',(1.,0.,0.)); #419711=DIRECTION('center_axis',(0.,0.,1.)); #419712=DIRECTION('ref_axis',(1.,0.,0.)); #419713=DIRECTION('center_axis',(0.,0.,1.)); #419714=DIRECTION('ref_axis',(1.,0.,0.)); #419715=DIRECTION('',(0.,0.,1.)); #419716=DIRECTION('center_axis',(0.,0.,-1.)); #419717=DIRECTION('ref_axis',(1.,0.,0.)); #419718=DIRECTION('center_axis',(0.,0.,1.)); #419719=DIRECTION('ref_axis',(1.,0.,0.)); #419720=DIRECTION('center_axis',(0.,0.,1.)); #419721=DIRECTION('ref_axis',(1.,0.,0.)); #419722=DIRECTION('',(0.,0.,1.)); #419723=DIRECTION('center_axis',(0.,0.,-1.)); #419724=DIRECTION('ref_axis',(1.,0.,0.)); #419725=DIRECTION('center_axis',(0.,0.,1.)); #419726=DIRECTION('ref_axis',(1.,0.,0.)); #419727=DIRECTION('center_axis',(0.,0.,1.)); #419728=DIRECTION('ref_axis',(1.,0.,0.)); #419729=DIRECTION('',(0.,0.,1.)); #419730=DIRECTION('center_axis',(0.,0.,-1.)); #419731=DIRECTION('ref_axis',(1.,0.,0.)); #419732=DIRECTION('center_axis',(0.,0.,1.)); #419733=DIRECTION('ref_axis',(1.,0.,0.)); #419734=DIRECTION('center_axis',(0.,0.,1.)); #419735=DIRECTION('ref_axis',(1.,0.,0.)); #419736=DIRECTION('',(0.,0.,1.)); #419737=DIRECTION('center_axis',(0.,0.,-1.)); #419738=DIRECTION('ref_axis',(1.,0.,0.)); #419739=DIRECTION('center_axis',(0.,0.,1.)); #419740=DIRECTION('ref_axis',(1.,0.,0.)); #419741=DIRECTION('center_axis',(0.,0.,1.)); #419742=DIRECTION('ref_axis',(1.,0.,0.)); #419743=DIRECTION('',(0.,0.,1.)); #419744=DIRECTION('center_axis',(0.,0.,-1.)); #419745=DIRECTION('ref_axis',(1.,0.,0.)); #419746=DIRECTION('center_axis',(0.,0.,1.)); #419747=DIRECTION('ref_axis',(1.,0.,0.)); #419748=DIRECTION('center_axis',(0.,0.,1.)); #419749=DIRECTION('ref_axis',(1.,0.,0.)); #419750=DIRECTION('',(0.,0.,1.)); #419751=DIRECTION('center_axis',(0.,0.,-1.)); #419752=DIRECTION('ref_axis',(1.,0.,0.)); #419753=DIRECTION('center_axis',(0.,0.,1.)); #419754=DIRECTION('ref_axis',(1.,0.,0.)); #419755=DIRECTION('center_axis',(0.,0.,1.)); #419756=DIRECTION('ref_axis',(1.,0.,0.)); #419757=DIRECTION('',(0.,0.,1.)); #419758=DIRECTION('center_axis',(0.,0.,-1.)); #419759=DIRECTION('ref_axis',(1.,0.,0.)); #419760=DIRECTION('center_axis',(0.,0.,1.)); #419761=DIRECTION('ref_axis',(1.,0.,0.)); #419762=DIRECTION('center_axis',(0.,0.,1.)); #419763=DIRECTION('ref_axis',(1.,0.,0.)); #419764=DIRECTION('',(0.,0.,1.)); #419765=DIRECTION('center_axis',(0.,0.,-1.)); #419766=DIRECTION('ref_axis',(1.,0.,0.)); #419767=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #419768=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #419769=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #419770=DIRECTION('',(0.,0.,1.)); #419771=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #419772=DIRECTION('',(0.,0.,1.)); #419773=DIRECTION('center_axis',(0.,-1.,0.)); #419774=DIRECTION('ref_axis',(1.,0.,0.)); #419775=DIRECTION('',(1.,0.,0.)); #419776=DIRECTION('',(1.,0.,0.)); #419777=DIRECTION('',(0.,0.,1.)); #419778=DIRECTION('center_axis',(-0.183335538282724,-0.98305039565761,0.)); #419779=DIRECTION('ref_axis',(0.98305039565761,-0.183335538282724,0.)); #419780=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #419781=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #419782=DIRECTION('',(0.,0.,1.)); #419783=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #419784=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #419785=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #419786=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #419787=DIRECTION('',(0.,0.,1.)); #419788=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #419789=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #419790=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #419791=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #419792=DIRECTION('',(0.,0.,1.)); #419793=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #419794=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #419795=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #419796=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #419797=DIRECTION('',(0.,0.,1.)); #419798=DIRECTION('center_axis',(-1.,0.,0.)); #419799=DIRECTION('ref_axis',(0.,-1.,0.)); #419800=DIRECTION('',(0.,-1.,0.)); #419801=DIRECTION('',(0.,-1.,0.)); #419802=DIRECTION('',(0.,0.,1.)); #419803=DIRECTION('center_axis',(-0.989972034024053,-0.141263483782184, 0.)); #419804=DIRECTION('ref_axis',(0.141263483782184,-0.989972034024053,0.)); #419805=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #419806=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #419807=DIRECTION('',(0.,0.,1.)); #419808=DIRECTION('center_axis',(-0.917737212219158,-0.397188128357593, 0.)); #419809=DIRECTION('ref_axis',(0.397188128357593,-0.917737212219158,0.)); #419810=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #419811=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #419812=DIRECTION('',(0.,0.,1.)); #419813=DIRECTION('center_axis',(-0.862627239166062,-0.505840139024907, 0.)); #419814=DIRECTION('ref_axis',(0.505840139024907,-0.862627239166062,0.)); #419815=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #419816=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #419817=DIRECTION('',(0.,0.,1.)); #419818=DIRECTION('center_axis',(-0.980352414565542,-0.197254006944121, 0.)); #419819=DIRECTION('ref_axis',(0.197254006944121,-0.980352414565542,0.)); #419820=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #419821=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #419822=DIRECTION('',(0.,0.,1.)); #419823=DIRECTION('center_axis',(-0.707107043585686,-0.707106518787311, 0.)); #419824=DIRECTION('ref_axis',(0.707106518787311,-0.707107043585686,0.)); #419825=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #419826=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #419827=DIRECTION('',(0.,0.,1.)); #419828=DIRECTION('center_axis',(-0.427869948947251,-0.903840310446418, 0.)); #419829=DIRECTION('ref_axis',(0.903840310446418,-0.427869948947251,0.)); #419830=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #419831=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #419832=DIRECTION('',(0.,0.,1.)); #419833=DIRECTION('center_axis',(0.929235398672581,-0.369488259426208,0.)); #419834=DIRECTION('ref_axis',(0.369488259426208,0.929235398672581,0.)); #419835=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #419836=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #419837=DIRECTION('',(0.,0.,1.)); #419838=DIRECTION('center_axis',(-0.367957131692208,-0.929842755113381, 0.)); #419839=DIRECTION('ref_axis',(0.929842755113381,-0.367957131692208,0.)); #419840=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #419841=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #419842=DIRECTION('',(0.,0.,1.)); #419843=DIRECTION('center_axis',(-0.125076725538269,-0.992147072126217, 0.)); #419844=DIRECTION('ref_axis',(0.992147072126217,-0.125076725538269,0.)); #419845=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #419846=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #419847=DIRECTION('',(0.,0.,1.)); #419848=DIRECTION('center_axis',(0.,-1.,0.)); #419849=DIRECTION('ref_axis',(1.,0.,0.)); #419850=DIRECTION('',(1.,0.,0.)); #419851=DIRECTION('',(1.,0.,0.)); #419852=DIRECTION('',(0.,0.,1.)); #419853=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #419854=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #419855=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #419856=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #419857=DIRECTION('',(0.,0.,1.)); #419858=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #419859=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #419860=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #419861=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #419862=DIRECTION('',(0.,0.,1.)); #419863=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #419864=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #419865=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #419866=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #419867=DIRECTION('',(0.,0.,1.)); #419868=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #419869=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #419870=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #419871=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #419872=DIRECTION('',(0.,0.,1.)); #419873=DIRECTION('center_axis',(-0.979997328799703,-0.199010641789447, 0.)); #419874=DIRECTION('ref_axis',(0.199010641789447,-0.979997328799703,0.)); #419875=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #419876=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #419877=DIRECTION('',(0.,0.,1.)); #419878=DIRECTION('center_axis',(-1.,0.,0.)); #419879=DIRECTION('ref_axis',(0.,-1.,0.)); #419880=DIRECTION('',(0.,-1.,0.)); #419881=DIRECTION('',(0.,-1.,0.)); #419882=DIRECTION('',(0.,0.,1.)); #419883=DIRECTION('center_axis',(-0.981552099144129,0.191194865688788,0.)); #419884=DIRECTION('ref_axis',(-0.191194865688788,-0.981552099144129,0.)); #419885=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #419886=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #419887=DIRECTION('',(0.,0.,1.)); #419888=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #419889=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #419890=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #419891=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #419892=DIRECTION('',(0.,0.,1.)); #419893=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #419894=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #419895=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #419896=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #419897=DIRECTION('',(0.,0.,1.)); #419898=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #419899=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #419900=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #419901=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #419902=DIRECTION('',(0.,0.,1.)); #419903=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #419904=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #419905=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #419906=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #419907=DIRECTION('',(0.,0.,1.)); #419908=DIRECTION('center_axis',(0.,1.,0.)); #419909=DIRECTION('ref_axis',(-1.,0.,0.)); #419910=DIRECTION('',(-1.,0.,0.)); #419911=DIRECTION('',(-1.,0.,0.)); #419912=DIRECTION('',(0.,0.,1.)); #419913=DIRECTION('center_axis',(0.191194865688577,0.98155209914417,0.)); #419914=DIRECTION('ref_axis',(-0.98155209914417,0.191194865688577,0.)); #419915=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #419916=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #419917=DIRECTION('',(0.,0.,1.)); #419918=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #419919=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #419920=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #419921=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #419922=DIRECTION('',(0.,0.,1.)); #419923=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #419924=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #419925=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #419926=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #419927=DIRECTION('',(0.,0.,1.)); #419928=DIRECTION('center_axis',(0.600315735780726,0.799763100783004,0.)); #419929=DIRECTION('ref_axis',(-0.799763100783004,0.600315735780726,0.)); #419930=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #419931=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #419932=DIRECTION('',(0.,0.,1.)); #419933=DIRECTION('center_axis',(0.125280629073933,0.99212134539019,0.)); #419934=DIRECTION('ref_axis',(-0.99212134539019,0.125280629073933,0.)); #419935=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #419936=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #419937=DIRECTION('',(0.,0.,1.)); #419938=DIRECTION('center_axis',(0.,1.,0.)); #419939=DIRECTION('ref_axis',(-1.,0.,0.)); #419940=DIRECTION('',(-1.,0.,0.)); #419941=DIRECTION('',(-1.,0.,0.)); #419942=DIRECTION('',(0.,0.,1.)); #419943=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #419944=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #419945=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419946=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419947=DIRECTION('',(0.,0.,1.)); #419948=DIRECTION('center_axis',(0.,1.,0.)); #419949=DIRECTION('ref_axis',(-1.,0.,0.)); #419950=DIRECTION('',(-1.,0.,0.)); #419951=DIRECTION('',(-1.,0.,0.)); #419952=DIRECTION('',(0.,0.,1.)); #419953=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #419954=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #419955=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #419956=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #419957=DIRECTION('',(0.,0.,1.)); #419958=DIRECTION('center_axis',(0.,1.,0.)); #419959=DIRECTION('ref_axis',(-1.,0.,0.)); #419960=DIRECTION('',(-1.,0.,0.)); #419961=DIRECTION('',(-1.,0.,0.)); #419962=DIRECTION('',(0.,0.,1.)); #419963=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #419964=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #419965=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419966=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419967=DIRECTION('',(0.,0.,1.)); #419968=DIRECTION('center_axis',(0.,1.,0.)); #419969=DIRECTION('ref_axis',(-1.,0.,0.)); #419970=DIRECTION('',(-1.,0.,0.)); #419971=DIRECTION('',(-1.,0.,0.)); #419972=DIRECTION('',(0.,0.,1.)); #419973=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #419974=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #419975=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #419976=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #419977=DIRECTION('',(0.,0.,1.)); #419978=DIRECTION('center_axis',(0.,1.,0.)); #419979=DIRECTION('ref_axis',(-1.,0.,0.)); #419980=DIRECTION('',(-1.,0.,0.)); #419981=DIRECTION('',(-1.,0.,0.)); #419982=DIRECTION('',(0.,0.,1.)); #419983=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #419984=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #419985=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419986=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #419987=DIRECTION('',(0.,0.,1.)); #419988=DIRECTION('center_axis',(0.,1.,0.)); #419989=DIRECTION('ref_axis',(-1.,0.,0.)); #419990=DIRECTION('',(-1.,0.,0.)); #419991=DIRECTION('',(-1.,0.,0.)); #419992=DIRECTION('',(0.,0.,1.)); #419993=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #419994=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #419995=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #419996=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #419997=DIRECTION('',(0.,0.,1.)); #419998=DIRECTION('center_axis',(0.,1.,0.)); #419999=DIRECTION('ref_axis',(-1.,0.,0.)); #420000=DIRECTION('',(-1.,0.,0.)); #420001=DIRECTION('',(-1.,0.,0.)); #420002=DIRECTION('',(0.,0.,1.)); #420003=DIRECTION('center_axis',(0.191180940404449,0.981554811524079,0.)); #420004=DIRECTION('ref_axis',(-0.981554811524079,0.191180940404449,0.)); #420005=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #420006=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #420007=DIRECTION('',(0.,0.,1.)); #420008=DIRECTION('center_axis',(0.,1.,0.)); #420009=DIRECTION('ref_axis',(-1.,0.,0.)); #420010=DIRECTION('',(-1.,0.,0.)); #420011=DIRECTION('',(-1.,0.,0.)); #420012=DIRECTION('',(0.,0.,1.)); #420013=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #420014=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #420015=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #420016=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #420017=DIRECTION('',(0.,0.,1.)); #420018=DIRECTION('center_axis',(0.,1.,0.)); #420019=DIRECTION('ref_axis',(-1.,0.,0.)); #420020=DIRECTION('',(-1.,0.,0.)); #420021=DIRECTION('',(-1.,0.,0.)); #420022=DIRECTION('',(0.,0.,1.)); #420023=DIRECTION('center_axis',(0.191194865688788,0.981552099144129,0.)); #420024=DIRECTION('ref_axis',(-0.981552099144129,0.191194865688788,0.)); #420025=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #420026=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #420027=DIRECTION('',(0.,0.,1.)); #420028=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #420029=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #420030=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #420031=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #420032=DIRECTION('',(0.,0.,1.)); #420033=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #420034=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #420035=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #420036=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #420037=DIRECTION('',(0.,0.,1.)); #420038=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #420039=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #420040=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #420041=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #420042=DIRECTION('',(0.,0.,1.)); #420043=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #420044=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #420045=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #420046=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #420047=DIRECTION('',(0.,0.,1.)); #420048=DIRECTION('center_axis',(1.,0.,0.)); #420049=DIRECTION('ref_axis',(0.,1.,0.)); #420050=DIRECTION('',(0.,1.,0.)); #420051=DIRECTION('',(0.,1.,0.)); #420052=DIRECTION('',(0.,0.,1.)); #420053=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #420054=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #420055=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #420056=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #420057=DIRECTION('',(0.,0.,1.)); #420058=DIRECTION('center_axis',(0.842351514327583,-0.538928498327957,0.)); #420059=DIRECTION('ref_axis',(0.538928498327957,0.842351514327584,0.)); #420060=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #420061=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #420062=DIRECTION('',(0.,0.,1.)); #420063=DIRECTION('center_axis',(0.565473758099833,-0.824766287441752,0.)); #420064=DIRECTION('ref_axis',(0.824766287441752,0.565473758099833,0.)); #420065=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #420066=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #420067=DIRECTION('',(0.,0.,1.)); #420068=DIRECTION('center_axis',(0.199010641789378,-0.979997328799717,0.)); #420069=DIRECTION('ref_axis',(0.979997328799717,0.199010641789378,0.)); #420070=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #420071=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #420072=DIRECTION('',(0.,0.,1.)); #420073=DIRECTION('center_axis',(0.,-1.,0.)); #420074=DIRECTION('ref_axis',(1.,0.,0.)); #420075=DIRECTION('',(1.,0.,0.)); #420076=DIRECTION('',(1.,0.,0.)); #420077=DIRECTION('',(0.,0.,1.)); #420078=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #420079=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #420080=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #420081=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #420082=DIRECTION('',(0.,0.,1.)); #420083=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #420084=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #420085=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #420086=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #420087=DIRECTION('',(0.,0.,1.)); #420088=DIRECTION('center_axis',(-0.824766287441891,-0.565473758099632, 0.)); #420089=DIRECTION('ref_axis',(0.565473758099632,-0.824766287441891,0.)); #420090=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #420091=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #420092=DIRECTION('',(0.,0.,1.)); #420093=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #420094=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #420095=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420096=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420097=DIRECTION('',(0.,0.,1.)); #420098=DIRECTION('center_axis',(-1.,0.,0.)); #420099=DIRECTION('ref_axis',(0.,-1.,0.)); #420100=DIRECTION('',(0.,-1.,0.)); #420101=DIRECTION('',(0.,-1.,0.)); #420102=DIRECTION('',(0.,0.,1.)); #420103=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #420104=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #420105=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #420106=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #420107=DIRECTION('',(0.,0.,1.)); #420108=DIRECTION('center_axis',(0.,-1.,0.)); #420109=DIRECTION('ref_axis',(1.,0.,0.)); #420110=DIRECTION('',(1.,0.,0.)); #420111=DIRECTION('',(1.,0.,0.)); #420112=DIRECTION('',(0.,0.,1.)); #420113=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #420114=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #420115=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #420116=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #420117=DIRECTION('',(0.,0.,1.)); #420118=DIRECTION('center_axis',(1.,0.,0.)); #420119=DIRECTION('ref_axis',(0.,1.,0.)); #420120=DIRECTION('',(0.,1.,0.)); #420121=DIRECTION('',(0.,1.,0.)); #420122=DIRECTION('',(0.,0.,1.)); #420123=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #420124=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #420125=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #420126=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #420127=DIRECTION('',(0.,0.,1.)); #420128=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #420129=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #420130=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420131=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420132=DIRECTION('',(0.,0.,1.)); #420133=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #420134=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #420135=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #420136=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #420137=DIRECTION('center_axis',(0.,0.,1.)); #420138=DIRECTION('ref_axis',(1.,0.,0.)); #420139=DIRECTION('center_axis',(0.,0.,1.)); #420140=DIRECTION('ref_axis',(1.,0.,0.)); #420141=DIRECTION('center_axis',(0.,0.,1.)); #420142=DIRECTION('ref_axis',(1.,0.,0.)); #420143=DIRECTION('center_axis',(0.,0.,1.)); #420144=DIRECTION('ref_axis',(1.,0.,0.)); #420145=DIRECTION('',(0.,0.,1.)); #420146=DIRECTION('center_axis',(0.,0.,-1.)); #420147=DIRECTION('ref_axis',(1.,0.,0.)); #420148=DIRECTION('center_axis',(0.,0.,1.)); #420149=DIRECTION('ref_axis',(1.,0.,0.)); #420150=DIRECTION('center_axis',(0.,0.,1.)); #420151=DIRECTION('ref_axis',(1.,0.,0.)); #420152=DIRECTION('',(0.,0.,1.)); #420153=DIRECTION('center_axis',(0.,0.,-1.)); #420154=DIRECTION('ref_axis',(1.,0.,0.)); #420155=DIRECTION('center_axis',(0.,0.,1.)); #420156=DIRECTION('ref_axis',(1.,0.,0.)); #420157=DIRECTION('center_axis',(0.,0.,1.)); #420158=DIRECTION('ref_axis',(1.,0.,0.)); #420159=DIRECTION('',(0.,0.,1.)); #420160=DIRECTION('center_axis',(0.,0.,-1.)); #420161=DIRECTION('ref_axis',(1.,0.,0.)); #420162=DIRECTION('center_axis',(0.,0.,1.)); #420163=DIRECTION('ref_axis',(1.,0.,0.)); #420164=DIRECTION('center_axis',(0.,0.,1.)); #420165=DIRECTION('ref_axis',(1.,0.,0.)); #420166=DIRECTION('',(0.,0.,1.)); #420167=DIRECTION('center_axis',(0.,0.,-1.)); #420168=DIRECTION('ref_axis',(1.,0.,0.)); #420169=DIRECTION('center_axis',(0.,0.,1.)); #420170=DIRECTION('ref_axis',(1.,0.,0.)); #420171=DIRECTION('center_axis',(0.,0.,1.)); #420172=DIRECTION('ref_axis',(1.,0.,0.)); #420173=DIRECTION('',(0.,0.,1.)); #420174=DIRECTION('center_axis',(0.,0.,-1.)); #420175=DIRECTION('ref_axis',(1.,0.,0.)); #420176=DIRECTION('center_axis',(0.,0.,1.)); #420177=DIRECTION('ref_axis',(1.,0.,0.)); #420178=DIRECTION('center_axis',(0.,0.,1.)); #420179=DIRECTION('ref_axis',(1.,0.,0.)); #420180=DIRECTION('',(0.,0.,1.)); #420181=DIRECTION('center_axis',(0.,0.,-1.)); #420182=DIRECTION('ref_axis',(1.,0.,0.)); #420183=DIRECTION('center_axis',(0.,0.,1.)); #420184=DIRECTION('ref_axis',(1.,0.,0.)); #420185=DIRECTION('center_axis',(0.,0.,1.)); #420186=DIRECTION('ref_axis',(1.,0.,0.)); #420187=DIRECTION('',(0.,0.,1.)); #420188=DIRECTION('center_axis',(0.,0.,-1.)); #420189=DIRECTION('ref_axis',(1.,0.,0.)); #420190=DIRECTION('center_axis',(0.,0.,1.)); #420191=DIRECTION('ref_axis',(1.,0.,0.)); #420192=DIRECTION('center_axis',(0.,0.,1.)); #420193=DIRECTION('ref_axis',(1.,0.,0.)); #420194=DIRECTION('',(0.,0.,1.)); #420195=DIRECTION('center_axis',(0.,0.,-1.)); #420196=DIRECTION('ref_axis',(1.,0.,0.)); #420197=DIRECTION('center_axis',(0.,0.,1.)); #420198=DIRECTION('ref_axis',(1.,0.,0.)); #420199=DIRECTION('center_axis',(0.,0.,1.)); #420200=DIRECTION('ref_axis',(1.,0.,0.)); #420201=DIRECTION('',(0.,0.,1.)); #420202=DIRECTION('center_axis',(0.,0.,-1.)); #420203=DIRECTION('ref_axis',(1.,0.,0.)); #420204=DIRECTION('center_axis',(0.,0.,1.)); #420205=DIRECTION('ref_axis',(1.,0.,0.)); #420206=DIRECTION('center_axis',(0.,0.,1.)); #420207=DIRECTION('ref_axis',(1.,0.,0.)); #420208=DIRECTION('',(0.,0.,1.)); #420209=DIRECTION('center_axis',(0.,0.,-1.)); #420210=DIRECTION('ref_axis',(1.,0.,0.)); #420211=DIRECTION('center_axis',(0.,0.,1.)); #420212=DIRECTION('ref_axis',(1.,0.,0.)); #420213=DIRECTION('center_axis',(0.,0.,1.)); #420214=DIRECTION('ref_axis',(1.,0.,0.)); #420215=DIRECTION('',(0.,0.,1.)); #420216=DIRECTION('center_axis',(0.,0.,-1.)); #420217=DIRECTION('ref_axis',(1.,0.,0.)); #420218=DIRECTION('center_axis',(0.,0.,1.)); #420219=DIRECTION('ref_axis',(1.,0.,0.)); #420220=DIRECTION('center_axis',(0.,0.,1.)); #420221=DIRECTION('ref_axis',(1.,0.,0.)); #420222=DIRECTION('',(0.,0.,1.)); #420223=DIRECTION('center_axis',(0.,0.,-1.)); #420224=DIRECTION('ref_axis',(1.,0.,0.)); #420225=DIRECTION('center_axis',(0.,0.,1.)); #420226=DIRECTION('ref_axis',(1.,0.,0.)); #420227=DIRECTION('center_axis',(0.,0.,1.)); #420228=DIRECTION('ref_axis',(1.,0.,0.)); #420229=DIRECTION('',(0.,0.,1.)); #420230=DIRECTION('center_axis',(0.,0.,-1.)); #420231=DIRECTION('ref_axis',(1.,0.,0.)); #420232=DIRECTION('center_axis',(0.,0.,1.)); #420233=DIRECTION('ref_axis',(1.,0.,0.)); #420234=DIRECTION('center_axis',(0.,0.,1.)); #420235=DIRECTION('ref_axis',(1.,0.,0.)); #420236=DIRECTION('',(0.,0.,1.)); #420237=DIRECTION('center_axis',(0.,0.,-1.)); #420238=DIRECTION('ref_axis',(1.,0.,0.)); #420239=DIRECTION('center_axis',(0.,0.,1.)); #420240=DIRECTION('ref_axis',(1.,0.,0.)); #420241=DIRECTION('center_axis',(0.,0.,1.)); #420242=DIRECTION('ref_axis',(1.,0.,0.)); #420243=DIRECTION('',(0.,0.,1.)); #420244=DIRECTION('center_axis',(0.,0.,-1.)); #420245=DIRECTION('ref_axis',(1.,0.,0.)); #420246=DIRECTION('center_axis',(0.,0.,1.)); #420247=DIRECTION('ref_axis',(1.,0.,0.)); #420248=DIRECTION('center_axis',(0.,0.,1.)); #420249=DIRECTION('ref_axis',(1.,0.,0.)); #420250=DIRECTION('',(0.,0.,1.)); #420251=DIRECTION('center_axis',(0.,0.,-1.)); #420252=DIRECTION('ref_axis',(1.,0.,0.)); #420253=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #420254=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #420255=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #420256=DIRECTION('',(0.,0.,1.)); #420257=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #420258=DIRECTION('',(0.,0.,1.)); #420259=DIRECTION('center_axis',(0.,-1.,0.)); #420260=DIRECTION('ref_axis',(1.,0.,0.)); #420261=DIRECTION('',(1.,0.,0.)); #420262=DIRECTION('',(1.,0.,0.)); #420263=DIRECTION('',(0.,0.,1.)); #420264=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #420265=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #420266=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #420267=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #420268=DIRECTION('',(0.,0.,1.)); #420269=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #420270=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #420271=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #420272=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #420273=DIRECTION('',(0.,0.,1.)); #420274=DIRECTION('center_axis',(-0.82476628744185,-0.565473758099692,0.)); #420275=DIRECTION('ref_axis',(0.565473758099692,-0.82476628744185,0.)); #420276=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #420277=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #420278=DIRECTION('',(0.,0.,1.)); #420279=DIRECTION('center_axis',(-0.979997328799718,-0.19901064178937,0.)); #420280=DIRECTION('ref_axis',(0.19901064178937,-0.979997328799718,0.)); #420281=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #420282=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #420283=DIRECTION('',(0.,0.,1.)); #420284=DIRECTION('center_axis',(-1.,0.,0.)); #420285=DIRECTION('ref_axis',(0.,-1.,0.)); #420286=DIRECTION('',(0.,-1.,0.)); #420287=DIRECTION('',(0.,-1.,0.)); #420288=DIRECTION('',(0.,0.,1.)); #420289=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #420290=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #420291=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #420292=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #420293=DIRECTION('',(0.,0.,1.)); #420294=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #420295=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #420296=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #420297=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #420298=DIRECTION('',(0.,0.,1.)); #420299=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #420300=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #420301=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #420302=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #420303=DIRECTION('',(0.,0.,1.)); #420304=DIRECTION('center_axis',(-0.558856232805004,0.82926456035152,0.)); #420305=DIRECTION('ref_axis',(-0.82926456035152,-0.558856232805004,0.)); #420306=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #420307=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #420308=DIRECTION('',(0.,0.,1.)); #420309=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #420310=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #420311=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #420312=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #420313=DIRECTION('',(0.,0.,1.)); #420314=DIRECTION('center_axis',(0.,1.,0.)); #420315=DIRECTION('ref_axis',(-1.,0.,0.)); #420316=DIRECTION('',(-1.,0.,0.)); #420317=DIRECTION('',(-1.,0.,0.)); #420318=DIRECTION('',(0.,0.,1.)); #420319=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #420320=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #420321=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420322=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420323=DIRECTION('',(0.,0.,1.)); #420324=DIRECTION('center_axis',(0.,1.,0.)); #420325=DIRECTION('ref_axis',(-1.,0.,0.)); #420326=DIRECTION('',(-1.,0.,0.)); #420327=DIRECTION('',(-1.,0.,0.)); #420328=DIRECTION('',(0.,0.,1.)); #420329=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #420330=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #420331=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #420332=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #420333=DIRECTION('',(0.,0.,1.)); #420334=DIRECTION('center_axis',(0.,1.,0.)); #420335=DIRECTION('ref_axis',(-1.,0.,0.)); #420336=DIRECTION('',(-1.,0.,0.)); #420337=DIRECTION('',(-1.,0.,0.)); #420338=DIRECTION('',(0.,0.,1.)); #420339=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #420340=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #420341=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420342=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420343=DIRECTION('',(0.,0.,1.)); #420344=DIRECTION('center_axis',(0.,1.,0.)); #420345=DIRECTION('ref_axis',(-1.,0.,0.)); #420346=DIRECTION('',(-1.,0.,0.)); #420347=DIRECTION('',(-1.,0.,0.)); #420348=DIRECTION('',(0.,0.,1.)); #420349=DIRECTION('center_axis',(-0.199017616335218,0.979995912434459,0.)); #420350=DIRECTION('ref_axis',(-0.979995912434459,-0.199017616335218,0.)); #420351=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #420352=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #420353=DIRECTION('',(0.,0.,1.)); #420354=DIRECTION('center_axis',(0.,1.,0.)); #420355=DIRECTION('ref_axis',(-1.,0.,0.)); #420356=DIRECTION('',(-1.,0.,0.)); #420357=DIRECTION('',(-1.,0.,0.)); #420358=DIRECTION('',(0.,0.,1.)); #420359=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #420360=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #420361=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420362=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420363=DIRECTION('',(0.,0.,1.)); #420364=DIRECTION('center_axis',(0.,1.,0.)); #420365=DIRECTION('ref_axis',(-1.,0.,0.)); #420366=DIRECTION('',(-1.,0.,0.)); #420367=DIRECTION('',(-1.,0.,0.)); #420368=DIRECTION('',(0.,0.,1.)); #420369=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #420370=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #420371=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #420372=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #420373=DIRECTION('',(0.,0.,1.)); #420374=DIRECTION('center_axis',(0.,1.,0.)); #420375=DIRECTION('ref_axis',(-1.,0.,0.)); #420376=DIRECTION('',(-1.,0.,0.)); #420377=DIRECTION('',(-1.,0.,0.)); #420378=DIRECTION('',(0.,0.,1.)); #420379=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #420380=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #420381=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420382=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #420383=DIRECTION('',(0.,0.,1.)); #420384=DIRECTION('center_axis',(0.,1.,0.)); #420385=DIRECTION('ref_axis',(-1.,0.,0.)); #420386=DIRECTION('',(-1.,0.,0.)); #420387=DIRECTION('',(-1.,0.,0.)); #420388=DIRECTION('',(0.,0.,1.)); #420389=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #420390=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #420391=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #420392=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #420393=DIRECTION('',(0.,0.,1.)); #420394=DIRECTION('center_axis',(0.,1.,0.)); #420395=DIRECTION('ref_axis',(-1.,0.,0.)); #420396=DIRECTION('',(-1.,0.,0.)); #420397=DIRECTION('',(-1.,0.,0.)); #420398=DIRECTION('',(0.,0.,1.)); #420399=DIRECTION('center_axis',(-0.140944937191418,0.990017436553573,0.)); #420400=DIRECTION('ref_axis',(-0.990017436553573,-0.140944937191418,0.)); #420401=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #420402=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #420403=DIRECTION('',(0.,0.,1.)); #420404=DIRECTION('center_axis',(-0.613211584811249,0.789918699774398,0.)); #420405=DIRECTION('ref_axis',(-0.789918699774398,-0.613211584811249,0.)); #420406=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #420407=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #420408=DIRECTION('',(0.,0.,1.)); #420409=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #420410=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #420411=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #420412=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #420413=DIRECTION('',(0.,0.,1.)); #420414=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #420415=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #420416=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #420417=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #420418=DIRECTION('',(0.,0.,1.)); #420419=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #420420=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #420421=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #420422=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #420423=DIRECTION('',(0.,0.,1.)); #420424=DIRECTION('center_axis',(0.,1.,0.)); #420425=DIRECTION('ref_axis',(-1.,0.,0.)); #420426=DIRECTION('',(-1.,0.,0.)); #420427=DIRECTION('',(-1.,0.,0.)); #420428=DIRECTION('',(0.,0.,1.)); #420429=DIRECTION('center_axis',(0.19119486568856,0.981552099144174,0.)); #420430=DIRECTION('ref_axis',(-0.981552099144174,0.19119486568856,0.)); #420431=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #420432=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #420433=DIRECTION('',(0.,0.,1.)); #420434=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #420435=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #420436=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #420437=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #420438=DIRECTION('',(0.,0.,1.)); #420439=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #420440=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #420441=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #420442=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #420443=DIRECTION('',(0.,0.,1.)); #420444=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #420445=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #420446=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #420447=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #420448=DIRECTION('',(0.,0.,1.)); #420449=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #420450=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #420451=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #420452=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #420453=DIRECTION('',(0.,0.,1.)); #420454=DIRECTION('center_axis',(1.,0.,0.)); #420455=DIRECTION('ref_axis',(0.,1.,0.)); #420456=DIRECTION('',(0.,1.,0.)); #420457=DIRECTION('',(0.,1.,0.)); #420458=DIRECTION('',(0.,0.,1.)); #420459=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #420460=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #420461=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #420462=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #420463=DIRECTION('',(0.,0.,1.)); #420464=DIRECTION('center_axis',(0.833699290017718,-0.552218701081331,0.)); #420465=DIRECTION('ref_axis',(0.552218701081331,0.833699290017718,0.)); #420466=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #420467=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #420468=DIRECTION('',(0.,0.,1.)); #420469=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #420470=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #420471=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #420472=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #420473=DIRECTION('',(0.,0.,1.)); #420474=DIRECTION('center_axis',(0.558856232805025,-0.829264560351506,0.)); #420475=DIRECTION('ref_axis',(0.829264560351506,0.558856232805025,0.)); #420476=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #420477=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #420478=DIRECTION('',(0.,0.,1.)); #420479=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #420480=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #420481=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #420482=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #420483=DIRECTION('',(0.,0.,1.)); #420484=DIRECTION('center_axis',(0.,-1.,0.)); #420485=DIRECTION('ref_axis',(1.,0.,0.)); #420486=DIRECTION('',(1.,0.,0.)); #420487=DIRECTION('',(1.,0.,0.)); #420488=DIRECTION('',(0.,0.,1.)); #420489=DIRECTION('center_axis',(0.140944937191418,-0.990017436553573,0.)); #420490=DIRECTION('ref_axis',(0.990017436553573,0.140944937191418,0.)); #420491=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #420492=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #420493=DIRECTION('',(0.,0.,1.)); #420494=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #420495=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #420496=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #420497=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #420498=DIRECTION('',(0.,0.,1.)); #420499=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #420500=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #420501=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #420502=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #420503=DIRECTION('',(0.,0.,1.)); #420504=DIRECTION('center_axis',(0.799763100783356,-0.600315735780258,0.)); #420505=DIRECTION('ref_axis',(0.600315735780258,0.799763100783355,0.)); #420506=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #420507=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #420508=DIRECTION('',(0.,0.,1.)); #420509=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #420510=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #420511=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #420512=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #420513=DIRECTION('',(0.,0.,1.)); #420514=DIRECTION('center_axis',(1.,0.,0.)); #420515=DIRECTION('ref_axis',(0.,1.,0.)); #420516=DIRECTION('',(0.,1.,0.)); #420517=DIRECTION('',(0.,1.,0.)); #420518=DIRECTION('',(0.,0.,1.)); #420519=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #420520=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #420521=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #420522=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #420523=DIRECTION('',(0.,0.,1.)); #420524=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #420525=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #420526=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420527=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420528=DIRECTION('',(0.,0.,1.)); #420529=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #420530=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #420531=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #420532=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #420533=DIRECTION('',(0.,0.,1.)); #420534=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #420535=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #420536=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #420537=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #420538=DIRECTION('',(0.,0.,1.)); #420539=DIRECTION('center_axis',(0.,-1.,0.)); #420540=DIRECTION('ref_axis',(1.,0.,0.)); #420541=DIRECTION('',(1.,0.,0.)); #420542=DIRECTION('',(1.,0.,0.)); #420543=DIRECTION('',(0.,0.,1.)); #420544=DIRECTION('center_axis',(-0.183335538282741,-0.983050395657607, 0.)); #420545=DIRECTION('ref_axis',(0.983050395657607,-0.183335538282741,0.)); #420546=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #420547=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #420548=DIRECTION('',(0.,0.,1.)); #420549=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #420550=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #420551=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #420552=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #420553=DIRECTION('',(0.,0.,1.)); #420554=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #420555=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #420556=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #420557=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #420558=DIRECTION('',(0.,0.,1.)); #420559=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #420560=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #420561=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420562=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420563=DIRECTION('',(0.,0.,1.)); #420564=DIRECTION('center_axis',(-1.,0.,0.)); #420565=DIRECTION('ref_axis',(0.,-1.,0.)); #420566=DIRECTION('',(0.,-1.,0.)); #420567=DIRECTION('',(0.,-1.,0.)); #420568=DIRECTION('',(0.,0.,1.)); #420569=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #420570=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #420571=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #420572=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #420573=DIRECTION('',(0.,0.,1.)); #420574=DIRECTION('center_axis',(0.,-1.,0.)); #420575=DIRECTION('ref_axis',(1.,0.,0.)); #420576=DIRECTION('',(1.,0.,0.)); #420577=DIRECTION('',(1.,0.,0.)); #420578=DIRECTION('',(0.,0.,1.)); #420579=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #420580=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #420581=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #420582=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #420583=DIRECTION('',(0.,0.,1.)); #420584=DIRECTION('center_axis',(1.,0.,0.)); #420585=DIRECTION('ref_axis',(0.,1.,0.)); #420586=DIRECTION('',(0.,1.,0.)); #420587=DIRECTION('',(0.,1.,0.)); #420588=DIRECTION('',(0.,0.,1.)); #420589=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #420590=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #420591=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #420592=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #420593=DIRECTION('',(0.,0.,1.)); #420594=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #420595=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #420596=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420597=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #420598=DIRECTION('',(0.,0.,1.)); #420599=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #420600=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #420601=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #420602=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #420603=DIRECTION('center_axis',(0.,0.,1.)); #420604=DIRECTION('ref_axis',(1.,0.,0.)); #420605=DIRECTION('center_axis',(0.,0.,1.)); #420606=DIRECTION('ref_axis',(1.,0.,0.)); #420607=DIRECTION('center_axis',(0.,0.,1.)); #420608=DIRECTION('ref_axis',(1.,0.,0.)); #420609=DIRECTION('center_axis',(0.,0.,1.)); #420610=DIRECTION('ref_axis',(1.,0.,0.)); #420611=DIRECTION('',(0.,0.,1.)); #420612=DIRECTION('center_axis',(0.,0.,-1.)); #420613=DIRECTION('ref_axis',(1.,0.,0.)); #420614=DIRECTION('center_axis',(0.,0.,1.)); #420615=DIRECTION('ref_axis',(1.,0.,0.)); #420616=DIRECTION('center_axis',(0.,0.,1.)); #420617=DIRECTION('ref_axis',(1.,0.,0.)); #420618=DIRECTION('',(0.,0.,1.)); #420619=DIRECTION('center_axis',(0.,0.,-1.)); #420620=DIRECTION('ref_axis',(1.,0.,0.)); #420621=DIRECTION('center_axis',(0.,0.,1.)); #420622=DIRECTION('ref_axis',(1.,0.,0.)); #420623=DIRECTION('center_axis',(0.,0.,1.)); #420624=DIRECTION('ref_axis',(1.,0.,0.)); #420625=DIRECTION('',(0.,0.,1.)); #420626=DIRECTION('center_axis',(0.,0.,-1.)); #420627=DIRECTION('ref_axis',(1.,0.,0.)); #420628=DIRECTION('center_axis',(0.,0.,1.)); #420629=DIRECTION('ref_axis',(1.,0.,0.)); #420630=DIRECTION('center_axis',(0.,0.,1.)); #420631=DIRECTION('ref_axis',(1.,0.,0.)); #420632=DIRECTION('',(0.,0.,1.)); #420633=DIRECTION('center_axis',(0.,0.,-1.)); #420634=DIRECTION('ref_axis',(1.,0.,0.)); #420635=DIRECTION('center_axis',(0.,0.,1.)); #420636=DIRECTION('ref_axis',(1.,0.,0.)); #420637=DIRECTION('center_axis',(0.,0.,1.)); #420638=DIRECTION('ref_axis',(1.,0.,0.)); #420639=DIRECTION('',(0.,0.,1.)); #420640=DIRECTION('center_axis',(0.,0.,-1.)); #420641=DIRECTION('ref_axis',(1.,0.,0.)); #420642=DIRECTION('center_axis',(0.,0.,1.)); #420643=DIRECTION('ref_axis',(1.,0.,0.)); #420644=DIRECTION('center_axis',(0.,0.,1.)); #420645=DIRECTION('ref_axis',(1.,0.,0.)); #420646=DIRECTION('',(0.,0.,1.)); #420647=DIRECTION('center_axis',(0.,0.,-1.)); #420648=DIRECTION('ref_axis',(1.,0.,0.)); #420649=DIRECTION('center_axis',(0.,0.,1.)); #420650=DIRECTION('ref_axis',(1.,0.,0.)); #420651=DIRECTION('center_axis',(0.,0.,1.)); #420652=DIRECTION('ref_axis',(1.,0.,0.)); #420653=DIRECTION('',(0.,0.,1.)); #420654=DIRECTION('center_axis',(0.,0.,-1.)); #420655=DIRECTION('ref_axis',(1.,0.,0.)); #420656=DIRECTION('center_axis',(0.,0.,1.)); #420657=DIRECTION('ref_axis',(1.,0.,0.)); #420658=DIRECTION('center_axis',(0.,0.,1.)); #420659=DIRECTION('ref_axis',(1.,0.,0.)); #420660=DIRECTION('',(0.,0.,1.)); #420661=DIRECTION('center_axis',(0.,0.,-1.)); #420662=DIRECTION('ref_axis',(1.,0.,0.)); #420663=DIRECTION('center_axis',(0.,0.,1.)); #420664=DIRECTION('ref_axis',(1.,0.,0.)); #420665=DIRECTION('center_axis',(0.,0.,1.)); #420666=DIRECTION('ref_axis',(1.,0.,0.)); #420667=DIRECTION('',(0.,0.,1.)); #420668=DIRECTION('center_axis',(0.,0.,-1.)); #420669=DIRECTION('ref_axis',(1.,0.,0.)); #420670=DIRECTION('center_axis',(0.,0.,1.)); #420671=DIRECTION('ref_axis',(1.,0.,0.)); #420672=DIRECTION('center_axis',(0.,0.,1.)); #420673=DIRECTION('ref_axis',(1.,0.,0.)); #420674=DIRECTION('',(0.,0.,1.)); #420675=DIRECTION('center_axis',(0.,0.,-1.)); #420676=DIRECTION('ref_axis',(1.,0.,0.)); #420677=DIRECTION('center_axis',(0.,0.,1.)); #420678=DIRECTION('ref_axis',(1.,0.,0.)); #420679=DIRECTION('center_axis',(0.,0.,1.)); #420680=DIRECTION('ref_axis',(1.,0.,0.)); #420681=DIRECTION('',(0.,0.,1.)); #420682=DIRECTION('center_axis',(0.,0.,-1.)); #420683=DIRECTION('ref_axis',(1.,0.,0.)); #420684=DIRECTION('center_axis',(0.,0.,1.)); #420685=DIRECTION('ref_axis',(1.,0.,0.)); #420686=DIRECTION('center_axis',(0.,0.,1.)); #420687=DIRECTION('ref_axis',(1.,0.,0.)); #420688=DIRECTION('',(0.,0.,1.)); #420689=DIRECTION('center_axis',(0.,0.,-1.)); #420690=DIRECTION('ref_axis',(1.,0.,0.)); #420691=DIRECTION('center_axis',(0.,0.,1.)); #420692=DIRECTION('ref_axis',(1.,0.,0.)); #420693=DIRECTION('center_axis',(0.,0.,1.)); #420694=DIRECTION('ref_axis',(1.,0.,0.)); #420695=DIRECTION('',(0.,0.,1.)); #420696=DIRECTION('center_axis',(0.,0.,-1.)); #420697=DIRECTION('ref_axis',(1.,0.,0.)); #420698=DIRECTION('center_axis',(0.,0.,1.)); #420699=DIRECTION('ref_axis',(1.,0.,0.)); #420700=DIRECTION('center_axis',(0.,0.,1.)); #420701=DIRECTION('ref_axis',(1.,0.,0.)); #420702=DIRECTION('',(0.,0.,1.)); #420703=DIRECTION('center_axis',(0.,0.,-1.)); #420704=DIRECTION('ref_axis',(1.,0.,0.)); #420705=DIRECTION('center_axis',(0.,0.,1.)); #420706=DIRECTION('ref_axis',(1.,0.,0.)); #420707=DIRECTION('center_axis',(0.,0.,1.)); #420708=DIRECTION('ref_axis',(1.,0.,0.)); #420709=DIRECTION('',(0.,0.,1.)); #420710=DIRECTION('center_axis',(0.,0.,-1.)); #420711=DIRECTION('ref_axis',(1.,0.,0.)); #420712=DIRECTION('center_axis',(0.,0.,1.)); #420713=DIRECTION('ref_axis',(1.,0.,0.)); #420714=DIRECTION('center_axis',(0.,0.,1.)); #420715=DIRECTION('ref_axis',(1.,0.,0.)); #420716=DIRECTION('',(0.,0.,1.)); #420717=DIRECTION('center_axis',(0.,0.,-1.)); #420718=DIRECTION('ref_axis',(1.,0.,0.)); #420719=DIRECTION('center_axis',(0.,0.,1.)); #420720=DIRECTION('ref_axis',(1.,0.,0.)); #420721=DIRECTION('center_axis',(0.,0.,1.)); #420722=DIRECTION('ref_axis',(1.,0.,0.)); #420723=DIRECTION('',(0.,0.,1.)); #420724=DIRECTION('center_axis',(0.,0.,-1.)); #420725=DIRECTION('ref_axis',(1.,0.,0.)); #420726=DIRECTION('center_axis',(0.,0.,1.)); #420727=DIRECTION('ref_axis',(1.,0.,0.)); #420728=DIRECTION('center_axis',(0.,0.,1.)); #420729=DIRECTION('ref_axis',(1.,0.,0.)); #420730=DIRECTION('',(0.,0.,1.)); #420731=DIRECTION('center_axis',(0.,0.,-1.)); #420732=DIRECTION('ref_axis',(1.,0.,0.)); #420733=DIRECTION('center_axis',(0.,0.,1.)); #420734=DIRECTION('ref_axis',(1.,0.,0.)); #420735=DIRECTION('center_axis',(0.,0.,1.)); #420736=DIRECTION('ref_axis',(1.,0.,0.)); #420737=DIRECTION('',(0.,0.,1.)); #420738=DIRECTION('center_axis',(0.,0.,-1.)); #420739=DIRECTION('ref_axis',(1.,0.,0.)); #420740=DIRECTION('center_axis',(0.,0.,1.)); #420741=DIRECTION('ref_axis',(1.,0.,0.)); #420742=DIRECTION('center_axis',(0.,0.,1.)); #420743=DIRECTION('ref_axis',(1.,0.,0.)); #420744=DIRECTION('',(0.,0.,1.)); #420745=DIRECTION('center_axis',(0.,0.,-1.)); #420746=DIRECTION('ref_axis',(1.,0.,0.)); #420747=DIRECTION('center_axis',(0.,0.,1.)); #420748=DIRECTION('ref_axis',(1.,0.,0.)); #420749=DIRECTION('center_axis',(0.,0.,1.)); #420750=DIRECTION('ref_axis',(1.,0.,0.)); #420751=DIRECTION('',(0.,0.,1.)); #420752=DIRECTION('center_axis',(0.,0.,-1.)); #420753=DIRECTION('ref_axis',(1.,0.,0.)); #420754=DIRECTION('center_axis',(0.,0.,1.)); #420755=DIRECTION('ref_axis',(1.,0.,0.)); #420756=DIRECTION('center_axis',(0.,0.,1.)); #420757=DIRECTION('ref_axis',(1.,0.,0.)); #420758=DIRECTION('',(0.,0.,1.)); #420759=DIRECTION('center_axis',(0.,0.,-1.)); #420760=DIRECTION('ref_axis',(1.,0.,0.)); #420761=DIRECTION('center_axis',(0.,0.,1.)); #420762=DIRECTION('ref_axis',(1.,0.,0.)); #420763=DIRECTION('center_axis',(0.,0.,1.)); #420764=DIRECTION('ref_axis',(1.,0.,0.)); #420765=DIRECTION('',(0.,0.,1.)); #420766=DIRECTION('center_axis',(0.,0.,-1.)); #420767=DIRECTION('ref_axis',(1.,0.,0.)); #420768=DIRECTION('center_axis',(0.,0.,1.)); #420769=DIRECTION('ref_axis',(1.,0.,0.)); #420770=DIRECTION('center_axis',(0.,0.,1.)); #420771=DIRECTION('ref_axis',(1.,0.,0.)); #420772=DIRECTION('',(0.,0.,1.)); #420773=DIRECTION('center_axis',(0.,0.,-1.)); #420774=DIRECTION('ref_axis',(1.,0.,0.)); #420775=DIRECTION('center_axis',(0.,0.,1.)); #420776=DIRECTION('ref_axis',(1.,0.,0.)); #420777=DIRECTION('center_axis',(0.,0.,1.)); #420778=DIRECTION('ref_axis',(1.,0.,0.)); #420779=DIRECTION('',(0.,0.,1.)); #420780=DIRECTION('center_axis',(0.,0.,-1.)); #420781=DIRECTION('ref_axis',(1.,0.,0.)); #420782=DIRECTION('center_axis',(0.,0.,1.)); #420783=DIRECTION('ref_axis',(1.,0.,0.)); #420784=DIRECTION('center_axis',(0.,0.,1.)); #420785=DIRECTION('ref_axis',(1.,0.,0.)); #420786=DIRECTION('',(0.,0.,1.)); #420787=DIRECTION('center_axis',(0.,0.,-1.)); #420788=DIRECTION('ref_axis',(1.,0.,0.)); #420789=DIRECTION('center_axis',(0.,0.,1.)); #420790=DIRECTION('ref_axis',(1.,0.,0.)); #420791=DIRECTION('center_axis',(0.,0.,1.)); #420792=DIRECTION('ref_axis',(1.,0.,0.)); #420793=DIRECTION('',(0.,0.,1.)); #420794=DIRECTION('center_axis',(0.,0.,-1.)); #420795=DIRECTION('ref_axis',(1.,0.,0.)); #420796=DIRECTION('center_axis',(0.,0.,1.)); #420797=DIRECTION('ref_axis',(1.,0.,0.)); #420798=DIRECTION('center_axis',(0.,0.,1.)); #420799=DIRECTION('ref_axis',(1.,0.,0.)); #420800=DIRECTION('',(0.,0.,1.)); #420801=DIRECTION('center_axis',(0.,0.,-1.)); #420802=DIRECTION('ref_axis',(1.,0.,0.)); #420803=DIRECTION('center_axis',(0.,0.,1.)); #420804=DIRECTION('ref_axis',(1.,0.,0.)); #420805=DIRECTION('center_axis',(0.,0.,1.)); #420806=DIRECTION('ref_axis',(1.,0.,0.)); #420807=DIRECTION('',(0.,0.,1.)); #420808=DIRECTION('center_axis',(0.,0.,-1.)); #420809=DIRECTION('ref_axis',(1.,0.,0.)); #420810=DIRECTION('center_axis',(0.,0.,1.)); #420811=DIRECTION('ref_axis',(1.,0.,0.)); #420812=DIRECTION('center_axis',(0.,0.,1.)); #420813=DIRECTION('ref_axis',(1.,0.,0.)); #420814=DIRECTION('',(0.,0.,1.)); #420815=DIRECTION('center_axis',(0.,0.,-1.)); #420816=DIRECTION('ref_axis',(1.,0.,0.)); #420817=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #420818=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #420819=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #420820=DIRECTION('',(0.,0.,1.)); #420821=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #420822=DIRECTION('',(0.,0.,1.)); #420823=DIRECTION('center_axis',(0.,-1.,0.)); #420824=DIRECTION('ref_axis',(1.,0.,0.)); #420825=DIRECTION('',(1.,0.,0.)); #420826=DIRECTION('',(1.,0.,0.)); #420827=DIRECTION('',(0.,0.,1.)); #420828=DIRECTION('center_axis',(-0.191194865688736,-0.981552099144139, 0.)); #420829=DIRECTION('ref_axis',(0.981552099144139,-0.191194865688736,0.)); #420830=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #420831=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #420832=DIRECTION('',(0.,0.,1.)); #420833=DIRECTION('center_axis',(-0.552218701081169,-0.833699290017826, 0.)); #420834=DIRECTION('ref_axis',(0.833699290017826,-0.552218701081169,0.)); #420835=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #420836=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #420837=DIRECTION('',(0.,0.,1.)); #420838=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #420839=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #420840=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #420841=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #420842=DIRECTION('',(0.,0.,1.)); #420843=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #420844=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #420845=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #420846=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #420847=DIRECTION('',(0.,0.,1.)); #420848=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #420849=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #420850=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420851=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #420852=DIRECTION('',(0.,0.,1.)); #420853=DIRECTION('center_axis',(-1.,0.,0.)); #420854=DIRECTION('ref_axis',(0.,-1.,0.)); #420855=DIRECTION('',(0.,-1.,0.)); #420856=DIRECTION('',(0.,-1.,0.)); #420857=DIRECTION('',(0.,0.,1.)); #420858=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #420859=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #420860=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #420861=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #420862=DIRECTION('',(0.,0.,1.)); #420863=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #420864=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #420865=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #420866=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #420867=DIRECTION('',(0.,0.,1.)); #420868=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #420869=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #420870=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #420871=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #420872=DIRECTION('',(0.,0.,1.)); #420873=DIRECTION('center_axis',(-0.558856232804857,0.829264560351619,0.)); #420874=DIRECTION('ref_axis',(-0.829264560351619,-0.558856232804857,0.)); #420875=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #420876=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #420877=DIRECTION('',(0.,0.,1.)); #420878=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #420879=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #420880=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #420881=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #420882=DIRECTION('',(0.,0.,1.)); #420883=DIRECTION('center_axis',(0.,1.,0.)); #420884=DIRECTION('ref_axis',(-1.,0.,0.)); #420885=DIRECTION('',(-1.,0.,0.)); #420886=DIRECTION('',(-1.,0.,0.)); #420887=DIRECTION('',(0.,0.,1.)); #420888=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #420889=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #420890=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420891=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420892=DIRECTION('',(0.,0.,1.)); #420893=DIRECTION('center_axis',(0.,1.,0.)); #420894=DIRECTION('ref_axis',(-1.,0.,0.)); #420895=DIRECTION('',(-1.,0.,0.)); #420896=DIRECTION('',(-1.,0.,0.)); #420897=DIRECTION('',(0.,0.,1.)); #420898=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #420899=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #420900=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #420901=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #420902=DIRECTION('',(0.,0.,1.)); #420903=DIRECTION('center_axis',(0.,1.,0.)); #420904=DIRECTION('ref_axis',(-1.,0.,0.)); #420905=DIRECTION('',(-1.,0.,0.)); #420906=DIRECTION('',(-1.,0.,0.)); #420907=DIRECTION('',(0.,0.,1.)); #420908=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #420909=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #420910=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420911=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420912=DIRECTION('',(0.,0.,1.)); #420913=DIRECTION('center_axis',(0.,1.,0.)); #420914=DIRECTION('ref_axis',(-1.,0.,0.)); #420915=DIRECTION('',(-1.,0.,0.)); #420916=DIRECTION('',(-1.,0.,0.)); #420917=DIRECTION('',(0.,0.,1.)); #420918=DIRECTION('center_axis',(-0.199017616334511,0.979995912434603,0.)); #420919=DIRECTION('ref_axis',(-0.979995912434603,-0.199017616334511,0.)); #420920=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #420921=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #420922=DIRECTION('',(0.,0.,1.)); #420923=DIRECTION('center_axis',(0.,1.,0.)); #420924=DIRECTION('ref_axis',(-1.,0.,0.)); #420925=DIRECTION('',(-1.,0.,0.)); #420926=DIRECTION('',(-1.,0.,0.)); #420927=DIRECTION('',(0.,0.,1.)); #420928=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #420929=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #420930=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420931=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420932=DIRECTION('',(0.,0.,1.)); #420933=DIRECTION('center_axis',(0.,1.,0.)); #420934=DIRECTION('ref_axis',(-1.,0.,0.)); #420935=DIRECTION('',(-1.,0.,0.)); #420936=DIRECTION('',(-1.,0.,0.)); #420937=DIRECTION('',(0.,0.,1.)); #420938=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #420939=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #420940=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #420941=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #420942=DIRECTION('',(0.,0.,1.)); #420943=DIRECTION('center_axis',(0.,1.,0.)); #420944=DIRECTION('ref_axis',(-1.,0.,0.)); #420945=DIRECTION('',(-1.,0.,0.)); #420946=DIRECTION('',(-1.,0.,0.)); #420947=DIRECTION('',(0.,0.,1.)); #420948=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #420949=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #420950=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420951=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #420952=DIRECTION('',(0.,0.,1.)); #420953=DIRECTION('center_axis',(0.,1.,0.)); #420954=DIRECTION('ref_axis',(-1.,0.,0.)); #420955=DIRECTION('',(-1.,0.,0.)); #420956=DIRECTION('',(-1.,0.,0.)); #420957=DIRECTION('',(0.,0.,1.)); #420958=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #420959=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #420960=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #420961=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #420962=DIRECTION('',(0.,0.,1.)); #420963=DIRECTION('center_axis',(0.,1.,0.)); #420964=DIRECTION('ref_axis',(-1.,0.,0.)); #420965=DIRECTION('',(-1.,0.,0.)); #420966=DIRECTION('',(-1.,0.,0.)); #420967=DIRECTION('',(0.,0.,1.)); #420968=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #420969=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #420970=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #420971=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #420972=DIRECTION('',(0.,0.,1.)); #420973=DIRECTION('center_axis',(0.538928498327877,0.842351514327635,0.)); #420974=DIRECTION('ref_axis',(-0.842351514327635,0.538928498327877,0.)); #420975=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #420976=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #420977=DIRECTION('',(0.,0.,1.)); #420978=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #420979=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #420980=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #420981=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #420982=DIRECTION('',(0.,0.,1.)); #420983=DIRECTION('center_axis',(0.979997328799734,0.199010641789294,0.)); #420984=DIRECTION('ref_axis',(-0.199010641789294,0.979997328799734,0.)); #420985=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #420986=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #420987=DIRECTION('',(0.,0.,1.)); #420988=DIRECTION('center_axis',(1.,0.,0.)); #420989=DIRECTION('ref_axis',(0.,1.,0.)); #420990=DIRECTION('',(0.,1.,0.)); #420991=DIRECTION('',(0.,1.,0.)); #420992=DIRECTION('',(0.,0.,1.)); #420993=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #420994=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #420995=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #420996=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #420997=DIRECTION('',(0.,0.,1.)); #420998=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #420999=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #421000=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #421001=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #421002=DIRECTION('',(0.,0.,1.)); #421003=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #421004=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #421005=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421006=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421007=DIRECTION('',(0.,0.,1.)); #421008=DIRECTION('center_axis',(0.558856232804982,-0.829264560351534,0.)); #421009=DIRECTION('ref_axis',(0.829264560351534,0.558856232804982,0.)); #421010=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #421011=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #421012=DIRECTION('',(0.,0.,1.)); #421013=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #421014=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #421015=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #421016=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #421017=DIRECTION('',(0.,0.,1.)); #421018=DIRECTION('center_axis',(0.,-1.,0.)); #421019=DIRECTION('ref_axis',(1.,0.,0.)); #421020=DIRECTION('',(1.,0.,0.)); #421021=DIRECTION('',(1.,0.,0.)); #421022=DIRECTION('',(0.,0.,1.)); #421023=DIRECTION('center_axis',(0.14094493719135,-0.990017436553582,0.)); #421024=DIRECTION('ref_axis',(0.990017436553582,0.14094493719135,0.)); #421025=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #421026=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #421027=DIRECTION('',(0.,0.,1.)); #421028=DIRECTION('center_axis',(0.613211584811551,-0.789918699774164,0.)); #421029=DIRECTION('ref_axis',(0.789918699774164,0.613211584811551,0.)); #421030=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #421031=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #421032=DIRECTION('',(0.,0.,1.)); #421033=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #421034=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #421035=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421036=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421037=DIRECTION('',(0.,0.,1.)); #421038=DIRECTION('center_axis',(0.558856232804878,-0.829264560351605,0.)); #421039=DIRECTION('ref_axis',(0.829264560351605,0.558856232804878,0.)); #421040=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #421041=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #421042=DIRECTION('center_axis',(0.,0.,1.)); #421043=DIRECTION('ref_axis',(1.,0.,0.)); #421044=DIRECTION('center_axis',(0.,0.,1.)); #421045=DIRECTION('ref_axis',(1.,0.,0.)); #421046=DIRECTION('center_axis',(0.,0.,1.)); #421047=DIRECTION('ref_axis',(1.,0.,0.)); #421048=DIRECTION('center_axis',(0.,0.,1.)); #421049=DIRECTION('ref_axis',(1.,0.,0.)); #421050=DIRECTION('',(0.,0.,1.)); #421051=DIRECTION('center_axis',(0.,0.,-1.)); #421052=DIRECTION('ref_axis',(1.,0.,0.)); #421053=DIRECTION('center_axis',(0.,0.,1.)); #421054=DIRECTION('ref_axis',(1.,0.,0.)); #421055=DIRECTION('center_axis',(0.,0.,1.)); #421056=DIRECTION('ref_axis',(1.,0.,0.)); #421057=DIRECTION('',(0.,0.,1.)); #421058=DIRECTION('center_axis',(0.,0.,-1.)); #421059=DIRECTION('ref_axis',(1.,0.,0.)); #421060=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #421061=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #421062=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #421063=DIRECTION('',(0.,0.,1.)); #421064=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #421065=DIRECTION('',(0.,0.,1.)); #421066=DIRECTION('center_axis',(0.,0.,1.)); #421067=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #421068=DIRECTION('center_axis',(0.,0.,1.)); #421069=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #421070=DIRECTION('center_axis',(0.,0.,1.)); #421071=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #421072=DIRECTION('',(0.,0.,1.)); #421073=DIRECTION('center_axis',(-1.,0.,0.)); #421074=DIRECTION('ref_axis',(0.,-1.,0.)); #421075=DIRECTION('',(0.,-1.,0.)); #421076=DIRECTION('',(0.,-1.,0.)); #421077=DIRECTION('',(0.,0.,1.)); #421078=DIRECTION('center_axis',(0.,0.,1.)); #421079=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421080=DIRECTION('center_axis',(0.,0.,1.)); #421081=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421082=DIRECTION('center_axis',(0.,0.,1.)); #421083=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421084=DIRECTION('',(0.,0.,1.)); #421085=DIRECTION('center_axis',(0.,0.,1.)); #421086=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #421087=DIRECTION('center_axis',(0.,0.,1.)); #421088=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #421089=DIRECTION('center_axis',(0.,0.,1.)); #421090=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #421091=DIRECTION('',(0.,0.,1.)); #421092=DIRECTION('center_axis',(1.,0.,0.)); #421093=DIRECTION('ref_axis',(0.,1.,0.)); #421094=DIRECTION('',(0.,1.,0.)); #421095=DIRECTION('',(0.,1.,0.)); #421096=DIRECTION('',(0.,0.,1.)); #421097=DIRECTION('center_axis',(0.,0.,1.)); #421098=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #421099=DIRECTION('center_axis',(0.,0.,1.)); #421100=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #421101=DIRECTION('center_axis',(0.,0.,1.)); #421102=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #421103=DIRECTION('center_axis',(0.,0.,1.)); #421104=DIRECTION('ref_axis',(1.,0.,0.)); #421105=DIRECTION('center_axis',(0.,0.,1.)); #421106=DIRECTION('ref_axis',(1.,0.,0.)); #421107=DIRECTION('center_axis',(0.,0.,1.)); #421108=DIRECTION('ref_axis',(1.,0.,0.)); #421109=DIRECTION('center_axis',(0.,0.,1.)); #421110=DIRECTION('ref_axis',(1.,0.,0.)); #421111=DIRECTION('',(0.,0.,1.)); #421112=DIRECTION('center_axis',(0.,0.,-1.)); #421113=DIRECTION('ref_axis',(1.,0.,0.)); #421114=DIRECTION('center_axis',(0.,0.,1.)); #421115=DIRECTION('ref_axis',(1.,0.,0.)); #421116=DIRECTION('center_axis',(0.,0.,1.)); #421117=DIRECTION('ref_axis',(1.,0.,0.)); #421118=DIRECTION('',(0.,0.,1.)); #421119=DIRECTION('center_axis',(0.,0.,-1.)); #421120=DIRECTION('ref_axis',(1.,0.,0.)); #421121=DIRECTION('center_axis',(0.,0.,1.)); #421122=DIRECTION('ref_axis',(1.,0.,0.)); #421123=DIRECTION('center_axis',(0.,0.,1.)); #421124=DIRECTION('ref_axis',(1.,0.,0.)); #421125=DIRECTION('',(0.,0.,1.)); #421126=DIRECTION('center_axis',(0.,0.,-1.)); #421127=DIRECTION('ref_axis',(1.,0.,0.)); #421128=DIRECTION('center_axis',(0.,0.,1.)); #421129=DIRECTION('ref_axis',(1.,0.,0.)); #421130=DIRECTION('center_axis',(0.,0.,1.)); #421131=DIRECTION('ref_axis',(1.,0.,0.)); #421132=DIRECTION('',(0.,0.,1.)); #421133=DIRECTION('center_axis',(0.,0.,-1.)); #421134=DIRECTION('ref_axis',(1.,0.,0.)); #421135=DIRECTION('center_axis',(0.,0.,1.)); #421136=DIRECTION('ref_axis',(1.,0.,0.)); #421137=DIRECTION('center_axis',(0.,0.,1.)); #421138=DIRECTION('ref_axis',(1.,0.,0.)); #421139=DIRECTION('',(0.,0.,1.)); #421140=DIRECTION('center_axis',(0.,0.,-1.)); #421141=DIRECTION('ref_axis',(1.,0.,0.)); #421142=DIRECTION('center_axis',(0.,0.,1.)); #421143=DIRECTION('ref_axis',(1.,0.,0.)); #421144=DIRECTION('center_axis',(0.,0.,1.)); #421145=DIRECTION('ref_axis',(1.,0.,0.)); #421146=DIRECTION('',(0.,0.,1.)); #421147=DIRECTION('center_axis',(0.,0.,-1.)); #421148=DIRECTION('ref_axis',(1.,0.,0.)); #421149=DIRECTION('center_axis',(0.,0.,1.)); #421150=DIRECTION('ref_axis',(1.,0.,0.)); #421151=DIRECTION('center_axis',(0.,0.,1.)); #421152=DIRECTION('ref_axis',(1.,0.,0.)); #421153=DIRECTION('',(0.,0.,1.)); #421154=DIRECTION('center_axis',(0.,0.,-1.)); #421155=DIRECTION('ref_axis',(1.,0.,0.)); #421156=DIRECTION('center_axis',(0.,0.,1.)); #421157=DIRECTION('ref_axis',(1.,0.,0.)); #421158=DIRECTION('center_axis',(0.,0.,1.)); #421159=DIRECTION('ref_axis',(1.,0.,0.)); #421160=DIRECTION('',(0.,0.,1.)); #421161=DIRECTION('center_axis',(0.,0.,-1.)); #421162=DIRECTION('ref_axis',(1.,0.,0.)); #421163=DIRECTION('center_axis',(0.,0.,1.)); #421164=DIRECTION('ref_axis',(1.,0.,0.)); #421165=DIRECTION('center_axis',(0.,0.,1.)); #421166=DIRECTION('ref_axis',(1.,0.,0.)); #421167=DIRECTION('',(0.,0.,1.)); #421168=DIRECTION('center_axis',(0.,0.,-1.)); #421169=DIRECTION('ref_axis',(1.,0.,0.)); #421170=DIRECTION('center_axis',(0.,0.,1.)); #421171=DIRECTION('ref_axis',(1.,0.,0.)); #421172=DIRECTION('center_axis',(0.,0.,1.)); #421173=DIRECTION('ref_axis',(1.,0.,0.)); #421174=DIRECTION('',(0.,0.,1.)); #421175=DIRECTION('center_axis',(0.,0.,-1.)); #421176=DIRECTION('ref_axis',(1.,0.,0.)); #421177=DIRECTION('center_axis',(0.,0.,1.)); #421178=DIRECTION('ref_axis',(1.,0.,0.)); #421179=DIRECTION('center_axis',(0.,0.,1.)); #421180=DIRECTION('ref_axis',(1.,0.,0.)); #421181=DIRECTION('',(0.,0.,1.)); #421182=DIRECTION('center_axis',(0.,0.,-1.)); #421183=DIRECTION('ref_axis',(1.,0.,0.)); #421184=DIRECTION('center_axis',(0.,0.,1.)); #421185=DIRECTION('ref_axis',(1.,0.,0.)); #421186=DIRECTION('center_axis',(0.,0.,1.)); #421187=DIRECTION('ref_axis',(1.,0.,0.)); #421188=DIRECTION('',(0.,0.,1.)); #421189=DIRECTION('center_axis',(0.,0.,-1.)); #421190=DIRECTION('ref_axis',(1.,0.,0.)); #421191=DIRECTION('center_axis',(0.,0.,1.)); #421192=DIRECTION('ref_axis',(1.,0.,0.)); #421193=DIRECTION('center_axis',(0.,0.,1.)); #421194=DIRECTION('ref_axis',(1.,0.,0.)); #421195=DIRECTION('',(0.,0.,1.)); #421196=DIRECTION('center_axis',(0.,0.,-1.)); #421197=DIRECTION('ref_axis',(1.,0.,0.)); #421198=DIRECTION('center_axis',(0.,0.,1.)); #421199=DIRECTION('ref_axis',(1.,0.,0.)); #421200=DIRECTION('center_axis',(0.,0.,1.)); #421201=DIRECTION('ref_axis',(1.,0.,0.)); #421202=DIRECTION('',(0.,0.,1.)); #421203=DIRECTION('center_axis',(0.,0.,-1.)); #421204=DIRECTION('ref_axis',(1.,0.,0.)); #421205=DIRECTION('center_axis',(0.,0.,1.)); #421206=DIRECTION('ref_axis',(1.,0.,0.)); #421207=DIRECTION('center_axis',(0.,0.,1.)); #421208=DIRECTION('ref_axis',(1.,0.,0.)); #421209=DIRECTION('',(0.,0.,1.)); #421210=DIRECTION('center_axis',(0.,0.,-1.)); #421211=DIRECTION('ref_axis',(1.,0.,0.)); #421212=DIRECTION('center_axis',(0.,0.,1.)); #421213=DIRECTION('ref_axis',(1.,0.,0.)); #421214=DIRECTION('center_axis',(0.,0.,1.)); #421215=DIRECTION('ref_axis',(1.,0.,0.)); #421216=DIRECTION('',(0.,0.,1.)); #421217=DIRECTION('center_axis',(0.,0.,-1.)); #421218=DIRECTION('ref_axis',(1.,0.,0.)); #421219=DIRECTION('center_axis',(0.,0.,1.)); #421220=DIRECTION('ref_axis',(1.,0.,0.)); #421221=DIRECTION('center_axis',(0.,0.,1.)); #421222=DIRECTION('ref_axis',(1.,0.,0.)); #421223=DIRECTION('',(0.,0.,1.)); #421224=DIRECTION('center_axis',(0.,0.,-1.)); #421225=DIRECTION('ref_axis',(1.,0.,0.)); #421226=DIRECTION('center_axis',(0.,0.,1.)); #421227=DIRECTION('ref_axis',(1.,0.,0.)); #421228=DIRECTION('center_axis',(0.,0.,1.)); #421229=DIRECTION('ref_axis',(1.,0.,0.)); #421230=DIRECTION('',(0.,0.,1.)); #421231=DIRECTION('center_axis',(0.,0.,-1.)); #421232=DIRECTION('ref_axis',(1.,0.,0.)); #421233=DIRECTION('center_axis',(0.,0.,1.)); #421234=DIRECTION('ref_axis',(1.,0.,0.)); #421235=DIRECTION('center_axis',(0.,0.,1.)); #421236=DIRECTION('ref_axis',(1.,0.,0.)); #421237=DIRECTION('',(0.,0.,1.)); #421238=DIRECTION('center_axis',(0.,0.,-1.)); #421239=DIRECTION('ref_axis',(1.,0.,0.)); #421240=DIRECTION('center_axis',(0.,0.,1.)); #421241=DIRECTION('ref_axis',(1.,0.,0.)); #421242=DIRECTION('center_axis',(0.,0.,1.)); #421243=DIRECTION('ref_axis',(1.,0.,0.)); #421244=DIRECTION('',(0.,0.,1.)); #421245=DIRECTION('center_axis',(0.,0.,-1.)); #421246=DIRECTION('ref_axis',(1.,0.,0.)); #421247=DIRECTION('center_axis',(0.,0.,1.)); #421248=DIRECTION('ref_axis',(1.,0.,0.)); #421249=DIRECTION('center_axis',(0.,0.,1.)); #421250=DIRECTION('ref_axis',(1.,0.,0.)); #421251=DIRECTION('',(0.,0.,1.)); #421252=DIRECTION('center_axis',(0.,0.,-1.)); #421253=DIRECTION('ref_axis',(1.,0.,0.)); #421254=DIRECTION('center_axis',(0.,0.,1.)); #421255=DIRECTION('ref_axis',(1.,0.,0.)); #421256=DIRECTION('center_axis',(0.,0.,1.)); #421257=DIRECTION('ref_axis',(1.,0.,0.)); #421258=DIRECTION('',(0.,0.,1.)); #421259=DIRECTION('center_axis',(0.,0.,-1.)); #421260=DIRECTION('ref_axis',(1.,0.,0.)); #421261=DIRECTION('center_axis',(0.,0.,1.)); #421262=DIRECTION('ref_axis',(1.,0.,0.)); #421263=DIRECTION('center_axis',(0.,0.,1.)); #421264=DIRECTION('ref_axis',(1.,0.,0.)); #421265=DIRECTION('',(0.,0.,1.)); #421266=DIRECTION('center_axis',(0.,0.,-1.)); #421267=DIRECTION('ref_axis',(1.,0.,0.)); #421268=DIRECTION('center_axis',(0.,0.,1.)); #421269=DIRECTION('ref_axis',(1.,0.,0.)); #421270=DIRECTION('center_axis',(0.,0.,1.)); #421271=DIRECTION('ref_axis',(1.,0.,0.)); #421272=DIRECTION('',(0.,0.,1.)); #421273=DIRECTION('center_axis',(0.,0.,-1.)); #421274=DIRECTION('ref_axis',(1.,0.,0.)); #421275=DIRECTION('center_axis',(0.,0.,1.)); #421276=DIRECTION('ref_axis',(1.,0.,0.)); #421277=DIRECTION('center_axis',(0.,0.,1.)); #421278=DIRECTION('ref_axis',(1.,0.,0.)); #421279=DIRECTION('',(0.,0.,1.)); #421280=DIRECTION('center_axis',(0.,0.,-1.)); #421281=DIRECTION('ref_axis',(1.,0.,0.)); #421282=DIRECTION('center_axis',(0.,0.,1.)); #421283=DIRECTION('ref_axis',(1.,0.,0.)); #421284=DIRECTION('center_axis',(0.,0.,1.)); #421285=DIRECTION('ref_axis',(1.,0.,0.)); #421286=DIRECTION('',(0.,0.,1.)); #421287=DIRECTION('center_axis',(0.,0.,-1.)); #421288=DIRECTION('ref_axis',(1.,0.,0.)); #421289=DIRECTION('center_axis',(0.,0.,1.)); #421290=DIRECTION('ref_axis',(1.,0.,0.)); #421291=DIRECTION('center_axis',(0.,0.,1.)); #421292=DIRECTION('ref_axis',(1.,0.,0.)); #421293=DIRECTION('',(0.,0.,1.)); #421294=DIRECTION('center_axis',(0.,0.,-1.)); #421295=DIRECTION('ref_axis',(1.,0.,0.)); #421296=DIRECTION('center_axis',(0.,0.,1.)); #421297=DIRECTION('ref_axis',(1.,0.,0.)); #421298=DIRECTION('center_axis',(0.,0.,1.)); #421299=DIRECTION('ref_axis',(1.,0.,0.)); #421300=DIRECTION('',(0.,0.,1.)); #421301=DIRECTION('center_axis',(0.,0.,-1.)); #421302=DIRECTION('ref_axis',(1.,0.,0.)); #421303=DIRECTION('center_axis',(0.,0.,1.)); #421304=DIRECTION('ref_axis',(1.,0.,0.)); #421305=DIRECTION('center_axis',(0.,0.,1.)); #421306=DIRECTION('ref_axis',(1.,0.,0.)); #421307=DIRECTION('',(0.,0.,1.)); #421308=DIRECTION('center_axis',(0.,0.,-1.)); #421309=DIRECTION('ref_axis',(1.,0.,0.)); #421310=DIRECTION('center_axis',(0.,0.,1.)); #421311=DIRECTION('ref_axis',(1.,0.,0.)); #421312=DIRECTION('center_axis',(0.,0.,1.)); #421313=DIRECTION('ref_axis',(1.,0.,0.)); #421314=DIRECTION('',(0.,0.,1.)); #421315=DIRECTION('center_axis',(0.,0.,-1.)); #421316=DIRECTION('ref_axis',(1.,0.,0.)); #421317=DIRECTION('center_axis',(0.199010641789244,-0.979997328799744,0.)); #421318=DIRECTION('ref_axis',(0.979997328799744,0.199010641789244,0.)); #421319=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #421320=DIRECTION('',(0.,0.,1.)); #421321=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #421322=DIRECTION('',(0.,0.,1.)); #421323=DIRECTION('center_axis',(0.,-1.,0.)); #421324=DIRECTION('ref_axis',(1.,0.,0.)); #421325=DIRECTION('',(1.,0.,0.)); #421326=DIRECTION('',(1.,0.,0.)); #421327=DIRECTION('',(0.,0.,1.)); #421328=DIRECTION('center_axis',(-0.191194865688612,-0.981552099144164, 0.)); #421329=DIRECTION('ref_axis',(0.981552099144164,-0.191194865688612,0.)); #421330=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #421331=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #421332=DIRECTION('',(0.,0.,1.)); #421333=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #421334=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #421335=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #421336=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #421337=DIRECTION('',(0.,0.,1.)); #421338=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #421339=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #421340=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #421341=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #421342=DIRECTION('',(0.,0.,1.)); #421343=DIRECTION('center_axis',(-0.600315735780258,-0.799763100783356, 0.)); #421344=DIRECTION('ref_axis',(0.799763100783355,-0.600315735780258,0.)); #421345=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #421346=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #421347=DIRECTION('',(0.,0.,1.)); #421348=DIRECTION('center_axis',(-0.125280629073933,-0.99212134539019,0.)); #421349=DIRECTION('ref_axis',(0.99212134539019,-0.125280629073933,0.)); #421350=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #421351=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #421352=DIRECTION('',(0.,0.,1.)); #421353=DIRECTION('center_axis',(0.,-1.,0.)); #421354=DIRECTION('ref_axis',(1.,0.,0.)); #421355=DIRECTION('',(1.,0.,0.)); #421356=DIRECTION('',(1.,0.,0.)); #421357=DIRECTION('',(0.,0.,1.)); #421358=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #421359=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #421360=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #421361=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #421362=DIRECTION('',(0.,0.,1.)); #421363=DIRECTION('center_axis',(-0.552218701081331,-0.833699290017718, 0.)); #421364=DIRECTION('ref_axis',(0.833699290017718,-0.552218701081331,0.)); #421365=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #421366=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #421367=DIRECTION('',(0.,0.,1.)); #421368=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #421369=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #421370=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #421371=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #421372=DIRECTION('',(0.,0.,1.)); #421373=DIRECTION('center_axis',(-0.829264560351506,-0.558856232805025, 0.)); #421374=DIRECTION('ref_axis',(0.558856232805025,-0.829264560351506,0.)); #421375=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #421376=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #421377=DIRECTION('',(0.,0.,1.)); #421378=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #421379=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #421380=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #421381=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #421382=DIRECTION('',(0.,0.,1.)); #421383=DIRECTION('center_axis',(-1.,0.,0.)); #421384=DIRECTION('ref_axis',(0.,-1.,0.)); #421385=DIRECTION('',(0.,-1.,0.)); #421386=DIRECTION('',(0.,-1.,0.)); #421387=DIRECTION('',(0.,0.,1.)); #421388=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #421389=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #421390=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #421391=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #421392=DIRECTION('',(0.,0.,1.)); #421393=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #421394=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #421395=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #421396=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #421397=DIRECTION('',(0.,0.,1.)); #421398=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #421399=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #421400=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #421401=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #421402=DIRECTION('',(0.,0.,1.)); #421403=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #421404=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #421405=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #421406=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #421407=DIRECTION('',(0.,0.,1.)); #421408=DIRECTION('center_axis',(0.,1.,0.)); #421409=DIRECTION('ref_axis',(-1.,0.,0.)); #421410=DIRECTION('',(-1.,0.,0.)); #421411=DIRECTION('',(-1.,0.,0.)); #421412=DIRECTION('',(0.,0.,1.)); #421413=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #421414=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #421415=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421416=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421417=DIRECTION('',(0.,0.,1.)); #421418=DIRECTION('center_axis',(0.,1.,0.)); #421419=DIRECTION('ref_axis',(-1.,0.,0.)); #421420=DIRECTION('',(-1.,0.,0.)); #421421=DIRECTION('',(-1.,0.,0.)); #421422=DIRECTION('',(0.,0.,1.)); #421423=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #421424=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #421425=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #421426=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #421427=DIRECTION('',(0.,0.,1.)); #421428=DIRECTION('center_axis',(0.,1.,0.)); #421429=DIRECTION('ref_axis',(-1.,0.,0.)); #421430=DIRECTION('',(-1.,0.,0.)); #421431=DIRECTION('',(-1.,0.,0.)); #421432=DIRECTION('',(0.,0.,1.)); #421433=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #421434=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #421435=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421436=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421437=DIRECTION('',(0.,0.,1.)); #421438=DIRECTION('center_axis',(0.,1.,0.)); #421439=DIRECTION('ref_axis',(-1.,0.,0.)); #421440=DIRECTION('',(-1.,0.,0.)); #421441=DIRECTION('',(-1.,0.,0.)); #421442=DIRECTION('',(0.,0.,1.)); #421443=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #421444=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #421445=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #421446=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #421447=DIRECTION('',(0.,0.,1.)); #421448=DIRECTION('center_axis',(0.,1.,0.)); #421449=DIRECTION('ref_axis',(-1.,0.,0.)); #421450=DIRECTION('',(-1.,0.,0.)); #421451=DIRECTION('',(-1.,0.,0.)); #421452=DIRECTION('',(0.,0.,1.)); #421453=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #421454=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #421455=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421456=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #421457=DIRECTION('',(0.,0.,1.)); #421458=DIRECTION('center_axis',(0.,1.,0.)); #421459=DIRECTION('ref_axis',(-1.,0.,0.)); #421460=DIRECTION('',(-1.,0.,0.)); #421461=DIRECTION('',(-1.,0.,0.)); #421462=DIRECTION('',(0.,0.,1.)); #421463=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #421464=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #421465=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #421466=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #421467=DIRECTION('',(0.,0.,1.)); #421468=DIRECTION('center_axis',(0.,1.,0.)); #421469=DIRECTION('ref_axis',(-1.,0.,0.)); #421470=DIRECTION('',(-1.,0.,0.)); #421471=DIRECTION('',(-1.,0.,0.)); #421472=DIRECTION('',(0.,0.,1.)); #421473=DIRECTION('center_axis',(0.191180940403768,0.981554811524212,0.)); #421474=DIRECTION('ref_axis',(-0.981554811524212,0.191180940403768,0.)); #421475=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #421476=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #421477=DIRECTION('',(0.,0.,1.)); #421478=DIRECTION('center_axis',(0.,1.,0.)); #421479=DIRECTION('ref_axis',(-1.,0.,0.)); #421480=DIRECTION('',(-1.,0.,0.)); #421481=DIRECTION('',(-1.,0.,0.)); #421482=DIRECTION('',(0.,0.,1.)); #421483=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #421484=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #421485=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #421486=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #421487=DIRECTION('',(0.,0.,1.)); #421488=DIRECTION('center_axis',(0.,1.,0.)); #421489=DIRECTION('ref_axis',(-1.,0.,0.)); #421490=DIRECTION('',(-1.,0.,0.)); #421491=DIRECTION('',(-1.,0.,0.)); #421492=DIRECTION('',(0.,0.,1.)); #421493=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #421494=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #421495=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #421496=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #421497=DIRECTION('',(0.,0.,1.)); #421498=DIRECTION('center_axis',(0.552218701081128,0.833699290017853,0.)); #421499=DIRECTION('ref_axis',(-0.833699290017853,0.552218701081128,0.)); #421500=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #421501=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #421502=DIRECTION('',(0.,0.,1.)); #421503=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #421504=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #421505=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421506=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421507=DIRECTION('',(0.,0.,1.)); #421508=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #421509=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #421510=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #421511=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #421512=DIRECTION('',(0.,0.,1.)); #421513=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #421514=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #421515=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421516=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421517=DIRECTION('',(0.,0.,1.)); #421518=DIRECTION('center_axis',(1.,0.,0.)); #421519=DIRECTION('ref_axis',(0.,1.,0.)); #421520=DIRECTION('',(0.,1.,0.)); #421521=DIRECTION('',(0.,1.,0.)); #421522=DIRECTION('',(0.,0.,1.)); #421523=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #421524=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #421525=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #421526=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #421527=DIRECTION('',(0.,0.,1.)); #421528=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #421529=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #421530=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #421531=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #421532=DIRECTION('',(0.,0.,1.)); #421533=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #421534=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #421535=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421536=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421537=DIRECTION('',(0.,0.,1.)); #421538=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #421539=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #421540=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #421541=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #421542=DIRECTION('center_axis',(0.,0.,1.)); #421543=DIRECTION('ref_axis',(1.,0.,0.)); #421544=DIRECTION('center_axis',(0.,0.,1.)); #421545=DIRECTION('ref_axis',(1.,0.,0.)); #421546=DIRECTION('center_axis',(0.,0.,1.)); #421547=DIRECTION('ref_axis',(1.,0.,0.)); #421548=DIRECTION('center_axis',(0.,0.,1.)); #421549=DIRECTION('ref_axis',(1.,0.,0.)); #421550=DIRECTION('',(0.,0.,1.)); #421551=DIRECTION('center_axis',(0.,0.,-1.)); #421552=DIRECTION('ref_axis',(1.,0.,0.)); #421553=DIRECTION('center_axis',(0.,0.,1.)); #421554=DIRECTION('ref_axis',(1.,0.,0.)); #421555=DIRECTION('center_axis',(0.,0.,1.)); #421556=DIRECTION('ref_axis',(1.,0.,0.)); #421557=DIRECTION('',(0.,0.,1.)); #421558=DIRECTION('center_axis',(0.,0.,-1.)); #421559=DIRECTION('ref_axis',(1.,0.,0.)); #421560=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #421561=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #421562=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #421563=DIRECTION('',(0.,0.,1.)); #421564=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #421565=DIRECTION('',(0.,0.,1.)); #421566=DIRECTION('center_axis',(0.,0.,1.)); #421567=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #421568=DIRECTION('center_axis',(0.,0.,1.)); #421569=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #421570=DIRECTION('center_axis',(0.,0.,1.)); #421571=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #421572=DIRECTION('',(0.,0.,1.)); #421573=DIRECTION('center_axis',(-1.,0.,0.)); #421574=DIRECTION('ref_axis',(0.,-1.,0.)); #421575=DIRECTION('',(0.,-1.,0.)); #421576=DIRECTION('',(0.,-1.,0.)); #421577=DIRECTION('',(0.,0.,1.)); #421578=DIRECTION('center_axis',(0.,0.,1.)); #421579=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421580=DIRECTION('center_axis',(0.,0.,1.)); #421581=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421582=DIRECTION('center_axis',(0.,0.,1.)); #421583=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #421584=DIRECTION('',(0.,0.,1.)); #421585=DIRECTION('center_axis',(0.,0.,1.)); #421586=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #421587=DIRECTION('center_axis',(0.,0.,1.)); #421588=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #421589=DIRECTION('center_axis',(0.,0.,1.)); #421590=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #421591=DIRECTION('',(0.,0.,1.)); #421592=DIRECTION('center_axis',(1.,0.,0.)); #421593=DIRECTION('ref_axis',(0.,1.,0.)); #421594=DIRECTION('',(0.,1.,0.)); #421595=DIRECTION('',(0.,1.,0.)); #421596=DIRECTION('',(0.,0.,1.)); #421597=DIRECTION('center_axis',(0.,0.,1.)); #421598=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #421599=DIRECTION('center_axis',(0.,0.,1.)); #421600=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #421601=DIRECTION('center_axis',(0.,0.,1.)); #421602=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #421603=DIRECTION('center_axis',(0.,0.,1.)); #421604=DIRECTION('ref_axis',(1.,0.,0.)); #421605=DIRECTION('center_axis',(0.,0.,1.)); #421606=DIRECTION('ref_axis',(1.,0.,0.)); #421607=DIRECTION('center_axis',(0.,0.,1.)); #421608=DIRECTION('ref_axis',(1.,0.,0.)); #421609=DIRECTION('center_axis',(0.,0.,1.)); #421610=DIRECTION('ref_axis',(1.,0.,0.)); #421611=DIRECTION('',(0.,0.,1.)); #421612=DIRECTION('center_axis',(0.,0.,-1.)); #421613=DIRECTION('ref_axis',(1.,0.,0.)); #421614=DIRECTION('center_axis',(0.,0.,1.)); #421615=DIRECTION('ref_axis',(1.,0.,0.)); #421616=DIRECTION('center_axis',(0.,0.,1.)); #421617=DIRECTION('ref_axis',(1.,0.,0.)); #421618=DIRECTION('',(0.,0.,1.)); #421619=DIRECTION('center_axis',(0.,0.,-1.)); #421620=DIRECTION('ref_axis',(1.,0.,0.)); #421621=DIRECTION('center_axis',(0.,1.,0.)); #421622=DIRECTION('ref_axis',(-1.,0.,0.)); #421623=DIRECTION('',(-1.,0.,0.)); #421624=DIRECTION('',(0.,0.,1.)); #421625=DIRECTION('',(-1.,0.,0.)); #421626=DIRECTION('',(0.,0.,1.)); #421627=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #421628=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #421629=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #421630=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #421631=DIRECTION('',(0.,0.,1.)); #421632=DIRECTION('center_axis',(-1.,0.,0.)); #421633=DIRECTION('ref_axis',(0.,-1.,0.)); #421634=DIRECTION('',(0.,-1.,0.)); #421635=DIRECTION('',(0.,-1.,0.)); #421636=DIRECTION('',(0.,0.,1.)); #421637=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #421638=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #421639=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #421640=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #421641=DIRECTION('',(0.,0.,1.)); #421642=DIRECTION('center_axis',(0.,-1.,0.)); #421643=DIRECTION('ref_axis',(1.,0.,0.)); #421644=DIRECTION('',(1.,0.,0.)); #421645=DIRECTION('',(1.,0.,0.)); #421646=DIRECTION('',(0.,0.,1.)); #421647=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #421648=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #421649=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #421650=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #421651=DIRECTION('',(0.,0.,1.)); #421652=DIRECTION('center_axis',(1.,0.,0.)); #421653=DIRECTION('ref_axis',(0.,1.,0.)); #421654=DIRECTION('',(0.,1.,0.)); #421655=DIRECTION('',(0.,1.,0.)); #421656=DIRECTION('',(0.,0.,1.)); #421657=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #421658=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #421659=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #421660=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #421661=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #421662=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #421663=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #421664=DIRECTION('',(0.,0.,1.)); #421665=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #421666=DIRECTION('',(0.,0.,1.)); #421667=DIRECTION('center_axis',(0.,-1.,0.)); #421668=DIRECTION('ref_axis',(1.,0.,0.)); #421669=DIRECTION('',(1.,0.,0.)); #421670=DIRECTION('',(1.,0.,0.)); #421671=DIRECTION('',(0.,0.,1.)); #421672=DIRECTION('center_axis',(-0.18333553828285,-0.983050395657587,0.)); #421673=DIRECTION('ref_axis',(0.983050395657587,-0.18333553828285,0.)); #421674=DIRECTION('',(0.983050395657587,-0.18333553828285,0.)); #421675=DIRECTION('',(0.983050395657587,-0.18333553828285,0.)); #421676=DIRECTION('',(0.,0.,1.)); #421677=DIRECTION('center_axis',(-0.538914460439825,-0.84236049547023,0.)); #421678=DIRECTION('ref_axis',(0.84236049547023,-0.538914460439825,0.)); #421679=DIRECTION('',(0.84236049547023,-0.538914460439825,0.)); #421680=DIRECTION('',(0.84236049547023,-0.538914460439825,0.)); #421681=DIRECTION('',(0.,0.,1.)); #421682=DIRECTION('center_axis',(-0.82476628744185,-0.565473758099692,0.)); #421683=DIRECTION('ref_axis',(0.565473758099692,-0.82476628744185,0.)); #421684=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #421685=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #421686=DIRECTION('',(0.,0.,1.)); #421687=DIRECTION('center_axis',(-0.979997328799675,-0.199010641789581, 0.)); #421688=DIRECTION('ref_axis',(0.199010641789581,-0.979997328799675,0.)); #421689=DIRECTION('',(0.199010641789581,-0.979997328799675,0.)); #421690=DIRECTION('',(0.199010641789581,-0.979997328799675,0.)); #421691=DIRECTION('',(0.,0.,1.)); #421692=DIRECTION('center_axis',(-1.,0.,0.)); #421693=DIRECTION('ref_axis',(0.,-1.,0.)); #421694=DIRECTION('',(0.,-1.,0.)); #421695=DIRECTION('',(0.,-1.,0.)); #421696=DIRECTION('',(0.,0.,1.)); #421697=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #421698=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #421699=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #421700=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #421701=DIRECTION('',(0.,0.,1.)); #421702=DIRECTION('center_axis',(-0.842360495470216,0.538914460439848,0.)); #421703=DIRECTION('ref_axis',(-0.538914460439848,-0.842360495470216,0.)); #421704=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #421705=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #421706=DIRECTION('',(0.,0.,1.)); #421707=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #421708=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #421709=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #421710=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #421711=DIRECTION('',(0.,0.,1.)); #421712=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #421713=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #421714=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #421715=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #421716=DIRECTION('',(0.,0.,1.)); #421717=DIRECTION('center_axis',(0.,1.,0.)); #421718=DIRECTION('ref_axis',(-1.,0.,0.)); #421719=DIRECTION('',(-1.,0.,0.)); #421720=DIRECTION('',(-1.,0.,0.)); #421721=DIRECTION('',(0.,0.,1.)); #421722=DIRECTION('center_axis',(0.191194865688666,0.981552099144153,0.)); #421723=DIRECTION('ref_axis',(-0.981552099144153,0.191194865688666,0.)); #421724=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #421725=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #421726=DIRECTION('',(0.,0.,1.)); #421727=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #421728=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #421729=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #421730=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #421731=DIRECTION('',(0.,0.,1.)); #421732=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #421733=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #421734=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421735=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421736=DIRECTION('',(0.,0.,1.)); #421737=DIRECTION('center_axis',(0.600315735781037,0.799763100782771,0.)); #421738=DIRECTION('ref_axis',(-0.799763100782771,0.600315735781037,0.)); #421739=DIRECTION('',(-0.799763100782771,0.600315735781037,0.)); #421740=DIRECTION('',(-0.799763100782771,0.600315735781037,0.)); #421741=DIRECTION('',(0.,0.,1.)); #421742=DIRECTION('center_axis',(0.125280629073318,0.992121345390267,0.)); #421743=DIRECTION('ref_axis',(-0.992121345390267,0.125280629073318,0.)); #421744=DIRECTION('',(-0.992121345390267,0.125280629073318,0.)); #421745=DIRECTION('',(-0.992121345390267,0.125280629073318,0.)); #421746=DIRECTION('',(0.,0.,1.)); #421747=DIRECTION('center_axis',(0.,1.,0.)); #421748=DIRECTION('ref_axis',(-1.,0.,0.)); #421749=DIRECTION('',(-1.,0.,0.)); #421750=DIRECTION('',(-1.,0.,0.)); #421751=DIRECTION('',(0.,0.,1.)); #421752=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #421753=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #421754=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #421755=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #421756=DIRECTION('',(0.,0.,1.)); #421757=DIRECTION('center_axis',(0.538928498327753,0.842351514327714,0.)); #421758=DIRECTION('ref_axis',(-0.842351514327714,0.538928498327753,0.)); #421759=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #421760=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #421761=DIRECTION('',(0.,0.,1.)); #421762=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #421763=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #421764=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #421765=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #421766=DIRECTION('',(0.,0.,1.)); #421767=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #421768=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #421769=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421770=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421771=DIRECTION('',(0.,0.,1.)); #421772=DIRECTION('center_axis',(1.,0.,0.)); #421773=DIRECTION('ref_axis',(0.,1.,0.)); #421774=DIRECTION('',(0.,1.,0.)); #421775=DIRECTION('',(0.,1.,0.)); #421776=DIRECTION('',(0.,0.,1.)); #421777=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #421778=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #421779=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421780=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #421781=DIRECTION('',(0.,0.,1.)); #421782=DIRECTION('center_axis',(0.,1.,0.)); #421783=DIRECTION('ref_axis',(-1.,0.,0.)); #421784=DIRECTION('',(-1.,0.,0.)); #421785=DIRECTION('',(-1.,0.,0.)); #421786=DIRECTION('',(0.,0.,1.)); #421787=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #421788=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #421789=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #421790=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #421791=DIRECTION('',(0.,0.,1.)); #421792=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #421793=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #421794=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #421795=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #421796=DIRECTION('',(0.,0.,1.)); #421797=DIRECTION('center_axis',(-0.214594192212067,0.976703298176499,0.)); #421798=DIRECTION('ref_axis',(-0.976703298176499,-0.214594192212067,0.)); #421799=DIRECTION('',(-0.976703298176499,-0.214594192212067,0.)); #421800=DIRECTION('',(-0.976703298176499,-0.214594192212067,0.)); #421801=DIRECTION('',(0.,0.,1.)); #421802=DIRECTION('center_axis',(0.183380618657162,0.983041987252282,0.)); #421803=DIRECTION('ref_axis',(-0.983041987252282,0.183380618657162,0.)); #421804=DIRECTION('',(-0.983041987252282,0.183380618657162,0.)); #421805=DIRECTION('',(-0.983041987252282,0.183380618657162,0.)); #421806=DIRECTION('',(0.,0.,1.)); #421807=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #421808=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #421809=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #421810=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #421811=DIRECTION('',(0.,0.,1.)); #421812=DIRECTION('center_axis',(0.707106781186562,0.707106781186533,0.)); #421813=DIRECTION('ref_axis',(-0.707106781186533,0.707106781186562,0.)); #421814=DIRECTION('',(-0.707106781186533,0.707106781186562,0.)); #421815=DIRECTION('',(-0.707106781186533,0.707106781186562,0.)); #421816=DIRECTION('',(0.,0.,1.)); #421817=DIRECTION('center_axis',(0.,1.,0.)); #421818=DIRECTION('ref_axis',(-1.,0.,0.)); #421819=DIRECTION('',(-1.,0.,0.)); #421820=DIRECTION('',(-1.,0.,0.)); #421821=DIRECTION('',(0.,0.,1.)); #421822=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #421823=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #421824=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #421825=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #421826=DIRECTION('',(0.,0.,1.)); #421827=DIRECTION('center_axis',(-1.,0.,0.)); #421828=DIRECTION('ref_axis',(0.,-1.,0.)); #421829=DIRECTION('',(0.,-1.,0.)); #421830=DIRECTION('',(0.,-1.,0.)); #421831=DIRECTION('',(0.,0.,1.)); #421832=DIRECTION('center_axis',(-0.707108968966173,-0.707104593400154, 0.)); #421833=DIRECTION('ref_axis',(0.707104593400154,-0.707108968966173,0.)); #421834=DIRECTION('',(0.707104593400154,-0.707108968966173,0.)); #421835=DIRECTION('',(0.707104593400154,-0.707108968966173,0.)); #421836=DIRECTION('',(0.,0.,1.)); #421837=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #421838=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #421839=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #421840=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #421841=DIRECTION('',(0.,0.,1.)); #421842=DIRECTION('center_axis',(-0.979998112340232,-0.199006783325552, 0.)); #421843=DIRECTION('ref_axis',(0.199006783325552,-0.979998112340231,0.)); #421844=DIRECTION('',(0.199006783325552,-0.979998112340231,0.)); #421845=DIRECTION('',(0.199006783325552,-0.979998112340231,0.)); #421846=DIRECTION('',(0.,0.,1.)); #421847=DIRECTION('center_axis',(-1.,0.,0.)); #421848=DIRECTION('ref_axis',(0.,-1.,0.)); #421849=DIRECTION('',(0.,-1.,0.)); #421850=DIRECTION('',(0.,-1.,0.)); #421851=DIRECTION('',(0.,0.,1.)); #421852=DIRECTION('center_axis',(-0.983050395657573,0.183335538282925,0.)); #421853=DIRECTION('ref_axis',(-0.183335538282925,-0.983050395657573,0.)); #421854=DIRECTION('',(-0.183335538282925,-0.983050395657573,0.)); #421855=DIRECTION('',(-0.183335538282925,-0.983050395657573,0.)); #421856=DIRECTION('',(0.,0.,1.)); #421857=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #421858=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #421859=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #421860=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #421861=DIRECTION('',(0.,0.,1.)); #421862=DIRECTION('center_axis',(-0.565473758099692,0.82476628744185,0.)); #421863=DIRECTION('ref_axis',(-0.82476628744185,-0.565473758099692,0.)); #421864=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #421865=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #421866=DIRECTION('',(0.,0.,1.)); #421867=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #421868=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #421869=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #421870=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #421871=DIRECTION('',(0.,0.,1.)); #421872=DIRECTION('center_axis',(0.,1.,0.)); #421873=DIRECTION('ref_axis',(-1.,0.,0.)); #421874=DIRECTION('',(-1.,0.,0.)); #421875=DIRECTION('',(-1.,0.,0.)); #421876=DIRECTION('',(0.,0.,1.)); #421877=DIRECTION('center_axis',(0.183314787014884,0.983054265471488,0.)); #421878=DIRECTION('ref_axis',(-0.983054265471488,0.183314787014884,0.)); #421879=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #421880=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #421881=DIRECTION('',(0.,0.,1.)); #421882=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #421883=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #421884=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #421885=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #421886=DIRECTION('',(0.,0.,1.)); #421887=DIRECTION('center_axis',(0.824766287441809,0.565473758099751,0.)); #421888=DIRECTION('ref_axis',(-0.565473758099751,0.824766287441808,0.)); #421889=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #421890=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #421891=DIRECTION('',(0.,0.,1.)); #421892=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #421893=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #421894=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421895=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #421896=DIRECTION('',(0.,0.,1.)); #421897=DIRECTION('center_axis',(1.,0.,0.)); #421898=DIRECTION('ref_axis',(0.,1.,0.)); #421899=DIRECTION('',(0.,1.,0.)); #421900=DIRECTION('',(0.,1.,0.)); #421901=DIRECTION('',(0.,0.,1.)); #421902=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #421903=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #421904=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #421905=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #421906=DIRECTION('',(0.,0.,1.)); #421907=DIRECTION('center_axis',(0.833693256958937,-0.552227809242888,0.)); #421908=DIRECTION('ref_axis',(0.552227809242888,0.833693256958937,0.)); #421909=DIRECTION('',(0.552227809242888,0.833693256958937,0.)); #421910=DIRECTION('',(0.552227809242888,0.833693256958937,0.)); #421911=DIRECTION('',(0.,0.,1.)); #421912=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #421913=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #421914=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421915=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #421916=DIRECTION('',(0.,0.,1.)); #421917=DIRECTION('center_axis',(1.,0.,0.)); #421918=DIRECTION('ref_axis',(0.,1.,0.)); #421919=DIRECTION('',(0.,1.,0.)); #421920=DIRECTION('',(0.,1.,0.)); #421921=DIRECTION('',(0.,0.,1.)); #421922=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #421923=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #421924=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #421925=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #421926=DIRECTION('',(0.,0.,1.)); #421927=DIRECTION('center_axis',(0.842351514327609,-0.538928498327917,0.)); #421928=DIRECTION('ref_axis',(0.538928498327917,0.842351514327609,0.)); #421929=DIRECTION('',(0.538928498327917,0.842351514327609,0.)); #421930=DIRECTION('',(0.538928498327917,0.842351514327609,0.)); #421931=DIRECTION('',(0.,0.,1.)); #421932=DIRECTION('center_axis',(0.565473758099774,-0.824766287441793,0.)); #421933=DIRECTION('ref_axis',(0.824766287441793,0.565473758099774,0.)); #421934=DIRECTION('',(0.824766287441793,0.565473758099774,0.)); #421935=DIRECTION('',(0.824766287441793,0.565473758099774,0.)); #421936=DIRECTION('',(0.,0.,1.)); #421937=DIRECTION('center_axis',(0.199010641789378,-0.979997328799717,0.)); #421938=DIRECTION('ref_axis',(0.979997328799717,0.199010641789378,0.)); #421939=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #421940=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #421941=DIRECTION('',(0.,0.,1.)); #421942=DIRECTION('center_axis',(0.,-1.,0.)); #421943=DIRECTION('ref_axis',(1.,0.,0.)); #421944=DIRECTION('',(1.,0.,0.)); #421945=DIRECTION('',(1.,0.,0.)); #421946=DIRECTION('',(0.,0.,1.)); #421947=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #421948=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #421949=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #421950=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #421951=DIRECTION('',(0.,0.,1.)); #421952=DIRECTION('center_axis',(1.,0.,0.)); #421953=DIRECTION('ref_axis',(0.,1.,0.)); #421954=DIRECTION('',(0.,1.,0.)); #421955=DIRECTION('',(0.,1.,0.)); #421956=DIRECTION('',(0.,0.,1.)); #421957=DIRECTION('center_axis',(0.983050395657604,-0.183335538282758,0.)); #421958=DIRECTION('ref_axis',(0.183335538282758,0.983050395657604,0.)); #421959=DIRECTION('',(0.183335538282758,0.983050395657604,0.)); #421960=DIRECTION('',(0.183335538282758,0.983050395657604,0.)); #421961=DIRECTION('',(0.,0.,1.)); #421962=DIRECTION('center_axis',(0.842360495470256,-0.538914460439786,0.)); #421963=DIRECTION('ref_axis',(0.538914460439786,0.842360495470256,0.)); #421964=DIRECTION('',(0.538914460439786,0.842360495470256,0.)); #421965=DIRECTION('',(0.538914460439786,0.842360495470256,0.)); #421966=DIRECTION('',(0.,0.,1.)); #421967=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #421968=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #421969=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #421970=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #421971=DIRECTION('',(0.,0.,1.)); #421972=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #421973=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #421974=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #421975=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #421976=DIRECTION('',(0.,0.,1.)); #421977=DIRECTION('center_axis',(0.,-1.,0.)); #421978=DIRECTION('ref_axis',(1.,0.,0.)); #421979=DIRECTION('',(1.,0.,0.)); #421980=DIRECTION('',(1.,0.,0.)); #421981=DIRECTION('',(0.,0.,1.)); #421982=DIRECTION('center_axis',(0.140944937191828,-0.990017436553514,0.)); #421983=DIRECTION('ref_axis',(0.990017436553514,0.140944937191828,0.)); #421984=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #421985=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #421986=DIRECTION('',(0.,0.,1.)); #421987=DIRECTION('center_axis',(0.613211584810861,-0.7899186997747,0.)); #421988=DIRECTION('ref_axis',(0.7899186997747,0.613211584810861,0.)); #421989=DIRECTION('',(0.7899186997747,0.613211584810861,0.)); #421990=DIRECTION('',(0.7899186997747,0.613211584810861,0.)); #421991=DIRECTION('',(0.,0.,1.)); #421992=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #421993=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #421994=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #421995=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #421996=DIRECTION('',(0.,0.,1.)); #421997=DIRECTION('center_axis',(0.558856232804877,-0.829264560351605,0.)); #421998=DIRECTION('ref_axis',(0.829264560351605,0.558856232804877,0.)); #421999=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #422000=DIRECTION('',(0.829264560351605,0.558856232804877,0.)); #422001=DIRECTION('',(0.,0.,1.)); #422002=DIRECTION('center_axis',(0.199010641789345,-0.979997328799723,0.)); #422003=DIRECTION('ref_axis',(0.979997328799723,0.199010641789345,0.)); #422004=DIRECTION('',(0.979997328799723,0.199010641789345,0.)); #422005=DIRECTION('',(0.979997328799723,0.199010641789345,0.)); #422006=DIRECTION('',(0.,0.,1.)); #422007=DIRECTION('center_axis',(0.,-1.,0.)); #422008=DIRECTION('ref_axis',(1.,0.,0.)); #422009=DIRECTION('',(1.,0.,0.)); #422010=DIRECTION('',(1.,0.,0.)); #422011=DIRECTION('',(0.,0.,1.)); #422012=DIRECTION('center_axis',(-0.183335538282724,-0.98305039565761,0.)); #422013=DIRECTION('ref_axis',(0.98305039565761,-0.183335538282724,0.)); #422014=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #422015=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #422016=DIRECTION('',(0.,0.,1.)); #422017=DIRECTION('center_axis',(-0.538914460439888,-0.84236049547019,0.)); #422018=DIRECTION('ref_axis',(0.84236049547019,-0.538914460439888,0.)); #422019=DIRECTION('',(0.84236049547019,-0.538914460439888,0.)); #422020=DIRECTION('',(0.84236049547019,-0.538914460439888,0.)); #422021=DIRECTION('',(0.,0.,1.)); #422022=DIRECTION('center_axis',(-0.824766287441821,-0.565473758099733, 0.)); #422023=DIRECTION('ref_axis',(0.565473758099733,-0.824766287441821,0.)); #422024=DIRECTION('',(0.565473758099733,-0.824766287441821,0.)); #422025=DIRECTION('',(0.565473758099733,-0.824766287441821,0.)); #422026=DIRECTION('',(0.,0.,1.)); #422027=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #422028=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #422029=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #422030=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #422031=DIRECTION('',(0.,0.,1.)); #422032=DIRECTION('center_axis',(-1.,0.,0.)); #422033=DIRECTION('ref_axis',(0.,-1.,0.)); #422034=DIRECTION('',(0.,-1.,0.)); #422035=DIRECTION('',(0.,-1.,0.)); #422036=DIRECTION('',(0.,0.,1.)); #422037=DIRECTION('center_axis',(-0.923882765954851,-0.382675626048503, 0.)); #422038=DIRECTION('ref_axis',(0.382675626048503,-0.923882765954851,0.)); #422039=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #422040=DIRECTION('',(0.382675626048503,-0.923882765954851,0.)); #422041=DIRECTION('',(0.,0.,1.)); #422042=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #422043=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #422044=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #422045=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #422046=DIRECTION('',(0.,0.,1.)); #422047=DIRECTION('center_axis',(-0.382675626048509,-0.923882765954849, 0.)); #422048=DIRECTION('ref_axis',(0.923882765954849,-0.382675626048509,0.)); #422049=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #422050=DIRECTION('',(0.923882765954849,-0.382675626048509,0.)); #422051=DIRECTION('',(0.,0.,1.)); #422052=DIRECTION('center_axis',(0.,-1.,0.)); #422053=DIRECTION('ref_axis',(1.,0.,0.)); #422054=DIRECTION('',(1.,0.,0.)); #422055=DIRECTION('',(1.,0.,0.)); #422056=DIRECTION('',(0.,0.,1.)); #422057=DIRECTION('center_axis',(0.382675626048513,-0.923882765954847,0.)); #422058=DIRECTION('ref_axis',(0.923882765954847,0.382675626048513,0.)); #422059=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #422060=DIRECTION('',(0.923882765954847,0.382675626048513,0.)); #422061=DIRECTION('',(0.,0.,1.)); #422062=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #422063=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #422064=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #422065=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #422066=DIRECTION('',(0.,0.,1.)); #422067=DIRECTION('center_axis',(0.923882765954847,-0.382675626048513,0.)); #422068=DIRECTION('ref_axis',(0.382675626048513,0.923882765954847,0.)); #422069=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #422070=DIRECTION('',(0.382675626048513,0.923882765954847,0.)); #422071=DIRECTION('',(0.,0.,1.)); #422072=DIRECTION('center_axis',(1.,0.,0.)); #422073=DIRECTION('ref_axis',(0.,1.,0.)); #422074=DIRECTION('',(0.,1.,0.)); #422075=DIRECTION('',(0.,1.,0.)); #422076=DIRECTION('',(0.,0.,1.)); #422077=DIRECTION('center_axis',(0.923882765954847,0.382675626048513,0.)); #422078=DIRECTION('ref_axis',(-0.382675626048513,0.923882765954847,0.)); #422079=DIRECTION('',(-0.382675626048513,0.923882765954847,0.)); #422080=DIRECTION('',(-0.382675626048513,0.923882765954847,0.)); #422081=DIRECTION('',(0.,0.,1.)); #422082=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #422083=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #422084=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #422085=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #422086=DIRECTION('',(0.,0.,1.)); #422087=DIRECTION('center_axis',(0.382686034972598,0.923878454471664,0.)); #422088=DIRECTION('ref_axis',(-0.923878454471664,0.382686034972598,0.)); #422089=DIRECTION('',(-0.923878454471664,0.382686034972598,0.)); #422090=DIRECTION('',(-0.923878454471664,0.382686034972598,0.)); #422091=DIRECTION('',(0.,0.,1.)); #422092=DIRECTION('center_axis',(0.548908529075708,0.835882423973577,0.)); #422093=DIRECTION('ref_axis',(-0.835882423973577,0.548908529075708,0.)); #422094=DIRECTION('',(-0.835882423973577,0.548908529075708,0.)); #422095=DIRECTION('',(-0.835882423973577,0.548908529075708,0.)); #422096=DIRECTION('',(0.,0.,1.)); #422097=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #422098=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #422099=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #422100=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #422101=DIRECTION('',(0.,0.,1.)); #422102=DIRECTION('center_axis',(0.979997328799713,0.199010641789396,0.)); #422103=DIRECTION('ref_axis',(-0.199010641789396,0.979997328799713,0.)); #422104=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #422105=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #422106=DIRECTION('',(0.,0.,1.)); #422107=DIRECTION('center_axis',(1.,0.,0.)); #422108=DIRECTION('ref_axis',(0.,1.,0.)); #422109=DIRECTION('',(0.,1.,0.)); #422110=DIRECTION('',(0.,1.,0.)); #422111=DIRECTION('',(0.,0.,1.)); #422112=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #422113=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #422114=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #422115=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #422116=DIRECTION('',(0.,0.,1.)); #422117=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #422118=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #422119=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #422120=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #422121=DIRECTION('',(0.,0.,1.)); #422122=DIRECTION('center_axis',(0.565473758099692,-0.82476628744185,0.)); #422123=DIRECTION('ref_axis',(0.82476628744185,0.565473758099692,0.)); #422124=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #422125=DIRECTION('',(0.82476628744185,0.565473758099692,0.)); #422126=DIRECTION('center_axis',(0.,0.,1.)); #422127=DIRECTION('ref_axis',(1.,0.,0.)); #422128=DIRECTION('center_axis',(0.,0.,1.)); #422129=DIRECTION('ref_axis',(1.,0.,0.)); #422130=DIRECTION('center_axis',(0.,0.,1.)); #422131=DIRECTION('ref_axis',(1.,0.,0.)); #422132=DIRECTION('center_axis',(0.,0.,1.)); #422133=DIRECTION('ref_axis',(1.,0.,0.)); #422134=DIRECTION('',(0.,0.,1.)); #422135=DIRECTION('center_axis',(0.,0.,-1.)); #422136=DIRECTION('ref_axis',(1.,0.,0.)); #422137=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #422138=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #422139=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #422140=DIRECTION('',(0.,0.,1.)); #422141=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #422142=DIRECTION('',(0.,0.,1.)); #422143=DIRECTION('center_axis',(0.,0.,1.)); #422144=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #422145=DIRECTION('center_axis',(0.,0.,1.)); #422146=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #422147=DIRECTION('center_axis',(0.,0.,1.)); #422148=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #422149=DIRECTION('',(0.,0.,1.)); #422150=DIRECTION('center_axis',(0.,0.,1.)); #422151=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #422152=DIRECTION('center_axis',(0.,0.,1.)); #422153=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #422154=DIRECTION('center_axis',(0.,0.,1.)); #422155=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #422156=DIRECTION('center_axis',(0.,0.,1.)); #422157=DIRECTION('ref_axis',(1.,0.,0.)); #422158=DIRECTION('center_axis',(0.,0.,1.)); #422159=DIRECTION('ref_axis',(1.,0.,0.)); #422160=DIRECTION('center_axis',(0.,0.,1.)); #422161=DIRECTION('ref_axis',(1.,0.,0.)); #422162=DIRECTION('center_axis',(0.,0.,1.)); #422163=DIRECTION('ref_axis',(1.,0.,0.)); #422164=DIRECTION('',(0.,0.,1.)); #422165=DIRECTION('center_axis',(0.,0.,-1.)); #422166=DIRECTION('ref_axis',(1.,0.,0.)); #422167=DIRECTION('center_axis',(0.,0.,1.)); #422168=DIRECTION('ref_axis',(1.,0.,0.)); #422169=DIRECTION('center_axis',(0.,0.,1.)); #422170=DIRECTION('ref_axis',(1.,0.,0.)); #422171=DIRECTION('',(0.,0.,1.)); #422172=DIRECTION('center_axis',(0.,0.,-1.)); #422173=DIRECTION('ref_axis',(1.,0.,0.)); #422174=DIRECTION('center_axis',(0.,0.,1.)); #422175=DIRECTION('ref_axis',(1.,0.,0.)); #422176=DIRECTION('center_axis',(0.,0.,1.)); #422177=DIRECTION('ref_axis',(1.,0.,0.)); #422178=DIRECTION('',(0.,0.,1.)); #422179=DIRECTION('center_axis',(0.,0.,-1.)); #422180=DIRECTION('ref_axis',(1.,0.,0.)); #422181=DIRECTION('center_axis',(0.,0.,1.)); #422182=DIRECTION('ref_axis',(1.,0.,0.)); #422183=DIRECTION('center_axis',(0.,0.,1.)); #422184=DIRECTION('ref_axis',(1.,0.,0.)); #422185=DIRECTION('',(0.,0.,1.)); #422186=DIRECTION('center_axis',(0.,0.,-1.)); #422187=DIRECTION('ref_axis',(1.,0.,0.)); #422188=DIRECTION('center_axis',(0.,0.,1.)); #422189=DIRECTION('ref_axis',(1.,0.,0.)); #422190=DIRECTION('center_axis',(0.,0.,1.)); #422191=DIRECTION('ref_axis',(1.,0.,0.)); #422192=DIRECTION('',(0.,0.,1.)); #422193=DIRECTION('center_axis',(0.,0.,-1.)); #422194=DIRECTION('ref_axis',(1.,0.,0.)); #422195=DIRECTION('center_axis',(0.,0.,1.)); #422196=DIRECTION('ref_axis',(1.,0.,0.)); #422197=DIRECTION('center_axis',(0.,0.,1.)); #422198=DIRECTION('ref_axis',(1.,0.,0.)); #422199=DIRECTION('',(0.,0.,1.)); #422200=DIRECTION('center_axis',(0.,0.,-1.)); #422201=DIRECTION('ref_axis',(1.,0.,0.)); #422202=DIRECTION('center_axis',(0.,0.,1.)); #422203=DIRECTION('ref_axis',(1.,0.,0.)); #422204=DIRECTION('center_axis',(0.,0.,1.)); #422205=DIRECTION('ref_axis',(1.,0.,0.)); #422206=DIRECTION('',(0.,0.,1.)); #422207=DIRECTION('center_axis',(0.,0.,-1.)); #422208=DIRECTION('ref_axis',(1.,0.,0.)); #422209=DIRECTION('center_axis',(0.,0.,1.)); #422210=DIRECTION('ref_axis',(1.,0.,0.)); #422211=DIRECTION('center_axis',(0.,0.,1.)); #422212=DIRECTION('ref_axis',(1.,0.,0.)); #422213=DIRECTION('',(0.,0.,1.)); #422214=DIRECTION('center_axis',(0.,0.,-1.)); #422215=DIRECTION('ref_axis',(1.,0.,0.)); #422216=DIRECTION('center_axis',(0.,0.,1.)); #422217=DIRECTION('ref_axis',(1.,0.,0.)); #422218=DIRECTION('center_axis',(0.,0.,1.)); #422219=DIRECTION('ref_axis',(1.,0.,0.)); #422220=DIRECTION('',(0.,0.,1.)); #422221=DIRECTION('center_axis',(0.,0.,-1.)); #422222=DIRECTION('ref_axis',(1.,0.,0.)); #422223=DIRECTION('center_axis',(0.,0.,1.)); #422224=DIRECTION('ref_axis',(1.,0.,0.)); #422225=DIRECTION('center_axis',(0.,0.,1.)); #422226=DIRECTION('ref_axis',(1.,0.,0.)); #422227=DIRECTION('',(0.,0.,1.)); #422228=DIRECTION('center_axis',(0.,0.,-1.)); #422229=DIRECTION('ref_axis',(1.,0.,0.)); #422230=DIRECTION('center_axis',(0.,0.,1.)); #422231=DIRECTION('ref_axis',(1.,0.,0.)); #422232=DIRECTION('center_axis',(0.,0.,1.)); #422233=DIRECTION('ref_axis',(1.,0.,0.)); #422234=DIRECTION('',(0.,0.,1.)); #422235=DIRECTION('center_axis',(0.,0.,-1.)); #422236=DIRECTION('ref_axis',(1.,0.,0.)); #422237=DIRECTION('center_axis',(0.,0.,1.)); #422238=DIRECTION('ref_axis',(1.,0.,0.)); #422239=DIRECTION('center_axis',(0.,0.,1.)); #422240=DIRECTION('ref_axis',(1.,0.,0.)); #422241=DIRECTION('',(0.,0.,1.)); #422242=DIRECTION('center_axis',(0.,0.,-1.)); #422243=DIRECTION('ref_axis',(1.,0.,0.)); #422244=DIRECTION('center_axis',(0.,0.,1.)); #422245=DIRECTION('ref_axis',(1.,0.,0.)); #422246=DIRECTION('center_axis',(0.,0.,1.)); #422247=DIRECTION('ref_axis',(1.,0.,0.)); #422248=DIRECTION('',(0.,0.,1.)); #422249=DIRECTION('center_axis',(0.,0.,-1.)); #422250=DIRECTION('ref_axis',(1.,0.,0.)); #422251=DIRECTION('center_axis',(0.,0.,1.)); #422252=DIRECTION('ref_axis',(1.,0.,0.)); #422253=DIRECTION('center_axis',(0.,0.,1.)); #422254=DIRECTION('ref_axis',(1.,0.,0.)); #422255=DIRECTION('',(0.,0.,1.)); #422256=DIRECTION('center_axis',(0.,0.,-1.)); #422257=DIRECTION('ref_axis',(1.,0.,0.)); #422258=DIRECTION('center_axis',(0.,0.,1.)); #422259=DIRECTION('ref_axis',(1.,0.,0.)); #422260=DIRECTION('center_axis',(0.,0.,1.)); #422261=DIRECTION('ref_axis',(1.,0.,0.)); #422262=DIRECTION('',(0.,0.,1.)); #422263=DIRECTION('center_axis',(0.,0.,-1.)); #422264=DIRECTION('ref_axis',(1.,0.,0.)); #422265=DIRECTION('center_axis',(0.,1.,0.)); #422266=DIRECTION('ref_axis',(-1.,0.,0.)); #422267=DIRECTION('',(-1.,0.,0.)); #422268=DIRECTION('',(0.,0.,1.)); #422269=DIRECTION('',(-1.,0.,0.)); #422270=DIRECTION('',(0.,0.,1.)); #422271=DIRECTION('center_axis',(-0.707106223895493,0.707107338477163,0.)); #422272=DIRECTION('ref_axis',(-0.707107338477163,-0.707106223895493,0.)); #422273=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #422274=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #422275=DIRECTION('',(0.,0.,1.)); #422276=DIRECTION('center_axis',(-0.981547402803208,0.191218974085413,0.)); #422277=DIRECTION('ref_axis',(-0.191218974085413,-0.981547402803208,0.)); #422278=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #422279=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #422280=DIRECTION('',(0.,0.,1.)); #422281=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #422282=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #422283=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #422284=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #422285=DIRECTION('',(0.,0.,1.)); #422286=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #422287=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #422288=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #422289=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #422290=DIRECTION('',(0.,0.,1.)); #422291=DIRECTION('center_axis',(-0.983046411280577,0.183356901338289,0.)); #422292=DIRECTION('ref_axis',(-0.183356901338289,-0.983046411280577,0.)); #422293=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #422294=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #422295=DIRECTION('',(0.,0.,1.)); #422296=DIRECTION('center_axis',(-0.842361070051274,0.538913562328944,0.)); #422297=DIRECTION('ref_axis',(-0.538913562328944,-0.842361070051274,0.)); #422298=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #422299=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #422300=DIRECTION('',(0.,0.,1.)); #422301=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #422302=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #422303=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #422304=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #422305=DIRECTION('',(0.,0.,1.)); #422306=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #422307=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #422308=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #422309=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #422310=DIRECTION('',(0.,0.,1.)); #422311=DIRECTION('center_axis',(0.,1.,0.)); #422312=DIRECTION('ref_axis',(-1.,0.,0.)); #422313=DIRECTION('',(-1.,0.,0.)); #422314=DIRECTION('',(-1.,0.,0.)); #422315=DIRECTION('',(0.,0.,1.)); #422316=DIRECTION('center_axis',(0.183325162679494,0.983052330615485,0.)); #422317=DIRECTION('ref_axis',(-0.983052330615485,0.183325162679494,0.)); #422318=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #422319=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #422320=DIRECTION('',(0.,0.,1.)); #422321=DIRECTION('center_axis',(0.538922883226426,0.842355106789836,0.)); #422322=DIRECTION('ref_axis',(-0.842355106789836,0.538922883226426,0.)); #422323=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #422324=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #422325=DIRECTION('',(0.,0.,1.)); #422326=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #422327=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #422328=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #422329=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #422330=DIRECTION('',(0.,0.,1.)); #422331=DIRECTION('center_axis',(0.979997642221407,0.199009098386189,0.)); #422332=DIRECTION('ref_axis',(-0.199009098386189,0.979997642221407,0.)); #422333=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #422334=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #422335=DIRECTION('',(0.,0.,1.)); #422336=DIRECTION('center_axis',(1.,0.,0.)); #422337=DIRECTION('ref_axis',(0.,1.,0.)); #422338=DIRECTION('',(0.,1.,0.)); #422339=DIRECTION('',(0.,1.,0.)); #422340=DIRECTION('',(0.,0.,1.)); #422341=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #422342=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #422343=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #422344=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #422345=DIRECTION('',(0.,0.,1.)); #422346=DIRECTION('center_axis',(0.,1.,0.)); #422347=DIRECTION('ref_axis',(-1.,0.,0.)); #422348=DIRECTION('',(-1.,0.,0.)); #422349=DIRECTION('',(-1.,0.,0.)); #422350=DIRECTION('',(0.,0.,1.)); #422351=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #422352=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #422353=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #422354=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #422355=DIRECTION('',(0.,0.,1.)); #422356=DIRECTION('center_axis',(-1.,0.,0.)); #422357=DIRECTION('ref_axis',(0.,-1.,0.)); #422358=DIRECTION('',(0.,-1.,0.)); #422359=DIRECTION('',(0.,-1.,0.)); #422360=DIRECTION('',(0.,0.,1.)); #422361=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #422362=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #422363=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #422364=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #422365=DIRECTION('',(0.,0.,1.)); #422366=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #422367=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #422368=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #422369=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #422370=DIRECTION('',(0.,0.,1.)); #422371=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #422372=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #422373=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #422374=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #422375=DIRECTION('',(0.,0.,1.)); #422376=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #422377=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #422378=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #422379=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #422380=DIRECTION('',(0.,0.,1.)); #422381=DIRECTION('center_axis',(0.,1.,0.)); #422382=DIRECTION('ref_axis',(-1.,0.,0.)); #422383=DIRECTION('',(-1.,0.,0.)); #422384=DIRECTION('',(-1.,0.,0.)); #422385=DIRECTION('',(0.,0.,1.)); #422386=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #422387=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #422388=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #422389=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #422390=DIRECTION('',(0.,0.,1.)); #422391=DIRECTION('center_axis',(-1.,0.,0.)); #422392=DIRECTION('ref_axis',(0.,-1.,0.)); #422393=DIRECTION('',(0.,-1.,0.)); #422394=DIRECTION('',(0.,-1.,0.)); #422395=DIRECTION('',(0.,0.,1.)); #422396=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #422397=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #422398=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #422399=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #422400=DIRECTION('',(0.,0.,1.)); #422401=DIRECTION('center_axis',(0.,-1.,0.)); #422402=DIRECTION('ref_axis',(1.,0.,0.)); #422403=DIRECTION('',(1.,0.,0.)); #422404=DIRECTION('',(1.,0.,0.)); #422405=DIRECTION('',(0.,0.,1.)); #422406=DIRECTION('center_axis',(-0.183325162679477,-0.983052330615488, 0.)); #422407=DIRECTION('ref_axis',(0.983052330615488,-0.183325162679477,0.)); #422408=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #422409=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #422410=DIRECTION('',(0.,0.,1.)); #422411=DIRECTION('center_axis',(-0.538922883226506,-0.842355106789785, 0.)); #422412=DIRECTION('ref_axis',(0.842355106789785,-0.538922883226506,0.)); #422413=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #422414=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #422415=DIRECTION('',(0.,0.,1.)); #422416=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #422417=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #422418=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #422419=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #422420=DIRECTION('',(0.,0.,1.)); #422421=DIRECTION('center_axis',(-0.97999476232571,-0.199023279578483,0.)); #422422=DIRECTION('ref_axis',(0.199023279578483,-0.97999476232571,0.)); #422423=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #422424=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #422425=DIRECTION('',(0.,0.,1.)); #422426=DIRECTION('center_axis',(-0.707106914500758,-0.707106647872312, 0.)); #422427=DIRECTION('ref_axis',(0.707106647872312,-0.707106914500758,0.)); #422428=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #422429=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #422430=DIRECTION('',(0.,0.,1.)); #422431=DIRECTION('center_axis',(-0.829263274014836,-0.558858141544162, 0.)); #422432=DIRECTION('ref_axis',(0.558858141544162,-0.829263274014836,0.)); #422433=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #422434=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #422435=DIRECTION('',(0.,0.,1.)); #422436=DIRECTION('center_axis',(-0.980003252157574,-0.198981470897615, 0.)); #422437=DIRECTION('ref_axis',(0.198981470897615,-0.980003252157574,0.)); #422438=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #422439=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #422440=DIRECTION('',(0.,0.,1.)); #422441=DIRECTION('center_axis',(-0.707105952009906,-0.707107610362217, 0.)); #422442=DIRECTION('ref_axis',(0.707107610362217,-0.707105952009906,0.)); #422443=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #422444=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #422445=DIRECTION('',(0.,0.,1.)); #422446=DIRECTION('center_axis',(0.,-1.,0.)); #422447=DIRECTION('ref_axis',(1.,0.,0.)); #422448=DIRECTION('',(1.,0.,0.)); #422449=DIRECTION('',(1.,0.,0.)); #422450=DIRECTION('',(0.,0.,1.)); #422451=DIRECTION('center_axis',(0.707105674414369,-0.707107887956994,0.)); #422452=DIRECTION('ref_axis',(0.707107887956994,0.707105674414369,0.)); #422453=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #422454=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #422455=DIRECTION('',(0.,0.,1.)); #422456=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #422457=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #422458=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #422459=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #422460=DIRECTION('',(0.,0.,1.)); #422461=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #422462=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #422463=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #422464=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #422465=DIRECTION('',(0.,0.,1.)); #422466=DIRECTION('center_axis',(0.707107181308491,-0.707106381064377,0.)); #422467=DIRECTION('ref_axis',(0.707106381064377,0.707107181308491,0.)); #422468=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #422469=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #422470=DIRECTION('',(0.,0.,1.)); #422471=DIRECTION('center_axis',(0.983057183131156,-0.183299139916796,0.)); #422472=DIRECTION('ref_axis',(0.183299139916796,0.983057183131156,0.)); #422473=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #422474=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #422475=DIRECTION('',(0.,0.,1.)); #422476=DIRECTION('center_axis',(0.84235266353456,-0.538926702099861,0.)); #422477=DIRECTION('ref_axis',(0.538926702099861,0.84235266353456,0.)); #422478=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #422479=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #422480=DIRECTION('',(0.,0.,1.)); #422481=DIRECTION('center_axis',(0.565462991527481,-0.824773669083096,0.)); #422482=DIRECTION('ref_axis',(0.824773669083096,0.565462991527481,0.)); #422483=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #422484=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #422485=DIRECTION('',(0.,0.,1.)); #422486=DIRECTION('center_axis',(0.199018242080749,-0.979995785357819,0.)); #422487=DIRECTION('ref_axis',(0.979995785357819,0.199018242080749,0.)); #422488=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #422489=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #422490=DIRECTION('',(0.,0.,1.)); #422491=DIRECTION('center_axis',(0.,-1.,0.)); #422492=DIRECTION('ref_axis',(1.,0.,0.)); #422493=DIRECTION('',(1.,0.,0.)); #422494=DIRECTION('',(1.,0.,0.)); #422495=DIRECTION('',(0.,0.,1.)); #422496=DIRECTION('center_axis',(-0.183325162679494,-0.983052330615485, 0.)); #422497=DIRECTION('ref_axis',(0.983052330615485,-0.183325162679494,0.)); #422498=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #422499=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #422500=DIRECTION('',(0.,0.,1.)); #422501=DIRECTION('center_axis',(-0.538922883226466,-0.84235510678981,0.)); #422502=DIRECTION('ref_axis',(0.842355106789811,-0.538922883226466,0.)); #422503=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #422504=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #422505=DIRECTION('',(0.,0.,1.)); #422506=DIRECTION('center_axis',(-0.82476312428972,-0.565478371657007,0.)); #422507=DIRECTION('ref_axis',(0.565478371657007,-0.82476312428972,0.)); #422508=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #422509=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #422510=DIRECTION('',(0.,0.,1.)); #422511=DIRECTION('center_axis',(-0.979997642221406,-0.199009098386194, 0.)); #422512=DIRECTION('ref_axis',(0.199009098386194,-0.979997642221406,0.)); #422513=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #422514=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #422515=DIRECTION('',(0.,0.,1.)); #422516=DIRECTION('center_axis',(-1.,0.,0.)); #422517=DIRECTION('ref_axis',(0.,-1.,0.)); #422518=DIRECTION('',(0.,-1.,0.)); #422519=DIRECTION('',(0.,-1.,0.)); #422520=DIRECTION('',(0.,0.,1.)); #422521=DIRECTION('center_axis',(-0.707106216327399,-0.707107346045245, 0.)); #422522=DIRECTION('ref_axis',(0.707107346045245,-0.707106216327399,0.)); #422523=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #422524=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #422525=DIRECTION('',(0.,0.,1.)); #422526=DIRECTION('center_axis',(0.,-1.,0.)); #422527=DIRECTION('ref_axis',(1.,0.,0.)); #422528=DIRECTION('',(1.,0.,0.)); #422529=DIRECTION('',(1.,0.,0.)); #422530=DIRECTION('',(0.,0.,1.)); #422531=DIRECTION('center_axis',(0.707105674414375,-0.707107887956987,0.)); #422532=DIRECTION('ref_axis',(0.707107887956987,0.707105674414375,0.)); #422533=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #422534=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #422535=DIRECTION('',(0.,0.,1.)); #422536=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #422537=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #422538=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #422539=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #422540=DIRECTION('',(0.,0.,1.)); #422541=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #422542=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #422543=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #422544=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #422545=DIRECTION('',(0.,0.,1.)); #422546=DIRECTION('center_axis',(0.707106915106718,-0.707106647266351,0.)); #422547=DIRECTION('ref_axis',(0.707106647266351,0.707106915106718,0.)); #422548=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #422549=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #422550=DIRECTION('',(0.,0.,1.)); #422551=DIRECTION('center_axis',(1.,0.,0.)); #422552=DIRECTION('ref_axis',(0.,1.,0.)); #422553=DIRECTION('',(0.,1.,0.)); #422554=DIRECTION('',(0.,1.,0.)); #422555=DIRECTION('',(0.,0.,1.)); #422556=DIRECTION('center_axis',(0.981552475550526,-0.191192933291566,0.)); #422557=DIRECTION('ref_axis',(0.191192933291566,0.981552475550526,0.)); #422558=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #422559=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #422560=DIRECTION('',(0.,0.,1.)); #422561=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #422562=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #422563=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #422564=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #422565=DIRECTION('',(0.,0.,1.)); #422566=DIRECTION('center_axis',(0.707107747098281,-0.707105815273494,0.)); #422567=DIRECTION('ref_axis',(0.707105815273494,0.707107747098281,0.)); #422568=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #422569=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #422570=DIRECTION('',(0.,0.,1.)); #422571=DIRECTION('center_axis',(1.,0.,0.)); #422572=DIRECTION('ref_axis',(0.,1.,0.)); #422573=DIRECTION('',(0.,1.,0.)); #422574=DIRECTION('',(0.,1.,0.)); #422575=DIRECTION('',(0.,0.,1.)); #422576=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #422577=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #422578=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #422579=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #422580=DIRECTION('',(0.,0.,1.)); #422581=DIRECTION('center_axis',(0.,1.,0.)); #422582=DIRECTION('ref_axis',(-1.,0.,0.)); #422583=DIRECTION('',(-1.,0.,0.)); #422584=DIRECTION('',(-1.,0.,0.)); #422585=DIRECTION('',(0.,0.,1.)); #422586=DIRECTION('center_axis',(0.18332516267946,0.983052330615491,0.)); #422587=DIRECTION('ref_axis',(-0.983052330615491,0.18332516267946,0.)); #422588=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #422589=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #422590=DIRECTION('',(0.,0.,1.)); #422591=DIRECTION('center_axis',(0.538922883226546,0.842355106789759,0.)); #422592=DIRECTION('ref_axis',(-0.842355106789759,0.538922883226546,0.)); #422593=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #422594=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #422595=DIRECTION('',(0.,0.,1.)); #422596=DIRECTION('center_axis',(0.824763124289713,0.565478371657017,0.)); #422597=DIRECTION('ref_axis',(-0.565478371657017,0.824763124289713,0.)); #422598=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #422599=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #422600=DIRECTION('',(0.,0.,1.)); #422601=DIRECTION('center_axis',(0.980002573405197,0.198984813790377,0.)); #422602=DIRECTION('ref_axis',(-0.198984813790377,0.980002573405197,0.)); #422603=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #422604=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #422605=DIRECTION('',(0.,0.,1.)); #422606=DIRECTION('center_axis',(0.707106913231119,0.707106649141952,0.)); #422607=DIRECTION('ref_axis',(-0.707106649141952,0.707106913231119,0.)); #422608=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #422609=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #422610=DIRECTION('',(0.,0.,1.)); #422611=DIRECTION('center_axis',(0.82926327401478,0.558858141544246,0.)); #422612=DIRECTION('ref_axis',(-0.558858141544246,0.82926327401478,0.)); #422613=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #422614=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #422615=DIRECTION('',(0.,0.,1.)); #422616=DIRECTION('center_axis',(0.97998976395989,0.199047890051212,0.)); #422617=DIRECTION('ref_axis',(-0.199047890051212,0.97998976395989,0.)); #422618=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #422619=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #422620=DIRECTION('',(0.,0.,1.)); #422621=DIRECTION('center_axis',(0.707106641963205,0.707106920409862,0.)); #422622=DIRECTION('ref_axis',(-0.707106920409862,0.707106641963205,0.)); #422623=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #422624=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #422625=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #422626=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #422627=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #422628=DIRECTION('',(0.,0.,1.)); #422629=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #422630=DIRECTION('',(0.,0.,1.)); #422631=DIRECTION('center_axis',(0.,-1.,0.)); #422632=DIRECTION('ref_axis',(1.,0.,0.)); #422633=DIRECTION('',(1.,0.,0.)); #422634=DIRECTION('',(1.,0.,0.)); #422635=DIRECTION('',(0.,0.,1.)); #422636=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #422637=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #422638=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #422639=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #422640=DIRECTION('',(0.,0.,1.)); #422641=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #422642=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #422643=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #422644=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #422645=DIRECTION('',(0.,0.,1.)); #422646=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #422647=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #422648=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #422649=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #422650=DIRECTION('',(0.,0.,1.)); #422651=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #422652=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #422653=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #422654=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #422655=DIRECTION('',(0.,0.,1.)); #422656=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #422657=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #422658=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #422659=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #422660=DIRECTION('',(0.,0.,1.)); #422661=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #422662=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #422663=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #422664=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #422665=DIRECTION('',(0.,0.,1.)); #422666=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #422667=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #422668=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #422669=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #422670=DIRECTION('',(0.,0.,1.)); #422671=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #422672=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #422673=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #422674=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #422675=DIRECTION('',(0.,0.,1.)); #422676=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #422677=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #422678=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #422679=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #422680=DIRECTION('',(0.,0.,1.)); #422681=DIRECTION('center_axis',(-1.,0.,0.)); #422682=DIRECTION('ref_axis',(0.,-1.,0.)); #422683=DIRECTION('',(0.,-1.,0.)); #422684=DIRECTION('',(0.,-1.,0.)); #422685=DIRECTION('',(0.,0.,1.)); #422686=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #422687=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #422688=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #422689=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #422690=DIRECTION('',(0.,0.,1.)); #422691=DIRECTION('center_axis',(-0.707105741061306,-0.707107821310259, 0.)); #422692=DIRECTION('ref_axis',(0.707107821310259,-0.707105741061306,0.)); #422693=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #422694=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #422695=DIRECTION('',(0.,0.,1.)); #422696=DIRECTION('center_axis',(0.,-1.,0.)); #422697=DIRECTION('ref_axis',(1.,0.,0.)); #422698=DIRECTION('',(1.,0.,0.)); #422699=DIRECTION('',(1.,0.,0.)); #422700=DIRECTION('',(0.,0.,1.)); #422701=DIRECTION('center_axis',(-1.,0.,0.)); #422702=DIRECTION('ref_axis',(0.,-1.,0.)); #422703=DIRECTION('',(0.,-1.,0.)); #422704=DIRECTION('',(0.,-1.,0.)); #422705=DIRECTION('',(0.,0.,1.)); #422706=DIRECTION('center_axis',(-0.548937724798032,-0.835863250952906, 0.)); #422707=DIRECTION('ref_axis',(0.835863250952906,-0.548937724798032,0.)); #422708=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #422709=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #422710=DIRECTION('',(0.,0.,1.)); #422711=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #422712=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #422713=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #422714=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #422715=DIRECTION('',(0.,0.,1.)); #422716=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #422717=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #422718=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #422719=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #422720=DIRECTION('',(0.,0.,1.)); #422721=DIRECTION('center_axis',(-1.,0.,0.)); #422722=DIRECTION('ref_axis',(0.,-1.,0.)); #422723=DIRECTION('',(0.,-1.,0.)); #422724=DIRECTION('',(0.,-1.,0.)); #422725=DIRECTION('',(0.,0.,1.)); #422726=DIRECTION('center_axis',(-0.996419931532321,-0.0845418242358526, 0.)); #422727=DIRECTION('ref_axis',(0.0845418242358526,-0.99641993153232,0.)); #422728=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #422729=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #422730=DIRECTION('',(0.,0.,1.)); #422731=DIRECTION('center_axis',(-0.0856837252998089,0.996322387191388, 0.)); #422732=DIRECTION('ref_axis',(-0.996322387191388,-0.0856837252998089,0.)); #422733=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #422734=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #422735=DIRECTION('',(0.,0.,1.)); #422736=DIRECTION('center_axis',(-0.991936465488069,-0.126736137052682, 0.)); #422737=DIRECTION('ref_axis',(0.126736137052682,-0.991936465488069,0.)); #422738=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #422739=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #422740=DIRECTION('',(0.,0.,1.)); #422741=DIRECTION('center_axis',(-1.,0.,0.)); #422742=DIRECTION('ref_axis',(0.,-1.,0.)); #422743=DIRECTION('',(0.,-1.,0.)); #422744=DIRECTION('',(0.,-1.,0.)); #422745=DIRECTION('',(0.,0.,1.)); #422746=DIRECTION('center_axis',(-0.979998539742343,-0.199004678595443, 0.)); #422747=DIRECTION('ref_axis',(0.199004678595443,-0.979998539742343,0.)); #422748=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #422749=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #422750=DIRECTION('',(0.,0.,1.)); #422751=DIRECTION('center_axis',(-1.,0.,0.)); #422752=DIRECTION('ref_axis',(0.,-1.,0.)); #422753=DIRECTION('',(0.,-1.,0.)); #422754=DIRECTION('',(0.,-1.,0.)); #422755=DIRECTION('',(0.,0.,1.)); #422756=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #422757=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #422758=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #422759=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #422760=DIRECTION('',(0.,0.,1.)); #422761=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #422762=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #422763=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #422764=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #422765=DIRECTION('',(0.,0.,1.)); #422766=DIRECTION('center_axis',(0.,-1.,0.)); #422767=DIRECTION('ref_axis',(1.,0.,0.)); #422768=DIRECTION('',(1.,0.,0.)); #422769=DIRECTION('',(1.,0.,0.)); #422770=DIRECTION('',(0.,0.,1.)); #422771=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #422772=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #422773=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #422774=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #422775=DIRECTION('',(0.,0.,1.)); #422776=DIRECTION('center_axis',(0.,-1.,0.)); #422777=DIRECTION('ref_axis',(1.,0.,0.)); #422778=DIRECTION('',(1.,0.,0.)); #422779=DIRECTION('',(1.,0.,0.)); #422780=DIRECTION('',(0.,0.,1.)); #422781=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #422782=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #422783=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #422784=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #422785=DIRECTION('',(0.,0.,1.)); #422786=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #422787=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #422788=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #422789=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #422790=DIRECTION('',(0.,0.,1.)); #422791=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #422792=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #422793=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #422794=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #422795=DIRECTION('',(0.,0.,1.)); #422796=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #422797=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #422798=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #422799=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #422800=DIRECTION('',(0.,0.,1.)); #422801=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #422802=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #422803=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #422804=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #422805=DIRECTION('',(0.,0.,1.)); #422806=DIRECTION('center_axis',(-1.,0.,0.)); #422807=DIRECTION('ref_axis',(0.,-1.,0.)); #422808=DIRECTION('',(0.,-1.,0.)); #422809=DIRECTION('',(0.,-1.,0.)); #422810=DIRECTION('',(0.,0.,1.)); #422811=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #422812=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #422813=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #422814=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #422815=DIRECTION('',(0.,0.,1.)); #422816=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #422817=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #422818=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #422819=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #422820=DIRECTION('',(0.,0.,1.)); #422821=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #422822=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #422823=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #422824=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #422825=DIRECTION('',(0.,0.,1.)); #422826=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #422827=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #422828=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #422829=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #422830=DIRECTION('',(0.,0.,1.)); #422831=DIRECTION('center_axis',(0.,1.,0.)); #422832=DIRECTION('ref_axis',(-1.,0.,0.)); #422833=DIRECTION('',(-1.,0.,0.)); #422834=DIRECTION('',(-1.,0.,0.)); #422835=DIRECTION('',(0.,0.,1.)); #422836=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #422837=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #422838=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #422839=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #422840=DIRECTION('',(0.,0.,1.)); #422841=DIRECTION('center_axis',(-0.558865776601971,0.829258128536028,0.)); #422842=DIRECTION('ref_axis',(-0.829258128536028,-0.558865776601971,0.)); #422843=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #422844=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #422845=DIRECTION('',(0.,0.,1.)); #422846=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #422847=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #422848=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #422849=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #422850=DIRECTION('',(0.,0.,1.)); #422851=DIRECTION('center_axis',(0.,1.,0.)); #422852=DIRECTION('ref_axis',(-1.,0.,0.)); #422853=DIRECTION('',(-1.,0.,0.)); #422854=DIRECTION('',(-1.,0.,0.)); #422855=DIRECTION('',(0.,0.,1.)); #422856=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #422857=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #422858=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #422859=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #422860=DIRECTION('',(0.,0.,1.)); #422861=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #422862=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #422863=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #422864=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #422865=DIRECTION('',(0.,0.,1.)); #422866=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #422867=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #422868=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #422869=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #422870=DIRECTION('',(0.,0.,1.)); #422871=DIRECTION('center_axis',(0.,1.,0.)); #422872=DIRECTION('ref_axis',(-1.,0.,0.)); #422873=DIRECTION('',(-1.,0.,0.)); #422874=DIRECTION('',(-1.,0.,0.)); #422875=DIRECTION('',(0.,0.,1.)); #422876=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #422877=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #422878=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #422879=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #422880=DIRECTION('',(0.,0.,1.)); #422881=DIRECTION('center_axis',(-1.,0.,0.)); #422882=DIRECTION('ref_axis',(0.,-1.,0.)); #422883=DIRECTION('',(0.,-1.,0.)); #422884=DIRECTION('',(0.,-1.,0.)); #422885=DIRECTION('',(0.,0.,1.)); #422886=DIRECTION('center_axis',(-0.983050395657588,0.183335538282842,0.)); #422887=DIRECTION('ref_axis',(-0.183335538282842,-0.983050395657588,0.)); #422888=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #422889=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #422890=DIRECTION('',(0.,0.,1.)); #422891=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #422892=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #422893=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #422894=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #422895=DIRECTION('',(0.,0.,1.)); #422896=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #422897=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #422898=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #422899=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #422900=DIRECTION('',(0.,0.,1.)); #422901=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #422902=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #422903=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #422904=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #422905=DIRECTION('',(0.,0.,1.)); #422906=DIRECTION('center_axis',(0.,1.,0.)); #422907=DIRECTION('ref_axis',(-1.,0.,0.)); #422908=DIRECTION('',(-1.,0.,0.)); #422909=DIRECTION('',(-1.,0.,0.)); #422910=DIRECTION('',(0.,0.,1.)); #422911=DIRECTION('center_axis',(0.191194865688666,0.981552099144153,0.)); #422912=DIRECTION('ref_axis',(-0.981552099144153,0.191194865688666,0.)); #422913=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #422914=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #422915=DIRECTION('',(0.,0.,1.)); #422916=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #422917=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #422918=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #422919=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #422920=DIRECTION('',(0.,0.,1.)); #422921=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #422922=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #422923=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #422924=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #422925=DIRECTION('',(0.,0.,1.)); #422926=DIRECTION('center_axis',(0.829263917184865,0.558857187173265,0.)); #422927=DIRECTION('ref_axis',(-0.558857187173265,0.829263917184865,0.)); #422928=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #422929=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #422930=DIRECTION('',(0.,0.,1.)); #422931=DIRECTION('center_axis',(0.979997642221389,0.199009098386274,0.)); #422932=DIRECTION('ref_axis',(-0.199009098386274,0.97999764222139,0.)); #422933=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #422934=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #422935=DIRECTION('',(0.,0.,1.)); #422936=DIRECTION('center_axis',(1.,0.,0.)); #422937=DIRECTION('ref_axis',(0.,1.,0.)); #422938=DIRECTION('',(0.,1.,0.)); #422939=DIRECTION('',(0.,1.,0.)); #422940=DIRECTION('',(0.,0.,1.)); #422941=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #422942=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #422943=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #422944=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #422945=DIRECTION('',(0.,0.,1.)); #422946=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #422947=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #422948=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #422949=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #422950=DIRECTION('',(0.,0.,1.)); #422951=DIRECTION('center_axis',(0.707106948269843,-0.707106614103213,0.)); #422952=DIRECTION('ref_axis',(0.707106614103213,0.707106948269843,0.)); #422953=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #422954=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #422955=DIRECTION('',(0.,0.,1.)); #422956=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #422957=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #422958=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #422959=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #422960=DIRECTION('',(0.,0.,1.)); #422961=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #422962=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #422963=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #422964=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #422965=DIRECTION('',(0.,0.,1.)); #422966=DIRECTION('center_axis',(0.,-1.,0.)); #422967=DIRECTION('ref_axis',(1.,0.,0.)); #422968=DIRECTION('',(1.,0.,0.)); #422969=DIRECTION('',(1.,0.,0.)); #422970=DIRECTION('',(0.,0.,1.)); #422971=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #422972=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #422973=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #422974=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #422975=DIRECTION('',(0.,0.,1.)); #422976=DIRECTION('center_axis',(0.,-1.,0.)); #422977=DIRECTION('ref_axis',(1.,0.,0.)); #422978=DIRECTION('',(1.,0.,0.)); #422979=DIRECTION('',(1.,0.,0.)); #422980=DIRECTION('',(0.,0.,1.)); #422981=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #422982=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #422983=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #422984=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #422985=DIRECTION('',(0.,0.,1.)); #422986=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #422987=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #422988=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #422989=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #422990=DIRECTION('',(0.,0.,1.)); #422991=DIRECTION('center_axis',(1.,0.,0.)); #422992=DIRECTION('ref_axis',(0.,1.,0.)); #422993=DIRECTION('',(0.,1.,0.)); #422994=DIRECTION('',(0.,1.,0.)); #422995=DIRECTION('',(0.,0.,1.)); #422996=DIRECTION('center_axis',(0.981554520366865,-0.191182435248046,0.)); #422997=DIRECTION('ref_axis',(0.191182435248046,0.981554520366865,0.)); #422998=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #422999=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #423000=DIRECTION('',(0.,0.,1.)); #423001=DIRECTION('center_axis',(1.,0.,0.)); #423002=DIRECTION('ref_axis',(0.,1.,0.)); #423003=DIRECTION('',(0.,1.,0.)); #423004=DIRECTION('',(0.,1.,0.)); #423005=DIRECTION('',(0.,0.,1.)); #423006=DIRECTION('center_axis',(0.998535975735964,-0.0540916367013057, 0.)); #423007=DIRECTION('ref_axis',(0.0540916367013057,0.998535975735964,0.)); #423008=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #423009=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #423010=DIRECTION('',(0.,0.,1.)); #423011=DIRECTION('center_axis',(0.994136450140409,-0.108132874290041,0.)); #423012=DIRECTION('ref_axis',(0.108132874290041,0.994136450140409,0.)); #423013=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #423014=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #423015=DIRECTION('',(0.,0.,1.)); #423016=DIRECTION('center_axis',(1.,0.,0.)); #423017=DIRECTION('ref_axis',(0.,1.,0.)); #423018=DIRECTION('',(0.,1.,0.)); #423019=DIRECTION('',(0.,1.,0.)); #423020=DIRECTION('',(0.,0.,1.)); #423021=DIRECTION('center_axis',(0.982315373407576,-0.187233830188712,0.)); #423022=DIRECTION('ref_axis',(0.187233830188712,0.982315373407576,0.)); #423023=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #423024=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #423025=DIRECTION('',(0.,0.,1.)); #423026=DIRECTION('center_axis',(0.838042095378286,-0.545605577660247,0.)); #423027=DIRECTION('ref_axis',(0.545605577660247,0.838042095378286,0.)); #423028=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #423029=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #423030=DIRECTION('',(0.,0.,1.)); #423031=DIRECTION('center_axis',(0.558867245542021,-0.829257138564556,0.)); #423032=DIRECTION('ref_axis',(0.829257138564556,0.558867245542021,0.)); #423033=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #423034=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #423035=DIRECTION('',(0.,0.,1.)); #423036=DIRECTION('center_axis',(1.,0.,0.)); #423037=DIRECTION('ref_axis',(0.,1.,0.)); #423038=DIRECTION('',(0.,1.,0.)); #423039=DIRECTION('',(0.,1.,0.)); #423040=DIRECTION('',(0.,0.,1.)); #423041=DIRECTION('center_axis',(0.,-1.,0.)); #423042=DIRECTION('ref_axis',(1.,0.,0.)); #423043=DIRECTION('',(1.,0.,0.)); #423044=DIRECTION('',(1.,0.,0.)); #423045=DIRECTION('',(0.,0.,1.)); #423046=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #423047=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #423048=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #423049=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #423050=DIRECTION('',(0.,0.,1.)); #423051=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #423052=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #423053=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #423054=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #423055=DIRECTION('',(0.,0.,1.)); #423056=DIRECTION('center_axis',(1.,0.,0.)); #423057=DIRECTION('ref_axis',(0.,1.,0.)); #423058=DIRECTION('',(0.,1.,0.)); #423059=DIRECTION('',(0.,1.,0.)); #423060=DIRECTION('',(0.,0.,1.)); #423061=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #423062=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #423063=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #423064=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #423065=DIRECTION('',(0.,0.,1.)); #423066=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #423067=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #423068=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #423069=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #423070=DIRECTION('',(0.,0.,1.)); #423071=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #423072=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #423073=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #423074=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #423075=DIRECTION('',(0.,0.,1.)); #423076=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #423077=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #423078=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #423079=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #423080=DIRECTION('',(0.,0.,1.)); #423081=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #423082=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #423083=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #423084=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #423085=DIRECTION('',(0.,0.,1.)); #423086=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #423087=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #423088=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #423089=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #423090=DIRECTION('',(0.,0.,1.)); #423091=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #423092=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #423093=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #423094=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #423095=DIRECTION('',(0.,0.,1.)); #423096=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #423097=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #423098=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #423099=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #423100=DIRECTION('center_axis',(0.,0.,1.)); #423101=DIRECTION('ref_axis',(1.,0.,0.)); #423102=DIRECTION('center_axis',(0.,0.,1.)); #423103=DIRECTION('ref_axis',(1.,0.,0.)); #423104=DIRECTION('center_axis',(0.,0.,1.)); #423105=DIRECTION('ref_axis',(1.,0.,0.)); #423106=DIRECTION('center_axis',(0.,0.,1.)); #423107=DIRECTION('ref_axis',(1.,0.,0.)); #423108=DIRECTION('',(0.,0.,1.)); #423109=DIRECTION('center_axis',(0.,0.,-1.)); #423110=DIRECTION('ref_axis',(1.,0.,0.)); #423111=DIRECTION('center_axis',(0.,0.,1.)); #423112=DIRECTION('ref_axis',(1.,0.,0.)); #423113=DIRECTION('center_axis',(0.,0.,1.)); #423114=DIRECTION('ref_axis',(1.,0.,0.)); #423115=DIRECTION('',(0.,0.,1.)); #423116=DIRECTION('center_axis',(0.,0.,-1.)); #423117=DIRECTION('ref_axis',(1.,0.,0.)); #423118=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #423119=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #423120=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #423121=DIRECTION('',(0.,0.,1.)); #423122=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #423123=DIRECTION('',(0.,0.,1.)); #423124=DIRECTION('center_axis',(0.,0.,1.)); #423125=DIRECTION('ref_axis',(-0.953425737718336,0.301627854576208,0.)); #423126=DIRECTION('center_axis',(0.,0.,1.)); #423127=DIRECTION('ref_axis',(-0.953425737718336,0.301627854576208,0.)); #423128=DIRECTION('center_axis',(0.,0.,1.)); #423129=DIRECTION('ref_axis',(-0.953425737718336,0.301627854576208,0.)); #423130=DIRECTION('',(0.,0.,1.)); #423131=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423132=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423133=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423134=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423135=DIRECTION('',(0.,0.,1.)); #423136=DIRECTION('center_axis',(0.,-1.,0.)); #423137=DIRECTION('ref_axis',(1.,0.,0.)); #423138=DIRECTION('',(1.,0.,0.)); #423139=DIRECTION('',(1.,0.,0.)); #423140=DIRECTION('',(0.,0.,1.)); #423141=DIRECTION('center_axis',(0.,0.,1.)); #423142=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #423143=DIRECTION('center_axis',(0.,0.,1.)); #423144=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #423145=DIRECTION('center_axis',(0.,0.,1.)); #423146=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #423147=DIRECTION('',(0.,0.,1.)); #423148=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423149=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423150=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423151=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423152=DIRECTION('',(0.,0.,1.)); #423153=DIRECTION('center_axis',(0.,-1.,0.)); #423154=DIRECTION('ref_axis',(1.,0.,0.)); #423155=DIRECTION('',(1.,0.,0.)); #423156=DIRECTION('',(1.,0.,0.)); #423157=DIRECTION('',(0.,0.,1.)); #423158=DIRECTION('center_axis',(0.,0.,1.)); #423159=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423160=DIRECTION('center_axis',(0.,0.,1.)); #423161=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423162=DIRECTION('center_axis',(0.,0.,1.)); #423163=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423164=DIRECTION('',(0.,0.,1.)); #423165=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423166=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423167=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #423168=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #423169=DIRECTION('',(0.,0.,1.)); #423170=DIRECTION('center_axis',(0.,0.,1.)); #423171=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #423172=DIRECTION('center_axis',(0.,0.,1.)); #423173=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #423174=DIRECTION('center_axis',(0.,0.,1.)); #423175=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #423176=DIRECTION('',(0.,0.,1.)); #423177=DIRECTION('center_axis',(-1.,0.,0.)); #423178=DIRECTION('ref_axis',(0.,-1.,0.)); #423179=DIRECTION('',(0.,-1.,0.)); #423180=DIRECTION('',(0.,-1.,0.)); #423181=DIRECTION('',(0.,0.,1.)); #423182=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423183=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423184=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423185=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423186=DIRECTION('',(0.,0.,1.)); #423187=DIRECTION('center_axis',(0.,-1.,0.)); #423188=DIRECTION('ref_axis',(1.,0.,0.)); #423189=DIRECTION('',(1.,0.,0.)); #423190=DIRECTION('',(1.,0.,0.)); #423191=DIRECTION('',(0.,0.,1.)); #423192=DIRECTION('center_axis',(0.,0.,1.)); #423193=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423194=DIRECTION('center_axis',(0.,0.,1.)); #423195=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423196=DIRECTION('center_axis',(0.,0.,1.)); #423197=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #423198=DIRECTION('',(0.,0.,1.)); #423199=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #423200=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #423201=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #423202=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #423203=DIRECTION('',(0.,0.,1.)); #423204=DIRECTION('center_axis',(0.,0.,1.)); #423205=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423206=DIRECTION('center_axis',(0.,0.,1.)); #423207=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423208=DIRECTION('center_axis',(0.,0.,1.)); #423209=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423210=DIRECTION('',(0.,0.,1.)); #423211=DIRECTION('center_axis',(-1.,0.,0.)); #423212=DIRECTION('ref_axis',(0.,-1.,0.)); #423213=DIRECTION('',(0.,-1.,0.)); #423214=DIRECTION('',(0.,-1.,0.)); #423215=DIRECTION('',(0.,0.,1.)); #423216=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #423217=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #423218=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #423219=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #423220=DIRECTION('',(0.,0.,1.)); #423221=DIRECTION('center_axis',(0.,0.,1.)); #423222=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423223=DIRECTION('center_axis',(0.,0.,1.)); #423224=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423225=DIRECTION('center_axis',(0.,0.,1.)); #423226=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #423227=DIRECTION('',(0.,0.,1.)); #423228=DIRECTION('center_axis',(-1.,0.,0.)); #423229=DIRECTION('ref_axis',(0.,-1.,0.)); #423230=DIRECTION('',(0.,-1.,0.)); #423231=DIRECTION('',(0.,-1.,0.)); #423232=DIRECTION('',(0.,0.,1.)); #423233=DIRECTION('center_axis',(0.,0.,1.)); #423234=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #423235=DIRECTION('center_axis',(0.,0.,1.)); #423236=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #423237=DIRECTION('center_axis',(0.,0.,1.)); #423238=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #423239=DIRECTION('',(0.,0.,1.)); #423240=DIRECTION('center_axis',(0.,0.,1.)); #423241=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #423242=DIRECTION('center_axis',(0.,0.,1.)); #423243=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #423244=DIRECTION('center_axis',(0.,0.,1.)); #423245=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #423246=DIRECTION('',(0.,0.,1.)); #423247=DIRECTION('center_axis',(1.,0.,0.)); #423248=DIRECTION('ref_axis',(0.,1.,0.)); #423249=DIRECTION('',(0.,1.,0.)); #423250=DIRECTION('',(0.,1.,0.)); #423251=DIRECTION('',(0.,0.,1.)); #423252=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #423253=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #423254=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #423255=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #423256=DIRECTION('',(0.,0.,1.)); #423257=DIRECTION('center_axis',(0.,0.,1.)); #423258=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423259=DIRECTION('center_axis',(0.,0.,1.)); #423260=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423261=DIRECTION('center_axis',(0.,0.,1.)); #423262=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #423263=DIRECTION('',(0.,0.,1.)); #423264=DIRECTION('center_axis',(1.,0.,0.)); #423265=DIRECTION('ref_axis',(0.,1.,0.)); #423266=DIRECTION('',(0.,1.,0.)); #423267=DIRECTION('',(0.,1.,0.)); #423268=DIRECTION('',(0.,0.,1.)); #423269=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #423270=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #423271=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #423272=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #423273=DIRECTION('',(0.,0.,1.)); #423274=DIRECTION('center_axis',(0.,1.,0.)); #423275=DIRECTION('ref_axis',(-1.,0.,0.)); #423276=DIRECTION('',(-1.,0.,0.)); #423277=DIRECTION('',(-1.,0.,0.)); #423278=DIRECTION('',(0.,0.,1.)); #423279=DIRECTION('center_axis',(0.,0.,1.)); #423280=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423281=DIRECTION('center_axis',(0.,0.,1.)); #423282=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423283=DIRECTION('center_axis',(0.,0.,1.)); #423284=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423285=DIRECTION('',(0.,0.,1.)); #423286=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #423287=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #423288=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423289=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423290=DIRECTION('',(0.,0.,1.)); #423291=DIRECTION('center_axis',(0.,0.,1.)); #423292=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #423293=DIRECTION('center_axis',(0.,0.,1.)); #423294=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #423295=DIRECTION('center_axis',(0.,0.,1.)); #423296=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #423297=DIRECTION('',(0.,0.,1.)); #423298=DIRECTION('center_axis',(1.,0.,0.)); #423299=DIRECTION('ref_axis',(0.,1.,0.)); #423300=DIRECTION('',(0.,1.,0.)); #423301=DIRECTION('',(0.,1.,0.)); #423302=DIRECTION('',(0.,0.,1.)); #423303=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #423304=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #423305=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423306=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423307=DIRECTION('',(0.,0.,1.)); #423308=DIRECTION('center_axis',(0.,1.,0.)); #423309=DIRECTION('ref_axis',(-1.,0.,0.)); #423310=DIRECTION('',(-1.,0.,0.)); #423311=DIRECTION('',(-1.,0.,0.)); #423312=DIRECTION('',(0.,0.,1.)); #423313=DIRECTION('center_axis',(0.,0.,1.)); #423314=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #423315=DIRECTION('center_axis',(0.,0.,1.)); #423316=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #423317=DIRECTION('center_axis',(0.,0.,1.)); #423318=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #423319=DIRECTION('',(0.,0.,1.)); #423320=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #423321=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #423322=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423323=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #423324=DIRECTION('',(0.,0.,1.)); #423325=DIRECTION('center_axis',(0.,1.,0.)); #423326=DIRECTION('ref_axis',(-1.,0.,0.)); #423327=DIRECTION('',(-1.,0.,0.)); #423328=DIRECTION('',(-1.,0.,0.)); #423329=DIRECTION('',(0.,0.,1.)); #423330=DIRECTION('center_axis',(0.,0.,1.)); #423331=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423332=DIRECTION('center_axis',(0.,0.,1.)); #423333=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423334=DIRECTION('center_axis',(0.,0.,1.)); #423335=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #423336=DIRECTION('',(0.,0.,1.)); #423337=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #423338=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #423339=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423340=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423341=DIRECTION('',(0.,0.,1.)); #423342=DIRECTION('center_axis',(-0.309024911421821,0.951053943854257,0.)); #423343=DIRECTION('ref_axis',(-0.951053943854257,-0.309024911421821,0.)); #423344=DIRECTION('',(-0.951053943854257,-0.309024911421821,0.)); #423345=DIRECTION('',(-0.951053943854257,-0.309024911421821,0.)); #423346=DIRECTION('',(0.,0.,1.)); #423347=DIRECTION('center_axis',(0.,0.,1.)); #423348=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #423349=DIRECTION('center_axis',(0.,0.,1.)); #423350=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #423351=DIRECTION('center_axis',(0.,0.,1.)); #423352=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #423353=DIRECTION('center_axis',(0.,0.,1.)); #423354=DIRECTION('ref_axis',(1.,0.,0.)); #423355=DIRECTION('center_axis',(0.,0.,1.)); #423356=DIRECTION('ref_axis',(1.,0.,0.)); #423357=DIRECTION('center_axis',(0.,0.,1.)); #423358=DIRECTION('ref_axis',(1.,0.,0.)); #423359=DIRECTION('center_axis',(0.,0.,1.)); #423360=DIRECTION('ref_axis',(1.,0.,0.)); #423361=DIRECTION('',(0.,0.,1.)); #423362=DIRECTION('center_axis',(0.,0.,-1.)); #423363=DIRECTION('ref_axis',(1.,0.,0.)); #423364=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #423365=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #423366=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #423367=DIRECTION('',(0.,0.,1.)); #423368=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #423369=DIRECTION('',(0.,0.,1.)); #423370=DIRECTION('center_axis',(0.,0.,1.)); #423371=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #423372=DIRECTION('center_axis',(0.,0.,1.)); #423373=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #423374=DIRECTION('center_axis',(0.,0.,1.)); #423375=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #423376=DIRECTION('',(0.,0.,1.)); #423377=DIRECTION('center_axis',(0.,0.,1.)); #423378=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #423379=DIRECTION('center_axis',(0.,0.,1.)); #423380=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #423381=DIRECTION('center_axis',(0.,0.,1.)); #423382=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #423383=DIRECTION('center_axis',(0.,0.,1.)); #423384=DIRECTION('ref_axis',(1.,0.,0.)); #423385=DIRECTION('center_axis',(0.,0.,1.)); #423386=DIRECTION('ref_axis',(1.,0.,0.)); #423387=DIRECTION('center_axis',(0.,0.,1.)); #423388=DIRECTION('ref_axis',(1.,0.,0.)); #423389=DIRECTION('center_axis',(0.,0.,1.)); #423390=DIRECTION('ref_axis',(1.,0.,0.)); #423391=DIRECTION('',(0.,0.,1.)); #423392=DIRECTION('center_axis',(0.,0.,-1.)); #423393=DIRECTION('ref_axis',(1.,0.,0.)); #423394=DIRECTION('center_axis',(0.,0.,1.)); #423395=DIRECTION('ref_axis',(1.,0.,0.)); #423396=DIRECTION('center_axis',(0.,0.,1.)); #423397=DIRECTION('ref_axis',(1.,0.,0.)); #423398=DIRECTION('',(0.,0.,1.)); #423399=DIRECTION('center_axis',(0.,0.,-1.)); #423400=DIRECTION('ref_axis',(1.,0.,0.)); #423401=DIRECTION('center_axis',(0.,0.,1.)); #423402=DIRECTION('ref_axis',(1.,0.,0.)); #423403=DIRECTION('center_axis',(0.,0.,1.)); #423404=DIRECTION('ref_axis',(1.,0.,0.)); #423405=DIRECTION('',(0.,0.,1.)); #423406=DIRECTION('center_axis',(0.,0.,-1.)); #423407=DIRECTION('ref_axis',(1.,0.,0.)); #423408=DIRECTION('center_axis',(0.,0.,1.)); #423409=DIRECTION('ref_axis',(1.,0.,0.)); #423410=DIRECTION('center_axis',(0.,0.,1.)); #423411=DIRECTION('ref_axis',(1.,0.,0.)); #423412=DIRECTION('',(0.,0.,1.)); #423413=DIRECTION('center_axis',(0.,0.,-1.)); #423414=DIRECTION('ref_axis',(1.,0.,0.)); #423415=DIRECTION('center_axis',(0.,0.,1.)); #423416=DIRECTION('ref_axis',(1.,0.,0.)); #423417=DIRECTION('center_axis',(0.,0.,1.)); #423418=DIRECTION('ref_axis',(1.,0.,0.)); #423419=DIRECTION('',(0.,0.,1.)); #423420=DIRECTION('center_axis',(0.,0.,-1.)); #423421=DIRECTION('ref_axis',(1.,0.,0.)); #423422=DIRECTION('center_axis',(0.,0.,1.)); #423423=DIRECTION('ref_axis',(1.,0.,0.)); #423424=DIRECTION('center_axis',(0.,0.,1.)); #423425=DIRECTION('ref_axis',(1.,0.,0.)); #423426=DIRECTION('',(0.,0.,1.)); #423427=DIRECTION('center_axis',(0.,0.,-1.)); #423428=DIRECTION('ref_axis',(1.,0.,0.)); #423429=DIRECTION('center_axis',(0.,0.,1.)); #423430=DIRECTION('ref_axis',(1.,0.,0.)); #423431=DIRECTION('center_axis',(0.,0.,1.)); #423432=DIRECTION('ref_axis',(1.,0.,0.)); #423433=DIRECTION('',(0.,0.,1.)); #423434=DIRECTION('center_axis',(0.,0.,-1.)); #423435=DIRECTION('ref_axis',(1.,0.,0.)); #423436=DIRECTION('center_axis',(0.,0.,1.)); #423437=DIRECTION('ref_axis',(1.,0.,0.)); #423438=DIRECTION('center_axis',(0.,0.,1.)); #423439=DIRECTION('ref_axis',(1.,0.,0.)); #423440=DIRECTION('',(0.,0.,1.)); #423441=DIRECTION('center_axis',(0.,0.,-1.)); #423442=DIRECTION('ref_axis',(1.,0.,0.)); #423443=DIRECTION('center_axis',(0.,0.,1.)); #423444=DIRECTION('ref_axis',(1.,0.,0.)); #423445=DIRECTION('center_axis',(0.,0.,1.)); #423446=DIRECTION('ref_axis',(1.,0.,0.)); #423447=DIRECTION('',(0.,0.,1.)); #423448=DIRECTION('center_axis',(0.,0.,-1.)); #423449=DIRECTION('ref_axis',(1.,0.,0.)); #423450=DIRECTION('center_axis',(0.,0.,1.)); #423451=DIRECTION('ref_axis',(1.,0.,0.)); #423452=DIRECTION('center_axis',(0.,0.,1.)); #423453=DIRECTION('ref_axis',(1.,0.,0.)); #423454=DIRECTION('',(0.,0.,1.)); #423455=DIRECTION('center_axis',(0.,0.,-1.)); #423456=DIRECTION('ref_axis',(1.,0.,0.)); #423457=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #423458=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #423459=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #423460=DIRECTION('',(0.,0.,1.)); #423461=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #423462=DIRECTION('',(0.,0.,1.)); #423463=DIRECTION('center_axis',(0.,-1.,0.)); #423464=DIRECTION('ref_axis',(1.,0.,0.)); #423465=DIRECTION('',(1.,0.,0.)); #423466=DIRECTION('',(1.,0.,0.)); #423467=DIRECTION('',(0.,0.,1.)); #423468=DIRECTION('center_axis',(-0.19119486568871,-0.981552099144144,0.)); #423469=DIRECTION('ref_axis',(0.981552099144144,-0.19119486568871,0.)); #423470=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #423471=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #423472=DIRECTION('',(0.,0.,1.)); #423473=DIRECTION('center_axis',(-0.552218701081301,-0.833699290017738, 0.)); #423474=DIRECTION('ref_axis',(0.833699290017738,-0.552218701081301,0.)); #423475=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #423476=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #423477=DIRECTION('',(0.,0.,1.)); #423478=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #423479=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #423480=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #423481=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #423482=DIRECTION('',(0.,0.,1.)); #423483=DIRECTION('center_axis',(-0.829264560351534,-0.558856232804982, 0.)); #423484=DIRECTION('ref_axis',(0.558856232804982,-0.829264560351534,0.)); #423485=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #423486=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #423487=DIRECTION('',(0.,0.,1.)); #423488=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #423489=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #423490=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #423491=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #423492=DIRECTION('',(0.,0.,1.)); #423493=DIRECTION('center_axis',(-1.,0.,0.)); #423494=DIRECTION('ref_axis',(0.,-1.,0.)); #423495=DIRECTION('',(0.,-1.,0.)); #423496=DIRECTION('',(0.,-1.,0.)); #423497=DIRECTION('',(0.,0.,1.)); #423498=DIRECTION('center_axis',(-0.981552099144133,0.191194865688771,0.)); #423499=DIRECTION('ref_axis',(-0.191194865688771,-0.981552099144132,0.)); #423500=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #423501=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #423502=DIRECTION('',(0.,0.,1.)); #423503=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #423504=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #423505=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #423506=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #423507=DIRECTION('',(0.,0.,1.)); #423508=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #423509=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #423510=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #423511=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #423512=DIRECTION('',(0.,0.,1.)); #423513=DIRECTION('center_axis',(-0.558856232804962,0.829264560351548,0.)); #423514=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804962,0.)); #423515=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #423516=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #423517=DIRECTION('',(0.,0.,1.)); #423518=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #423519=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #423520=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #423521=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #423522=DIRECTION('',(0.,0.,1.)); #423523=DIRECTION('center_axis',(0.,1.,0.)); #423524=DIRECTION('ref_axis',(-1.,0.,0.)); #423525=DIRECTION('',(-1.,0.,0.)); #423526=DIRECTION('',(-1.,0.,0.)); #423527=DIRECTION('',(0.,0.,1.)); #423528=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #423529=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #423530=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #423531=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #423532=DIRECTION('',(0.,0.,1.)); #423533=DIRECTION('center_axis',(0.552218701081189,0.833699290017812,0.)); #423534=DIRECTION('ref_axis',(-0.833699290017812,0.552218701081189,0.)); #423535=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #423536=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #423537=DIRECTION('',(0.,0.,1.)); #423538=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #423539=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #423540=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #423541=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #423542=DIRECTION('',(0.,0.,1.)); #423543=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #423544=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #423545=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #423546=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #423547=DIRECTION('',(0.,0.,1.)); #423548=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #423549=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #423550=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #423551=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #423552=DIRECTION('',(0.,0.,1.)); #423553=DIRECTION('center_axis',(1.,0.,0.)); #423554=DIRECTION('ref_axis',(0.,1.,0.)); #423555=DIRECTION('',(0.,1.,0.)); #423556=DIRECTION('',(0.,1.,0.)); #423557=DIRECTION('',(0.,0.,1.)); #423558=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #423559=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #423560=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #423561=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #423562=DIRECTION('',(0.,0.,1.)); #423563=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #423564=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #423565=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #423566=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #423567=DIRECTION('',(0.,0.,1.)); #423568=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #423569=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #423570=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #423571=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #423572=DIRECTION('',(0.,0.,1.)); #423573=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #423574=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #423575=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #423576=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #423577=DIRECTION('center_axis',(0.,0.,1.)); #423578=DIRECTION('ref_axis',(1.,0.,0.)); #423579=DIRECTION('center_axis',(0.,0.,1.)); #423580=DIRECTION('ref_axis',(1.,0.,0.)); #423581=DIRECTION('center_axis',(0.,0.,1.)); #423582=DIRECTION('ref_axis',(1.,0.,0.)); #423583=DIRECTION('center_axis',(0.,0.,1.)); #423584=DIRECTION('ref_axis',(1.,0.,0.)); #423585=DIRECTION('',(0.,0.,1.)); #423586=DIRECTION('center_axis',(0.,0.,-1.)); #423587=DIRECTION('ref_axis',(1.,0.,0.)); #423588=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #423589=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #423590=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423591=DIRECTION('',(0.,0.,1.)); #423592=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423593=DIRECTION('',(0.,0.,1.)); #423594=DIRECTION('center_axis',(0.,0.,1.)); #423595=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423596=DIRECTION('center_axis',(0.,0.,1.)); #423597=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423598=DIRECTION('center_axis',(0.,0.,1.)); #423599=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423600=DIRECTION('',(0.,0.,1.)); #423601=DIRECTION('center_axis',(0.,0.,1.)); #423602=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423603=DIRECTION('center_axis',(0.,0.,1.)); #423604=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423605=DIRECTION('center_axis',(0.,0.,1.)); #423606=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423607=DIRECTION('center_axis',(0.,0.,1.)); #423608=DIRECTION('ref_axis',(1.,0.,0.)); #423609=DIRECTION('center_axis',(0.,0.,1.)); #423610=DIRECTION('ref_axis',(1.,0.,0.)); #423611=DIRECTION('center_axis',(0.,0.,1.)); #423612=DIRECTION('ref_axis',(1.,0.,0.)); #423613=DIRECTION('center_axis',(0.,0.,1.)); #423614=DIRECTION('ref_axis',(1.,0.,0.)); #423615=DIRECTION('',(0.,0.,1.)); #423616=DIRECTION('center_axis',(0.,0.,-1.)); #423617=DIRECTION('ref_axis',(1.,0.,0.)); #423618=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #423619=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #423620=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423621=DIRECTION('',(0.,0.,1.)); #423622=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #423623=DIRECTION('',(0.,0.,1.)); #423624=DIRECTION('center_axis',(0.,0.,1.)); #423625=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423626=DIRECTION('center_axis',(0.,0.,1.)); #423627=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423628=DIRECTION('center_axis',(0.,0.,1.)); #423629=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423630=DIRECTION('',(0.,0.,1.)); #423631=DIRECTION('center_axis',(0.,0.,1.)); #423632=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423633=DIRECTION('center_axis',(0.,0.,1.)); #423634=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423635=DIRECTION('center_axis',(0.,0.,1.)); #423636=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423637=DIRECTION('center_axis',(0.,0.,1.)); #423638=DIRECTION('ref_axis',(1.,0.,0.)); #423639=DIRECTION('center_axis',(0.,0.,1.)); #423640=DIRECTION('ref_axis',(1.,0.,0.)); #423641=DIRECTION('center_axis',(0.,0.,1.)); #423642=DIRECTION('ref_axis',(1.,0.,0.)); #423643=DIRECTION('center_axis',(0.,0.,1.)); #423644=DIRECTION('ref_axis',(1.,0.,0.)); #423645=DIRECTION('',(0.,0.,1.)); #423646=DIRECTION('center_axis',(0.,0.,-1.)); #423647=DIRECTION('ref_axis',(1.,0.,0.)); #423648=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #423649=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #423650=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #423651=DIRECTION('',(0.,0.,1.)); #423652=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #423653=DIRECTION('',(0.,0.,1.)); #423654=DIRECTION('center_axis',(0.,0.,1.)); #423655=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #423656=DIRECTION('center_axis',(0.,0.,1.)); #423657=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #423658=DIRECTION('center_axis',(0.,0.,1.)); #423659=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #423660=DIRECTION('',(0.,0.,1.)); #423661=DIRECTION('center_axis',(0.,0.,1.)); #423662=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #423663=DIRECTION('center_axis',(0.,0.,1.)); #423664=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #423665=DIRECTION('center_axis',(0.,0.,1.)); #423666=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #423667=DIRECTION('center_axis',(0.,0.,1.)); #423668=DIRECTION('ref_axis',(1.,0.,0.)); #423669=DIRECTION('center_axis',(0.,0.,1.)); #423670=DIRECTION('ref_axis',(1.,0.,0.)); #423671=DIRECTION('center_axis',(0.,0.,1.)); #423672=DIRECTION('ref_axis',(1.,0.,0.)); #423673=DIRECTION('center_axis',(0.,0.,1.)); #423674=DIRECTION('ref_axis',(1.,0.,0.)); #423675=DIRECTION('',(0.,0.,1.)); #423676=DIRECTION('center_axis',(0.,0.,-1.)); #423677=DIRECTION('ref_axis',(1.,0.,0.)); #423678=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #423679=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #423680=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #423681=DIRECTION('',(0.,0.,1.)); #423682=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #423683=DIRECTION('',(0.,0.,1.)); #423684=DIRECTION('center_axis',(0.,-1.,0.)); #423685=DIRECTION('ref_axis',(1.,0.,0.)); #423686=DIRECTION('',(1.,0.,0.)); #423687=DIRECTION('',(1.,0.,0.)); #423688=DIRECTION('',(0.,0.,1.)); #423689=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #423690=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #423691=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423692=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #423693=DIRECTION('',(0.,0.,1.)); #423694=DIRECTION('center_axis',(-1.,0.,0.)); #423695=DIRECTION('ref_axis',(0.,-1.,0.)); #423696=DIRECTION('',(0.,-1.,0.)); #423697=DIRECTION('',(0.,-1.,0.)); #423698=DIRECTION('',(0.,0.,1.)); #423699=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #423700=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #423701=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #423702=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #423703=DIRECTION('',(0.,0.,1.)); #423704=DIRECTION('center_axis',(0.,1.,0.)); #423705=DIRECTION('ref_axis',(-1.,0.,0.)); #423706=DIRECTION('',(-1.,0.,0.)); #423707=DIRECTION('',(-1.,0.,0.)); #423708=DIRECTION('',(0.,0.,1.)); #423709=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #423710=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #423711=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423712=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #423713=DIRECTION('',(0.,0.,1.)); #423714=DIRECTION('center_axis',(1.,0.,0.)); #423715=DIRECTION('ref_axis',(0.,1.,0.)); #423716=DIRECTION('',(0.,1.,0.)); #423717=DIRECTION('',(0.,1.,0.)); #423718=DIRECTION('center_axis',(0.,0.,1.)); #423719=DIRECTION('ref_axis',(1.,0.,0.)); #423720=DIRECTION('center_axis',(0.,0.,1.)); #423721=DIRECTION('ref_axis',(1.,0.,0.)); #423722=DIRECTION('center_axis',(0.,0.,1.)); #423723=DIRECTION('ref_axis',(1.,0.,0.)); #423724=DIRECTION('center_axis',(0.,0.,1.)); #423725=DIRECTION('ref_axis',(1.,0.,0.)); #423726=DIRECTION('',(0.,0.,1.)); #423727=DIRECTION('center_axis',(0.,0.,-1.)); #423728=DIRECTION('ref_axis',(1.,0.,0.)); #423729=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #423730=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #423731=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #423732=DIRECTION('',(0.,0.,1.)); #423733=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #423734=DIRECTION('',(0.,0.,1.)); #423735=DIRECTION('center_axis',(0.,0.,1.)); #423736=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #423737=DIRECTION('center_axis',(0.,0.,1.)); #423738=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #423739=DIRECTION('center_axis',(0.,0.,1.)); #423740=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #423741=DIRECTION('',(0.,0.,1.)); #423742=DIRECTION('center_axis',(0.,0.,1.)); #423743=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #423744=DIRECTION('center_axis',(0.,0.,1.)); #423745=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #423746=DIRECTION('center_axis',(0.,0.,1.)); #423747=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #423748=DIRECTION('center_axis',(0.,0.,1.)); #423749=DIRECTION('ref_axis',(1.,0.,0.)); #423750=DIRECTION('center_axis',(0.,0.,1.)); #423751=DIRECTION('ref_axis',(1.,0.,0.)); #423752=DIRECTION('center_axis',(0.,0.,1.)); #423753=DIRECTION('ref_axis',(1.,0.,0.)); #423754=DIRECTION('center_axis',(0.,0.,1.)); #423755=DIRECTION('ref_axis',(1.,0.,0.)); #423756=DIRECTION('',(0.,0.,1.)); #423757=DIRECTION('center_axis',(0.,0.,-1.)); #423758=DIRECTION('ref_axis',(1.,0.,0.)); #423759=DIRECTION('center_axis',(1.,0.,0.)); #423760=DIRECTION('ref_axis',(0.,1.,0.)); #423761=DIRECTION('',(0.,1.,0.)); #423762=DIRECTION('',(0.,0.,1.)); #423763=DIRECTION('',(0.,1.,0.)); #423764=DIRECTION('',(0.,0.,1.)); #423765=DIRECTION('center_axis',(0.,-1.,0.)); #423766=DIRECTION('ref_axis',(1.,0.,0.)); #423767=DIRECTION('',(1.,0.,0.)); #423768=DIRECTION('',(1.,0.,0.)); #423769=DIRECTION('',(0.,0.,1.)); #423770=DIRECTION('center_axis',(-1.,0.,0.)); #423771=DIRECTION('ref_axis',(0.,-1.,0.)); #423772=DIRECTION('',(0.,-1.,0.)); #423773=DIRECTION('',(0.,-1.,0.)); #423774=DIRECTION('',(0.,0.,1.)); #423775=DIRECTION('center_axis',(0.,1.,0.)); #423776=DIRECTION('ref_axis',(-1.,0.,0.)); #423777=DIRECTION('',(-1.,0.,0.)); #423778=DIRECTION('',(-1.,0.,0.)); #423779=DIRECTION('center_axis',(0.,0.,1.)); #423780=DIRECTION('ref_axis',(1.,0.,0.)); #423781=DIRECTION('center_axis',(0.,0.,1.)); #423782=DIRECTION('ref_axis',(1.,0.,0.)); #423783=DIRECTION('center_axis',(0.,0.,1.)); #423784=DIRECTION('ref_axis',(1.,0.,0.)); #423785=DIRECTION('center_axis',(0.,0.,1.)); #423786=DIRECTION('ref_axis',(1.,0.,0.)); #423787=DIRECTION('',(0.,0.,1.)); #423788=DIRECTION('center_axis',(0.,0.,-1.)); #423789=DIRECTION('ref_axis',(1.,0.,0.)); #423790=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #423791=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #423792=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #423793=DIRECTION('',(0.,0.,1.)); #423794=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #423795=DIRECTION('',(0.,0.,1.)); #423796=DIRECTION('center_axis',(0.,0.,1.)); #423797=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423798=DIRECTION('center_axis',(0.,0.,1.)); #423799=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423800=DIRECTION('center_axis',(0.,0.,1.)); #423801=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #423802=DIRECTION('',(0.,0.,1.)); #423803=DIRECTION('center_axis',(0.,0.,1.)); #423804=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423805=DIRECTION('center_axis',(0.,0.,1.)); #423806=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423807=DIRECTION('center_axis',(0.,0.,1.)); #423808=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #423809=DIRECTION('center_axis',(0.,0.,1.)); #423810=DIRECTION('ref_axis',(1.,0.,0.)); #423811=DIRECTION('center_axis',(0.,0.,1.)); #423812=DIRECTION('ref_axis',(1.,0.,0.)); #423813=DIRECTION('center_axis',(1.,0.,0.)); #423814=DIRECTION('ref_axis',(0.,1.,0.)); #423815=DIRECTION('',(0.,-1.,0.)); #423816=DIRECTION('',(0.,0.,1.)); #423817=DIRECTION('',(0.,1.,0.)); #423818=DIRECTION('',(0.,0.,1.)); #423819=DIRECTION('center_axis',(0.,0.,1.)); #423820=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #423821=DIRECTION('center_axis',(0.,0.,-1.)); #423822=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #423823=DIRECTION('center_axis',(0.,0.,1.)); #423824=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #423825=DIRECTION('',(0.,0.,1.)); #423826=DIRECTION('center_axis',(-1.,0.,0.)); #423827=DIRECTION('ref_axis',(0.,-1.,0.)); #423828=DIRECTION('',(0.,1.,0.)); #423829=DIRECTION('',(0.,-1.,0.)); #423830=DIRECTION('',(0.,0.,1.)); #423831=DIRECTION('center_axis',(0.,0.,1.)); #423832=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #423833=DIRECTION('center_axis',(0.,0.,-1.)); #423834=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #423835=DIRECTION('center_axis',(0.,0.,1.)); #423836=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #423837=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #423838=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #423839=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #423840=DIRECTION('',(0.,0.,1.)); #423841=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #423842=DIRECTION('',(0.,0.,1.)); #423843=DIRECTION('center_axis',(0.,-1.,0.)); #423844=DIRECTION('ref_axis',(1.,0.,0.)); #423845=DIRECTION('',(1.,0.,0.)); #423846=DIRECTION('',(1.,0.,0.)); #423847=DIRECTION('',(0.,0.,1.)); #423848=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #423849=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #423850=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #423851=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #423852=DIRECTION('',(0.,0.,1.)); #423853=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #423854=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #423855=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #423856=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #423857=DIRECTION('',(0.,0.,1.)); #423858=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #423859=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #423860=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #423861=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #423862=DIRECTION('',(0.,0.,1.)); #423863=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #423864=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #423865=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #423866=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #423867=DIRECTION('',(0.,0.,1.)); #423868=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #423869=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #423870=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #423871=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #423872=DIRECTION('',(0.,0.,1.)); #423873=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #423874=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #423875=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #423876=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #423877=DIRECTION('',(0.,0.,1.)); #423878=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #423879=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #423880=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #423881=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #423882=DIRECTION('',(0.,0.,1.)); #423883=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #423884=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #423885=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #423886=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #423887=DIRECTION('',(0.,0.,1.)); #423888=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #423889=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #423890=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #423891=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #423892=DIRECTION('',(0.,0.,1.)); #423893=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #423894=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #423895=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #423896=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #423897=DIRECTION('',(0.,0.,1.)); #423898=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #423899=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #423900=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #423901=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #423902=DIRECTION('',(0.,0.,1.)); #423903=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #423904=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #423905=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #423906=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #423907=DIRECTION('',(0.,0.,1.)); #423908=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #423909=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #423910=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #423911=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #423912=DIRECTION('',(0.,0.,1.)); #423913=DIRECTION('center_axis',(-1.,0.,0.)); #423914=DIRECTION('ref_axis',(0.,-1.,0.)); #423915=DIRECTION('',(0.,-1.,0.)); #423916=DIRECTION('',(0.,-1.,0.)); #423917=DIRECTION('',(0.,0.,1.)); #423918=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #423919=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #423920=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #423921=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #423922=DIRECTION('',(0.,0.,1.)); #423923=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #423924=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #423925=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #423926=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #423927=DIRECTION('',(0.,0.,1.)); #423928=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #423929=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #423930=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #423931=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #423932=DIRECTION('',(0.,0.,1.)); #423933=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #423934=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #423935=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #423936=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #423937=DIRECTION('',(0.,0.,1.)); #423938=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #423939=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #423940=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #423941=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #423942=DIRECTION('',(0.,0.,1.)); #423943=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #423944=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #423945=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #423946=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #423947=DIRECTION('',(0.,0.,1.)); #423948=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #423949=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #423950=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #423951=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #423952=DIRECTION('',(0.,0.,1.)); #423953=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #423954=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #423955=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #423956=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #423957=DIRECTION('',(0.,0.,1.)); #423958=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #423959=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #423960=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #423961=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #423962=DIRECTION('',(0.,0.,1.)); #423963=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #423964=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #423965=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #423966=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #423967=DIRECTION('',(0.,0.,1.)); #423968=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #423969=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #423970=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #423971=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #423972=DIRECTION('',(0.,0.,1.)); #423973=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #423974=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #423975=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #423976=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #423977=DIRECTION('',(0.,0.,1.)); #423978=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #423979=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #423980=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #423981=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #423982=DIRECTION('',(0.,0.,1.)); #423983=DIRECTION('center_axis',(0.,1.,0.)); #423984=DIRECTION('ref_axis',(-1.,0.,0.)); #423985=DIRECTION('',(-1.,0.,0.)); #423986=DIRECTION('',(-1.,0.,0.)); #423987=DIRECTION('',(0.,0.,1.)); #423988=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #423989=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #423990=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #423991=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #423992=DIRECTION('',(0.,0.,1.)); #423993=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #423994=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #423995=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #423996=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #423997=DIRECTION('',(0.,0.,1.)); #423998=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #423999=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #424000=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #424001=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #424002=DIRECTION('',(0.,0.,1.)); #424003=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #424004=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #424005=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #424006=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #424007=DIRECTION('',(0.,0.,1.)); #424008=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #424009=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #424010=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #424011=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #424012=DIRECTION('',(0.,0.,1.)); #424013=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #424014=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #424015=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #424016=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #424017=DIRECTION('',(0.,0.,1.)); #424018=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #424019=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #424020=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #424021=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #424022=DIRECTION('',(0.,0.,1.)); #424023=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #424024=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #424025=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #424026=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #424027=DIRECTION('',(0.,0.,1.)); #424028=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #424029=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #424030=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #424031=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #424032=DIRECTION('',(0.,0.,1.)); #424033=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #424034=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #424035=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #424036=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #424037=DIRECTION('',(0.,0.,1.)); #424038=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #424039=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #424040=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #424041=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #424042=DIRECTION('',(0.,0.,1.)); #424043=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #424044=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #424045=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #424046=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #424047=DIRECTION('',(0.,0.,1.)); #424048=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #424049=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #424050=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #424051=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #424052=DIRECTION('',(0.,0.,1.)); #424053=DIRECTION('center_axis',(1.,0.,0.)); #424054=DIRECTION('ref_axis',(0.,1.,0.)); #424055=DIRECTION('',(0.,1.,0.)); #424056=DIRECTION('',(0.,1.,0.)); #424057=DIRECTION('',(0.,0.,1.)); #424058=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #424059=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #424060=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #424061=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #424062=DIRECTION('',(0.,0.,1.)); #424063=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #424064=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #424065=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #424066=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #424067=DIRECTION('',(0.,0.,1.)); #424068=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #424069=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #424070=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #424071=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #424072=DIRECTION('',(0.,0.,1.)); #424073=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #424074=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #424075=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #424076=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #424077=DIRECTION('',(0.,0.,1.)); #424078=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #424079=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #424080=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #424081=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #424082=DIRECTION('',(0.,0.,1.)); #424083=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #424084=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #424085=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #424086=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #424087=DIRECTION('',(0.,0.,1.)); #424088=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #424089=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #424090=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #424091=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #424092=DIRECTION('',(0.,0.,1.)); #424093=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #424094=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #424095=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #424096=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #424097=DIRECTION('',(0.,0.,1.)); #424098=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #424099=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #424100=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #424101=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #424102=DIRECTION('',(0.,0.,1.)); #424103=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #424104=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #424105=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #424106=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #424107=DIRECTION('',(0.,0.,1.)); #424108=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #424109=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #424110=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #424111=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #424112=DIRECTION('',(0.,0.,1.)); #424113=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #424114=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #424115=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #424116=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #424117=DIRECTION('center_axis',(0.,0.,1.)); #424118=DIRECTION('ref_axis',(1.,0.,0.)); #424119=DIRECTION('center_axis',(0.,0.,1.)); #424120=DIRECTION('ref_axis',(1.,0.,0.)); #424121=DIRECTION('center_axis',(0.,0.,1.)); #424122=DIRECTION('ref_axis',(1.,0.,0.)); #424123=DIRECTION('center_axis',(0.,0.,1.)); #424124=DIRECTION('ref_axis',(1.,0.,0.)); #424125=DIRECTION('',(0.,0.,1.)); #424126=DIRECTION('center_axis',(0.,0.,-1.)); #424127=DIRECTION('ref_axis',(1.,0.,0.)); #424128=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #424129=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #424130=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #424131=DIRECTION('',(0.,0.,1.)); #424132=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #424133=DIRECTION('',(0.,0.,1.)); #424134=DIRECTION('center_axis',(0.,0.,1.)); #424135=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #424136=DIRECTION('center_axis',(0.,0.,1.)); #424137=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #424138=DIRECTION('center_axis',(0.,0.,1.)); #424139=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #424140=DIRECTION('',(0.,0.,1.)); #424141=DIRECTION('center_axis',(0.,0.,1.)); #424142=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #424143=DIRECTION('center_axis',(0.,0.,1.)); #424144=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #424145=DIRECTION('center_axis',(0.,0.,1.)); #424146=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #424147=DIRECTION('center_axis',(0.,0.,1.)); #424148=DIRECTION('ref_axis',(1.,0.,0.)); #424149=DIRECTION('center_axis',(0.,0.,1.)); #424150=DIRECTION('ref_axis',(1.,0.,0.)); #424151=DIRECTION('center_axis',(1.,0.,0.)); #424152=DIRECTION('ref_axis',(0.,1.,0.)); #424153=DIRECTION('',(0.,-1.,0.)); #424154=DIRECTION('',(0.,0.,1.)); #424155=DIRECTION('',(0.,1.,0.)); #424156=DIRECTION('',(0.,0.,1.)); #424157=DIRECTION('center_axis',(0.,0.,1.)); #424158=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #424159=DIRECTION('center_axis',(0.,0.,-1.)); #424160=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #424161=DIRECTION('center_axis',(0.,0.,1.)); #424162=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #424163=DIRECTION('',(0.,0.,1.)); #424164=DIRECTION('center_axis',(-1.,0.,0.)); #424165=DIRECTION('ref_axis',(0.,-1.,0.)); #424166=DIRECTION('',(0.,1.,0.)); #424167=DIRECTION('',(0.,-1.,0.)); #424168=DIRECTION('',(0.,0.,1.)); #424169=DIRECTION('center_axis',(0.,0.,1.)); #424170=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #424171=DIRECTION('center_axis',(0.,0.,-1.)); #424172=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #424173=DIRECTION('center_axis',(0.,0.,1.)); #424174=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #424175=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #424176=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #424177=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #424178=DIRECTION('',(0.,0.,1.)); #424179=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #424180=DIRECTION('',(0.,0.,1.)); #424181=DIRECTION('center_axis',(0.,-1.,0.)); #424182=DIRECTION('ref_axis',(1.,0.,0.)); #424183=DIRECTION('',(1.,0.,0.)); #424184=DIRECTION('',(1.,0.,0.)); #424185=DIRECTION('',(0.,0.,1.)); #424186=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #424187=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #424188=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #424189=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #424190=DIRECTION('',(0.,0.,1.)); #424191=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #424192=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #424193=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #424194=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #424195=DIRECTION('',(0.,0.,1.)); #424196=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #424197=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #424198=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #424199=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #424200=DIRECTION('',(0.,0.,1.)); #424201=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #424202=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #424203=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #424204=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #424205=DIRECTION('',(0.,0.,1.)); #424206=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #424207=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #424208=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #424209=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #424210=DIRECTION('',(0.,0.,1.)); #424211=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #424212=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #424213=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #424214=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #424215=DIRECTION('',(0.,0.,1.)); #424216=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #424217=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #424218=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #424219=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #424220=DIRECTION('',(0.,0.,1.)); #424221=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #424222=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #424223=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #424224=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #424225=DIRECTION('',(0.,0.,1.)); #424226=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #424227=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #424228=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #424229=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #424230=DIRECTION('',(0.,0.,1.)); #424231=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #424232=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #424233=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #424234=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #424235=DIRECTION('',(0.,0.,1.)); #424236=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #424237=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #424238=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #424239=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #424240=DIRECTION('',(0.,0.,1.)); #424241=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #424242=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #424243=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #424244=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #424245=DIRECTION('',(0.,0.,1.)); #424246=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #424247=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #424248=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #424249=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #424250=DIRECTION('',(0.,0.,1.)); #424251=DIRECTION('center_axis',(-1.,0.,0.)); #424252=DIRECTION('ref_axis',(0.,-1.,0.)); #424253=DIRECTION('',(0.,-1.,0.)); #424254=DIRECTION('',(0.,-1.,0.)); #424255=DIRECTION('',(0.,0.,1.)); #424256=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #424257=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #424258=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #424259=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #424260=DIRECTION('',(0.,0.,1.)); #424261=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #424262=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #424263=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #424264=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #424265=DIRECTION('',(0.,0.,1.)); #424266=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #424267=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #424268=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #424269=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #424270=DIRECTION('',(0.,0.,1.)); #424271=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #424272=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #424273=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #424274=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #424275=DIRECTION('',(0.,0.,1.)); #424276=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #424277=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #424278=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #424279=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #424280=DIRECTION('',(0.,0.,1.)); #424281=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #424282=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #424283=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #424284=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #424285=DIRECTION('',(0.,0.,1.)); #424286=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #424287=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #424288=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #424289=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #424290=DIRECTION('',(0.,0.,1.)); #424291=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #424292=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #424293=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #424294=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #424295=DIRECTION('',(0.,0.,1.)); #424296=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #424297=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #424298=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #424299=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #424300=DIRECTION('',(0.,0.,1.)); #424301=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #424302=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #424303=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #424304=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #424305=DIRECTION('',(0.,0.,1.)); #424306=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #424307=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #424308=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #424309=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #424310=DIRECTION('',(0.,0.,1.)); #424311=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #424312=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #424313=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #424314=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #424315=DIRECTION('',(0.,0.,1.)); #424316=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #424317=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #424318=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #424319=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #424320=DIRECTION('',(0.,0.,1.)); #424321=DIRECTION('center_axis',(0.,1.,0.)); #424322=DIRECTION('ref_axis',(-1.,0.,0.)); #424323=DIRECTION('',(-1.,0.,0.)); #424324=DIRECTION('',(-1.,0.,0.)); #424325=DIRECTION('',(0.,0.,1.)); #424326=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #424327=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #424328=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #424329=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #424330=DIRECTION('',(0.,0.,1.)); #424331=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #424332=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #424333=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #424334=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #424335=DIRECTION('',(0.,0.,1.)); #424336=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #424337=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #424338=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #424339=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #424340=DIRECTION('',(0.,0.,1.)); #424341=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #424342=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #424343=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #424344=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #424345=DIRECTION('',(0.,0.,1.)); #424346=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #424347=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #424348=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #424349=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #424350=DIRECTION('',(0.,0.,1.)); #424351=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #424352=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #424353=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #424354=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #424355=DIRECTION('',(0.,0.,1.)); #424356=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #424357=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #424358=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #424359=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #424360=DIRECTION('',(0.,0.,1.)); #424361=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #424362=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #424363=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #424364=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #424365=DIRECTION('',(0.,0.,1.)); #424366=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #424367=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #424368=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #424369=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #424370=DIRECTION('',(0.,0.,1.)); #424371=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #424372=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #424373=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #424374=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #424375=DIRECTION('',(0.,0.,1.)); #424376=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #424377=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #424378=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #424379=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #424380=DIRECTION('',(0.,0.,1.)); #424381=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #424382=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #424383=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #424384=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #424385=DIRECTION('',(0.,0.,1.)); #424386=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #424387=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #424388=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #424389=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #424390=DIRECTION('',(0.,0.,1.)); #424391=DIRECTION('center_axis',(1.,0.,0.)); #424392=DIRECTION('ref_axis',(0.,1.,0.)); #424393=DIRECTION('',(0.,1.,0.)); #424394=DIRECTION('',(0.,1.,0.)); #424395=DIRECTION('',(0.,0.,1.)); #424396=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #424397=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #424398=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #424399=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #424400=DIRECTION('',(0.,0.,1.)); #424401=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #424402=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #424403=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #424404=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #424405=DIRECTION('',(0.,0.,1.)); #424406=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #424407=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #424408=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #424409=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #424410=DIRECTION('',(0.,0.,1.)); #424411=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #424412=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #424413=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #424414=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #424415=DIRECTION('',(0.,0.,1.)); #424416=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #424417=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #424418=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #424419=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #424420=DIRECTION('',(0.,0.,1.)); #424421=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #424422=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #424423=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #424424=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #424425=DIRECTION('',(0.,0.,1.)); #424426=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #424427=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #424428=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #424429=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #424430=DIRECTION('',(0.,0.,1.)); #424431=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #424432=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #424433=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #424434=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #424435=DIRECTION('',(0.,0.,1.)); #424436=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #424437=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #424438=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #424439=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #424440=DIRECTION('',(0.,0.,1.)); #424441=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #424442=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #424443=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #424444=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #424445=DIRECTION('',(0.,0.,1.)); #424446=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #424447=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #424448=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #424449=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #424450=DIRECTION('',(0.,0.,1.)); #424451=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #424452=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #424453=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #424454=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #424455=DIRECTION('center_axis',(0.,0.,1.)); #424456=DIRECTION('ref_axis',(1.,0.,0.)); #424457=DIRECTION('center_axis',(0.,0.,1.)); #424458=DIRECTION('ref_axis',(1.,0.,0.)); #424459=DIRECTION('center_axis',(0.,1.,0.)); #424460=DIRECTION('ref_axis',(-1.,0.,0.)); #424461=DIRECTION('',(1.,0.,0.)); #424462=DIRECTION('',(0.,0.,1.)); #424463=DIRECTION('',(-1.,0.,0.)); #424464=DIRECTION('',(0.,0.,1.)); #424465=DIRECTION('center_axis',(0.,0.,1.)); #424466=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #424467=DIRECTION('center_axis',(0.,0.,-1.)); #424468=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #424469=DIRECTION('center_axis',(0.,0.,1.)); #424470=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #424471=DIRECTION('',(0.,0.,1.)); #424472=DIRECTION('center_axis',(0.,-1.,0.)); #424473=DIRECTION('ref_axis',(1.,0.,0.)); #424474=DIRECTION('',(-1.,0.,0.)); #424475=DIRECTION('',(1.,0.,0.)); #424476=DIRECTION('',(0.,0.,1.)); #424477=DIRECTION('center_axis',(0.,0.,1.)); #424478=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #424479=DIRECTION('center_axis',(0.,0.,-1.)); #424480=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #424481=DIRECTION('center_axis',(0.,0.,1.)); #424482=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #424483=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #424484=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #424485=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #424486=DIRECTION('',(0.,0.,1.)); #424487=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #424488=DIRECTION('',(0.,0.,1.)); #424489=DIRECTION('center_axis',(0.,-1.,0.)); #424490=DIRECTION('ref_axis',(1.,0.,0.)); #424491=DIRECTION('',(1.,0.,0.)); #424492=DIRECTION('',(1.,0.,0.)); #424493=DIRECTION('',(0.,0.,1.)); #424494=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #424495=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #424496=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #424497=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #424498=DIRECTION('',(0.,0.,1.)); #424499=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #424500=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #424501=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #424502=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #424503=DIRECTION('',(0.,0.,1.)); #424504=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #424505=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #424506=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #424507=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #424508=DIRECTION('',(0.,0.,1.)); #424509=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #424510=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #424511=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #424512=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #424513=DIRECTION('',(0.,0.,1.)); #424514=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #424515=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #424516=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #424517=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #424518=DIRECTION('',(0.,0.,1.)); #424519=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #424520=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #424521=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #424522=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #424523=DIRECTION('',(0.,0.,1.)); #424524=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #424525=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #424526=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #424527=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #424528=DIRECTION('',(0.,0.,1.)); #424529=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #424530=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #424531=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #424532=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #424533=DIRECTION('',(0.,0.,1.)); #424534=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #424535=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #424536=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #424537=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #424538=DIRECTION('',(0.,0.,1.)); #424539=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #424540=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #424541=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #424542=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #424543=DIRECTION('',(0.,0.,1.)); #424544=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #424545=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #424546=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #424547=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #424548=DIRECTION('',(0.,0.,1.)); #424549=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #424550=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #424551=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #424552=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #424553=DIRECTION('',(0.,0.,1.)); #424554=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #424555=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #424556=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #424557=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #424558=DIRECTION('',(0.,0.,1.)); #424559=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #424560=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #424561=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #424562=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #424563=DIRECTION('',(0.,0.,1.)); #424564=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #424565=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #424566=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #424567=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #424568=DIRECTION('',(0.,0.,1.)); #424569=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #424570=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #424571=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #424572=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #424573=DIRECTION('',(0.,0.,1.)); #424574=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #424575=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #424576=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #424577=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #424578=DIRECTION('',(0.,0.,1.)); #424579=DIRECTION('center_axis',(-1.,0.,0.)); #424580=DIRECTION('ref_axis',(0.,-1.,0.)); #424581=DIRECTION('',(0.,-1.,0.)); #424582=DIRECTION('',(0.,-1.,0.)); #424583=DIRECTION('',(0.,0.,1.)); #424584=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #424585=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #424586=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #424587=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #424588=DIRECTION('',(0.,0.,1.)); #424589=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #424590=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #424591=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #424592=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #424593=DIRECTION('',(0.,0.,1.)); #424594=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #424595=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #424596=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #424597=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #424598=DIRECTION('',(0.,0.,1.)); #424599=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #424600=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #424601=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #424602=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #424603=DIRECTION('',(0.,0.,1.)); #424604=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #424605=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #424606=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #424607=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #424608=DIRECTION('',(0.,0.,1.)); #424609=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #424610=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #424611=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #424612=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #424613=DIRECTION('',(0.,0.,1.)); #424614=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #424615=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #424616=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #424617=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #424618=DIRECTION('',(0.,0.,1.)); #424619=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #424620=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #424621=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #424622=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #424623=DIRECTION('',(0.,0.,1.)); #424624=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #424625=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #424626=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #424627=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #424628=DIRECTION('',(0.,0.,1.)); #424629=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #424630=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #424631=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #424632=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #424633=DIRECTION('',(0.,0.,1.)); #424634=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #424635=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #424636=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #424637=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #424638=DIRECTION('',(0.,0.,1.)); #424639=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #424640=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #424641=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #424642=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #424643=DIRECTION('',(0.,0.,1.)); #424644=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #424645=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #424646=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #424647=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #424648=DIRECTION('',(0.,0.,1.)); #424649=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #424650=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #424651=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #424652=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #424653=DIRECTION('',(0.,0.,1.)); #424654=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #424655=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #424656=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #424657=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #424658=DIRECTION('',(0.,0.,1.)); #424659=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #424660=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #424661=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #424662=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #424663=DIRECTION('',(0.,0.,1.)); #424664=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #424665=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #424666=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #424667=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #424668=DIRECTION('',(0.,0.,1.)); #424669=DIRECTION('center_axis',(0.,1.,0.)); #424670=DIRECTION('ref_axis',(-1.,0.,0.)); #424671=DIRECTION('',(-1.,0.,0.)); #424672=DIRECTION('',(-1.,0.,0.)); #424673=DIRECTION('',(0.,0.,1.)); #424674=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #424675=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #424676=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #424677=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #424678=DIRECTION('',(0.,0.,1.)); #424679=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #424680=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #424681=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #424682=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #424683=DIRECTION('',(0.,0.,1.)); #424684=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #424685=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #424686=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #424687=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #424688=DIRECTION('',(0.,0.,1.)); #424689=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #424690=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #424691=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #424692=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #424693=DIRECTION('',(0.,0.,1.)); #424694=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #424695=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #424696=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #424697=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #424698=DIRECTION('',(0.,0.,1.)); #424699=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #424700=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #424701=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #424702=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #424703=DIRECTION('',(0.,0.,1.)); #424704=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #424705=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #424706=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #424707=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #424708=DIRECTION('',(0.,0.,1.)); #424709=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #424710=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #424711=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #424712=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #424713=DIRECTION('',(0.,0.,1.)); #424714=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #424715=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #424716=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #424717=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #424718=DIRECTION('',(0.,0.,1.)); #424719=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #424720=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #424721=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #424722=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #424723=DIRECTION('',(0.,0.,1.)); #424724=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #424725=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #424726=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #424727=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #424728=DIRECTION('',(0.,0.,1.)); #424729=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #424730=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #424731=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #424732=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #424733=DIRECTION('',(0.,0.,1.)); #424734=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #424735=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #424736=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #424737=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #424738=DIRECTION('',(0.,0.,1.)); #424739=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #424740=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #424741=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #424742=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #424743=DIRECTION('',(0.,0.,1.)); #424744=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #424745=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #424746=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #424747=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #424748=DIRECTION('',(0.,0.,1.)); #424749=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #424750=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #424751=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #424752=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #424753=DIRECTION('',(0.,0.,1.)); #424754=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #424755=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #424756=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #424757=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #424758=DIRECTION('',(0.,0.,1.)); #424759=DIRECTION('center_axis',(1.,0.,0.)); #424760=DIRECTION('ref_axis',(0.,1.,0.)); #424761=DIRECTION('',(0.,1.,0.)); #424762=DIRECTION('',(0.,1.,0.)); #424763=DIRECTION('',(0.,0.,1.)); #424764=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #424765=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #424766=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #424767=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #424768=DIRECTION('',(0.,0.,1.)); #424769=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #424770=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #424771=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #424772=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #424773=DIRECTION('',(0.,0.,1.)); #424774=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #424775=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #424776=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #424777=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #424778=DIRECTION('',(0.,0.,1.)); #424779=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #424780=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #424781=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #424782=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #424783=DIRECTION('',(0.,0.,1.)); #424784=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #424785=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #424786=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #424787=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #424788=DIRECTION('',(0.,0.,1.)); #424789=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #424790=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #424791=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #424792=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #424793=DIRECTION('',(0.,0.,1.)); #424794=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #424795=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #424796=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #424797=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #424798=DIRECTION('',(0.,0.,1.)); #424799=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #424800=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #424801=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #424802=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #424803=DIRECTION('',(0.,0.,1.)); #424804=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #424805=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #424806=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #424807=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #424808=DIRECTION('',(0.,0.,1.)); #424809=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #424810=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #424811=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #424812=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #424813=DIRECTION('',(0.,0.,1.)); #424814=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #424815=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #424816=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #424817=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #424818=DIRECTION('',(0.,0.,1.)); #424819=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #424820=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #424821=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #424822=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #424823=DIRECTION('',(0.,0.,1.)); #424824=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #424825=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #424826=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #424827=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #424828=DIRECTION('',(0.,0.,1.)); #424829=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #424830=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #424831=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #424832=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #424833=DIRECTION('',(0.,0.,1.)); #424834=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #424835=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #424836=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #424837=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #424838=DIRECTION('',(0.,0.,1.)); #424839=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #424840=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #424841=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #424842=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #424843=DIRECTION('center_axis',(0.,0.,1.)); #424844=DIRECTION('ref_axis',(1.,0.,0.)); #424845=DIRECTION('center_axis',(0.,0.,1.)); #424846=DIRECTION('ref_axis',(1.,0.,0.)); #424847=DIRECTION('center_axis',(1.,0.,0.)); #424848=DIRECTION('ref_axis',(0.,1.,0.)); #424849=DIRECTION('',(0.,-1.,0.)); #424850=DIRECTION('',(0.,0.,1.)); #424851=DIRECTION('',(0.,1.,0.)); #424852=DIRECTION('',(0.,0.,1.)); #424853=DIRECTION('center_axis',(0.,0.,1.)); #424854=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #424855=DIRECTION('center_axis',(0.,0.,-1.)); #424856=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #424857=DIRECTION('center_axis',(0.,0.,1.)); #424858=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #424859=DIRECTION('',(0.,0.,1.)); #424860=DIRECTION('center_axis',(-1.,0.,0.)); #424861=DIRECTION('ref_axis',(0.,-1.,0.)); #424862=DIRECTION('',(0.,1.,0.)); #424863=DIRECTION('',(0.,-1.,0.)); #424864=DIRECTION('',(0.,0.,1.)); #424865=DIRECTION('center_axis',(0.,0.,1.)); #424866=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #424867=DIRECTION('center_axis',(0.,0.,-1.)); #424868=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #424869=DIRECTION('center_axis',(0.,0.,1.)); #424870=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #424871=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #424872=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #424873=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #424874=DIRECTION('',(0.,0.,1.)); #424875=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #424876=DIRECTION('',(0.,0.,1.)); #424877=DIRECTION('center_axis',(0.,-1.,0.)); #424878=DIRECTION('ref_axis',(1.,0.,0.)); #424879=DIRECTION('',(1.,0.,0.)); #424880=DIRECTION('',(1.,0.,0.)); #424881=DIRECTION('',(0.,0.,1.)); #424882=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #424883=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #424884=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #424885=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #424886=DIRECTION('',(0.,0.,1.)); #424887=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #424888=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #424889=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #424890=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #424891=DIRECTION('',(0.,0.,1.)); #424892=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #424893=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #424894=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #424895=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #424896=DIRECTION('',(0.,0.,1.)); #424897=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #424898=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #424899=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #424900=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #424901=DIRECTION('',(0.,0.,1.)); #424902=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #424903=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #424904=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #424905=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #424906=DIRECTION('',(0.,0.,1.)); #424907=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #424908=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #424909=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #424910=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #424911=DIRECTION('',(0.,0.,1.)); #424912=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #424913=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #424914=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #424915=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #424916=DIRECTION('',(0.,0.,1.)); #424917=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #424918=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #424919=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #424920=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #424921=DIRECTION('',(0.,0.,1.)); #424922=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #424923=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #424924=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #424925=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #424926=DIRECTION('',(0.,0.,1.)); #424927=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #424928=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #424929=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #424930=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #424931=DIRECTION('',(0.,0.,1.)); #424932=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #424933=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #424934=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #424935=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #424936=DIRECTION('',(0.,0.,1.)); #424937=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #424938=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #424939=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #424940=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #424941=DIRECTION('',(0.,0.,1.)); #424942=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #424943=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #424944=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #424945=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #424946=DIRECTION('',(0.,0.,1.)); #424947=DIRECTION('center_axis',(-1.,0.,0.)); #424948=DIRECTION('ref_axis',(0.,-1.,0.)); #424949=DIRECTION('',(0.,-1.,0.)); #424950=DIRECTION('',(0.,-1.,0.)); #424951=DIRECTION('',(0.,0.,1.)); #424952=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #424953=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #424954=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #424955=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #424956=DIRECTION('',(0.,0.,1.)); #424957=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #424958=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #424959=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #424960=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #424961=DIRECTION('',(0.,0.,1.)); #424962=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #424963=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #424964=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #424965=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #424966=DIRECTION('',(0.,0.,1.)); #424967=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #424968=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #424969=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #424970=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #424971=DIRECTION('',(0.,0.,1.)); #424972=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #424973=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #424974=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #424975=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #424976=DIRECTION('',(0.,0.,1.)); #424977=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #424978=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #424979=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #424980=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #424981=DIRECTION('',(0.,0.,1.)); #424982=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #424983=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #424984=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #424985=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #424986=DIRECTION('',(0.,0.,1.)); #424987=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #424988=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #424989=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #424990=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #424991=DIRECTION('',(0.,0.,1.)); #424992=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #424993=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #424994=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #424995=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #424996=DIRECTION('',(0.,0.,1.)); #424997=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #424998=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #424999=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #425000=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #425001=DIRECTION('',(0.,0.,1.)); #425002=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #425003=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #425004=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #425005=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #425006=DIRECTION('',(0.,0.,1.)); #425007=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #425008=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #425009=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #425010=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #425011=DIRECTION('',(0.,0.,1.)); #425012=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #425013=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #425014=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #425015=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #425016=DIRECTION('',(0.,0.,1.)); #425017=DIRECTION('center_axis',(0.,1.,0.)); #425018=DIRECTION('ref_axis',(-1.,0.,0.)); #425019=DIRECTION('',(-1.,0.,0.)); #425020=DIRECTION('',(-1.,0.,0.)); #425021=DIRECTION('',(0.,0.,1.)); #425022=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #425023=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #425024=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #425025=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #425026=DIRECTION('',(0.,0.,1.)); #425027=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #425028=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #425029=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #425030=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #425031=DIRECTION('',(0.,0.,1.)); #425032=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #425033=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #425034=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #425035=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #425036=DIRECTION('',(0.,0.,1.)); #425037=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #425038=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #425039=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #425040=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #425041=DIRECTION('',(0.,0.,1.)); #425042=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #425043=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #425044=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #425045=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #425046=DIRECTION('',(0.,0.,1.)); #425047=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #425048=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #425049=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #425050=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #425051=DIRECTION('',(0.,0.,1.)); #425052=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #425053=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #425054=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #425055=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #425056=DIRECTION('',(0.,0.,1.)); #425057=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #425058=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #425059=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #425060=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #425061=DIRECTION('',(0.,0.,1.)); #425062=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #425063=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #425064=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #425065=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #425066=DIRECTION('',(0.,0.,1.)); #425067=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #425068=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #425069=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #425070=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #425071=DIRECTION('',(0.,0.,1.)); #425072=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #425073=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #425074=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #425075=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #425076=DIRECTION('',(0.,0.,1.)); #425077=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #425078=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #425079=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #425080=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #425081=DIRECTION('',(0.,0.,1.)); #425082=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #425083=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #425084=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #425085=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #425086=DIRECTION('',(0.,0.,1.)); #425087=DIRECTION('center_axis',(1.,0.,0.)); #425088=DIRECTION('ref_axis',(0.,1.,0.)); #425089=DIRECTION('',(0.,1.,0.)); #425090=DIRECTION('',(0.,1.,0.)); #425091=DIRECTION('',(0.,0.,1.)); #425092=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #425093=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #425094=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #425095=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #425096=DIRECTION('',(0.,0.,1.)); #425097=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #425098=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #425099=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #425100=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #425101=DIRECTION('',(0.,0.,1.)); #425102=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #425103=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #425104=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #425105=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #425106=DIRECTION('',(0.,0.,1.)); #425107=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #425108=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #425109=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #425110=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #425111=DIRECTION('',(0.,0.,1.)); #425112=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #425113=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #425114=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #425115=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #425116=DIRECTION('',(0.,0.,1.)); #425117=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #425118=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #425119=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #425120=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #425121=DIRECTION('',(0.,0.,1.)); #425122=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #425123=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #425124=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #425125=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #425126=DIRECTION('',(0.,0.,1.)); #425127=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #425128=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #425129=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #425130=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #425131=DIRECTION('',(0.,0.,1.)); #425132=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #425133=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #425134=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #425135=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #425136=DIRECTION('',(0.,0.,1.)); #425137=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #425138=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #425139=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #425140=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #425141=DIRECTION('',(0.,0.,1.)); #425142=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #425143=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #425144=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #425145=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #425146=DIRECTION('',(0.,0.,1.)); #425147=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #425148=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #425149=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #425150=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #425151=DIRECTION('center_axis',(0.,0.,1.)); #425152=DIRECTION('ref_axis',(1.,0.,0.)); #425153=DIRECTION('center_axis',(0.,0.,1.)); #425154=DIRECTION('ref_axis',(1.,0.,0.)); #425155=DIRECTION('center_axis',(0.,0.,1.)); #425156=DIRECTION('ref_axis',(1.,0.,0.)); #425157=DIRECTION('center_axis',(0.,0.,1.)); #425158=DIRECTION('ref_axis',(1.,0.,0.)); #425159=DIRECTION('',(0.,0.,1.)); #425160=DIRECTION('center_axis',(0.,0.,-1.)); #425161=DIRECTION('ref_axis',(1.,0.,0.)); #425162=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #425163=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #425164=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #425165=DIRECTION('',(0.,0.,1.)); #425166=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #425167=DIRECTION('',(0.,0.,1.)); #425168=DIRECTION('center_axis',(0.,0.,1.)); #425169=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #425170=DIRECTION('center_axis',(0.,0.,1.)); #425171=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #425172=DIRECTION('center_axis',(0.,0.,1.)); #425173=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #425174=DIRECTION('',(0.,0.,1.)); #425175=DIRECTION('center_axis',(0.,0.,1.)); #425176=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #425177=DIRECTION('center_axis',(0.,0.,1.)); #425178=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #425179=DIRECTION('center_axis',(0.,0.,1.)); #425180=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #425181=DIRECTION('center_axis',(0.,0.,1.)); #425182=DIRECTION('ref_axis',(1.,0.,0.)); #425183=DIRECTION('center_axis',(0.,0.,1.)); #425184=DIRECTION('ref_axis',(1.,0.,0.)); #425185=DIRECTION('center_axis',(1.,0.,0.)); #425186=DIRECTION('ref_axis',(0.,1.,0.)); #425187=DIRECTION('',(0.,-1.,0.)); #425188=DIRECTION('',(0.,0.,1.)); #425189=DIRECTION('',(0.,1.,0.)); #425190=DIRECTION('',(0.,0.,1.)); #425191=DIRECTION('center_axis',(0.,0.,1.)); #425192=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #425193=DIRECTION('center_axis',(0.,0.,-1.)); #425194=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #425195=DIRECTION('center_axis',(0.,0.,1.)); #425196=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #425197=DIRECTION('',(0.,0.,1.)); #425198=DIRECTION('center_axis',(-1.,0.,0.)); #425199=DIRECTION('ref_axis',(0.,-1.,0.)); #425200=DIRECTION('',(0.,1.,0.)); #425201=DIRECTION('',(0.,-1.,0.)); #425202=DIRECTION('',(0.,0.,1.)); #425203=DIRECTION('center_axis',(0.,0.,1.)); #425204=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #425205=DIRECTION('center_axis',(0.,0.,-1.)); #425206=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #425207=DIRECTION('center_axis',(0.,0.,1.)); #425208=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #425209=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #425210=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #425211=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #425212=DIRECTION('',(0.,0.,1.)); #425213=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #425214=DIRECTION('',(0.,0.,1.)); #425215=DIRECTION('center_axis',(0.,-1.,0.)); #425216=DIRECTION('ref_axis',(1.,0.,0.)); #425217=DIRECTION('',(1.,0.,0.)); #425218=DIRECTION('',(1.,0.,0.)); #425219=DIRECTION('',(0.,0.,1.)); #425220=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #425221=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #425222=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #425223=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #425224=DIRECTION('',(0.,0.,1.)); #425225=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #425226=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #425227=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #425228=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #425229=DIRECTION('',(0.,0.,1.)); #425230=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #425231=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #425232=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #425233=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #425234=DIRECTION('',(0.,0.,1.)); #425235=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #425236=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #425237=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #425238=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #425239=DIRECTION('',(0.,0.,1.)); #425240=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #425241=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #425242=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #425243=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #425244=DIRECTION('',(0.,0.,1.)); #425245=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #425246=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #425247=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #425248=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #425249=DIRECTION('',(0.,0.,1.)); #425250=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #425251=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #425252=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #425253=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #425254=DIRECTION('',(0.,0.,1.)); #425255=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #425256=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #425257=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #425258=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #425259=DIRECTION('',(0.,0.,1.)); #425260=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #425261=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #425262=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #425263=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #425264=DIRECTION('',(0.,0.,1.)); #425265=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #425266=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #425267=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #425268=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #425269=DIRECTION('',(0.,0.,1.)); #425270=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #425271=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #425272=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #425273=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #425274=DIRECTION('',(0.,0.,1.)); #425275=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #425276=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #425277=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #425278=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #425279=DIRECTION('',(0.,0.,1.)); #425280=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #425281=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #425282=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #425283=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #425284=DIRECTION('',(0.,0.,1.)); #425285=DIRECTION('center_axis',(-1.,0.,0.)); #425286=DIRECTION('ref_axis',(0.,-1.,0.)); #425287=DIRECTION('',(0.,-1.,0.)); #425288=DIRECTION('',(0.,-1.,0.)); #425289=DIRECTION('',(0.,0.,1.)); #425290=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #425291=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #425292=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #425293=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #425294=DIRECTION('',(0.,0.,1.)); #425295=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #425296=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #425297=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #425298=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #425299=DIRECTION('',(0.,0.,1.)); #425300=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #425301=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #425302=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #425303=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #425304=DIRECTION('',(0.,0.,1.)); #425305=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #425306=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #425307=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #425308=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #425309=DIRECTION('',(0.,0.,1.)); #425310=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #425311=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #425312=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #425313=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #425314=DIRECTION('',(0.,0.,1.)); #425315=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #425316=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #425317=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #425318=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #425319=DIRECTION('',(0.,0.,1.)); #425320=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #425321=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #425322=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #425323=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #425324=DIRECTION('',(0.,0.,1.)); #425325=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #425326=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #425327=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #425328=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #425329=DIRECTION('',(0.,0.,1.)); #425330=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #425331=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #425332=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #425333=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #425334=DIRECTION('',(0.,0.,1.)); #425335=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #425336=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #425337=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #425338=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #425339=DIRECTION('',(0.,0.,1.)); #425340=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #425341=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #425342=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #425343=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #425344=DIRECTION('',(0.,0.,1.)); #425345=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #425346=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #425347=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #425348=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #425349=DIRECTION('',(0.,0.,1.)); #425350=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #425351=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #425352=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #425353=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #425354=DIRECTION('',(0.,0.,1.)); #425355=DIRECTION('center_axis',(0.,1.,0.)); #425356=DIRECTION('ref_axis',(-1.,0.,0.)); #425357=DIRECTION('',(-1.,0.,0.)); #425358=DIRECTION('',(-1.,0.,0.)); #425359=DIRECTION('',(0.,0.,1.)); #425360=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #425361=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #425362=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #425363=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #425364=DIRECTION('',(0.,0.,1.)); #425365=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #425366=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #425367=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #425368=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #425369=DIRECTION('',(0.,0.,1.)); #425370=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #425371=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #425372=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #425373=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #425374=DIRECTION('',(0.,0.,1.)); #425375=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #425376=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #425377=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #425378=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #425379=DIRECTION('',(0.,0.,1.)); #425380=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #425381=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #425382=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #425383=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #425384=DIRECTION('',(0.,0.,1.)); #425385=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #425386=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #425387=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #425388=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #425389=DIRECTION('',(0.,0.,1.)); #425390=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #425391=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #425392=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #425393=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #425394=DIRECTION('',(0.,0.,1.)); #425395=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #425396=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #425397=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #425398=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #425399=DIRECTION('',(0.,0.,1.)); #425400=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #425401=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #425402=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #425403=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #425404=DIRECTION('',(0.,0.,1.)); #425405=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #425406=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #425407=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #425408=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #425409=DIRECTION('',(0.,0.,1.)); #425410=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #425411=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #425412=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #425413=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #425414=DIRECTION('',(0.,0.,1.)); #425415=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #425416=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #425417=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #425418=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #425419=DIRECTION('',(0.,0.,1.)); #425420=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #425421=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #425422=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #425423=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #425424=DIRECTION('',(0.,0.,1.)); #425425=DIRECTION('center_axis',(1.,0.,0.)); #425426=DIRECTION('ref_axis',(0.,1.,0.)); #425427=DIRECTION('',(0.,1.,0.)); #425428=DIRECTION('',(0.,1.,0.)); #425429=DIRECTION('',(0.,0.,1.)); #425430=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #425431=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #425432=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #425433=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #425434=DIRECTION('',(0.,0.,1.)); #425435=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #425436=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #425437=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #425438=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #425439=DIRECTION('',(0.,0.,1.)); #425440=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #425441=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #425442=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #425443=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #425444=DIRECTION('',(0.,0.,1.)); #425445=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #425446=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #425447=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #425448=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #425449=DIRECTION('',(0.,0.,1.)); #425450=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #425451=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #425452=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #425453=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #425454=DIRECTION('',(0.,0.,1.)); #425455=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #425456=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #425457=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #425458=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #425459=DIRECTION('',(0.,0.,1.)); #425460=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #425461=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #425462=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #425463=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #425464=DIRECTION('',(0.,0.,1.)); #425465=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #425466=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #425467=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #425468=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #425469=DIRECTION('',(0.,0.,1.)); #425470=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #425471=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #425472=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #425473=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #425474=DIRECTION('',(0.,0.,1.)); #425475=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #425476=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #425477=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #425478=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #425479=DIRECTION('',(0.,0.,1.)); #425480=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #425481=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #425482=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #425483=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #425484=DIRECTION('',(0.,0.,1.)); #425485=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #425486=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #425487=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #425488=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #425489=DIRECTION('center_axis',(0.,0.,1.)); #425490=DIRECTION('ref_axis',(1.,0.,0.)); #425491=DIRECTION('center_axis',(0.,0.,1.)); #425492=DIRECTION('ref_axis',(1.,0.,0.)); #425493=DIRECTION('center_axis',(0.,0.,1.)); #425494=DIRECTION('ref_axis',(1.,0.,0.)); #425495=DIRECTION('center_axis',(0.,0.,1.)); #425496=DIRECTION('ref_axis',(1.,0.,0.)); #425497=DIRECTION('',(0.,0.,1.)); #425498=DIRECTION('center_axis',(0.,0.,-1.)); #425499=DIRECTION('ref_axis',(1.,0.,0.)); #425500=DIRECTION('center_axis',(0.707106781186541,-0.707106781186555,0.)); #425501=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #425502=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #425503=DIRECTION('',(0.,0.,1.)); #425504=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #425505=DIRECTION('',(0.,0.,1.)); #425506=DIRECTION('center_axis',(0.,-1.,0.)); #425507=DIRECTION('ref_axis',(1.,0.,0.)); #425508=DIRECTION('',(1.,0.,0.)); #425509=DIRECTION('',(1.,0.,0.)); #425510=DIRECTION('',(0.,0.,1.)); #425511=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186555, 0.)); #425512=DIRECTION('ref_axis',(0.707106781186555,-0.70710678118654,0.)); #425513=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #425514=DIRECTION('',(0.707106781186555,-0.70710678118654,0.)); #425515=DIRECTION('',(0.,0.,1.)); #425516=DIRECTION('center_axis',(-1.,0.,0.)); #425517=DIRECTION('ref_axis',(0.,-1.,0.)); #425518=DIRECTION('',(0.,-1.,0.)); #425519=DIRECTION('',(0.,-1.,0.)); #425520=DIRECTION('',(0.,0.,1.)); #425521=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #425522=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #425523=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #425524=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #425525=DIRECTION('',(0.,0.,1.)); #425526=DIRECTION('center_axis',(0.,1.,0.)); #425527=DIRECTION('ref_axis',(-1.,0.,0.)); #425528=DIRECTION('',(-1.,0.,0.)); #425529=DIRECTION('',(-1.,0.,0.)); #425530=DIRECTION('',(0.,0.,1.)); #425531=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #425532=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #425533=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #425534=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #425535=DIRECTION('',(0.,0.,1.)); #425536=DIRECTION('center_axis',(1.,0.,0.)); #425537=DIRECTION('ref_axis',(0.,1.,0.)); #425538=DIRECTION('',(0.,1.,0.)); #425539=DIRECTION('',(0.,1.,0.)); #425540=DIRECTION('center_axis',(0.,0.,1.)); #425541=DIRECTION('ref_axis',(1.,0.,0.)); #425542=DIRECTION('center_axis',(0.,0.,1.)); #425543=DIRECTION('ref_axis',(1.,0.,0.)); #425544=DIRECTION('center_axis',(0.,0.,1.)); #425545=DIRECTION('ref_axis',(1.,0.,0.)); #425546=DIRECTION('center_axis',(0.,0.,1.)); #425547=DIRECTION('ref_axis',(1.,0.,0.)); #425548=DIRECTION('',(0.,0.,1.)); #425549=DIRECTION('center_axis',(0.,0.,-1.)); #425550=DIRECTION('ref_axis',(1.,0.,0.)); #425551=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #425552=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #425553=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #425554=DIRECTION('',(0.,0.,1.)); #425555=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #425556=DIRECTION('',(0.,0.,1.)); #425557=DIRECTION('center_axis',(0.,0.,1.)); #425558=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #425559=DIRECTION('center_axis',(0.,0.,1.)); #425560=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #425561=DIRECTION('center_axis',(0.,0.,1.)); #425562=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #425563=DIRECTION('',(0.,0.,1.)); #425564=DIRECTION('center_axis',(0.,0.,1.)); #425565=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #425566=DIRECTION('center_axis',(0.,0.,1.)); #425567=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #425568=DIRECTION('center_axis',(0.,0.,1.)); #425569=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #425570=DIRECTION('center_axis',(0.,0.,1.)); #425571=DIRECTION('ref_axis',(1.,0.,0.)); #425572=DIRECTION('center_axis',(0.,0.,1.)); #425573=DIRECTION('ref_axis',(1.,0.,0.)); #425574=DIRECTION('center_axis',(0.,1.,0.)); #425575=DIRECTION('ref_axis',(-1.,0.,0.)); #425576=DIRECTION('',(1.,0.,0.)); #425577=DIRECTION('',(0.,0.,1.)); #425578=DIRECTION('',(-1.,0.,0.)); #425579=DIRECTION('',(0.,0.,1.)); #425580=DIRECTION('center_axis',(0.,0.,1.)); #425581=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #425582=DIRECTION('center_axis',(0.,0.,-1.)); #425583=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #425584=DIRECTION('center_axis',(0.,0.,1.)); #425585=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #425586=DIRECTION('',(0.,0.,1.)); #425587=DIRECTION('center_axis',(0.,-1.,0.)); #425588=DIRECTION('ref_axis',(1.,0.,0.)); #425589=DIRECTION('',(-1.,0.,0.)); #425590=DIRECTION('',(1.,0.,0.)); #425591=DIRECTION('',(0.,0.,1.)); #425592=DIRECTION('center_axis',(0.,0.,1.)); #425593=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #425594=DIRECTION('center_axis',(0.,0.,-1.)); #425595=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #425596=DIRECTION('center_axis',(0.,0.,1.)); #425597=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #425598=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #425599=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #425600=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #425601=DIRECTION('',(0.,0.,1.)); #425602=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #425603=DIRECTION('',(0.,0.,1.)); #425604=DIRECTION('center_axis',(0.,-1.,0.)); #425605=DIRECTION('ref_axis',(1.,0.,0.)); #425606=DIRECTION('',(1.,0.,0.)); #425607=DIRECTION('',(1.,0.,0.)); #425608=DIRECTION('',(0.,0.,1.)); #425609=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #425610=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #425611=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #425612=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #425613=DIRECTION('',(0.,0.,1.)); #425614=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #425615=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #425616=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #425617=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #425618=DIRECTION('',(0.,0.,1.)); #425619=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #425620=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #425621=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #425622=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #425623=DIRECTION('',(0.,0.,1.)); #425624=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #425625=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #425626=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #425627=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #425628=DIRECTION('',(0.,0.,1.)); #425629=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #425630=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #425631=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #425632=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #425633=DIRECTION('',(0.,0.,1.)); #425634=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #425635=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #425636=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #425637=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #425638=DIRECTION('',(0.,0.,1.)); #425639=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #425640=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #425641=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #425642=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #425643=DIRECTION('',(0.,0.,1.)); #425644=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #425645=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #425646=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #425647=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #425648=DIRECTION('',(0.,0.,1.)); #425649=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #425650=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #425651=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #425652=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #425653=DIRECTION('',(0.,0.,1.)); #425654=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #425655=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #425656=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #425657=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #425658=DIRECTION('',(0.,0.,1.)); #425659=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #425660=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #425661=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #425662=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #425663=DIRECTION('',(0.,0.,1.)); #425664=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #425665=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #425666=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #425667=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #425668=DIRECTION('',(0.,0.,1.)); #425669=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #425670=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #425671=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #425672=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #425673=DIRECTION('',(0.,0.,1.)); #425674=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #425675=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #425676=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #425677=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #425678=DIRECTION('',(0.,0.,1.)); #425679=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #425680=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #425681=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #425682=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #425683=DIRECTION('',(0.,0.,1.)); #425684=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #425685=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #425686=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #425687=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #425688=DIRECTION('',(0.,0.,1.)); #425689=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #425690=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #425691=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #425692=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #425693=DIRECTION('',(0.,0.,1.)); #425694=DIRECTION('center_axis',(-1.,0.,0.)); #425695=DIRECTION('ref_axis',(0.,-1.,0.)); #425696=DIRECTION('',(0.,-1.,0.)); #425697=DIRECTION('',(0.,-1.,0.)); #425698=DIRECTION('',(0.,0.,1.)); #425699=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #425700=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #425701=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #425702=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #425703=DIRECTION('',(0.,0.,1.)); #425704=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #425705=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #425706=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #425707=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #425708=DIRECTION('',(0.,0.,1.)); #425709=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #425710=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #425711=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #425712=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #425713=DIRECTION('',(0.,0.,1.)); #425714=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #425715=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #425716=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #425717=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #425718=DIRECTION('',(0.,0.,1.)); #425719=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #425720=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #425721=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #425722=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #425723=DIRECTION('',(0.,0.,1.)); #425724=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #425725=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #425726=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #425727=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #425728=DIRECTION('',(0.,0.,1.)); #425729=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #425730=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #425731=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #425732=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #425733=DIRECTION('',(0.,0.,1.)); #425734=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #425735=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #425736=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #425737=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #425738=DIRECTION('',(0.,0.,1.)); #425739=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #425740=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #425741=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #425742=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #425743=DIRECTION('',(0.,0.,1.)); #425744=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #425745=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #425746=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #425747=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #425748=DIRECTION('',(0.,0.,1.)); #425749=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #425750=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #425751=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #425752=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #425753=DIRECTION('',(0.,0.,1.)); #425754=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #425755=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #425756=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #425757=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #425758=DIRECTION('',(0.,0.,1.)); #425759=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #425760=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #425761=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #425762=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #425763=DIRECTION('',(0.,0.,1.)); #425764=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #425765=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #425766=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #425767=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #425768=DIRECTION('',(0.,0.,1.)); #425769=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #425770=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #425771=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #425772=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #425773=DIRECTION('',(0.,0.,1.)); #425774=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #425775=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #425776=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #425777=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #425778=DIRECTION('',(0.,0.,1.)); #425779=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #425780=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #425781=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #425782=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #425783=DIRECTION('',(0.,0.,1.)); #425784=DIRECTION('center_axis',(0.,1.,0.)); #425785=DIRECTION('ref_axis',(-1.,0.,0.)); #425786=DIRECTION('',(-1.,0.,0.)); #425787=DIRECTION('',(-1.,0.,0.)); #425788=DIRECTION('',(0.,0.,1.)); #425789=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #425790=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #425791=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #425792=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #425793=DIRECTION('',(0.,0.,1.)); #425794=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #425795=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #425796=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #425797=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #425798=DIRECTION('',(0.,0.,1.)); #425799=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #425800=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #425801=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #425802=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #425803=DIRECTION('',(0.,0.,1.)); #425804=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #425805=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #425806=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #425807=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #425808=DIRECTION('',(0.,0.,1.)); #425809=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #425810=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #425811=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #425812=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #425813=DIRECTION('',(0.,0.,1.)); #425814=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #425815=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #425816=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #425817=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #425818=DIRECTION('',(0.,0.,1.)); #425819=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #425820=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #425821=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #425822=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #425823=DIRECTION('',(0.,0.,1.)); #425824=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #425825=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #425826=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #425827=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #425828=DIRECTION('',(0.,0.,1.)); #425829=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #425830=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #425831=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425832=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #425833=DIRECTION('',(0.,0.,1.)); #425834=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #425835=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #425836=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #425837=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #425838=DIRECTION('',(0.,0.,1.)); #425839=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #425840=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #425841=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #425842=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #425843=DIRECTION('',(0.,0.,1.)); #425844=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #425845=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #425846=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #425847=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #425848=DIRECTION('',(0.,0.,1.)); #425849=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #425850=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #425851=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #425852=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #425853=DIRECTION('',(0.,0.,1.)); #425854=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #425855=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #425856=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #425857=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #425858=DIRECTION('',(0.,0.,1.)); #425859=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #425860=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #425861=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #425862=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #425863=DIRECTION('',(0.,0.,1.)); #425864=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #425865=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #425866=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #425867=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #425868=DIRECTION('',(0.,0.,1.)); #425869=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #425870=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #425871=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #425872=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #425873=DIRECTION('',(0.,0.,1.)); #425874=DIRECTION('center_axis',(1.,0.,0.)); #425875=DIRECTION('ref_axis',(0.,1.,0.)); #425876=DIRECTION('',(0.,1.,0.)); #425877=DIRECTION('',(0.,1.,0.)); #425878=DIRECTION('',(0.,0.,1.)); #425879=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #425880=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #425881=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #425882=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #425883=DIRECTION('',(0.,0.,1.)); #425884=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #425885=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #425886=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #425887=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #425888=DIRECTION('',(0.,0.,1.)); #425889=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #425890=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #425891=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #425892=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #425893=DIRECTION('',(0.,0.,1.)); #425894=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #425895=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #425896=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #425897=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #425898=DIRECTION('',(0.,0.,1.)); #425899=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #425900=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #425901=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #425902=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #425903=DIRECTION('',(0.,0.,1.)); #425904=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #425905=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #425906=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #425907=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #425908=DIRECTION('',(0.,0.,1.)); #425909=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #425910=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #425911=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #425912=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #425913=DIRECTION('',(0.,0.,1.)); #425914=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #425915=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #425916=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #425917=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #425918=DIRECTION('',(0.,0.,1.)); #425919=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #425920=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #425921=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #425922=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #425923=DIRECTION('',(0.,0.,1.)); #425924=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #425925=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #425926=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #425927=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #425928=DIRECTION('',(0.,0.,1.)); #425929=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #425930=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #425931=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #425932=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #425933=DIRECTION('',(0.,0.,1.)); #425934=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #425935=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #425936=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #425937=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #425938=DIRECTION('',(0.,0.,1.)); #425939=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #425940=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #425941=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #425942=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #425943=DIRECTION('',(0.,0.,1.)); #425944=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #425945=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #425946=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #425947=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #425948=DIRECTION('',(0.,0.,1.)); #425949=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #425950=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #425951=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #425952=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #425953=DIRECTION('',(0.,0.,1.)); #425954=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #425955=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #425956=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #425957=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #425958=DIRECTION('center_axis',(0.,0.,1.)); #425959=DIRECTION('ref_axis',(1.,0.,0.)); #425960=DIRECTION('center_axis',(0.,0.,1.)); #425961=DIRECTION('ref_axis',(1.,0.,0.)); #425962=DIRECTION('center_axis',(0.,0.,1.)); #425963=DIRECTION('ref_axis',(1.,0.,0.)); #425964=DIRECTION('center_axis',(0.,0.,1.)); #425965=DIRECTION('ref_axis',(1.,0.,0.)); #425966=DIRECTION('',(0.,0.,1.)); #425967=DIRECTION('center_axis',(0.,0.,-1.)); #425968=DIRECTION('ref_axis',(1.,0.,0.)); #425969=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #425970=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #425971=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #425972=DIRECTION('',(0.,0.,1.)); #425973=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #425974=DIRECTION('',(0.,0.,1.)); #425975=DIRECTION('center_axis',(0.,-1.,0.)); #425976=DIRECTION('ref_axis',(1.,0.,0.)); #425977=DIRECTION('',(1.,0.,0.)); #425978=DIRECTION('',(1.,0.,0.)); #425979=DIRECTION('',(0.,0.,1.)); #425980=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #425981=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #425982=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #425983=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #425984=DIRECTION('',(0.,0.,1.)); #425985=DIRECTION('center_axis',(-1.,0.,0.)); #425986=DIRECTION('ref_axis',(0.,-1.,0.)); #425987=DIRECTION('',(0.,-1.,0.)); #425988=DIRECTION('',(0.,-1.,0.)); #425989=DIRECTION('',(0.,0.,1.)); #425990=DIRECTION('center_axis',(-0.707106781186541,0.707106781186555,0.)); #425991=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #425992=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #425993=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #425994=DIRECTION('',(0.,0.,1.)); #425995=DIRECTION('center_axis',(0.,1.,0.)); #425996=DIRECTION('ref_axis',(-1.,0.,0.)); #425997=DIRECTION('',(-1.,0.,0.)); #425998=DIRECTION('',(-1.,0.,0.)); #425999=DIRECTION('',(0.,0.,1.)); #426000=DIRECTION('center_axis',(0.707106781186541,0.707106781186555,0.)); #426001=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #426002=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #426003=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #426004=DIRECTION('',(0.,0.,1.)); #426005=DIRECTION('center_axis',(1.,0.,0.)); #426006=DIRECTION('ref_axis',(0.,1.,0.)); #426007=DIRECTION('',(0.,1.,0.)); #426008=DIRECTION('',(0.,1.,0.)); #426009=DIRECTION('center_axis',(0.,0.,1.)); #426010=DIRECTION('ref_axis',(1.,0.,0.)); #426011=DIRECTION('center_axis',(0.,0.,1.)); #426012=DIRECTION('ref_axis',(1.,0.,0.)); #426013=DIRECTION('center_axis',(0.,0.,1.)); #426014=DIRECTION('ref_axis',(1.,0.,0.)); #426015=DIRECTION('center_axis',(0.,0.,1.)); #426016=DIRECTION('ref_axis',(1.,0.,0.)); #426017=DIRECTION('',(0.,0.,1.)); #426018=DIRECTION('center_axis',(0.,0.,-1.)); #426019=DIRECTION('ref_axis',(1.,0.,0.)); #426020=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #426021=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #426022=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #426023=DIRECTION('',(0.,0.,1.)); #426024=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #426025=DIRECTION('',(0.,0.,1.)); #426026=DIRECTION('center_axis',(0.,0.,1.)); #426027=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426028=DIRECTION('center_axis',(0.,0.,1.)); #426029=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426030=DIRECTION('center_axis',(0.,0.,1.)); #426031=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426032=DIRECTION('',(0.,0.,1.)); #426033=DIRECTION('center_axis',(0.,0.,1.)); #426034=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #426035=DIRECTION('center_axis',(0.,0.,1.)); #426036=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #426037=DIRECTION('center_axis',(0.,0.,1.)); #426038=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #426039=DIRECTION('center_axis',(0.,0.,1.)); #426040=DIRECTION('ref_axis',(1.,0.,0.)); #426041=DIRECTION('center_axis',(0.,0.,1.)); #426042=DIRECTION('ref_axis',(1.,0.,0.)); #426043=DIRECTION('center_axis',(0.,0.,1.)); #426044=DIRECTION('ref_axis',(1.,0.,0.)); #426045=DIRECTION('center_axis',(0.,0.,1.)); #426046=DIRECTION('ref_axis',(1.,0.,0.)); #426047=DIRECTION('',(0.,0.,1.)); #426048=DIRECTION('center_axis',(0.,0.,-1.)); #426049=DIRECTION('ref_axis',(1.,0.,0.)); #426050=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #426051=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #426052=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426053=DIRECTION('',(0.,0.,1.)); #426054=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426055=DIRECTION('',(0.,0.,1.)); #426056=DIRECTION('center_axis',(0.,0.,1.)); #426057=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426058=DIRECTION('center_axis',(0.,0.,1.)); #426059=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426060=DIRECTION('center_axis',(0.,0.,1.)); #426061=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426062=DIRECTION('',(0.,0.,1.)); #426063=DIRECTION('center_axis',(0.,0.,1.)); #426064=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426065=DIRECTION('center_axis',(0.,0.,1.)); #426066=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426067=DIRECTION('center_axis',(0.,0.,1.)); #426068=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426069=DIRECTION('center_axis',(0.,0.,1.)); #426070=DIRECTION('ref_axis',(1.,0.,0.)); #426071=DIRECTION('center_axis',(0.,0.,1.)); #426072=DIRECTION('ref_axis',(1.,0.,0.)); #426073=DIRECTION('center_axis',(0.,0.,1.)); #426074=DIRECTION('ref_axis',(1.,0.,0.)); #426075=DIRECTION('center_axis',(0.,0.,1.)); #426076=DIRECTION('ref_axis',(1.,0.,0.)); #426077=DIRECTION('',(0.,0.,1.)); #426078=DIRECTION('center_axis',(0.,0.,-1.)); #426079=DIRECTION('ref_axis',(1.,0.,0.)); #426080=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #426081=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #426082=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426083=DIRECTION('',(0.,0.,1.)); #426084=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426085=DIRECTION('',(0.,0.,1.)); #426086=DIRECTION('center_axis',(0.,0.,1.)); #426087=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426088=DIRECTION('center_axis',(0.,0.,1.)); #426089=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426090=DIRECTION('center_axis',(0.,0.,1.)); #426091=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426092=DIRECTION('',(0.,0.,1.)); #426093=DIRECTION('center_axis',(0.,0.,1.)); #426094=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426095=DIRECTION('center_axis',(0.,0.,1.)); #426096=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426097=DIRECTION('center_axis',(0.,0.,1.)); #426098=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426099=DIRECTION('center_axis',(0.,0.,1.)); #426100=DIRECTION('ref_axis',(1.,0.,0.)); #426101=DIRECTION('center_axis',(0.,0.,1.)); #426102=DIRECTION('ref_axis',(1.,0.,0.)); #426103=DIRECTION('center_axis',(0.,0.,1.)); #426104=DIRECTION('ref_axis',(1.,0.,0.)); #426105=DIRECTION('center_axis',(0.,0.,1.)); #426106=DIRECTION('ref_axis',(1.,0.,0.)); #426107=DIRECTION('',(0.,0.,1.)); #426108=DIRECTION('center_axis',(0.,0.,-1.)); #426109=DIRECTION('ref_axis',(1.,0.,0.)); #426110=DIRECTION('center_axis',(1.,0.,0.)); #426111=DIRECTION('ref_axis',(0.,1.,0.)); #426112=DIRECTION('',(0.,1.,0.)); #426113=DIRECTION('',(0.,0.,1.)); #426114=DIRECTION('',(0.,1.,0.)); #426115=DIRECTION('',(0.,0.,1.)); #426116=DIRECTION('center_axis',(0.,-1.,0.)); #426117=DIRECTION('ref_axis',(1.,0.,0.)); #426118=DIRECTION('',(1.,0.,0.)); #426119=DIRECTION('',(1.,0.,0.)); #426120=DIRECTION('',(0.,0.,1.)); #426121=DIRECTION('center_axis',(-1.,0.,0.)); #426122=DIRECTION('ref_axis',(0.,-1.,0.)); #426123=DIRECTION('',(0.,-1.,0.)); #426124=DIRECTION('',(0.,-1.,0.)); #426125=DIRECTION('',(0.,0.,1.)); #426126=DIRECTION('center_axis',(0.,1.,0.)); #426127=DIRECTION('ref_axis',(-1.,0.,0.)); #426128=DIRECTION('',(-1.,0.,0.)); #426129=DIRECTION('',(-1.,0.,0.)); #426130=DIRECTION('center_axis',(0.,0.,1.)); #426131=DIRECTION('ref_axis',(1.,0.,0.)); #426132=DIRECTION('center_axis',(0.,0.,1.)); #426133=DIRECTION('ref_axis',(1.,0.,0.)); #426134=DIRECTION('center_axis',(0.,0.,1.)); #426135=DIRECTION('ref_axis',(1.,0.,0.)); #426136=DIRECTION('center_axis',(0.,0.,1.)); #426137=DIRECTION('ref_axis',(1.,0.,0.)); #426138=DIRECTION('',(0.,0.,1.)); #426139=DIRECTION('center_axis',(0.,0.,-1.)); #426140=DIRECTION('ref_axis',(1.,0.,0.)); #426141=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #426142=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #426143=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #426144=DIRECTION('',(0.,0.,1.)); #426145=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #426146=DIRECTION('',(0.,0.,1.)); #426147=DIRECTION('center_axis',(0.,-1.,0.)); #426148=DIRECTION('ref_axis',(1.,0.,0.)); #426149=DIRECTION('',(1.,0.,0.)); #426150=DIRECTION('',(1.,0.,0.)); #426151=DIRECTION('',(0.,0.,1.)); #426152=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #426153=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #426154=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426155=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #426156=DIRECTION('',(0.,0.,1.)); #426157=DIRECTION('center_axis',(-1.,0.,0.)); #426158=DIRECTION('ref_axis',(0.,-1.,0.)); #426159=DIRECTION('',(0.,-1.,0.)); #426160=DIRECTION('',(0.,-1.,0.)); #426161=DIRECTION('',(0.,0.,1.)); #426162=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #426163=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #426164=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #426165=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #426166=DIRECTION('',(0.,0.,1.)); #426167=DIRECTION('center_axis',(0.,1.,0.)); #426168=DIRECTION('ref_axis',(-1.,0.,0.)); #426169=DIRECTION('',(-1.,0.,0.)); #426170=DIRECTION('',(-1.,0.,0.)); #426171=DIRECTION('',(0.,0.,1.)); #426172=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #426173=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #426174=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426175=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #426176=DIRECTION('',(0.,0.,1.)); #426177=DIRECTION('center_axis',(1.,0.,0.)); #426178=DIRECTION('ref_axis',(0.,1.,0.)); #426179=DIRECTION('',(0.,1.,0.)); #426180=DIRECTION('',(0.,1.,0.)); #426181=DIRECTION('center_axis',(0.,0.,1.)); #426182=DIRECTION('ref_axis',(1.,0.,0.)); #426183=DIRECTION('center_axis',(0.,0.,1.)); #426184=DIRECTION('ref_axis',(1.,0.,0.)); #426185=DIRECTION('center_axis',(0.,0.,1.)); #426186=DIRECTION('ref_axis',(1.,0.,0.)); #426187=DIRECTION('center_axis',(0.,0.,1.)); #426188=DIRECTION('ref_axis',(1.,0.,0.)); #426189=DIRECTION('',(0.,0.,1.)); #426190=DIRECTION('center_axis',(0.,0.,-1.)); #426191=DIRECTION('ref_axis',(1.,0.,0.)); #426192=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #426193=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #426194=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426195=DIRECTION('',(0.,0.,1.)); #426196=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426197=DIRECTION('',(0.,0.,1.)); #426198=DIRECTION('center_axis',(0.,0.,1.)); #426199=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426200=DIRECTION('center_axis',(0.,0.,1.)); #426201=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426202=DIRECTION('center_axis',(0.,0.,1.)); #426203=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426204=DIRECTION('',(0.,0.,1.)); #426205=DIRECTION('center_axis',(0.,0.,1.)); #426206=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426207=DIRECTION('center_axis',(0.,0.,1.)); #426208=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426209=DIRECTION('center_axis',(0.,0.,1.)); #426210=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426211=DIRECTION('center_axis',(0.,0.,1.)); #426212=DIRECTION('ref_axis',(1.,0.,0.)); #426213=DIRECTION('center_axis',(0.,0.,1.)); #426214=DIRECTION('ref_axis',(1.,0.,0.)); #426215=DIRECTION('center_axis',(0.,0.,1.)); #426216=DIRECTION('ref_axis',(1.,0.,0.)); #426217=DIRECTION('center_axis',(0.,0.,1.)); #426218=DIRECTION('ref_axis',(1.,0.,0.)); #426219=DIRECTION('',(0.,0.,1.)); #426220=DIRECTION('center_axis',(0.,0.,-1.)); #426221=DIRECTION('ref_axis',(1.,0.,0.)); #426222=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #426223=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #426224=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426225=DIRECTION('',(0.,0.,1.)); #426226=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #426227=DIRECTION('',(0.,0.,1.)); #426228=DIRECTION('center_axis',(0.,0.,1.)); #426229=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426230=DIRECTION('center_axis',(0.,0.,1.)); #426231=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426232=DIRECTION('center_axis',(0.,0.,1.)); #426233=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #426234=DIRECTION('',(0.,0.,1.)); #426235=DIRECTION('center_axis',(0.,0.,1.)); #426236=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426237=DIRECTION('center_axis',(0.,0.,1.)); #426238=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426239=DIRECTION('center_axis',(0.,0.,1.)); #426240=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #426241=DIRECTION('center_axis',(0.,0.,1.)); #426242=DIRECTION('ref_axis',(1.,0.,0.)); #426243=DIRECTION('center_axis',(0.,0.,1.)); #426244=DIRECTION('ref_axis',(1.,0.,0.)); #426245=DIRECTION('center_axis',(1.,0.,0.)); #426246=DIRECTION('ref_axis',(0.,1.,0.)); #426247=DIRECTION('',(0.,1.,0.)); #426248=DIRECTION('',(0.,0.,1.)); #426249=DIRECTION('',(0.,1.,0.)); #426250=DIRECTION('',(0.,0.,1.)); #426251=DIRECTION('center_axis',(0.,-1.,0.)); #426252=DIRECTION('ref_axis',(1.,0.,0.)); #426253=DIRECTION('',(1.,0.,0.)); #426254=DIRECTION('',(1.,0.,0.)); #426255=DIRECTION('',(0.,0.,1.)); #426256=DIRECTION('center_axis',(-1.,0.,0.)); #426257=DIRECTION('ref_axis',(0.,-1.,0.)); #426258=DIRECTION('',(0.,-1.,0.)); #426259=DIRECTION('',(0.,-1.,0.)); #426260=DIRECTION('',(0.,0.,1.)); #426261=DIRECTION('center_axis',(0.,1.,0.)); #426262=DIRECTION('ref_axis',(-1.,0.,0.)); #426263=DIRECTION('',(-1.,0.,0.)); #426264=DIRECTION('',(-1.,0.,0.)); #426265=DIRECTION('center_axis',(0.,0.,1.)); #426266=DIRECTION('ref_axis',(1.,0.,0.)); #426267=DIRECTION('center_axis',(0.,0.,1.)); #426268=DIRECTION('ref_axis',(1.,0.,0.)); #426269=DIRECTION('center_axis',(1.,0.,0.)); #426270=DIRECTION('ref_axis',(0.,1.,0.)); #426271=DIRECTION('',(0.,1.,0.)); #426272=DIRECTION('',(0.,0.,1.)); #426273=DIRECTION('',(0.,1.,0.)); #426274=DIRECTION('',(0.,0.,1.)); #426275=DIRECTION('center_axis',(0.,-1.,0.)); #426276=DIRECTION('ref_axis',(1.,0.,0.)); #426277=DIRECTION('',(1.,0.,0.)); #426278=DIRECTION('',(1.,0.,0.)); #426279=DIRECTION('',(0.,0.,1.)); #426280=DIRECTION('center_axis',(-1.,0.,0.)); #426281=DIRECTION('ref_axis',(0.,-1.,0.)); #426282=DIRECTION('',(0.,-1.,0.)); #426283=DIRECTION('',(0.,-1.,0.)); #426284=DIRECTION('',(0.,0.,1.)); #426285=DIRECTION('center_axis',(0.,1.,0.)); #426286=DIRECTION('ref_axis',(-1.,0.,0.)); #426287=DIRECTION('',(-1.,0.,0.)); #426288=DIRECTION('',(-1.,0.,0.)); #426289=DIRECTION('center_axis',(0.,0.,1.)); #426290=DIRECTION('ref_axis',(1.,0.,0.)); #426291=DIRECTION('center_axis',(0.,0.,1.)); #426292=DIRECTION('ref_axis',(1.,0.,0.)); #426293=DIRECTION('center_axis',(1.,0.,0.)); #426294=DIRECTION('ref_axis',(0.,1.,0.)); #426295=DIRECTION('',(0.,1.,0.)); #426296=DIRECTION('',(0.,0.,1.)); #426297=DIRECTION('',(0.,1.,0.)); #426298=DIRECTION('',(0.,0.,1.)); #426299=DIRECTION('center_axis',(0.,-1.,0.)); #426300=DIRECTION('ref_axis',(1.,0.,0.)); #426301=DIRECTION('',(1.,0.,0.)); #426302=DIRECTION('',(1.,0.,0.)); #426303=DIRECTION('',(0.,0.,1.)); #426304=DIRECTION('center_axis',(-1.,0.,0.)); #426305=DIRECTION('ref_axis',(0.,-1.,0.)); #426306=DIRECTION('',(0.,-1.,0.)); #426307=DIRECTION('',(0.,-1.,0.)); #426308=DIRECTION('',(0.,0.,1.)); #426309=DIRECTION('center_axis',(0.,1.,0.)); #426310=DIRECTION('ref_axis',(-1.,0.,0.)); #426311=DIRECTION('',(-1.,0.,0.)); #426312=DIRECTION('',(-1.,0.,0.)); #426313=DIRECTION('center_axis',(0.,0.,1.)); #426314=DIRECTION('ref_axis',(1.,0.,0.)); #426315=DIRECTION('center_axis',(0.,0.,1.)); #426316=DIRECTION('ref_axis',(1.,0.,0.)); #426317=DIRECTION('center_axis',(1.,0.,0.)); #426318=DIRECTION('ref_axis',(0.,1.,0.)); #426319=DIRECTION('',(0.,1.,0.)); #426320=DIRECTION('',(0.,0.,1.)); #426321=DIRECTION('',(0.,1.,0.)); #426322=DIRECTION('',(0.,0.,1.)); #426323=DIRECTION('center_axis',(0.,-1.,0.)); #426324=DIRECTION('ref_axis',(1.,0.,0.)); #426325=DIRECTION('',(1.,0.,0.)); #426326=DIRECTION('',(1.,0.,0.)); #426327=DIRECTION('',(0.,0.,1.)); #426328=DIRECTION('center_axis',(-1.,0.,0.)); #426329=DIRECTION('ref_axis',(0.,-1.,0.)); #426330=DIRECTION('',(0.,-1.,0.)); #426331=DIRECTION('',(0.,-1.,0.)); #426332=DIRECTION('',(0.,0.,1.)); #426333=DIRECTION('center_axis',(0.,1.,0.)); #426334=DIRECTION('ref_axis',(-1.,0.,0.)); #426335=DIRECTION('',(-1.,0.,0.)); #426336=DIRECTION('',(-1.,0.,0.)); #426337=DIRECTION('center_axis',(0.,0.,1.)); #426338=DIRECTION('ref_axis',(1.,0.,0.)); #426339=DIRECTION('center_axis',(0.,0.,1.)); #426340=DIRECTION('ref_axis',(1.,0.,0.)); #426341=DIRECTION('center_axis',(1.,0.,0.)); #426342=DIRECTION('ref_axis',(0.,1.,0.)); #426343=DIRECTION('',(0.,1.,0.)); #426344=DIRECTION('',(0.,0.,1.)); #426345=DIRECTION('',(0.,1.,0.)); #426346=DIRECTION('',(0.,0.,1.)); #426347=DIRECTION('center_axis',(0.,-1.,0.)); #426348=DIRECTION('ref_axis',(1.,0.,0.)); #426349=DIRECTION('',(1.,0.,0.)); #426350=DIRECTION('',(1.,0.,0.)); #426351=DIRECTION('',(0.,0.,1.)); #426352=DIRECTION('center_axis',(-1.,0.,0.)); #426353=DIRECTION('ref_axis',(0.,-1.,0.)); #426354=DIRECTION('',(0.,-1.,0.)); #426355=DIRECTION('',(0.,-1.,0.)); #426356=DIRECTION('',(0.,0.,1.)); #426357=DIRECTION('center_axis',(0.,1.,0.)); #426358=DIRECTION('ref_axis',(-1.,0.,0.)); #426359=DIRECTION('',(-1.,0.,0.)); #426360=DIRECTION('',(-1.,0.,0.)); #426361=DIRECTION('center_axis',(0.,0.,1.)); #426362=DIRECTION('ref_axis',(1.,0.,0.)); #426363=DIRECTION('center_axis',(0.,0.,1.)); #426364=DIRECTION('ref_axis',(1.,0.,0.)); #426365=DIRECTION('center_axis',(1.,0.,0.)); #426366=DIRECTION('ref_axis',(0.,1.,0.)); #426367=DIRECTION('',(0.,1.,0.)); #426368=DIRECTION('',(0.,0.,1.)); #426369=DIRECTION('',(0.,1.,0.)); #426370=DIRECTION('',(0.,0.,1.)); #426371=DIRECTION('center_axis',(0.,-1.,0.)); #426372=DIRECTION('ref_axis',(1.,0.,0.)); #426373=DIRECTION('',(1.,0.,0.)); #426374=DIRECTION('',(1.,0.,0.)); #426375=DIRECTION('',(0.,0.,1.)); #426376=DIRECTION('center_axis',(-1.,0.,0.)); #426377=DIRECTION('ref_axis',(0.,-1.,0.)); #426378=DIRECTION('',(0.,-1.,0.)); #426379=DIRECTION('',(0.,-1.,0.)); #426380=DIRECTION('',(0.,0.,1.)); #426381=DIRECTION('center_axis',(0.,1.,0.)); #426382=DIRECTION('ref_axis',(-1.,0.,0.)); #426383=DIRECTION('',(-1.,0.,0.)); #426384=DIRECTION('',(-1.,0.,0.)); #426385=DIRECTION('center_axis',(0.,0.,1.)); #426386=DIRECTION('ref_axis',(1.,0.,0.)); #426387=DIRECTION('center_axis',(0.,0.,1.)); #426388=DIRECTION('ref_axis',(1.,0.,0.)); #426389=DIRECTION('center_axis',(1.,0.,0.)); #426390=DIRECTION('ref_axis',(0.,1.,0.)); #426391=DIRECTION('',(0.,1.,0.)); #426392=DIRECTION('',(0.,0.,1.)); #426393=DIRECTION('',(0.,1.,0.)); #426394=DIRECTION('',(0.,0.,1.)); #426395=DIRECTION('center_axis',(0.,-1.,0.)); #426396=DIRECTION('ref_axis',(1.,0.,0.)); #426397=DIRECTION('',(1.,0.,0.)); #426398=DIRECTION('',(1.,0.,0.)); #426399=DIRECTION('',(0.,0.,1.)); #426400=DIRECTION('center_axis',(-1.,0.,0.)); #426401=DIRECTION('ref_axis',(0.,-1.,0.)); #426402=DIRECTION('',(0.,-1.,0.)); #426403=DIRECTION('',(0.,-1.,0.)); #426404=DIRECTION('',(0.,0.,1.)); #426405=DIRECTION('center_axis',(0.,1.,0.)); #426406=DIRECTION('ref_axis',(-1.,0.,0.)); #426407=DIRECTION('',(-1.,0.,0.)); #426408=DIRECTION('',(-1.,0.,0.)); #426409=DIRECTION('center_axis',(0.,0.,1.)); #426410=DIRECTION('ref_axis',(1.,0.,0.)); #426411=DIRECTION('center_axis',(0.,0.,1.)); #426412=DIRECTION('ref_axis',(1.,0.,0.)); #426413=DIRECTION('center_axis',(1.,0.,0.)); #426414=DIRECTION('ref_axis',(0.,1.,0.)); #426415=DIRECTION('',(0.,1.,0.)); #426416=DIRECTION('',(0.,0.,1.)); #426417=DIRECTION('',(0.,1.,0.)); #426418=DIRECTION('',(0.,0.,1.)); #426419=DIRECTION('center_axis',(0.,-1.,0.)); #426420=DIRECTION('ref_axis',(1.,0.,0.)); #426421=DIRECTION('',(1.,0.,0.)); #426422=DIRECTION('',(1.,0.,0.)); #426423=DIRECTION('',(0.,0.,1.)); #426424=DIRECTION('center_axis',(-1.,0.,0.)); #426425=DIRECTION('ref_axis',(0.,-1.,0.)); #426426=DIRECTION('',(0.,-1.,0.)); #426427=DIRECTION('',(0.,-1.,0.)); #426428=DIRECTION('',(0.,0.,1.)); #426429=DIRECTION('center_axis',(0.,1.,0.)); #426430=DIRECTION('ref_axis',(-1.,0.,0.)); #426431=DIRECTION('',(-1.,0.,0.)); #426432=DIRECTION('',(-1.,0.,0.)); #426433=DIRECTION('center_axis',(0.,0.,1.)); #426434=DIRECTION('ref_axis',(1.,0.,0.)); #426435=DIRECTION('center_axis',(0.,0.,1.)); #426436=DIRECTION('ref_axis',(1.,0.,0.)); #426437=DIRECTION('center_axis',(1.,0.,0.)); #426438=DIRECTION('ref_axis',(0.,1.,0.)); #426439=DIRECTION('',(0.,1.,0.)); #426440=DIRECTION('',(0.,0.,1.)); #426441=DIRECTION('',(0.,1.,0.)); #426442=DIRECTION('',(0.,0.,1.)); #426443=DIRECTION('center_axis',(0.,-1.,0.)); #426444=DIRECTION('ref_axis',(1.,0.,0.)); #426445=DIRECTION('',(1.,0.,0.)); #426446=DIRECTION('',(1.,0.,0.)); #426447=DIRECTION('',(0.,0.,1.)); #426448=DIRECTION('center_axis',(-1.,0.,0.)); #426449=DIRECTION('ref_axis',(0.,-1.,0.)); #426450=DIRECTION('',(0.,-1.,0.)); #426451=DIRECTION('',(0.,-1.,0.)); #426452=DIRECTION('',(0.,0.,1.)); #426453=DIRECTION('center_axis',(0.,1.,0.)); #426454=DIRECTION('ref_axis',(-1.,0.,0.)); #426455=DIRECTION('',(-1.,0.,0.)); #426456=DIRECTION('',(-1.,0.,0.)); #426457=DIRECTION('center_axis',(0.,0.,1.)); #426458=DIRECTION('ref_axis',(1.,0.,0.)); #426459=DIRECTION('center_axis',(0.,0.,1.)); #426460=DIRECTION('ref_axis',(1.,0.,0.)); #426461=DIRECTION('center_axis',(1.,0.,0.)); #426462=DIRECTION('ref_axis',(0.,1.,0.)); #426463=DIRECTION('',(0.,1.,0.)); #426464=DIRECTION('',(0.,0.,1.)); #426465=DIRECTION('',(0.,1.,0.)); #426466=DIRECTION('',(0.,0.,1.)); #426467=DIRECTION('center_axis',(0.,-1.,0.)); #426468=DIRECTION('ref_axis',(1.,0.,0.)); #426469=DIRECTION('',(1.,0.,0.)); #426470=DIRECTION('',(1.,0.,0.)); #426471=DIRECTION('',(0.,0.,1.)); #426472=DIRECTION('center_axis',(-1.,0.,0.)); #426473=DIRECTION('ref_axis',(0.,-1.,0.)); #426474=DIRECTION('',(0.,-1.,0.)); #426475=DIRECTION('',(0.,-1.,0.)); #426476=DIRECTION('',(0.,0.,1.)); #426477=DIRECTION('center_axis',(0.,1.,0.)); #426478=DIRECTION('ref_axis',(-1.,0.,0.)); #426479=DIRECTION('',(-1.,0.,0.)); #426480=DIRECTION('',(-1.,0.,0.)); #426481=DIRECTION('center_axis',(0.,0.,1.)); #426482=DIRECTION('ref_axis',(1.,0.,0.)); #426483=DIRECTION('center_axis',(0.,0.,1.)); #426484=DIRECTION('ref_axis',(1.,0.,0.)); #426485=DIRECTION('center_axis',(1.,0.,0.)); #426486=DIRECTION('ref_axis',(0.,1.,0.)); #426487=DIRECTION('',(0.,1.,0.)); #426488=DIRECTION('',(0.,0.,1.)); #426489=DIRECTION('',(0.,1.,0.)); #426490=DIRECTION('',(0.,0.,1.)); #426491=DIRECTION('center_axis',(0.,-1.,0.)); #426492=DIRECTION('ref_axis',(1.,0.,0.)); #426493=DIRECTION('',(1.,0.,0.)); #426494=DIRECTION('',(1.,0.,0.)); #426495=DIRECTION('',(0.,0.,1.)); #426496=DIRECTION('center_axis',(-1.,0.,0.)); #426497=DIRECTION('ref_axis',(0.,-1.,0.)); #426498=DIRECTION('',(0.,-1.,0.)); #426499=DIRECTION('',(0.,-1.,0.)); #426500=DIRECTION('',(0.,0.,1.)); #426501=DIRECTION('center_axis',(0.,1.,0.)); #426502=DIRECTION('ref_axis',(-1.,0.,0.)); #426503=DIRECTION('',(-1.,0.,0.)); #426504=DIRECTION('',(-1.,0.,0.)); #426505=DIRECTION('center_axis',(0.,0.,1.)); #426506=DIRECTION('ref_axis',(1.,0.,0.)); #426507=DIRECTION('center_axis',(0.,0.,1.)); #426508=DIRECTION('ref_axis',(1.,0.,0.)); #426509=DIRECTION('center_axis',(1.,0.,0.)); #426510=DIRECTION('ref_axis',(0.,1.,0.)); #426511=DIRECTION('',(0.,1.,0.)); #426512=DIRECTION('',(0.,0.,1.)); #426513=DIRECTION('',(0.,1.,0.)); #426514=DIRECTION('',(0.,0.,1.)); #426515=DIRECTION('center_axis',(0.,-1.,0.)); #426516=DIRECTION('ref_axis',(1.,0.,0.)); #426517=DIRECTION('',(1.,0.,0.)); #426518=DIRECTION('',(1.,0.,0.)); #426519=DIRECTION('',(0.,0.,1.)); #426520=DIRECTION('center_axis',(-1.,0.,0.)); #426521=DIRECTION('ref_axis',(0.,-1.,0.)); #426522=DIRECTION('',(0.,-1.,0.)); #426523=DIRECTION('',(0.,-1.,0.)); #426524=DIRECTION('',(0.,0.,1.)); #426525=DIRECTION('center_axis',(0.,1.,0.)); #426526=DIRECTION('ref_axis',(-1.,0.,0.)); #426527=DIRECTION('',(-1.,0.,0.)); #426528=DIRECTION('',(-1.,0.,0.)); #426529=DIRECTION('center_axis',(0.,0.,1.)); #426530=DIRECTION('ref_axis',(1.,0.,0.)); #426531=DIRECTION('center_axis',(0.,0.,1.)); #426532=DIRECTION('ref_axis',(1.,0.,0.)); #426533=DIRECTION('center_axis',(1.,0.,0.)); #426534=DIRECTION('ref_axis',(0.,1.,0.)); #426535=DIRECTION('',(0.,1.,0.)); #426536=DIRECTION('',(0.,0.,1.)); #426537=DIRECTION('',(0.,1.,0.)); #426538=DIRECTION('',(0.,0.,1.)); #426539=DIRECTION('center_axis',(0.,-1.,0.)); #426540=DIRECTION('ref_axis',(1.,0.,0.)); #426541=DIRECTION('',(1.,0.,0.)); #426542=DIRECTION('',(1.,0.,0.)); #426543=DIRECTION('',(0.,0.,1.)); #426544=DIRECTION('center_axis',(-1.,0.,0.)); #426545=DIRECTION('ref_axis',(0.,-1.,0.)); #426546=DIRECTION('',(0.,-1.,0.)); #426547=DIRECTION('',(0.,-1.,0.)); #426548=DIRECTION('',(0.,0.,1.)); #426549=DIRECTION('center_axis',(0.,1.,0.)); #426550=DIRECTION('ref_axis',(-1.,0.,0.)); #426551=DIRECTION('',(-1.,0.,0.)); #426552=DIRECTION('',(-1.,0.,0.)); #426553=DIRECTION('center_axis',(0.,0.,1.)); #426554=DIRECTION('ref_axis',(1.,0.,0.)); #426555=DIRECTION('center_axis',(0.,0.,1.)); #426556=DIRECTION('ref_axis',(1.,0.,0.)); #426557=DIRECTION('center_axis',(1.,0.,0.)); #426558=DIRECTION('ref_axis',(0.,1.,0.)); #426559=DIRECTION('',(0.,1.,0.)); #426560=DIRECTION('',(0.,0.,1.)); #426561=DIRECTION('',(0.,1.,0.)); #426562=DIRECTION('',(0.,0.,1.)); #426563=DIRECTION('center_axis',(0.,-1.,0.)); #426564=DIRECTION('ref_axis',(1.,0.,0.)); #426565=DIRECTION('',(1.,0.,0.)); #426566=DIRECTION('',(1.,0.,0.)); #426567=DIRECTION('',(0.,0.,1.)); #426568=DIRECTION('center_axis',(-1.,0.,0.)); #426569=DIRECTION('ref_axis',(0.,-1.,0.)); #426570=DIRECTION('',(0.,-1.,0.)); #426571=DIRECTION('',(0.,-1.,0.)); #426572=DIRECTION('',(0.,0.,1.)); #426573=DIRECTION('center_axis',(0.,1.,0.)); #426574=DIRECTION('ref_axis',(-1.,0.,0.)); #426575=DIRECTION('',(-1.,0.,0.)); #426576=DIRECTION('',(-1.,0.,0.)); #426577=DIRECTION('center_axis',(0.,0.,1.)); #426578=DIRECTION('ref_axis',(1.,0.,0.)); #426579=DIRECTION('center_axis',(0.,0.,1.)); #426580=DIRECTION('ref_axis',(1.,0.,0.)); #426581=DIRECTION('center_axis',(1.,0.,0.)); #426582=DIRECTION('ref_axis',(0.,1.,0.)); #426583=DIRECTION('',(0.,1.,0.)); #426584=DIRECTION('',(0.,0.,1.)); #426585=DIRECTION('',(0.,1.,0.)); #426586=DIRECTION('',(0.,0.,1.)); #426587=DIRECTION('center_axis',(0.,-1.,0.)); #426588=DIRECTION('ref_axis',(1.,0.,0.)); #426589=DIRECTION('',(1.,0.,0.)); #426590=DIRECTION('',(1.,0.,0.)); #426591=DIRECTION('',(0.,0.,1.)); #426592=DIRECTION('center_axis',(-1.,0.,0.)); #426593=DIRECTION('ref_axis',(0.,-1.,0.)); #426594=DIRECTION('',(0.,-1.,0.)); #426595=DIRECTION('',(0.,-1.,0.)); #426596=DIRECTION('',(0.,0.,1.)); #426597=DIRECTION('center_axis',(0.,1.,0.)); #426598=DIRECTION('ref_axis',(-1.,0.,0.)); #426599=DIRECTION('',(-1.,0.,0.)); #426600=DIRECTION('',(-1.,0.,0.)); #426601=DIRECTION('center_axis',(0.,0.,1.)); #426602=DIRECTION('ref_axis',(1.,0.,0.)); #426603=DIRECTION('center_axis',(0.,0.,1.)); #426604=DIRECTION('ref_axis',(1.,0.,0.)); #426605=DIRECTION('center_axis',(1.,0.,0.)); #426606=DIRECTION('ref_axis',(0.,1.,0.)); #426607=DIRECTION('',(0.,1.,0.)); #426608=DIRECTION('',(0.,0.,1.)); #426609=DIRECTION('',(0.,1.,0.)); #426610=DIRECTION('',(0.,0.,1.)); #426611=DIRECTION('center_axis',(0.,-1.,0.)); #426612=DIRECTION('ref_axis',(1.,0.,0.)); #426613=DIRECTION('',(1.,0.,0.)); #426614=DIRECTION('',(1.,0.,0.)); #426615=DIRECTION('',(0.,0.,1.)); #426616=DIRECTION('center_axis',(-1.,0.,0.)); #426617=DIRECTION('ref_axis',(0.,-1.,0.)); #426618=DIRECTION('',(0.,-1.,0.)); #426619=DIRECTION('',(0.,-1.,0.)); #426620=DIRECTION('',(0.,0.,1.)); #426621=DIRECTION('center_axis',(0.,1.,0.)); #426622=DIRECTION('ref_axis',(-1.,0.,0.)); #426623=DIRECTION('',(-1.,0.,0.)); #426624=DIRECTION('',(-1.,0.,0.)); #426625=DIRECTION('center_axis',(0.,0.,1.)); #426626=DIRECTION('ref_axis',(1.,0.,0.)); #426627=DIRECTION('center_axis',(0.,0.,1.)); #426628=DIRECTION('ref_axis',(1.,0.,0.)); #426629=DIRECTION('center_axis',(1.,0.,0.)); #426630=DIRECTION('ref_axis',(0.,1.,0.)); #426631=DIRECTION('',(0.,1.,0.)); #426632=DIRECTION('',(0.,0.,1.)); #426633=DIRECTION('',(0.,1.,0.)); #426634=DIRECTION('',(0.,0.,1.)); #426635=DIRECTION('center_axis',(0.,-1.,0.)); #426636=DIRECTION('ref_axis',(1.,0.,0.)); #426637=DIRECTION('',(1.,0.,0.)); #426638=DIRECTION('',(1.,0.,0.)); #426639=DIRECTION('',(0.,0.,1.)); #426640=DIRECTION('center_axis',(-1.,0.,0.)); #426641=DIRECTION('ref_axis',(0.,-1.,0.)); #426642=DIRECTION('',(0.,-1.,0.)); #426643=DIRECTION('',(0.,-1.,0.)); #426644=DIRECTION('',(0.,0.,1.)); #426645=DIRECTION('center_axis',(0.,1.,0.)); #426646=DIRECTION('ref_axis',(-1.,0.,0.)); #426647=DIRECTION('',(-1.,0.,0.)); #426648=DIRECTION('',(-1.,0.,0.)); #426649=DIRECTION('center_axis',(0.,0.,1.)); #426650=DIRECTION('ref_axis',(1.,0.,0.)); #426651=DIRECTION('center_axis',(0.,0.,1.)); #426652=DIRECTION('ref_axis',(1.,0.,0.)); #426653=DIRECTION('center_axis',(1.,0.,0.)); #426654=DIRECTION('ref_axis',(0.,1.,0.)); #426655=DIRECTION('',(0.,1.,0.)); #426656=DIRECTION('',(0.,0.,1.)); #426657=DIRECTION('',(0.,1.,0.)); #426658=DIRECTION('',(0.,0.,1.)); #426659=DIRECTION('center_axis',(0.,-1.,0.)); #426660=DIRECTION('ref_axis',(1.,0.,0.)); #426661=DIRECTION('',(1.,0.,0.)); #426662=DIRECTION('',(1.,0.,0.)); #426663=DIRECTION('',(0.,0.,1.)); #426664=DIRECTION('center_axis',(-1.,0.,0.)); #426665=DIRECTION('ref_axis',(0.,-1.,0.)); #426666=DIRECTION('',(0.,-1.,0.)); #426667=DIRECTION('',(0.,-1.,0.)); #426668=DIRECTION('',(0.,0.,1.)); #426669=DIRECTION('center_axis',(0.,1.,0.)); #426670=DIRECTION('ref_axis',(-1.,0.,0.)); #426671=DIRECTION('',(-1.,0.,0.)); #426672=DIRECTION('',(-1.,0.,0.)); #426673=DIRECTION('center_axis',(0.,0.,1.)); #426674=DIRECTION('ref_axis',(1.,0.,0.)); #426675=DIRECTION('center_axis',(0.,0.,1.)); #426676=DIRECTION('ref_axis',(1.,0.,0.)); #426677=DIRECTION('center_axis',(1.,0.,0.)); #426678=DIRECTION('ref_axis',(0.,1.,0.)); #426679=DIRECTION('',(0.,1.,0.)); #426680=DIRECTION('',(0.,0.,1.)); #426681=DIRECTION('',(0.,1.,0.)); #426682=DIRECTION('',(0.,0.,1.)); #426683=DIRECTION('center_axis',(0.,-1.,0.)); #426684=DIRECTION('ref_axis',(1.,0.,0.)); #426685=DIRECTION('',(1.,0.,0.)); #426686=DIRECTION('',(1.,0.,0.)); #426687=DIRECTION('',(0.,0.,1.)); #426688=DIRECTION('center_axis',(-1.,0.,0.)); #426689=DIRECTION('ref_axis',(0.,-1.,0.)); #426690=DIRECTION('',(0.,-1.,0.)); #426691=DIRECTION('',(0.,-1.,0.)); #426692=DIRECTION('',(0.,0.,1.)); #426693=DIRECTION('center_axis',(0.,1.,0.)); #426694=DIRECTION('ref_axis',(-1.,0.,0.)); #426695=DIRECTION('',(-1.,0.,0.)); #426696=DIRECTION('',(-1.,0.,0.)); #426697=DIRECTION('center_axis',(0.,0.,1.)); #426698=DIRECTION('ref_axis',(1.,0.,0.)); #426699=DIRECTION('center_axis',(0.,0.,1.)); #426700=DIRECTION('ref_axis',(1.,0.,0.)); #426701=DIRECTION('center_axis',(1.,0.,0.)); #426702=DIRECTION('ref_axis',(0.,1.,0.)); #426703=DIRECTION('',(0.,1.,0.)); #426704=DIRECTION('',(0.,0.,1.)); #426705=DIRECTION('',(0.,1.,0.)); #426706=DIRECTION('',(0.,0.,1.)); #426707=DIRECTION('center_axis',(0.,-1.,0.)); #426708=DIRECTION('ref_axis',(1.,0.,0.)); #426709=DIRECTION('',(1.,0.,0.)); #426710=DIRECTION('',(1.,0.,0.)); #426711=DIRECTION('',(0.,0.,1.)); #426712=DIRECTION('center_axis',(-1.,0.,0.)); #426713=DIRECTION('ref_axis',(0.,-1.,0.)); #426714=DIRECTION('',(0.,-1.,0.)); #426715=DIRECTION('',(0.,-1.,0.)); #426716=DIRECTION('',(0.,0.,1.)); #426717=DIRECTION('center_axis',(0.,1.,0.)); #426718=DIRECTION('ref_axis',(-1.,0.,0.)); #426719=DIRECTION('',(-1.,0.,0.)); #426720=DIRECTION('',(-1.,0.,0.)); #426721=DIRECTION('center_axis',(0.,0.,1.)); #426722=DIRECTION('ref_axis',(1.,0.,0.)); #426723=DIRECTION('center_axis',(0.,0.,1.)); #426724=DIRECTION('ref_axis',(1.,0.,0.)); #426725=DIRECTION('center_axis',(1.,0.,0.)); #426726=DIRECTION('ref_axis',(0.,1.,0.)); #426727=DIRECTION('',(0.,1.,0.)); #426728=DIRECTION('',(0.,0.,1.)); #426729=DIRECTION('',(0.,1.,0.)); #426730=DIRECTION('',(0.,0.,1.)); #426731=DIRECTION('center_axis',(0.,-1.,0.)); #426732=DIRECTION('ref_axis',(1.,0.,0.)); #426733=DIRECTION('',(1.,0.,0.)); #426734=DIRECTION('',(1.,0.,0.)); #426735=DIRECTION('',(0.,0.,1.)); #426736=DIRECTION('center_axis',(-1.,0.,0.)); #426737=DIRECTION('ref_axis',(0.,-1.,0.)); #426738=DIRECTION('',(0.,-1.,0.)); #426739=DIRECTION('',(0.,-1.,0.)); #426740=DIRECTION('',(0.,0.,1.)); #426741=DIRECTION('center_axis',(0.,1.,0.)); #426742=DIRECTION('ref_axis',(-1.,0.,0.)); #426743=DIRECTION('',(-1.,0.,0.)); #426744=DIRECTION('',(-1.,0.,0.)); #426745=DIRECTION('center_axis',(0.,0.,1.)); #426746=DIRECTION('ref_axis',(1.,0.,0.)); #426747=DIRECTION('center_axis',(0.,0.,1.)); #426748=DIRECTION('ref_axis',(1.,0.,0.)); #426749=DIRECTION('center_axis',(1.,0.,0.)); #426750=DIRECTION('ref_axis',(0.,1.,0.)); #426751=DIRECTION('',(0.,1.,0.)); #426752=DIRECTION('',(0.,0.,1.)); #426753=DIRECTION('',(0.,1.,0.)); #426754=DIRECTION('',(0.,0.,1.)); #426755=DIRECTION('center_axis',(0.,-1.,0.)); #426756=DIRECTION('ref_axis',(1.,0.,0.)); #426757=DIRECTION('',(1.,0.,0.)); #426758=DIRECTION('',(1.,0.,0.)); #426759=DIRECTION('',(0.,0.,1.)); #426760=DIRECTION('center_axis',(-1.,0.,0.)); #426761=DIRECTION('ref_axis',(0.,-1.,0.)); #426762=DIRECTION('',(0.,-1.,0.)); #426763=DIRECTION('',(0.,-1.,0.)); #426764=DIRECTION('',(0.,0.,1.)); #426765=DIRECTION('center_axis',(0.,1.,0.)); #426766=DIRECTION('ref_axis',(-1.,0.,0.)); #426767=DIRECTION('',(-1.,0.,0.)); #426768=DIRECTION('',(-1.,0.,0.)); #426769=DIRECTION('center_axis',(0.,0.,1.)); #426770=DIRECTION('ref_axis',(1.,0.,0.)); #426771=DIRECTION('center_axis',(0.,0.,1.)); #426772=DIRECTION('ref_axis',(1.,0.,0.)); #426773=DIRECTION('center_axis',(1.,0.,0.)); #426774=DIRECTION('ref_axis',(0.,1.,0.)); #426775=DIRECTION('',(0.,1.,0.)); #426776=DIRECTION('',(0.,0.,1.)); #426777=DIRECTION('',(0.,1.,0.)); #426778=DIRECTION('',(0.,0.,1.)); #426779=DIRECTION('center_axis',(0.,-1.,0.)); #426780=DIRECTION('ref_axis',(1.,0.,0.)); #426781=DIRECTION('',(1.,0.,0.)); #426782=DIRECTION('',(1.,0.,0.)); #426783=DIRECTION('',(0.,0.,1.)); #426784=DIRECTION('center_axis',(-1.,0.,0.)); #426785=DIRECTION('ref_axis',(0.,-1.,0.)); #426786=DIRECTION('',(0.,-1.,0.)); #426787=DIRECTION('',(0.,-1.,0.)); #426788=DIRECTION('',(0.,0.,1.)); #426789=DIRECTION('center_axis',(0.,1.,0.)); #426790=DIRECTION('ref_axis',(-1.,0.,0.)); #426791=DIRECTION('',(-1.,0.,0.)); #426792=DIRECTION('',(-1.,0.,0.)); #426793=DIRECTION('center_axis',(0.,0.,1.)); #426794=DIRECTION('ref_axis',(1.,0.,0.)); #426795=DIRECTION('center_axis',(0.,0.,1.)); #426796=DIRECTION('ref_axis',(1.,0.,0.)); #426797=DIRECTION('center_axis',(0.,0.,1.)); #426798=DIRECTION('ref_axis',(1.,0.,0.)); #426799=DIRECTION('center_axis',(0.,0.,1.)); #426800=DIRECTION('ref_axis',(1.,0.,0.)); #426801=DIRECTION('',(0.,0.,1.)); #426802=DIRECTION('center_axis',(0.,0.,-1.)); #426803=DIRECTION('ref_axis',(1.,0.,0.)); #426804=DIRECTION('center_axis',(0.,0.,1.)); #426805=DIRECTION('ref_axis',(1.,0.,0.)); #426806=DIRECTION('center_axis',(0.,0.,1.)); #426807=DIRECTION('ref_axis',(1.,0.,0.)); #426808=DIRECTION('',(0.,0.,1.)); #426809=DIRECTION('center_axis',(0.,0.,-1.)); #426810=DIRECTION('ref_axis',(1.,0.,0.)); #426811=DIRECTION('center_axis',(-1.,0.,0.)); #426812=DIRECTION('ref_axis',(0.,-1.,0.)); #426813=DIRECTION('',(0.,-1.,0.)); #426814=DIRECTION('',(0.,0.,1.)); #426815=DIRECTION('',(0.,-1.,0.)); #426816=DIRECTION('',(0.,0.,1.)); #426817=DIRECTION('center_axis',(0.,1.,0.)); #426818=DIRECTION('ref_axis',(-1.,0.,0.)); #426819=DIRECTION('',(-1.,0.,0.)); #426820=DIRECTION('',(-1.,0.,0.)); #426821=DIRECTION('',(0.,0.,1.)); #426822=DIRECTION('center_axis',(1.,0.,0.)); #426823=DIRECTION('ref_axis',(0.,1.,0.)); #426824=DIRECTION('',(0.,1.,0.)); #426825=DIRECTION('',(0.,1.,0.)); #426826=DIRECTION('',(0.,0.,1.)); #426827=DIRECTION('center_axis',(0.,-1.,0.)); #426828=DIRECTION('ref_axis',(1.,0.,0.)); #426829=DIRECTION('',(1.,0.,0.)); #426830=DIRECTION('',(1.,0.,0.)); #426831=DIRECTION('center_axis',(0.,0.,1.)); #426832=DIRECTION('ref_axis',(1.,0.,0.)); #426833=DIRECTION('center_axis',(0.,0.,1.)); #426834=DIRECTION('ref_axis',(1.,0.,0.)); #426835=DIRECTION('center_axis',(1.,0.,0.)); #426836=DIRECTION('ref_axis',(0.,1.,0.)); #426837=DIRECTION('',(0.,1.,0.)); #426838=DIRECTION('',(0.,0.,1.)); #426839=DIRECTION('',(0.,1.,0.)); #426840=DIRECTION('',(0.,0.,1.)); #426841=DIRECTION('center_axis',(0.,-1.,0.)); #426842=DIRECTION('ref_axis',(1.,0.,0.)); #426843=DIRECTION('',(1.,0.,0.)); #426844=DIRECTION('',(1.,0.,0.)); #426845=DIRECTION('',(0.,0.,1.)); #426846=DIRECTION('center_axis',(-1.,0.,0.)); #426847=DIRECTION('ref_axis',(0.,-1.,0.)); #426848=DIRECTION('',(0.,-1.,0.)); #426849=DIRECTION('',(0.,-1.,0.)); #426850=DIRECTION('',(0.,0.,1.)); #426851=DIRECTION('center_axis',(0.,1.,0.)); #426852=DIRECTION('ref_axis',(-1.,0.,0.)); #426853=DIRECTION('',(-1.,0.,0.)); #426854=DIRECTION('',(-1.,0.,0.)); #426855=DIRECTION('center_axis',(0.,0.,1.)); #426856=DIRECTION('ref_axis',(1.,0.,0.)); #426857=DIRECTION('center_axis',(0.,0.,1.)); #426858=DIRECTION('ref_axis',(1.,0.,0.)); #426859=DIRECTION('center_axis',(1.,0.,0.)); #426860=DIRECTION('ref_axis',(0.,1.,0.)); #426861=DIRECTION('',(0.,1.,0.)); #426862=DIRECTION('',(0.,0.,1.)); #426863=DIRECTION('',(0.,1.,0.)); #426864=DIRECTION('',(0.,0.,1.)); #426865=DIRECTION('center_axis',(0.,-1.,0.)); #426866=DIRECTION('ref_axis',(1.,0.,0.)); #426867=DIRECTION('',(1.,0.,0.)); #426868=DIRECTION('',(1.,0.,0.)); #426869=DIRECTION('',(0.,0.,1.)); #426870=DIRECTION('center_axis',(-1.,0.,0.)); #426871=DIRECTION('ref_axis',(0.,-1.,0.)); #426872=DIRECTION('',(0.,-1.,0.)); #426873=DIRECTION('',(0.,-1.,0.)); #426874=DIRECTION('',(0.,0.,1.)); #426875=DIRECTION('center_axis',(0.,1.,0.)); #426876=DIRECTION('ref_axis',(-1.,0.,0.)); #426877=DIRECTION('',(-1.,0.,0.)); #426878=DIRECTION('',(-1.,0.,0.)); #426879=DIRECTION('center_axis',(0.,0.,1.)); #426880=DIRECTION('ref_axis',(1.,0.,0.)); #426881=DIRECTION('center_axis',(0.,0.,1.)); #426882=DIRECTION('ref_axis',(1.,0.,0.)); #426883=DIRECTION('center_axis',(1.,0.,0.)); #426884=DIRECTION('ref_axis',(0.,1.,0.)); #426885=DIRECTION('',(0.,1.,0.)); #426886=DIRECTION('',(0.,0.,1.)); #426887=DIRECTION('',(0.,1.,0.)); #426888=DIRECTION('',(0.,0.,1.)); #426889=DIRECTION('center_axis',(0.,-1.,0.)); #426890=DIRECTION('ref_axis',(1.,0.,0.)); #426891=DIRECTION('',(1.,0.,0.)); #426892=DIRECTION('',(1.,0.,0.)); #426893=DIRECTION('',(0.,0.,1.)); #426894=DIRECTION('center_axis',(-1.,0.,0.)); #426895=DIRECTION('ref_axis',(0.,-1.,0.)); #426896=DIRECTION('',(0.,-1.,0.)); #426897=DIRECTION('',(0.,-1.,0.)); #426898=DIRECTION('',(0.,0.,1.)); #426899=DIRECTION('center_axis',(0.,1.,0.)); #426900=DIRECTION('ref_axis',(-1.,0.,0.)); #426901=DIRECTION('',(-1.,0.,0.)); #426902=DIRECTION('',(-1.,0.,0.)); #426903=DIRECTION('center_axis',(0.,0.,1.)); #426904=DIRECTION('ref_axis',(1.,0.,0.)); #426905=DIRECTION('center_axis',(0.,0.,1.)); #426906=DIRECTION('ref_axis',(1.,0.,0.)); #426907=DIRECTION('center_axis',(1.,0.,0.)); #426908=DIRECTION('ref_axis',(0.,1.,0.)); #426909=DIRECTION('',(0.,1.,0.)); #426910=DIRECTION('',(0.,0.,1.)); #426911=DIRECTION('',(0.,1.,0.)); #426912=DIRECTION('',(0.,0.,1.)); #426913=DIRECTION('center_axis',(0.,-1.,0.)); #426914=DIRECTION('ref_axis',(1.,0.,0.)); #426915=DIRECTION('',(1.,0.,0.)); #426916=DIRECTION('',(1.,0.,0.)); #426917=DIRECTION('',(0.,0.,1.)); #426918=DIRECTION('center_axis',(-1.,0.,0.)); #426919=DIRECTION('ref_axis',(0.,-1.,0.)); #426920=DIRECTION('',(0.,-1.,0.)); #426921=DIRECTION('',(0.,-1.,0.)); #426922=DIRECTION('',(0.,0.,1.)); #426923=DIRECTION('center_axis',(0.,1.,0.)); #426924=DIRECTION('ref_axis',(-1.,0.,0.)); #426925=DIRECTION('',(-1.,0.,0.)); #426926=DIRECTION('',(-1.,0.,0.)); #426927=DIRECTION('center_axis',(0.,0.,1.)); #426928=DIRECTION('ref_axis',(1.,0.,0.)); #426929=DIRECTION('center_axis',(0.,0.,1.)); #426930=DIRECTION('ref_axis',(1.,0.,0.)); #426931=DIRECTION('center_axis',(1.,0.,0.)); #426932=DIRECTION('ref_axis',(0.,1.,0.)); #426933=DIRECTION('',(0.,1.,0.)); #426934=DIRECTION('',(0.,0.,1.)); #426935=DIRECTION('',(0.,1.,0.)); #426936=DIRECTION('',(0.,0.,1.)); #426937=DIRECTION('center_axis',(0.,-1.,0.)); #426938=DIRECTION('ref_axis',(1.,0.,0.)); #426939=DIRECTION('',(1.,0.,0.)); #426940=DIRECTION('',(1.,0.,0.)); #426941=DIRECTION('',(0.,0.,1.)); #426942=DIRECTION('center_axis',(-1.,0.,0.)); #426943=DIRECTION('ref_axis',(0.,-1.,0.)); #426944=DIRECTION('',(0.,-1.,0.)); #426945=DIRECTION('',(0.,-1.,0.)); #426946=DIRECTION('',(0.,0.,1.)); #426947=DIRECTION('center_axis',(0.,1.,0.)); #426948=DIRECTION('ref_axis',(-1.,0.,0.)); #426949=DIRECTION('',(-1.,0.,0.)); #426950=DIRECTION('',(-1.,0.,0.)); #426951=DIRECTION('center_axis',(0.,0.,1.)); #426952=DIRECTION('ref_axis',(1.,0.,0.)); #426953=DIRECTION('center_axis',(0.,0.,1.)); #426954=DIRECTION('ref_axis',(1.,0.,0.)); #426955=DIRECTION('center_axis',(1.,0.,0.)); #426956=DIRECTION('ref_axis',(0.,1.,0.)); #426957=DIRECTION('',(0.,1.,0.)); #426958=DIRECTION('',(0.,0.,1.)); #426959=DIRECTION('',(0.,1.,0.)); #426960=DIRECTION('',(0.,0.,1.)); #426961=DIRECTION('center_axis',(0.,-1.,0.)); #426962=DIRECTION('ref_axis',(1.,0.,0.)); #426963=DIRECTION('',(1.,0.,0.)); #426964=DIRECTION('',(1.,0.,0.)); #426965=DIRECTION('',(0.,0.,1.)); #426966=DIRECTION('center_axis',(-1.,0.,0.)); #426967=DIRECTION('ref_axis',(0.,-1.,0.)); #426968=DIRECTION('',(0.,-1.,0.)); #426969=DIRECTION('',(0.,-1.,0.)); #426970=DIRECTION('',(0.,0.,1.)); #426971=DIRECTION('center_axis',(0.,1.,0.)); #426972=DIRECTION('ref_axis',(-1.,0.,0.)); #426973=DIRECTION('',(-1.,0.,0.)); #426974=DIRECTION('',(-1.,0.,0.)); #426975=DIRECTION('center_axis',(0.,0.,1.)); #426976=DIRECTION('ref_axis',(1.,0.,0.)); #426977=DIRECTION('center_axis',(0.,0.,1.)); #426978=DIRECTION('ref_axis',(1.,0.,0.)); #426979=DIRECTION('center_axis',(1.,0.,0.)); #426980=DIRECTION('ref_axis',(0.,1.,0.)); #426981=DIRECTION('',(0.,1.,0.)); #426982=DIRECTION('',(0.,0.,1.)); #426983=DIRECTION('',(0.,1.,0.)); #426984=DIRECTION('',(0.,0.,1.)); #426985=DIRECTION('center_axis',(0.,-1.,0.)); #426986=DIRECTION('ref_axis',(1.,0.,0.)); #426987=DIRECTION('',(1.,0.,0.)); #426988=DIRECTION('',(1.,0.,0.)); #426989=DIRECTION('',(0.,0.,1.)); #426990=DIRECTION('center_axis',(-1.,0.,0.)); #426991=DIRECTION('ref_axis',(0.,-1.,0.)); #426992=DIRECTION('',(0.,-1.,0.)); #426993=DIRECTION('',(0.,-1.,0.)); #426994=DIRECTION('',(0.,0.,1.)); #426995=DIRECTION('center_axis',(0.,1.,0.)); #426996=DIRECTION('ref_axis',(-1.,0.,0.)); #426997=DIRECTION('',(-1.,0.,0.)); #426998=DIRECTION('',(-1.,0.,0.)); #426999=DIRECTION('center_axis',(0.,0.,1.)); #427000=DIRECTION('ref_axis',(1.,0.,0.)); #427001=DIRECTION('center_axis',(0.,0.,1.)); #427002=DIRECTION('ref_axis',(1.,0.,0.)); #427003=DIRECTION('center_axis',(1.,0.,0.)); #427004=DIRECTION('ref_axis',(0.,1.,0.)); #427005=DIRECTION('',(0.,1.,0.)); #427006=DIRECTION('',(0.,0.,1.)); #427007=DIRECTION('',(0.,1.,0.)); #427008=DIRECTION('',(0.,0.,1.)); #427009=DIRECTION('center_axis',(0.,-1.,0.)); #427010=DIRECTION('ref_axis',(1.,0.,0.)); #427011=DIRECTION('',(1.,0.,0.)); #427012=DIRECTION('',(1.,0.,0.)); #427013=DIRECTION('',(0.,0.,1.)); #427014=DIRECTION('center_axis',(-1.,0.,0.)); #427015=DIRECTION('ref_axis',(0.,-1.,0.)); #427016=DIRECTION('',(0.,-1.,0.)); #427017=DIRECTION('',(0.,-1.,0.)); #427018=DIRECTION('',(0.,0.,1.)); #427019=DIRECTION('center_axis',(0.,1.,0.)); #427020=DIRECTION('ref_axis',(-1.,0.,0.)); #427021=DIRECTION('',(-1.,0.,0.)); #427022=DIRECTION('',(-1.,0.,0.)); #427023=DIRECTION('center_axis',(0.,0.,1.)); #427024=DIRECTION('ref_axis',(1.,0.,0.)); #427025=DIRECTION('center_axis',(0.,0.,1.)); #427026=DIRECTION('ref_axis',(1.,0.,0.)); #427027=DIRECTION('center_axis',(0.,0.,1.)); #427028=DIRECTION('ref_axis',(1.,0.,0.)); #427029=DIRECTION('center_axis',(0.,0.,1.)); #427030=DIRECTION('ref_axis',(1.,0.,0.)); #427031=DIRECTION('',(0.,0.,1.)); #427032=DIRECTION('center_axis',(0.,0.,-1.)); #427033=DIRECTION('ref_axis',(1.,0.,0.)); #427034=DIRECTION('center_axis',(0.,0.,1.)); #427035=DIRECTION('ref_axis',(1.,0.,0.)); #427036=DIRECTION('center_axis',(0.,0.,1.)); #427037=DIRECTION('ref_axis',(1.,0.,0.)); #427038=DIRECTION('',(0.,0.,1.)); #427039=DIRECTION('center_axis',(0.,0.,-1.)); #427040=DIRECTION('ref_axis',(1.,0.,0.)); #427041=DIRECTION('center_axis',(-1.,0.,0.)); #427042=DIRECTION('ref_axis',(0.,-1.,0.)); #427043=DIRECTION('',(0.,-1.,0.)); #427044=DIRECTION('',(0.,0.,1.)); #427045=DIRECTION('',(0.,-1.,0.)); #427046=DIRECTION('',(0.,0.,1.)); #427047=DIRECTION('center_axis',(0.,1.,0.)); #427048=DIRECTION('ref_axis',(-1.,0.,0.)); #427049=DIRECTION('',(-1.,0.,0.)); #427050=DIRECTION('',(-1.,0.,0.)); #427051=DIRECTION('',(0.,0.,1.)); #427052=DIRECTION('center_axis',(1.,0.,0.)); #427053=DIRECTION('ref_axis',(0.,1.,0.)); #427054=DIRECTION('',(0.,1.,0.)); #427055=DIRECTION('',(0.,1.,0.)); #427056=DIRECTION('',(0.,0.,1.)); #427057=DIRECTION('center_axis',(0.,-1.,0.)); #427058=DIRECTION('ref_axis',(1.,0.,0.)); #427059=DIRECTION('',(1.,0.,0.)); #427060=DIRECTION('',(1.,0.,0.)); #427061=DIRECTION('center_axis',(0.,0.,1.)); #427062=DIRECTION('ref_axis',(1.,0.,0.)); #427063=DIRECTION('center_axis',(0.,0.,1.)); #427064=DIRECTION('ref_axis',(1.,0.,0.)); #427065=DIRECTION('center_axis',(1.,0.,0.)); #427066=DIRECTION('ref_axis',(0.,1.,0.)); #427067=DIRECTION('',(0.,1.,0.)); #427068=DIRECTION('',(0.,0.,1.)); #427069=DIRECTION('',(0.,1.,0.)); #427070=DIRECTION('',(0.,0.,1.)); #427071=DIRECTION('center_axis',(0.,-1.,0.)); #427072=DIRECTION('ref_axis',(1.,0.,0.)); #427073=DIRECTION('',(1.,0.,0.)); #427074=DIRECTION('',(1.,0.,0.)); #427075=DIRECTION('',(0.,0.,1.)); #427076=DIRECTION('center_axis',(-1.,0.,0.)); #427077=DIRECTION('ref_axis',(0.,-1.,0.)); #427078=DIRECTION('',(0.,-1.,0.)); #427079=DIRECTION('',(0.,-1.,0.)); #427080=DIRECTION('',(0.,0.,1.)); #427081=DIRECTION('center_axis',(0.,1.,0.)); #427082=DIRECTION('ref_axis',(-1.,0.,0.)); #427083=DIRECTION('',(-1.,0.,0.)); #427084=DIRECTION('',(-1.,0.,0.)); #427085=DIRECTION('center_axis',(0.,0.,1.)); #427086=DIRECTION('ref_axis',(1.,0.,0.)); #427087=DIRECTION('center_axis',(0.,0.,1.)); #427088=DIRECTION('ref_axis',(1.,0.,0.)); #427089=DIRECTION('center_axis',(1.,0.,0.)); #427090=DIRECTION('ref_axis',(0.,1.,0.)); #427091=DIRECTION('',(0.,1.,0.)); #427092=DIRECTION('',(0.,0.,1.)); #427093=DIRECTION('',(0.,1.,0.)); #427094=DIRECTION('',(0.,0.,1.)); #427095=DIRECTION('center_axis',(0.,-1.,0.)); #427096=DIRECTION('ref_axis',(1.,0.,0.)); #427097=DIRECTION('',(1.,0.,0.)); #427098=DIRECTION('',(1.,0.,0.)); #427099=DIRECTION('',(0.,0.,1.)); #427100=DIRECTION('center_axis',(-1.,0.,0.)); #427101=DIRECTION('ref_axis',(0.,-1.,0.)); #427102=DIRECTION('',(0.,-1.,0.)); #427103=DIRECTION('',(0.,-1.,0.)); #427104=DIRECTION('',(0.,0.,1.)); #427105=DIRECTION('center_axis',(0.,1.,0.)); #427106=DIRECTION('ref_axis',(-1.,0.,0.)); #427107=DIRECTION('',(-1.,0.,0.)); #427108=DIRECTION('',(-1.,0.,0.)); #427109=DIRECTION('center_axis',(0.,0.,1.)); #427110=DIRECTION('ref_axis',(1.,0.,0.)); #427111=DIRECTION('center_axis',(0.,0.,1.)); #427112=DIRECTION('ref_axis',(1.,0.,0.)); #427113=DIRECTION('center_axis',(1.,0.,0.)); #427114=DIRECTION('ref_axis',(0.,1.,0.)); #427115=DIRECTION('',(0.,1.,0.)); #427116=DIRECTION('',(0.,0.,1.)); #427117=DIRECTION('',(0.,1.,0.)); #427118=DIRECTION('',(0.,0.,1.)); #427119=DIRECTION('center_axis',(0.,-1.,0.)); #427120=DIRECTION('ref_axis',(1.,0.,0.)); #427121=DIRECTION('',(1.,0.,0.)); #427122=DIRECTION('',(1.,0.,0.)); #427123=DIRECTION('',(0.,0.,1.)); #427124=DIRECTION('center_axis',(-1.,0.,0.)); #427125=DIRECTION('ref_axis',(0.,-1.,0.)); #427126=DIRECTION('',(0.,-1.,0.)); #427127=DIRECTION('',(0.,-1.,0.)); #427128=DIRECTION('',(0.,0.,1.)); #427129=DIRECTION('center_axis',(0.,1.,0.)); #427130=DIRECTION('ref_axis',(-1.,0.,0.)); #427131=DIRECTION('',(-1.,0.,0.)); #427132=DIRECTION('',(-1.,0.,0.)); #427133=DIRECTION('center_axis',(0.,0.,1.)); #427134=DIRECTION('ref_axis',(1.,0.,0.)); #427135=DIRECTION('center_axis',(0.,0.,1.)); #427136=DIRECTION('ref_axis',(1.,0.,0.)); #427137=DIRECTION('center_axis',(1.,0.,0.)); #427138=DIRECTION('ref_axis',(0.,1.,0.)); #427139=DIRECTION('',(0.,1.,0.)); #427140=DIRECTION('',(0.,0.,1.)); #427141=DIRECTION('',(0.,1.,0.)); #427142=DIRECTION('',(0.,0.,1.)); #427143=DIRECTION('center_axis',(0.,-1.,0.)); #427144=DIRECTION('ref_axis',(1.,0.,0.)); #427145=DIRECTION('',(1.,0.,0.)); #427146=DIRECTION('',(1.,0.,0.)); #427147=DIRECTION('',(0.,0.,1.)); #427148=DIRECTION('center_axis',(-1.,0.,0.)); #427149=DIRECTION('ref_axis',(0.,-1.,0.)); #427150=DIRECTION('',(0.,-1.,0.)); #427151=DIRECTION('',(0.,-1.,0.)); #427152=DIRECTION('',(0.,0.,1.)); #427153=DIRECTION('center_axis',(0.,1.,0.)); #427154=DIRECTION('ref_axis',(-1.,0.,0.)); #427155=DIRECTION('',(-1.,0.,0.)); #427156=DIRECTION('',(-1.,0.,0.)); #427157=DIRECTION('center_axis',(0.,0.,1.)); #427158=DIRECTION('ref_axis',(1.,0.,0.)); #427159=DIRECTION('center_axis',(0.,0.,1.)); #427160=DIRECTION('ref_axis',(1.,0.,0.)); #427161=DIRECTION('center_axis',(1.,0.,0.)); #427162=DIRECTION('ref_axis',(0.,1.,0.)); #427163=DIRECTION('',(0.,1.,0.)); #427164=DIRECTION('',(0.,0.,1.)); #427165=DIRECTION('',(0.,1.,0.)); #427166=DIRECTION('',(0.,0.,1.)); #427167=DIRECTION('center_axis',(0.,-1.,0.)); #427168=DIRECTION('ref_axis',(1.,0.,0.)); #427169=DIRECTION('',(1.,0.,0.)); #427170=DIRECTION('',(1.,0.,0.)); #427171=DIRECTION('',(0.,0.,1.)); #427172=DIRECTION('center_axis',(-1.,0.,0.)); #427173=DIRECTION('ref_axis',(0.,-1.,0.)); #427174=DIRECTION('',(0.,-1.,0.)); #427175=DIRECTION('',(0.,-1.,0.)); #427176=DIRECTION('',(0.,0.,1.)); #427177=DIRECTION('center_axis',(0.,1.,0.)); #427178=DIRECTION('ref_axis',(-1.,0.,0.)); #427179=DIRECTION('',(-1.,0.,0.)); #427180=DIRECTION('',(-1.,0.,0.)); #427181=DIRECTION('center_axis',(0.,0.,1.)); #427182=DIRECTION('ref_axis',(1.,0.,0.)); #427183=DIRECTION('center_axis',(0.,0.,1.)); #427184=DIRECTION('ref_axis',(1.,0.,0.)); #427185=DIRECTION('center_axis',(1.,0.,0.)); #427186=DIRECTION('ref_axis',(0.,1.,0.)); #427187=DIRECTION('',(0.,1.,0.)); #427188=DIRECTION('',(0.,0.,1.)); #427189=DIRECTION('',(0.,1.,0.)); #427190=DIRECTION('',(0.,0.,1.)); #427191=DIRECTION('center_axis',(0.,-1.,0.)); #427192=DIRECTION('ref_axis',(1.,0.,0.)); #427193=DIRECTION('',(1.,0.,0.)); #427194=DIRECTION('',(1.,0.,0.)); #427195=DIRECTION('',(0.,0.,1.)); #427196=DIRECTION('center_axis',(-1.,0.,0.)); #427197=DIRECTION('ref_axis',(0.,-1.,0.)); #427198=DIRECTION('',(0.,-1.,0.)); #427199=DIRECTION('',(0.,-1.,0.)); #427200=DIRECTION('',(0.,0.,1.)); #427201=DIRECTION('center_axis',(0.,1.,0.)); #427202=DIRECTION('ref_axis',(-1.,0.,0.)); #427203=DIRECTION('',(-1.,0.,0.)); #427204=DIRECTION('',(-1.,0.,0.)); #427205=DIRECTION('center_axis',(0.,0.,1.)); #427206=DIRECTION('ref_axis',(1.,0.,0.)); #427207=DIRECTION('center_axis',(0.,0.,1.)); #427208=DIRECTION('ref_axis',(1.,0.,0.)); #427209=DIRECTION('center_axis',(1.,0.,0.)); #427210=DIRECTION('ref_axis',(0.,1.,0.)); #427211=DIRECTION('',(0.,1.,0.)); #427212=DIRECTION('',(0.,0.,1.)); #427213=DIRECTION('',(0.,1.,0.)); #427214=DIRECTION('',(0.,0.,1.)); #427215=DIRECTION('center_axis',(0.,-1.,0.)); #427216=DIRECTION('ref_axis',(1.,0.,0.)); #427217=DIRECTION('',(1.,0.,0.)); #427218=DIRECTION('',(1.,0.,0.)); #427219=DIRECTION('',(0.,0.,1.)); #427220=DIRECTION('center_axis',(-1.,0.,0.)); #427221=DIRECTION('ref_axis',(0.,-1.,0.)); #427222=DIRECTION('',(0.,-1.,0.)); #427223=DIRECTION('',(0.,-1.,0.)); #427224=DIRECTION('',(0.,0.,1.)); #427225=DIRECTION('center_axis',(0.,1.,0.)); #427226=DIRECTION('ref_axis',(-1.,0.,0.)); #427227=DIRECTION('',(-1.,0.,0.)); #427228=DIRECTION('',(-1.,0.,0.)); #427229=DIRECTION('center_axis',(0.,0.,1.)); #427230=DIRECTION('ref_axis',(1.,0.,0.)); #427231=DIRECTION('center_axis',(0.,0.,1.)); #427232=DIRECTION('ref_axis',(1.,0.,0.)); #427233=DIRECTION('center_axis',(1.,0.,0.)); #427234=DIRECTION('ref_axis',(0.,1.,0.)); #427235=DIRECTION('',(0.,1.,0.)); #427236=DIRECTION('',(0.,0.,1.)); #427237=DIRECTION('',(0.,1.,0.)); #427238=DIRECTION('',(0.,0.,1.)); #427239=DIRECTION('center_axis',(0.,-1.,0.)); #427240=DIRECTION('ref_axis',(1.,0.,0.)); #427241=DIRECTION('',(1.,0.,0.)); #427242=DIRECTION('',(1.,0.,0.)); #427243=DIRECTION('',(0.,0.,1.)); #427244=DIRECTION('center_axis',(-1.,0.,0.)); #427245=DIRECTION('ref_axis',(0.,-1.,0.)); #427246=DIRECTION('',(0.,-1.,0.)); #427247=DIRECTION('',(0.,-1.,0.)); #427248=DIRECTION('',(0.,0.,1.)); #427249=DIRECTION('center_axis',(0.,1.,0.)); #427250=DIRECTION('ref_axis',(-1.,0.,0.)); #427251=DIRECTION('',(-1.,0.,0.)); #427252=DIRECTION('',(-1.,0.,0.)); #427253=DIRECTION('center_axis',(0.,0.,1.)); #427254=DIRECTION('ref_axis',(1.,0.,0.)); #427255=DIRECTION('center_axis',(0.,0.,1.)); #427256=DIRECTION('ref_axis',(1.,0.,0.)); #427257=DIRECTION('center_axis',(0.,0.,1.)); #427258=DIRECTION('ref_axis',(1.,0.,0.)); #427259=DIRECTION('center_axis',(0.,0.,1.)); #427260=DIRECTION('ref_axis',(1.,0.,0.)); #427261=DIRECTION('',(0.,0.,1.)); #427262=DIRECTION('center_axis',(0.,0.,-1.)); #427263=DIRECTION('ref_axis',(1.,0.,0.)); #427264=DIRECTION('center_axis',(1.,0.,0.)); #427265=DIRECTION('ref_axis',(0.,1.,0.)); #427266=DIRECTION('',(0.,1.,0.)); #427267=DIRECTION('',(0.,0.,1.)); #427268=DIRECTION('',(0.,1.,0.)); #427269=DIRECTION('',(0.,0.,1.)); #427270=DIRECTION('center_axis',(0.,-1.,0.)); #427271=DIRECTION('ref_axis',(1.,0.,0.)); #427272=DIRECTION('',(1.,0.,0.)); #427273=DIRECTION('',(1.,0.,0.)); #427274=DIRECTION('',(0.,0.,1.)); #427275=DIRECTION('center_axis',(-1.,0.,0.)); #427276=DIRECTION('ref_axis',(0.,-1.,0.)); #427277=DIRECTION('',(0.,-1.,0.)); #427278=DIRECTION('',(0.,-1.,0.)); #427279=DIRECTION('',(0.,0.,1.)); #427280=DIRECTION('center_axis',(0.,1.,0.)); #427281=DIRECTION('ref_axis',(-1.,0.,0.)); #427282=DIRECTION('',(-1.,0.,0.)); #427283=DIRECTION('',(-1.,0.,0.)); #427284=DIRECTION('center_axis',(0.,0.,1.)); #427285=DIRECTION('ref_axis',(1.,0.,0.)); #427286=DIRECTION('center_axis',(0.,0.,1.)); #427287=DIRECTION('ref_axis',(1.,0.,0.)); #427288=DIRECTION('center_axis',(1.,0.,0.)); #427289=DIRECTION('ref_axis',(0.,1.,0.)); #427290=DIRECTION('',(0.,1.,0.)); #427291=DIRECTION('',(0.,0.,1.)); #427292=DIRECTION('',(0.,1.,0.)); #427293=DIRECTION('',(0.,0.,1.)); #427294=DIRECTION('center_axis',(0.,-1.,0.)); #427295=DIRECTION('ref_axis',(1.,0.,0.)); #427296=DIRECTION('',(1.,0.,0.)); #427297=DIRECTION('',(1.,0.,0.)); #427298=DIRECTION('',(0.,0.,1.)); #427299=DIRECTION('center_axis',(-1.,0.,0.)); #427300=DIRECTION('ref_axis',(0.,-1.,0.)); #427301=DIRECTION('',(0.,-1.,0.)); #427302=DIRECTION('',(0.,-1.,0.)); #427303=DIRECTION('',(0.,0.,1.)); #427304=DIRECTION('center_axis',(0.,1.,0.)); #427305=DIRECTION('ref_axis',(-1.,0.,0.)); #427306=DIRECTION('',(-1.,0.,0.)); #427307=DIRECTION('',(-1.,0.,0.)); #427308=DIRECTION('center_axis',(0.,0.,1.)); #427309=DIRECTION('ref_axis',(1.,0.,0.)); #427310=DIRECTION('center_axis',(0.,0.,1.)); #427311=DIRECTION('ref_axis',(1.,0.,0.)); #427312=DIRECTION('center_axis',(1.,0.,0.)); #427313=DIRECTION('ref_axis',(0.,1.,0.)); #427314=DIRECTION('',(0.,1.,0.)); #427315=DIRECTION('',(0.,0.,1.)); #427316=DIRECTION('',(0.,1.,0.)); #427317=DIRECTION('',(0.,0.,1.)); #427318=DIRECTION('center_axis',(0.,-1.,0.)); #427319=DIRECTION('ref_axis',(1.,0.,0.)); #427320=DIRECTION('',(1.,0.,0.)); #427321=DIRECTION('',(1.,0.,0.)); #427322=DIRECTION('',(0.,0.,1.)); #427323=DIRECTION('center_axis',(-1.,0.,0.)); #427324=DIRECTION('ref_axis',(0.,-1.,0.)); #427325=DIRECTION('',(0.,-1.,0.)); #427326=DIRECTION('',(0.,-1.,0.)); #427327=DIRECTION('',(0.,0.,1.)); #427328=DIRECTION('center_axis',(0.,1.,0.)); #427329=DIRECTION('ref_axis',(-1.,0.,0.)); #427330=DIRECTION('',(-1.,0.,0.)); #427331=DIRECTION('',(-1.,0.,0.)); #427332=DIRECTION('center_axis',(0.,0.,1.)); #427333=DIRECTION('ref_axis',(1.,0.,0.)); #427334=DIRECTION('center_axis',(0.,0.,1.)); #427335=DIRECTION('ref_axis',(1.,0.,0.)); #427336=DIRECTION('center_axis',(1.,0.,0.)); #427337=DIRECTION('ref_axis',(0.,1.,0.)); #427338=DIRECTION('',(0.,1.,0.)); #427339=DIRECTION('',(0.,0.,1.)); #427340=DIRECTION('',(0.,1.,0.)); #427341=DIRECTION('',(0.,0.,1.)); #427342=DIRECTION('center_axis',(0.,-1.,0.)); #427343=DIRECTION('ref_axis',(1.,0.,0.)); #427344=DIRECTION('',(1.,0.,0.)); #427345=DIRECTION('',(1.,0.,0.)); #427346=DIRECTION('',(0.,0.,1.)); #427347=DIRECTION('center_axis',(-1.,0.,0.)); #427348=DIRECTION('ref_axis',(0.,-1.,0.)); #427349=DIRECTION('',(0.,-1.,0.)); #427350=DIRECTION('',(0.,-1.,0.)); #427351=DIRECTION('',(0.,0.,1.)); #427352=DIRECTION('center_axis',(0.,1.,0.)); #427353=DIRECTION('ref_axis',(-1.,0.,0.)); #427354=DIRECTION('',(-1.,0.,0.)); #427355=DIRECTION('',(-1.,0.,0.)); #427356=DIRECTION('center_axis',(0.,0.,1.)); #427357=DIRECTION('ref_axis',(1.,0.,0.)); #427358=DIRECTION('center_axis',(0.,0.,1.)); #427359=DIRECTION('ref_axis',(1.,0.,0.)); #427360=DIRECTION('center_axis',(1.,0.,0.)); #427361=DIRECTION('ref_axis',(0.,1.,0.)); #427362=DIRECTION('',(0.,1.,0.)); #427363=DIRECTION('',(0.,0.,1.)); #427364=DIRECTION('',(0.,1.,0.)); #427365=DIRECTION('',(0.,0.,1.)); #427366=DIRECTION('center_axis',(0.,-1.,0.)); #427367=DIRECTION('ref_axis',(1.,0.,0.)); #427368=DIRECTION('',(1.,0.,0.)); #427369=DIRECTION('',(1.,0.,0.)); #427370=DIRECTION('',(0.,0.,1.)); #427371=DIRECTION('center_axis',(-1.,0.,0.)); #427372=DIRECTION('ref_axis',(0.,-1.,0.)); #427373=DIRECTION('',(0.,-1.,0.)); #427374=DIRECTION('',(0.,-1.,0.)); #427375=DIRECTION('',(0.,0.,1.)); #427376=DIRECTION('center_axis',(0.,1.,0.)); #427377=DIRECTION('ref_axis',(-1.,0.,0.)); #427378=DIRECTION('',(-1.,0.,0.)); #427379=DIRECTION('',(-1.,0.,0.)); #427380=DIRECTION('center_axis',(0.,0.,1.)); #427381=DIRECTION('ref_axis',(1.,0.,0.)); #427382=DIRECTION('center_axis',(0.,0.,1.)); #427383=DIRECTION('ref_axis',(1.,0.,0.)); #427384=DIRECTION('center_axis',(0.,0.,1.)); #427385=DIRECTION('ref_axis',(1.,0.,0.)); #427386=DIRECTION('center_axis',(0.,0.,1.)); #427387=DIRECTION('ref_axis',(1.,0.,0.)); #427388=DIRECTION('',(0.,0.,1.)); #427389=DIRECTION('center_axis',(0.,0.,-1.)); #427390=DIRECTION('ref_axis',(1.,0.,0.)); #427391=DIRECTION('center_axis',(0.,0.,1.)); #427392=DIRECTION('ref_axis',(1.,0.,0.)); #427393=DIRECTION('center_axis',(0.,0.,1.)); #427394=DIRECTION('ref_axis',(1.,0.,0.)); #427395=DIRECTION('',(0.,0.,1.)); #427396=DIRECTION('center_axis',(0.,0.,-1.)); #427397=DIRECTION('ref_axis',(1.,0.,0.)); #427398=DIRECTION('center_axis',(0.,0.,1.)); #427399=DIRECTION('ref_axis',(1.,0.,0.)); #427400=DIRECTION('center_axis',(0.,0.,1.)); #427401=DIRECTION('ref_axis',(1.,0.,0.)); #427402=DIRECTION('',(0.,0.,1.)); #427403=DIRECTION('center_axis',(0.,0.,-1.)); #427404=DIRECTION('ref_axis',(1.,0.,0.)); #427405=DIRECTION('center_axis',(0.,0.,1.)); #427406=DIRECTION('ref_axis',(1.,0.,0.)); #427407=DIRECTION('center_axis',(0.,0.,1.)); #427408=DIRECTION('ref_axis',(1.,0.,0.)); #427409=DIRECTION('',(0.,0.,1.)); #427410=DIRECTION('center_axis',(0.,0.,-1.)); #427411=DIRECTION('ref_axis',(1.,0.,0.)); #427412=DIRECTION('center_axis',(0.,0.,1.)); #427413=DIRECTION('ref_axis',(1.,0.,0.)); #427414=DIRECTION('center_axis',(0.,0.,1.)); #427415=DIRECTION('ref_axis',(1.,0.,0.)); #427416=DIRECTION('',(0.,0.,1.)); #427417=DIRECTION('center_axis',(0.,0.,-1.)); #427418=DIRECTION('ref_axis',(1.,0.,0.)); #427419=DIRECTION('center_axis',(0.,0.,1.)); #427420=DIRECTION('ref_axis',(1.,0.,0.)); #427421=DIRECTION('center_axis',(0.,0.,1.)); #427422=DIRECTION('ref_axis',(1.,0.,0.)); #427423=DIRECTION('',(0.,0.,1.)); #427424=DIRECTION('center_axis',(0.,0.,-1.)); #427425=DIRECTION('ref_axis',(1.,0.,0.)); #427426=DIRECTION('center_axis',(0.,0.,1.)); #427427=DIRECTION('ref_axis',(1.,0.,0.)); #427428=DIRECTION('center_axis',(0.,0.,1.)); #427429=DIRECTION('ref_axis',(1.,0.,0.)); #427430=DIRECTION('',(0.,0.,1.)); #427431=DIRECTION('center_axis',(0.,0.,-1.)); #427432=DIRECTION('ref_axis',(1.,0.,0.)); #427433=DIRECTION('center_axis',(0.,0.,1.)); #427434=DIRECTION('ref_axis',(1.,0.,0.)); #427435=DIRECTION('center_axis',(0.,0.,1.)); #427436=DIRECTION('ref_axis',(1.,0.,0.)); #427437=DIRECTION('',(0.,0.,1.)); #427438=DIRECTION('center_axis',(0.,0.,-1.)); #427439=DIRECTION('ref_axis',(1.,0.,0.)); #427440=DIRECTION('center_axis',(0.,0.,1.)); #427441=DIRECTION('ref_axis',(1.,0.,0.)); #427442=DIRECTION('center_axis',(0.,0.,1.)); #427443=DIRECTION('ref_axis',(1.,0.,0.)); #427444=DIRECTION('',(0.,0.,1.)); #427445=DIRECTION('center_axis',(0.,0.,-1.)); #427446=DIRECTION('ref_axis',(1.,0.,0.)); #427447=DIRECTION('center_axis',(0.,0.,1.)); #427448=DIRECTION('ref_axis',(1.,0.,0.)); #427449=DIRECTION('center_axis',(0.,0.,1.)); #427450=DIRECTION('ref_axis',(1.,0.,0.)); #427451=DIRECTION('',(0.,0.,1.)); #427452=DIRECTION('center_axis',(0.,0.,-1.)); #427453=DIRECTION('ref_axis',(1.,0.,0.)); #427454=DIRECTION('center_axis',(0.,0.,1.)); #427455=DIRECTION('ref_axis',(1.,0.,0.)); #427456=DIRECTION('center_axis',(0.,0.,1.)); #427457=DIRECTION('ref_axis',(1.,0.,0.)); #427458=DIRECTION('',(0.,0.,1.)); #427459=DIRECTION('center_axis',(0.,0.,-1.)); #427460=DIRECTION('ref_axis',(1.,0.,0.)); #427461=DIRECTION('center_axis',(0.,0.,1.)); #427462=DIRECTION('ref_axis',(1.,0.,0.)); #427463=DIRECTION('center_axis',(0.,0.,1.)); #427464=DIRECTION('ref_axis',(1.,0.,0.)); #427465=DIRECTION('',(0.,0.,1.)); #427466=DIRECTION('center_axis',(0.,0.,-1.)); #427467=DIRECTION('ref_axis',(1.,0.,0.)); #427468=DIRECTION('center_axis',(0.,0.,1.)); #427469=DIRECTION('ref_axis',(1.,0.,0.)); #427470=DIRECTION('center_axis',(0.,0.,1.)); #427471=DIRECTION('ref_axis',(1.,0.,0.)); #427472=DIRECTION('',(0.,0.,1.)); #427473=DIRECTION('center_axis',(0.,0.,-1.)); #427474=DIRECTION('ref_axis',(1.,0.,0.)); #427475=DIRECTION('center_axis',(0.,0.,1.)); #427476=DIRECTION('ref_axis',(1.,0.,0.)); #427477=DIRECTION('center_axis',(0.,0.,1.)); #427478=DIRECTION('ref_axis',(1.,0.,0.)); #427479=DIRECTION('',(0.,0.,1.)); #427480=DIRECTION('center_axis',(0.,0.,-1.)); #427481=DIRECTION('ref_axis',(1.,0.,0.)); #427482=DIRECTION('center_axis',(0.,0.,1.)); #427483=DIRECTION('ref_axis',(1.,0.,0.)); #427484=DIRECTION('center_axis',(0.,0.,1.)); #427485=DIRECTION('ref_axis',(1.,0.,0.)); #427486=DIRECTION('',(0.,0.,1.)); #427487=DIRECTION('center_axis',(0.,0.,-1.)); #427488=DIRECTION('ref_axis',(1.,0.,0.)); #427489=DIRECTION('center_axis',(1.,0.,0.)); #427490=DIRECTION('ref_axis',(0.,1.,0.)); #427491=DIRECTION('',(0.,1.,0.)); #427492=DIRECTION('',(0.,0.,1.)); #427493=DIRECTION('',(0.,1.,0.)); #427494=DIRECTION('',(0.,0.,1.)); #427495=DIRECTION('center_axis',(0.,-1.,0.)); #427496=DIRECTION('ref_axis',(1.,0.,0.)); #427497=DIRECTION('',(1.,0.,0.)); #427498=DIRECTION('',(1.,0.,0.)); #427499=DIRECTION('',(0.,0.,1.)); #427500=DIRECTION('center_axis',(-1.,0.,0.)); #427501=DIRECTION('ref_axis',(0.,-1.,0.)); #427502=DIRECTION('',(0.,-1.,0.)); #427503=DIRECTION('',(0.,-1.,0.)); #427504=DIRECTION('',(0.,0.,1.)); #427505=DIRECTION('center_axis',(0.,1.,0.)); #427506=DIRECTION('ref_axis',(-1.,0.,0.)); #427507=DIRECTION('',(-1.,0.,0.)); #427508=DIRECTION('',(-1.,0.,0.)); #427509=DIRECTION('center_axis',(0.,0.,1.)); #427510=DIRECTION('ref_axis',(1.,0.,0.)); #427511=DIRECTION('center_axis',(0.,0.,1.)); #427512=DIRECTION('ref_axis',(1.,0.,0.)); #427513=DIRECTION('center_axis',(1.,0.,0.)); #427514=DIRECTION('ref_axis',(0.,1.,0.)); #427515=DIRECTION('',(0.,1.,0.)); #427516=DIRECTION('',(0.,0.,1.)); #427517=DIRECTION('',(0.,1.,0.)); #427518=DIRECTION('',(0.,0.,1.)); #427519=DIRECTION('center_axis',(0.,-1.,0.)); #427520=DIRECTION('ref_axis',(1.,0.,0.)); #427521=DIRECTION('',(1.,0.,0.)); #427522=DIRECTION('',(1.,0.,0.)); #427523=DIRECTION('',(0.,0.,1.)); #427524=DIRECTION('center_axis',(-1.,0.,0.)); #427525=DIRECTION('ref_axis',(0.,-1.,0.)); #427526=DIRECTION('',(0.,-1.,0.)); #427527=DIRECTION('',(0.,-1.,0.)); #427528=DIRECTION('',(0.,0.,1.)); #427529=DIRECTION('center_axis',(0.,1.,0.)); #427530=DIRECTION('ref_axis',(-1.,0.,0.)); #427531=DIRECTION('',(-1.,0.,0.)); #427532=DIRECTION('',(-1.,0.,0.)); #427533=DIRECTION('center_axis',(0.,0.,1.)); #427534=DIRECTION('ref_axis',(1.,0.,0.)); #427535=DIRECTION('center_axis',(0.,0.,1.)); #427536=DIRECTION('ref_axis',(1.,0.,0.)); #427537=DIRECTION('center_axis',(1.,0.,0.)); #427538=DIRECTION('ref_axis',(0.,1.,0.)); #427539=DIRECTION('',(0.,1.,0.)); #427540=DIRECTION('',(0.,0.,1.)); #427541=DIRECTION('',(0.,1.,0.)); #427542=DIRECTION('',(0.,0.,1.)); #427543=DIRECTION('center_axis',(0.,-1.,0.)); #427544=DIRECTION('ref_axis',(1.,0.,0.)); #427545=DIRECTION('',(1.,0.,0.)); #427546=DIRECTION('',(1.,0.,0.)); #427547=DIRECTION('',(0.,0.,1.)); #427548=DIRECTION('center_axis',(-1.,0.,0.)); #427549=DIRECTION('ref_axis',(0.,-1.,0.)); #427550=DIRECTION('',(0.,-1.,0.)); #427551=DIRECTION('',(0.,-1.,0.)); #427552=DIRECTION('',(0.,0.,1.)); #427553=DIRECTION('center_axis',(0.,1.,0.)); #427554=DIRECTION('ref_axis',(-1.,0.,0.)); #427555=DIRECTION('',(-1.,0.,0.)); #427556=DIRECTION('',(-1.,0.,0.)); #427557=DIRECTION('center_axis',(0.,0.,1.)); #427558=DIRECTION('ref_axis',(1.,0.,0.)); #427559=DIRECTION('center_axis',(0.,0.,1.)); #427560=DIRECTION('ref_axis',(1.,0.,0.)); #427561=DIRECTION('center_axis',(1.,0.,0.)); #427562=DIRECTION('ref_axis',(0.,1.,0.)); #427563=DIRECTION('',(0.,1.,0.)); #427564=DIRECTION('',(0.,0.,1.)); #427565=DIRECTION('',(0.,1.,0.)); #427566=DIRECTION('',(0.,0.,1.)); #427567=DIRECTION('center_axis',(0.,-1.,0.)); #427568=DIRECTION('ref_axis',(1.,0.,0.)); #427569=DIRECTION('',(1.,0.,0.)); #427570=DIRECTION('',(1.,0.,0.)); #427571=DIRECTION('',(0.,0.,1.)); #427572=DIRECTION('center_axis',(-1.,0.,0.)); #427573=DIRECTION('ref_axis',(0.,-1.,0.)); #427574=DIRECTION('',(0.,-1.,0.)); #427575=DIRECTION('',(0.,-1.,0.)); #427576=DIRECTION('',(0.,0.,1.)); #427577=DIRECTION('center_axis',(0.,1.,0.)); #427578=DIRECTION('ref_axis',(-1.,0.,0.)); #427579=DIRECTION('',(-1.,0.,0.)); #427580=DIRECTION('',(-1.,0.,0.)); #427581=DIRECTION('center_axis',(0.,0.,1.)); #427582=DIRECTION('ref_axis',(1.,0.,0.)); #427583=DIRECTION('center_axis',(0.,0.,1.)); #427584=DIRECTION('ref_axis',(1.,0.,0.)); #427585=DIRECTION('center_axis',(0.,0.,1.)); #427586=DIRECTION('ref_axis',(1.,0.,0.)); #427587=DIRECTION('center_axis',(0.,0.,1.)); #427588=DIRECTION('ref_axis',(1.,0.,0.)); #427589=DIRECTION('',(0.,0.,1.)); #427590=DIRECTION('center_axis',(0.,0.,-1.)); #427591=DIRECTION('ref_axis',(1.,0.,0.)); #427592=DIRECTION('center_axis',(1.,0.,0.)); #427593=DIRECTION('ref_axis',(0.,1.,0.)); #427594=DIRECTION('',(0.,1.,0.)); #427595=DIRECTION('',(0.,0.,1.)); #427596=DIRECTION('',(0.,1.,0.)); #427597=DIRECTION('',(0.,0.,1.)); #427598=DIRECTION('center_axis',(0.,-1.,0.)); #427599=DIRECTION('ref_axis',(1.,0.,0.)); #427600=DIRECTION('',(1.,0.,0.)); #427601=DIRECTION('',(1.,0.,0.)); #427602=DIRECTION('',(0.,0.,1.)); #427603=DIRECTION('center_axis',(-1.,0.,0.)); #427604=DIRECTION('ref_axis',(0.,-1.,0.)); #427605=DIRECTION('',(0.,-1.,0.)); #427606=DIRECTION('',(0.,-1.,0.)); #427607=DIRECTION('',(0.,0.,1.)); #427608=DIRECTION('center_axis',(0.,1.,0.)); #427609=DIRECTION('ref_axis',(-1.,0.,0.)); #427610=DIRECTION('',(-1.,0.,0.)); #427611=DIRECTION('',(-1.,0.,0.)); #427612=DIRECTION('center_axis',(0.,0.,1.)); #427613=DIRECTION('ref_axis',(1.,0.,0.)); #427614=DIRECTION('center_axis',(0.,0.,1.)); #427615=DIRECTION('ref_axis',(1.,0.,0.)); #427616=DIRECTION('center_axis',(1.,0.,0.)); #427617=DIRECTION('ref_axis',(0.,1.,0.)); #427618=DIRECTION('',(0.,1.,0.)); #427619=DIRECTION('',(0.,0.,1.)); #427620=DIRECTION('',(0.,1.,0.)); #427621=DIRECTION('',(0.,0.,1.)); #427622=DIRECTION('center_axis',(0.,-1.,0.)); #427623=DIRECTION('ref_axis',(1.,0.,0.)); #427624=DIRECTION('',(1.,0.,0.)); #427625=DIRECTION('',(1.,0.,0.)); #427626=DIRECTION('',(0.,0.,1.)); #427627=DIRECTION('center_axis',(-1.,0.,0.)); #427628=DIRECTION('ref_axis',(0.,-1.,0.)); #427629=DIRECTION('',(0.,-1.,0.)); #427630=DIRECTION('',(0.,-1.,0.)); #427631=DIRECTION('',(0.,0.,1.)); #427632=DIRECTION('center_axis',(0.,1.,0.)); #427633=DIRECTION('ref_axis',(-1.,0.,0.)); #427634=DIRECTION('',(-1.,0.,0.)); #427635=DIRECTION('',(-1.,0.,0.)); #427636=DIRECTION('center_axis',(0.,0.,1.)); #427637=DIRECTION('ref_axis',(1.,0.,0.)); #427638=DIRECTION('center_axis',(0.,0.,1.)); #427639=DIRECTION('ref_axis',(1.,0.,0.)); #427640=DIRECTION('center_axis',(1.,0.,0.)); #427641=DIRECTION('ref_axis',(0.,1.,0.)); #427642=DIRECTION('',(0.,1.,0.)); #427643=DIRECTION('',(0.,0.,1.)); #427644=DIRECTION('',(0.,1.,0.)); #427645=DIRECTION('',(0.,0.,1.)); #427646=DIRECTION('center_axis',(0.,-1.,0.)); #427647=DIRECTION('ref_axis',(1.,0.,0.)); #427648=DIRECTION('',(1.,0.,0.)); #427649=DIRECTION('',(1.,0.,0.)); #427650=DIRECTION('',(0.,0.,1.)); #427651=DIRECTION('center_axis',(-1.,0.,0.)); #427652=DIRECTION('ref_axis',(0.,-1.,0.)); #427653=DIRECTION('',(0.,-1.,0.)); #427654=DIRECTION('',(0.,-1.,0.)); #427655=DIRECTION('',(0.,0.,1.)); #427656=DIRECTION('center_axis',(0.,1.,0.)); #427657=DIRECTION('ref_axis',(-1.,0.,0.)); #427658=DIRECTION('',(-1.,0.,0.)); #427659=DIRECTION('',(-1.,0.,0.)); #427660=DIRECTION('center_axis',(0.,0.,1.)); #427661=DIRECTION('ref_axis',(1.,0.,0.)); #427662=DIRECTION('center_axis',(0.,0.,1.)); #427663=DIRECTION('ref_axis',(1.,0.,0.)); #427664=DIRECTION('center_axis',(1.,0.,0.)); #427665=DIRECTION('ref_axis',(0.,1.,0.)); #427666=DIRECTION('',(0.,1.,0.)); #427667=DIRECTION('',(0.,0.,1.)); #427668=DIRECTION('',(0.,1.,0.)); #427669=DIRECTION('',(0.,0.,1.)); #427670=DIRECTION('center_axis',(0.,-1.,0.)); #427671=DIRECTION('ref_axis',(1.,0.,0.)); #427672=DIRECTION('',(1.,0.,0.)); #427673=DIRECTION('',(1.,0.,0.)); #427674=DIRECTION('',(0.,0.,1.)); #427675=DIRECTION('center_axis',(-1.,0.,0.)); #427676=DIRECTION('ref_axis',(0.,-1.,0.)); #427677=DIRECTION('',(0.,-1.,0.)); #427678=DIRECTION('',(0.,-1.,0.)); #427679=DIRECTION('',(0.,0.,1.)); #427680=DIRECTION('center_axis',(0.,1.,0.)); #427681=DIRECTION('ref_axis',(-1.,0.,0.)); #427682=DIRECTION('',(-1.,0.,0.)); #427683=DIRECTION('',(-1.,0.,0.)); #427684=DIRECTION('center_axis',(0.,0.,1.)); #427685=DIRECTION('ref_axis',(1.,0.,0.)); #427686=DIRECTION('center_axis',(0.,0.,1.)); #427687=DIRECTION('ref_axis',(1.,0.,0.)); #427688=DIRECTION('center_axis',(1.,0.,0.)); #427689=DIRECTION('ref_axis',(0.,1.,0.)); #427690=DIRECTION('',(0.,1.,0.)); #427691=DIRECTION('',(0.,0.,1.)); #427692=DIRECTION('',(0.,1.,0.)); #427693=DIRECTION('',(0.,0.,1.)); #427694=DIRECTION('center_axis',(0.,-1.,0.)); #427695=DIRECTION('ref_axis',(1.,0.,0.)); #427696=DIRECTION('',(1.,0.,0.)); #427697=DIRECTION('',(1.,0.,0.)); #427698=DIRECTION('',(0.,0.,1.)); #427699=DIRECTION('center_axis',(-1.,0.,0.)); #427700=DIRECTION('ref_axis',(0.,-1.,0.)); #427701=DIRECTION('',(0.,-1.,0.)); #427702=DIRECTION('',(0.,-1.,0.)); #427703=DIRECTION('',(0.,0.,1.)); #427704=DIRECTION('center_axis',(0.,1.,0.)); #427705=DIRECTION('ref_axis',(-1.,0.,0.)); #427706=DIRECTION('',(-1.,0.,0.)); #427707=DIRECTION('',(-1.,0.,0.)); #427708=DIRECTION('center_axis',(0.,0.,1.)); #427709=DIRECTION('ref_axis',(1.,0.,0.)); #427710=DIRECTION('center_axis',(0.,0.,1.)); #427711=DIRECTION('ref_axis',(1.,0.,0.)); #427712=DIRECTION('center_axis',(0.,0.,1.)); #427713=DIRECTION('ref_axis',(1.,0.,0.)); #427714=DIRECTION('center_axis',(0.,0.,1.)); #427715=DIRECTION('ref_axis',(1.,0.,0.)); #427716=DIRECTION('',(0.,0.,1.)); #427717=DIRECTION('center_axis',(0.,0.,-1.)); #427718=DIRECTION('ref_axis',(1.,0.,0.)); #427719=DIRECTION('center_axis',(0.,0.,1.)); #427720=DIRECTION('ref_axis',(1.,0.,0.)); #427721=DIRECTION('center_axis',(0.,0.,1.)); #427722=DIRECTION('ref_axis',(1.,0.,0.)); #427723=DIRECTION('',(0.,0.,1.)); #427724=DIRECTION('center_axis',(0.,0.,-1.)); #427725=DIRECTION('ref_axis',(1.,0.,0.)); #427726=DIRECTION('center_axis',(0.,0.,1.)); #427727=DIRECTION('ref_axis',(1.,0.,0.)); #427728=DIRECTION('center_axis',(0.,0.,1.)); #427729=DIRECTION('ref_axis',(1.,0.,0.)); #427730=DIRECTION('',(0.,0.,1.)); #427731=DIRECTION('center_axis',(0.,0.,-1.)); #427732=DIRECTION('ref_axis',(1.,0.,0.)); #427733=DIRECTION('center_axis',(0.,0.,1.)); #427734=DIRECTION('ref_axis',(1.,0.,0.)); #427735=DIRECTION('center_axis',(0.,0.,1.)); #427736=DIRECTION('ref_axis',(1.,0.,0.)); #427737=DIRECTION('',(0.,0.,1.)); #427738=DIRECTION('center_axis',(0.,0.,-1.)); #427739=DIRECTION('ref_axis',(1.,0.,0.)); #427740=DIRECTION('center_axis',(0.,0.,1.)); #427741=DIRECTION('ref_axis',(1.,0.,0.)); #427742=DIRECTION('center_axis',(0.,0.,1.)); #427743=DIRECTION('ref_axis',(1.,0.,0.)); #427744=DIRECTION('',(0.,0.,1.)); #427745=DIRECTION('center_axis',(0.,0.,-1.)); #427746=DIRECTION('ref_axis',(1.,0.,0.)); #427747=DIRECTION('center_axis',(0.,0.,1.)); #427748=DIRECTION('ref_axis',(1.,0.,0.)); #427749=DIRECTION('center_axis',(0.,0.,1.)); #427750=DIRECTION('ref_axis',(1.,0.,0.)); #427751=DIRECTION('',(0.,0.,1.)); #427752=DIRECTION('center_axis',(0.,0.,-1.)); #427753=DIRECTION('ref_axis',(1.,0.,0.)); #427754=DIRECTION('center_axis',(0.,0.,1.)); #427755=DIRECTION('ref_axis',(1.,0.,0.)); #427756=DIRECTION('center_axis',(0.,0.,1.)); #427757=DIRECTION('ref_axis',(1.,0.,0.)); #427758=DIRECTION('',(0.,0.,1.)); #427759=DIRECTION('center_axis',(0.,0.,-1.)); #427760=DIRECTION('ref_axis',(1.,0.,0.)); #427761=DIRECTION('center_axis',(0.,0.,1.)); #427762=DIRECTION('ref_axis',(1.,0.,0.)); #427763=DIRECTION('center_axis',(0.,0.,1.)); #427764=DIRECTION('ref_axis',(1.,0.,0.)); #427765=DIRECTION('',(0.,0.,1.)); #427766=DIRECTION('center_axis',(0.,0.,-1.)); #427767=DIRECTION('ref_axis',(1.,0.,0.)); #427768=DIRECTION('center_axis',(0.,0.,1.)); #427769=DIRECTION('ref_axis',(1.,0.,0.)); #427770=DIRECTION('center_axis',(0.,0.,1.)); #427771=DIRECTION('ref_axis',(1.,0.,0.)); #427772=DIRECTION('',(0.,0.,1.)); #427773=DIRECTION('center_axis',(0.,0.,-1.)); #427774=DIRECTION('ref_axis',(1.,0.,0.)); #427775=DIRECTION('center_axis',(0.,0.,1.)); #427776=DIRECTION('ref_axis',(1.,0.,0.)); #427777=DIRECTION('center_axis',(0.,0.,1.)); #427778=DIRECTION('ref_axis',(1.,0.,0.)); #427779=DIRECTION('',(0.,0.,1.)); #427780=DIRECTION('center_axis',(0.,0.,-1.)); #427781=DIRECTION('ref_axis',(1.,0.,0.)); #427782=DIRECTION('center_axis',(0.,0.,1.)); #427783=DIRECTION('ref_axis',(1.,0.,0.)); #427784=DIRECTION('center_axis',(0.,0.,1.)); #427785=DIRECTION('ref_axis',(1.,0.,0.)); #427786=DIRECTION('',(0.,0.,1.)); #427787=DIRECTION('center_axis',(0.,0.,-1.)); #427788=DIRECTION('ref_axis',(1.,0.,0.)); #427789=DIRECTION('center_axis',(0.,0.,1.)); #427790=DIRECTION('ref_axis',(1.,0.,0.)); #427791=DIRECTION('center_axis',(0.,0.,1.)); #427792=DIRECTION('ref_axis',(1.,0.,0.)); #427793=DIRECTION('',(0.,0.,1.)); #427794=DIRECTION('center_axis',(0.,0.,-1.)); #427795=DIRECTION('ref_axis',(1.,0.,0.)); #427796=DIRECTION('center_axis',(0.,0.,1.)); #427797=DIRECTION('ref_axis',(1.,0.,0.)); #427798=DIRECTION('center_axis',(0.,0.,1.)); #427799=DIRECTION('ref_axis',(1.,0.,0.)); #427800=DIRECTION('',(0.,0.,1.)); #427801=DIRECTION('center_axis',(0.,0.,-1.)); #427802=DIRECTION('ref_axis',(1.,0.,0.)); #427803=DIRECTION('center_axis',(0.,0.,1.)); #427804=DIRECTION('ref_axis',(1.,0.,0.)); #427805=DIRECTION('center_axis',(0.,0.,1.)); #427806=DIRECTION('ref_axis',(1.,0.,0.)); #427807=DIRECTION('',(0.,0.,1.)); #427808=DIRECTION('center_axis',(0.,0.,-1.)); #427809=DIRECTION('ref_axis',(1.,0.,0.)); #427810=DIRECTION('center_axis',(0.,0.,1.)); #427811=DIRECTION('ref_axis',(1.,0.,0.)); #427812=DIRECTION('center_axis',(0.,0.,1.)); #427813=DIRECTION('ref_axis',(1.,0.,0.)); #427814=DIRECTION('',(0.,0.,1.)); #427815=DIRECTION('center_axis',(0.,0.,-1.)); #427816=DIRECTION('ref_axis',(1.,0.,0.)); #427817=DIRECTION('center_axis',(1.,0.,0.)); #427818=DIRECTION('ref_axis',(0.,1.,0.)); #427819=DIRECTION('',(0.,1.,0.)); #427820=DIRECTION('',(0.,0.,1.)); #427821=DIRECTION('',(0.,1.,0.)); #427822=DIRECTION('',(0.,0.,1.)); #427823=DIRECTION('center_axis',(0.,-1.,0.)); #427824=DIRECTION('ref_axis',(1.,0.,0.)); #427825=DIRECTION('',(1.,0.,0.)); #427826=DIRECTION('',(1.,0.,0.)); #427827=DIRECTION('',(0.,0.,1.)); #427828=DIRECTION('center_axis',(-1.,0.,0.)); #427829=DIRECTION('ref_axis',(0.,-1.,0.)); #427830=DIRECTION('',(0.,-1.,0.)); #427831=DIRECTION('',(0.,-1.,0.)); #427832=DIRECTION('',(0.,0.,1.)); #427833=DIRECTION('center_axis',(0.,1.,0.)); #427834=DIRECTION('ref_axis',(-1.,0.,0.)); #427835=DIRECTION('',(-1.,0.,0.)); #427836=DIRECTION('',(-1.,0.,0.)); #427837=DIRECTION('center_axis',(0.,0.,1.)); #427838=DIRECTION('ref_axis',(1.,0.,0.)); #427839=DIRECTION('center_axis',(0.,0.,1.)); #427840=DIRECTION('ref_axis',(1.,0.,0.)); #427841=DIRECTION('center_axis',(1.,0.,0.)); #427842=DIRECTION('ref_axis',(0.,1.,0.)); #427843=DIRECTION('',(0.,1.,0.)); #427844=DIRECTION('',(0.,0.,1.)); #427845=DIRECTION('',(0.,1.,0.)); #427846=DIRECTION('',(0.,0.,1.)); #427847=DIRECTION('center_axis',(0.,-1.,0.)); #427848=DIRECTION('ref_axis',(1.,0.,0.)); #427849=DIRECTION('',(1.,0.,0.)); #427850=DIRECTION('',(1.,0.,0.)); #427851=DIRECTION('',(0.,0.,1.)); #427852=DIRECTION('center_axis',(-1.,0.,0.)); #427853=DIRECTION('ref_axis',(0.,-1.,0.)); #427854=DIRECTION('',(0.,-1.,0.)); #427855=DIRECTION('',(0.,-1.,0.)); #427856=DIRECTION('',(0.,0.,1.)); #427857=DIRECTION('center_axis',(0.,1.,0.)); #427858=DIRECTION('ref_axis',(-1.,0.,0.)); #427859=DIRECTION('',(-1.,0.,0.)); #427860=DIRECTION('',(-1.,0.,0.)); #427861=DIRECTION('center_axis',(0.,0.,1.)); #427862=DIRECTION('ref_axis',(1.,0.,0.)); #427863=DIRECTION('center_axis',(0.,0.,1.)); #427864=DIRECTION('ref_axis',(1.,0.,0.)); #427865=DIRECTION('center_axis',(1.,0.,0.)); #427866=DIRECTION('ref_axis',(0.,1.,0.)); #427867=DIRECTION('',(0.,1.,0.)); #427868=DIRECTION('',(0.,0.,1.)); #427869=DIRECTION('',(0.,1.,0.)); #427870=DIRECTION('',(0.,0.,1.)); #427871=DIRECTION('center_axis',(0.,-1.,0.)); #427872=DIRECTION('ref_axis',(1.,0.,0.)); #427873=DIRECTION('',(1.,0.,0.)); #427874=DIRECTION('',(1.,0.,0.)); #427875=DIRECTION('',(0.,0.,1.)); #427876=DIRECTION('center_axis',(-1.,0.,0.)); #427877=DIRECTION('ref_axis',(0.,-1.,0.)); #427878=DIRECTION('',(0.,-1.,0.)); #427879=DIRECTION('',(0.,-1.,0.)); #427880=DIRECTION('',(0.,0.,1.)); #427881=DIRECTION('center_axis',(0.,1.,0.)); #427882=DIRECTION('ref_axis',(-1.,0.,0.)); #427883=DIRECTION('',(-1.,0.,0.)); #427884=DIRECTION('',(-1.,0.,0.)); #427885=DIRECTION('center_axis',(0.,0.,1.)); #427886=DIRECTION('ref_axis',(1.,0.,0.)); #427887=DIRECTION('center_axis',(0.,0.,1.)); #427888=DIRECTION('ref_axis',(1.,0.,0.)); #427889=DIRECTION('center_axis',(1.,0.,0.)); #427890=DIRECTION('ref_axis',(0.,1.,0.)); #427891=DIRECTION('',(0.,1.,0.)); #427892=DIRECTION('',(0.,0.,1.)); #427893=DIRECTION('',(0.,1.,0.)); #427894=DIRECTION('',(0.,0.,1.)); #427895=DIRECTION('center_axis',(0.,-1.,0.)); #427896=DIRECTION('ref_axis',(1.,0.,0.)); #427897=DIRECTION('',(1.,0.,0.)); #427898=DIRECTION('',(1.,0.,0.)); #427899=DIRECTION('',(0.,0.,1.)); #427900=DIRECTION('center_axis',(-1.,0.,0.)); #427901=DIRECTION('ref_axis',(0.,-1.,0.)); #427902=DIRECTION('',(0.,-1.,0.)); #427903=DIRECTION('',(0.,-1.,0.)); #427904=DIRECTION('',(0.,0.,1.)); #427905=DIRECTION('center_axis',(0.,1.,0.)); #427906=DIRECTION('ref_axis',(-1.,0.,0.)); #427907=DIRECTION('',(-1.,0.,0.)); #427908=DIRECTION('',(-1.,0.,0.)); #427909=DIRECTION('center_axis',(0.,0.,1.)); #427910=DIRECTION('ref_axis',(1.,0.,0.)); #427911=DIRECTION('center_axis',(0.,0.,1.)); #427912=DIRECTION('ref_axis',(1.,0.,0.)); #427913=DIRECTION('center_axis',(0.,0.,1.)); #427914=DIRECTION('ref_axis',(1.,0.,0.)); #427915=DIRECTION('center_axis',(0.,0.,1.)); #427916=DIRECTION('ref_axis',(1.,0.,0.)); #427917=DIRECTION('',(0.,0.,1.)); #427918=DIRECTION('center_axis',(0.,0.,-1.)); #427919=DIRECTION('ref_axis',(1.,0.,0.)); #427920=DIRECTION('center_axis',(1.,0.,0.)); #427921=DIRECTION('ref_axis',(0.,1.,0.)); #427922=DIRECTION('',(0.,1.,0.)); #427923=DIRECTION('',(0.,0.,1.)); #427924=DIRECTION('',(0.,1.,0.)); #427925=DIRECTION('',(0.,0.,1.)); #427926=DIRECTION('center_axis',(0.,-1.,0.)); #427927=DIRECTION('ref_axis',(1.,0.,0.)); #427928=DIRECTION('',(1.,0.,0.)); #427929=DIRECTION('',(1.,0.,0.)); #427930=DIRECTION('',(0.,0.,1.)); #427931=DIRECTION('center_axis',(-1.,0.,0.)); #427932=DIRECTION('ref_axis',(0.,-1.,0.)); #427933=DIRECTION('',(0.,-1.,0.)); #427934=DIRECTION('',(0.,-1.,0.)); #427935=DIRECTION('',(0.,0.,1.)); #427936=DIRECTION('center_axis',(0.,1.,0.)); #427937=DIRECTION('ref_axis',(-1.,0.,0.)); #427938=DIRECTION('',(-1.,0.,0.)); #427939=DIRECTION('',(-1.,0.,0.)); #427940=DIRECTION('center_axis',(0.,0.,1.)); #427941=DIRECTION('ref_axis',(1.,0.,0.)); #427942=DIRECTION('center_axis',(0.,0.,1.)); #427943=DIRECTION('ref_axis',(1.,0.,0.)); #427944=DIRECTION('center_axis',(0.,0.,1.)); #427945=DIRECTION('ref_axis',(1.,0.,0.)); #427946=DIRECTION('center_axis',(0.,0.,1.)); #427947=DIRECTION('ref_axis',(1.,0.,0.)); #427948=DIRECTION('',(0.,0.,1.)); #427949=DIRECTION('center_axis',(0.,0.,-1.)); #427950=DIRECTION('ref_axis',(1.,0.,0.)); #427951=DIRECTION('center_axis',(1.,0.,0.)); #427952=DIRECTION('ref_axis',(0.,1.,0.)); #427953=DIRECTION('',(0.,1.,0.)); #427954=DIRECTION('',(0.,0.,1.)); #427955=DIRECTION('',(0.,1.,0.)); #427956=DIRECTION('',(0.,0.,1.)); #427957=DIRECTION('center_axis',(0.,-1.,0.)); #427958=DIRECTION('ref_axis',(1.,0.,0.)); #427959=DIRECTION('',(1.,0.,0.)); #427960=DIRECTION('',(1.,0.,0.)); #427961=DIRECTION('',(0.,0.,1.)); #427962=DIRECTION('center_axis',(-1.,0.,0.)); #427963=DIRECTION('ref_axis',(0.,-1.,0.)); #427964=DIRECTION('',(0.,-1.,0.)); #427965=DIRECTION('',(0.,-1.,0.)); #427966=DIRECTION('',(0.,0.,1.)); #427967=DIRECTION('center_axis',(0.,1.,0.)); #427968=DIRECTION('ref_axis',(-1.,0.,0.)); #427969=DIRECTION('',(-1.,0.,0.)); #427970=DIRECTION('',(-1.,0.,0.)); #427971=DIRECTION('center_axis',(0.,0.,1.)); #427972=DIRECTION('ref_axis',(1.,0.,0.)); #427973=DIRECTION('center_axis',(0.,0.,1.)); #427974=DIRECTION('ref_axis',(1.,0.,0.)); #427975=DIRECTION('center_axis',(0.,0.,1.)); #427976=DIRECTION('ref_axis',(1.,0.,0.)); #427977=DIRECTION('center_axis',(0.,0.,1.)); #427978=DIRECTION('ref_axis',(1.,0.,0.)); #427979=DIRECTION('',(0.,0.,1.)); #427980=DIRECTION('center_axis',(0.,0.,-1.)); #427981=DIRECTION('ref_axis',(1.,0.,0.)); #427982=DIRECTION('center_axis',(1.,0.,0.)); #427983=DIRECTION('ref_axis',(0.,1.,0.)); #427984=DIRECTION('',(0.,1.,0.)); #427985=DIRECTION('',(0.,0.,1.)); #427986=DIRECTION('',(0.,1.,0.)); #427987=DIRECTION('',(0.,0.,1.)); #427988=DIRECTION('center_axis',(0.,-1.,0.)); #427989=DIRECTION('ref_axis',(1.,0.,0.)); #427990=DIRECTION('',(1.,0.,0.)); #427991=DIRECTION('',(1.,0.,0.)); #427992=DIRECTION('',(0.,0.,1.)); #427993=DIRECTION('center_axis',(-1.,0.,0.)); #427994=DIRECTION('ref_axis',(0.,-1.,0.)); #427995=DIRECTION('',(0.,-1.,0.)); #427996=DIRECTION('',(0.,-1.,0.)); #427997=DIRECTION('',(0.,0.,1.)); #427998=DIRECTION('center_axis',(0.,1.,0.)); #427999=DIRECTION('ref_axis',(-1.,0.,0.)); #428000=DIRECTION('',(-1.,0.,0.)); #428001=DIRECTION('',(-1.,0.,0.)); #428002=DIRECTION('center_axis',(0.,0.,1.)); #428003=DIRECTION('ref_axis',(1.,0.,0.)); #428004=DIRECTION('center_axis',(0.,0.,1.)); #428005=DIRECTION('ref_axis',(1.,0.,0.)); #428006=DIRECTION('center_axis',(0.,0.,1.)); #428007=DIRECTION('ref_axis',(1.,0.,0.)); #428008=DIRECTION('center_axis',(0.,0.,1.)); #428009=DIRECTION('ref_axis',(1.,0.,0.)); #428010=DIRECTION('',(0.,0.,1.)); #428011=DIRECTION('center_axis',(0.,0.,-1.)); #428012=DIRECTION('ref_axis',(1.,0.,0.)); #428013=DIRECTION('center_axis',(0.,0.,1.)); #428014=DIRECTION('ref_axis',(1.,0.,0.)); #428015=DIRECTION('center_axis',(0.,0.,1.)); #428016=DIRECTION('ref_axis',(1.,0.,0.)); #428017=DIRECTION('',(0.,0.,1.)); #428018=DIRECTION('center_axis',(0.,0.,-1.)); #428019=DIRECTION('ref_axis',(1.,0.,0.)); #428020=DIRECTION('center_axis',(0.,0.,1.)); #428021=DIRECTION('ref_axis',(1.,0.,0.)); #428022=DIRECTION('center_axis',(0.,0.,1.)); #428023=DIRECTION('ref_axis',(1.,0.,0.)); #428024=DIRECTION('',(0.,0.,1.)); #428025=DIRECTION('center_axis',(0.,0.,-1.)); #428026=DIRECTION('ref_axis',(1.,0.,0.)); #428027=DIRECTION('center_axis',(0.,0.,1.)); #428028=DIRECTION('ref_axis',(1.,0.,0.)); #428029=DIRECTION('center_axis',(0.,0.,1.)); #428030=DIRECTION('ref_axis',(1.,0.,0.)); #428031=DIRECTION('',(0.,0.,1.)); #428032=DIRECTION('center_axis',(0.,0.,-1.)); #428033=DIRECTION('ref_axis',(1.,0.,0.)); #428034=DIRECTION('center_axis',(0.,0.,1.)); #428035=DIRECTION('ref_axis',(1.,0.,0.)); #428036=DIRECTION('center_axis',(0.,0.,1.)); #428037=DIRECTION('ref_axis',(1.,0.,0.)); #428038=DIRECTION('',(0.,0.,1.)); #428039=DIRECTION('center_axis',(0.,0.,-1.)); #428040=DIRECTION('ref_axis',(1.,0.,0.)); #428041=DIRECTION('center_axis',(0.,0.,1.)); #428042=DIRECTION('ref_axis',(1.,0.,0.)); #428043=DIRECTION('center_axis',(0.,0.,1.)); #428044=DIRECTION('ref_axis',(1.,0.,0.)); #428045=DIRECTION('',(0.,0.,1.)); #428046=DIRECTION('center_axis',(0.,0.,-1.)); #428047=DIRECTION('ref_axis',(1.,0.,0.)); #428048=DIRECTION('center_axis',(0.,0.,1.)); #428049=DIRECTION('ref_axis',(1.,0.,0.)); #428050=DIRECTION('center_axis',(0.,0.,1.)); #428051=DIRECTION('ref_axis',(1.,0.,0.)); #428052=DIRECTION('',(0.,0.,1.)); #428053=DIRECTION('center_axis',(0.,0.,-1.)); #428054=DIRECTION('ref_axis',(1.,0.,0.)); #428055=DIRECTION('center_axis',(0.,0.,1.)); #428056=DIRECTION('ref_axis',(1.,0.,0.)); #428057=DIRECTION('center_axis',(0.,0.,1.)); #428058=DIRECTION('ref_axis',(1.,0.,0.)); #428059=DIRECTION('',(0.,0.,1.)); #428060=DIRECTION('center_axis',(0.,0.,-1.)); #428061=DIRECTION('ref_axis',(1.,0.,0.)); #428062=DIRECTION('center_axis',(0.,0.,1.)); #428063=DIRECTION('ref_axis',(1.,0.,0.)); #428064=DIRECTION('center_axis',(0.,0.,1.)); #428065=DIRECTION('ref_axis',(1.,0.,0.)); #428066=DIRECTION('',(0.,0.,1.)); #428067=DIRECTION('center_axis',(0.,0.,-1.)); #428068=DIRECTION('ref_axis',(1.,0.,0.)); #428069=DIRECTION('center_axis',(0.,0.,1.)); #428070=DIRECTION('ref_axis',(1.,0.,0.)); #428071=DIRECTION('center_axis',(0.,0.,1.)); #428072=DIRECTION('ref_axis',(1.,0.,0.)); #428073=DIRECTION('',(0.,0.,1.)); #428074=DIRECTION('center_axis',(0.,0.,-1.)); #428075=DIRECTION('ref_axis',(1.,0.,0.)); #428076=DIRECTION('center_axis',(0.,0.,1.)); #428077=DIRECTION('ref_axis',(1.,0.,0.)); #428078=DIRECTION('center_axis',(0.,0.,1.)); #428079=DIRECTION('ref_axis',(1.,0.,0.)); #428080=DIRECTION('',(0.,0.,1.)); #428081=DIRECTION('center_axis',(0.,0.,-1.)); #428082=DIRECTION('ref_axis',(1.,0.,0.)); #428083=DIRECTION('center_axis',(0.,0.,1.)); #428084=DIRECTION('ref_axis',(1.,0.,0.)); #428085=DIRECTION('center_axis',(0.,0.,1.)); #428086=DIRECTION('ref_axis',(1.,0.,0.)); #428087=DIRECTION('',(0.,0.,1.)); #428088=DIRECTION('center_axis',(0.,0.,-1.)); #428089=DIRECTION('ref_axis',(1.,0.,0.)); #428090=DIRECTION('center_axis',(0.,0.,1.)); #428091=DIRECTION('ref_axis',(1.,0.,0.)); #428092=DIRECTION('center_axis',(0.,0.,1.)); #428093=DIRECTION('ref_axis',(1.,0.,0.)); #428094=DIRECTION('',(0.,0.,1.)); #428095=DIRECTION('center_axis',(0.,0.,-1.)); #428096=DIRECTION('ref_axis',(1.,0.,0.)); #428097=DIRECTION('center_axis',(0.,0.,1.)); #428098=DIRECTION('ref_axis',(1.,0.,0.)); #428099=DIRECTION('center_axis',(0.,0.,1.)); #428100=DIRECTION('ref_axis',(1.,0.,0.)); #428101=DIRECTION('',(0.,0.,1.)); #428102=DIRECTION('center_axis',(0.,0.,-1.)); #428103=DIRECTION('ref_axis',(1.,0.,0.)); #428104=DIRECTION('center_axis',(0.,0.,1.)); #428105=DIRECTION('ref_axis',(1.,0.,0.)); #428106=DIRECTION('center_axis',(0.,0.,1.)); #428107=DIRECTION('ref_axis',(1.,0.,0.)); #428108=DIRECTION('',(0.,0.,1.)); #428109=DIRECTION('center_axis',(0.,0.,-1.)); #428110=DIRECTION('ref_axis',(1.,0.,0.)); #428111=DIRECTION('center_axis',(1.,0.,0.)); #428112=DIRECTION('ref_axis',(0.,1.,0.)); #428113=DIRECTION('',(0.,1.,0.)); #428114=DIRECTION('',(0.,0.,1.)); #428115=DIRECTION('',(0.,1.,0.)); #428116=DIRECTION('',(0.,0.,1.)); #428117=DIRECTION('center_axis',(0.,-1.,0.)); #428118=DIRECTION('ref_axis',(1.,0.,0.)); #428119=DIRECTION('',(1.,0.,0.)); #428120=DIRECTION('',(1.,0.,0.)); #428121=DIRECTION('',(0.,0.,1.)); #428122=DIRECTION('center_axis',(-1.,0.,0.)); #428123=DIRECTION('ref_axis',(0.,-1.,0.)); #428124=DIRECTION('',(0.,-1.,0.)); #428125=DIRECTION('',(0.,-1.,0.)); #428126=DIRECTION('',(0.,0.,1.)); #428127=DIRECTION('center_axis',(0.,1.,0.)); #428128=DIRECTION('ref_axis',(-1.,0.,0.)); #428129=DIRECTION('',(-1.,0.,0.)); #428130=DIRECTION('',(-1.,0.,0.)); #428131=DIRECTION('center_axis',(0.,0.,1.)); #428132=DIRECTION('ref_axis',(1.,0.,0.)); #428133=DIRECTION('center_axis',(0.,0.,1.)); #428134=DIRECTION('ref_axis',(1.,0.,0.)); #428135=DIRECTION('center_axis',(1.,0.,0.)); #428136=DIRECTION('ref_axis',(0.,1.,0.)); #428137=DIRECTION('',(0.,1.,0.)); #428138=DIRECTION('',(0.,0.,1.)); #428139=DIRECTION('',(0.,1.,0.)); #428140=DIRECTION('',(0.,0.,1.)); #428141=DIRECTION('center_axis',(0.,-1.,0.)); #428142=DIRECTION('ref_axis',(1.,0.,0.)); #428143=DIRECTION('',(1.,0.,0.)); #428144=DIRECTION('',(1.,0.,0.)); #428145=DIRECTION('',(0.,0.,1.)); #428146=DIRECTION('center_axis',(-1.,0.,0.)); #428147=DIRECTION('ref_axis',(0.,-1.,0.)); #428148=DIRECTION('',(0.,-1.,0.)); #428149=DIRECTION('',(0.,-1.,0.)); #428150=DIRECTION('',(0.,0.,1.)); #428151=DIRECTION('center_axis',(0.,1.,0.)); #428152=DIRECTION('ref_axis',(-1.,0.,0.)); #428153=DIRECTION('',(-1.,0.,0.)); #428154=DIRECTION('',(-1.,0.,0.)); #428155=DIRECTION('center_axis',(0.,0.,1.)); #428156=DIRECTION('ref_axis',(1.,0.,0.)); #428157=DIRECTION('center_axis',(0.,0.,1.)); #428158=DIRECTION('ref_axis',(1.,0.,0.)); #428159=DIRECTION('center_axis',(1.,0.,0.)); #428160=DIRECTION('ref_axis',(0.,1.,0.)); #428161=DIRECTION('',(0.,1.,0.)); #428162=DIRECTION('',(0.,0.,1.)); #428163=DIRECTION('',(0.,1.,0.)); #428164=DIRECTION('',(0.,0.,1.)); #428165=DIRECTION('center_axis',(0.,-1.,0.)); #428166=DIRECTION('ref_axis',(1.,0.,0.)); #428167=DIRECTION('',(1.,0.,0.)); #428168=DIRECTION('',(1.,0.,0.)); #428169=DIRECTION('',(0.,0.,1.)); #428170=DIRECTION('center_axis',(-1.,0.,0.)); #428171=DIRECTION('ref_axis',(0.,-1.,0.)); #428172=DIRECTION('',(0.,-1.,0.)); #428173=DIRECTION('',(0.,-1.,0.)); #428174=DIRECTION('',(0.,0.,1.)); #428175=DIRECTION('center_axis',(0.,1.,0.)); #428176=DIRECTION('ref_axis',(-1.,0.,0.)); #428177=DIRECTION('',(-1.,0.,0.)); #428178=DIRECTION('',(-1.,0.,0.)); #428179=DIRECTION('center_axis',(0.,0.,1.)); #428180=DIRECTION('ref_axis',(1.,0.,0.)); #428181=DIRECTION('center_axis',(0.,0.,1.)); #428182=DIRECTION('ref_axis',(1.,0.,0.)); #428183=DIRECTION('center_axis',(1.,0.,0.)); #428184=DIRECTION('ref_axis',(0.,1.,0.)); #428185=DIRECTION('',(0.,1.,0.)); #428186=DIRECTION('',(0.,0.,1.)); #428187=DIRECTION('',(0.,1.,0.)); #428188=DIRECTION('',(0.,0.,1.)); #428189=DIRECTION('center_axis',(0.,-1.,0.)); #428190=DIRECTION('ref_axis',(1.,0.,0.)); #428191=DIRECTION('',(1.,0.,0.)); #428192=DIRECTION('',(1.,0.,0.)); #428193=DIRECTION('',(0.,0.,1.)); #428194=DIRECTION('center_axis',(-1.,0.,0.)); #428195=DIRECTION('ref_axis',(0.,-1.,0.)); #428196=DIRECTION('',(0.,-1.,0.)); #428197=DIRECTION('',(0.,-1.,0.)); #428198=DIRECTION('',(0.,0.,1.)); #428199=DIRECTION('center_axis',(0.,1.,0.)); #428200=DIRECTION('ref_axis',(-1.,0.,0.)); #428201=DIRECTION('',(-1.,0.,0.)); #428202=DIRECTION('',(-1.,0.,0.)); #428203=DIRECTION('center_axis',(0.,0.,1.)); #428204=DIRECTION('ref_axis',(1.,0.,0.)); #428205=DIRECTION('center_axis',(0.,0.,1.)); #428206=DIRECTION('ref_axis',(1.,0.,0.)); #428207=DIRECTION('center_axis',(1.,0.,0.)); #428208=DIRECTION('ref_axis',(0.,1.,0.)); #428209=DIRECTION('',(0.,1.,0.)); #428210=DIRECTION('',(0.,0.,1.)); #428211=DIRECTION('',(0.,1.,0.)); #428212=DIRECTION('',(0.,0.,1.)); #428213=DIRECTION('center_axis',(0.,-1.,0.)); #428214=DIRECTION('ref_axis',(1.,0.,0.)); #428215=DIRECTION('',(1.,0.,0.)); #428216=DIRECTION('',(1.,0.,0.)); #428217=DIRECTION('',(0.,0.,1.)); #428218=DIRECTION('center_axis',(-1.,0.,0.)); #428219=DIRECTION('ref_axis',(0.,-1.,0.)); #428220=DIRECTION('',(0.,-1.,0.)); #428221=DIRECTION('',(0.,-1.,0.)); #428222=DIRECTION('',(0.,0.,1.)); #428223=DIRECTION('center_axis',(0.,1.,0.)); #428224=DIRECTION('ref_axis',(-1.,0.,0.)); #428225=DIRECTION('',(-1.,0.,0.)); #428226=DIRECTION('',(-1.,0.,0.)); #428227=DIRECTION('center_axis',(0.,0.,1.)); #428228=DIRECTION('ref_axis',(1.,0.,0.)); #428229=DIRECTION('center_axis',(0.,0.,1.)); #428230=DIRECTION('ref_axis',(1.,0.,0.)); #428231=DIRECTION('center_axis',(1.,0.,0.)); #428232=DIRECTION('ref_axis',(0.,1.,0.)); #428233=DIRECTION('',(0.,1.,0.)); #428234=DIRECTION('',(0.,0.,1.)); #428235=DIRECTION('',(0.,1.,0.)); #428236=DIRECTION('',(0.,0.,1.)); #428237=DIRECTION('center_axis',(0.,-1.,0.)); #428238=DIRECTION('ref_axis',(1.,0.,0.)); #428239=DIRECTION('',(1.,0.,0.)); #428240=DIRECTION('',(1.,0.,0.)); #428241=DIRECTION('',(0.,0.,1.)); #428242=DIRECTION('center_axis',(-1.,0.,0.)); #428243=DIRECTION('ref_axis',(0.,-1.,0.)); #428244=DIRECTION('',(0.,-1.,0.)); #428245=DIRECTION('',(0.,-1.,0.)); #428246=DIRECTION('',(0.,0.,1.)); #428247=DIRECTION('center_axis',(0.,1.,0.)); #428248=DIRECTION('ref_axis',(-1.,0.,0.)); #428249=DIRECTION('',(-1.,0.,0.)); #428250=DIRECTION('',(-1.,0.,0.)); #428251=DIRECTION('center_axis',(0.,0.,1.)); #428252=DIRECTION('ref_axis',(1.,0.,0.)); #428253=DIRECTION('center_axis',(0.,0.,1.)); #428254=DIRECTION('ref_axis',(1.,0.,0.)); #428255=DIRECTION('center_axis',(1.,0.,0.)); #428256=DIRECTION('ref_axis',(0.,1.,0.)); #428257=DIRECTION('',(0.,1.,0.)); #428258=DIRECTION('',(0.,0.,1.)); #428259=DIRECTION('',(0.,1.,0.)); #428260=DIRECTION('',(0.,0.,1.)); #428261=DIRECTION('center_axis',(0.,-1.,0.)); #428262=DIRECTION('ref_axis',(1.,0.,0.)); #428263=DIRECTION('',(1.,0.,0.)); #428264=DIRECTION('',(1.,0.,0.)); #428265=DIRECTION('',(0.,0.,1.)); #428266=DIRECTION('center_axis',(-1.,0.,0.)); #428267=DIRECTION('ref_axis',(0.,-1.,0.)); #428268=DIRECTION('',(0.,-1.,0.)); #428269=DIRECTION('',(0.,-1.,0.)); #428270=DIRECTION('',(0.,0.,1.)); #428271=DIRECTION('center_axis',(0.,1.,0.)); #428272=DIRECTION('ref_axis',(-1.,0.,0.)); #428273=DIRECTION('',(-1.,0.,0.)); #428274=DIRECTION('',(-1.,0.,0.)); #428275=DIRECTION('center_axis',(0.,0.,1.)); #428276=DIRECTION('ref_axis',(1.,0.,0.)); #428277=DIRECTION('center_axis',(0.,0.,1.)); #428278=DIRECTION('ref_axis',(1.,0.,0.)); #428279=DIRECTION('center_axis',(1.,0.,0.)); #428280=DIRECTION('ref_axis',(0.,1.,0.)); #428281=DIRECTION('',(0.,1.,0.)); #428282=DIRECTION('',(0.,0.,1.)); #428283=DIRECTION('',(0.,1.,0.)); #428284=DIRECTION('',(0.,0.,1.)); #428285=DIRECTION('center_axis',(0.,-1.,0.)); #428286=DIRECTION('ref_axis',(1.,0.,0.)); #428287=DIRECTION('',(1.,0.,0.)); #428288=DIRECTION('',(1.,0.,0.)); #428289=DIRECTION('',(0.,0.,1.)); #428290=DIRECTION('center_axis',(-1.,0.,0.)); #428291=DIRECTION('ref_axis',(0.,-1.,0.)); #428292=DIRECTION('',(0.,-1.,0.)); #428293=DIRECTION('',(0.,-1.,0.)); #428294=DIRECTION('',(0.,0.,1.)); #428295=DIRECTION('center_axis',(0.,1.,0.)); #428296=DIRECTION('ref_axis',(-1.,0.,0.)); #428297=DIRECTION('',(-1.,0.,0.)); #428298=DIRECTION('',(-1.,0.,0.)); #428299=DIRECTION('center_axis',(0.,0.,1.)); #428300=DIRECTION('ref_axis',(1.,0.,0.)); #428301=DIRECTION('center_axis',(0.,0.,1.)); #428302=DIRECTION('ref_axis',(1.,0.,0.)); #428303=DIRECTION('center_axis',(1.,0.,0.)); #428304=DIRECTION('ref_axis',(0.,1.,0.)); #428305=DIRECTION('',(0.,1.,0.)); #428306=DIRECTION('',(0.,0.,1.)); #428307=DIRECTION('',(0.,1.,0.)); #428308=DIRECTION('',(0.,0.,1.)); #428309=DIRECTION('center_axis',(0.,-1.,0.)); #428310=DIRECTION('ref_axis',(1.,0.,0.)); #428311=DIRECTION('',(1.,0.,0.)); #428312=DIRECTION('',(1.,0.,0.)); #428313=DIRECTION('',(0.,0.,1.)); #428314=DIRECTION('center_axis',(-1.,0.,0.)); #428315=DIRECTION('ref_axis',(0.,-1.,0.)); #428316=DIRECTION('',(0.,-1.,0.)); #428317=DIRECTION('',(0.,-1.,0.)); #428318=DIRECTION('',(0.,0.,1.)); #428319=DIRECTION('center_axis',(0.,1.,0.)); #428320=DIRECTION('ref_axis',(-1.,0.,0.)); #428321=DIRECTION('',(-1.,0.,0.)); #428322=DIRECTION('',(-1.,0.,0.)); #428323=DIRECTION('center_axis',(0.,0.,1.)); #428324=DIRECTION('ref_axis',(1.,0.,0.)); #428325=DIRECTION('center_axis',(0.,0.,1.)); #428326=DIRECTION('ref_axis',(1.,0.,0.)); #428327=DIRECTION('center_axis',(1.,0.,0.)); #428328=DIRECTION('ref_axis',(0.,1.,0.)); #428329=DIRECTION('',(0.,1.,0.)); #428330=DIRECTION('',(0.,0.,1.)); #428331=DIRECTION('',(0.,1.,0.)); #428332=DIRECTION('',(0.,0.,1.)); #428333=DIRECTION('center_axis',(0.,-1.,0.)); #428334=DIRECTION('ref_axis',(1.,0.,0.)); #428335=DIRECTION('',(1.,0.,0.)); #428336=DIRECTION('',(1.,0.,0.)); #428337=DIRECTION('',(0.,0.,1.)); #428338=DIRECTION('center_axis',(-1.,0.,0.)); #428339=DIRECTION('ref_axis',(0.,-1.,0.)); #428340=DIRECTION('',(0.,-1.,0.)); #428341=DIRECTION('',(0.,-1.,0.)); #428342=DIRECTION('',(0.,0.,1.)); #428343=DIRECTION('center_axis',(0.,1.,0.)); #428344=DIRECTION('ref_axis',(-1.,0.,0.)); #428345=DIRECTION('',(-1.,0.,0.)); #428346=DIRECTION('',(-1.,0.,0.)); #428347=DIRECTION('center_axis',(0.,0.,1.)); #428348=DIRECTION('ref_axis',(1.,0.,0.)); #428349=DIRECTION('center_axis',(0.,0.,1.)); #428350=DIRECTION('ref_axis',(1.,0.,0.)); #428351=DIRECTION('center_axis',(1.,0.,0.)); #428352=DIRECTION('ref_axis',(0.,1.,0.)); #428353=DIRECTION('',(0.,1.,0.)); #428354=DIRECTION('',(0.,0.,1.)); #428355=DIRECTION('',(0.,1.,0.)); #428356=DIRECTION('',(0.,0.,1.)); #428357=DIRECTION('center_axis',(0.,-1.,0.)); #428358=DIRECTION('ref_axis',(1.,0.,0.)); #428359=DIRECTION('',(1.,0.,0.)); #428360=DIRECTION('',(1.,0.,0.)); #428361=DIRECTION('',(0.,0.,1.)); #428362=DIRECTION('center_axis',(-1.,0.,0.)); #428363=DIRECTION('ref_axis',(0.,-1.,0.)); #428364=DIRECTION('',(0.,-1.,0.)); #428365=DIRECTION('',(0.,-1.,0.)); #428366=DIRECTION('',(0.,0.,1.)); #428367=DIRECTION('center_axis',(0.,1.,0.)); #428368=DIRECTION('ref_axis',(-1.,0.,0.)); #428369=DIRECTION('',(-1.,0.,0.)); #428370=DIRECTION('',(-1.,0.,0.)); #428371=DIRECTION('center_axis',(0.,0.,1.)); #428372=DIRECTION('ref_axis',(1.,0.,0.)); #428373=DIRECTION('center_axis',(0.,0.,1.)); #428374=DIRECTION('ref_axis',(1.,0.,0.)); #428375=DIRECTION('center_axis',(1.,0.,0.)); #428376=DIRECTION('ref_axis',(0.,1.,0.)); #428377=DIRECTION('',(0.,1.,0.)); #428378=DIRECTION('',(0.,0.,1.)); #428379=DIRECTION('',(0.,1.,0.)); #428380=DIRECTION('',(0.,0.,1.)); #428381=DIRECTION('center_axis',(0.,-1.,0.)); #428382=DIRECTION('ref_axis',(1.,0.,0.)); #428383=DIRECTION('',(1.,0.,0.)); #428384=DIRECTION('',(1.,0.,0.)); #428385=DIRECTION('',(0.,0.,1.)); #428386=DIRECTION('center_axis',(-1.,0.,0.)); #428387=DIRECTION('ref_axis',(0.,-1.,0.)); #428388=DIRECTION('',(0.,-1.,0.)); #428389=DIRECTION('',(0.,-1.,0.)); #428390=DIRECTION('',(0.,0.,1.)); #428391=DIRECTION('center_axis',(0.,1.,0.)); #428392=DIRECTION('ref_axis',(-1.,0.,0.)); #428393=DIRECTION('',(-1.,0.,0.)); #428394=DIRECTION('',(-1.,0.,0.)); #428395=DIRECTION('center_axis',(0.,0.,1.)); #428396=DIRECTION('ref_axis',(1.,0.,0.)); #428397=DIRECTION('center_axis',(0.,0.,1.)); #428398=DIRECTION('ref_axis',(1.,0.,0.)); #428399=DIRECTION('center_axis',(1.,0.,0.)); #428400=DIRECTION('ref_axis',(0.,1.,0.)); #428401=DIRECTION('',(0.,1.,0.)); #428402=DIRECTION('',(0.,0.,1.)); #428403=DIRECTION('',(0.,1.,0.)); #428404=DIRECTION('',(0.,0.,1.)); #428405=DIRECTION('center_axis',(0.,-1.,0.)); #428406=DIRECTION('ref_axis',(1.,0.,0.)); #428407=DIRECTION('',(1.,0.,0.)); #428408=DIRECTION('',(1.,0.,0.)); #428409=DIRECTION('',(0.,0.,1.)); #428410=DIRECTION('center_axis',(-1.,0.,0.)); #428411=DIRECTION('ref_axis',(0.,-1.,0.)); #428412=DIRECTION('',(0.,-1.,0.)); #428413=DIRECTION('',(0.,-1.,0.)); #428414=DIRECTION('',(0.,0.,1.)); #428415=DIRECTION('center_axis',(0.,1.,0.)); #428416=DIRECTION('ref_axis',(-1.,0.,0.)); #428417=DIRECTION('',(-1.,0.,0.)); #428418=DIRECTION('',(-1.,0.,0.)); #428419=DIRECTION('center_axis',(0.,0.,1.)); #428420=DIRECTION('ref_axis',(1.,0.,0.)); #428421=DIRECTION('center_axis',(0.,0.,1.)); #428422=DIRECTION('ref_axis',(1.,0.,0.)); #428423=DIRECTION('center_axis',(1.,0.,0.)); #428424=DIRECTION('ref_axis',(0.,1.,0.)); #428425=DIRECTION('',(0.,1.,0.)); #428426=DIRECTION('',(0.,0.,1.)); #428427=DIRECTION('',(0.,1.,0.)); #428428=DIRECTION('',(0.,0.,1.)); #428429=DIRECTION('center_axis',(0.,-1.,0.)); #428430=DIRECTION('ref_axis',(1.,0.,0.)); #428431=DIRECTION('',(1.,0.,0.)); #428432=DIRECTION('',(1.,0.,0.)); #428433=DIRECTION('',(0.,0.,1.)); #428434=DIRECTION('center_axis',(-1.,0.,0.)); #428435=DIRECTION('ref_axis',(0.,-1.,0.)); #428436=DIRECTION('',(0.,-1.,0.)); #428437=DIRECTION('',(0.,-1.,0.)); #428438=DIRECTION('',(0.,0.,1.)); #428439=DIRECTION('center_axis',(0.,1.,0.)); #428440=DIRECTION('ref_axis',(-1.,0.,0.)); #428441=DIRECTION('',(-1.,0.,0.)); #428442=DIRECTION('',(-1.,0.,0.)); #428443=DIRECTION('center_axis',(0.,0.,1.)); #428444=DIRECTION('ref_axis',(1.,0.,0.)); #428445=DIRECTION('center_axis',(0.,0.,1.)); #428446=DIRECTION('ref_axis',(1.,0.,0.)); #428447=DIRECTION('center_axis',(1.,0.,0.)); #428448=DIRECTION('ref_axis',(0.,1.,0.)); #428449=DIRECTION('',(0.,1.,0.)); #428450=DIRECTION('',(0.,0.,1.)); #428451=DIRECTION('',(0.,1.,0.)); #428452=DIRECTION('',(0.,0.,1.)); #428453=DIRECTION('center_axis',(0.,-1.,0.)); #428454=DIRECTION('ref_axis',(1.,0.,0.)); #428455=DIRECTION('',(1.,0.,0.)); #428456=DIRECTION('',(1.,0.,0.)); #428457=DIRECTION('',(0.,0.,1.)); #428458=DIRECTION('center_axis',(-1.,0.,0.)); #428459=DIRECTION('ref_axis',(0.,-1.,0.)); #428460=DIRECTION('',(0.,-1.,0.)); #428461=DIRECTION('',(0.,-1.,0.)); #428462=DIRECTION('',(0.,0.,1.)); #428463=DIRECTION('center_axis',(0.,1.,0.)); #428464=DIRECTION('ref_axis',(-1.,0.,0.)); #428465=DIRECTION('',(-1.,0.,0.)); #428466=DIRECTION('',(-1.,0.,0.)); #428467=DIRECTION('center_axis',(0.,0.,1.)); #428468=DIRECTION('ref_axis',(1.,0.,0.)); #428469=DIRECTION('center_axis',(0.,0.,1.)); #428470=DIRECTION('ref_axis',(1.,0.,0.)); #428471=DIRECTION('center_axis',(1.,0.,0.)); #428472=DIRECTION('ref_axis',(0.,1.,0.)); #428473=DIRECTION('',(0.,1.,0.)); #428474=DIRECTION('',(0.,0.,1.)); #428475=DIRECTION('',(0.,1.,0.)); #428476=DIRECTION('',(0.,0.,1.)); #428477=DIRECTION('center_axis',(0.,-1.,0.)); #428478=DIRECTION('ref_axis',(1.,0.,0.)); #428479=DIRECTION('',(1.,0.,0.)); #428480=DIRECTION('',(1.,0.,0.)); #428481=DIRECTION('',(0.,0.,1.)); #428482=DIRECTION('center_axis',(-1.,0.,0.)); #428483=DIRECTION('ref_axis',(0.,-1.,0.)); #428484=DIRECTION('',(0.,-1.,0.)); #428485=DIRECTION('',(0.,-1.,0.)); #428486=DIRECTION('',(0.,0.,1.)); #428487=DIRECTION('center_axis',(0.,1.,0.)); #428488=DIRECTION('ref_axis',(-1.,0.,0.)); #428489=DIRECTION('',(-1.,0.,0.)); #428490=DIRECTION('',(-1.,0.,0.)); #428491=DIRECTION('center_axis',(0.,0.,1.)); #428492=DIRECTION('ref_axis',(1.,0.,0.)); #428493=DIRECTION('center_axis',(0.,0.,1.)); #428494=DIRECTION('ref_axis',(1.,0.,0.)); #428495=DIRECTION('center_axis',(1.,0.,0.)); #428496=DIRECTION('ref_axis',(0.,1.,0.)); #428497=DIRECTION('',(0.,1.,0.)); #428498=DIRECTION('',(0.,0.,1.)); #428499=DIRECTION('',(0.,1.,0.)); #428500=DIRECTION('',(0.,0.,1.)); #428501=DIRECTION('center_axis',(0.,-1.,0.)); #428502=DIRECTION('ref_axis',(1.,0.,0.)); #428503=DIRECTION('',(1.,0.,0.)); #428504=DIRECTION('',(1.,0.,0.)); #428505=DIRECTION('',(0.,0.,1.)); #428506=DIRECTION('center_axis',(-1.,0.,0.)); #428507=DIRECTION('ref_axis',(0.,-1.,0.)); #428508=DIRECTION('',(0.,-1.,0.)); #428509=DIRECTION('',(0.,-1.,0.)); #428510=DIRECTION('',(0.,0.,1.)); #428511=DIRECTION('center_axis',(0.,1.,0.)); #428512=DIRECTION('ref_axis',(-1.,0.,0.)); #428513=DIRECTION('',(-1.,0.,0.)); #428514=DIRECTION('',(-1.,0.,0.)); #428515=DIRECTION('center_axis',(0.,0.,1.)); #428516=DIRECTION('ref_axis',(1.,0.,0.)); #428517=DIRECTION('center_axis',(0.,0.,1.)); #428518=DIRECTION('ref_axis',(1.,0.,0.)); #428519=DIRECTION('center_axis',(1.,0.,0.)); #428520=DIRECTION('ref_axis',(0.,1.,0.)); #428521=DIRECTION('',(0.,1.,0.)); #428522=DIRECTION('',(0.,0.,1.)); #428523=DIRECTION('',(0.,1.,0.)); #428524=DIRECTION('',(0.,0.,1.)); #428525=DIRECTION('center_axis',(0.,-1.,0.)); #428526=DIRECTION('ref_axis',(1.,0.,0.)); #428527=DIRECTION('',(1.,0.,0.)); #428528=DIRECTION('',(1.,0.,0.)); #428529=DIRECTION('',(0.,0.,1.)); #428530=DIRECTION('center_axis',(-1.,0.,0.)); #428531=DIRECTION('ref_axis',(0.,-1.,0.)); #428532=DIRECTION('',(0.,-1.,0.)); #428533=DIRECTION('',(0.,-1.,0.)); #428534=DIRECTION('',(0.,0.,1.)); #428535=DIRECTION('center_axis',(0.,1.,0.)); #428536=DIRECTION('ref_axis',(-1.,0.,0.)); #428537=DIRECTION('',(-1.,0.,0.)); #428538=DIRECTION('',(-1.,0.,0.)); #428539=DIRECTION('center_axis',(0.,0.,1.)); #428540=DIRECTION('ref_axis',(1.,0.,0.)); #428541=DIRECTION('center_axis',(0.,0.,1.)); #428542=DIRECTION('ref_axis',(1.,0.,0.)); #428543=DIRECTION('center_axis',(1.,0.,0.)); #428544=DIRECTION('ref_axis',(0.,1.,0.)); #428545=DIRECTION('',(0.,1.,0.)); #428546=DIRECTION('',(0.,0.,1.)); #428547=DIRECTION('',(0.,1.,0.)); #428548=DIRECTION('',(0.,0.,1.)); #428549=DIRECTION('center_axis',(0.,-1.,0.)); #428550=DIRECTION('ref_axis',(1.,0.,0.)); #428551=DIRECTION('',(1.,0.,0.)); #428552=DIRECTION('',(1.,0.,0.)); #428553=DIRECTION('',(0.,0.,1.)); #428554=DIRECTION('center_axis',(-1.,0.,0.)); #428555=DIRECTION('ref_axis',(0.,-1.,0.)); #428556=DIRECTION('',(0.,-1.,0.)); #428557=DIRECTION('',(0.,-1.,0.)); #428558=DIRECTION('',(0.,0.,1.)); #428559=DIRECTION('center_axis',(0.,1.,0.)); #428560=DIRECTION('ref_axis',(-1.,0.,0.)); #428561=DIRECTION('',(-1.,0.,0.)); #428562=DIRECTION('',(-1.,0.,0.)); #428563=DIRECTION('center_axis',(0.,0.,1.)); #428564=DIRECTION('ref_axis',(1.,0.,0.)); #428565=DIRECTION('center_axis',(0.,0.,1.)); #428566=DIRECTION('ref_axis',(1.,0.,0.)); #428567=DIRECTION('center_axis',(1.,0.,0.)); #428568=DIRECTION('ref_axis',(0.,1.,0.)); #428569=DIRECTION('',(0.,1.,0.)); #428570=DIRECTION('',(0.,0.,1.)); #428571=DIRECTION('',(0.,1.,0.)); #428572=DIRECTION('',(0.,0.,1.)); #428573=DIRECTION('center_axis',(0.,-1.,0.)); #428574=DIRECTION('ref_axis',(1.,0.,0.)); #428575=DIRECTION('',(1.,0.,0.)); #428576=DIRECTION('',(1.,0.,0.)); #428577=DIRECTION('',(0.,0.,1.)); #428578=DIRECTION('center_axis',(-1.,0.,0.)); #428579=DIRECTION('ref_axis',(0.,-1.,0.)); #428580=DIRECTION('',(0.,-1.,0.)); #428581=DIRECTION('',(0.,-1.,0.)); #428582=DIRECTION('',(0.,0.,1.)); #428583=DIRECTION('center_axis',(0.,1.,0.)); #428584=DIRECTION('ref_axis',(-1.,0.,0.)); #428585=DIRECTION('',(-1.,0.,0.)); #428586=DIRECTION('',(-1.,0.,0.)); #428587=DIRECTION('center_axis',(0.,0.,1.)); #428588=DIRECTION('ref_axis',(1.,0.,0.)); #428589=DIRECTION('center_axis',(0.,0.,1.)); #428590=DIRECTION('ref_axis',(1.,0.,0.)); #428591=DIRECTION('center_axis',(1.,0.,0.)); #428592=DIRECTION('ref_axis',(0.,1.,0.)); #428593=DIRECTION('',(0.,1.,0.)); #428594=DIRECTION('',(0.,0.,1.)); #428595=DIRECTION('',(0.,1.,0.)); #428596=DIRECTION('',(0.,0.,1.)); #428597=DIRECTION('center_axis',(0.,-1.,0.)); #428598=DIRECTION('ref_axis',(1.,0.,0.)); #428599=DIRECTION('',(1.,0.,0.)); #428600=DIRECTION('',(1.,0.,0.)); #428601=DIRECTION('',(0.,0.,1.)); #428602=DIRECTION('center_axis',(-1.,0.,0.)); #428603=DIRECTION('ref_axis',(0.,-1.,0.)); #428604=DIRECTION('',(0.,-1.,0.)); #428605=DIRECTION('',(0.,-1.,0.)); #428606=DIRECTION('',(0.,0.,1.)); #428607=DIRECTION('center_axis',(0.,1.,0.)); #428608=DIRECTION('ref_axis',(-1.,0.,0.)); #428609=DIRECTION('',(-1.,0.,0.)); #428610=DIRECTION('',(-1.,0.,0.)); #428611=DIRECTION('center_axis',(0.,0.,1.)); #428612=DIRECTION('ref_axis',(1.,0.,0.)); #428613=DIRECTION('center_axis',(0.,0.,1.)); #428614=DIRECTION('ref_axis',(1.,0.,0.)); #428615=DIRECTION('center_axis',(0.,0.,1.)); #428616=DIRECTION('ref_axis',(1.,0.,0.)); #428617=DIRECTION('center_axis',(0.,0.,1.)); #428618=DIRECTION('ref_axis',(1.,0.,0.)); #428619=DIRECTION('',(0.,0.,1.)); #428620=DIRECTION('center_axis',(0.,0.,-1.)); #428621=DIRECTION('ref_axis',(1.,0.,0.)); #428622=DIRECTION('center_axis',(0.,0.,1.)); #428623=DIRECTION('ref_axis',(1.,0.,0.)); #428624=DIRECTION('center_axis',(0.,0.,1.)); #428625=DIRECTION('ref_axis',(1.,0.,0.)); #428626=DIRECTION('',(0.,0.,1.)); #428627=DIRECTION('center_axis',(0.,0.,-1.)); #428628=DIRECTION('ref_axis',(1.,0.,0.)); #428629=DIRECTION('center_axis',(0.,0.,1.)); #428630=DIRECTION('ref_axis',(1.,0.,0.)); #428631=DIRECTION('center_axis',(0.,0.,1.)); #428632=DIRECTION('ref_axis',(1.,0.,0.)); #428633=DIRECTION('',(0.,0.,1.)); #428634=DIRECTION('center_axis',(0.,0.,-1.)); #428635=DIRECTION('ref_axis',(1.,0.,0.)); #428636=DIRECTION('center_axis',(0.,0.,1.)); #428637=DIRECTION('ref_axis',(1.,0.,0.)); #428638=DIRECTION('center_axis',(0.,0.,1.)); #428639=DIRECTION('ref_axis',(1.,0.,0.)); #428640=DIRECTION('',(0.,0.,1.)); #428641=DIRECTION('center_axis',(0.,0.,-1.)); #428642=DIRECTION('ref_axis',(1.,0.,0.)); #428643=DIRECTION('center_axis',(0.,0.,1.)); #428644=DIRECTION('ref_axis',(1.,0.,0.)); #428645=DIRECTION('center_axis',(0.,0.,1.)); #428646=DIRECTION('ref_axis',(1.,0.,0.)); #428647=DIRECTION('',(0.,0.,1.)); #428648=DIRECTION('center_axis',(0.,0.,-1.)); #428649=DIRECTION('ref_axis',(1.,0.,0.)); #428650=DIRECTION('center_axis',(0.,0.,1.)); #428651=DIRECTION('ref_axis',(1.,0.,0.)); #428652=DIRECTION('center_axis',(0.,0.,1.)); #428653=DIRECTION('ref_axis',(1.,0.,0.)); #428654=DIRECTION('',(0.,0.,1.)); #428655=DIRECTION('center_axis',(0.,0.,-1.)); #428656=DIRECTION('ref_axis',(1.,0.,0.)); #428657=DIRECTION('center_axis',(0.,0.,1.)); #428658=DIRECTION('ref_axis',(1.,0.,0.)); #428659=DIRECTION('center_axis',(0.,0.,1.)); #428660=DIRECTION('ref_axis',(1.,0.,0.)); #428661=DIRECTION('',(0.,0.,1.)); #428662=DIRECTION('center_axis',(0.,0.,-1.)); #428663=DIRECTION('ref_axis',(1.,0.,0.)); #428664=DIRECTION('center_axis',(0.,0.,1.)); #428665=DIRECTION('ref_axis',(1.,0.,0.)); #428666=DIRECTION('center_axis',(0.,0.,1.)); #428667=DIRECTION('ref_axis',(1.,0.,0.)); #428668=DIRECTION('',(0.,0.,1.)); #428669=DIRECTION('center_axis',(0.,0.,-1.)); #428670=DIRECTION('ref_axis',(1.,0.,0.)); #428671=DIRECTION('center_axis',(0.,0.,1.)); #428672=DIRECTION('ref_axis',(1.,0.,0.)); #428673=DIRECTION('center_axis',(0.,0.,1.)); #428674=DIRECTION('ref_axis',(1.,0.,0.)); #428675=DIRECTION('',(0.,0.,1.)); #428676=DIRECTION('center_axis',(0.,0.,-1.)); #428677=DIRECTION('ref_axis',(1.,0.,0.)); #428678=DIRECTION('center_axis',(0.,0.,1.)); #428679=DIRECTION('ref_axis',(1.,0.,0.)); #428680=DIRECTION('center_axis',(0.,0.,1.)); #428681=DIRECTION('ref_axis',(1.,0.,0.)); #428682=DIRECTION('',(0.,0.,1.)); #428683=DIRECTION('center_axis',(0.,0.,-1.)); #428684=DIRECTION('ref_axis',(1.,0.,0.)); #428685=DIRECTION('center_axis',(0.,0.,1.)); #428686=DIRECTION('ref_axis',(1.,0.,0.)); #428687=DIRECTION('center_axis',(0.,0.,1.)); #428688=DIRECTION('ref_axis',(1.,0.,0.)); #428689=DIRECTION('',(0.,0.,1.)); #428690=DIRECTION('center_axis',(0.,0.,-1.)); #428691=DIRECTION('ref_axis',(1.,0.,0.)); #428692=DIRECTION('center_axis',(0.,0.,1.)); #428693=DIRECTION('ref_axis',(1.,0.,0.)); #428694=DIRECTION('center_axis',(0.,0.,1.)); #428695=DIRECTION('ref_axis',(1.,0.,0.)); #428696=DIRECTION('',(0.,0.,1.)); #428697=DIRECTION('center_axis',(0.,0.,-1.)); #428698=DIRECTION('ref_axis',(1.,0.,0.)); #428699=DIRECTION('center_axis',(0.,0.,1.)); #428700=DIRECTION('ref_axis',(1.,0.,0.)); #428701=DIRECTION('center_axis',(0.,0.,1.)); #428702=DIRECTION('ref_axis',(1.,0.,0.)); #428703=DIRECTION('',(0.,0.,1.)); #428704=DIRECTION('center_axis',(0.,0.,-1.)); #428705=DIRECTION('ref_axis',(1.,0.,0.)); #428706=DIRECTION('center_axis',(0.,0.,1.)); #428707=DIRECTION('ref_axis',(1.,0.,0.)); #428708=DIRECTION('center_axis',(0.,0.,1.)); #428709=DIRECTION('ref_axis',(1.,0.,0.)); #428710=DIRECTION('',(0.,0.,1.)); #428711=DIRECTION('center_axis',(0.,0.,-1.)); #428712=DIRECTION('ref_axis',(1.,0.,0.)); #428713=DIRECTION('center_axis',(0.,0.,1.)); #428714=DIRECTION('ref_axis',(1.,0.,0.)); #428715=DIRECTION('center_axis',(0.,0.,1.)); #428716=DIRECTION('ref_axis',(1.,0.,0.)); #428717=DIRECTION('',(0.,0.,1.)); #428718=DIRECTION('center_axis',(0.,0.,-1.)); #428719=DIRECTION('ref_axis',(1.,0.,0.)); #428720=DIRECTION('center_axis',(1.,0.,0.)); #428721=DIRECTION('ref_axis',(0.,1.,0.)); #428722=DIRECTION('',(0.,1.,0.)); #428723=DIRECTION('',(0.,0.,1.)); #428724=DIRECTION('',(0.,1.,0.)); #428725=DIRECTION('',(0.,0.,1.)); #428726=DIRECTION('center_axis',(0.,-1.,0.)); #428727=DIRECTION('ref_axis',(1.,0.,0.)); #428728=DIRECTION('',(1.,0.,0.)); #428729=DIRECTION('',(1.,0.,0.)); #428730=DIRECTION('',(0.,0.,1.)); #428731=DIRECTION('center_axis',(-1.,0.,0.)); #428732=DIRECTION('ref_axis',(0.,-1.,0.)); #428733=DIRECTION('',(0.,-1.,0.)); #428734=DIRECTION('',(0.,-1.,0.)); #428735=DIRECTION('',(0.,0.,1.)); #428736=DIRECTION('center_axis',(0.,1.,0.)); #428737=DIRECTION('ref_axis',(-1.,0.,0.)); #428738=DIRECTION('',(-1.,0.,0.)); #428739=DIRECTION('',(-1.,0.,0.)); #428740=DIRECTION('center_axis',(0.,0.,1.)); #428741=DIRECTION('ref_axis',(1.,0.,0.)); #428742=DIRECTION('center_axis',(0.,0.,1.)); #428743=DIRECTION('ref_axis',(1.,0.,0.)); #428744=DIRECTION('center_axis',(1.,0.,0.)); #428745=DIRECTION('ref_axis',(0.,1.,0.)); #428746=DIRECTION('',(0.,1.,0.)); #428747=DIRECTION('',(0.,0.,1.)); #428748=DIRECTION('',(0.,1.,0.)); #428749=DIRECTION('',(0.,0.,1.)); #428750=DIRECTION('center_axis',(0.,-1.,0.)); #428751=DIRECTION('ref_axis',(1.,0.,0.)); #428752=DIRECTION('',(1.,0.,0.)); #428753=DIRECTION('',(1.,0.,0.)); #428754=DIRECTION('',(0.,0.,1.)); #428755=DIRECTION('center_axis',(-1.,0.,0.)); #428756=DIRECTION('ref_axis',(0.,-1.,0.)); #428757=DIRECTION('',(0.,-1.,0.)); #428758=DIRECTION('',(0.,-1.,0.)); #428759=DIRECTION('',(0.,0.,1.)); #428760=DIRECTION('center_axis',(0.,1.,0.)); #428761=DIRECTION('ref_axis',(-1.,0.,0.)); #428762=DIRECTION('',(-1.,0.,0.)); #428763=DIRECTION('',(-1.,0.,0.)); #428764=DIRECTION('center_axis',(0.,0.,1.)); #428765=DIRECTION('ref_axis',(1.,0.,0.)); #428766=DIRECTION('center_axis',(0.,0.,1.)); #428767=DIRECTION('ref_axis',(1.,0.,0.)); #428768=DIRECTION('center_axis',(1.,0.,0.)); #428769=DIRECTION('ref_axis',(0.,1.,0.)); #428770=DIRECTION('',(0.,1.,0.)); #428771=DIRECTION('',(0.,0.,1.)); #428772=DIRECTION('',(0.,1.,0.)); #428773=DIRECTION('',(0.,0.,1.)); #428774=DIRECTION('center_axis',(0.,-1.,0.)); #428775=DIRECTION('ref_axis',(1.,0.,0.)); #428776=DIRECTION('',(1.,0.,0.)); #428777=DIRECTION('',(1.,0.,0.)); #428778=DIRECTION('',(0.,0.,1.)); #428779=DIRECTION('center_axis',(-1.,0.,0.)); #428780=DIRECTION('ref_axis',(0.,-1.,0.)); #428781=DIRECTION('',(0.,-1.,0.)); #428782=DIRECTION('',(0.,-1.,0.)); #428783=DIRECTION('',(0.,0.,1.)); #428784=DIRECTION('center_axis',(0.,1.,0.)); #428785=DIRECTION('ref_axis',(-1.,0.,0.)); #428786=DIRECTION('',(-1.,0.,0.)); #428787=DIRECTION('',(-1.,0.,0.)); #428788=DIRECTION('center_axis',(0.,0.,1.)); #428789=DIRECTION('ref_axis',(1.,0.,0.)); #428790=DIRECTION('center_axis',(0.,0.,1.)); #428791=DIRECTION('ref_axis',(1.,0.,0.)); #428792=DIRECTION('center_axis',(1.,0.,0.)); #428793=DIRECTION('ref_axis',(0.,1.,0.)); #428794=DIRECTION('',(0.,1.,0.)); #428795=DIRECTION('',(0.,0.,1.)); #428796=DIRECTION('',(0.,1.,0.)); #428797=DIRECTION('',(0.,0.,1.)); #428798=DIRECTION('center_axis',(0.,-1.,0.)); #428799=DIRECTION('ref_axis',(1.,0.,0.)); #428800=DIRECTION('',(1.,0.,0.)); #428801=DIRECTION('',(1.,0.,0.)); #428802=DIRECTION('',(0.,0.,1.)); #428803=DIRECTION('center_axis',(-1.,0.,0.)); #428804=DIRECTION('ref_axis',(0.,-1.,0.)); #428805=DIRECTION('',(0.,-1.,0.)); #428806=DIRECTION('',(0.,-1.,0.)); #428807=DIRECTION('',(0.,0.,1.)); #428808=DIRECTION('center_axis',(0.,1.,0.)); #428809=DIRECTION('ref_axis',(-1.,0.,0.)); #428810=DIRECTION('',(-1.,0.,0.)); #428811=DIRECTION('',(-1.,0.,0.)); #428812=DIRECTION('center_axis',(0.,0.,1.)); #428813=DIRECTION('ref_axis',(1.,0.,0.)); #428814=DIRECTION('center_axis',(0.,0.,1.)); #428815=DIRECTION('ref_axis',(1.,0.,0.)); #428816=DIRECTION('center_axis',(1.,0.,0.)); #428817=DIRECTION('ref_axis',(0.,1.,0.)); #428818=DIRECTION('',(0.,1.,0.)); #428819=DIRECTION('',(0.,0.,1.)); #428820=DIRECTION('',(0.,1.,0.)); #428821=DIRECTION('',(0.,0.,1.)); #428822=DIRECTION('center_axis',(0.,-1.,0.)); #428823=DIRECTION('ref_axis',(1.,0.,0.)); #428824=DIRECTION('',(1.,0.,0.)); #428825=DIRECTION('',(1.,0.,0.)); #428826=DIRECTION('',(0.,0.,1.)); #428827=DIRECTION('center_axis',(-1.,0.,0.)); #428828=DIRECTION('ref_axis',(0.,-1.,0.)); #428829=DIRECTION('',(0.,-1.,0.)); #428830=DIRECTION('',(0.,-1.,0.)); #428831=DIRECTION('',(0.,0.,1.)); #428832=DIRECTION('center_axis',(0.,1.,0.)); #428833=DIRECTION('ref_axis',(-1.,0.,0.)); #428834=DIRECTION('',(-1.,0.,0.)); #428835=DIRECTION('',(-1.,0.,0.)); #428836=DIRECTION('center_axis',(0.,0.,1.)); #428837=DIRECTION('ref_axis',(1.,0.,0.)); #428838=DIRECTION('center_axis',(0.,0.,1.)); #428839=DIRECTION('ref_axis',(1.,0.,0.)); #428840=DIRECTION('center_axis',(1.,0.,0.)); #428841=DIRECTION('ref_axis',(0.,1.,0.)); #428842=DIRECTION('',(0.,1.,0.)); #428843=DIRECTION('',(0.,0.,1.)); #428844=DIRECTION('',(0.,1.,0.)); #428845=DIRECTION('',(0.,0.,1.)); #428846=DIRECTION('center_axis',(0.,-1.,0.)); #428847=DIRECTION('ref_axis',(1.,0.,0.)); #428848=DIRECTION('',(1.,0.,0.)); #428849=DIRECTION('',(1.,0.,0.)); #428850=DIRECTION('',(0.,0.,1.)); #428851=DIRECTION('center_axis',(-1.,0.,0.)); #428852=DIRECTION('ref_axis',(0.,-1.,0.)); #428853=DIRECTION('',(0.,-1.,0.)); #428854=DIRECTION('',(0.,-1.,0.)); #428855=DIRECTION('',(0.,0.,1.)); #428856=DIRECTION('center_axis',(0.,1.,0.)); #428857=DIRECTION('ref_axis',(-1.,0.,0.)); #428858=DIRECTION('',(-1.,0.,0.)); #428859=DIRECTION('',(-1.,0.,0.)); #428860=DIRECTION('center_axis',(0.,0.,1.)); #428861=DIRECTION('ref_axis',(1.,0.,0.)); #428862=DIRECTION('center_axis',(0.,0.,1.)); #428863=DIRECTION('ref_axis',(1.,0.,0.)); #428864=DIRECTION('center_axis',(1.,0.,0.)); #428865=DIRECTION('ref_axis',(0.,1.,0.)); #428866=DIRECTION('',(0.,1.,0.)); #428867=DIRECTION('',(0.,0.,1.)); #428868=DIRECTION('',(0.,1.,0.)); #428869=DIRECTION('',(0.,0.,1.)); #428870=DIRECTION('center_axis',(0.,-1.,0.)); #428871=DIRECTION('ref_axis',(1.,0.,0.)); #428872=DIRECTION('',(1.,0.,0.)); #428873=DIRECTION('',(1.,0.,0.)); #428874=DIRECTION('',(0.,0.,1.)); #428875=DIRECTION('center_axis',(-1.,0.,0.)); #428876=DIRECTION('ref_axis',(0.,-1.,0.)); #428877=DIRECTION('',(0.,-1.,0.)); #428878=DIRECTION('',(0.,-1.,0.)); #428879=DIRECTION('',(0.,0.,1.)); #428880=DIRECTION('center_axis',(0.,1.,0.)); #428881=DIRECTION('ref_axis',(-1.,0.,0.)); #428882=DIRECTION('',(-1.,0.,0.)); #428883=DIRECTION('',(-1.,0.,0.)); #428884=DIRECTION('center_axis',(0.,0.,1.)); #428885=DIRECTION('ref_axis',(1.,0.,0.)); #428886=DIRECTION('center_axis',(0.,0.,1.)); #428887=DIRECTION('ref_axis',(1.,0.,0.)); #428888=DIRECTION('center_axis',(0.,0.,1.)); #428889=DIRECTION('ref_axis',(1.,0.,0.)); #428890=DIRECTION('center_axis',(0.,0.,1.)); #428891=DIRECTION('ref_axis',(1.,0.,0.)); #428892=DIRECTION('',(0.,0.,1.)); #428893=DIRECTION('center_axis',(0.,0.,-1.)); #428894=DIRECTION('ref_axis',(1.,0.,0.)); #428895=DIRECTION('center_axis',(1.,0.,0.)); #428896=DIRECTION('ref_axis',(0.,1.,0.)); #428897=DIRECTION('',(0.,1.,0.)); #428898=DIRECTION('',(0.,0.,1.)); #428899=DIRECTION('',(0.,1.,0.)); #428900=DIRECTION('',(0.,0.,1.)); #428901=DIRECTION('center_axis',(0.,-1.,0.)); #428902=DIRECTION('ref_axis',(1.,0.,0.)); #428903=DIRECTION('',(1.,0.,0.)); #428904=DIRECTION('',(1.,0.,0.)); #428905=DIRECTION('',(0.,0.,1.)); #428906=DIRECTION('center_axis',(-1.,0.,0.)); #428907=DIRECTION('ref_axis',(0.,-1.,0.)); #428908=DIRECTION('',(0.,-1.,0.)); #428909=DIRECTION('',(0.,-1.,0.)); #428910=DIRECTION('',(0.,0.,1.)); #428911=DIRECTION('center_axis',(0.,1.,0.)); #428912=DIRECTION('ref_axis',(-1.,0.,0.)); #428913=DIRECTION('',(-1.,0.,0.)); #428914=DIRECTION('',(-1.,0.,0.)); #428915=DIRECTION('center_axis',(0.,0.,1.)); #428916=DIRECTION('ref_axis',(1.,0.,0.)); #428917=DIRECTION('center_axis',(0.,0.,1.)); #428918=DIRECTION('ref_axis',(1.,0.,0.)); #428919=DIRECTION('center_axis',(0.,0.,1.)); #428920=DIRECTION('ref_axis',(1.,0.,0.)); #428921=DIRECTION('center_axis',(0.,0.,1.)); #428922=DIRECTION('ref_axis',(1.,0.,0.)); #428923=DIRECTION('',(0.,0.,1.)); #428924=DIRECTION('center_axis',(0.,0.,-1.)); #428925=DIRECTION('ref_axis',(1.,0.,0.)); #428926=DIRECTION('center_axis',(0.,0.,1.)); #428927=DIRECTION('ref_axis',(1.,0.,0.)); #428928=DIRECTION('center_axis',(0.,0.,1.)); #428929=DIRECTION('ref_axis',(1.,0.,0.)); #428930=DIRECTION('',(0.,0.,1.)); #428931=DIRECTION('center_axis',(0.,0.,-1.)); #428932=DIRECTION('ref_axis',(1.,0.,0.)); #428933=DIRECTION('center_axis',(-1.,0.,0.)); #428934=DIRECTION('ref_axis',(0.,-1.,0.)); #428935=DIRECTION('',(0.,-1.,0.)); #428936=DIRECTION('',(0.,0.,1.)); #428937=DIRECTION('',(0.,-1.,0.)); #428938=DIRECTION('',(0.,0.,1.)); #428939=DIRECTION('center_axis',(0.,1.,0.)); #428940=DIRECTION('ref_axis',(-1.,0.,0.)); #428941=DIRECTION('',(-1.,0.,0.)); #428942=DIRECTION('',(-1.,0.,0.)); #428943=DIRECTION('',(0.,0.,1.)); #428944=DIRECTION('center_axis',(1.,0.,0.)); #428945=DIRECTION('ref_axis',(0.,1.,0.)); #428946=DIRECTION('',(0.,1.,0.)); #428947=DIRECTION('',(0.,1.,0.)); #428948=DIRECTION('',(0.,0.,1.)); #428949=DIRECTION('center_axis',(0.,-1.,0.)); #428950=DIRECTION('ref_axis',(1.,0.,0.)); #428951=DIRECTION('',(1.,0.,0.)); #428952=DIRECTION('',(1.,0.,0.)); #428953=DIRECTION('center_axis',(0.,0.,1.)); #428954=DIRECTION('ref_axis',(1.,0.,0.)); #428955=DIRECTION('center_axis',(0.,0.,1.)); #428956=DIRECTION('ref_axis',(1.,0.,0.)); #428957=DIRECTION('center_axis',(0.,0.,1.)); #428958=DIRECTION('ref_axis',(1.,0.,0.)); #428959=DIRECTION('center_axis',(0.,0.,1.)); #428960=DIRECTION('ref_axis',(1.,0.,0.)); #428961=DIRECTION('',(0.,0.,1.)); #428962=DIRECTION('center_axis',(0.,0.,-1.)); #428963=DIRECTION('ref_axis',(1.,0.,0.)); #428964=DIRECTION('center_axis',(0.,0.,1.)); #428965=DIRECTION('ref_axis',(1.,0.,0.)); #428966=DIRECTION('center_axis',(0.,0.,1.)); #428967=DIRECTION('ref_axis',(1.,0.,0.)); #428968=DIRECTION('',(0.,0.,1.)); #428969=DIRECTION('center_axis',(0.,0.,-1.)); #428970=DIRECTION('ref_axis',(1.,0.,0.)); #428971=DIRECTION('center_axis',(-1.,0.,0.)); #428972=DIRECTION('ref_axis',(0.,-1.,0.)); #428973=DIRECTION('',(0.,-1.,0.)); #428974=DIRECTION('',(0.,0.,1.)); #428975=DIRECTION('',(0.,-1.,0.)); #428976=DIRECTION('',(0.,0.,1.)); #428977=DIRECTION('center_axis',(0.,1.,0.)); #428978=DIRECTION('ref_axis',(-1.,0.,0.)); #428979=DIRECTION('',(-1.,0.,0.)); #428980=DIRECTION('',(-1.,0.,0.)); #428981=DIRECTION('',(0.,0.,1.)); #428982=DIRECTION('center_axis',(1.,0.,0.)); #428983=DIRECTION('ref_axis',(0.,1.,0.)); #428984=DIRECTION('',(0.,1.,0.)); #428985=DIRECTION('',(0.,1.,0.)); #428986=DIRECTION('',(0.,0.,1.)); #428987=DIRECTION('center_axis',(0.,-1.,0.)); #428988=DIRECTION('ref_axis',(1.,0.,0.)); #428989=DIRECTION('',(1.,0.,0.)); #428990=DIRECTION('',(1.,0.,0.)); #428991=DIRECTION('center_axis',(0.,0.,1.)); #428992=DIRECTION('ref_axis',(1.,0.,0.)); #428993=DIRECTION('center_axis',(0.,0.,1.)); #428994=DIRECTION('ref_axis',(1.,0.,0.)); #428995=DIRECTION('center_axis',(0.,0.,1.)); #428996=DIRECTION('ref_axis',(1.,0.,0.)); #428997=DIRECTION('center_axis',(0.,0.,1.)); #428998=DIRECTION('ref_axis',(1.,0.,0.)); #428999=DIRECTION('',(0.,0.,1.)); #429000=DIRECTION('center_axis',(0.,0.,-1.)); #429001=DIRECTION('ref_axis',(1.,0.,0.)); #429002=DIRECTION('center_axis',(1.,0.,0.)); #429003=DIRECTION('ref_axis',(0.,1.,0.)); #429004=DIRECTION('',(0.,1.,0.)); #429005=DIRECTION('',(0.,0.,1.)); #429006=DIRECTION('',(0.,1.,0.)); #429007=DIRECTION('',(0.,0.,1.)); #429008=DIRECTION('center_axis',(0.,-1.,0.)); #429009=DIRECTION('ref_axis',(1.,0.,0.)); #429010=DIRECTION('',(1.,0.,0.)); #429011=DIRECTION('',(1.,0.,0.)); #429012=DIRECTION('',(0.,0.,1.)); #429013=DIRECTION('center_axis',(-1.,0.,0.)); #429014=DIRECTION('ref_axis',(0.,-1.,0.)); #429015=DIRECTION('',(0.,-1.,0.)); #429016=DIRECTION('',(0.,-1.,0.)); #429017=DIRECTION('',(0.,0.,1.)); #429018=DIRECTION('center_axis',(0.,1.,0.)); #429019=DIRECTION('ref_axis',(-1.,0.,0.)); #429020=DIRECTION('',(-1.,0.,0.)); #429021=DIRECTION('',(-1.,0.,0.)); #429022=DIRECTION('center_axis',(0.,0.,1.)); #429023=DIRECTION('ref_axis',(1.,0.,0.)); #429024=DIRECTION('center_axis',(0.,0.,1.)); #429025=DIRECTION('ref_axis',(1.,0.,0.)); #429026=DIRECTION('center_axis',(1.,0.,0.)); #429027=DIRECTION('ref_axis',(0.,1.,0.)); #429028=DIRECTION('',(0.,1.,0.)); #429029=DIRECTION('',(0.,0.,1.)); #429030=DIRECTION('',(0.,1.,0.)); #429031=DIRECTION('',(0.,0.,1.)); #429032=DIRECTION('center_axis',(0.,-1.,0.)); #429033=DIRECTION('ref_axis',(1.,0.,0.)); #429034=DIRECTION('',(1.,0.,0.)); #429035=DIRECTION('',(1.,0.,0.)); #429036=DIRECTION('',(0.,0.,1.)); #429037=DIRECTION('center_axis',(-1.,0.,0.)); #429038=DIRECTION('ref_axis',(0.,-1.,0.)); #429039=DIRECTION('',(0.,-1.,0.)); #429040=DIRECTION('',(0.,-1.,0.)); #429041=DIRECTION('',(0.,0.,1.)); #429042=DIRECTION('center_axis',(0.,1.,0.)); #429043=DIRECTION('ref_axis',(-1.,0.,0.)); #429044=DIRECTION('',(-1.,0.,0.)); #429045=DIRECTION('',(-1.,0.,0.)); #429046=DIRECTION('center_axis',(0.,0.,1.)); #429047=DIRECTION('ref_axis',(1.,0.,0.)); #429048=DIRECTION('center_axis',(0.,0.,1.)); #429049=DIRECTION('ref_axis',(1.,0.,0.)); #429050=DIRECTION('axis',(0.,0.,1.)); #429051=DIRECTION('refdir',(1.,0.,0.)); #429052=DIRECTION('center_axis',(0.,0.,-1.)); #429053=DIRECTION('ref_axis',(1.,0.,0.)); #429054=DIRECTION('center_axis',(0.,0.,-1.)); #429055=DIRECTION('ref_axis',(1.,0.,0.)); #429056=DIRECTION('',(0.,0.,-1.)); #429057=DIRECTION('center_axis',(0.,0.,1.)); #429058=DIRECTION('ref_axis',(1.,0.,0.)); #429059=DIRECTION('center_axis',(0.,0.,-1.)); #429060=DIRECTION('ref_axis',(1.,0.,0.)); #429061=DIRECTION('center_axis',(0.,0.,-1.)); #429062=DIRECTION('ref_axis',(1.,0.,0.)); #429063=DIRECTION('',(0.,0.,-1.)); #429064=DIRECTION('center_axis',(0.,0.,1.)); #429065=DIRECTION('ref_axis',(1.,0.,0.)); #429066=DIRECTION('center_axis',(0.,0.,1.)); #429067=DIRECTION('ref_axis',(1.,0.,0.)); #429068=DIRECTION('center_axis',(0.,0.,1.)); #429069=DIRECTION('ref_axis',(1.,0.,0.)); #429070=DIRECTION('',(0.,0.,1.)); #429071=DIRECTION('center_axis',(0.,0.,-1.)); #429072=DIRECTION('ref_axis',(1.,0.,0.)); #429073=DIRECTION('center_axis',(0.,0.,1.)); #429074=DIRECTION('ref_axis',(1.,0.,0.)); #429075=DIRECTION('center_axis',(0.,0.,1.)); #429076=DIRECTION('ref_axis',(1.,0.,0.)); #429077=DIRECTION('',(0.,0.,1.)); #429078=DIRECTION('center_axis',(0.,0.,-1.)); #429079=DIRECTION('ref_axis',(1.,0.,0.)); #429080=DIRECTION('center_axis',(0.,0.,1.)); #429081=DIRECTION('ref_axis',(1.,0.,0.)); #429082=DIRECTION('center_axis',(0.,0.,1.)); #429083=DIRECTION('ref_axis',(1.,0.,0.)); #429084=DIRECTION('',(0.,0.,1.)); #429085=DIRECTION('center_axis',(0.,0.,-1.)); #429086=DIRECTION('ref_axis',(1.,0.,0.)); #429087=DIRECTION('center_axis',(0.,0.,1.)); #429088=DIRECTION('ref_axis',(1.,0.,0.)); #429089=DIRECTION('center_axis',(0.,0.,1.)); #429090=DIRECTION('ref_axis',(1.,0.,0.)); #429091=DIRECTION('',(0.,0.,1.)); #429092=DIRECTION('center_axis',(0.,0.,-1.)); #429093=DIRECTION('ref_axis',(1.,0.,0.)); #429094=DIRECTION('center_axis',(0.,0.,1.)); #429095=DIRECTION('ref_axis',(1.,0.,0.)); #429096=DIRECTION('center_axis',(0.,0.,1.)); #429097=DIRECTION('ref_axis',(1.,0.,0.)); #429098=DIRECTION('',(0.,0.,1.)); #429099=DIRECTION('center_axis',(0.,0.,-1.)); #429100=DIRECTION('ref_axis',(1.,0.,0.)); #429101=DIRECTION('center_axis',(0.,0.,1.)); #429102=DIRECTION('ref_axis',(1.,0.,0.)); #429103=DIRECTION('center_axis',(0.,0.,1.)); #429104=DIRECTION('ref_axis',(1.,0.,0.)); #429105=DIRECTION('',(0.,0.,1.)); #429106=DIRECTION('center_axis',(0.,0.,-1.)); #429107=DIRECTION('ref_axis',(1.,0.,0.)); #429108=DIRECTION('center_axis',(0.,0.,1.)); #429109=DIRECTION('ref_axis',(1.,0.,0.)); #429110=DIRECTION('center_axis',(0.,0.,1.)); #429111=DIRECTION('ref_axis',(1.,0.,0.)); #429112=DIRECTION('',(0.,0.,1.)); #429113=DIRECTION('center_axis',(0.,0.,-1.)); #429114=DIRECTION('ref_axis',(1.,0.,0.)); #429115=DIRECTION('center_axis',(0.,0.,1.)); #429116=DIRECTION('ref_axis',(1.,0.,0.)); #429117=DIRECTION('center_axis',(0.,0.,1.)); #429118=DIRECTION('ref_axis',(1.,0.,0.)); #429119=DIRECTION('',(0.,0.,1.)); #429120=DIRECTION('center_axis',(0.,0.,-1.)); #429121=DIRECTION('ref_axis',(1.,0.,0.)); #429122=DIRECTION('center_axis',(0.,0.,1.)); #429123=DIRECTION('ref_axis',(1.,0.,0.)); #429124=DIRECTION('center_axis',(0.,0.,1.)); #429125=DIRECTION('ref_axis',(1.,0.,0.)); #429126=DIRECTION('',(0.,0.,1.)); #429127=DIRECTION('center_axis',(0.,0.,-1.)); #429128=DIRECTION('ref_axis',(1.,0.,0.)); #429129=DIRECTION('center_axis',(0.,0.,1.)); #429130=DIRECTION('ref_axis',(1.,0.,0.)); #429131=DIRECTION('center_axis',(0.,0.,1.)); #429132=DIRECTION('ref_axis',(1.,0.,0.)); #429133=DIRECTION('',(0.,0.,1.)); #429134=DIRECTION('center_axis',(0.,0.,-1.)); #429135=DIRECTION('ref_axis',(1.,0.,0.)); #429136=DIRECTION('center_axis',(0.,0.,1.)); #429137=DIRECTION('ref_axis',(1.,0.,0.)); #429138=DIRECTION('center_axis',(0.,0.,1.)); #429139=DIRECTION('ref_axis',(1.,0.,0.)); #429140=DIRECTION('',(0.,0.,1.)); #429141=DIRECTION('center_axis',(0.,0.,-1.)); #429142=DIRECTION('ref_axis',(1.,0.,0.)); #429143=DIRECTION('center_axis',(0.,0.,1.)); #429144=DIRECTION('ref_axis',(1.,0.,0.)); #429145=DIRECTION('center_axis',(0.,0.,1.)); #429146=DIRECTION('ref_axis',(1.,0.,0.)); #429147=DIRECTION('',(0.,0.,1.)); #429148=DIRECTION('center_axis',(0.,0.,-1.)); #429149=DIRECTION('ref_axis',(1.,0.,0.)); #429150=DIRECTION('center_axis',(0.,0.,1.)); #429151=DIRECTION('ref_axis',(1.,0.,0.)); #429152=DIRECTION('center_axis',(0.,0.,1.)); #429153=DIRECTION('ref_axis',(1.,0.,0.)); #429154=DIRECTION('',(0.,0.,1.)); #429155=DIRECTION('center_axis',(0.,0.,-1.)); #429156=DIRECTION('ref_axis',(1.,0.,0.)); #429157=DIRECTION('center_axis',(0.,0.,1.)); #429158=DIRECTION('ref_axis',(1.,0.,0.)); #429159=DIRECTION('center_axis',(0.,0.,1.)); #429160=DIRECTION('ref_axis',(1.,0.,0.)); #429161=DIRECTION('',(0.,0.,1.)); #429162=DIRECTION('center_axis',(0.,0.,-1.)); #429163=DIRECTION('ref_axis',(1.,0.,0.)); #429164=DIRECTION('center_axis',(0.,0.,1.)); #429165=DIRECTION('ref_axis',(1.,0.,0.)); #429166=DIRECTION('center_axis',(0.,0.,1.)); #429167=DIRECTION('ref_axis',(1.,0.,0.)); #429168=DIRECTION('',(0.,0.,1.)); #429169=DIRECTION('center_axis',(0.,0.,-1.)); #429170=DIRECTION('ref_axis',(1.,0.,0.)); #429171=DIRECTION('center_axis',(0.,0.,1.)); #429172=DIRECTION('ref_axis',(1.,0.,0.)); #429173=DIRECTION('center_axis',(0.,0.,1.)); #429174=DIRECTION('ref_axis',(1.,0.,0.)); #429175=DIRECTION('',(0.,0.,1.)); #429176=DIRECTION('center_axis',(0.,0.,-1.)); #429177=DIRECTION('ref_axis',(1.,0.,0.)); #429178=DIRECTION('center_axis',(0.,0.,1.)); #429179=DIRECTION('ref_axis',(1.,0.,0.)); #429180=DIRECTION('center_axis',(0.,0.,1.)); #429181=DIRECTION('ref_axis',(1.,0.,0.)); #429182=DIRECTION('',(0.,0.,1.)); #429183=DIRECTION('center_axis',(0.,0.,-1.)); #429184=DIRECTION('ref_axis',(1.,0.,0.)); #429185=DIRECTION('center_axis',(0.,0.,1.)); #429186=DIRECTION('ref_axis',(1.,0.,0.)); #429187=DIRECTION('center_axis',(0.,0.,1.)); #429188=DIRECTION('ref_axis',(1.,0.,0.)); #429189=DIRECTION('',(0.,0.,1.)); #429190=DIRECTION('center_axis',(0.,0.,-1.)); #429191=DIRECTION('ref_axis',(1.,0.,0.)); #429192=DIRECTION('center_axis',(0.,0.,1.)); #429193=DIRECTION('ref_axis',(1.,0.,0.)); #429194=DIRECTION('center_axis',(0.,0.,1.)); #429195=DIRECTION('ref_axis',(1.,0.,0.)); #429196=DIRECTION('',(0.,0.,1.)); #429197=DIRECTION('center_axis',(0.,0.,-1.)); #429198=DIRECTION('ref_axis',(1.,0.,0.)); #429199=DIRECTION('center_axis',(0.,0.,1.)); #429200=DIRECTION('ref_axis',(1.,0.,0.)); #429201=DIRECTION('center_axis',(0.,0.,1.)); #429202=DIRECTION('ref_axis',(1.,0.,0.)); #429203=DIRECTION('',(0.,0.,1.)); #429204=DIRECTION('center_axis',(0.,0.,-1.)); #429205=DIRECTION('ref_axis',(1.,0.,0.)); #429206=DIRECTION('center_axis',(0.,0.,1.)); #429207=DIRECTION('ref_axis',(1.,0.,0.)); #429208=DIRECTION('center_axis',(0.,0.,1.)); #429209=DIRECTION('ref_axis',(1.,0.,0.)); #429210=DIRECTION('',(0.,0.,1.)); #429211=DIRECTION('center_axis',(0.,0.,-1.)); #429212=DIRECTION('ref_axis',(1.,0.,0.)); #429213=DIRECTION('center_axis',(0.,0.,1.)); #429214=DIRECTION('ref_axis',(1.,0.,0.)); #429215=DIRECTION('center_axis',(0.,0.,1.)); #429216=DIRECTION('ref_axis',(1.,0.,0.)); #429217=DIRECTION('',(0.,0.,1.)); #429218=DIRECTION('center_axis',(0.,0.,-1.)); #429219=DIRECTION('ref_axis',(1.,0.,0.)); #429220=DIRECTION('center_axis',(0.,0.,1.)); #429221=DIRECTION('ref_axis',(1.,0.,0.)); #429222=DIRECTION('center_axis',(0.,0.,1.)); #429223=DIRECTION('ref_axis',(1.,0.,0.)); #429224=DIRECTION('',(0.,0.,1.)); #429225=DIRECTION('center_axis',(0.,0.,-1.)); #429226=DIRECTION('ref_axis',(1.,0.,0.)); #429227=DIRECTION('center_axis',(0.,0.,1.)); #429228=DIRECTION('ref_axis',(1.,0.,0.)); #429229=DIRECTION('center_axis',(0.,0.,1.)); #429230=DIRECTION('ref_axis',(1.,0.,0.)); #429231=DIRECTION('',(0.,0.,1.)); #429232=DIRECTION('center_axis',(0.,0.,-1.)); #429233=DIRECTION('ref_axis',(1.,0.,0.)); #429234=DIRECTION('center_axis',(0.,0.,1.)); #429235=DIRECTION('ref_axis',(1.,0.,0.)); #429236=DIRECTION('center_axis',(0.,0.,1.)); #429237=DIRECTION('ref_axis',(1.,0.,0.)); #429238=DIRECTION('',(0.,0.,1.)); #429239=DIRECTION('center_axis',(0.,0.,-1.)); #429240=DIRECTION('ref_axis',(1.,0.,0.)); #429241=DIRECTION('center_axis',(0.,0.,1.)); #429242=DIRECTION('ref_axis',(1.,0.,0.)); #429243=DIRECTION('center_axis',(0.,0.,1.)); #429244=DIRECTION('ref_axis',(1.,0.,0.)); #429245=DIRECTION('',(0.,0.,1.)); #429246=DIRECTION('center_axis',(0.,0.,-1.)); #429247=DIRECTION('ref_axis',(1.,0.,0.)); #429248=DIRECTION('center_axis',(0.,0.,1.)); #429249=DIRECTION('ref_axis',(1.,0.,0.)); #429250=DIRECTION('center_axis',(0.,0.,1.)); #429251=DIRECTION('ref_axis',(1.,0.,0.)); #429252=DIRECTION('',(0.,0.,1.)); #429253=DIRECTION('center_axis',(0.,0.,-1.)); #429254=DIRECTION('ref_axis',(1.,0.,0.)); #429255=DIRECTION('center_axis',(0.,0.,1.)); #429256=DIRECTION('ref_axis',(1.,0.,0.)); #429257=DIRECTION('center_axis',(0.,0.,1.)); #429258=DIRECTION('ref_axis',(1.,0.,0.)); #429259=DIRECTION('',(0.,0.,1.)); #429260=DIRECTION('center_axis',(0.,0.,-1.)); #429261=DIRECTION('ref_axis',(1.,0.,0.)); #429262=DIRECTION('center_axis',(0.,0.,1.)); #429263=DIRECTION('ref_axis',(1.,0.,0.)); #429264=DIRECTION('center_axis',(0.,0.,1.)); #429265=DIRECTION('ref_axis',(1.,0.,0.)); #429266=DIRECTION('',(0.,0.,1.)); #429267=DIRECTION('center_axis',(0.,0.,-1.)); #429268=DIRECTION('ref_axis',(1.,0.,0.)); #429269=DIRECTION('center_axis',(0.,0.,1.)); #429270=DIRECTION('ref_axis',(1.,0.,0.)); #429271=DIRECTION('center_axis',(0.,0.,1.)); #429272=DIRECTION('ref_axis',(1.,0.,0.)); #429273=DIRECTION('',(0.,0.,1.)); #429274=DIRECTION('center_axis',(0.,0.,-1.)); #429275=DIRECTION('ref_axis',(1.,0.,0.)); #429276=DIRECTION('center_axis',(0.,0.,1.)); #429277=DIRECTION('ref_axis',(1.,0.,0.)); #429278=DIRECTION('center_axis',(0.,0.,1.)); #429279=DIRECTION('ref_axis',(1.,0.,0.)); #429280=DIRECTION('',(0.,0.,1.)); #429281=DIRECTION('center_axis',(0.,0.,-1.)); #429282=DIRECTION('ref_axis',(1.,0.,0.)); #429283=DIRECTION('center_axis',(0.,0.,1.)); #429284=DIRECTION('ref_axis',(1.,0.,0.)); #429285=DIRECTION('center_axis',(0.,0.,1.)); #429286=DIRECTION('ref_axis',(1.,0.,0.)); #429287=DIRECTION('',(0.,0.,1.)); #429288=DIRECTION('center_axis',(0.,0.,-1.)); #429289=DIRECTION('ref_axis',(1.,0.,0.)); #429290=DIRECTION('center_axis',(0.,0.,1.)); #429291=DIRECTION('ref_axis',(1.,0.,0.)); #429292=DIRECTION('center_axis',(0.,0.,1.)); #429293=DIRECTION('ref_axis',(1.,0.,0.)); #429294=DIRECTION('',(0.,0.,1.)); #429295=DIRECTION('center_axis',(0.,0.,-1.)); #429296=DIRECTION('ref_axis',(1.,0.,0.)); #429297=DIRECTION('center_axis',(0.,0.,1.)); #429298=DIRECTION('ref_axis',(1.,0.,0.)); #429299=DIRECTION('center_axis',(0.,0.,1.)); #429300=DIRECTION('ref_axis',(1.,0.,0.)); #429301=DIRECTION('',(0.,0.,1.)); #429302=DIRECTION('center_axis',(0.,0.,-1.)); #429303=DIRECTION('ref_axis',(1.,0.,0.)); #429304=DIRECTION('center_axis',(0.,0.,1.)); #429305=DIRECTION('ref_axis',(1.,0.,0.)); #429306=DIRECTION('center_axis',(0.,0.,1.)); #429307=DIRECTION('ref_axis',(1.,0.,0.)); #429308=DIRECTION('',(0.,0.,1.)); #429309=DIRECTION('center_axis',(0.,0.,-1.)); #429310=DIRECTION('ref_axis',(1.,0.,0.)); #429311=DIRECTION('center_axis',(0.,0.,1.)); #429312=DIRECTION('ref_axis',(1.,0.,0.)); #429313=DIRECTION('center_axis',(0.,0.,1.)); #429314=DIRECTION('ref_axis',(1.,0.,0.)); #429315=DIRECTION('',(0.,0.,1.)); #429316=DIRECTION('center_axis',(0.,0.,-1.)); #429317=DIRECTION('ref_axis',(1.,0.,0.)); #429318=DIRECTION('center_axis',(0.,0.,1.)); #429319=DIRECTION('ref_axis',(1.,0.,0.)); #429320=DIRECTION('center_axis',(0.,0.,1.)); #429321=DIRECTION('ref_axis',(1.,0.,0.)); #429322=DIRECTION('',(0.,0.,1.)); #429323=DIRECTION('center_axis',(0.,0.,-1.)); #429324=DIRECTION('ref_axis',(1.,0.,0.)); #429325=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #429326=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #429327=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429328=DIRECTION('',(0.,0.,1.)); #429329=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429330=DIRECTION('',(0.,0.,1.)); #429331=DIRECTION('center_axis',(0.,0.,1.)); #429332=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429333=DIRECTION('center_axis',(0.,0.,-1.)); #429334=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429335=DIRECTION('center_axis',(0.,0.,1.)); #429336=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429337=DIRECTION('',(0.,0.,1.)); #429338=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #429339=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #429340=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429341=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429342=DIRECTION('',(0.,0.,1.)); #429343=DIRECTION('center_axis',(0.,0.,1.)); #429344=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429345=DIRECTION('center_axis',(0.,0.,-1.)); #429346=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429347=DIRECTION('center_axis',(0.,0.,1.)); #429348=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429349=DIRECTION('center_axis',(0.,0.,1.)); #429350=DIRECTION('ref_axis',(1.,0.,0.)); #429351=DIRECTION('center_axis',(0.,0.,1.)); #429352=DIRECTION('ref_axis',(1.,0.,0.)); #429353=DIRECTION('',(0.,0.,1.)); #429354=DIRECTION('center_axis',(0.,0.,-1.)); #429355=DIRECTION('ref_axis',(1.,0.,0.)); #429356=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #429357=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #429358=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429359=DIRECTION('',(0.,0.,1.)); #429360=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429361=DIRECTION('',(0.,0.,1.)); #429362=DIRECTION('center_axis',(0.,0.,1.)); #429363=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429364=DIRECTION('center_axis',(0.,0.,-1.)); #429365=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429366=DIRECTION('center_axis',(0.,0.,1.)); #429367=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429368=DIRECTION('',(0.,0.,1.)); #429369=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #429370=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #429371=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429372=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429373=DIRECTION('',(0.,0.,1.)); #429374=DIRECTION('center_axis',(0.,0.,1.)); #429375=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429376=DIRECTION('center_axis',(0.,0.,-1.)); #429377=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429378=DIRECTION('center_axis',(0.,0.,1.)); #429379=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429380=DIRECTION('center_axis',(0.,0.,1.)); #429381=DIRECTION('ref_axis',(1.,0.,0.)); #429382=DIRECTION('center_axis',(0.,0.,1.)); #429383=DIRECTION('ref_axis',(1.,0.,0.)); #429384=DIRECTION('',(0.,0.,1.)); #429385=DIRECTION('center_axis',(0.,0.,-1.)); #429386=DIRECTION('ref_axis',(1.,0.,0.)); #429387=DIRECTION('center_axis',(0.,0.,1.)); #429388=DIRECTION('ref_axis',(1.,0.,0.)); #429389=DIRECTION('center_axis',(0.,0.,1.)); #429390=DIRECTION('ref_axis',(1.,0.,0.)); #429391=DIRECTION('',(0.,0.,1.)); #429392=DIRECTION('center_axis',(0.,0.,-1.)); #429393=DIRECTION('ref_axis',(1.,0.,0.)); #429394=DIRECTION('center_axis',(0.,0.,1.)); #429395=DIRECTION('ref_axis',(1.,0.,0.)); #429396=DIRECTION('center_axis',(0.,0.,1.)); #429397=DIRECTION('ref_axis',(1.,0.,0.)); #429398=DIRECTION('',(0.,0.,1.)); #429399=DIRECTION('center_axis',(0.,0.,-1.)); #429400=DIRECTION('ref_axis',(1.,0.,0.)); #429401=DIRECTION('center_axis',(0.,0.,1.)); #429402=DIRECTION('ref_axis',(1.,0.,0.)); #429403=DIRECTION('center_axis',(0.,0.,1.)); #429404=DIRECTION('ref_axis',(1.,0.,0.)); #429405=DIRECTION('',(0.,0.,1.)); #429406=DIRECTION('center_axis',(0.,0.,-1.)); #429407=DIRECTION('ref_axis',(1.,0.,0.)); #429408=DIRECTION('center_axis',(0.,0.,1.)); #429409=DIRECTION('ref_axis',(1.,0.,0.)); #429410=DIRECTION('center_axis',(0.,0.,1.)); #429411=DIRECTION('ref_axis',(1.,0.,0.)); #429412=DIRECTION('',(0.,0.,1.)); #429413=DIRECTION('center_axis',(0.,0.,-1.)); #429414=DIRECTION('ref_axis',(1.,0.,0.)); #429415=DIRECTION('center_axis',(0.,0.,1.)); #429416=DIRECTION('ref_axis',(1.,0.,0.)); #429417=DIRECTION('center_axis',(0.,0.,1.)); #429418=DIRECTION('ref_axis',(1.,0.,0.)); #429419=DIRECTION('',(0.,0.,1.)); #429420=DIRECTION('center_axis',(0.,0.,-1.)); #429421=DIRECTION('ref_axis',(1.,0.,0.)); #429422=DIRECTION('center_axis',(0.,0.,1.)); #429423=DIRECTION('ref_axis',(1.,0.,0.)); #429424=DIRECTION('center_axis',(0.,0.,1.)); #429425=DIRECTION('ref_axis',(1.,0.,0.)); #429426=DIRECTION('',(0.,0.,1.)); #429427=DIRECTION('center_axis',(0.,0.,-1.)); #429428=DIRECTION('ref_axis',(1.,0.,0.)); #429429=DIRECTION('center_axis',(0.,0.,1.)); #429430=DIRECTION('ref_axis',(1.,0.,0.)); #429431=DIRECTION('center_axis',(0.,0.,1.)); #429432=DIRECTION('ref_axis',(1.,0.,0.)); #429433=DIRECTION('',(0.,0.,1.)); #429434=DIRECTION('center_axis',(0.,0.,-1.)); #429435=DIRECTION('ref_axis',(1.,0.,0.)); #429436=DIRECTION('center_axis',(0.,0.,1.)); #429437=DIRECTION('ref_axis',(1.,0.,0.)); #429438=DIRECTION('center_axis',(0.,0.,1.)); #429439=DIRECTION('ref_axis',(1.,0.,0.)); #429440=DIRECTION('',(0.,0.,1.)); #429441=DIRECTION('center_axis',(0.,0.,-1.)); #429442=DIRECTION('ref_axis',(1.,0.,0.)); #429443=DIRECTION('center_axis',(0.,0.,1.)); #429444=DIRECTION('ref_axis',(1.,0.,0.)); #429445=DIRECTION('center_axis',(0.,0.,1.)); #429446=DIRECTION('ref_axis',(1.,0.,0.)); #429447=DIRECTION('',(0.,0.,1.)); #429448=DIRECTION('center_axis',(0.,0.,-1.)); #429449=DIRECTION('ref_axis',(1.,0.,0.)); #429450=DIRECTION('center_axis',(0.,0.,1.)); #429451=DIRECTION('ref_axis',(1.,0.,0.)); #429452=DIRECTION('center_axis',(0.,0.,1.)); #429453=DIRECTION('ref_axis',(1.,0.,0.)); #429454=DIRECTION('',(0.,0.,1.)); #429455=DIRECTION('center_axis',(0.,0.,-1.)); #429456=DIRECTION('ref_axis',(1.,0.,0.)); #429457=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #429458=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #429459=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #429460=DIRECTION('',(0.,0.,1.)); #429461=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #429462=DIRECTION('',(0.,0.,1.)); #429463=DIRECTION('center_axis',(0.,0.,1.)); #429464=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429465=DIRECTION('center_axis',(0.,0.,-1.)); #429466=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429467=DIRECTION('center_axis',(0.,0.,1.)); #429468=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429469=DIRECTION('',(0.,0.,1.)); #429470=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #429471=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #429472=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #429473=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #429474=DIRECTION('',(0.,0.,1.)); #429475=DIRECTION('center_axis',(0.,0.,1.)); #429476=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429477=DIRECTION('center_axis',(0.,0.,-1.)); #429478=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429479=DIRECTION('center_axis',(0.,0.,1.)); #429480=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429481=DIRECTION('center_axis',(0.,0.,1.)); #429482=DIRECTION('ref_axis',(1.,0.,0.)); #429483=DIRECTION('center_axis',(0.,0.,1.)); #429484=DIRECTION('ref_axis',(1.,0.,0.)); #429485=DIRECTION('',(0.,0.,1.)); #429486=DIRECTION('center_axis',(0.,0.,-1.)); #429487=DIRECTION('ref_axis',(1.,0.,0.)); #429488=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #429489=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #429490=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #429491=DIRECTION('',(0.,0.,1.)); #429492=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #429493=DIRECTION('',(0.,0.,1.)); #429494=DIRECTION('center_axis',(0.,0.,1.)); #429495=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429496=DIRECTION('center_axis',(0.,0.,-1.)); #429497=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429498=DIRECTION('center_axis',(0.,0.,1.)); #429499=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #429500=DIRECTION('',(0.,0.,1.)); #429501=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #429502=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #429503=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #429504=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #429505=DIRECTION('',(0.,0.,1.)); #429506=DIRECTION('center_axis',(0.,0.,1.)); #429507=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429508=DIRECTION('center_axis',(0.,0.,-1.)); #429509=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429510=DIRECTION('center_axis',(0.,0.,1.)); #429511=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #429512=DIRECTION('center_axis',(0.,0.,1.)); #429513=DIRECTION('ref_axis',(1.,0.,0.)); #429514=DIRECTION('center_axis',(0.,0.,1.)); #429515=DIRECTION('ref_axis',(1.,0.,0.)); #429516=DIRECTION('',(0.,0.,1.)); #429517=DIRECTION('center_axis',(0.,0.,-1.)); #429518=DIRECTION('ref_axis',(1.,0.,0.)); #429519=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #429520=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #429521=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429522=DIRECTION('',(0.,0.,1.)); #429523=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429524=DIRECTION('',(0.,0.,1.)); #429525=DIRECTION('center_axis',(0.,0.,1.)); #429526=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429527=DIRECTION('center_axis',(0.,0.,-1.)); #429528=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429529=DIRECTION('center_axis',(0.,0.,1.)); #429530=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429531=DIRECTION('',(0.,0.,1.)); #429532=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #429533=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #429534=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429535=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429536=DIRECTION('',(0.,0.,1.)); #429537=DIRECTION('center_axis',(0.,0.,1.)); #429538=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429539=DIRECTION('center_axis',(0.,0.,-1.)); #429540=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429541=DIRECTION('center_axis',(0.,0.,1.)); #429542=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429543=DIRECTION('center_axis',(0.,0.,1.)); #429544=DIRECTION('ref_axis',(1.,0.,0.)); #429545=DIRECTION('center_axis',(0.,0.,1.)); #429546=DIRECTION('ref_axis',(1.,0.,0.)); #429547=DIRECTION('',(0.,0.,1.)); #429548=DIRECTION('center_axis',(0.,0.,-1.)); #429549=DIRECTION('ref_axis',(1.,0.,0.)); #429550=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #429551=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #429552=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429553=DIRECTION('',(0.,0.,1.)); #429554=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429555=DIRECTION('',(0.,0.,1.)); #429556=DIRECTION('center_axis',(0.,0.,1.)); #429557=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429558=DIRECTION('center_axis',(0.,0.,-1.)); #429559=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429560=DIRECTION('center_axis',(0.,0.,1.)); #429561=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #429562=DIRECTION('',(0.,0.,1.)); #429563=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #429564=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #429565=DIRECTION('',(5.55111499297693E-15,1.,0.)); #429566=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #429567=DIRECTION('',(0.,0.,1.)); #429568=DIRECTION('center_axis',(0.,0.,1.)); #429569=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429570=DIRECTION('center_axis',(0.,0.,-1.)); #429571=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429572=DIRECTION('center_axis',(0.,0.,1.)); #429573=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #429574=DIRECTION('center_axis',(0.,0.,1.)); #429575=DIRECTION('ref_axis',(1.,0.,0.)); #429576=DIRECTION('center_axis',(0.,0.,1.)); #429577=DIRECTION('ref_axis',(1.,0.,0.)); #429578=DIRECTION('',(0.,0.,1.)); #429579=DIRECTION('center_axis',(0.,0.,-1.)); #429580=DIRECTION('ref_axis',(1.,0.,0.)); #429581=DIRECTION('center_axis',(0.,0.,1.)); #429582=DIRECTION('ref_axis',(1.,0.,0.)); #429583=DIRECTION('center_axis',(0.,0.,1.)); #429584=DIRECTION('ref_axis',(1.,0.,0.)); #429585=DIRECTION('',(0.,0.,1.)); #429586=DIRECTION('center_axis',(0.,0.,-1.)); #429587=DIRECTION('ref_axis',(1.,0.,0.)); #429588=DIRECTION('center_axis',(0.,0.,1.)); #429589=DIRECTION('ref_axis',(1.,0.,0.)); #429590=DIRECTION('center_axis',(0.,0.,1.)); #429591=DIRECTION('ref_axis',(1.,0.,0.)); #429592=DIRECTION('',(0.,0.,1.)); #429593=DIRECTION('center_axis',(0.,0.,-1.)); #429594=DIRECTION('ref_axis',(1.,0.,0.)); #429595=DIRECTION('center_axis',(0.,0.,1.)); #429596=DIRECTION('ref_axis',(1.,0.,0.)); #429597=DIRECTION('center_axis',(0.,0.,1.)); #429598=DIRECTION('ref_axis',(1.,0.,0.)); #429599=DIRECTION('',(0.,0.,1.)); #429600=DIRECTION('center_axis',(0.,0.,-1.)); #429601=DIRECTION('ref_axis',(1.,0.,0.)); #429602=DIRECTION('center_axis',(0.,0.,1.)); #429603=DIRECTION('ref_axis',(1.,0.,0.)); #429604=DIRECTION('center_axis',(0.,0.,1.)); #429605=DIRECTION('ref_axis',(1.,0.,0.)); #429606=DIRECTION('',(0.,0.,1.)); #429607=DIRECTION('center_axis',(0.,0.,-1.)); #429608=DIRECTION('ref_axis',(1.,0.,0.)); #429609=DIRECTION('center_axis',(0.,0.,1.)); #429610=DIRECTION('ref_axis',(1.,0.,0.)); #429611=DIRECTION('center_axis',(0.,0.,1.)); #429612=DIRECTION('ref_axis',(1.,0.,0.)); #429613=DIRECTION('',(0.,0.,1.)); #429614=DIRECTION('center_axis',(0.,0.,-1.)); #429615=DIRECTION('ref_axis',(1.,0.,0.)); #429616=DIRECTION('center_axis',(0.,0.,1.)); #429617=DIRECTION('ref_axis',(1.,0.,0.)); #429618=DIRECTION('center_axis',(0.,0.,1.)); #429619=DIRECTION('ref_axis',(1.,0.,0.)); #429620=DIRECTION('',(0.,0.,1.)); #429621=DIRECTION('center_axis',(0.,0.,-1.)); #429622=DIRECTION('ref_axis',(1.,0.,0.)); #429623=DIRECTION('center_axis',(0.,0.,1.)); #429624=DIRECTION('ref_axis',(1.,0.,0.)); #429625=DIRECTION('center_axis',(0.,0.,1.)); #429626=DIRECTION('ref_axis',(1.,0.,0.)); #429627=DIRECTION('',(0.,0.,1.)); #429628=DIRECTION('center_axis',(0.,0.,-1.)); #429629=DIRECTION('ref_axis',(1.,0.,0.)); #429630=DIRECTION('center_axis',(0.,0.,1.)); #429631=DIRECTION('ref_axis',(1.,0.,0.)); #429632=DIRECTION('center_axis',(0.,0.,1.)); #429633=DIRECTION('ref_axis',(1.,0.,0.)); #429634=DIRECTION('',(0.,0.,1.)); #429635=DIRECTION('center_axis',(0.,0.,-1.)); #429636=DIRECTION('ref_axis',(1.,0.,0.)); #429637=DIRECTION('center_axis',(0.,0.,1.)); #429638=DIRECTION('ref_axis',(1.,0.,0.)); #429639=DIRECTION('center_axis',(0.,0.,1.)); #429640=DIRECTION('ref_axis',(1.,0.,0.)); #429641=DIRECTION('',(0.,0.,1.)); #429642=DIRECTION('center_axis',(0.,0.,-1.)); #429643=DIRECTION('ref_axis',(1.,0.,0.)); #429644=DIRECTION('center_axis',(0.,0.,1.)); #429645=DIRECTION('ref_axis',(1.,0.,0.)); #429646=DIRECTION('center_axis',(0.,0.,1.)); #429647=DIRECTION('ref_axis',(1.,0.,0.)); #429648=DIRECTION('',(0.,0.,1.)); #429649=DIRECTION('center_axis',(0.,0.,-1.)); #429650=DIRECTION('ref_axis',(1.,0.,0.)); #429651=DIRECTION('center_axis',(0.,0.,1.)); #429652=DIRECTION('ref_axis',(1.,0.,0.)); #429653=DIRECTION('center_axis',(0.,0.,1.)); #429654=DIRECTION('ref_axis',(1.,0.,0.)); #429655=DIRECTION('',(0.,0.,1.)); #429656=DIRECTION('center_axis',(0.,0.,-1.)); #429657=DIRECTION('ref_axis',(1.,0.,0.)); #429658=DIRECTION('center_axis',(0.,0.,1.)); #429659=DIRECTION('ref_axis',(1.,0.,0.)); #429660=DIRECTION('center_axis',(0.,0.,1.)); #429661=DIRECTION('ref_axis',(1.,0.,0.)); #429662=DIRECTION('',(0.,0.,1.)); #429663=DIRECTION('center_axis',(0.,0.,-1.)); #429664=DIRECTION('ref_axis',(1.,0.,0.)); #429665=DIRECTION('center_axis',(0.,0.,1.)); #429666=DIRECTION('ref_axis',(1.,0.,0.)); #429667=DIRECTION('center_axis',(0.,0.,1.)); #429668=DIRECTION('ref_axis',(1.,0.,0.)); #429669=DIRECTION('',(0.,0.,1.)); #429670=DIRECTION('center_axis',(0.,0.,-1.)); #429671=DIRECTION('ref_axis',(1.,0.,0.)); #429672=DIRECTION('center_axis',(0.,0.,1.)); #429673=DIRECTION('ref_axis',(1.,0.,0.)); #429674=DIRECTION('center_axis',(0.,0.,1.)); #429675=DIRECTION('ref_axis',(1.,0.,0.)); #429676=DIRECTION('',(0.,0.,1.)); #429677=DIRECTION('center_axis',(0.,0.,-1.)); #429678=DIRECTION('ref_axis',(1.,0.,0.)); #429679=DIRECTION('center_axis',(0.,0.,1.)); #429680=DIRECTION('ref_axis',(1.,0.,0.)); #429681=DIRECTION('center_axis',(0.,0.,1.)); #429682=DIRECTION('ref_axis',(1.,0.,0.)); #429683=DIRECTION('',(0.,0.,1.)); #429684=DIRECTION('center_axis',(0.,0.,-1.)); #429685=DIRECTION('ref_axis',(1.,0.,0.)); #429686=DIRECTION('center_axis',(0.,0.,1.)); #429687=DIRECTION('ref_axis',(1.,0.,0.)); #429688=DIRECTION('center_axis',(0.,0.,1.)); #429689=DIRECTION('ref_axis',(1.,0.,0.)); #429690=DIRECTION('',(0.,0.,1.)); #429691=DIRECTION('center_axis',(0.,0.,-1.)); #429692=DIRECTION('ref_axis',(1.,0.,0.)); #429693=DIRECTION('center_axis',(0.,0.,1.)); #429694=DIRECTION('ref_axis',(1.,0.,0.)); #429695=DIRECTION('center_axis',(0.,0.,1.)); #429696=DIRECTION('ref_axis',(1.,0.,0.)); #429697=DIRECTION('',(0.,0.,1.)); #429698=DIRECTION('center_axis',(0.,0.,-1.)); #429699=DIRECTION('ref_axis',(1.,0.,0.)); #429700=DIRECTION('center_axis',(0.,0.,1.)); #429701=DIRECTION('ref_axis',(1.,0.,0.)); #429702=DIRECTION('center_axis',(0.,0.,1.)); #429703=DIRECTION('ref_axis',(1.,0.,0.)); #429704=DIRECTION('',(0.,0.,1.)); #429705=DIRECTION('center_axis',(0.,0.,-1.)); #429706=DIRECTION('ref_axis',(1.,0.,0.)); #429707=DIRECTION('center_axis',(0.,0.,1.)); #429708=DIRECTION('ref_axis',(1.,0.,0.)); #429709=DIRECTION('center_axis',(0.,0.,1.)); #429710=DIRECTION('ref_axis',(1.,0.,0.)); #429711=DIRECTION('',(0.,0.,1.)); #429712=DIRECTION('center_axis',(0.,0.,-1.)); #429713=DIRECTION('ref_axis',(1.,0.,0.)); #429714=DIRECTION('center_axis',(0.,0.,1.)); #429715=DIRECTION('ref_axis',(1.,0.,0.)); #429716=DIRECTION('center_axis',(0.,0.,1.)); #429717=DIRECTION('ref_axis',(1.,0.,0.)); #429718=DIRECTION('',(0.,0.,1.)); #429719=DIRECTION('center_axis',(0.,0.,-1.)); #429720=DIRECTION('ref_axis',(1.,0.,0.)); #429721=DIRECTION('center_axis',(0.,0.,1.)); #429722=DIRECTION('ref_axis',(1.,0.,0.)); #429723=DIRECTION('center_axis',(0.,0.,1.)); #429724=DIRECTION('ref_axis',(1.,0.,0.)); #429725=DIRECTION('',(0.,0.,1.)); #429726=DIRECTION('center_axis',(0.,0.,-1.)); #429727=DIRECTION('ref_axis',(1.,0.,0.)); #429728=DIRECTION('center_axis',(0.,0.,1.)); #429729=DIRECTION('ref_axis',(1.,0.,0.)); #429730=DIRECTION('center_axis',(0.,0.,1.)); #429731=DIRECTION('ref_axis',(1.,0.,0.)); #429732=DIRECTION('',(0.,0.,1.)); #429733=DIRECTION('center_axis',(0.,0.,-1.)); #429734=DIRECTION('ref_axis',(1.,0.,0.)); #429735=DIRECTION('center_axis',(0.,0.,1.)); #429736=DIRECTION('ref_axis',(1.,0.,0.)); #429737=DIRECTION('center_axis',(0.,0.,1.)); #429738=DIRECTION('ref_axis',(1.,0.,0.)); #429739=DIRECTION('',(0.,0.,1.)); #429740=DIRECTION('center_axis',(0.,0.,-1.)); #429741=DIRECTION('ref_axis',(1.,0.,0.)); #429742=DIRECTION('center_axis',(0.,0.,1.)); #429743=DIRECTION('ref_axis',(1.,0.,0.)); #429744=DIRECTION('center_axis',(0.,0.,1.)); #429745=DIRECTION('ref_axis',(1.,0.,0.)); #429746=DIRECTION('',(0.,0.,1.)); #429747=DIRECTION('center_axis',(0.,0.,-1.)); #429748=DIRECTION('ref_axis',(1.,0.,0.)); #429749=DIRECTION('center_axis',(0.,0.,1.)); #429750=DIRECTION('ref_axis',(1.,0.,0.)); #429751=DIRECTION('center_axis',(0.,0.,1.)); #429752=DIRECTION('ref_axis',(1.,0.,0.)); #429753=DIRECTION('',(0.,0.,1.)); #429754=DIRECTION('center_axis',(0.,0.,-1.)); #429755=DIRECTION('ref_axis',(1.,0.,0.)); #429756=DIRECTION('center_axis',(0.,0.,1.)); #429757=DIRECTION('ref_axis',(1.,0.,0.)); #429758=DIRECTION('center_axis',(0.,0.,1.)); #429759=DIRECTION('ref_axis',(1.,0.,0.)); #429760=DIRECTION('',(0.,0.,1.)); #429761=DIRECTION('center_axis',(0.,0.,-1.)); #429762=DIRECTION('ref_axis',(1.,0.,0.)); #429763=DIRECTION('center_axis',(0.,0.,1.)); #429764=DIRECTION('ref_axis',(1.,0.,0.)); #429765=DIRECTION('center_axis',(0.,0.,1.)); #429766=DIRECTION('ref_axis',(1.,0.,0.)); #429767=DIRECTION('',(0.,0.,1.)); #429768=DIRECTION('center_axis',(0.,0.,-1.)); #429769=DIRECTION('ref_axis',(1.,0.,0.)); #429770=DIRECTION('center_axis',(0.,0.,1.)); #429771=DIRECTION('ref_axis',(1.,0.,0.)); #429772=DIRECTION('center_axis',(0.,0.,1.)); #429773=DIRECTION('ref_axis',(1.,0.,0.)); #429774=DIRECTION('',(0.,0.,1.)); #429775=DIRECTION('center_axis',(0.,0.,-1.)); #429776=DIRECTION('ref_axis',(1.,0.,0.)); #429777=DIRECTION('center_axis',(0.,0.,1.)); #429778=DIRECTION('ref_axis',(1.,0.,0.)); #429779=DIRECTION('center_axis',(0.,0.,1.)); #429780=DIRECTION('ref_axis',(1.,0.,0.)); #429781=DIRECTION('',(0.,0.,1.)); #429782=DIRECTION('center_axis',(0.,0.,-1.)); #429783=DIRECTION('ref_axis',(1.,0.,0.)); #429784=DIRECTION('center_axis',(0.,0.,1.)); #429785=DIRECTION('ref_axis',(1.,0.,0.)); #429786=DIRECTION('center_axis',(0.,0.,1.)); #429787=DIRECTION('ref_axis',(1.,0.,0.)); #429788=DIRECTION('',(0.,0.,1.)); #429789=DIRECTION('center_axis',(0.,0.,-1.)); #429790=DIRECTION('ref_axis',(1.,0.,0.)); #429791=DIRECTION('center_axis',(0.,0.,1.)); #429792=DIRECTION('ref_axis',(1.,0.,0.)); #429793=DIRECTION('center_axis',(0.,0.,1.)); #429794=DIRECTION('ref_axis',(1.,0.,0.)); #429795=DIRECTION('',(0.,0.,1.)); #429796=DIRECTION('center_axis',(0.,0.,-1.)); #429797=DIRECTION('ref_axis',(1.,0.,0.)); #429798=DIRECTION('center_axis',(0.,0.,1.)); #429799=DIRECTION('ref_axis',(1.,0.,0.)); #429800=DIRECTION('center_axis',(0.,0.,1.)); #429801=DIRECTION('ref_axis',(1.,0.,0.)); #429802=DIRECTION('',(0.,0.,1.)); #429803=DIRECTION('center_axis',(0.,0.,-1.)); #429804=DIRECTION('ref_axis',(1.,0.,0.)); #429805=DIRECTION('center_axis',(0.,0.,1.)); #429806=DIRECTION('ref_axis',(1.,0.,0.)); #429807=DIRECTION('center_axis',(0.,0.,1.)); #429808=DIRECTION('ref_axis',(1.,0.,0.)); #429809=DIRECTION('',(0.,0.,1.)); #429810=DIRECTION('center_axis',(0.,0.,-1.)); #429811=DIRECTION('ref_axis',(1.,0.,0.)); #429812=DIRECTION('center_axis',(0.,0.,1.)); #429813=DIRECTION('ref_axis',(1.,0.,0.)); #429814=DIRECTION('center_axis',(0.,0.,1.)); #429815=DIRECTION('ref_axis',(1.,0.,0.)); #429816=DIRECTION('',(0.,0.,1.)); #429817=DIRECTION('center_axis',(0.,0.,-1.)); #429818=DIRECTION('ref_axis',(1.,0.,0.)); #429819=DIRECTION('center_axis',(0.,0.,1.)); #429820=DIRECTION('ref_axis',(1.,0.,0.)); #429821=DIRECTION('center_axis',(0.,0.,1.)); #429822=DIRECTION('ref_axis',(1.,0.,0.)); #429823=DIRECTION('',(0.,0.,1.)); #429824=DIRECTION('center_axis',(0.,0.,-1.)); #429825=DIRECTION('ref_axis',(1.,0.,0.)); #429826=DIRECTION('center_axis',(0.,0.,1.)); #429827=DIRECTION('ref_axis',(1.,0.,0.)); #429828=DIRECTION('center_axis',(0.,0.,1.)); #429829=DIRECTION('ref_axis',(1.,0.,0.)); #429830=DIRECTION('',(0.,0.,1.)); #429831=DIRECTION('center_axis',(0.,0.,-1.)); #429832=DIRECTION('ref_axis',(1.,0.,0.)); #429833=DIRECTION('center_axis',(0.,0.,1.)); #429834=DIRECTION('ref_axis',(1.,0.,0.)); #429835=DIRECTION('center_axis',(0.,0.,1.)); #429836=DIRECTION('ref_axis',(1.,0.,0.)); #429837=DIRECTION('',(0.,0.,1.)); #429838=DIRECTION('center_axis',(0.,0.,-1.)); #429839=DIRECTION('ref_axis',(1.,0.,0.)); #429840=DIRECTION('center_axis',(0.,0.,1.)); #429841=DIRECTION('ref_axis',(1.,0.,0.)); #429842=DIRECTION('center_axis',(0.,0.,1.)); #429843=DIRECTION('ref_axis',(1.,0.,0.)); #429844=DIRECTION('',(0.,0.,1.)); #429845=DIRECTION('center_axis',(0.,0.,-1.)); #429846=DIRECTION('ref_axis',(1.,0.,0.)); #429847=DIRECTION('center_axis',(0.,0.,1.)); #429848=DIRECTION('ref_axis',(1.,0.,0.)); #429849=DIRECTION('center_axis',(0.,0.,1.)); #429850=DIRECTION('ref_axis',(1.,0.,0.)); #429851=DIRECTION('',(0.,0.,1.)); #429852=DIRECTION('center_axis',(0.,0.,-1.)); #429853=DIRECTION('ref_axis',(1.,0.,0.)); #429854=DIRECTION('center_axis',(0.,0.,1.)); #429855=DIRECTION('ref_axis',(1.,0.,0.)); #429856=DIRECTION('center_axis',(0.,0.,1.)); #429857=DIRECTION('ref_axis',(1.,0.,0.)); #429858=DIRECTION('',(0.,0.,1.)); #429859=DIRECTION('center_axis',(0.,0.,-1.)); #429860=DIRECTION('ref_axis',(1.,0.,0.)); #429861=DIRECTION('center_axis',(0.,0.,1.)); #429862=DIRECTION('ref_axis',(1.,0.,0.)); #429863=DIRECTION('center_axis',(0.,0.,1.)); #429864=DIRECTION('ref_axis',(1.,0.,0.)); #429865=DIRECTION('',(0.,0.,1.)); #429866=DIRECTION('center_axis',(0.,0.,-1.)); #429867=DIRECTION('ref_axis',(1.,0.,0.)); #429868=DIRECTION('center_axis',(0.,0.,1.)); #429869=DIRECTION('ref_axis',(1.,0.,0.)); #429870=DIRECTION('center_axis',(0.,0.,1.)); #429871=DIRECTION('ref_axis',(1.,0.,0.)); #429872=DIRECTION('',(0.,0.,1.)); #429873=DIRECTION('center_axis',(0.,0.,-1.)); #429874=DIRECTION('ref_axis',(1.,0.,0.)); #429875=DIRECTION('center_axis',(0.,0.,1.)); #429876=DIRECTION('ref_axis',(1.,0.,0.)); #429877=DIRECTION('center_axis',(0.,0.,1.)); #429878=DIRECTION('ref_axis',(1.,0.,0.)); #429879=DIRECTION('',(0.,0.,1.)); #429880=DIRECTION('center_axis',(0.,0.,-1.)); #429881=DIRECTION('ref_axis',(1.,0.,0.)); #429882=DIRECTION('center_axis',(0.,0.,1.)); #429883=DIRECTION('ref_axis',(1.,0.,0.)); #429884=DIRECTION('center_axis',(0.,0.,1.)); #429885=DIRECTION('ref_axis',(1.,0.,0.)); #429886=DIRECTION('',(0.,0.,1.)); #429887=DIRECTION('center_axis',(0.,0.,-1.)); #429888=DIRECTION('ref_axis',(1.,0.,0.)); #429889=DIRECTION('center_axis',(0.,0.,1.)); #429890=DIRECTION('ref_axis',(1.,0.,0.)); #429891=DIRECTION('center_axis',(0.,0.,1.)); #429892=DIRECTION('ref_axis',(1.,0.,0.)); #429893=DIRECTION('',(0.,0.,1.)); #429894=DIRECTION('center_axis',(0.,0.,-1.)); #429895=DIRECTION('ref_axis',(1.,0.,0.)); #429896=DIRECTION('center_axis',(0.,0.,1.)); #429897=DIRECTION('ref_axis',(1.,0.,0.)); #429898=DIRECTION('center_axis',(0.,0.,1.)); #429899=DIRECTION('ref_axis',(1.,0.,0.)); #429900=DIRECTION('',(0.,0.,1.)); #429901=DIRECTION('center_axis',(0.,0.,-1.)); #429902=DIRECTION('ref_axis',(1.,0.,0.)); #429903=DIRECTION('center_axis',(0.,0.,1.)); #429904=DIRECTION('ref_axis',(1.,0.,0.)); #429905=DIRECTION('center_axis',(0.,0.,1.)); #429906=DIRECTION('ref_axis',(1.,0.,0.)); #429907=DIRECTION('',(0.,0.,1.)); #429908=DIRECTION('center_axis',(0.,0.,-1.)); #429909=DIRECTION('ref_axis',(1.,0.,0.)); #429910=DIRECTION('center_axis',(0.,0.,1.)); #429911=DIRECTION('ref_axis',(1.,0.,0.)); #429912=DIRECTION('center_axis',(0.,0.,1.)); #429913=DIRECTION('ref_axis',(1.,0.,0.)); #429914=DIRECTION('',(0.,0.,1.)); #429915=DIRECTION('center_axis',(0.,0.,-1.)); #429916=DIRECTION('ref_axis',(1.,0.,0.)); #429917=DIRECTION('center_axis',(0.,0.,1.)); #429918=DIRECTION('ref_axis',(1.,0.,0.)); #429919=DIRECTION('center_axis',(0.,0.,1.)); #429920=DIRECTION('ref_axis',(1.,0.,0.)); #429921=DIRECTION('',(0.,0.,1.)); #429922=DIRECTION('center_axis',(0.,0.,-1.)); #429923=DIRECTION('ref_axis',(1.,0.,0.)); #429924=DIRECTION('center_axis',(0.,0.,1.)); #429925=DIRECTION('ref_axis',(1.,0.,0.)); #429926=DIRECTION('center_axis',(0.,0.,1.)); #429927=DIRECTION('ref_axis',(1.,0.,0.)); #429928=DIRECTION('',(0.,0.,1.)); #429929=DIRECTION('center_axis',(0.,0.,-1.)); #429930=DIRECTION('ref_axis',(1.,0.,0.)); #429931=DIRECTION('center_axis',(0.,0.,1.)); #429932=DIRECTION('ref_axis',(1.,0.,0.)); #429933=DIRECTION('center_axis',(0.,0.,1.)); #429934=DIRECTION('ref_axis',(1.,0.,0.)); #429935=DIRECTION('',(0.,0.,1.)); #429936=DIRECTION('center_axis',(0.,0.,-1.)); #429937=DIRECTION('ref_axis',(1.,0.,0.)); #429938=DIRECTION('center_axis',(0.,0.,1.)); #429939=DIRECTION('ref_axis',(1.,0.,0.)); #429940=DIRECTION('center_axis',(0.,0.,1.)); #429941=DIRECTION('ref_axis',(1.,0.,0.)); #429942=DIRECTION('',(0.,0.,1.)); #429943=DIRECTION('center_axis',(0.,0.,-1.)); #429944=DIRECTION('ref_axis',(1.,0.,0.)); #429945=DIRECTION('center_axis',(0.,0.,1.)); #429946=DIRECTION('ref_axis',(1.,0.,0.)); #429947=DIRECTION('center_axis',(0.,0.,1.)); #429948=DIRECTION('ref_axis',(1.,0.,0.)); #429949=DIRECTION('',(0.,0.,1.)); #429950=DIRECTION('center_axis',(0.,0.,-1.)); #429951=DIRECTION('ref_axis',(1.,0.,0.)); #429952=DIRECTION('center_axis',(0.,0.,1.)); #429953=DIRECTION('ref_axis',(1.,0.,0.)); #429954=DIRECTION('center_axis',(0.,0.,1.)); #429955=DIRECTION('ref_axis',(1.,0.,0.)); #429956=DIRECTION('',(0.,0.,1.)); #429957=DIRECTION('center_axis',(0.,0.,-1.)); #429958=DIRECTION('ref_axis',(1.,0.,0.)); #429959=DIRECTION('center_axis',(0.,0.,1.)); #429960=DIRECTION('ref_axis',(1.,0.,0.)); #429961=DIRECTION('center_axis',(0.,0.,1.)); #429962=DIRECTION('ref_axis',(1.,0.,0.)); #429963=DIRECTION('',(0.,0.,1.)); #429964=DIRECTION('center_axis',(0.,0.,-1.)); #429965=DIRECTION('ref_axis',(1.,0.,0.)); #429966=DIRECTION('center_axis',(0.,0.,1.)); #429967=DIRECTION('ref_axis',(1.,0.,0.)); #429968=DIRECTION('center_axis',(0.,0.,1.)); #429969=DIRECTION('ref_axis',(1.,0.,0.)); #429970=DIRECTION('',(0.,0.,1.)); #429971=DIRECTION('center_axis',(0.,0.,-1.)); #429972=DIRECTION('ref_axis',(1.,0.,0.)); #429973=DIRECTION('center_axis',(0.,0.,1.)); #429974=DIRECTION('ref_axis',(1.,0.,0.)); #429975=DIRECTION('center_axis',(0.,0.,1.)); #429976=DIRECTION('ref_axis',(1.,0.,0.)); #429977=DIRECTION('',(0.,0.,1.)); #429978=DIRECTION('center_axis',(0.,0.,-1.)); #429979=DIRECTION('ref_axis',(1.,0.,0.)); #429980=DIRECTION('center_axis',(0.,0.,1.)); #429981=DIRECTION('ref_axis',(1.,0.,0.)); #429982=DIRECTION('center_axis',(0.,0.,1.)); #429983=DIRECTION('ref_axis',(1.,0.,0.)); #429984=DIRECTION('',(0.,0.,1.)); #429985=DIRECTION('center_axis',(0.,0.,-1.)); #429986=DIRECTION('ref_axis',(1.,0.,0.)); #429987=DIRECTION('center_axis',(0.,0.,1.)); #429988=DIRECTION('ref_axis',(1.,0.,0.)); #429989=DIRECTION('center_axis',(0.,0.,1.)); #429990=DIRECTION('ref_axis',(1.,0.,0.)); #429991=DIRECTION('',(0.,0.,1.)); #429992=DIRECTION('center_axis',(0.,0.,-1.)); #429993=DIRECTION('ref_axis',(1.,0.,0.)); #429994=DIRECTION('center_axis',(0.,0.,1.)); #429995=DIRECTION('ref_axis',(1.,0.,0.)); #429996=DIRECTION('center_axis',(0.,0.,1.)); #429997=DIRECTION('ref_axis',(1.,0.,0.)); #429998=DIRECTION('',(0.,0.,1.)); #429999=DIRECTION('center_axis',(0.,0.,-1.)); #430000=DIRECTION('ref_axis',(1.,0.,0.)); #430001=DIRECTION('center_axis',(0.,0.,1.)); #430002=DIRECTION('ref_axis',(1.,0.,0.)); #430003=DIRECTION('center_axis',(0.,0.,1.)); #430004=DIRECTION('ref_axis',(1.,0.,0.)); #430005=DIRECTION('',(0.,0.,1.)); #430006=DIRECTION('center_axis',(0.,0.,-1.)); #430007=DIRECTION('ref_axis',(1.,0.,0.)); #430008=DIRECTION('center_axis',(0.,0.,1.)); #430009=DIRECTION('ref_axis',(1.,0.,0.)); #430010=DIRECTION('center_axis',(0.,0.,1.)); #430011=DIRECTION('ref_axis',(1.,0.,0.)); #430012=DIRECTION('',(0.,0.,1.)); #430013=DIRECTION('center_axis',(0.,0.,-1.)); #430014=DIRECTION('ref_axis',(1.,0.,0.)); #430015=DIRECTION('center_axis',(0.,0.,1.)); #430016=DIRECTION('ref_axis',(1.,0.,0.)); #430017=DIRECTION('center_axis',(0.,0.,1.)); #430018=DIRECTION('ref_axis',(1.,0.,0.)); #430019=DIRECTION('',(0.,0.,1.)); #430020=DIRECTION('center_axis',(0.,0.,-1.)); #430021=DIRECTION('ref_axis',(1.,0.,0.)); #430022=DIRECTION('center_axis',(0.,0.,1.)); #430023=DIRECTION('ref_axis',(1.,0.,0.)); #430024=DIRECTION('center_axis',(0.,0.,1.)); #430025=DIRECTION('ref_axis',(1.,0.,0.)); #430026=DIRECTION('',(0.,0.,1.)); #430027=DIRECTION('center_axis',(0.,0.,-1.)); #430028=DIRECTION('ref_axis',(1.,0.,0.)); #430029=DIRECTION('center_axis',(0.,0.,1.)); #430030=DIRECTION('ref_axis',(1.,0.,0.)); #430031=DIRECTION('center_axis',(0.,0.,1.)); #430032=DIRECTION('ref_axis',(1.,0.,0.)); #430033=DIRECTION('',(0.,0.,1.)); #430034=DIRECTION('center_axis',(0.,0.,-1.)); #430035=DIRECTION('ref_axis',(1.,0.,0.)); #430036=DIRECTION('center_axis',(0.,0.,1.)); #430037=DIRECTION('ref_axis',(1.,0.,0.)); #430038=DIRECTION('center_axis',(0.,0.,1.)); #430039=DIRECTION('ref_axis',(1.,0.,0.)); #430040=DIRECTION('',(0.,0.,1.)); #430041=DIRECTION('center_axis',(0.,0.,-1.)); #430042=DIRECTION('ref_axis',(1.,0.,0.)); #430043=DIRECTION('center_axis',(0.,0.,1.)); #430044=DIRECTION('ref_axis',(1.,0.,0.)); #430045=DIRECTION('center_axis',(0.,0.,1.)); #430046=DIRECTION('ref_axis',(1.,0.,0.)); #430047=DIRECTION('',(0.,0.,1.)); #430048=DIRECTION('center_axis',(0.,0.,-1.)); #430049=DIRECTION('ref_axis',(1.,0.,0.)); #430050=DIRECTION('center_axis',(0.,0.,1.)); #430051=DIRECTION('ref_axis',(1.,0.,0.)); #430052=DIRECTION('center_axis',(0.,0.,1.)); #430053=DIRECTION('ref_axis',(1.,0.,0.)); #430054=DIRECTION('',(0.,0.,1.)); #430055=DIRECTION('center_axis',(0.,0.,-1.)); #430056=DIRECTION('ref_axis',(1.,0.,0.)); #430057=DIRECTION('center_axis',(0.,0.,1.)); #430058=DIRECTION('ref_axis',(1.,0.,0.)); #430059=DIRECTION('center_axis',(0.,0.,1.)); #430060=DIRECTION('ref_axis',(1.,0.,0.)); #430061=DIRECTION('',(0.,0.,1.)); #430062=DIRECTION('center_axis',(0.,0.,-1.)); #430063=DIRECTION('ref_axis',(1.,0.,0.)); #430064=DIRECTION('center_axis',(0.,0.,1.)); #430065=DIRECTION('ref_axis',(1.,0.,0.)); #430066=DIRECTION('center_axis',(0.,0.,1.)); #430067=DIRECTION('ref_axis',(1.,0.,0.)); #430068=DIRECTION('',(0.,0.,1.)); #430069=DIRECTION('center_axis',(0.,0.,-1.)); #430070=DIRECTION('ref_axis',(1.,0.,0.)); #430071=DIRECTION('center_axis',(0.,0.,1.)); #430072=DIRECTION('ref_axis',(1.,0.,0.)); #430073=DIRECTION('center_axis',(0.,0.,1.)); #430074=DIRECTION('ref_axis',(1.,0.,0.)); #430075=DIRECTION('',(0.,0.,1.)); #430076=DIRECTION('center_axis',(0.,0.,-1.)); #430077=DIRECTION('ref_axis',(1.,0.,0.)); #430078=DIRECTION('center_axis',(0.,0.,1.)); #430079=DIRECTION('ref_axis',(1.,0.,0.)); #430080=DIRECTION('center_axis',(0.,0.,1.)); #430081=DIRECTION('ref_axis',(1.,0.,0.)); #430082=DIRECTION('',(0.,0.,1.)); #430083=DIRECTION('center_axis',(0.,0.,-1.)); #430084=DIRECTION('ref_axis',(1.,0.,0.)); #430085=DIRECTION('center_axis',(0.,0.,1.)); #430086=DIRECTION('ref_axis',(1.,0.,0.)); #430087=DIRECTION('center_axis',(0.,0.,1.)); #430088=DIRECTION('ref_axis',(1.,0.,0.)); #430089=DIRECTION('',(0.,0.,1.)); #430090=DIRECTION('center_axis',(0.,0.,-1.)); #430091=DIRECTION('ref_axis',(1.,0.,0.)); #430092=DIRECTION('center_axis',(0.,0.,1.)); #430093=DIRECTION('ref_axis',(1.,0.,0.)); #430094=DIRECTION('center_axis',(0.,0.,1.)); #430095=DIRECTION('ref_axis',(1.,0.,0.)); #430096=DIRECTION('',(0.,0.,1.)); #430097=DIRECTION('center_axis',(0.,0.,-1.)); #430098=DIRECTION('ref_axis',(1.,0.,0.)); #430099=DIRECTION('center_axis',(0.,0.,1.)); #430100=DIRECTION('ref_axis',(1.,0.,0.)); #430101=DIRECTION('center_axis',(0.,0.,1.)); #430102=DIRECTION('ref_axis',(1.,0.,0.)); #430103=DIRECTION('',(0.,0.,1.)); #430104=DIRECTION('center_axis',(0.,0.,-1.)); #430105=DIRECTION('ref_axis',(1.,0.,0.)); #430106=DIRECTION('center_axis',(0.,0.,1.)); #430107=DIRECTION('ref_axis',(1.,0.,0.)); #430108=DIRECTION('center_axis',(0.,0.,1.)); #430109=DIRECTION('ref_axis',(1.,0.,0.)); #430110=DIRECTION('',(0.,0.,1.)); #430111=DIRECTION('center_axis',(0.,0.,-1.)); #430112=DIRECTION('ref_axis',(1.,0.,0.)); #430113=DIRECTION('center_axis',(0.,0.,1.)); #430114=DIRECTION('ref_axis',(1.,0.,0.)); #430115=DIRECTION('center_axis',(0.,0.,1.)); #430116=DIRECTION('ref_axis',(1.,0.,0.)); #430117=DIRECTION('',(0.,0.,1.)); #430118=DIRECTION('center_axis',(0.,0.,-1.)); #430119=DIRECTION('ref_axis',(1.,0.,0.)); #430120=DIRECTION('center_axis',(0.,0.,1.)); #430121=DIRECTION('ref_axis',(1.,0.,0.)); #430122=DIRECTION('center_axis',(0.,0.,1.)); #430123=DIRECTION('ref_axis',(1.,0.,0.)); #430124=DIRECTION('',(0.,0.,1.)); #430125=DIRECTION('center_axis',(0.,0.,-1.)); #430126=DIRECTION('ref_axis',(1.,0.,0.)); #430127=DIRECTION('center_axis',(0.,0.,1.)); #430128=DIRECTION('ref_axis',(1.,0.,0.)); #430129=DIRECTION('center_axis',(0.,0.,1.)); #430130=DIRECTION('ref_axis',(1.,0.,0.)); #430131=DIRECTION('',(0.,0.,1.)); #430132=DIRECTION('center_axis',(0.,0.,-1.)); #430133=DIRECTION('ref_axis',(1.,0.,0.)); #430134=DIRECTION('center_axis',(0.,0.,1.)); #430135=DIRECTION('ref_axis',(1.,0.,0.)); #430136=DIRECTION('center_axis',(0.,0.,1.)); #430137=DIRECTION('ref_axis',(1.,0.,0.)); #430138=DIRECTION('',(0.,0.,1.)); #430139=DIRECTION('center_axis',(0.,0.,-1.)); #430140=DIRECTION('ref_axis',(1.,0.,0.)); #430141=DIRECTION('center_axis',(0.,0.,1.)); #430142=DIRECTION('ref_axis',(1.,0.,0.)); #430143=DIRECTION('center_axis',(0.,0.,1.)); #430144=DIRECTION('ref_axis',(1.,0.,0.)); #430145=DIRECTION('',(0.,0.,1.)); #430146=DIRECTION('center_axis',(0.,0.,-1.)); #430147=DIRECTION('ref_axis',(1.,0.,0.)); #430148=DIRECTION('center_axis',(0.,0.,1.)); #430149=DIRECTION('ref_axis',(1.,0.,0.)); #430150=DIRECTION('center_axis',(0.,0.,1.)); #430151=DIRECTION('ref_axis',(1.,0.,0.)); #430152=DIRECTION('',(0.,0.,1.)); #430153=DIRECTION('center_axis',(0.,0.,-1.)); #430154=DIRECTION('ref_axis',(1.,0.,0.)); #430155=DIRECTION('center_axis',(0.,0.,1.)); #430156=DIRECTION('ref_axis',(1.,0.,0.)); #430157=DIRECTION('center_axis',(0.,0.,1.)); #430158=DIRECTION('ref_axis',(1.,0.,0.)); #430159=DIRECTION('',(0.,0.,1.)); #430160=DIRECTION('center_axis',(0.,0.,-1.)); #430161=DIRECTION('ref_axis',(1.,0.,0.)); #430162=DIRECTION('center_axis',(0.,0.,1.)); #430163=DIRECTION('ref_axis',(1.,0.,0.)); #430164=DIRECTION('center_axis',(0.,0.,1.)); #430165=DIRECTION('ref_axis',(1.,0.,0.)); #430166=DIRECTION('',(0.,0.,1.)); #430167=DIRECTION('center_axis',(0.,0.,-1.)); #430168=DIRECTION('ref_axis',(1.,0.,0.)); #430169=DIRECTION('center_axis',(0.,0.,1.)); #430170=DIRECTION('ref_axis',(1.,0.,0.)); #430171=DIRECTION('center_axis',(0.,0.,1.)); #430172=DIRECTION('ref_axis',(1.,0.,0.)); #430173=DIRECTION('',(0.,0.,1.)); #430174=DIRECTION('center_axis',(0.,0.,-1.)); #430175=DIRECTION('ref_axis',(1.,0.,0.)); #430176=DIRECTION('center_axis',(0.,0.,1.)); #430177=DIRECTION('ref_axis',(1.,0.,0.)); #430178=DIRECTION('center_axis',(0.,0.,1.)); #430179=DIRECTION('ref_axis',(1.,0.,0.)); #430180=DIRECTION('',(0.,0.,1.)); #430181=DIRECTION('center_axis',(0.,0.,-1.)); #430182=DIRECTION('ref_axis',(1.,0.,0.)); #430183=DIRECTION('center_axis',(0.,0.,1.)); #430184=DIRECTION('ref_axis',(1.,0.,0.)); #430185=DIRECTION('center_axis',(0.,0.,1.)); #430186=DIRECTION('ref_axis',(1.,0.,0.)); #430187=DIRECTION('',(0.,0.,1.)); #430188=DIRECTION('center_axis',(0.,0.,-1.)); #430189=DIRECTION('ref_axis',(1.,0.,0.)); #430190=DIRECTION('center_axis',(0.,0.,1.)); #430191=DIRECTION('ref_axis',(1.,0.,0.)); #430192=DIRECTION('center_axis',(0.,0.,1.)); #430193=DIRECTION('ref_axis',(1.,0.,0.)); #430194=DIRECTION('',(0.,0.,1.)); #430195=DIRECTION('center_axis',(0.,0.,-1.)); #430196=DIRECTION('ref_axis',(1.,0.,0.)); #430197=DIRECTION('center_axis',(0.,0.,1.)); #430198=DIRECTION('ref_axis',(1.,0.,0.)); #430199=DIRECTION('center_axis',(0.,0.,1.)); #430200=DIRECTION('ref_axis',(1.,0.,0.)); #430201=DIRECTION('',(0.,0.,1.)); #430202=DIRECTION('center_axis',(0.,0.,-1.)); #430203=DIRECTION('ref_axis',(1.,0.,0.)); #430204=DIRECTION('center_axis',(0.,0.,1.)); #430205=DIRECTION('ref_axis',(1.,0.,0.)); #430206=DIRECTION('center_axis',(0.,0.,1.)); #430207=DIRECTION('ref_axis',(1.,0.,0.)); #430208=DIRECTION('',(0.,0.,1.)); #430209=DIRECTION('center_axis',(0.,0.,-1.)); #430210=DIRECTION('ref_axis',(1.,0.,0.)); #430211=DIRECTION('center_axis',(0.,0.,1.)); #430212=DIRECTION('ref_axis',(1.,0.,0.)); #430213=DIRECTION('center_axis',(0.,0.,1.)); #430214=DIRECTION('ref_axis',(1.,0.,0.)); #430215=DIRECTION('',(0.,0.,1.)); #430216=DIRECTION('center_axis',(0.,0.,-1.)); #430217=DIRECTION('ref_axis',(1.,0.,0.)); #430218=DIRECTION('center_axis',(0.,0.,1.)); #430219=DIRECTION('ref_axis',(1.,0.,0.)); #430220=DIRECTION('center_axis',(0.,0.,1.)); #430221=DIRECTION('ref_axis',(1.,0.,0.)); #430222=DIRECTION('',(0.,0.,1.)); #430223=DIRECTION('center_axis',(0.,0.,-1.)); #430224=DIRECTION('ref_axis',(1.,0.,0.)); #430225=DIRECTION('center_axis',(0.,0.,1.)); #430226=DIRECTION('ref_axis',(1.,0.,0.)); #430227=DIRECTION('center_axis',(0.,0.,1.)); #430228=DIRECTION('ref_axis',(1.,0.,0.)); #430229=DIRECTION('',(0.,0.,1.)); #430230=DIRECTION('center_axis',(0.,0.,-1.)); #430231=DIRECTION('ref_axis',(1.,0.,0.)); #430232=DIRECTION('center_axis',(0.,0.,1.)); #430233=DIRECTION('ref_axis',(1.,0.,0.)); #430234=DIRECTION('center_axis',(0.,0.,1.)); #430235=DIRECTION('ref_axis',(1.,0.,0.)); #430236=DIRECTION('',(0.,0.,1.)); #430237=DIRECTION('center_axis',(0.,0.,-1.)); #430238=DIRECTION('ref_axis',(1.,0.,0.)); #430239=DIRECTION('center_axis',(0.,0.,1.)); #430240=DIRECTION('ref_axis',(1.,0.,0.)); #430241=DIRECTION('center_axis',(0.,0.,1.)); #430242=DIRECTION('ref_axis',(1.,0.,0.)); #430243=DIRECTION('',(0.,0.,1.)); #430244=DIRECTION('center_axis',(0.,0.,-1.)); #430245=DIRECTION('ref_axis',(1.,0.,0.)); #430246=DIRECTION('center_axis',(0.,0.,1.)); #430247=DIRECTION('ref_axis',(1.,0.,0.)); #430248=DIRECTION('center_axis',(0.,0.,1.)); #430249=DIRECTION('ref_axis',(1.,0.,0.)); #430250=DIRECTION('',(0.,0.,1.)); #430251=DIRECTION('center_axis',(0.,0.,-1.)); #430252=DIRECTION('ref_axis',(1.,0.,0.)); #430253=DIRECTION('center_axis',(0.,0.,1.)); #430254=DIRECTION('ref_axis',(1.,0.,0.)); #430255=DIRECTION('center_axis',(0.,0.,1.)); #430256=DIRECTION('ref_axis',(1.,0.,0.)); #430257=DIRECTION('',(0.,0.,1.)); #430258=DIRECTION('center_axis',(0.,0.,-1.)); #430259=DIRECTION('ref_axis',(1.,0.,0.)); #430260=DIRECTION('center_axis',(0.,0.,1.)); #430261=DIRECTION('ref_axis',(1.,0.,0.)); #430262=DIRECTION('center_axis',(0.,0.,1.)); #430263=DIRECTION('ref_axis',(1.,0.,0.)); #430264=DIRECTION('',(0.,0.,1.)); #430265=DIRECTION('center_axis',(0.,0.,-1.)); #430266=DIRECTION('ref_axis',(1.,0.,0.)); #430267=DIRECTION('center_axis',(0.,0.,1.)); #430268=DIRECTION('ref_axis',(1.,0.,0.)); #430269=DIRECTION('center_axis',(0.,0.,1.)); #430270=DIRECTION('ref_axis',(1.,0.,0.)); #430271=DIRECTION('',(0.,0.,1.)); #430272=DIRECTION('center_axis',(0.,0.,-1.)); #430273=DIRECTION('ref_axis',(1.,0.,0.)); #430274=DIRECTION('center_axis',(0.,0.,1.)); #430275=DIRECTION('ref_axis',(1.,0.,0.)); #430276=DIRECTION('center_axis',(0.,0.,1.)); #430277=DIRECTION('ref_axis',(1.,0.,0.)); #430278=DIRECTION('',(0.,0.,1.)); #430279=DIRECTION('center_axis',(0.,0.,-1.)); #430280=DIRECTION('ref_axis',(1.,0.,0.)); #430281=DIRECTION('center_axis',(0.,0.,1.)); #430282=DIRECTION('ref_axis',(1.,0.,0.)); #430283=DIRECTION('center_axis',(0.,0.,1.)); #430284=DIRECTION('ref_axis',(1.,0.,0.)); #430285=DIRECTION('',(0.,0.,1.)); #430286=DIRECTION('center_axis',(0.,0.,-1.)); #430287=DIRECTION('ref_axis',(1.,0.,0.)); #430288=DIRECTION('center_axis',(0.,0.,1.)); #430289=DIRECTION('ref_axis',(1.,0.,0.)); #430290=DIRECTION('center_axis',(0.,0.,1.)); #430291=DIRECTION('ref_axis',(1.,0.,0.)); #430292=DIRECTION('',(0.,0.,1.)); #430293=DIRECTION('center_axis',(0.,0.,-1.)); #430294=DIRECTION('ref_axis',(1.,0.,0.)); #430295=DIRECTION('center_axis',(0.,0.,1.)); #430296=DIRECTION('ref_axis',(1.,0.,0.)); #430297=DIRECTION('center_axis',(0.,0.,1.)); #430298=DIRECTION('ref_axis',(1.,0.,0.)); #430299=DIRECTION('',(0.,0.,1.)); #430300=DIRECTION('center_axis',(0.,0.,-1.)); #430301=DIRECTION('ref_axis',(1.,0.,0.)); #430302=DIRECTION('center_axis',(0.,0.,1.)); #430303=DIRECTION('ref_axis',(1.,0.,0.)); #430304=DIRECTION('center_axis',(0.,0.,1.)); #430305=DIRECTION('ref_axis',(1.,0.,0.)); #430306=DIRECTION('',(0.,0.,1.)); #430307=DIRECTION('center_axis',(0.,0.,-1.)); #430308=DIRECTION('ref_axis',(1.,0.,0.)); #430309=DIRECTION('center_axis',(0.,0.,1.)); #430310=DIRECTION('ref_axis',(1.,0.,0.)); #430311=DIRECTION('center_axis',(0.,0.,1.)); #430312=DIRECTION('ref_axis',(1.,0.,0.)); #430313=DIRECTION('',(0.,0.,1.)); #430314=DIRECTION('center_axis',(0.,0.,-1.)); #430315=DIRECTION('ref_axis',(1.,0.,0.)); #430316=DIRECTION('center_axis',(0.,0.,1.)); #430317=DIRECTION('ref_axis',(1.,0.,0.)); #430318=DIRECTION('center_axis',(0.,0.,1.)); #430319=DIRECTION('ref_axis',(1.,0.,0.)); #430320=DIRECTION('',(0.,0.,1.)); #430321=DIRECTION('center_axis',(0.,0.,-1.)); #430322=DIRECTION('ref_axis',(1.,0.,0.)); #430323=DIRECTION('center_axis',(0.,0.,1.)); #430324=DIRECTION('ref_axis',(1.,0.,0.)); #430325=DIRECTION('center_axis',(0.,0.,1.)); #430326=DIRECTION('ref_axis',(1.,0.,0.)); #430327=DIRECTION('',(0.,0.,1.)); #430328=DIRECTION('center_axis',(0.,0.,-1.)); #430329=DIRECTION('ref_axis',(1.,0.,0.)); #430330=DIRECTION('center_axis',(0.,0.,1.)); #430331=DIRECTION('ref_axis',(1.,0.,0.)); #430332=DIRECTION('center_axis',(0.,0.,1.)); #430333=DIRECTION('ref_axis',(1.,0.,0.)); #430334=DIRECTION('',(0.,0.,1.)); #430335=DIRECTION('center_axis',(0.,0.,-1.)); #430336=DIRECTION('ref_axis',(1.,0.,0.)); #430337=DIRECTION('center_axis',(0.,0.,1.)); #430338=DIRECTION('ref_axis',(1.,0.,0.)); #430339=DIRECTION('center_axis',(0.,0.,1.)); #430340=DIRECTION('ref_axis',(1.,0.,0.)); #430341=DIRECTION('',(0.,0.,1.)); #430342=DIRECTION('center_axis',(0.,0.,-1.)); #430343=DIRECTION('ref_axis',(1.,0.,0.)); #430344=DIRECTION('center_axis',(0.,0.,1.)); #430345=DIRECTION('ref_axis',(1.,0.,0.)); #430346=DIRECTION('center_axis',(0.,0.,1.)); #430347=DIRECTION('ref_axis',(1.,0.,0.)); #430348=DIRECTION('',(0.,0.,1.)); #430349=DIRECTION('center_axis',(0.,0.,-1.)); #430350=DIRECTION('ref_axis',(1.,0.,0.)); #430351=DIRECTION('center_axis',(0.,0.,1.)); #430352=DIRECTION('ref_axis',(1.,0.,0.)); #430353=DIRECTION('center_axis',(0.,0.,1.)); #430354=DIRECTION('ref_axis',(1.,0.,0.)); #430355=DIRECTION('',(0.,0.,1.)); #430356=DIRECTION('center_axis',(0.,0.,-1.)); #430357=DIRECTION('ref_axis',(1.,0.,0.)); #430358=DIRECTION('center_axis',(0.,0.,1.)); #430359=DIRECTION('ref_axis',(1.,0.,0.)); #430360=DIRECTION('center_axis',(0.,0.,1.)); #430361=DIRECTION('ref_axis',(1.,0.,0.)); #430362=DIRECTION('',(0.,0.,1.)); #430363=DIRECTION('center_axis',(0.,0.,-1.)); #430364=DIRECTION('ref_axis',(1.,0.,0.)); #430365=DIRECTION('center_axis',(0.,0.,1.)); #430366=DIRECTION('ref_axis',(1.,0.,0.)); #430367=DIRECTION('center_axis',(0.,0.,1.)); #430368=DIRECTION('ref_axis',(1.,0.,0.)); #430369=DIRECTION('',(0.,0.,1.)); #430370=DIRECTION('center_axis',(0.,0.,-1.)); #430371=DIRECTION('ref_axis',(1.,0.,0.)); #430372=DIRECTION('center_axis',(0.,0.,1.)); #430373=DIRECTION('ref_axis',(1.,0.,0.)); #430374=DIRECTION('center_axis',(0.,0.,1.)); #430375=DIRECTION('ref_axis',(1.,0.,0.)); #430376=DIRECTION('',(0.,0.,1.)); #430377=DIRECTION('center_axis',(0.,0.,-1.)); #430378=DIRECTION('ref_axis',(1.,0.,0.)); #430379=DIRECTION('center_axis',(0.,0.,1.)); #430380=DIRECTION('ref_axis',(1.,0.,0.)); #430381=DIRECTION('center_axis',(0.,0.,1.)); #430382=DIRECTION('ref_axis',(1.,0.,0.)); #430383=DIRECTION('',(0.,0.,1.)); #430384=DIRECTION('center_axis',(0.,0.,-1.)); #430385=DIRECTION('ref_axis',(1.,0.,0.)); #430386=DIRECTION('center_axis',(0.,0.,1.)); #430387=DIRECTION('ref_axis',(1.,0.,0.)); #430388=DIRECTION('center_axis',(0.,0.,1.)); #430389=DIRECTION('ref_axis',(1.,0.,0.)); #430390=DIRECTION('',(0.,0.,1.)); #430391=DIRECTION('center_axis',(0.,0.,-1.)); #430392=DIRECTION('ref_axis',(1.,0.,0.)); #430393=DIRECTION('center_axis',(0.,0.,1.)); #430394=DIRECTION('ref_axis',(1.,0.,0.)); #430395=DIRECTION('center_axis',(0.,0.,1.)); #430396=DIRECTION('ref_axis',(1.,0.,0.)); #430397=DIRECTION('',(0.,0.,1.)); #430398=DIRECTION('center_axis',(0.,0.,-1.)); #430399=DIRECTION('ref_axis',(1.,0.,0.)); #430400=DIRECTION('center_axis',(0.,0.,1.)); #430401=DIRECTION('ref_axis',(1.,0.,0.)); #430402=DIRECTION('center_axis',(0.,0.,1.)); #430403=DIRECTION('ref_axis',(1.,0.,0.)); #430404=DIRECTION('',(0.,0.,1.)); #430405=DIRECTION('center_axis',(0.,0.,-1.)); #430406=DIRECTION('ref_axis',(1.,0.,0.)); #430407=DIRECTION('center_axis',(0.,0.,1.)); #430408=DIRECTION('ref_axis',(1.,0.,0.)); #430409=DIRECTION('center_axis',(0.,0.,1.)); #430410=DIRECTION('ref_axis',(1.,0.,0.)); #430411=DIRECTION('',(0.,0.,1.)); #430412=DIRECTION('center_axis',(0.,0.,-1.)); #430413=DIRECTION('ref_axis',(1.,0.,0.)); #430414=DIRECTION('center_axis',(0.,0.,1.)); #430415=DIRECTION('ref_axis',(1.,0.,0.)); #430416=DIRECTION('center_axis',(0.,0.,1.)); #430417=DIRECTION('ref_axis',(1.,0.,0.)); #430418=DIRECTION('',(0.,0.,1.)); #430419=DIRECTION('center_axis',(0.,0.,-1.)); #430420=DIRECTION('ref_axis',(1.,0.,0.)); #430421=DIRECTION('center_axis',(0.,0.,1.)); #430422=DIRECTION('ref_axis',(1.,0.,0.)); #430423=DIRECTION('center_axis',(0.,0.,1.)); #430424=DIRECTION('ref_axis',(1.,0.,0.)); #430425=DIRECTION('',(0.,0.,1.)); #430426=DIRECTION('center_axis',(0.,0.,-1.)); #430427=DIRECTION('ref_axis',(1.,0.,0.)); #430428=DIRECTION('center_axis',(0.,0.,1.)); #430429=DIRECTION('ref_axis',(1.,0.,0.)); #430430=DIRECTION('center_axis',(0.,0.,1.)); #430431=DIRECTION('ref_axis',(1.,0.,0.)); #430432=DIRECTION('',(0.,0.,1.)); #430433=DIRECTION('center_axis',(0.,0.,-1.)); #430434=DIRECTION('ref_axis',(1.,0.,0.)); #430435=DIRECTION('center_axis',(0.,0.,1.)); #430436=DIRECTION('ref_axis',(1.,0.,0.)); #430437=DIRECTION('center_axis',(0.,0.,1.)); #430438=DIRECTION('ref_axis',(1.,0.,0.)); #430439=DIRECTION('',(0.,0.,1.)); #430440=DIRECTION('center_axis',(0.,0.,-1.)); #430441=DIRECTION('ref_axis',(1.,0.,0.)); #430442=DIRECTION('center_axis',(0.,0.,1.)); #430443=DIRECTION('ref_axis',(1.,0.,0.)); #430444=DIRECTION('center_axis',(0.,0.,1.)); #430445=DIRECTION('ref_axis',(1.,0.,0.)); #430446=DIRECTION('',(0.,0.,1.)); #430447=DIRECTION('center_axis',(0.,0.,-1.)); #430448=DIRECTION('ref_axis',(1.,0.,0.)); #430449=DIRECTION('center_axis',(0.,0.,1.)); #430450=DIRECTION('ref_axis',(1.,0.,0.)); #430451=DIRECTION('center_axis',(0.,0.,1.)); #430452=DIRECTION('ref_axis',(1.,0.,0.)); #430453=DIRECTION('',(0.,0.,1.)); #430454=DIRECTION('center_axis',(0.,0.,-1.)); #430455=DIRECTION('ref_axis',(1.,0.,0.)); #430456=DIRECTION('center_axis',(0.,0.,1.)); #430457=DIRECTION('ref_axis',(1.,0.,0.)); #430458=DIRECTION('center_axis',(0.,0.,1.)); #430459=DIRECTION('ref_axis',(1.,0.,0.)); #430460=DIRECTION('',(0.,0.,1.)); #430461=DIRECTION('center_axis',(0.,0.,-1.)); #430462=DIRECTION('ref_axis',(1.,0.,0.)); #430463=DIRECTION('center_axis',(0.,0.,1.)); #430464=DIRECTION('ref_axis',(1.,0.,0.)); #430465=DIRECTION('center_axis',(0.,0.,1.)); #430466=DIRECTION('ref_axis',(1.,0.,0.)); #430467=DIRECTION('',(0.,0.,1.)); #430468=DIRECTION('center_axis',(0.,0.,-1.)); #430469=DIRECTION('ref_axis',(1.,0.,0.)); #430470=DIRECTION('center_axis',(0.,0.,1.)); #430471=DIRECTION('ref_axis',(1.,0.,0.)); #430472=DIRECTION('center_axis',(0.,0.,1.)); #430473=DIRECTION('ref_axis',(1.,0.,0.)); #430474=DIRECTION('',(0.,0.,1.)); #430475=DIRECTION('center_axis',(0.,0.,-1.)); #430476=DIRECTION('ref_axis',(1.,0.,0.)); #430477=DIRECTION('center_axis',(0.,0.,1.)); #430478=DIRECTION('ref_axis',(1.,0.,0.)); #430479=DIRECTION('center_axis',(0.,0.,1.)); #430480=DIRECTION('ref_axis',(1.,0.,0.)); #430481=DIRECTION('',(0.,0.,1.)); #430482=DIRECTION('center_axis',(0.,0.,-1.)); #430483=DIRECTION('ref_axis',(1.,0.,0.)); #430484=DIRECTION('center_axis',(0.,0.,1.)); #430485=DIRECTION('ref_axis',(1.,0.,0.)); #430486=DIRECTION('center_axis',(0.,0.,1.)); #430487=DIRECTION('ref_axis',(1.,0.,0.)); #430488=DIRECTION('',(0.,0.,1.)); #430489=DIRECTION('center_axis',(0.,0.,-1.)); #430490=DIRECTION('ref_axis',(1.,0.,0.)); #430491=DIRECTION('center_axis',(0.,0.,1.)); #430492=DIRECTION('ref_axis',(1.,0.,0.)); #430493=DIRECTION('center_axis',(0.,0.,1.)); #430494=DIRECTION('ref_axis',(1.,0.,0.)); #430495=DIRECTION('',(0.,0.,1.)); #430496=DIRECTION('center_axis',(0.,0.,-1.)); #430497=DIRECTION('ref_axis',(1.,0.,0.)); #430498=DIRECTION('center_axis',(0.,0.,1.)); #430499=DIRECTION('ref_axis',(1.,0.,0.)); #430500=DIRECTION('center_axis',(0.,0.,1.)); #430501=DIRECTION('ref_axis',(1.,0.,0.)); #430502=DIRECTION('',(0.,0.,1.)); #430503=DIRECTION('center_axis',(0.,0.,-1.)); #430504=DIRECTION('ref_axis',(1.,0.,0.)); #430505=DIRECTION('center_axis',(0.,0.,1.)); #430506=DIRECTION('ref_axis',(1.,0.,0.)); #430507=DIRECTION('center_axis',(0.,0.,1.)); #430508=DIRECTION('ref_axis',(1.,0.,0.)); #430509=DIRECTION('',(0.,0.,1.)); #430510=DIRECTION('center_axis',(0.,0.,-1.)); #430511=DIRECTION('ref_axis',(1.,0.,0.)); #430512=DIRECTION('center_axis',(0.,0.,1.)); #430513=DIRECTION('ref_axis',(1.,0.,0.)); #430514=DIRECTION('center_axis',(0.,0.,1.)); #430515=DIRECTION('ref_axis',(1.,0.,0.)); #430516=DIRECTION('',(0.,0.,1.)); #430517=DIRECTION('center_axis',(0.,0.,-1.)); #430518=DIRECTION('ref_axis',(1.,0.,0.)); #430519=DIRECTION('center_axis',(0.,0.,1.)); #430520=DIRECTION('ref_axis',(1.,0.,0.)); #430521=DIRECTION('center_axis',(0.,0.,1.)); #430522=DIRECTION('ref_axis',(1.,0.,0.)); #430523=DIRECTION('',(0.,0.,1.)); #430524=DIRECTION('center_axis',(0.,0.,-1.)); #430525=DIRECTION('ref_axis',(1.,0.,0.)); #430526=DIRECTION('center_axis',(0.,0.,1.)); #430527=DIRECTION('ref_axis',(1.,0.,0.)); #430528=DIRECTION('center_axis',(0.,0.,1.)); #430529=DIRECTION('ref_axis',(1.,0.,0.)); #430530=DIRECTION('',(0.,0.,1.)); #430531=DIRECTION('center_axis',(0.,0.,-1.)); #430532=DIRECTION('ref_axis',(1.,0.,0.)); #430533=DIRECTION('center_axis',(0.,0.,1.)); #430534=DIRECTION('ref_axis',(1.,0.,0.)); #430535=DIRECTION('center_axis',(0.,0.,1.)); #430536=DIRECTION('ref_axis',(1.,0.,0.)); #430537=DIRECTION('',(0.,0.,1.)); #430538=DIRECTION('center_axis',(0.,0.,-1.)); #430539=DIRECTION('ref_axis',(1.,0.,0.)); #430540=DIRECTION('center_axis',(0.,0.,1.)); #430541=DIRECTION('ref_axis',(1.,0.,0.)); #430542=DIRECTION('center_axis',(0.,0.,1.)); #430543=DIRECTION('ref_axis',(1.,0.,0.)); #430544=DIRECTION('',(0.,0.,1.)); #430545=DIRECTION('center_axis',(0.,0.,-1.)); #430546=DIRECTION('ref_axis',(1.,0.,0.)); #430547=DIRECTION('center_axis',(0.,0.,1.)); #430548=DIRECTION('ref_axis',(1.,0.,0.)); #430549=DIRECTION('center_axis',(0.,0.,1.)); #430550=DIRECTION('ref_axis',(1.,0.,0.)); #430551=DIRECTION('',(0.,0.,1.)); #430552=DIRECTION('center_axis',(0.,0.,-1.)); #430553=DIRECTION('ref_axis',(1.,0.,0.)); #430554=DIRECTION('center_axis',(0.,0.,1.)); #430555=DIRECTION('ref_axis',(1.,0.,0.)); #430556=DIRECTION('center_axis',(0.,0.,1.)); #430557=DIRECTION('ref_axis',(1.,0.,0.)); #430558=DIRECTION('',(0.,0.,1.)); #430559=DIRECTION('center_axis',(0.,0.,-1.)); #430560=DIRECTION('ref_axis',(1.,0.,0.)); #430561=DIRECTION('center_axis',(0.,0.,1.)); #430562=DIRECTION('ref_axis',(1.,0.,0.)); #430563=DIRECTION('center_axis',(0.,0.,1.)); #430564=DIRECTION('ref_axis',(1.,0.,0.)); #430565=DIRECTION('',(0.,0.,1.)); #430566=DIRECTION('center_axis',(0.,0.,-1.)); #430567=DIRECTION('ref_axis',(1.,0.,0.)); #430568=DIRECTION('center_axis',(0.,0.,1.)); #430569=DIRECTION('ref_axis',(1.,0.,0.)); #430570=DIRECTION('center_axis',(0.,0.,1.)); #430571=DIRECTION('ref_axis',(1.,0.,0.)); #430572=DIRECTION('',(0.,0.,1.)); #430573=DIRECTION('center_axis',(0.,0.,-1.)); #430574=DIRECTION('ref_axis',(1.,0.,0.)); #430575=DIRECTION('center_axis',(0.,0.,1.)); #430576=DIRECTION('ref_axis',(1.,0.,0.)); #430577=DIRECTION('center_axis',(0.,0.,1.)); #430578=DIRECTION('ref_axis',(1.,0.,0.)); #430579=DIRECTION('',(0.,0.,1.)); #430580=DIRECTION('center_axis',(0.,0.,-1.)); #430581=DIRECTION('ref_axis',(1.,0.,0.)); #430582=DIRECTION('center_axis',(0.,0.,1.)); #430583=DIRECTION('ref_axis',(1.,0.,0.)); #430584=DIRECTION('center_axis',(0.,0.,1.)); #430585=DIRECTION('ref_axis',(1.,0.,0.)); #430586=DIRECTION('',(0.,0.,1.)); #430587=DIRECTION('center_axis',(0.,0.,-1.)); #430588=DIRECTION('ref_axis',(1.,0.,0.)); #430589=DIRECTION('center_axis',(0.,0.,1.)); #430590=DIRECTION('ref_axis',(1.,0.,0.)); #430591=DIRECTION('center_axis',(0.,0.,1.)); #430592=DIRECTION('ref_axis',(1.,0.,0.)); #430593=DIRECTION('',(0.,0.,1.)); #430594=DIRECTION('center_axis',(0.,0.,-1.)); #430595=DIRECTION('ref_axis',(1.,0.,0.)); #430596=DIRECTION('center_axis',(0.,0.,1.)); #430597=DIRECTION('ref_axis',(1.,0.,0.)); #430598=DIRECTION('center_axis',(0.,0.,1.)); #430599=DIRECTION('ref_axis',(1.,0.,0.)); #430600=DIRECTION('',(0.,0.,1.)); #430601=DIRECTION('center_axis',(0.,0.,-1.)); #430602=DIRECTION('ref_axis',(1.,0.,0.)); #430603=DIRECTION('center_axis',(0.,0.,1.)); #430604=DIRECTION('ref_axis',(1.,0.,0.)); #430605=DIRECTION('center_axis',(0.,0.,1.)); #430606=DIRECTION('ref_axis',(1.,0.,0.)); #430607=DIRECTION('',(0.,0.,1.)); #430608=DIRECTION('center_axis',(0.,0.,-1.)); #430609=DIRECTION('ref_axis',(1.,0.,0.)); #430610=DIRECTION('center_axis',(0.,0.,1.)); #430611=DIRECTION('ref_axis',(1.,0.,0.)); #430612=DIRECTION('center_axis',(0.,0.,1.)); #430613=DIRECTION('ref_axis',(1.,0.,0.)); #430614=DIRECTION('',(0.,0.,1.)); #430615=DIRECTION('center_axis',(0.,0.,-1.)); #430616=DIRECTION('ref_axis',(1.,0.,0.)); #430617=DIRECTION('center_axis',(0.,0.,1.)); #430618=DIRECTION('ref_axis',(1.,0.,0.)); #430619=DIRECTION('center_axis',(0.,0.,1.)); #430620=DIRECTION('ref_axis',(1.,0.,0.)); #430621=DIRECTION('',(0.,0.,1.)); #430622=DIRECTION('center_axis',(0.,0.,-1.)); #430623=DIRECTION('ref_axis',(1.,0.,0.)); #430624=DIRECTION('center_axis',(0.,0.,1.)); #430625=DIRECTION('ref_axis',(1.,0.,0.)); #430626=DIRECTION('center_axis',(0.,0.,1.)); #430627=DIRECTION('ref_axis',(1.,0.,0.)); #430628=DIRECTION('',(0.,0.,1.)); #430629=DIRECTION('center_axis',(0.,0.,-1.)); #430630=DIRECTION('ref_axis',(1.,0.,0.)); #430631=DIRECTION('center_axis',(0.,0.,1.)); #430632=DIRECTION('ref_axis',(1.,0.,0.)); #430633=DIRECTION('center_axis',(0.,0.,1.)); #430634=DIRECTION('ref_axis',(1.,0.,0.)); #430635=DIRECTION('',(0.,0.,1.)); #430636=DIRECTION('center_axis',(0.,0.,-1.)); #430637=DIRECTION('ref_axis',(1.,0.,0.)); #430638=DIRECTION('center_axis',(0.,0.,1.)); #430639=DIRECTION('ref_axis',(1.,0.,0.)); #430640=DIRECTION('center_axis',(0.,0.,1.)); #430641=DIRECTION('ref_axis',(1.,0.,0.)); #430642=DIRECTION('',(0.,0.,1.)); #430643=DIRECTION('center_axis',(0.,0.,-1.)); #430644=DIRECTION('ref_axis',(1.,0.,0.)); #430645=DIRECTION('center_axis',(0.,0.,1.)); #430646=DIRECTION('ref_axis',(1.,0.,0.)); #430647=DIRECTION('center_axis',(0.,0.,1.)); #430648=DIRECTION('ref_axis',(1.,0.,0.)); #430649=DIRECTION('',(0.,0.,1.)); #430650=DIRECTION('center_axis',(0.,0.,-1.)); #430651=DIRECTION('ref_axis',(1.,0.,0.)); #430652=DIRECTION('center_axis',(0.,0.,1.)); #430653=DIRECTION('ref_axis',(1.,0.,0.)); #430654=DIRECTION('center_axis',(0.,0.,1.)); #430655=DIRECTION('ref_axis',(1.,0.,0.)); #430656=DIRECTION('',(0.,0.,1.)); #430657=DIRECTION('center_axis',(0.,0.,-1.)); #430658=DIRECTION('ref_axis',(1.,0.,0.)); #430659=DIRECTION('center_axis',(0.,0.,1.)); #430660=DIRECTION('ref_axis',(1.,0.,0.)); #430661=DIRECTION('center_axis',(0.,0.,1.)); #430662=DIRECTION('ref_axis',(1.,0.,0.)); #430663=DIRECTION('',(0.,0.,1.)); #430664=DIRECTION('center_axis',(0.,0.,-1.)); #430665=DIRECTION('ref_axis',(1.,0.,0.)); #430666=DIRECTION('center_axis',(0.,0.,1.)); #430667=DIRECTION('ref_axis',(1.,0.,0.)); #430668=DIRECTION('center_axis',(0.,0.,1.)); #430669=DIRECTION('ref_axis',(1.,0.,0.)); #430670=DIRECTION('',(0.,0.,1.)); #430671=DIRECTION('center_axis',(0.,0.,-1.)); #430672=DIRECTION('ref_axis',(1.,0.,0.)); #430673=DIRECTION('center_axis',(0.,0.,1.)); #430674=DIRECTION('ref_axis',(1.,0.,0.)); #430675=DIRECTION('center_axis',(0.,0.,1.)); #430676=DIRECTION('ref_axis',(1.,0.,0.)); #430677=DIRECTION('',(0.,0.,1.)); #430678=DIRECTION('center_axis',(0.,0.,-1.)); #430679=DIRECTION('ref_axis',(1.,0.,0.)); #430680=DIRECTION('center_axis',(0.,0.,1.)); #430681=DIRECTION('ref_axis',(1.,0.,0.)); #430682=DIRECTION('center_axis',(0.,0.,1.)); #430683=DIRECTION('ref_axis',(1.,0.,0.)); #430684=DIRECTION('',(0.,0.,1.)); #430685=DIRECTION('center_axis',(0.,0.,-1.)); #430686=DIRECTION('ref_axis',(1.,0.,0.)); #430687=DIRECTION('center_axis',(0.,0.,1.)); #430688=DIRECTION('ref_axis',(1.,0.,0.)); #430689=DIRECTION('center_axis',(0.,0.,1.)); #430690=DIRECTION('ref_axis',(1.,0.,0.)); #430691=DIRECTION('',(0.,0.,1.)); #430692=DIRECTION('center_axis',(0.,0.,-1.)); #430693=DIRECTION('ref_axis',(1.,0.,0.)); #430694=DIRECTION('center_axis',(0.,0.,1.)); #430695=DIRECTION('ref_axis',(1.,0.,0.)); #430696=DIRECTION('center_axis',(0.,0.,1.)); #430697=DIRECTION('ref_axis',(1.,0.,0.)); #430698=DIRECTION('',(0.,0.,1.)); #430699=DIRECTION('center_axis',(0.,0.,-1.)); #430700=DIRECTION('ref_axis',(1.,0.,0.)); #430701=DIRECTION('center_axis',(0.,0.,1.)); #430702=DIRECTION('ref_axis',(1.,0.,0.)); #430703=DIRECTION('center_axis',(0.,0.,1.)); #430704=DIRECTION('ref_axis',(1.,0.,0.)); #430705=DIRECTION('',(0.,0.,1.)); #430706=DIRECTION('center_axis',(0.,0.,-1.)); #430707=DIRECTION('ref_axis',(1.,0.,0.)); #430708=DIRECTION('center_axis',(0.,0.,1.)); #430709=DIRECTION('ref_axis',(1.,0.,0.)); #430710=DIRECTION('center_axis',(0.,0.,1.)); #430711=DIRECTION('ref_axis',(1.,0.,0.)); #430712=DIRECTION('',(0.,0.,1.)); #430713=DIRECTION('center_axis',(0.,0.,-1.)); #430714=DIRECTION('ref_axis',(1.,0.,0.)); #430715=DIRECTION('center_axis',(0.,0.,1.)); #430716=DIRECTION('ref_axis',(1.,0.,0.)); #430717=DIRECTION('center_axis',(0.,0.,1.)); #430718=DIRECTION('ref_axis',(1.,0.,0.)); #430719=DIRECTION('',(0.,0.,1.)); #430720=DIRECTION('center_axis',(0.,0.,-1.)); #430721=DIRECTION('ref_axis',(1.,0.,0.)); #430722=DIRECTION('center_axis',(0.,0.,1.)); #430723=DIRECTION('ref_axis',(1.,0.,0.)); #430724=DIRECTION('center_axis',(0.,0.,1.)); #430725=DIRECTION('ref_axis',(1.,0.,0.)); #430726=DIRECTION('',(0.,0.,1.)); #430727=DIRECTION('center_axis',(0.,0.,-1.)); #430728=DIRECTION('ref_axis',(1.,0.,0.)); #430729=DIRECTION('center_axis',(0.,0.,1.)); #430730=DIRECTION('ref_axis',(1.,0.,0.)); #430731=DIRECTION('center_axis',(0.,0.,1.)); #430732=DIRECTION('ref_axis',(1.,0.,0.)); #430733=DIRECTION('',(0.,0.,1.)); #430734=DIRECTION('center_axis',(0.,0.,-1.)); #430735=DIRECTION('ref_axis',(1.,0.,0.)); #430736=DIRECTION('center_axis',(0.,0.,1.)); #430737=DIRECTION('ref_axis',(1.,0.,0.)); #430738=DIRECTION('center_axis',(0.,0.,1.)); #430739=DIRECTION('ref_axis',(1.,0.,0.)); #430740=DIRECTION('',(0.,0.,1.)); #430741=DIRECTION('center_axis',(0.,0.,-1.)); #430742=DIRECTION('ref_axis',(1.,0.,0.)); #430743=DIRECTION('center_axis',(0.,0.,1.)); #430744=DIRECTION('ref_axis',(1.,0.,0.)); #430745=DIRECTION('center_axis',(0.,0.,1.)); #430746=DIRECTION('ref_axis',(1.,0.,0.)); #430747=DIRECTION('',(0.,0.,1.)); #430748=DIRECTION('center_axis',(0.,0.,-1.)); #430749=DIRECTION('ref_axis',(1.,0.,0.)); #430750=DIRECTION('center_axis',(0.,0.,1.)); #430751=DIRECTION('ref_axis',(1.,0.,0.)); #430752=DIRECTION('center_axis',(0.,0.,1.)); #430753=DIRECTION('ref_axis',(1.,0.,0.)); #430754=DIRECTION('',(0.,0.,1.)); #430755=DIRECTION('center_axis',(0.,0.,-1.)); #430756=DIRECTION('ref_axis',(1.,0.,0.)); #430757=DIRECTION('center_axis',(0.,0.,1.)); #430758=DIRECTION('ref_axis',(1.,0.,0.)); #430759=DIRECTION('center_axis',(0.,0.,1.)); #430760=DIRECTION('ref_axis',(1.,0.,0.)); #430761=DIRECTION('',(0.,0.,1.)); #430762=DIRECTION('center_axis',(0.,0.,-1.)); #430763=DIRECTION('ref_axis',(1.,0.,0.)); #430764=DIRECTION('center_axis',(0.,0.,1.)); #430765=DIRECTION('ref_axis',(1.,0.,0.)); #430766=DIRECTION('center_axis',(0.,0.,1.)); #430767=DIRECTION('ref_axis',(1.,0.,0.)); #430768=DIRECTION('',(0.,0.,1.)); #430769=DIRECTION('center_axis',(0.,0.,-1.)); #430770=DIRECTION('ref_axis',(1.,0.,0.)); #430771=DIRECTION('center_axis',(0.,0.,1.)); #430772=DIRECTION('ref_axis',(1.,0.,0.)); #430773=DIRECTION('center_axis',(0.,0.,1.)); #430774=DIRECTION('ref_axis',(1.,0.,0.)); #430775=DIRECTION('',(0.,0.,1.)); #430776=DIRECTION('center_axis',(0.,0.,-1.)); #430777=DIRECTION('ref_axis',(1.,0.,0.)); #430778=DIRECTION('center_axis',(0.,0.,1.)); #430779=DIRECTION('ref_axis',(1.,0.,0.)); #430780=DIRECTION('center_axis',(0.,0.,1.)); #430781=DIRECTION('ref_axis',(1.,0.,0.)); #430782=DIRECTION('',(0.,0.,1.)); #430783=DIRECTION('center_axis',(0.,0.,-1.)); #430784=DIRECTION('ref_axis',(1.,0.,0.)); #430785=DIRECTION('center_axis',(0.,0.,1.)); #430786=DIRECTION('ref_axis',(1.,0.,0.)); #430787=DIRECTION('center_axis',(0.,0.,1.)); #430788=DIRECTION('ref_axis',(1.,0.,0.)); #430789=DIRECTION('',(0.,0.,1.)); #430790=DIRECTION('center_axis',(0.,0.,-1.)); #430791=DIRECTION('ref_axis',(1.,0.,0.)); #430792=DIRECTION('center_axis',(0.,0.,1.)); #430793=DIRECTION('ref_axis',(1.,0.,0.)); #430794=DIRECTION('center_axis',(0.,0.,1.)); #430795=DIRECTION('ref_axis',(1.,0.,0.)); #430796=DIRECTION('',(0.,0.,1.)); #430797=DIRECTION('center_axis',(0.,0.,-1.)); #430798=DIRECTION('ref_axis',(1.,0.,0.)); #430799=DIRECTION('center_axis',(0.,0.,1.)); #430800=DIRECTION('ref_axis',(1.,0.,0.)); #430801=DIRECTION('center_axis',(0.,0.,1.)); #430802=DIRECTION('ref_axis',(1.,0.,0.)); #430803=DIRECTION('',(0.,0.,1.)); #430804=DIRECTION('center_axis',(0.,0.,-1.)); #430805=DIRECTION('ref_axis',(1.,0.,0.)); #430806=DIRECTION('center_axis',(0.,0.,1.)); #430807=DIRECTION('ref_axis',(1.,0.,0.)); #430808=DIRECTION('center_axis',(0.,0.,1.)); #430809=DIRECTION('ref_axis',(1.,0.,0.)); #430810=DIRECTION('',(0.,0.,1.)); #430811=DIRECTION('center_axis',(0.,0.,-1.)); #430812=DIRECTION('ref_axis',(1.,0.,0.)); #430813=DIRECTION('center_axis',(0.,0.,1.)); #430814=DIRECTION('ref_axis',(1.,0.,0.)); #430815=DIRECTION('center_axis',(0.,0.,1.)); #430816=DIRECTION('ref_axis',(1.,0.,0.)); #430817=DIRECTION('',(0.,0.,1.)); #430818=DIRECTION('center_axis',(0.,0.,-1.)); #430819=DIRECTION('ref_axis',(1.,0.,0.)); #430820=DIRECTION('center_axis',(0.,0.,1.)); #430821=DIRECTION('ref_axis',(1.,0.,0.)); #430822=DIRECTION('center_axis',(0.,0.,1.)); #430823=DIRECTION('ref_axis',(1.,0.,0.)); #430824=DIRECTION('',(0.,0.,1.)); #430825=DIRECTION('center_axis',(0.,0.,-1.)); #430826=DIRECTION('ref_axis',(1.,0.,0.)); #430827=DIRECTION('center_axis',(0.,0.,1.)); #430828=DIRECTION('ref_axis',(1.,0.,0.)); #430829=DIRECTION('center_axis',(0.,0.,1.)); #430830=DIRECTION('ref_axis',(1.,0.,0.)); #430831=DIRECTION('',(0.,0.,1.)); #430832=DIRECTION('center_axis',(0.,0.,-1.)); #430833=DIRECTION('ref_axis',(1.,0.,0.)); #430834=DIRECTION('center_axis',(0.,0.,1.)); #430835=DIRECTION('ref_axis',(1.,0.,0.)); #430836=DIRECTION('center_axis',(0.,0.,1.)); #430837=DIRECTION('ref_axis',(1.,0.,0.)); #430838=DIRECTION('',(0.,0.,1.)); #430839=DIRECTION('center_axis',(0.,0.,-1.)); #430840=DIRECTION('ref_axis',(1.,0.,0.)); #430841=DIRECTION('center_axis',(0.,0.,1.)); #430842=DIRECTION('ref_axis',(1.,0.,0.)); #430843=DIRECTION('center_axis',(0.,0.,1.)); #430844=DIRECTION('ref_axis',(1.,0.,0.)); #430845=DIRECTION('',(0.,0.,1.)); #430846=DIRECTION('center_axis',(0.,0.,-1.)); #430847=DIRECTION('ref_axis',(1.,0.,0.)); #430848=DIRECTION('center_axis',(0.,0.,1.)); #430849=DIRECTION('ref_axis',(1.,0.,0.)); #430850=DIRECTION('center_axis',(0.,0.,1.)); #430851=DIRECTION('ref_axis',(1.,0.,0.)); #430852=DIRECTION('',(0.,0.,1.)); #430853=DIRECTION('center_axis',(0.,0.,-1.)); #430854=DIRECTION('ref_axis',(1.,0.,0.)); #430855=DIRECTION('center_axis',(0.,0.,1.)); #430856=DIRECTION('ref_axis',(1.,0.,0.)); #430857=DIRECTION('center_axis',(0.,0.,1.)); #430858=DIRECTION('ref_axis',(1.,0.,0.)); #430859=DIRECTION('',(0.,0.,1.)); #430860=DIRECTION('center_axis',(0.,0.,-1.)); #430861=DIRECTION('ref_axis',(1.,0.,0.)); #430862=DIRECTION('center_axis',(0.,0.,1.)); #430863=DIRECTION('ref_axis',(1.,0.,0.)); #430864=DIRECTION('center_axis',(0.,0.,1.)); #430865=DIRECTION('ref_axis',(1.,0.,0.)); #430866=DIRECTION('',(0.,0.,1.)); #430867=DIRECTION('center_axis',(0.,0.,-1.)); #430868=DIRECTION('ref_axis',(1.,0.,0.)); #430869=DIRECTION('center_axis',(0.,0.,1.)); #430870=DIRECTION('ref_axis',(1.,0.,0.)); #430871=DIRECTION('center_axis',(0.,0.,1.)); #430872=DIRECTION('ref_axis',(1.,0.,0.)); #430873=DIRECTION('',(0.,0.,1.)); #430874=DIRECTION('center_axis',(0.,0.,-1.)); #430875=DIRECTION('ref_axis',(1.,0.,0.)); #430876=DIRECTION('center_axis',(0.,0.,1.)); #430877=DIRECTION('ref_axis',(1.,0.,0.)); #430878=DIRECTION('center_axis',(0.,0.,1.)); #430879=DIRECTION('ref_axis',(1.,0.,0.)); #430880=DIRECTION('',(0.,0.,1.)); #430881=DIRECTION('center_axis',(0.,0.,-1.)); #430882=DIRECTION('ref_axis',(1.,0.,0.)); #430883=DIRECTION('center_axis',(0.,0.,1.)); #430884=DIRECTION('ref_axis',(1.,0.,0.)); #430885=DIRECTION('center_axis',(0.,0.,1.)); #430886=DIRECTION('ref_axis',(1.,0.,0.)); #430887=DIRECTION('',(0.,0.,1.)); #430888=DIRECTION('center_axis',(0.,0.,-1.)); #430889=DIRECTION('ref_axis',(1.,0.,0.)); #430890=DIRECTION('center_axis',(0.,0.,1.)); #430891=DIRECTION('ref_axis',(1.,0.,0.)); #430892=DIRECTION('center_axis',(0.,0.,1.)); #430893=DIRECTION('ref_axis',(1.,0.,0.)); #430894=DIRECTION('',(0.,0.,1.)); #430895=DIRECTION('center_axis',(0.,0.,-1.)); #430896=DIRECTION('ref_axis',(1.,0.,0.)); #430897=DIRECTION('center_axis',(0.,0.,1.)); #430898=DIRECTION('ref_axis',(1.,0.,0.)); #430899=DIRECTION('center_axis',(0.,0.,1.)); #430900=DIRECTION('ref_axis',(1.,0.,0.)); #430901=DIRECTION('',(0.,0.,1.)); #430902=DIRECTION('center_axis',(0.,0.,-1.)); #430903=DIRECTION('ref_axis',(1.,0.,0.)); #430904=DIRECTION('center_axis',(0.,0.,1.)); #430905=DIRECTION('ref_axis',(1.,0.,0.)); #430906=DIRECTION('center_axis',(0.,0.,1.)); #430907=DIRECTION('ref_axis',(1.,0.,0.)); #430908=DIRECTION('',(0.,0.,1.)); #430909=DIRECTION('center_axis',(0.,0.,-1.)); #430910=DIRECTION('ref_axis',(1.,0.,0.)); #430911=DIRECTION('center_axis',(0.,0.,1.)); #430912=DIRECTION('ref_axis',(1.,0.,0.)); #430913=DIRECTION('center_axis',(0.,0.,1.)); #430914=DIRECTION('ref_axis',(1.,0.,0.)); #430915=DIRECTION('',(0.,0.,1.)); #430916=DIRECTION('center_axis',(0.,0.,-1.)); #430917=DIRECTION('ref_axis',(1.,0.,0.)); #430918=DIRECTION('center_axis',(0.,0.,1.)); #430919=DIRECTION('ref_axis',(1.,0.,0.)); #430920=DIRECTION('center_axis',(0.,0.,1.)); #430921=DIRECTION('ref_axis',(1.,0.,0.)); #430922=DIRECTION('',(0.,0.,1.)); #430923=DIRECTION('center_axis',(0.,0.,-1.)); #430924=DIRECTION('ref_axis',(1.,0.,0.)); #430925=DIRECTION('center_axis',(0.,0.,1.)); #430926=DIRECTION('ref_axis',(1.,0.,0.)); #430927=DIRECTION('center_axis',(0.,0.,1.)); #430928=DIRECTION('ref_axis',(1.,0.,0.)); #430929=DIRECTION('',(0.,0.,1.)); #430930=DIRECTION('center_axis',(0.,0.,-1.)); #430931=DIRECTION('ref_axis',(1.,0.,0.)); #430932=DIRECTION('center_axis',(0.,0.,1.)); #430933=DIRECTION('ref_axis',(1.,0.,0.)); #430934=DIRECTION('center_axis',(0.,0.,1.)); #430935=DIRECTION('ref_axis',(1.,0.,0.)); #430936=DIRECTION('',(0.,0.,1.)); #430937=DIRECTION('center_axis',(0.,0.,-1.)); #430938=DIRECTION('ref_axis',(1.,0.,0.)); #430939=DIRECTION('center_axis',(0.,0.,1.)); #430940=DIRECTION('ref_axis',(1.,0.,0.)); #430941=DIRECTION('center_axis',(0.,0.,1.)); #430942=DIRECTION('ref_axis',(1.,0.,0.)); #430943=DIRECTION('',(0.,0.,1.)); #430944=DIRECTION('center_axis',(0.,0.,-1.)); #430945=DIRECTION('ref_axis',(1.,0.,0.)); #430946=DIRECTION('center_axis',(0.,0.,1.)); #430947=DIRECTION('ref_axis',(1.,0.,0.)); #430948=DIRECTION('center_axis',(0.,0.,1.)); #430949=DIRECTION('ref_axis',(1.,0.,0.)); #430950=DIRECTION('',(0.,0.,1.)); #430951=DIRECTION('center_axis',(0.,0.,-1.)); #430952=DIRECTION('ref_axis',(1.,0.,0.)); #430953=DIRECTION('center_axis',(0.,0.,1.)); #430954=DIRECTION('ref_axis',(1.,0.,0.)); #430955=DIRECTION('center_axis',(0.,0.,1.)); #430956=DIRECTION('ref_axis',(1.,0.,0.)); #430957=DIRECTION('',(0.,0.,1.)); #430958=DIRECTION('center_axis',(0.,0.,-1.)); #430959=DIRECTION('ref_axis',(1.,0.,0.)); #430960=DIRECTION('center_axis',(0.,0.,1.)); #430961=DIRECTION('ref_axis',(1.,0.,0.)); #430962=DIRECTION('center_axis',(0.,0.,1.)); #430963=DIRECTION('ref_axis',(1.,0.,0.)); #430964=DIRECTION('',(0.,0.,1.)); #430965=DIRECTION('center_axis',(0.,0.,-1.)); #430966=DIRECTION('ref_axis',(1.,0.,0.)); #430967=DIRECTION('center_axis',(0.,0.,1.)); #430968=DIRECTION('ref_axis',(1.,0.,0.)); #430969=DIRECTION('center_axis',(0.,0.,1.)); #430970=DIRECTION('ref_axis',(1.,0.,0.)); #430971=DIRECTION('',(0.,0.,1.)); #430972=DIRECTION('center_axis',(0.,0.,-1.)); #430973=DIRECTION('ref_axis',(1.,0.,0.)); #430974=DIRECTION('center_axis',(0.,0.,1.)); #430975=DIRECTION('ref_axis',(1.,0.,0.)); #430976=DIRECTION('center_axis',(0.,0.,1.)); #430977=DIRECTION('ref_axis',(1.,0.,0.)); #430978=DIRECTION('',(0.,0.,1.)); #430979=DIRECTION('center_axis',(0.,0.,-1.)); #430980=DIRECTION('ref_axis',(1.,0.,0.)); #430981=DIRECTION('center_axis',(0.,0.,1.)); #430982=DIRECTION('ref_axis',(1.,0.,0.)); #430983=DIRECTION('center_axis',(0.,0.,1.)); #430984=DIRECTION('ref_axis',(1.,0.,0.)); #430985=DIRECTION('',(0.,0.,1.)); #430986=DIRECTION('center_axis',(0.,0.,-1.)); #430987=DIRECTION('ref_axis',(1.,0.,0.)); #430988=DIRECTION('center_axis',(0.,0.,1.)); #430989=DIRECTION('ref_axis',(1.,0.,0.)); #430990=DIRECTION('center_axis',(0.,0.,1.)); #430991=DIRECTION('ref_axis',(1.,0.,0.)); #430992=DIRECTION('',(0.,0.,1.)); #430993=DIRECTION('center_axis',(0.,0.,-1.)); #430994=DIRECTION('ref_axis',(1.,0.,0.)); #430995=DIRECTION('center_axis',(0.,0.,1.)); #430996=DIRECTION('ref_axis',(1.,0.,0.)); #430997=DIRECTION('center_axis',(0.,0.,1.)); #430998=DIRECTION('ref_axis',(1.,0.,0.)); #430999=DIRECTION('',(0.,0.,1.)); #431000=DIRECTION('center_axis',(0.,0.,-1.)); #431001=DIRECTION('ref_axis',(1.,0.,0.)); #431002=DIRECTION('center_axis',(0.,0.,1.)); #431003=DIRECTION('ref_axis',(1.,0.,0.)); #431004=DIRECTION('center_axis',(0.,0.,1.)); #431005=DIRECTION('ref_axis',(1.,0.,0.)); #431006=DIRECTION('',(0.,0.,1.)); #431007=DIRECTION('center_axis',(0.,0.,-1.)); #431008=DIRECTION('ref_axis',(1.,0.,0.)); #431009=DIRECTION('center_axis',(0.,0.,1.)); #431010=DIRECTION('ref_axis',(1.,0.,0.)); #431011=DIRECTION('center_axis',(0.,0.,1.)); #431012=DIRECTION('ref_axis',(1.,0.,0.)); #431013=DIRECTION('',(0.,0.,1.)); #431014=DIRECTION('center_axis',(0.,0.,-1.)); #431015=DIRECTION('ref_axis',(1.,0.,0.)); #431016=DIRECTION('center_axis',(0.,0.,1.)); #431017=DIRECTION('ref_axis',(1.,0.,0.)); #431018=DIRECTION('center_axis',(0.,0.,1.)); #431019=DIRECTION('ref_axis',(1.,0.,0.)); #431020=DIRECTION('',(0.,0.,1.)); #431021=DIRECTION('center_axis',(0.,0.,-1.)); #431022=DIRECTION('ref_axis',(1.,0.,0.)); #431023=DIRECTION('center_axis',(0.,0.,1.)); #431024=DIRECTION('ref_axis',(1.,0.,0.)); #431025=DIRECTION('center_axis',(0.,0.,1.)); #431026=DIRECTION('ref_axis',(1.,0.,0.)); #431027=DIRECTION('',(0.,0.,1.)); #431028=DIRECTION('center_axis',(0.,0.,-1.)); #431029=DIRECTION('ref_axis',(1.,0.,0.)); #431030=DIRECTION('center_axis',(0.,0.,1.)); #431031=DIRECTION('ref_axis',(1.,0.,0.)); #431032=DIRECTION('center_axis',(0.,0.,1.)); #431033=DIRECTION('ref_axis',(1.,0.,0.)); #431034=DIRECTION('',(0.,0.,1.)); #431035=DIRECTION('center_axis',(0.,0.,-1.)); #431036=DIRECTION('ref_axis',(1.,0.,0.)); #431037=DIRECTION('center_axis',(0.,0.,1.)); #431038=DIRECTION('ref_axis',(1.,0.,0.)); #431039=DIRECTION('center_axis',(0.,0.,1.)); #431040=DIRECTION('ref_axis',(1.,0.,0.)); #431041=DIRECTION('',(0.,0.,1.)); #431042=DIRECTION('center_axis',(0.,0.,-1.)); #431043=DIRECTION('ref_axis',(1.,0.,0.)); #431044=DIRECTION('center_axis',(0.,0.,1.)); #431045=DIRECTION('ref_axis',(1.,0.,0.)); #431046=DIRECTION('center_axis',(0.,0.,1.)); #431047=DIRECTION('ref_axis',(1.,0.,0.)); #431048=DIRECTION('',(0.,0.,1.)); #431049=DIRECTION('center_axis',(0.,0.,-1.)); #431050=DIRECTION('ref_axis',(1.,0.,0.)); #431051=DIRECTION('center_axis',(0.,0.,1.)); #431052=DIRECTION('ref_axis',(1.,0.,0.)); #431053=DIRECTION('center_axis',(0.,0.,1.)); #431054=DIRECTION('ref_axis',(1.,0.,0.)); #431055=DIRECTION('',(0.,0.,1.)); #431056=DIRECTION('center_axis',(0.,0.,-1.)); #431057=DIRECTION('ref_axis',(1.,0.,0.)); #431058=DIRECTION('center_axis',(0.,0.,1.)); #431059=DIRECTION('ref_axis',(1.,0.,0.)); #431060=DIRECTION('center_axis',(0.,0.,1.)); #431061=DIRECTION('ref_axis',(1.,0.,0.)); #431062=DIRECTION('',(0.,0.,1.)); #431063=DIRECTION('center_axis',(0.,0.,-1.)); #431064=DIRECTION('ref_axis',(1.,0.,0.)); #431065=DIRECTION('center_axis',(0.,0.,1.)); #431066=DIRECTION('ref_axis',(1.,0.,0.)); #431067=DIRECTION('center_axis',(0.,0.,1.)); #431068=DIRECTION('ref_axis',(1.,0.,0.)); #431069=DIRECTION('',(0.,0.,1.)); #431070=DIRECTION('center_axis',(0.,0.,-1.)); #431071=DIRECTION('ref_axis',(1.,0.,0.)); #431072=DIRECTION('center_axis',(0.,0.,1.)); #431073=DIRECTION('ref_axis',(1.,0.,0.)); #431074=DIRECTION('center_axis',(0.,0.,1.)); #431075=DIRECTION('ref_axis',(1.,0.,0.)); #431076=DIRECTION('',(0.,0.,1.)); #431077=DIRECTION('center_axis',(0.,0.,-1.)); #431078=DIRECTION('ref_axis',(1.,0.,0.)); #431079=DIRECTION('center_axis',(0.,0.,1.)); #431080=DIRECTION('ref_axis',(1.,0.,0.)); #431081=DIRECTION('center_axis',(0.,0.,1.)); #431082=DIRECTION('ref_axis',(1.,0.,0.)); #431083=DIRECTION('',(0.,0.,1.)); #431084=DIRECTION('center_axis',(0.,0.,-1.)); #431085=DIRECTION('ref_axis',(1.,0.,0.)); #431086=DIRECTION('center_axis',(0.,0.,1.)); #431087=DIRECTION('ref_axis',(1.,0.,0.)); #431088=DIRECTION('center_axis',(0.,0.,1.)); #431089=DIRECTION('ref_axis',(1.,0.,0.)); #431090=DIRECTION('',(0.,0.,1.)); #431091=DIRECTION('center_axis',(0.,0.,-1.)); #431092=DIRECTION('ref_axis',(1.,0.,0.)); #431093=DIRECTION('center_axis',(0.,0.,1.)); #431094=DIRECTION('ref_axis',(1.,0.,0.)); #431095=DIRECTION('center_axis',(0.,0.,1.)); #431096=DIRECTION('ref_axis',(1.,0.,0.)); #431097=DIRECTION('',(0.,0.,1.)); #431098=DIRECTION('center_axis',(0.,0.,-1.)); #431099=DIRECTION('ref_axis',(1.,0.,0.)); #431100=DIRECTION('center_axis',(0.,0.,1.)); #431101=DIRECTION('ref_axis',(1.,0.,0.)); #431102=DIRECTION('center_axis',(0.,0.,1.)); #431103=DIRECTION('ref_axis',(1.,0.,0.)); #431104=DIRECTION('',(0.,0.,1.)); #431105=DIRECTION('center_axis',(0.,0.,-1.)); #431106=DIRECTION('ref_axis',(1.,0.,0.)); #431107=DIRECTION('center_axis',(0.,0.,1.)); #431108=DIRECTION('ref_axis',(1.,0.,0.)); #431109=DIRECTION('center_axis',(0.,0.,1.)); #431110=DIRECTION('ref_axis',(1.,0.,0.)); #431111=DIRECTION('',(0.,0.,1.)); #431112=DIRECTION('center_axis',(0.,0.,-1.)); #431113=DIRECTION('ref_axis',(1.,0.,0.)); #431114=DIRECTION('center_axis',(0.,0.,1.)); #431115=DIRECTION('ref_axis',(1.,0.,0.)); #431116=DIRECTION('center_axis',(0.,0.,1.)); #431117=DIRECTION('ref_axis',(1.,0.,0.)); #431118=DIRECTION('',(0.,0.,1.)); #431119=DIRECTION('center_axis',(0.,0.,-1.)); #431120=DIRECTION('ref_axis',(1.,0.,0.)); #431121=DIRECTION('center_axis',(0.,0.,1.)); #431122=DIRECTION('ref_axis',(1.,0.,0.)); #431123=DIRECTION('center_axis',(0.,0.,1.)); #431124=DIRECTION('ref_axis',(1.,0.,0.)); #431125=DIRECTION('',(0.,0.,1.)); #431126=DIRECTION('center_axis',(0.,0.,-1.)); #431127=DIRECTION('ref_axis',(1.,0.,0.)); #431128=DIRECTION('center_axis',(0.,0.,1.)); #431129=DIRECTION('ref_axis',(1.,0.,0.)); #431130=DIRECTION('center_axis',(0.,0.,1.)); #431131=DIRECTION('ref_axis',(1.,0.,0.)); #431132=DIRECTION('',(0.,0.,1.)); #431133=DIRECTION('center_axis',(0.,0.,-1.)); #431134=DIRECTION('ref_axis',(1.,0.,0.)); #431135=DIRECTION('center_axis',(0.,0.,1.)); #431136=DIRECTION('ref_axis',(1.,0.,0.)); #431137=DIRECTION('center_axis',(0.,0.,1.)); #431138=DIRECTION('ref_axis',(1.,0.,0.)); #431139=DIRECTION('',(0.,0.,1.)); #431140=DIRECTION('center_axis',(0.,0.,-1.)); #431141=DIRECTION('ref_axis',(1.,0.,0.)); #431142=DIRECTION('center_axis',(0.,0.,1.)); #431143=DIRECTION('ref_axis',(1.,0.,0.)); #431144=DIRECTION('center_axis',(0.,0.,1.)); #431145=DIRECTION('ref_axis',(1.,0.,0.)); #431146=DIRECTION('',(0.,0.,1.)); #431147=DIRECTION('center_axis',(0.,0.,-1.)); #431148=DIRECTION('ref_axis',(1.,0.,0.)); #431149=DIRECTION('center_axis',(0.,0.,1.)); #431150=DIRECTION('ref_axis',(1.,0.,0.)); #431151=DIRECTION('center_axis',(0.,0.,1.)); #431152=DIRECTION('ref_axis',(1.,0.,0.)); #431153=DIRECTION('',(0.,0.,1.)); #431154=DIRECTION('center_axis',(0.,0.,-1.)); #431155=DIRECTION('ref_axis',(1.,0.,0.)); #431156=DIRECTION('center_axis',(0.,0.,1.)); #431157=DIRECTION('ref_axis',(1.,0.,0.)); #431158=DIRECTION('center_axis',(0.,0.,1.)); #431159=DIRECTION('ref_axis',(1.,0.,0.)); #431160=DIRECTION('',(0.,0.,1.)); #431161=DIRECTION('center_axis',(0.,0.,-1.)); #431162=DIRECTION('ref_axis',(1.,0.,0.)); #431163=DIRECTION('center_axis',(0.,0.,1.)); #431164=DIRECTION('ref_axis',(1.,0.,0.)); #431165=DIRECTION('center_axis',(0.,0.,1.)); #431166=DIRECTION('ref_axis',(1.,0.,0.)); #431167=DIRECTION('',(0.,0.,1.)); #431168=DIRECTION('center_axis',(0.,0.,-1.)); #431169=DIRECTION('ref_axis',(1.,0.,0.)); #431170=DIRECTION('center_axis',(0.,0.,1.)); #431171=DIRECTION('ref_axis',(1.,0.,0.)); #431172=DIRECTION('center_axis',(0.,0.,1.)); #431173=DIRECTION('ref_axis',(1.,0.,0.)); #431174=DIRECTION('',(0.,0.,1.)); #431175=DIRECTION('center_axis',(0.,0.,-1.)); #431176=DIRECTION('ref_axis',(1.,0.,0.)); #431177=DIRECTION('center_axis',(0.,0.,1.)); #431178=DIRECTION('ref_axis',(1.,0.,0.)); #431179=DIRECTION('center_axis',(0.,0.,1.)); #431180=DIRECTION('ref_axis',(1.,0.,0.)); #431181=DIRECTION('',(0.,0.,1.)); #431182=DIRECTION('center_axis',(0.,0.,-1.)); #431183=DIRECTION('ref_axis',(1.,0.,0.)); #431184=DIRECTION('center_axis',(0.,0.,1.)); #431185=DIRECTION('ref_axis',(1.,0.,0.)); #431186=DIRECTION('center_axis',(0.,0.,1.)); #431187=DIRECTION('ref_axis',(1.,0.,0.)); #431188=DIRECTION('',(0.,0.,1.)); #431189=DIRECTION('center_axis',(0.,0.,-1.)); #431190=DIRECTION('ref_axis',(1.,0.,0.)); #431191=DIRECTION('center_axis',(0.,0.,1.)); #431192=DIRECTION('ref_axis',(1.,0.,0.)); #431193=DIRECTION('center_axis',(0.,0.,1.)); #431194=DIRECTION('ref_axis',(1.,0.,0.)); #431195=DIRECTION('',(0.,0.,1.)); #431196=DIRECTION('center_axis',(0.,0.,-1.)); #431197=DIRECTION('ref_axis',(1.,0.,0.)); #431198=DIRECTION('center_axis',(0.,0.,1.)); #431199=DIRECTION('ref_axis',(1.,0.,0.)); #431200=DIRECTION('center_axis',(0.,0.,1.)); #431201=DIRECTION('ref_axis',(1.,0.,0.)); #431202=DIRECTION('',(0.,0.,1.)); #431203=DIRECTION('center_axis',(0.,0.,-1.)); #431204=DIRECTION('ref_axis',(1.,0.,0.)); #431205=DIRECTION('center_axis',(0.,0.,1.)); #431206=DIRECTION('ref_axis',(1.,0.,0.)); #431207=DIRECTION('center_axis',(0.,0.,1.)); #431208=DIRECTION('ref_axis',(1.,0.,0.)); #431209=DIRECTION('',(0.,0.,1.)); #431210=DIRECTION('center_axis',(0.,0.,-1.)); #431211=DIRECTION('ref_axis',(1.,0.,0.)); #431212=DIRECTION('center_axis',(0.,0.,1.)); #431213=DIRECTION('ref_axis',(1.,0.,0.)); #431214=DIRECTION('center_axis',(0.,0.,1.)); #431215=DIRECTION('ref_axis',(1.,0.,0.)); #431216=DIRECTION('',(0.,0.,1.)); #431217=DIRECTION('center_axis',(0.,0.,-1.)); #431218=DIRECTION('ref_axis',(1.,0.,0.)); #431219=DIRECTION('center_axis',(0.,0.,1.)); #431220=DIRECTION('ref_axis',(1.,0.,0.)); #431221=DIRECTION('center_axis',(0.,0.,1.)); #431222=DIRECTION('ref_axis',(1.,0.,0.)); #431223=DIRECTION('',(0.,0.,1.)); #431224=DIRECTION('center_axis',(0.,0.,-1.)); #431225=DIRECTION('ref_axis',(1.,0.,0.)); #431226=DIRECTION('center_axis',(0.,0.,1.)); #431227=DIRECTION('ref_axis',(1.,0.,0.)); #431228=DIRECTION('center_axis',(0.,0.,1.)); #431229=DIRECTION('ref_axis',(1.,0.,0.)); #431230=DIRECTION('',(0.,0.,1.)); #431231=DIRECTION('center_axis',(0.,0.,-1.)); #431232=DIRECTION('ref_axis',(1.,0.,0.)); #431233=DIRECTION('center_axis',(0.,0.,1.)); #431234=DIRECTION('ref_axis',(1.,0.,0.)); #431235=DIRECTION('center_axis',(0.,0.,1.)); #431236=DIRECTION('ref_axis',(1.,0.,0.)); #431237=DIRECTION('',(0.,0.,1.)); #431238=DIRECTION('center_axis',(0.,0.,-1.)); #431239=DIRECTION('ref_axis',(1.,0.,0.)); #431240=DIRECTION('center_axis',(0.,0.,1.)); #431241=DIRECTION('ref_axis',(1.,0.,0.)); #431242=DIRECTION('center_axis',(0.,0.,1.)); #431243=DIRECTION('ref_axis',(1.,0.,0.)); #431244=DIRECTION('',(0.,0.,1.)); #431245=DIRECTION('center_axis',(0.,0.,-1.)); #431246=DIRECTION('ref_axis',(1.,0.,0.)); #431247=DIRECTION('center_axis',(0.,0.,1.)); #431248=DIRECTION('ref_axis',(1.,0.,0.)); #431249=DIRECTION('center_axis',(0.,0.,1.)); #431250=DIRECTION('ref_axis',(1.,0.,0.)); #431251=DIRECTION('',(0.,0.,1.)); #431252=DIRECTION('center_axis',(0.,0.,-1.)); #431253=DIRECTION('ref_axis',(1.,0.,0.)); #431254=DIRECTION('center_axis',(0.,0.,1.)); #431255=DIRECTION('ref_axis',(1.,0.,0.)); #431256=DIRECTION('center_axis',(0.,0.,1.)); #431257=DIRECTION('ref_axis',(1.,0.,0.)); #431258=DIRECTION('',(0.,0.,1.)); #431259=DIRECTION('center_axis',(0.,0.,-1.)); #431260=DIRECTION('ref_axis',(1.,0.,0.)); #431261=DIRECTION('center_axis',(0.,0.,1.)); #431262=DIRECTION('ref_axis',(1.,0.,0.)); #431263=DIRECTION('center_axis',(0.,0.,1.)); #431264=DIRECTION('ref_axis',(1.,0.,0.)); #431265=DIRECTION('',(0.,0.,1.)); #431266=DIRECTION('center_axis',(0.,0.,-1.)); #431267=DIRECTION('ref_axis',(1.,0.,0.)); #431268=DIRECTION('center_axis',(0.,0.,1.)); #431269=DIRECTION('ref_axis',(1.,0.,0.)); #431270=DIRECTION('center_axis',(0.,0.,1.)); #431271=DIRECTION('ref_axis',(1.,0.,0.)); #431272=DIRECTION('',(0.,0.,1.)); #431273=DIRECTION('center_axis',(0.,0.,-1.)); #431274=DIRECTION('ref_axis',(1.,0.,0.)); #431275=DIRECTION('center_axis',(0.,0.,1.)); #431276=DIRECTION('ref_axis',(1.,0.,0.)); #431277=DIRECTION('center_axis',(0.,0.,1.)); #431278=DIRECTION('ref_axis',(1.,0.,0.)); #431279=DIRECTION('',(0.,0.,1.)); #431280=DIRECTION('center_axis',(0.,0.,-1.)); #431281=DIRECTION('ref_axis',(1.,0.,0.)); #431282=DIRECTION('center_axis',(0.,0.,1.)); #431283=DIRECTION('ref_axis',(1.,0.,0.)); #431284=DIRECTION('center_axis',(0.,0.,1.)); #431285=DIRECTION('ref_axis',(1.,0.,0.)); #431286=DIRECTION('',(0.,0.,1.)); #431287=DIRECTION('center_axis',(0.,0.,-1.)); #431288=DIRECTION('ref_axis',(1.,0.,0.)); #431289=DIRECTION('center_axis',(0.,0.,1.)); #431290=DIRECTION('ref_axis',(1.,0.,0.)); #431291=DIRECTION('center_axis',(0.,0.,1.)); #431292=DIRECTION('ref_axis',(1.,0.,0.)); #431293=DIRECTION('',(0.,0.,1.)); #431294=DIRECTION('center_axis',(0.,0.,-1.)); #431295=DIRECTION('ref_axis',(1.,0.,0.)); #431296=DIRECTION('center_axis',(0.,0.,1.)); #431297=DIRECTION('ref_axis',(1.,0.,0.)); #431298=DIRECTION('center_axis',(0.,0.,1.)); #431299=DIRECTION('ref_axis',(1.,0.,0.)); #431300=DIRECTION('',(0.,0.,1.)); #431301=DIRECTION('center_axis',(0.,0.,-1.)); #431302=DIRECTION('ref_axis',(1.,0.,0.)); #431303=DIRECTION('center_axis',(0.,0.,1.)); #431304=DIRECTION('ref_axis',(1.,0.,0.)); #431305=DIRECTION('center_axis',(0.,0.,1.)); #431306=DIRECTION('ref_axis',(1.,0.,0.)); #431307=DIRECTION('',(0.,0.,1.)); #431308=DIRECTION('center_axis',(0.,0.,-1.)); #431309=DIRECTION('ref_axis',(1.,0.,0.)); #431310=DIRECTION('center_axis',(0.,0.,1.)); #431311=DIRECTION('ref_axis',(1.,0.,0.)); #431312=DIRECTION('center_axis',(0.,0.,1.)); #431313=DIRECTION('ref_axis',(1.,0.,0.)); #431314=DIRECTION('',(0.,0.,1.)); #431315=DIRECTION('center_axis',(0.,0.,-1.)); #431316=DIRECTION('ref_axis',(1.,0.,0.)); #431317=DIRECTION('center_axis',(0.,0.,1.)); #431318=DIRECTION('ref_axis',(1.,0.,0.)); #431319=DIRECTION('center_axis',(0.,0.,1.)); #431320=DIRECTION('ref_axis',(1.,0.,0.)); #431321=DIRECTION('',(0.,0.,1.)); #431322=DIRECTION('center_axis',(0.,0.,-1.)); #431323=DIRECTION('ref_axis',(1.,0.,0.)); #431324=DIRECTION('center_axis',(0.,0.,1.)); #431325=DIRECTION('ref_axis',(1.,0.,0.)); #431326=DIRECTION('center_axis',(0.,0.,1.)); #431327=DIRECTION('ref_axis',(1.,0.,0.)); #431328=DIRECTION('',(0.,0.,1.)); #431329=DIRECTION('center_axis',(0.,0.,-1.)); #431330=DIRECTION('ref_axis',(1.,0.,0.)); #431331=DIRECTION('center_axis',(0.,0.,1.)); #431332=DIRECTION('ref_axis',(1.,0.,0.)); #431333=DIRECTION('center_axis',(0.,0.,1.)); #431334=DIRECTION('ref_axis',(1.,0.,0.)); #431335=DIRECTION('',(0.,0.,1.)); #431336=DIRECTION('center_axis',(0.,0.,-1.)); #431337=DIRECTION('ref_axis',(1.,0.,0.)); #431338=DIRECTION('center_axis',(0.,0.,1.)); #431339=DIRECTION('ref_axis',(1.,0.,0.)); #431340=DIRECTION('center_axis',(0.,0.,1.)); #431341=DIRECTION('ref_axis',(1.,0.,0.)); #431342=DIRECTION('',(0.,0.,1.)); #431343=DIRECTION('center_axis',(0.,0.,-1.)); #431344=DIRECTION('ref_axis',(1.,0.,0.)); #431345=DIRECTION('center_axis',(0.,0.,1.)); #431346=DIRECTION('ref_axis',(1.,0.,0.)); #431347=DIRECTION('center_axis',(0.,0.,1.)); #431348=DIRECTION('ref_axis',(1.,0.,0.)); #431349=DIRECTION('',(0.,0.,1.)); #431350=DIRECTION('center_axis',(0.,0.,-1.)); #431351=DIRECTION('ref_axis',(1.,0.,0.)); #431352=DIRECTION('center_axis',(0.,0.,1.)); #431353=DIRECTION('ref_axis',(1.,0.,0.)); #431354=DIRECTION('center_axis',(0.,0.,1.)); #431355=DIRECTION('ref_axis',(1.,0.,0.)); #431356=DIRECTION('',(0.,0.,1.)); #431357=DIRECTION('center_axis',(0.,0.,-1.)); #431358=DIRECTION('ref_axis',(1.,0.,0.)); #431359=DIRECTION('center_axis',(0.,0.,1.)); #431360=DIRECTION('ref_axis',(1.,0.,0.)); #431361=DIRECTION('center_axis',(0.,0.,1.)); #431362=DIRECTION('ref_axis',(1.,0.,0.)); #431363=DIRECTION('',(0.,0.,1.)); #431364=DIRECTION('center_axis',(0.,0.,-1.)); #431365=DIRECTION('ref_axis',(1.,0.,0.)); #431366=DIRECTION('center_axis',(0.,0.,1.)); #431367=DIRECTION('ref_axis',(1.,0.,0.)); #431368=DIRECTION('center_axis',(0.,0.,1.)); #431369=DIRECTION('ref_axis',(1.,0.,0.)); #431370=DIRECTION('',(0.,0.,1.)); #431371=DIRECTION('center_axis',(0.,0.,-1.)); #431372=DIRECTION('ref_axis',(1.,0.,0.)); #431373=DIRECTION('center_axis',(0.,0.,1.)); #431374=DIRECTION('ref_axis',(1.,0.,0.)); #431375=DIRECTION('center_axis',(0.,0.,1.)); #431376=DIRECTION('ref_axis',(1.,0.,0.)); #431377=DIRECTION('',(0.,0.,1.)); #431378=DIRECTION('center_axis',(0.,0.,-1.)); #431379=DIRECTION('ref_axis',(1.,0.,0.)); #431380=DIRECTION('center_axis',(0.,0.,1.)); #431381=DIRECTION('ref_axis',(1.,0.,0.)); #431382=DIRECTION('center_axis',(0.,0.,1.)); #431383=DIRECTION('ref_axis',(1.,0.,0.)); #431384=DIRECTION('',(0.,0.,1.)); #431385=DIRECTION('center_axis',(0.,0.,-1.)); #431386=DIRECTION('ref_axis',(1.,0.,0.)); #431387=DIRECTION('center_axis',(0.,0.,1.)); #431388=DIRECTION('ref_axis',(1.,0.,0.)); #431389=DIRECTION('center_axis',(0.,0.,1.)); #431390=DIRECTION('ref_axis',(1.,0.,0.)); #431391=DIRECTION('',(0.,0.,1.)); #431392=DIRECTION('center_axis',(0.,0.,-1.)); #431393=DIRECTION('ref_axis',(1.,0.,0.)); #431394=DIRECTION('center_axis',(0.,0.,1.)); #431395=DIRECTION('ref_axis',(1.,0.,0.)); #431396=DIRECTION('center_axis',(0.,0.,1.)); #431397=DIRECTION('ref_axis',(1.,0.,0.)); #431398=DIRECTION('',(0.,0.,1.)); #431399=DIRECTION('center_axis',(0.,0.,-1.)); #431400=DIRECTION('ref_axis',(1.,0.,0.)); #431401=DIRECTION('center_axis',(0.,0.,1.)); #431402=DIRECTION('ref_axis',(1.,0.,0.)); #431403=DIRECTION('center_axis',(0.,0.,1.)); #431404=DIRECTION('ref_axis',(1.,0.,0.)); #431405=DIRECTION('',(0.,0.,1.)); #431406=DIRECTION('center_axis',(0.,0.,-1.)); #431407=DIRECTION('ref_axis',(1.,0.,0.)); #431408=DIRECTION('center_axis',(0.,0.,1.)); #431409=DIRECTION('ref_axis',(1.,0.,0.)); #431410=DIRECTION('center_axis',(0.,0.,1.)); #431411=DIRECTION('ref_axis',(1.,0.,0.)); #431412=DIRECTION('',(0.,0.,1.)); #431413=DIRECTION('center_axis',(0.,0.,-1.)); #431414=DIRECTION('ref_axis',(1.,0.,0.)); #431415=DIRECTION('center_axis',(0.,0.,1.)); #431416=DIRECTION('ref_axis',(1.,0.,0.)); #431417=DIRECTION('center_axis',(0.,0.,1.)); #431418=DIRECTION('ref_axis',(1.,0.,0.)); #431419=DIRECTION('',(0.,0.,1.)); #431420=DIRECTION('center_axis',(0.,0.,-1.)); #431421=DIRECTION('ref_axis',(1.,0.,0.)); #431422=DIRECTION('center_axis',(0.,0.,1.)); #431423=DIRECTION('ref_axis',(1.,0.,0.)); #431424=DIRECTION('center_axis',(0.,0.,1.)); #431425=DIRECTION('ref_axis',(1.,0.,0.)); #431426=DIRECTION('',(0.,0.,1.)); #431427=DIRECTION('center_axis',(0.,0.,-1.)); #431428=DIRECTION('ref_axis',(1.,0.,0.)); #431429=DIRECTION('center_axis',(0.,0.,1.)); #431430=DIRECTION('ref_axis',(1.,0.,0.)); #431431=DIRECTION('center_axis',(0.,0.,1.)); #431432=DIRECTION('ref_axis',(1.,0.,0.)); #431433=DIRECTION('',(0.,0.,1.)); #431434=DIRECTION('center_axis',(0.,0.,-1.)); #431435=DIRECTION('ref_axis',(1.,0.,0.)); #431436=DIRECTION('center_axis',(0.,0.,1.)); #431437=DIRECTION('ref_axis',(1.,0.,0.)); #431438=DIRECTION('center_axis',(0.,0.,1.)); #431439=DIRECTION('ref_axis',(1.,0.,0.)); #431440=DIRECTION('',(0.,0.,1.)); #431441=DIRECTION('center_axis',(0.,0.,-1.)); #431442=DIRECTION('ref_axis',(1.,0.,0.)); #431443=DIRECTION('center_axis',(0.,0.,1.)); #431444=DIRECTION('ref_axis',(1.,0.,0.)); #431445=DIRECTION('center_axis',(0.,0.,1.)); #431446=DIRECTION('ref_axis',(1.,0.,0.)); #431447=DIRECTION('',(0.,0.,1.)); #431448=DIRECTION('center_axis',(0.,0.,-1.)); #431449=DIRECTION('ref_axis',(1.,0.,0.)); #431450=DIRECTION('center_axis',(0.,0.,1.)); #431451=DIRECTION('ref_axis',(1.,0.,0.)); #431452=DIRECTION('center_axis',(0.,0.,1.)); #431453=DIRECTION('ref_axis',(1.,0.,0.)); #431454=DIRECTION('',(0.,0.,1.)); #431455=DIRECTION('center_axis',(0.,0.,-1.)); #431456=DIRECTION('ref_axis',(1.,0.,0.)); #431457=DIRECTION('center_axis',(0.,0.,1.)); #431458=DIRECTION('ref_axis',(1.,0.,0.)); #431459=DIRECTION('center_axis',(0.,0.,1.)); #431460=DIRECTION('ref_axis',(1.,0.,0.)); #431461=DIRECTION('',(0.,0.,1.)); #431462=DIRECTION('center_axis',(0.,0.,-1.)); #431463=DIRECTION('ref_axis',(1.,0.,0.)); #431464=DIRECTION('center_axis',(0.,0.,1.)); #431465=DIRECTION('ref_axis',(1.,0.,0.)); #431466=DIRECTION('center_axis',(0.,0.,1.)); #431467=DIRECTION('ref_axis',(1.,0.,0.)); #431468=DIRECTION('',(0.,0.,1.)); #431469=DIRECTION('center_axis',(0.,0.,-1.)); #431470=DIRECTION('ref_axis',(1.,0.,0.)); #431471=DIRECTION('center_axis',(0.,0.,1.)); #431472=DIRECTION('ref_axis',(1.,0.,0.)); #431473=DIRECTION('center_axis',(0.,0.,1.)); #431474=DIRECTION('ref_axis',(1.,0.,0.)); #431475=DIRECTION('',(0.,0.,1.)); #431476=DIRECTION('center_axis',(0.,0.,-1.)); #431477=DIRECTION('ref_axis',(1.,0.,0.)); #431478=DIRECTION('center_axis',(0.,0.,1.)); #431479=DIRECTION('ref_axis',(1.,0.,0.)); #431480=DIRECTION('center_axis',(0.,0.,1.)); #431481=DIRECTION('ref_axis',(1.,0.,0.)); #431482=DIRECTION('',(0.,0.,-1.)); #431483=DIRECTION('center_axis',(0.,0.,1.)); #431484=DIRECTION('ref_axis',(1.,0.,0.)); #431485=DIRECTION('center_axis',(0.,0.,1.)); #431486=DIRECTION('ref_axis',(1.,0.,0.)); #431487=DIRECTION('center_axis',(0.,0.,1.)); #431488=DIRECTION('ref_axis',(1.,0.,0.)); #431489=DIRECTION('axis',(0.,0.,1.)); #431490=DIRECTION('refdir',(1.,0.,0.)); #431491=DIRECTION('center_axis',(0.,0.,-1.)); #431492=DIRECTION('ref_axis',(1.,0.,0.)); #431493=DIRECTION('center_axis',(0.,0.,-1.)); #431494=DIRECTION('ref_axis',(1.,0.,0.)); #431495=DIRECTION('',(0.,0.,-1.)); #431496=DIRECTION('center_axis',(0.,0.,1.)); #431497=DIRECTION('ref_axis',(1.,0.,0.)); #431498=DIRECTION('center_axis',(0.,0.,-1.)); #431499=DIRECTION('ref_axis',(1.,0.,0.)); #431500=DIRECTION('center_axis',(0.,0.,-1.)); #431501=DIRECTION('ref_axis',(1.,0.,0.)); #431502=DIRECTION('',(0.,0.,-1.)); #431503=DIRECTION('center_axis',(0.,0.,1.)); #431504=DIRECTION('ref_axis',(1.,0.,0.)); #431505=DIRECTION('center_axis',(0.,0.,1.)); #431506=DIRECTION('ref_axis',(1.,0.,0.)); #431507=DIRECTION('center_axis',(0.,0.,1.)); #431508=DIRECTION('ref_axis',(1.,0.,0.)); #431509=DIRECTION('',(0.,0.,1.)); #431510=DIRECTION('center_axis',(0.,0.,-1.)); #431511=DIRECTION('ref_axis',(1.,0.,0.)); #431512=DIRECTION('center_axis',(0.,0.,1.)); #431513=DIRECTION('ref_axis',(1.,0.,0.)); #431514=DIRECTION('center_axis',(0.,0.,1.)); #431515=DIRECTION('ref_axis',(1.,0.,0.)); #431516=DIRECTION('',(0.,0.,1.)); #431517=DIRECTION('center_axis',(0.,0.,-1.)); #431518=DIRECTION('ref_axis',(1.,0.,0.)); #431519=DIRECTION('center_axis',(0.,0.,1.)); #431520=DIRECTION('ref_axis',(1.,0.,0.)); #431521=DIRECTION('center_axis',(0.,0.,1.)); #431522=DIRECTION('ref_axis',(1.,0.,0.)); #431523=DIRECTION('',(0.,0.,1.)); #431524=DIRECTION('center_axis',(0.,0.,-1.)); #431525=DIRECTION('ref_axis',(1.,0.,0.)); #431526=DIRECTION('center_axis',(0.,0.,1.)); #431527=DIRECTION('ref_axis',(1.,0.,0.)); #431528=DIRECTION('center_axis',(0.,0.,1.)); #431529=DIRECTION('ref_axis',(1.,0.,0.)); #431530=DIRECTION('',(0.,0.,1.)); #431531=DIRECTION('center_axis',(0.,0.,-1.)); #431532=DIRECTION('ref_axis',(1.,0.,0.)); #431533=DIRECTION('center_axis',(0.,0.,1.)); #431534=DIRECTION('ref_axis',(1.,0.,0.)); #431535=DIRECTION('center_axis',(0.,0.,1.)); #431536=DIRECTION('ref_axis',(1.,0.,0.)); #431537=DIRECTION('',(0.,0.,1.)); #431538=DIRECTION('center_axis',(0.,0.,-1.)); #431539=DIRECTION('ref_axis',(1.,0.,0.)); #431540=DIRECTION('center_axis',(0.,0.,1.)); #431541=DIRECTION('ref_axis',(1.,0.,0.)); #431542=DIRECTION('center_axis',(0.,0.,1.)); #431543=DIRECTION('ref_axis',(1.,0.,0.)); #431544=DIRECTION('',(0.,0.,1.)); #431545=DIRECTION('center_axis',(0.,0.,-1.)); #431546=DIRECTION('ref_axis',(1.,0.,0.)); #431547=DIRECTION('center_axis',(0.,0.,1.)); #431548=DIRECTION('ref_axis',(1.,0.,0.)); #431549=DIRECTION('center_axis',(0.,0.,1.)); #431550=DIRECTION('ref_axis',(1.,0.,0.)); #431551=DIRECTION('',(0.,0.,1.)); #431552=DIRECTION('center_axis',(0.,0.,-1.)); #431553=DIRECTION('ref_axis',(1.,0.,0.)); #431554=DIRECTION('center_axis',(0.,0.,1.)); #431555=DIRECTION('ref_axis',(1.,0.,0.)); #431556=DIRECTION('center_axis',(0.,0.,1.)); #431557=DIRECTION('ref_axis',(1.,0.,0.)); #431558=DIRECTION('',(0.,0.,1.)); #431559=DIRECTION('center_axis',(0.,0.,-1.)); #431560=DIRECTION('ref_axis',(1.,0.,0.)); #431561=DIRECTION('center_axis',(0.,0.,1.)); #431562=DIRECTION('ref_axis',(1.,0.,0.)); #431563=DIRECTION('center_axis',(0.,0.,1.)); #431564=DIRECTION('ref_axis',(1.,0.,0.)); #431565=DIRECTION('',(0.,0.,1.)); #431566=DIRECTION('center_axis',(0.,0.,-1.)); #431567=DIRECTION('ref_axis',(1.,0.,0.)); #431568=DIRECTION('center_axis',(0.,0.,1.)); #431569=DIRECTION('ref_axis',(1.,0.,0.)); #431570=DIRECTION('center_axis',(0.,0.,1.)); #431571=DIRECTION('ref_axis',(1.,0.,0.)); #431572=DIRECTION('',(0.,0.,1.)); #431573=DIRECTION('center_axis',(0.,0.,-1.)); #431574=DIRECTION('ref_axis',(1.,0.,0.)); #431575=DIRECTION('center_axis',(0.,0.,1.)); #431576=DIRECTION('ref_axis',(1.,0.,0.)); #431577=DIRECTION('center_axis',(0.,0.,1.)); #431578=DIRECTION('ref_axis',(1.,0.,0.)); #431579=DIRECTION('',(0.,0.,1.)); #431580=DIRECTION('center_axis',(0.,0.,-1.)); #431581=DIRECTION('ref_axis',(1.,0.,0.)); #431582=DIRECTION('center_axis',(0.,0.,1.)); #431583=DIRECTION('ref_axis',(1.,0.,0.)); #431584=DIRECTION('center_axis',(0.,0.,1.)); #431585=DIRECTION('ref_axis',(1.,0.,0.)); #431586=DIRECTION('',(0.,0.,1.)); #431587=DIRECTION('center_axis',(0.,0.,-1.)); #431588=DIRECTION('ref_axis',(1.,0.,0.)); #431589=DIRECTION('center_axis',(0.,0.,1.)); #431590=DIRECTION('ref_axis',(1.,0.,0.)); #431591=DIRECTION('center_axis',(0.,0.,1.)); #431592=DIRECTION('ref_axis',(1.,0.,0.)); #431593=DIRECTION('',(0.,0.,1.)); #431594=DIRECTION('center_axis',(0.,0.,-1.)); #431595=DIRECTION('ref_axis',(1.,0.,0.)); #431596=DIRECTION('center_axis',(0.,0.,1.)); #431597=DIRECTION('ref_axis',(1.,0.,0.)); #431598=DIRECTION('center_axis',(0.,0.,1.)); #431599=DIRECTION('ref_axis',(1.,0.,0.)); #431600=DIRECTION('',(0.,0.,1.)); #431601=DIRECTION('center_axis',(0.,0.,-1.)); #431602=DIRECTION('ref_axis',(1.,0.,0.)); #431603=DIRECTION('center_axis',(0.,0.,1.)); #431604=DIRECTION('ref_axis',(1.,0.,0.)); #431605=DIRECTION('center_axis',(0.,0.,1.)); #431606=DIRECTION('ref_axis',(1.,0.,0.)); #431607=DIRECTION('',(0.,0.,1.)); #431608=DIRECTION('center_axis',(0.,0.,-1.)); #431609=DIRECTION('ref_axis',(1.,0.,0.)); #431610=DIRECTION('center_axis',(0.,0.,1.)); #431611=DIRECTION('ref_axis',(1.,0.,0.)); #431612=DIRECTION('center_axis',(0.,0.,1.)); #431613=DIRECTION('ref_axis',(1.,0.,0.)); #431614=DIRECTION('',(0.,0.,1.)); #431615=DIRECTION('center_axis',(0.,0.,-1.)); #431616=DIRECTION('ref_axis',(1.,0.,0.)); #431617=DIRECTION('center_axis',(0.,0.,1.)); #431618=DIRECTION('ref_axis',(1.,0.,0.)); #431619=DIRECTION('center_axis',(0.,0.,1.)); #431620=DIRECTION('ref_axis',(1.,0.,0.)); #431621=DIRECTION('',(0.,0.,1.)); #431622=DIRECTION('center_axis',(0.,0.,-1.)); #431623=DIRECTION('ref_axis',(1.,0.,0.)); #431624=DIRECTION('center_axis',(0.,0.,1.)); #431625=DIRECTION('ref_axis',(1.,0.,0.)); #431626=DIRECTION('center_axis',(0.,0.,1.)); #431627=DIRECTION('ref_axis',(1.,0.,0.)); #431628=DIRECTION('',(0.,0.,1.)); #431629=DIRECTION('center_axis',(0.,0.,-1.)); #431630=DIRECTION('ref_axis',(1.,0.,0.)); #431631=DIRECTION('center_axis',(0.,0.,1.)); #431632=DIRECTION('ref_axis',(1.,0.,0.)); #431633=DIRECTION('center_axis',(0.,0.,1.)); #431634=DIRECTION('ref_axis',(1.,0.,0.)); #431635=DIRECTION('',(0.,0.,1.)); #431636=DIRECTION('center_axis',(0.,0.,-1.)); #431637=DIRECTION('ref_axis',(1.,0.,0.)); #431638=DIRECTION('center_axis',(0.,0.,1.)); #431639=DIRECTION('ref_axis',(1.,0.,0.)); #431640=DIRECTION('center_axis',(0.,0.,1.)); #431641=DIRECTION('ref_axis',(1.,0.,0.)); #431642=DIRECTION('',(0.,0.,1.)); #431643=DIRECTION('center_axis',(0.,0.,-1.)); #431644=DIRECTION('ref_axis',(1.,0.,0.)); #431645=DIRECTION('center_axis',(0.,0.,1.)); #431646=DIRECTION('ref_axis',(1.,0.,0.)); #431647=DIRECTION('center_axis',(0.,0.,1.)); #431648=DIRECTION('ref_axis',(1.,0.,0.)); #431649=DIRECTION('',(0.,0.,1.)); #431650=DIRECTION('center_axis',(0.,0.,-1.)); #431651=DIRECTION('ref_axis',(1.,0.,0.)); #431652=DIRECTION('center_axis',(0.,0.,1.)); #431653=DIRECTION('ref_axis',(1.,0.,0.)); #431654=DIRECTION('center_axis',(0.,0.,1.)); #431655=DIRECTION('ref_axis',(1.,0.,0.)); #431656=DIRECTION('',(0.,0.,1.)); #431657=DIRECTION('center_axis',(0.,0.,-1.)); #431658=DIRECTION('ref_axis',(1.,0.,0.)); #431659=DIRECTION('center_axis',(0.,0.,1.)); #431660=DIRECTION('ref_axis',(1.,0.,0.)); #431661=DIRECTION('center_axis',(0.,0.,1.)); #431662=DIRECTION('ref_axis',(1.,0.,0.)); #431663=DIRECTION('',(0.,0.,1.)); #431664=DIRECTION('center_axis',(0.,0.,-1.)); #431665=DIRECTION('ref_axis',(1.,0.,0.)); #431666=DIRECTION('center_axis',(0.,0.,1.)); #431667=DIRECTION('ref_axis',(1.,0.,0.)); #431668=DIRECTION('center_axis',(0.,0.,1.)); #431669=DIRECTION('ref_axis',(1.,0.,0.)); #431670=DIRECTION('',(0.,0.,1.)); #431671=DIRECTION('center_axis',(0.,0.,-1.)); #431672=DIRECTION('ref_axis',(1.,0.,0.)); #431673=DIRECTION('center_axis',(0.,0.,1.)); #431674=DIRECTION('ref_axis',(1.,0.,0.)); #431675=DIRECTION('center_axis',(0.,0.,1.)); #431676=DIRECTION('ref_axis',(1.,0.,0.)); #431677=DIRECTION('',(0.,0.,1.)); #431678=DIRECTION('center_axis',(0.,0.,-1.)); #431679=DIRECTION('ref_axis',(1.,0.,0.)); #431680=DIRECTION('center_axis',(0.,0.,1.)); #431681=DIRECTION('ref_axis',(1.,0.,0.)); #431682=DIRECTION('center_axis',(0.,0.,1.)); #431683=DIRECTION('ref_axis',(1.,0.,0.)); #431684=DIRECTION('',(0.,0.,1.)); #431685=DIRECTION('center_axis',(0.,0.,-1.)); #431686=DIRECTION('ref_axis',(1.,0.,0.)); #431687=DIRECTION('center_axis',(0.,0.,1.)); #431688=DIRECTION('ref_axis',(1.,0.,0.)); #431689=DIRECTION('center_axis',(0.,0.,1.)); #431690=DIRECTION('ref_axis',(1.,0.,0.)); #431691=DIRECTION('',(0.,0.,1.)); #431692=DIRECTION('center_axis',(0.,0.,-1.)); #431693=DIRECTION('ref_axis',(1.,0.,0.)); #431694=DIRECTION('center_axis',(0.,0.,1.)); #431695=DIRECTION('ref_axis',(1.,0.,0.)); #431696=DIRECTION('center_axis',(0.,0.,1.)); #431697=DIRECTION('ref_axis',(1.,0.,0.)); #431698=DIRECTION('',(0.,0.,1.)); #431699=DIRECTION('center_axis',(0.,0.,-1.)); #431700=DIRECTION('ref_axis',(1.,0.,0.)); #431701=DIRECTION('center_axis',(0.,0.,1.)); #431702=DIRECTION('ref_axis',(1.,0.,0.)); #431703=DIRECTION('center_axis',(0.,0.,1.)); #431704=DIRECTION('ref_axis',(1.,0.,0.)); #431705=DIRECTION('',(0.,0.,1.)); #431706=DIRECTION('center_axis',(0.,0.,-1.)); #431707=DIRECTION('ref_axis',(1.,0.,0.)); #431708=DIRECTION('center_axis',(0.,0.,1.)); #431709=DIRECTION('ref_axis',(1.,0.,0.)); #431710=DIRECTION('center_axis',(0.,0.,1.)); #431711=DIRECTION('ref_axis',(1.,0.,0.)); #431712=DIRECTION('',(0.,0.,1.)); #431713=DIRECTION('center_axis',(0.,0.,-1.)); #431714=DIRECTION('ref_axis',(1.,0.,0.)); #431715=DIRECTION('center_axis',(0.,0.,1.)); #431716=DIRECTION('ref_axis',(1.,0.,0.)); #431717=DIRECTION('center_axis',(0.,0.,1.)); #431718=DIRECTION('ref_axis',(1.,0.,0.)); #431719=DIRECTION('',(0.,0.,1.)); #431720=DIRECTION('center_axis',(0.,0.,-1.)); #431721=DIRECTION('ref_axis',(1.,0.,0.)); #431722=DIRECTION('center_axis',(0.,0.,1.)); #431723=DIRECTION('ref_axis',(1.,0.,0.)); #431724=DIRECTION('center_axis',(0.,0.,1.)); #431725=DIRECTION('ref_axis',(1.,0.,0.)); #431726=DIRECTION('',(0.,0.,1.)); #431727=DIRECTION('center_axis',(0.,0.,-1.)); #431728=DIRECTION('ref_axis',(1.,0.,0.)); #431729=DIRECTION('center_axis',(0.,0.,1.)); #431730=DIRECTION('ref_axis',(1.,0.,0.)); #431731=DIRECTION('center_axis',(0.,0.,1.)); #431732=DIRECTION('ref_axis',(1.,0.,0.)); #431733=DIRECTION('',(0.,0.,1.)); #431734=DIRECTION('center_axis',(0.,0.,-1.)); #431735=DIRECTION('ref_axis',(1.,0.,0.)); #431736=DIRECTION('center_axis',(0.,0.,1.)); #431737=DIRECTION('ref_axis',(1.,0.,0.)); #431738=DIRECTION('center_axis',(0.,0.,1.)); #431739=DIRECTION('ref_axis',(1.,0.,0.)); #431740=DIRECTION('',(0.,0.,1.)); #431741=DIRECTION('center_axis',(0.,0.,-1.)); #431742=DIRECTION('ref_axis',(1.,0.,0.)); #431743=DIRECTION('center_axis',(0.,0.,1.)); #431744=DIRECTION('ref_axis',(1.,0.,0.)); #431745=DIRECTION('center_axis',(0.,0.,1.)); #431746=DIRECTION('ref_axis',(1.,0.,0.)); #431747=DIRECTION('',(0.,0.,1.)); #431748=DIRECTION('center_axis',(0.,0.,-1.)); #431749=DIRECTION('ref_axis',(1.,0.,0.)); #431750=DIRECTION('center_axis',(0.,0.,1.)); #431751=DIRECTION('ref_axis',(1.,0.,0.)); #431752=DIRECTION('center_axis',(0.,0.,1.)); #431753=DIRECTION('ref_axis',(1.,0.,0.)); #431754=DIRECTION('',(0.,0.,1.)); #431755=DIRECTION('center_axis',(0.,0.,-1.)); #431756=DIRECTION('ref_axis',(1.,0.,0.)); #431757=DIRECTION('center_axis',(0.,0.,1.)); #431758=DIRECTION('ref_axis',(1.,0.,0.)); #431759=DIRECTION('center_axis',(0.,0.,1.)); #431760=DIRECTION('ref_axis',(1.,0.,0.)); #431761=DIRECTION('',(0.,0.,1.)); #431762=DIRECTION('center_axis',(0.,0.,-1.)); #431763=DIRECTION('ref_axis',(1.,0.,0.)); #431764=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #431765=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #431766=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431767=DIRECTION('',(0.,0.,1.)); #431768=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431769=DIRECTION('',(0.,0.,1.)); #431770=DIRECTION('center_axis',(0.,0.,1.)); #431771=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431772=DIRECTION('center_axis',(0.,0.,-1.)); #431773=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431774=DIRECTION('center_axis',(0.,0.,1.)); #431775=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431776=DIRECTION('',(0.,0.,1.)); #431777=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #431778=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #431779=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431780=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431781=DIRECTION('',(0.,0.,1.)); #431782=DIRECTION('center_axis',(0.,0.,1.)); #431783=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431784=DIRECTION('center_axis',(0.,0.,-1.)); #431785=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431786=DIRECTION('center_axis',(0.,0.,1.)); #431787=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431788=DIRECTION('center_axis',(0.,0.,1.)); #431789=DIRECTION('ref_axis',(1.,0.,0.)); #431790=DIRECTION('center_axis',(0.,0.,1.)); #431791=DIRECTION('ref_axis',(1.,0.,0.)); #431792=DIRECTION('',(0.,0.,1.)); #431793=DIRECTION('center_axis',(0.,0.,-1.)); #431794=DIRECTION('ref_axis',(1.,0.,0.)); #431795=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #431796=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #431797=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431798=DIRECTION('',(0.,0.,1.)); #431799=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431800=DIRECTION('',(0.,0.,1.)); #431801=DIRECTION('center_axis',(0.,0.,1.)); #431802=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431803=DIRECTION('center_axis',(0.,0.,-1.)); #431804=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431805=DIRECTION('center_axis',(0.,0.,1.)); #431806=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431807=DIRECTION('',(0.,0.,1.)); #431808=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #431809=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #431810=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431811=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431812=DIRECTION('',(0.,0.,1.)); #431813=DIRECTION('center_axis',(0.,0.,1.)); #431814=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431815=DIRECTION('center_axis',(0.,0.,-1.)); #431816=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431817=DIRECTION('center_axis',(0.,0.,1.)); #431818=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431819=DIRECTION('center_axis',(0.,0.,1.)); #431820=DIRECTION('ref_axis',(1.,0.,0.)); #431821=DIRECTION('center_axis',(0.,0.,1.)); #431822=DIRECTION('ref_axis',(1.,0.,0.)); #431823=DIRECTION('',(0.,0.,1.)); #431824=DIRECTION('center_axis',(0.,0.,-1.)); #431825=DIRECTION('ref_axis',(1.,0.,0.)); #431826=DIRECTION('center_axis',(0.,0.,1.)); #431827=DIRECTION('ref_axis',(1.,0.,0.)); #431828=DIRECTION('center_axis',(0.,0.,1.)); #431829=DIRECTION('ref_axis',(1.,0.,0.)); #431830=DIRECTION('',(0.,0.,1.)); #431831=DIRECTION('center_axis',(0.,0.,-1.)); #431832=DIRECTION('ref_axis',(1.,0.,0.)); #431833=DIRECTION('center_axis',(0.,0.,1.)); #431834=DIRECTION('ref_axis',(1.,0.,0.)); #431835=DIRECTION('center_axis',(0.,0.,1.)); #431836=DIRECTION('ref_axis',(1.,0.,0.)); #431837=DIRECTION('',(0.,0.,1.)); #431838=DIRECTION('center_axis',(0.,0.,-1.)); #431839=DIRECTION('ref_axis',(1.,0.,0.)); #431840=DIRECTION('center_axis',(0.,0.,1.)); #431841=DIRECTION('ref_axis',(1.,0.,0.)); #431842=DIRECTION('center_axis',(0.,0.,1.)); #431843=DIRECTION('ref_axis',(1.,0.,0.)); #431844=DIRECTION('',(0.,0.,1.)); #431845=DIRECTION('center_axis',(0.,0.,-1.)); #431846=DIRECTION('ref_axis',(1.,0.,0.)); #431847=DIRECTION('center_axis',(0.,0.,1.)); #431848=DIRECTION('ref_axis',(1.,0.,0.)); #431849=DIRECTION('center_axis',(0.,0.,1.)); #431850=DIRECTION('ref_axis',(1.,0.,0.)); #431851=DIRECTION('',(0.,0.,1.)); #431852=DIRECTION('center_axis',(0.,0.,-1.)); #431853=DIRECTION('ref_axis',(1.,0.,0.)); #431854=DIRECTION('center_axis',(0.,0.,1.)); #431855=DIRECTION('ref_axis',(1.,0.,0.)); #431856=DIRECTION('center_axis',(0.,0.,1.)); #431857=DIRECTION('ref_axis',(1.,0.,0.)); #431858=DIRECTION('',(0.,0.,1.)); #431859=DIRECTION('center_axis',(0.,0.,-1.)); #431860=DIRECTION('ref_axis',(1.,0.,0.)); #431861=DIRECTION('center_axis',(0.,0.,1.)); #431862=DIRECTION('ref_axis',(1.,0.,0.)); #431863=DIRECTION('center_axis',(0.,0.,1.)); #431864=DIRECTION('ref_axis',(1.,0.,0.)); #431865=DIRECTION('',(0.,0.,1.)); #431866=DIRECTION('center_axis',(0.,0.,-1.)); #431867=DIRECTION('ref_axis',(1.,0.,0.)); #431868=DIRECTION('center_axis',(0.,0.,1.)); #431869=DIRECTION('ref_axis',(1.,0.,0.)); #431870=DIRECTION('center_axis',(0.,0.,1.)); #431871=DIRECTION('ref_axis',(1.,0.,0.)); #431872=DIRECTION('',(0.,0.,1.)); #431873=DIRECTION('center_axis',(0.,0.,-1.)); #431874=DIRECTION('ref_axis',(1.,0.,0.)); #431875=DIRECTION('center_axis',(0.,0.,1.)); #431876=DIRECTION('ref_axis',(1.,0.,0.)); #431877=DIRECTION('center_axis',(0.,0.,1.)); #431878=DIRECTION('ref_axis',(1.,0.,0.)); #431879=DIRECTION('',(0.,0.,1.)); #431880=DIRECTION('center_axis',(0.,0.,-1.)); #431881=DIRECTION('ref_axis',(1.,0.,0.)); #431882=DIRECTION('center_axis',(0.,0.,1.)); #431883=DIRECTION('ref_axis',(1.,0.,0.)); #431884=DIRECTION('center_axis',(0.,0.,1.)); #431885=DIRECTION('ref_axis',(1.,0.,0.)); #431886=DIRECTION('',(0.,0.,1.)); #431887=DIRECTION('center_axis',(0.,0.,-1.)); #431888=DIRECTION('ref_axis',(1.,0.,0.)); #431889=DIRECTION('center_axis',(0.,0.,1.)); #431890=DIRECTION('ref_axis',(1.,0.,0.)); #431891=DIRECTION('center_axis',(0.,0.,1.)); #431892=DIRECTION('ref_axis',(1.,0.,0.)); #431893=DIRECTION('',(0.,0.,1.)); #431894=DIRECTION('center_axis',(0.,0.,-1.)); #431895=DIRECTION('ref_axis',(1.,0.,0.)); #431896=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #431897=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #431898=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #431899=DIRECTION('',(0.,0.,1.)); #431900=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #431901=DIRECTION('',(0.,0.,1.)); #431902=DIRECTION('center_axis',(0.,0.,1.)); #431903=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431904=DIRECTION('center_axis',(0.,0.,-1.)); #431905=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431906=DIRECTION('center_axis',(0.,0.,1.)); #431907=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431908=DIRECTION('',(0.,0.,1.)); #431909=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #431910=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #431911=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #431912=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #431913=DIRECTION('',(0.,0.,1.)); #431914=DIRECTION('center_axis',(0.,0.,1.)); #431915=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431916=DIRECTION('center_axis',(0.,0.,-1.)); #431917=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431918=DIRECTION('center_axis',(0.,0.,1.)); #431919=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431920=DIRECTION('center_axis',(0.,0.,1.)); #431921=DIRECTION('ref_axis',(1.,0.,0.)); #431922=DIRECTION('center_axis',(0.,0.,1.)); #431923=DIRECTION('ref_axis',(1.,0.,0.)); #431924=DIRECTION('',(0.,0.,1.)); #431925=DIRECTION('center_axis',(0.,0.,-1.)); #431926=DIRECTION('ref_axis',(1.,0.,0.)); #431927=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #431928=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #431929=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #431930=DIRECTION('',(0.,0.,1.)); #431931=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #431932=DIRECTION('',(0.,0.,1.)); #431933=DIRECTION('center_axis',(0.,0.,1.)); #431934=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431935=DIRECTION('center_axis',(0.,0.,-1.)); #431936=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431937=DIRECTION('center_axis',(0.,0.,1.)); #431938=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #431939=DIRECTION('',(0.,0.,1.)); #431940=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #431941=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #431942=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #431943=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #431944=DIRECTION('',(0.,0.,1.)); #431945=DIRECTION('center_axis',(0.,0.,1.)); #431946=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431947=DIRECTION('center_axis',(0.,0.,-1.)); #431948=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431949=DIRECTION('center_axis',(0.,0.,1.)); #431950=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #431951=DIRECTION('center_axis',(0.,0.,1.)); #431952=DIRECTION('ref_axis',(1.,0.,0.)); #431953=DIRECTION('center_axis',(0.,0.,1.)); #431954=DIRECTION('ref_axis',(1.,0.,0.)); #431955=DIRECTION('',(0.,0.,1.)); #431956=DIRECTION('center_axis',(0.,0.,-1.)); #431957=DIRECTION('ref_axis',(1.,0.,0.)); #431958=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #431959=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #431960=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431961=DIRECTION('',(0.,0.,1.)); #431962=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431963=DIRECTION('',(0.,0.,1.)); #431964=DIRECTION('center_axis',(0.,0.,1.)); #431965=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431966=DIRECTION('center_axis',(0.,0.,-1.)); #431967=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431968=DIRECTION('center_axis',(0.,0.,1.)); #431969=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431970=DIRECTION('',(0.,0.,1.)); #431971=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #431972=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #431973=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431974=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431975=DIRECTION('',(0.,0.,1.)); #431976=DIRECTION('center_axis',(0.,0.,1.)); #431977=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431978=DIRECTION('center_axis',(0.,0.,-1.)); #431979=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431980=DIRECTION('center_axis',(0.,0.,1.)); #431981=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #431982=DIRECTION('center_axis',(0.,0.,1.)); #431983=DIRECTION('ref_axis',(1.,0.,0.)); #431984=DIRECTION('center_axis',(0.,0.,1.)); #431985=DIRECTION('ref_axis',(1.,0.,0.)); #431986=DIRECTION('',(0.,0.,1.)); #431987=DIRECTION('center_axis',(0.,0.,-1.)); #431988=DIRECTION('ref_axis',(1.,0.,0.)); #431989=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #431990=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #431991=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #431992=DIRECTION('',(0.,0.,1.)); #431993=DIRECTION('',(5.55111499297693E-15,1.,0.)); #431994=DIRECTION('',(0.,0.,1.)); #431995=DIRECTION('center_axis',(0.,0.,1.)); #431996=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431997=DIRECTION('center_axis',(0.,0.,-1.)); #431998=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #431999=DIRECTION('center_axis',(0.,0.,1.)); #432000=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #432001=DIRECTION('',(0.,0.,1.)); #432002=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #432003=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #432004=DIRECTION('',(5.55111499297693E-15,1.,0.)); #432005=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #432006=DIRECTION('',(0.,0.,1.)); #432007=DIRECTION('center_axis',(0.,0.,1.)); #432008=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #432009=DIRECTION('center_axis',(0.,0.,-1.)); #432010=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #432011=DIRECTION('center_axis',(0.,0.,1.)); #432012=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #432013=DIRECTION('center_axis',(0.,0.,1.)); #432014=DIRECTION('ref_axis',(1.,0.,0.)); #432015=DIRECTION('center_axis',(0.,0.,1.)); #432016=DIRECTION('ref_axis',(1.,0.,0.)); #432017=DIRECTION('',(0.,0.,1.)); #432018=DIRECTION('center_axis',(0.,0.,-1.)); #432019=DIRECTION('ref_axis',(1.,0.,0.)); #432020=DIRECTION('center_axis',(0.,0.,1.)); #432021=DIRECTION('ref_axis',(1.,0.,0.)); #432022=DIRECTION('center_axis',(0.,0.,1.)); #432023=DIRECTION('ref_axis',(1.,0.,0.)); #432024=DIRECTION('',(0.,0.,1.)); #432025=DIRECTION('center_axis',(0.,0.,-1.)); #432026=DIRECTION('ref_axis',(1.,0.,0.)); #432027=DIRECTION('center_axis',(0.,0.,1.)); #432028=DIRECTION('ref_axis',(1.,0.,0.)); #432029=DIRECTION('center_axis',(0.,0.,1.)); #432030=DIRECTION('ref_axis',(1.,0.,0.)); #432031=DIRECTION('',(0.,0.,1.)); #432032=DIRECTION('center_axis',(0.,0.,-1.)); #432033=DIRECTION('ref_axis',(1.,0.,0.)); #432034=DIRECTION('center_axis',(0.,0.,1.)); #432035=DIRECTION('ref_axis',(1.,0.,0.)); #432036=DIRECTION('center_axis',(0.,0.,1.)); #432037=DIRECTION('ref_axis',(1.,0.,0.)); #432038=DIRECTION('',(0.,0.,1.)); #432039=DIRECTION('center_axis',(0.,0.,-1.)); #432040=DIRECTION('ref_axis',(1.,0.,0.)); #432041=DIRECTION('center_axis',(0.,0.,1.)); #432042=DIRECTION('ref_axis',(1.,0.,0.)); #432043=DIRECTION('center_axis',(0.,0.,1.)); #432044=DIRECTION('ref_axis',(1.,0.,0.)); #432045=DIRECTION('',(0.,0.,1.)); #432046=DIRECTION('center_axis',(0.,0.,-1.)); #432047=DIRECTION('ref_axis',(1.,0.,0.)); #432048=DIRECTION('center_axis',(0.,0.,1.)); #432049=DIRECTION('ref_axis',(1.,0.,0.)); #432050=DIRECTION('center_axis',(0.,0.,1.)); #432051=DIRECTION('ref_axis',(1.,0.,0.)); #432052=DIRECTION('',(0.,0.,1.)); #432053=DIRECTION('center_axis',(0.,0.,-1.)); #432054=DIRECTION('ref_axis',(1.,0.,0.)); #432055=DIRECTION('center_axis',(0.,0.,1.)); #432056=DIRECTION('ref_axis',(1.,0.,0.)); #432057=DIRECTION('center_axis',(0.,0.,1.)); #432058=DIRECTION('ref_axis',(1.,0.,0.)); #432059=DIRECTION('',(0.,0.,1.)); #432060=DIRECTION('center_axis',(0.,0.,-1.)); #432061=DIRECTION('ref_axis',(1.,0.,0.)); #432062=DIRECTION('center_axis',(0.,0.,1.)); #432063=DIRECTION('ref_axis',(1.,0.,0.)); #432064=DIRECTION('center_axis',(0.,0.,1.)); #432065=DIRECTION('ref_axis',(1.,0.,0.)); #432066=DIRECTION('',(0.,0.,1.)); #432067=DIRECTION('center_axis',(0.,0.,-1.)); #432068=DIRECTION('ref_axis',(1.,0.,0.)); #432069=DIRECTION('center_axis',(0.,0.,1.)); #432070=DIRECTION('ref_axis',(1.,0.,0.)); #432071=DIRECTION('center_axis',(0.,0.,1.)); #432072=DIRECTION('ref_axis',(1.,0.,0.)); #432073=DIRECTION('',(0.,0.,1.)); #432074=DIRECTION('center_axis',(0.,0.,-1.)); #432075=DIRECTION('ref_axis',(1.,0.,0.)); #432076=DIRECTION('center_axis',(0.,0.,1.)); #432077=DIRECTION('ref_axis',(1.,0.,0.)); #432078=DIRECTION('center_axis',(0.,0.,1.)); #432079=DIRECTION('ref_axis',(1.,0.,0.)); #432080=DIRECTION('',(0.,0.,1.)); #432081=DIRECTION('center_axis',(0.,0.,-1.)); #432082=DIRECTION('ref_axis',(1.,0.,0.)); #432083=DIRECTION('center_axis',(0.,0.,1.)); #432084=DIRECTION('ref_axis',(1.,0.,0.)); #432085=DIRECTION('center_axis',(0.,0.,1.)); #432086=DIRECTION('ref_axis',(1.,0.,0.)); #432087=DIRECTION('',(0.,0.,1.)); #432088=DIRECTION('center_axis',(0.,0.,-1.)); #432089=DIRECTION('ref_axis',(1.,0.,0.)); #432090=DIRECTION('center_axis',(0.,0.,1.)); #432091=DIRECTION('ref_axis',(1.,0.,0.)); #432092=DIRECTION('center_axis',(0.,0.,1.)); #432093=DIRECTION('ref_axis',(1.,0.,0.)); #432094=DIRECTION('',(0.,0.,1.)); #432095=DIRECTION('center_axis',(0.,0.,-1.)); #432096=DIRECTION('ref_axis',(1.,0.,0.)); #432097=DIRECTION('center_axis',(0.,0.,1.)); #432098=DIRECTION('ref_axis',(1.,0.,0.)); #432099=DIRECTION('center_axis',(0.,0.,1.)); #432100=DIRECTION('ref_axis',(1.,0.,0.)); #432101=DIRECTION('',(0.,0.,1.)); #432102=DIRECTION('center_axis',(0.,0.,-1.)); #432103=DIRECTION('ref_axis',(1.,0.,0.)); #432104=DIRECTION('center_axis',(0.,0.,1.)); #432105=DIRECTION('ref_axis',(1.,0.,0.)); #432106=DIRECTION('center_axis',(0.,0.,1.)); #432107=DIRECTION('ref_axis',(1.,0.,0.)); #432108=DIRECTION('',(0.,0.,1.)); #432109=DIRECTION('center_axis',(0.,0.,-1.)); #432110=DIRECTION('ref_axis',(1.,0.,0.)); #432111=DIRECTION('center_axis',(0.,0.,1.)); #432112=DIRECTION('ref_axis',(1.,0.,0.)); #432113=DIRECTION('center_axis',(0.,0.,1.)); #432114=DIRECTION('ref_axis',(1.,0.,0.)); #432115=DIRECTION('',(0.,0.,1.)); #432116=DIRECTION('center_axis',(0.,0.,-1.)); #432117=DIRECTION('ref_axis',(1.,0.,0.)); #432118=DIRECTION('center_axis',(0.,0.,1.)); #432119=DIRECTION('ref_axis',(1.,0.,0.)); #432120=DIRECTION('center_axis',(0.,0.,1.)); #432121=DIRECTION('ref_axis',(1.,0.,0.)); #432122=DIRECTION('',(0.,0.,1.)); #432123=DIRECTION('center_axis',(0.,0.,-1.)); #432124=DIRECTION('ref_axis',(1.,0.,0.)); #432125=DIRECTION('center_axis',(0.,0.,1.)); #432126=DIRECTION('ref_axis',(1.,0.,0.)); #432127=DIRECTION('center_axis',(0.,0.,1.)); #432128=DIRECTION('ref_axis',(1.,0.,0.)); #432129=DIRECTION('',(0.,0.,1.)); #432130=DIRECTION('center_axis',(0.,0.,-1.)); #432131=DIRECTION('ref_axis',(1.,0.,0.)); #432132=DIRECTION('center_axis',(0.,0.,1.)); #432133=DIRECTION('ref_axis',(1.,0.,0.)); #432134=DIRECTION('center_axis',(0.,0.,1.)); #432135=DIRECTION('ref_axis',(1.,0.,0.)); #432136=DIRECTION('',(0.,0.,1.)); #432137=DIRECTION('center_axis',(0.,0.,-1.)); #432138=DIRECTION('ref_axis',(1.,0.,0.)); #432139=DIRECTION('center_axis',(0.,0.,1.)); #432140=DIRECTION('ref_axis',(1.,0.,0.)); #432141=DIRECTION('center_axis',(0.,0.,1.)); #432142=DIRECTION('ref_axis',(1.,0.,0.)); #432143=DIRECTION('',(0.,0.,1.)); #432144=DIRECTION('center_axis',(0.,0.,-1.)); #432145=DIRECTION('ref_axis',(1.,0.,0.)); #432146=DIRECTION('center_axis',(0.,0.,1.)); #432147=DIRECTION('ref_axis',(1.,0.,0.)); #432148=DIRECTION('center_axis',(0.,0.,1.)); #432149=DIRECTION('ref_axis',(1.,0.,0.)); #432150=DIRECTION('',(0.,0.,1.)); #432151=DIRECTION('center_axis',(0.,0.,-1.)); #432152=DIRECTION('ref_axis',(1.,0.,0.)); #432153=DIRECTION('center_axis',(0.,0.,1.)); #432154=DIRECTION('ref_axis',(1.,0.,0.)); #432155=DIRECTION('center_axis',(0.,0.,1.)); #432156=DIRECTION('ref_axis',(1.,0.,0.)); #432157=DIRECTION('',(0.,0.,1.)); #432158=DIRECTION('center_axis',(0.,0.,-1.)); #432159=DIRECTION('ref_axis',(1.,0.,0.)); #432160=DIRECTION('center_axis',(0.,0.,1.)); #432161=DIRECTION('ref_axis',(1.,0.,0.)); #432162=DIRECTION('center_axis',(0.,0.,1.)); #432163=DIRECTION('ref_axis',(1.,0.,0.)); #432164=DIRECTION('',(0.,0.,1.)); #432165=DIRECTION('center_axis',(0.,0.,-1.)); #432166=DIRECTION('ref_axis',(1.,0.,0.)); #432167=DIRECTION('center_axis',(0.,0.,1.)); #432168=DIRECTION('ref_axis',(1.,0.,0.)); #432169=DIRECTION('center_axis',(0.,0.,1.)); #432170=DIRECTION('ref_axis',(1.,0.,0.)); #432171=DIRECTION('',(0.,0.,1.)); #432172=DIRECTION('center_axis',(0.,0.,-1.)); #432173=DIRECTION('ref_axis',(1.,0.,0.)); #432174=DIRECTION('center_axis',(0.,0.,1.)); #432175=DIRECTION('ref_axis',(1.,0.,0.)); #432176=DIRECTION('center_axis',(0.,0.,1.)); #432177=DIRECTION('ref_axis',(1.,0.,0.)); #432178=DIRECTION('',(0.,0.,1.)); #432179=DIRECTION('center_axis',(0.,0.,-1.)); #432180=DIRECTION('ref_axis',(1.,0.,0.)); #432181=DIRECTION('center_axis',(0.,0.,1.)); #432182=DIRECTION('ref_axis',(1.,0.,0.)); #432183=DIRECTION('center_axis',(0.,0.,1.)); #432184=DIRECTION('ref_axis',(1.,0.,0.)); #432185=DIRECTION('',(0.,0.,1.)); #432186=DIRECTION('center_axis',(0.,0.,-1.)); #432187=DIRECTION('ref_axis',(1.,0.,0.)); #432188=DIRECTION('center_axis',(0.,0.,1.)); #432189=DIRECTION('ref_axis',(1.,0.,0.)); #432190=DIRECTION('center_axis',(0.,0.,1.)); #432191=DIRECTION('ref_axis',(1.,0.,0.)); #432192=DIRECTION('',(0.,0.,1.)); #432193=DIRECTION('center_axis',(0.,0.,-1.)); #432194=DIRECTION('ref_axis',(1.,0.,0.)); #432195=DIRECTION('center_axis',(0.,0.,1.)); #432196=DIRECTION('ref_axis',(1.,0.,0.)); #432197=DIRECTION('center_axis',(0.,0.,1.)); #432198=DIRECTION('ref_axis',(1.,0.,0.)); #432199=DIRECTION('',(0.,0.,1.)); #432200=DIRECTION('center_axis',(0.,0.,-1.)); #432201=DIRECTION('ref_axis',(1.,0.,0.)); #432202=DIRECTION('center_axis',(0.,0.,1.)); #432203=DIRECTION('ref_axis',(1.,0.,0.)); #432204=DIRECTION('center_axis',(0.,0.,1.)); #432205=DIRECTION('ref_axis',(1.,0.,0.)); #432206=DIRECTION('',(0.,0.,1.)); #432207=DIRECTION('center_axis',(0.,0.,-1.)); #432208=DIRECTION('ref_axis',(1.,0.,0.)); #432209=DIRECTION('center_axis',(0.,0.,1.)); #432210=DIRECTION('ref_axis',(1.,0.,0.)); #432211=DIRECTION('center_axis',(0.,0.,1.)); #432212=DIRECTION('ref_axis',(1.,0.,0.)); #432213=DIRECTION('',(0.,0.,1.)); #432214=DIRECTION('center_axis',(0.,0.,-1.)); #432215=DIRECTION('ref_axis',(1.,0.,0.)); #432216=DIRECTION('center_axis',(0.,0.,1.)); #432217=DIRECTION('ref_axis',(1.,0.,0.)); #432218=DIRECTION('center_axis',(0.,0.,1.)); #432219=DIRECTION('ref_axis',(1.,0.,0.)); #432220=DIRECTION('',(0.,0.,1.)); #432221=DIRECTION('center_axis',(0.,0.,-1.)); #432222=DIRECTION('ref_axis',(1.,0.,0.)); #432223=DIRECTION('center_axis',(0.,0.,1.)); #432224=DIRECTION('ref_axis',(1.,0.,0.)); #432225=DIRECTION('center_axis',(0.,0.,1.)); #432226=DIRECTION('ref_axis',(1.,0.,0.)); #432227=DIRECTION('',(0.,0.,1.)); #432228=DIRECTION('center_axis',(0.,0.,-1.)); #432229=DIRECTION('ref_axis',(1.,0.,0.)); #432230=DIRECTION('center_axis',(0.,0.,1.)); #432231=DIRECTION('ref_axis',(1.,0.,0.)); #432232=DIRECTION('center_axis',(0.,0.,1.)); #432233=DIRECTION('ref_axis',(1.,0.,0.)); #432234=DIRECTION('',(0.,0.,1.)); #432235=DIRECTION('center_axis',(0.,0.,-1.)); #432236=DIRECTION('ref_axis',(1.,0.,0.)); #432237=DIRECTION('center_axis',(0.,0.,1.)); #432238=DIRECTION('ref_axis',(1.,0.,0.)); #432239=DIRECTION('center_axis',(0.,0.,1.)); #432240=DIRECTION('ref_axis',(1.,0.,0.)); #432241=DIRECTION('',(0.,0.,1.)); #432242=DIRECTION('center_axis',(0.,0.,-1.)); #432243=DIRECTION('ref_axis',(1.,0.,0.)); #432244=DIRECTION('center_axis',(0.,0.,1.)); #432245=DIRECTION('ref_axis',(1.,0.,0.)); #432246=DIRECTION('center_axis',(0.,0.,1.)); #432247=DIRECTION('ref_axis',(1.,0.,0.)); #432248=DIRECTION('',(0.,0.,1.)); #432249=DIRECTION('center_axis',(0.,0.,-1.)); #432250=DIRECTION('ref_axis',(1.,0.,0.)); #432251=DIRECTION('center_axis',(0.,0.,1.)); #432252=DIRECTION('ref_axis',(1.,0.,0.)); #432253=DIRECTION('center_axis',(0.,0.,1.)); #432254=DIRECTION('ref_axis',(1.,0.,0.)); #432255=DIRECTION('',(0.,0.,1.)); #432256=DIRECTION('center_axis',(0.,0.,-1.)); #432257=DIRECTION('ref_axis',(1.,0.,0.)); #432258=DIRECTION('center_axis',(0.,0.,1.)); #432259=DIRECTION('ref_axis',(1.,0.,0.)); #432260=DIRECTION('center_axis',(0.,0.,1.)); #432261=DIRECTION('ref_axis',(1.,0.,0.)); #432262=DIRECTION('',(0.,0.,1.)); #432263=DIRECTION('center_axis',(0.,0.,-1.)); #432264=DIRECTION('ref_axis',(1.,0.,0.)); #432265=DIRECTION('center_axis',(0.,0.,1.)); #432266=DIRECTION('ref_axis',(1.,0.,0.)); #432267=DIRECTION('center_axis',(0.,0.,1.)); #432268=DIRECTION('ref_axis',(1.,0.,0.)); #432269=DIRECTION('',(0.,0.,1.)); #432270=DIRECTION('center_axis',(0.,0.,-1.)); #432271=DIRECTION('ref_axis',(1.,0.,0.)); #432272=DIRECTION('center_axis',(0.,0.,1.)); #432273=DIRECTION('ref_axis',(1.,0.,0.)); #432274=DIRECTION('center_axis',(0.,0.,1.)); #432275=DIRECTION('ref_axis',(1.,0.,0.)); #432276=DIRECTION('',(0.,0.,1.)); #432277=DIRECTION('center_axis',(0.,0.,-1.)); #432278=DIRECTION('ref_axis',(1.,0.,0.)); #432279=DIRECTION('center_axis',(0.,0.,1.)); #432280=DIRECTION('ref_axis',(1.,0.,0.)); #432281=DIRECTION('center_axis',(0.,0.,1.)); #432282=DIRECTION('ref_axis',(1.,0.,0.)); #432283=DIRECTION('',(0.,0.,1.)); #432284=DIRECTION('center_axis',(0.,0.,-1.)); #432285=DIRECTION('ref_axis',(1.,0.,0.)); #432286=DIRECTION('center_axis',(0.,0.,1.)); #432287=DIRECTION('ref_axis',(1.,0.,0.)); #432288=DIRECTION('center_axis',(0.,0.,1.)); #432289=DIRECTION('ref_axis',(1.,0.,0.)); #432290=DIRECTION('',(0.,0.,1.)); #432291=DIRECTION('center_axis',(0.,0.,-1.)); #432292=DIRECTION('ref_axis',(1.,0.,0.)); #432293=DIRECTION('center_axis',(0.,0.,1.)); #432294=DIRECTION('ref_axis',(1.,0.,0.)); #432295=DIRECTION('center_axis',(0.,0.,1.)); #432296=DIRECTION('ref_axis',(1.,0.,0.)); #432297=DIRECTION('',(0.,0.,1.)); #432298=DIRECTION('center_axis',(0.,0.,-1.)); #432299=DIRECTION('ref_axis',(1.,0.,0.)); #432300=DIRECTION('center_axis',(0.,0.,1.)); #432301=DIRECTION('ref_axis',(1.,0.,0.)); #432302=DIRECTION('center_axis',(0.,0.,1.)); #432303=DIRECTION('ref_axis',(1.,0.,0.)); #432304=DIRECTION('',(0.,0.,1.)); #432305=DIRECTION('center_axis',(0.,0.,-1.)); #432306=DIRECTION('ref_axis',(1.,0.,0.)); #432307=DIRECTION('center_axis',(0.,0.,1.)); #432308=DIRECTION('ref_axis',(1.,0.,0.)); #432309=DIRECTION('center_axis',(0.,0.,1.)); #432310=DIRECTION('ref_axis',(1.,0.,0.)); #432311=DIRECTION('',(0.,0.,1.)); #432312=DIRECTION('center_axis',(0.,0.,-1.)); #432313=DIRECTION('ref_axis',(1.,0.,0.)); #432314=DIRECTION('center_axis',(0.,0.,1.)); #432315=DIRECTION('ref_axis',(1.,0.,0.)); #432316=DIRECTION('center_axis',(0.,0.,1.)); #432317=DIRECTION('ref_axis',(1.,0.,0.)); #432318=DIRECTION('',(0.,0.,1.)); #432319=DIRECTION('center_axis',(0.,0.,-1.)); #432320=DIRECTION('ref_axis',(1.,0.,0.)); #432321=DIRECTION('center_axis',(0.,0.,1.)); #432322=DIRECTION('ref_axis',(1.,0.,0.)); #432323=DIRECTION('center_axis',(0.,0.,1.)); #432324=DIRECTION('ref_axis',(1.,0.,0.)); #432325=DIRECTION('',(0.,0.,1.)); #432326=DIRECTION('center_axis',(0.,0.,-1.)); #432327=DIRECTION('ref_axis',(1.,0.,0.)); #432328=DIRECTION('center_axis',(0.,0.,1.)); #432329=DIRECTION('ref_axis',(1.,0.,0.)); #432330=DIRECTION('center_axis',(0.,0.,1.)); #432331=DIRECTION('ref_axis',(1.,0.,0.)); #432332=DIRECTION('',(0.,0.,1.)); #432333=DIRECTION('center_axis',(0.,0.,-1.)); #432334=DIRECTION('ref_axis',(1.,0.,0.)); #432335=DIRECTION('center_axis',(0.,0.,1.)); #432336=DIRECTION('ref_axis',(1.,0.,0.)); #432337=DIRECTION('center_axis',(0.,0.,1.)); #432338=DIRECTION('ref_axis',(1.,0.,0.)); #432339=DIRECTION('',(0.,0.,1.)); #432340=DIRECTION('center_axis',(0.,0.,-1.)); #432341=DIRECTION('ref_axis',(1.,0.,0.)); #432342=DIRECTION('center_axis',(0.,0.,1.)); #432343=DIRECTION('ref_axis',(1.,0.,0.)); #432344=DIRECTION('center_axis',(0.,0.,1.)); #432345=DIRECTION('ref_axis',(1.,0.,0.)); #432346=DIRECTION('',(0.,0.,1.)); #432347=DIRECTION('center_axis',(0.,0.,-1.)); #432348=DIRECTION('ref_axis',(1.,0.,0.)); #432349=DIRECTION('center_axis',(0.,0.,1.)); #432350=DIRECTION('ref_axis',(1.,0.,0.)); #432351=DIRECTION('center_axis',(0.,0.,1.)); #432352=DIRECTION('ref_axis',(1.,0.,0.)); #432353=DIRECTION('',(0.,0.,1.)); #432354=DIRECTION('center_axis',(0.,0.,-1.)); #432355=DIRECTION('ref_axis',(1.,0.,0.)); #432356=DIRECTION('center_axis',(0.,0.,1.)); #432357=DIRECTION('ref_axis',(1.,0.,0.)); #432358=DIRECTION('center_axis',(0.,0.,1.)); #432359=DIRECTION('ref_axis',(1.,0.,0.)); #432360=DIRECTION('',(0.,0.,1.)); #432361=DIRECTION('center_axis',(0.,0.,-1.)); #432362=DIRECTION('ref_axis',(1.,0.,0.)); #432363=DIRECTION('center_axis',(0.,0.,1.)); #432364=DIRECTION('ref_axis',(1.,0.,0.)); #432365=DIRECTION('center_axis',(0.,0.,1.)); #432366=DIRECTION('ref_axis',(1.,0.,0.)); #432367=DIRECTION('',(0.,0.,1.)); #432368=DIRECTION('center_axis',(0.,0.,-1.)); #432369=DIRECTION('ref_axis',(1.,0.,0.)); #432370=DIRECTION('center_axis',(0.,0.,1.)); #432371=DIRECTION('ref_axis',(1.,0.,0.)); #432372=DIRECTION('center_axis',(0.,0.,1.)); #432373=DIRECTION('ref_axis',(1.,0.,0.)); #432374=DIRECTION('',(0.,0.,1.)); #432375=DIRECTION('center_axis',(0.,0.,-1.)); #432376=DIRECTION('ref_axis',(1.,0.,0.)); #432377=DIRECTION('center_axis',(0.,0.,1.)); #432378=DIRECTION('ref_axis',(1.,0.,0.)); #432379=DIRECTION('center_axis',(0.,0.,1.)); #432380=DIRECTION('ref_axis',(1.,0.,0.)); #432381=DIRECTION('',(0.,0.,1.)); #432382=DIRECTION('center_axis',(0.,0.,-1.)); #432383=DIRECTION('ref_axis',(1.,0.,0.)); #432384=DIRECTION('center_axis',(0.,0.,1.)); #432385=DIRECTION('ref_axis',(1.,0.,0.)); #432386=DIRECTION('center_axis',(0.,0.,1.)); #432387=DIRECTION('ref_axis',(1.,0.,0.)); #432388=DIRECTION('',(0.,0.,1.)); #432389=DIRECTION('center_axis',(0.,0.,-1.)); #432390=DIRECTION('ref_axis',(1.,0.,0.)); #432391=DIRECTION('center_axis',(0.,0.,1.)); #432392=DIRECTION('ref_axis',(1.,0.,0.)); #432393=DIRECTION('center_axis',(0.,0.,1.)); #432394=DIRECTION('ref_axis',(1.,0.,0.)); #432395=DIRECTION('',(0.,0.,1.)); #432396=DIRECTION('center_axis',(0.,0.,-1.)); #432397=DIRECTION('ref_axis',(1.,0.,0.)); #432398=DIRECTION('center_axis',(0.,0.,1.)); #432399=DIRECTION('ref_axis',(1.,0.,0.)); #432400=DIRECTION('center_axis',(0.,0.,1.)); #432401=DIRECTION('ref_axis',(1.,0.,0.)); #432402=DIRECTION('',(0.,0.,1.)); #432403=DIRECTION('center_axis',(0.,0.,-1.)); #432404=DIRECTION('ref_axis',(1.,0.,0.)); #432405=DIRECTION('center_axis',(0.,0.,1.)); #432406=DIRECTION('ref_axis',(1.,0.,0.)); #432407=DIRECTION('center_axis',(0.,0.,1.)); #432408=DIRECTION('ref_axis',(1.,0.,0.)); #432409=DIRECTION('',(0.,0.,1.)); #432410=DIRECTION('center_axis',(0.,0.,-1.)); #432411=DIRECTION('ref_axis',(1.,0.,0.)); #432412=DIRECTION('center_axis',(0.,0.,1.)); #432413=DIRECTION('ref_axis',(1.,0.,0.)); #432414=DIRECTION('center_axis',(0.,0.,1.)); #432415=DIRECTION('ref_axis',(1.,0.,0.)); #432416=DIRECTION('',(0.,0.,1.)); #432417=DIRECTION('center_axis',(0.,0.,-1.)); #432418=DIRECTION('ref_axis',(1.,0.,0.)); #432419=DIRECTION('center_axis',(0.,0.,1.)); #432420=DIRECTION('ref_axis',(1.,0.,0.)); #432421=DIRECTION('center_axis',(0.,0.,1.)); #432422=DIRECTION('ref_axis',(1.,0.,0.)); #432423=DIRECTION('',(0.,0.,1.)); #432424=DIRECTION('center_axis',(0.,0.,-1.)); #432425=DIRECTION('ref_axis',(1.,0.,0.)); #432426=DIRECTION('center_axis',(0.,0.,1.)); #432427=DIRECTION('ref_axis',(1.,0.,0.)); #432428=DIRECTION('center_axis',(0.,0.,1.)); #432429=DIRECTION('ref_axis',(1.,0.,0.)); #432430=DIRECTION('',(0.,0.,1.)); #432431=DIRECTION('center_axis',(0.,0.,-1.)); #432432=DIRECTION('ref_axis',(1.,0.,0.)); #432433=DIRECTION('center_axis',(0.,0.,1.)); #432434=DIRECTION('ref_axis',(1.,0.,0.)); #432435=DIRECTION('center_axis',(0.,0.,1.)); #432436=DIRECTION('ref_axis',(1.,0.,0.)); #432437=DIRECTION('',(0.,0.,1.)); #432438=DIRECTION('center_axis',(0.,0.,-1.)); #432439=DIRECTION('ref_axis',(1.,0.,0.)); #432440=DIRECTION('center_axis',(0.,0.,1.)); #432441=DIRECTION('ref_axis',(1.,0.,0.)); #432442=DIRECTION('center_axis',(0.,0.,1.)); #432443=DIRECTION('ref_axis',(1.,0.,0.)); #432444=DIRECTION('',(0.,0.,1.)); #432445=DIRECTION('center_axis',(0.,0.,-1.)); #432446=DIRECTION('ref_axis',(1.,0.,0.)); #432447=DIRECTION('center_axis',(0.,0.,1.)); #432448=DIRECTION('ref_axis',(1.,0.,0.)); #432449=DIRECTION('center_axis',(0.,0.,1.)); #432450=DIRECTION('ref_axis',(1.,0.,0.)); #432451=DIRECTION('',(0.,0.,1.)); #432452=DIRECTION('center_axis',(0.,0.,-1.)); #432453=DIRECTION('ref_axis',(1.,0.,0.)); #432454=DIRECTION('center_axis',(0.,0.,1.)); #432455=DIRECTION('ref_axis',(1.,0.,0.)); #432456=DIRECTION('center_axis',(0.,0.,1.)); #432457=DIRECTION('ref_axis',(1.,0.,0.)); #432458=DIRECTION('',(0.,0.,1.)); #432459=DIRECTION('center_axis',(0.,0.,-1.)); #432460=DIRECTION('ref_axis',(1.,0.,0.)); #432461=DIRECTION('center_axis',(0.,0.,1.)); #432462=DIRECTION('ref_axis',(1.,0.,0.)); #432463=DIRECTION('center_axis',(0.,0.,1.)); #432464=DIRECTION('ref_axis',(1.,0.,0.)); #432465=DIRECTION('',(0.,0.,1.)); #432466=DIRECTION('center_axis',(0.,0.,-1.)); #432467=DIRECTION('ref_axis',(1.,0.,0.)); #432468=DIRECTION('center_axis',(0.,0.,1.)); #432469=DIRECTION('ref_axis',(1.,0.,0.)); #432470=DIRECTION('center_axis',(0.,0.,1.)); #432471=DIRECTION('ref_axis',(1.,0.,0.)); #432472=DIRECTION('',(0.,0.,1.)); #432473=DIRECTION('center_axis',(0.,0.,-1.)); #432474=DIRECTION('ref_axis',(1.,0.,0.)); #432475=DIRECTION('center_axis',(0.,0.,1.)); #432476=DIRECTION('ref_axis',(1.,0.,0.)); #432477=DIRECTION('center_axis',(0.,0.,1.)); #432478=DIRECTION('ref_axis',(1.,0.,0.)); #432479=DIRECTION('',(0.,0.,1.)); #432480=DIRECTION('center_axis',(0.,0.,-1.)); #432481=DIRECTION('ref_axis',(1.,0.,0.)); #432482=DIRECTION('center_axis',(0.,0.,1.)); #432483=DIRECTION('ref_axis',(1.,0.,0.)); #432484=DIRECTION('center_axis',(0.,0.,1.)); #432485=DIRECTION('ref_axis',(1.,0.,0.)); #432486=DIRECTION('',(0.,0.,1.)); #432487=DIRECTION('center_axis',(0.,0.,-1.)); #432488=DIRECTION('ref_axis',(1.,0.,0.)); #432489=DIRECTION('center_axis',(0.,0.,1.)); #432490=DIRECTION('ref_axis',(1.,0.,0.)); #432491=DIRECTION('center_axis',(0.,0.,1.)); #432492=DIRECTION('ref_axis',(1.,0.,0.)); #432493=DIRECTION('',(0.,0.,1.)); #432494=DIRECTION('center_axis',(0.,0.,-1.)); #432495=DIRECTION('ref_axis',(1.,0.,0.)); #432496=DIRECTION('center_axis',(0.,0.,1.)); #432497=DIRECTION('ref_axis',(1.,0.,0.)); #432498=DIRECTION('center_axis',(0.,0.,1.)); #432499=DIRECTION('ref_axis',(1.,0.,0.)); #432500=DIRECTION('',(0.,0.,1.)); #432501=DIRECTION('center_axis',(0.,0.,-1.)); #432502=DIRECTION('ref_axis',(1.,0.,0.)); #432503=DIRECTION('center_axis',(0.,0.,1.)); #432504=DIRECTION('ref_axis',(1.,0.,0.)); #432505=DIRECTION('center_axis',(0.,0.,1.)); #432506=DIRECTION('ref_axis',(1.,0.,0.)); #432507=DIRECTION('',(0.,0.,1.)); #432508=DIRECTION('center_axis',(0.,0.,-1.)); #432509=DIRECTION('ref_axis',(1.,0.,0.)); #432510=DIRECTION('center_axis',(0.,0.,1.)); #432511=DIRECTION('ref_axis',(1.,0.,0.)); #432512=DIRECTION('center_axis',(0.,0.,1.)); #432513=DIRECTION('ref_axis',(1.,0.,0.)); #432514=DIRECTION('',(0.,0.,1.)); #432515=DIRECTION('center_axis',(0.,0.,-1.)); #432516=DIRECTION('ref_axis',(1.,0.,0.)); #432517=DIRECTION('center_axis',(0.,0.,1.)); #432518=DIRECTION('ref_axis',(1.,0.,0.)); #432519=DIRECTION('center_axis',(0.,0.,1.)); #432520=DIRECTION('ref_axis',(1.,0.,0.)); #432521=DIRECTION('',(0.,0.,1.)); #432522=DIRECTION('center_axis',(0.,0.,-1.)); #432523=DIRECTION('ref_axis',(1.,0.,0.)); #432524=DIRECTION('center_axis',(0.,0.,1.)); #432525=DIRECTION('ref_axis',(1.,0.,0.)); #432526=DIRECTION('center_axis',(0.,0.,1.)); #432527=DIRECTION('ref_axis',(1.,0.,0.)); #432528=DIRECTION('',(0.,0.,1.)); #432529=DIRECTION('center_axis',(0.,0.,-1.)); #432530=DIRECTION('ref_axis',(1.,0.,0.)); #432531=DIRECTION('center_axis',(0.,0.,1.)); #432532=DIRECTION('ref_axis',(1.,0.,0.)); #432533=DIRECTION('center_axis',(0.,0.,1.)); #432534=DIRECTION('ref_axis',(1.,0.,0.)); #432535=DIRECTION('',(0.,0.,1.)); #432536=DIRECTION('center_axis',(0.,0.,-1.)); #432537=DIRECTION('ref_axis',(1.,0.,0.)); #432538=DIRECTION('center_axis',(0.,0.,1.)); #432539=DIRECTION('ref_axis',(1.,0.,0.)); #432540=DIRECTION('center_axis',(0.,0.,1.)); #432541=DIRECTION('ref_axis',(1.,0.,0.)); #432542=DIRECTION('',(0.,0.,1.)); #432543=DIRECTION('center_axis',(0.,0.,-1.)); #432544=DIRECTION('ref_axis',(1.,0.,0.)); #432545=DIRECTION('center_axis',(0.,0.,1.)); #432546=DIRECTION('ref_axis',(1.,0.,0.)); #432547=DIRECTION('center_axis',(0.,0.,1.)); #432548=DIRECTION('ref_axis',(1.,0.,0.)); #432549=DIRECTION('',(0.,0.,1.)); #432550=DIRECTION('center_axis',(0.,0.,-1.)); #432551=DIRECTION('ref_axis',(1.,0.,0.)); #432552=DIRECTION('center_axis',(0.,0.,1.)); #432553=DIRECTION('ref_axis',(1.,0.,0.)); #432554=DIRECTION('center_axis',(0.,0.,1.)); #432555=DIRECTION('ref_axis',(1.,0.,0.)); #432556=DIRECTION('',(0.,0.,1.)); #432557=DIRECTION('center_axis',(0.,0.,-1.)); #432558=DIRECTION('ref_axis',(1.,0.,0.)); #432559=DIRECTION('center_axis',(0.,0.,1.)); #432560=DIRECTION('ref_axis',(1.,0.,0.)); #432561=DIRECTION('center_axis',(0.,0.,1.)); #432562=DIRECTION('ref_axis',(1.,0.,0.)); #432563=DIRECTION('',(0.,0.,1.)); #432564=DIRECTION('center_axis',(0.,0.,-1.)); #432565=DIRECTION('ref_axis',(1.,0.,0.)); #432566=DIRECTION('center_axis',(0.,0.,1.)); #432567=DIRECTION('ref_axis',(1.,0.,0.)); #432568=DIRECTION('center_axis',(0.,0.,1.)); #432569=DIRECTION('ref_axis',(1.,0.,0.)); #432570=DIRECTION('',(0.,0.,1.)); #432571=DIRECTION('center_axis',(0.,0.,-1.)); #432572=DIRECTION('ref_axis',(1.,0.,0.)); #432573=DIRECTION('center_axis',(0.,0.,1.)); #432574=DIRECTION('ref_axis',(1.,0.,0.)); #432575=DIRECTION('center_axis',(0.,0.,1.)); #432576=DIRECTION('ref_axis',(1.,0.,0.)); #432577=DIRECTION('',(0.,0.,1.)); #432578=DIRECTION('center_axis',(0.,0.,-1.)); #432579=DIRECTION('ref_axis',(1.,0.,0.)); #432580=DIRECTION('center_axis',(0.,0.,1.)); #432581=DIRECTION('ref_axis',(1.,0.,0.)); #432582=DIRECTION('center_axis',(0.,0.,1.)); #432583=DIRECTION('ref_axis',(1.,0.,0.)); #432584=DIRECTION('',(0.,0.,1.)); #432585=DIRECTION('center_axis',(0.,0.,-1.)); #432586=DIRECTION('ref_axis',(1.,0.,0.)); #432587=DIRECTION('center_axis',(0.,0.,1.)); #432588=DIRECTION('ref_axis',(1.,0.,0.)); #432589=DIRECTION('center_axis',(0.,0.,1.)); #432590=DIRECTION('ref_axis',(1.,0.,0.)); #432591=DIRECTION('',(0.,0.,1.)); #432592=DIRECTION('center_axis',(0.,0.,-1.)); #432593=DIRECTION('ref_axis',(1.,0.,0.)); #432594=DIRECTION('center_axis',(0.,0.,1.)); #432595=DIRECTION('ref_axis',(1.,0.,0.)); #432596=DIRECTION('center_axis',(0.,0.,1.)); #432597=DIRECTION('ref_axis',(1.,0.,0.)); #432598=DIRECTION('',(0.,0.,1.)); #432599=DIRECTION('center_axis',(0.,0.,-1.)); #432600=DIRECTION('ref_axis',(1.,0.,0.)); #432601=DIRECTION('center_axis',(0.,0.,1.)); #432602=DIRECTION('ref_axis',(1.,0.,0.)); #432603=DIRECTION('center_axis',(0.,0.,1.)); #432604=DIRECTION('ref_axis',(1.,0.,0.)); #432605=DIRECTION('',(0.,0.,1.)); #432606=DIRECTION('center_axis',(0.,0.,-1.)); #432607=DIRECTION('ref_axis',(1.,0.,0.)); #432608=DIRECTION('center_axis',(0.,0.,1.)); #432609=DIRECTION('ref_axis',(1.,0.,0.)); #432610=DIRECTION('center_axis',(0.,0.,1.)); #432611=DIRECTION('ref_axis',(1.,0.,0.)); #432612=DIRECTION('',(0.,0.,1.)); #432613=DIRECTION('center_axis',(0.,0.,-1.)); #432614=DIRECTION('ref_axis',(1.,0.,0.)); #432615=DIRECTION('center_axis',(0.,0.,1.)); #432616=DIRECTION('ref_axis',(1.,0.,0.)); #432617=DIRECTION('center_axis',(0.,0.,1.)); #432618=DIRECTION('ref_axis',(1.,0.,0.)); #432619=DIRECTION('',(0.,0.,1.)); #432620=DIRECTION('center_axis',(0.,0.,-1.)); #432621=DIRECTION('ref_axis',(1.,0.,0.)); #432622=DIRECTION('center_axis',(0.,0.,1.)); #432623=DIRECTION('ref_axis',(1.,0.,0.)); #432624=DIRECTION('center_axis',(0.,0.,1.)); #432625=DIRECTION('ref_axis',(1.,0.,0.)); #432626=DIRECTION('',(0.,0.,1.)); #432627=DIRECTION('center_axis',(0.,0.,-1.)); #432628=DIRECTION('ref_axis',(1.,0.,0.)); #432629=DIRECTION('center_axis',(0.,0.,1.)); #432630=DIRECTION('ref_axis',(1.,0.,0.)); #432631=DIRECTION('center_axis',(0.,0.,1.)); #432632=DIRECTION('ref_axis',(1.,0.,0.)); #432633=DIRECTION('',(0.,0.,1.)); #432634=DIRECTION('center_axis',(0.,0.,-1.)); #432635=DIRECTION('ref_axis',(1.,0.,0.)); #432636=DIRECTION('center_axis',(0.,0.,1.)); #432637=DIRECTION('ref_axis',(1.,0.,0.)); #432638=DIRECTION('center_axis',(0.,0.,1.)); #432639=DIRECTION('ref_axis',(1.,0.,0.)); #432640=DIRECTION('',(0.,0.,1.)); #432641=DIRECTION('center_axis',(0.,0.,-1.)); #432642=DIRECTION('ref_axis',(1.,0.,0.)); #432643=DIRECTION('center_axis',(0.,0.,1.)); #432644=DIRECTION('ref_axis',(1.,0.,0.)); #432645=DIRECTION('center_axis',(0.,0.,1.)); #432646=DIRECTION('ref_axis',(1.,0.,0.)); #432647=DIRECTION('',(0.,0.,1.)); #432648=DIRECTION('center_axis',(0.,0.,-1.)); #432649=DIRECTION('ref_axis',(1.,0.,0.)); #432650=DIRECTION('center_axis',(0.,0.,1.)); #432651=DIRECTION('ref_axis',(1.,0.,0.)); #432652=DIRECTION('center_axis',(0.,0.,1.)); #432653=DIRECTION('ref_axis',(1.,0.,0.)); #432654=DIRECTION('',(0.,0.,1.)); #432655=DIRECTION('center_axis',(0.,0.,-1.)); #432656=DIRECTION('ref_axis',(1.,0.,0.)); #432657=DIRECTION('center_axis',(0.,0.,1.)); #432658=DIRECTION('ref_axis',(1.,0.,0.)); #432659=DIRECTION('center_axis',(0.,0.,1.)); #432660=DIRECTION('ref_axis',(1.,0.,0.)); #432661=DIRECTION('',(0.,0.,1.)); #432662=DIRECTION('center_axis',(0.,0.,-1.)); #432663=DIRECTION('ref_axis',(1.,0.,0.)); #432664=DIRECTION('center_axis',(0.,0.,1.)); #432665=DIRECTION('ref_axis',(1.,0.,0.)); #432666=DIRECTION('center_axis',(0.,0.,1.)); #432667=DIRECTION('ref_axis',(1.,0.,0.)); #432668=DIRECTION('',(0.,0.,1.)); #432669=DIRECTION('center_axis',(0.,0.,-1.)); #432670=DIRECTION('ref_axis',(1.,0.,0.)); #432671=DIRECTION('center_axis',(0.,0.,1.)); #432672=DIRECTION('ref_axis',(1.,0.,0.)); #432673=DIRECTION('center_axis',(0.,0.,1.)); #432674=DIRECTION('ref_axis',(1.,0.,0.)); #432675=DIRECTION('',(0.,0.,1.)); #432676=DIRECTION('center_axis',(0.,0.,-1.)); #432677=DIRECTION('ref_axis',(1.,0.,0.)); #432678=DIRECTION('center_axis',(0.,0.,1.)); #432679=DIRECTION('ref_axis',(1.,0.,0.)); #432680=DIRECTION('center_axis',(0.,0.,1.)); #432681=DIRECTION('ref_axis',(1.,0.,0.)); #432682=DIRECTION('',(0.,0.,1.)); #432683=DIRECTION('center_axis',(0.,0.,-1.)); #432684=DIRECTION('ref_axis',(1.,0.,0.)); #432685=DIRECTION('center_axis',(0.,0.,1.)); #432686=DIRECTION('ref_axis',(1.,0.,0.)); #432687=DIRECTION('center_axis',(0.,0.,1.)); #432688=DIRECTION('ref_axis',(1.,0.,0.)); #432689=DIRECTION('',(0.,0.,1.)); #432690=DIRECTION('center_axis',(0.,0.,-1.)); #432691=DIRECTION('ref_axis',(1.,0.,0.)); #432692=DIRECTION('center_axis',(0.,0.,1.)); #432693=DIRECTION('ref_axis',(1.,0.,0.)); #432694=DIRECTION('center_axis',(0.,0.,1.)); #432695=DIRECTION('ref_axis',(1.,0.,0.)); #432696=DIRECTION('',(0.,0.,1.)); #432697=DIRECTION('center_axis',(0.,0.,-1.)); #432698=DIRECTION('ref_axis',(1.,0.,0.)); #432699=DIRECTION('center_axis',(0.,0.,1.)); #432700=DIRECTION('ref_axis',(1.,0.,0.)); #432701=DIRECTION('center_axis',(0.,0.,1.)); #432702=DIRECTION('ref_axis',(1.,0.,0.)); #432703=DIRECTION('',(0.,0.,1.)); #432704=DIRECTION('center_axis',(0.,0.,-1.)); #432705=DIRECTION('ref_axis',(1.,0.,0.)); #432706=DIRECTION('center_axis',(0.,0.,1.)); #432707=DIRECTION('ref_axis',(1.,0.,0.)); #432708=DIRECTION('center_axis',(0.,0.,1.)); #432709=DIRECTION('ref_axis',(1.,0.,0.)); #432710=DIRECTION('',(0.,0.,1.)); #432711=DIRECTION('center_axis',(0.,0.,-1.)); #432712=DIRECTION('ref_axis',(1.,0.,0.)); #432713=DIRECTION('center_axis',(0.,0.,1.)); #432714=DIRECTION('ref_axis',(1.,0.,0.)); #432715=DIRECTION('center_axis',(0.,0.,1.)); #432716=DIRECTION('ref_axis',(1.,0.,0.)); #432717=DIRECTION('',(0.,0.,1.)); #432718=DIRECTION('center_axis',(0.,0.,-1.)); #432719=DIRECTION('ref_axis',(1.,0.,0.)); #432720=DIRECTION('center_axis',(0.,0.,1.)); #432721=DIRECTION('ref_axis',(1.,0.,0.)); #432722=DIRECTION('center_axis',(0.,0.,1.)); #432723=DIRECTION('ref_axis',(1.,0.,0.)); #432724=DIRECTION('',(0.,0.,1.)); #432725=DIRECTION('center_axis',(0.,0.,-1.)); #432726=DIRECTION('ref_axis',(1.,0.,0.)); #432727=DIRECTION('center_axis',(0.,0.,1.)); #432728=DIRECTION('ref_axis',(1.,0.,0.)); #432729=DIRECTION('center_axis',(0.,0.,1.)); #432730=DIRECTION('ref_axis',(1.,0.,0.)); #432731=DIRECTION('',(0.,0.,1.)); #432732=DIRECTION('center_axis',(0.,0.,-1.)); #432733=DIRECTION('ref_axis',(1.,0.,0.)); #432734=DIRECTION('center_axis',(0.,0.,1.)); #432735=DIRECTION('ref_axis',(1.,0.,0.)); #432736=DIRECTION('center_axis',(0.,0.,1.)); #432737=DIRECTION('ref_axis',(1.,0.,0.)); #432738=DIRECTION('',(0.,0.,1.)); #432739=DIRECTION('center_axis',(0.,0.,-1.)); #432740=DIRECTION('ref_axis',(1.,0.,0.)); #432741=DIRECTION('center_axis',(0.,0.,1.)); #432742=DIRECTION('ref_axis',(1.,0.,0.)); #432743=DIRECTION('center_axis',(0.,0.,1.)); #432744=DIRECTION('ref_axis',(1.,0.,0.)); #432745=DIRECTION('',(0.,0.,1.)); #432746=DIRECTION('center_axis',(0.,0.,-1.)); #432747=DIRECTION('ref_axis',(1.,0.,0.)); #432748=DIRECTION('center_axis',(0.,0.,1.)); #432749=DIRECTION('ref_axis',(1.,0.,0.)); #432750=DIRECTION('center_axis',(0.,0.,1.)); #432751=DIRECTION('ref_axis',(1.,0.,0.)); #432752=DIRECTION('',(0.,0.,1.)); #432753=DIRECTION('center_axis',(0.,0.,-1.)); #432754=DIRECTION('ref_axis',(1.,0.,0.)); #432755=DIRECTION('center_axis',(0.,0.,1.)); #432756=DIRECTION('ref_axis',(1.,0.,0.)); #432757=DIRECTION('center_axis',(0.,0.,1.)); #432758=DIRECTION('ref_axis',(1.,0.,0.)); #432759=DIRECTION('',(0.,0.,1.)); #432760=DIRECTION('center_axis',(0.,0.,-1.)); #432761=DIRECTION('ref_axis',(1.,0.,0.)); #432762=DIRECTION('center_axis',(0.,0.,1.)); #432763=DIRECTION('ref_axis',(1.,0.,0.)); #432764=DIRECTION('center_axis',(0.,0.,1.)); #432765=DIRECTION('ref_axis',(1.,0.,0.)); #432766=DIRECTION('',(0.,0.,1.)); #432767=DIRECTION('center_axis',(0.,0.,-1.)); #432768=DIRECTION('ref_axis',(1.,0.,0.)); #432769=DIRECTION('center_axis',(0.,0.,1.)); #432770=DIRECTION('ref_axis',(1.,0.,0.)); #432771=DIRECTION('center_axis',(0.,0.,1.)); #432772=DIRECTION('ref_axis',(1.,0.,0.)); #432773=DIRECTION('',(0.,0.,1.)); #432774=DIRECTION('center_axis',(0.,0.,-1.)); #432775=DIRECTION('ref_axis',(1.,0.,0.)); #432776=DIRECTION('center_axis',(0.,0.,1.)); #432777=DIRECTION('ref_axis',(1.,0.,0.)); #432778=DIRECTION('center_axis',(0.,0.,1.)); #432779=DIRECTION('ref_axis',(1.,0.,0.)); #432780=DIRECTION('',(0.,0.,1.)); #432781=DIRECTION('center_axis',(0.,0.,-1.)); #432782=DIRECTION('ref_axis',(1.,0.,0.)); #432783=DIRECTION('center_axis',(0.,0.,1.)); #432784=DIRECTION('ref_axis',(1.,0.,0.)); #432785=DIRECTION('center_axis',(0.,0.,1.)); #432786=DIRECTION('ref_axis',(1.,0.,0.)); #432787=DIRECTION('',(0.,0.,1.)); #432788=DIRECTION('center_axis',(0.,0.,-1.)); #432789=DIRECTION('ref_axis',(1.,0.,0.)); #432790=DIRECTION('center_axis',(0.,0.,1.)); #432791=DIRECTION('ref_axis',(1.,0.,0.)); #432792=DIRECTION('center_axis',(0.,0.,1.)); #432793=DIRECTION('ref_axis',(1.,0.,0.)); #432794=DIRECTION('',(0.,0.,1.)); #432795=DIRECTION('center_axis',(0.,0.,-1.)); #432796=DIRECTION('ref_axis',(1.,0.,0.)); #432797=DIRECTION('center_axis',(0.,0.,1.)); #432798=DIRECTION('ref_axis',(1.,0.,0.)); #432799=DIRECTION('center_axis',(0.,0.,1.)); #432800=DIRECTION('ref_axis',(1.,0.,0.)); #432801=DIRECTION('',(0.,0.,1.)); #432802=DIRECTION('center_axis',(0.,0.,-1.)); #432803=DIRECTION('ref_axis',(1.,0.,0.)); #432804=DIRECTION('center_axis',(0.,0.,1.)); #432805=DIRECTION('ref_axis',(1.,0.,0.)); #432806=DIRECTION('center_axis',(0.,0.,1.)); #432807=DIRECTION('ref_axis',(1.,0.,0.)); #432808=DIRECTION('',(0.,0.,1.)); #432809=DIRECTION('center_axis',(0.,0.,-1.)); #432810=DIRECTION('ref_axis',(1.,0.,0.)); #432811=DIRECTION('center_axis',(0.,0.,1.)); #432812=DIRECTION('ref_axis',(1.,0.,0.)); #432813=DIRECTION('center_axis',(0.,0.,1.)); #432814=DIRECTION('ref_axis',(1.,0.,0.)); #432815=DIRECTION('',(0.,0.,1.)); #432816=DIRECTION('center_axis',(0.,0.,-1.)); #432817=DIRECTION('ref_axis',(1.,0.,0.)); #432818=DIRECTION('center_axis',(0.,0.,1.)); #432819=DIRECTION('ref_axis',(1.,0.,0.)); #432820=DIRECTION('center_axis',(0.,0.,1.)); #432821=DIRECTION('ref_axis',(1.,0.,0.)); #432822=DIRECTION('',(0.,0.,1.)); #432823=DIRECTION('center_axis',(0.,0.,-1.)); #432824=DIRECTION('ref_axis',(1.,0.,0.)); #432825=DIRECTION('center_axis',(0.,0.,1.)); #432826=DIRECTION('ref_axis',(1.,0.,0.)); #432827=DIRECTION('center_axis',(0.,0.,1.)); #432828=DIRECTION('ref_axis',(1.,0.,0.)); #432829=DIRECTION('',(0.,0.,1.)); #432830=DIRECTION('center_axis',(0.,0.,-1.)); #432831=DIRECTION('ref_axis',(1.,0.,0.)); #432832=DIRECTION('center_axis',(0.,0.,1.)); #432833=DIRECTION('ref_axis',(1.,0.,0.)); #432834=DIRECTION('center_axis',(0.,0.,1.)); #432835=DIRECTION('ref_axis',(1.,0.,0.)); #432836=DIRECTION('',(0.,0.,1.)); #432837=DIRECTION('center_axis',(0.,0.,-1.)); #432838=DIRECTION('ref_axis',(1.,0.,0.)); #432839=DIRECTION('center_axis',(0.,0.,1.)); #432840=DIRECTION('ref_axis',(1.,0.,0.)); #432841=DIRECTION('center_axis',(0.,0.,1.)); #432842=DIRECTION('ref_axis',(1.,0.,0.)); #432843=DIRECTION('',(0.,0.,1.)); #432844=DIRECTION('center_axis',(0.,0.,-1.)); #432845=DIRECTION('ref_axis',(1.,0.,0.)); #432846=DIRECTION('center_axis',(0.,0.,1.)); #432847=DIRECTION('ref_axis',(1.,0.,0.)); #432848=DIRECTION('center_axis',(0.,0.,1.)); #432849=DIRECTION('ref_axis',(1.,0.,0.)); #432850=DIRECTION('',(0.,0.,1.)); #432851=DIRECTION('center_axis',(0.,0.,-1.)); #432852=DIRECTION('ref_axis',(1.,0.,0.)); #432853=DIRECTION('center_axis',(0.,0.,1.)); #432854=DIRECTION('ref_axis',(1.,0.,0.)); #432855=DIRECTION('center_axis',(0.,0.,1.)); #432856=DIRECTION('ref_axis',(1.,0.,0.)); #432857=DIRECTION('',(0.,0.,1.)); #432858=DIRECTION('center_axis',(0.,0.,-1.)); #432859=DIRECTION('ref_axis',(1.,0.,0.)); #432860=DIRECTION('center_axis',(0.,0.,1.)); #432861=DIRECTION('ref_axis',(1.,0.,0.)); #432862=DIRECTION('center_axis',(0.,0.,1.)); #432863=DIRECTION('ref_axis',(1.,0.,0.)); #432864=DIRECTION('',(0.,0.,1.)); #432865=DIRECTION('center_axis',(0.,0.,-1.)); #432866=DIRECTION('ref_axis',(1.,0.,0.)); #432867=DIRECTION('center_axis',(0.,0.,1.)); #432868=DIRECTION('ref_axis',(1.,0.,0.)); #432869=DIRECTION('center_axis',(0.,0.,1.)); #432870=DIRECTION('ref_axis',(1.,0.,0.)); #432871=DIRECTION('',(0.,0.,1.)); #432872=DIRECTION('center_axis',(0.,0.,-1.)); #432873=DIRECTION('ref_axis',(1.,0.,0.)); #432874=DIRECTION('center_axis',(0.,0.,1.)); #432875=DIRECTION('ref_axis',(1.,0.,0.)); #432876=DIRECTION('center_axis',(0.,0.,1.)); #432877=DIRECTION('ref_axis',(1.,0.,0.)); #432878=DIRECTION('',(0.,0.,1.)); #432879=DIRECTION('center_axis',(0.,0.,-1.)); #432880=DIRECTION('ref_axis',(1.,0.,0.)); #432881=DIRECTION('center_axis',(0.,0.,1.)); #432882=DIRECTION('ref_axis',(1.,0.,0.)); #432883=DIRECTION('center_axis',(0.,0.,1.)); #432884=DIRECTION('ref_axis',(1.,0.,0.)); #432885=DIRECTION('',(0.,0.,1.)); #432886=DIRECTION('center_axis',(0.,0.,-1.)); #432887=DIRECTION('ref_axis',(1.,0.,0.)); #432888=DIRECTION('center_axis',(0.,0.,1.)); #432889=DIRECTION('ref_axis',(1.,0.,0.)); #432890=DIRECTION('center_axis',(0.,0.,1.)); #432891=DIRECTION('ref_axis',(1.,0.,0.)); #432892=DIRECTION('',(0.,0.,1.)); #432893=DIRECTION('center_axis',(0.,0.,-1.)); #432894=DIRECTION('ref_axis',(1.,0.,0.)); #432895=DIRECTION('center_axis',(0.,0.,1.)); #432896=DIRECTION('ref_axis',(1.,0.,0.)); #432897=DIRECTION('center_axis',(0.,0.,1.)); #432898=DIRECTION('ref_axis',(1.,0.,0.)); #432899=DIRECTION('',(0.,0.,1.)); #432900=DIRECTION('center_axis',(0.,0.,-1.)); #432901=DIRECTION('ref_axis',(1.,0.,0.)); #432902=DIRECTION('center_axis',(0.,0.,1.)); #432903=DIRECTION('ref_axis',(1.,0.,0.)); #432904=DIRECTION('center_axis',(0.,0.,1.)); #432905=DIRECTION('ref_axis',(1.,0.,0.)); #432906=DIRECTION('',(0.,0.,1.)); #432907=DIRECTION('center_axis',(0.,0.,-1.)); #432908=DIRECTION('ref_axis',(1.,0.,0.)); #432909=DIRECTION('center_axis',(0.,0.,1.)); #432910=DIRECTION('ref_axis',(1.,0.,0.)); #432911=DIRECTION('center_axis',(0.,0.,1.)); #432912=DIRECTION('ref_axis',(1.,0.,0.)); #432913=DIRECTION('',(0.,0.,1.)); #432914=DIRECTION('center_axis',(0.,0.,-1.)); #432915=DIRECTION('ref_axis',(1.,0.,0.)); #432916=DIRECTION('center_axis',(0.,0.,1.)); #432917=DIRECTION('ref_axis',(1.,0.,0.)); #432918=DIRECTION('center_axis',(0.,0.,1.)); #432919=DIRECTION('ref_axis',(1.,0.,0.)); #432920=DIRECTION('',(0.,0.,1.)); #432921=DIRECTION('center_axis',(0.,0.,-1.)); #432922=DIRECTION('ref_axis',(1.,0.,0.)); #432923=DIRECTION('center_axis',(0.,0.,1.)); #432924=DIRECTION('ref_axis',(1.,0.,0.)); #432925=DIRECTION('center_axis',(0.,0.,1.)); #432926=DIRECTION('ref_axis',(1.,0.,0.)); #432927=DIRECTION('',(0.,0.,1.)); #432928=DIRECTION('center_axis',(0.,0.,-1.)); #432929=DIRECTION('ref_axis',(1.,0.,0.)); #432930=DIRECTION('center_axis',(0.,0.,1.)); #432931=DIRECTION('ref_axis',(1.,0.,0.)); #432932=DIRECTION('center_axis',(0.,0.,1.)); #432933=DIRECTION('ref_axis',(1.,0.,0.)); #432934=DIRECTION('',(0.,0.,1.)); #432935=DIRECTION('center_axis',(0.,0.,-1.)); #432936=DIRECTION('ref_axis',(1.,0.,0.)); #432937=DIRECTION('center_axis',(0.,0.,1.)); #432938=DIRECTION('ref_axis',(1.,0.,0.)); #432939=DIRECTION('center_axis',(0.,0.,1.)); #432940=DIRECTION('ref_axis',(1.,0.,0.)); #432941=DIRECTION('',(0.,0.,1.)); #432942=DIRECTION('center_axis',(0.,0.,-1.)); #432943=DIRECTION('ref_axis',(1.,0.,0.)); #432944=DIRECTION('center_axis',(0.,0.,1.)); #432945=DIRECTION('ref_axis',(1.,0.,0.)); #432946=DIRECTION('center_axis',(0.,0.,1.)); #432947=DIRECTION('ref_axis',(1.,0.,0.)); #432948=DIRECTION('',(0.,0.,1.)); #432949=DIRECTION('center_axis',(0.,0.,-1.)); #432950=DIRECTION('ref_axis',(1.,0.,0.)); #432951=DIRECTION('center_axis',(0.,0.,1.)); #432952=DIRECTION('ref_axis',(1.,0.,0.)); #432953=DIRECTION('center_axis',(0.,0.,1.)); #432954=DIRECTION('ref_axis',(1.,0.,0.)); #432955=DIRECTION('',(0.,0.,1.)); #432956=DIRECTION('center_axis',(0.,0.,-1.)); #432957=DIRECTION('ref_axis',(1.,0.,0.)); #432958=DIRECTION('center_axis',(0.,0.,1.)); #432959=DIRECTION('ref_axis',(1.,0.,0.)); #432960=DIRECTION('center_axis',(0.,0.,1.)); #432961=DIRECTION('ref_axis',(1.,0.,0.)); #432962=DIRECTION('',(0.,0.,1.)); #432963=DIRECTION('center_axis',(0.,0.,-1.)); #432964=DIRECTION('ref_axis',(1.,0.,0.)); #432965=DIRECTION('center_axis',(0.,0.,1.)); #432966=DIRECTION('ref_axis',(1.,0.,0.)); #432967=DIRECTION('center_axis',(0.,0.,1.)); #432968=DIRECTION('ref_axis',(1.,0.,0.)); #432969=DIRECTION('',(0.,0.,1.)); #432970=DIRECTION('center_axis',(0.,0.,-1.)); #432971=DIRECTION('ref_axis',(1.,0.,0.)); #432972=DIRECTION('center_axis',(0.,0.,1.)); #432973=DIRECTION('ref_axis',(1.,0.,0.)); #432974=DIRECTION('center_axis',(0.,0.,1.)); #432975=DIRECTION('ref_axis',(1.,0.,0.)); #432976=DIRECTION('',(0.,0.,1.)); #432977=DIRECTION('center_axis',(0.,0.,-1.)); #432978=DIRECTION('ref_axis',(1.,0.,0.)); #432979=DIRECTION('center_axis',(0.,0.,1.)); #432980=DIRECTION('ref_axis',(1.,0.,0.)); #432981=DIRECTION('center_axis',(0.,0.,1.)); #432982=DIRECTION('ref_axis',(1.,0.,0.)); #432983=DIRECTION('',(0.,0.,1.)); #432984=DIRECTION('center_axis',(0.,0.,-1.)); #432985=DIRECTION('ref_axis',(1.,0.,0.)); #432986=DIRECTION('center_axis',(0.,0.,1.)); #432987=DIRECTION('ref_axis',(1.,0.,0.)); #432988=DIRECTION('center_axis',(0.,0.,1.)); #432989=DIRECTION('ref_axis',(1.,0.,0.)); #432990=DIRECTION('',(0.,0.,1.)); #432991=DIRECTION('center_axis',(0.,0.,-1.)); #432992=DIRECTION('ref_axis',(1.,0.,0.)); #432993=DIRECTION('center_axis',(0.,0.,1.)); #432994=DIRECTION('ref_axis',(1.,0.,0.)); #432995=DIRECTION('center_axis',(0.,0.,1.)); #432996=DIRECTION('ref_axis',(1.,0.,0.)); #432997=DIRECTION('',(0.,0.,1.)); #432998=DIRECTION('center_axis',(0.,0.,-1.)); #432999=DIRECTION('ref_axis',(1.,0.,0.)); #433000=DIRECTION('center_axis',(0.,0.,1.)); #433001=DIRECTION('ref_axis',(1.,0.,0.)); #433002=DIRECTION('center_axis',(0.,0.,1.)); #433003=DIRECTION('ref_axis',(1.,0.,0.)); #433004=DIRECTION('',(0.,0.,1.)); #433005=DIRECTION('center_axis',(0.,0.,-1.)); #433006=DIRECTION('ref_axis',(1.,0.,0.)); #433007=DIRECTION('center_axis',(0.,0.,1.)); #433008=DIRECTION('ref_axis',(1.,0.,0.)); #433009=DIRECTION('center_axis',(0.,0.,1.)); #433010=DIRECTION('ref_axis',(1.,0.,0.)); #433011=DIRECTION('',(0.,0.,1.)); #433012=DIRECTION('center_axis',(0.,0.,-1.)); #433013=DIRECTION('ref_axis',(1.,0.,0.)); #433014=DIRECTION('center_axis',(0.,0.,1.)); #433015=DIRECTION('ref_axis',(1.,0.,0.)); #433016=DIRECTION('center_axis',(0.,0.,1.)); #433017=DIRECTION('ref_axis',(1.,0.,0.)); #433018=DIRECTION('',(0.,0.,1.)); #433019=DIRECTION('center_axis',(0.,0.,-1.)); #433020=DIRECTION('ref_axis',(1.,0.,0.)); #433021=DIRECTION('center_axis',(0.,0.,1.)); #433022=DIRECTION('ref_axis',(1.,0.,0.)); #433023=DIRECTION('center_axis',(0.,0.,1.)); #433024=DIRECTION('ref_axis',(1.,0.,0.)); #433025=DIRECTION('',(0.,0.,1.)); #433026=DIRECTION('center_axis',(0.,0.,-1.)); #433027=DIRECTION('ref_axis',(1.,0.,0.)); #433028=DIRECTION('center_axis',(0.,0.,1.)); #433029=DIRECTION('ref_axis',(1.,0.,0.)); #433030=DIRECTION('center_axis',(0.,0.,1.)); #433031=DIRECTION('ref_axis',(1.,0.,0.)); #433032=DIRECTION('',(0.,0.,1.)); #433033=DIRECTION('center_axis',(0.,0.,-1.)); #433034=DIRECTION('ref_axis',(1.,0.,0.)); #433035=DIRECTION('center_axis',(0.,0.,1.)); #433036=DIRECTION('ref_axis',(1.,0.,0.)); #433037=DIRECTION('center_axis',(0.,0.,1.)); #433038=DIRECTION('ref_axis',(1.,0.,0.)); #433039=DIRECTION('',(0.,0.,1.)); #433040=DIRECTION('center_axis',(0.,0.,-1.)); #433041=DIRECTION('ref_axis',(1.,0.,0.)); #433042=DIRECTION('center_axis',(0.,0.,1.)); #433043=DIRECTION('ref_axis',(1.,0.,0.)); #433044=DIRECTION('center_axis',(0.,0.,1.)); #433045=DIRECTION('ref_axis',(1.,0.,0.)); #433046=DIRECTION('',(0.,0.,1.)); #433047=DIRECTION('center_axis',(0.,0.,-1.)); #433048=DIRECTION('ref_axis',(1.,0.,0.)); #433049=DIRECTION('center_axis',(0.,0.,1.)); #433050=DIRECTION('ref_axis',(1.,0.,0.)); #433051=DIRECTION('center_axis',(0.,0.,1.)); #433052=DIRECTION('ref_axis',(1.,0.,0.)); #433053=DIRECTION('',(0.,0.,1.)); #433054=DIRECTION('center_axis',(0.,0.,-1.)); #433055=DIRECTION('ref_axis',(1.,0.,0.)); #433056=DIRECTION('center_axis',(0.,0.,1.)); #433057=DIRECTION('ref_axis',(1.,0.,0.)); #433058=DIRECTION('center_axis',(0.,0.,1.)); #433059=DIRECTION('ref_axis',(1.,0.,0.)); #433060=DIRECTION('',(0.,0.,1.)); #433061=DIRECTION('center_axis',(0.,0.,-1.)); #433062=DIRECTION('ref_axis',(1.,0.,0.)); #433063=DIRECTION('center_axis',(0.,0.,1.)); #433064=DIRECTION('ref_axis',(1.,0.,0.)); #433065=DIRECTION('center_axis',(0.,0.,1.)); #433066=DIRECTION('ref_axis',(1.,0.,0.)); #433067=DIRECTION('',(0.,0.,1.)); #433068=DIRECTION('center_axis',(0.,0.,-1.)); #433069=DIRECTION('ref_axis',(1.,0.,0.)); #433070=DIRECTION('center_axis',(0.,0.,1.)); #433071=DIRECTION('ref_axis',(1.,0.,0.)); #433072=DIRECTION('center_axis',(0.,0.,1.)); #433073=DIRECTION('ref_axis',(1.,0.,0.)); #433074=DIRECTION('',(0.,0.,1.)); #433075=DIRECTION('center_axis',(0.,0.,-1.)); #433076=DIRECTION('ref_axis',(1.,0.,0.)); #433077=DIRECTION('center_axis',(0.,0.,1.)); #433078=DIRECTION('ref_axis',(1.,0.,0.)); #433079=DIRECTION('center_axis',(0.,0.,1.)); #433080=DIRECTION('ref_axis',(1.,0.,0.)); #433081=DIRECTION('',(0.,0.,1.)); #433082=DIRECTION('center_axis',(0.,0.,-1.)); #433083=DIRECTION('ref_axis',(1.,0.,0.)); #433084=DIRECTION('center_axis',(0.,0.,1.)); #433085=DIRECTION('ref_axis',(1.,0.,0.)); #433086=DIRECTION('center_axis',(0.,0.,1.)); #433087=DIRECTION('ref_axis',(1.,0.,0.)); #433088=DIRECTION('',(0.,0.,1.)); #433089=DIRECTION('center_axis',(0.,0.,-1.)); #433090=DIRECTION('ref_axis',(1.,0.,0.)); #433091=DIRECTION('center_axis',(0.,0.,1.)); #433092=DIRECTION('ref_axis',(1.,0.,0.)); #433093=DIRECTION('center_axis',(0.,0.,1.)); #433094=DIRECTION('ref_axis',(1.,0.,0.)); #433095=DIRECTION('',(0.,0.,1.)); #433096=DIRECTION('center_axis',(0.,0.,-1.)); #433097=DIRECTION('ref_axis',(1.,0.,0.)); #433098=DIRECTION('center_axis',(0.,0.,1.)); #433099=DIRECTION('ref_axis',(1.,0.,0.)); #433100=DIRECTION('center_axis',(0.,0.,1.)); #433101=DIRECTION('ref_axis',(1.,0.,0.)); #433102=DIRECTION('',(0.,0.,1.)); #433103=DIRECTION('center_axis',(0.,0.,-1.)); #433104=DIRECTION('ref_axis',(1.,0.,0.)); #433105=DIRECTION('center_axis',(0.,0.,1.)); #433106=DIRECTION('ref_axis',(1.,0.,0.)); #433107=DIRECTION('center_axis',(0.,0.,1.)); #433108=DIRECTION('ref_axis',(1.,0.,0.)); #433109=DIRECTION('',(0.,0.,1.)); #433110=DIRECTION('center_axis',(0.,0.,-1.)); #433111=DIRECTION('ref_axis',(1.,0.,0.)); #433112=DIRECTION('center_axis',(0.,0.,1.)); #433113=DIRECTION('ref_axis',(1.,0.,0.)); #433114=DIRECTION('center_axis',(0.,0.,1.)); #433115=DIRECTION('ref_axis',(1.,0.,0.)); #433116=DIRECTION('',(0.,0.,1.)); #433117=DIRECTION('center_axis',(0.,0.,-1.)); #433118=DIRECTION('ref_axis',(1.,0.,0.)); #433119=DIRECTION('center_axis',(0.,0.,1.)); #433120=DIRECTION('ref_axis',(1.,0.,0.)); #433121=DIRECTION('center_axis',(0.,0.,1.)); #433122=DIRECTION('ref_axis',(1.,0.,0.)); #433123=DIRECTION('',(0.,0.,1.)); #433124=DIRECTION('center_axis',(0.,0.,-1.)); #433125=DIRECTION('ref_axis',(1.,0.,0.)); #433126=DIRECTION('center_axis',(0.,0.,1.)); #433127=DIRECTION('ref_axis',(1.,0.,0.)); #433128=DIRECTION('center_axis',(0.,0.,1.)); #433129=DIRECTION('ref_axis',(1.,0.,0.)); #433130=DIRECTION('',(0.,0.,1.)); #433131=DIRECTION('center_axis',(0.,0.,-1.)); #433132=DIRECTION('ref_axis',(1.,0.,0.)); #433133=DIRECTION('center_axis',(0.,0.,1.)); #433134=DIRECTION('ref_axis',(1.,0.,0.)); #433135=DIRECTION('center_axis',(0.,0.,1.)); #433136=DIRECTION('ref_axis',(1.,0.,0.)); #433137=DIRECTION('',(0.,0.,1.)); #433138=DIRECTION('center_axis',(0.,0.,-1.)); #433139=DIRECTION('ref_axis',(1.,0.,0.)); #433140=DIRECTION('center_axis',(0.,0.,1.)); #433141=DIRECTION('ref_axis',(1.,0.,0.)); #433142=DIRECTION('center_axis',(0.,0.,1.)); #433143=DIRECTION('ref_axis',(1.,0.,0.)); #433144=DIRECTION('',(0.,0.,1.)); #433145=DIRECTION('center_axis',(0.,0.,-1.)); #433146=DIRECTION('ref_axis',(1.,0.,0.)); #433147=DIRECTION('center_axis',(0.,0.,1.)); #433148=DIRECTION('ref_axis',(1.,0.,0.)); #433149=DIRECTION('center_axis',(0.,0.,1.)); #433150=DIRECTION('ref_axis',(1.,0.,0.)); #433151=DIRECTION('',(0.,0.,1.)); #433152=DIRECTION('center_axis',(0.,0.,-1.)); #433153=DIRECTION('ref_axis',(1.,0.,0.)); #433154=DIRECTION('center_axis',(0.,0.,1.)); #433155=DIRECTION('ref_axis',(1.,0.,0.)); #433156=DIRECTION('center_axis',(0.,0.,1.)); #433157=DIRECTION('ref_axis',(1.,0.,0.)); #433158=DIRECTION('',(0.,0.,1.)); #433159=DIRECTION('center_axis',(0.,0.,-1.)); #433160=DIRECTION('ref_axis',(1.,0.,0.)); #433161=DIRECTION('center_axis',(0.,0.,1.)); #433162=DIRECTION('ref_axis',(1.,0.,0.)); #433163=DIRECTION('center_axis',(0.,0.,1.)); #433164=DIRECTION('ref_axis',(1.,0.,0.)); #433165=DIRECTION('',(0.,0.,1.)); #433166=DIRECTION('center_axis',(0.,0.,-1.)); #433167=DIRECTION('ref_axis',(1.,0.,0.)); #433168=DIRECTION('center_axis',(0.,0.,1.)); #433169=DIRECTION('ref_axis',(1.,0.,0.)); #433170=DIRECTION('center_axis',(0.,0.,1.)); #433171=DIRECTION('ref_axis',(1.,0.,0.)); #433172=DIRECTION('',(0.,0.,1.)); #433173=DIRECTION('center_axis',(0.,0.,-1.)); #433174=DIRECTION('ref_axis',(1.,0.,0.)); #433175=DIRECTION('center_axis',(0.,0.,1.)); #433176=DIRECTION('ref_axis',(1.,0.,0.)); #433177=DIRECTION('center_axis',(0.,0.,1.)); #433178=DIRECTION('ref_axis',(1.,0.,0.)); #433179=DIRECTION('',(0.,0.,1.)); #433180=DIRECTION('center_axis',(0.,0.,-1.)); #433181=DIRECTION('ref_axis',(1.,0.,0.)); #433182=DIRECTION('center_axis',(0.,0.,1.)); #433183=DIRECTION('ref_axis',(1.,0.,0.)); #433184=DIRECTION('center_axis',(0.,0.,1.)); #433185=DIRECTION('ref_axis',(1.,0.,0.)); #433186=DIRECTION('',(0.,0.,1.)); #433187=DIRECTION('center_axis',(0.,0.,-1.)); #433188=DIRECTION('ref_axis',(1.,0.,0.)); #433189=DIRECTION('center_axis',(0.,0.,1.)); #433190=DIRECTION('ref_axis',(1.,0.,0.)); #433191=DIRECTION('center_axis',(0.,0.,1.)); #433192=DIRECTION('ref_axis',(1.,0.,0.)); #433193=DIRECTION('',(0.,0.,1.)); #433194=DIRECTION('center_axis',(0.,0.,-1.)); #433195=DIRECTION('ref_axis',(1.,0.,0.)); #433196=DIRECTION('center_axis',(0.,0.,1.)); #433197=DIRECTION('ref_axis',(1.,0.,0.)); #433198=DIRECTION('center_axis',(0.,0.,1.)); #433199=DIRECTION('ref_axis',(1.,0.,0.)); #433200=DIRECTION('',(0.,0.,1.)); #433201=DIRECTION('center_axis',(0.,0.,-1.)); #433202=DIRECTION('ref_axis',(1.,0.,0.)); #433203=DIRECTION('center_axis',(0.,0.,1.)); #433204=DIRECTION('ref_axis',(1.,0.,0.)); #433205=DIRECTION('center_axis',(0.,0.,1.)); #433206=DIRECTION('ref_axis',(1.,0.,0.)); #433207=DIRECTION('',(0.,0.,1.)); #433208=DIRECTION('center_axis',(0.,0.,-1.)); #433209=DIRECTION('ref_axis',(1.,0.,0.)); #433210=DIRECTION('center_axis',(0.,0.,1.)); #433211=DIRECTION('ref_axis',(1.,0.,0.)); #433212=DIRECTION('center_axis',(0.,0.,1.)); #433213=DIRECTION('ref_axis',(1.,0.,0.)); #433214=DIRECTION('',(0.,0.,1.)); #433215=DIRECTION('center_axis',(0.,0.,-1.)); #433216=DIRECTION('ref_axis',(1.,0.,0.)); #433217=DIRECTION('center_axis',(0.,0.,1.)); #433218=DIRECTION('ref_axis',(1.,0.,0.)); #433219=DIRECTION('center_axis',(0.,0.,1.)); #433220=DIRECTION('ref_axis',(1.,0.,0.)); #433221=DIRECTION('',(0.,0.,1.)); #433222=DIRECTION('center_axis',(0.,0.,-1.)); #433223=DIRECTION('ref_axis',(1.,0.,0.)); #433224=DIRECTION('center_axis',(0.,0.,1.)); #433225=DIRECTION('ref_axis',(1.,0.,0.)); #433226=DIRECTION('center_axis',(0.,0.,1.)); #433227=DIRECTION('ref_axis',(1.,0.,0.)); #433228=DIRECTION('',(0.,0.,1.)); #433229=DIRECTION('center_axis',(0.,0.,-1.)); #433230=DIRECTION('ref_axis',(1.,0.,0.)); #433231=DIRECTION('center_axis',(0.,0.,1.)); #433232=DIRECTION('ref_axis',(1.,0.,0.)); #433233=DIRECTION('center_axis',(0.,0.,1.)); #433234=DIRECTION('ref_axis',(1.,0.,0.)); #433235=DIRECTION('',(0.,0.,1.)); #433236=DIRECTION('center_axis',(0.,0.,-1.)); #433237=DIRECTION('ref_axis',(1.,0.,0.)); #433238=DIRECTION('center_axis',(0.,0.,1.)); #433239=DIRECTION('ref_axis',(1.,0.,0.)); #433240=DIRECTION('center_axis',(0.,0.,1.)); #433241=DIRECTION('ref_axis',(1.,0.,0.)); #433242=DIRECTION('',(0.,0.,1.)); #433243=DIRECTION('center_axis',(0.,0.,-1.)); #433244=DIRECTION('ref_axis',(1.,0.,0.)); #433245=DIRECTION('center_axis',(0.,0.,1.)); #433246=DIRECTION('ref_axis',(1.,0.,0.)); #433247=DIRECTION('center_axis',(0.,0.,1.)); #433248=DIRECTION('ref_axis',(1.,0.,0.)); #433249=DIRECTION('',(0.,0.,1.)); #433250=DIRECTION('center_axis',(0.,0.,-1.)); #433251=DIRECTION('ref_axis',(1.,0.,0.)); #433252=DIRECTION('center_axis',(0.,0.,1.)); #433253=DIRECTION('ref_axis',(1.,0.,0.)); #433254=DIRECTION('center_axis',(0.,0.,1.)); #433255=DIRECTION('ref_axis',(1.,0.,0.)); #433256=DIRECTION('',(0.,0.,1.)); #433257=DIRECTION('center_axis',(0.,0.,-1.)); #433258=DIRECTION('ref_axis',(1.,0.,0.)); #433259=DIRECTION('center_axis',(0.,0.,1.)); #433260=DIRECTION('ref_axis',(1.,0.,0.)); #433261=DIRECTION('center_axis',(0.,0.,1.)); #433262=DIRECTION('ref_axis',(1.,0.,0.)); #433263=DIRECTION('',(0.,0.,1.)); #433264=DIRECTION('center_axis',(0.,0.,-1.)); #433265=DIRECTION('ref_axis',(1.,0.,0.)); #433266=DIRECTION('center_axis',(0.,0.,1.)); #433267=DIRECTION('ref_axis',(1.,0.,0.)); #433268=DIRECTION('center_axis',(0.,0.,1.)); #433269=DIRECTION('ref_axis',(1.,0.,0.)); #433270=DIRECTION('',(0.,0.,1.)); #433271=DIRECTION('center_axis',(0.,0.,-1.)); #433272=DIRECTION('ref_axis',(1.,0.,0.)); #433273=DIRECTION('center_axis',(0.,0.,1.)); #433274=DIRECTION('ref_axis',(1.,0.,0.)); #433275=DIRECTION('center_axis',(0.,0.,1.)); #433276=DIRECTION('ref_axis',(1.,0.,0.)); #433277=DIRECTION('',(0.,0.,1.)); #433278=DIRECTION('center_axis',(0.,0.,-1.)); #433279=DIRECTION('ref_axis',(1.,0.,0.)); #433280=DIRECTION('center_axis',(0.,0.,1.)); #433281=DIRECTION('ref_axis',(1.,0.,0.)); #433282=DIRECTION('center_axis',(0.,0.,1.)); #433283=DIRECTION('ref_axis',(1.,0.,0.)); #433284=DIRECTION('',(0.,0.,1.)); #433285=DIRECTION('center_axis',(0.,0.,-1.)); #433286=DIRECTION('ref_axis',(1.,0.,0.)); #433287=DIRECTION('center_axis',(0.,0.,1.)); #433288=DIRECTION('ref_axis',(1.,0.,0.)); #433289=DIRECTION('center_axis',(0.,0.,1.)); #433290=DIRECTION('ref_axis',(1.,0.,0.)); #433291=DIRECTION('',(0.,0.,1.)); #433292=DIRECTION('center_axis',(0.,0.,-1.)); #433293=DIRECTION('ref_axis',(1.,0.,0.)); #433294=DIRECTION('center_axis',(0.,0.,1.)); #433295=DIRECTION('ref_axis',(1.,0.,0.)); #433296=DIRECTION('center_axis',(0.,0.,1.)); #433297=DIRECTION('ref_axis',(1.,0.,0.)); #433298=DIRECTION('',(0.,0.,1.)); #433299=DIRECTION('center_axis',(0.,0.,-1.)); #433300=DIRECTION('ref_axis',(1.,0.,0.)); #433301=DIRECTION('center_axis',(0.,0.,1.)); #433302=DIRECTION('ref_axis',(1.,0.,0.)); #433303=DIRECTION('center_axis',(0.,0.,1.)); #433304=DIRECTION('ref_axis',(1.,0.,0.)); #433305=DIRECTION('',(0.,0.,1.)); #433306=DIRECTION('center_axis',(0.,0.,-1.)); #433307=DIRECTION('ref_axis',(1.,0.,0.)); #433308=DIRECTION('center_axis',(0.,0.,1.)); #433309=DIRECTION('ref_axis',(1.,0.,0.)); #433310=DIRECTION('center_axis',(0.,0.,1.)); #433311=DIRECTION('ref_axis',(1.,0.,0.)); #433312=DIRECTION('',(0.,0.,1.)); #433313=DIRECTION('center_axis',(0.,0.,-1.)); #433314=DIRECTION('ref_axis',(1.,0.,0.)); #433315=DIRECTION('center_axis',(0.,0.,1.)); #433316=DIRECTION('ref_axis',(1.,0.,0.)); #433317=DIRECTION('center_axis',(0.,0.,1.)); #433318=DIRECTION('ref_axis',(1.,0.,0.)); #433319=DIRECTION('',(0.,0.,1.)); #433320=DIRECTION('center_axis',(0.,0.,-1.)); #433321=DIRECTION('ref_axis',(1.,0.,0.)); #433322=DIRECTION('center_axis',(0.,0.,1.)); #433323=DIRECTION('ref_axis',(1.,0.,0.)); #433324=DIRECTION('center_axis',(0.,0.,1.)); #433325=DIRECTION('ref_axis',(1.,0.,0.)); #433326=DIRECTION('',(0.,0.,1.)); #433327=DIRECTION('center_axis',(0.,0.,-1.)); #433328=DIRECTION('ref_axis',(1.,0.,0.)); #433329=DIRECTION('center_axis',(0.,0.,1.)); #433330=DIRECTION('ref_axis',(1.,0.,0.)); #433331=DIRECTION('center_axis',(0.,0.,1.)); #433332=DIRECTION('ref_axis',(1.,0.,0.)); #433333=DIRECTION('',(0.,0.,1.)); #433334=DIRECTION('center_axis',(0.,0.,-1.)); #433335=DIRECTION('ref_axis',(1.,0.,0.)); #433336=DIRECTION('center_axis',(0.,0.,1.)); #433337=DIRECTION('ref_axis',(1.,0.,0.)); #433338=DIRECTION('center_axis',(0.,0.,1.)); #433339=DIRECTION('ref_axis',(1.,0.,0.)); #433340=DIRECTION('',(0.,0.,1.)); #433341=DIRECTION('center_axis',(0.,0.,-1.)); #433342=DIRECTION('ref_axis',(1.,0.,0.)); #433343=DIRECTION('center_axis',(0.,0.,1.)); #433344=DIRECTION('ref_axis',(1.,0.,0.)); #433345=DIRECTION('center_axis',(0.,0.,1.)); #433346=DIRECTION('ref_axis',(1.,0.,0.)); #433347=DIRECTION('',(0.,0.,1.)); #433348=DIRECTION('center_axis',(0.,0.,-1.)); #433349=DIRECTION('ref_axis',(1.,0.,0.)); #433350=DIRECTION('center_axis',(0.,0.,1.)); #433351=DIRECTION('ref_axis',(1.,0.,0.)); #433352=DIRECTION('center_axis',(0.,0.,1.)); #433353=DIRECTION('ref_axis',(1.,0.,0.)); #433354=DIRECTION('',(0.,0.,1.)); #433355=DIRECTION('center_axis',(0.,0.,-1.)); #433356=DIRECTION('ref_axis',(1.,0.,0.)); #433357=DIRECTION('center_axis',(0.,0.,1.)); #433358=DIRECTION('ref_axis',(1.,0.,0.)); #433359=DIRECTION('center_axis',(0.,0.,1.)); #433360=DIRECTION('ref_axis',(1.,0.,0.)); #433361=DIRECTION('',(0.,0.,1.)); #433362=DIRECTION('center_axis',(0.,0.,-1.)); #433363=DIRECTION('ref_axis',(1.,0.,0.)); #433364=DIRECTION('center_axis',(0.,0.,1.)); #433365=DIRECTION('ref_axis',(1.,0.,0.)); #433366=DIRECTION('center_axis',(0.,0.,1.)); #433367=DIRECTION('ref_axis',(1.,0.,0.)); #433368=DIRECTION('',(0.,0.,1.)); #433369=DIRECTION('center_axis',(0.,0.,-1.)); #433370=DIRECTION('ref_axis',(1.,0.,0.)); #433371=DIRECTION('center_axis',(0.,0.,1.)); #433372=DIRECTION('ref_axis',(1.,0.,0.)); #433373=DIRECTION('center_axis',(0.,0.,1.)); #433374=DIRECTION('ref_axis',(1.,0.,0.)); #433375=DIRECTION('',(0.,0.,1.)); #433376=DIRECTION('center_axis',(0.,0.,-1.)); #433377=DIRECTION('ref_axis',(1.,0.,0.)); #433378=DIRECTION('center_axis',(0.,0.,1.)); #433379=DIRECTION('ref_axis',(1.,0.,0.)); #433380=DIRECTION('center_axis',(0.,0.,1.)); #433381=DIRECTION('ref_axis',(1.,0.,0.)); #433382=DIRECTION('',(0.,0.,1.)); #433383=DIRECTION('center_axis',(0.,0.,-1.)); #433384=DIRECTION('ref_axis',(1.,0.,0.)); #433385=DIRECTION('center_axis',(0.,0.,1.)); #433386=DIRECTION('ref_axis',(1.,0.,0.)); #433387=DIRECTION('center_axis',(0.,0.,1.)); #433388=DIRECTION('ref_axis',(1.,0.,0.)); #433389=DIRECTION('',(0.,0.,1.)); #433390=DIRECTION('center_axis',(0.,0.,-1.)); #433391=DIRECTION('ref_axis',(1.,0.,0.)); #433392=DIRECTION('center_axis',(0.,0.,1.)); #433393=DIRECTION('ref_axis',(1.,0.,0.)); #433394=DIRECTION('center_axis',(0.,0.,1.)); #433395=DIRECTION('ref_axis',(1.,0.,0.)); #433396=DIRECTION('',(0.,0.,1.)); #433397=DIRECTION('center_axis',(0.,0.,-1.)); #433398=DIRECTION('ref_axis',(1.,0.,0.)); #433399=DIRECTION('center_axis',(0.,0.,1.)); #433400=DIRECTION('ref_axis',(1.,0.,0.)); #433401=DIRECTION('center_axis',(0.,0.,1.)); #433402=DIRECTION('ref_axis',(1.,0.,0.)); #433403=DIRECTION('',(0.,0.,1.)); #433404=DIRECTION('center_axis',(0.,0.,-1.)); #433405=DIRECTION('ref_axis',(1.,0.,0.)); #433406=DIRECTION('center_axis',(0.,0.,1.)); #433407=DIRECTION('ref_axis',(1.,0.,0.)); #433408=DIRECTION('center_axis',(0.,0.,1.)); #433409=DIRECTION('ref_axis',(1.,0.,0.)); #433410=DIRECTION('',(0.,0.,1.)); #433411=DIRECTION('center_axis',(0.,0.,-1.)); #433412=DIRECTION('ref_axis',(1.,0.,0.)); #433413=DIRECTION('center_axis',(0.,0.,1.)); #433414=DIRECTION('ref_axis',(1.,0.,0.)); #433415=DIRECTION('center_axis',(0.,0.,1.)); #433416=DIRECTION('ref_axis',(1.,0.,0.)); #433417=DIRECTION('',(0.,0.,1.)); #433418=DIRECTION('center_axis',(0.,0.,-1.)); #433419=DIRECTION('ref_axis',(1.,0.,0.)); #433420=DIRECTION('center_axis',(0.,0.,1.)); #433421=DIRECTION('ref_axis',(1.,0.,0.)); #433422=DIRECTION('center_axis',(0.,0.,1.)); #433423=DIRECTION('ref_axis',(1.,0.,0.)); #433424=DIRECTION('',(0.,0.,1.)); #433425=DIRECTION('center_axis',(0.,0.,-1.)); #433426=DIRECTION('ref_axis',(1.,0.,0.)); #433427=DIRECTION('center_axis',(0.,0.,1.)); #433428=DIRECTION('ref_axis',(1.,0.,0.)); #433429=DIRECTION('center_axis',(0.,0.,1.)); #433430=DIRECTION('ref_axis',(1.,0.,0.)); #433431=DIRECTION('',(0.,0.,1.)); #433432=DIRECTION('center_axis',(0.,0.,-1.)); #433433=DIRECTION('ref_axis',(1.,0.,0.)); #433434=DIRECTION('center_axis',(0.,0.,1.)); #433435=DIRECTION('ref_axis',(1.,0.,0.)); #433436=DIRECTION('center_axis',(0.,0.,1.)); #433437=DIRECTION('ref_axis',(1.,0.,0.)); #433438=DIRECTION('',(0.,0.,1.)); #433439=DIRECTION('center_axis',(0.,0.,-1.)); #433440=DIRECTION('ref_axis',(1.,0.,0.)); #433441=DIRECTION('center_axis',(0.,0.,1.)); #433442=DIRECTION('ref_axis',(1.,0.,0.)); #433443=DIRECTION('center_axis',(0.,0.,1.)); #433444=DIRECTION('ref_axis',(1.,0.,0.)); #433445=DIRECTION('',(0.,0.,1.)); #433446=DIRECTION('center_axis',(0.,0.,-1.)); #433447=DIRECTION('ref_axis',(1.,0.,0.)); #433448=DIRECTION('center_axis',(0.,0.,1.)); #433449=DIRECTION('ref_axis',(1.,0.,0.)); #433450=DIRECTION('center_axis',(0.,0.,1.)); #433451=DIRECTION('ref_axis',(1.,0.,0.)); #433452=DIRECTION('',(0.,0.,1.)); #433453=DIRECTION('center_axis',(0.,0.,-1.)); #433454=DIRECTION('ref_axis',(1.,0.,0.)); #433455=DIRECTION('center_axis',(0.,0.,1.)); #433456=DIRECTION('ref_axis',(1.,0.,0.)); #433457=DIRECTION('center_axis',(0.,0.,1.)); #433458=DIRECTION('ref_axis',(1.,0.,0.)); #433459=DIRECTION('',(0.,0.,1.)); #433460=DIRECTION('center_axis',(0.,0.,-1.)); #433461=DIRECTION('ref_axis',(1.,0.,0.)); #433462=DIRECTION('center_axis',(0.,0.,1.)); #433463=DIRECTION('ref_axis',(1.,0.,0.)); #433464=DIRECTION('center_axis',(0.,0.,1.)); #433465=DIRECTION('ref_axis',(1.,0.,0.)); #433466=DIRECTION('',(0.,0.,1.)); #433467=DIRECTION('center_axis',(0.,0.,-1.)); #433468=DIRECTION('ref_axis',(1.,0.,0.)); #433469=DIRECTION('center_axis',(0.,0.,1.)); #433470=DIRECTION('ref_axis',(1.,0.,0.)); #433471=DIRECTION('center_axis',(0.,0.,1.)); #433472=DIRECTION('ref_axis',(1.,0.,0.)); #433473=DIRECTION('',(0.,0.,1.)); #433474=DIRECTION('center_axis',(0.,0.,-1.)); #433475=DIRECTION('ref_axis',(1.,0.,0.)); #433476=DIRECTION('center_axis',(0.,0.,1.)); #433477=DIRECTION('ref_axis',(1.,0.,0.)); #433478=DIRECTION('center_axis',(0.,0.,1.)); #433479=DIRECTION('ref_axis',(1.,0.,0.)); #433480=DIRECTION('',(0.,0.,1.)); #433481=DIRECTION('center_axis',(0.,0.,-1.)); #433482=DIRECTION('ref_axis',(1.,0.,0.)); #433483=DIRECTION('center_axis',(0.,0.,1.)); #433484=DIRECTION('ref_axis',(1.,0.,0.)); #433485=DIRECTION('center_axis',(0.,0.,1.)); #433486=DIRECTION('ref_axis',(1.,0.,0.)); #433487=DIRECTION('',(0.,0.,1.)); #433488=DIRECTION('center_axis',(0.,0.,-1.)); #433489=DIRECTION('ref_axis',(1.,0.,0.)); #433490=DIRECTION('center_axis',(0.,0.,1.)); #433491=DIRECTION('ref_axis',(1.,0.,0.)); #433492=DIRECTION('center_axis',(0.,0.,1.)); #433493=DIRECTION('ref_axis',(1.,0.,0.)); #433494=DIRECTION('',(0.,0.,1.)); #433495=DIRECTION('center_axis',(0.,0.,-1.)); #433496=DIRECTION('ref_axis',(1.,0.,0.)); #433497=DIRECTION('center_axis',(0.,0.,1.)); #433498=DIRECTION('ref_axis',(1.,0.,0.)); #433499=DIRECTION('center_axis',(0.,0.,1.)); #433500=DIRECTION('ref_axis',(1.,0.,0.)); #433501=DIRECTION('',(0.,0.,1.)); #433502=DIRECTION('center_axis',(0.,0.,-1.)); #433503=DIRECTION('ref_axis',(1.,0.,0.)); #433504=DIRECTION('center_axis',(0.,0.,1.)); #433505=DIRECTION('ref_axis',(1.,0.,0.)); #433506=DIRECTION('center_axis',(0.,0.,1.)); #433507=DIRECTION('ref_axis',(1.,0.,0.)); #433508=DIRECTION('',(0.,0.,1.)); #433509=DIRECTION('center_axis',(0.,0.,-1.)); #433510=DIRECTION('ref_axis',(1.,0.,0.)); #433511=DIRECTION('center_axis',(0.,0.,1.)); #433512=DIRECTION('ref_axis',(1.,0.,0.)); #433513=DIRECTION('center_axis',(0.,0.,1.)); #433514=DIRECTION('ref_axis',(1.,0.,0.)); #433515=DIRECTION('',(0.,0.,1.)); #433516=DIRECTION('center_axis',(0.,0.,-1.)); #433517=DIRECTION('ref_axis',(1.,0.,0.)); #433518=DIRECTION('center_axis',(0.,0.,1.)); #433519=DIRECTION('ref_axis',(1.,0.,0.)); #433520=DIRECTION('center_axis',(0.,0.,1.)); #433521=DIRECTION('ref_axis',(1.,0.,0.)); #433522=DIRECTION('',(0.,0.,1.)); #433523=DIRECTION('center_axis',(0.,0.,-1.)); #433524=DIRECTION('ref_axis',(1.,0.,0.)); #433525=DIRECTION('center_axis',(0.,0.,1.)); #433526=DIRECTION('ref_axis',(1.,0.,0.)); #433527=DIRECTION('center_axis',(0.,0.,1.)); #433528=DIRECTION('ref_axis',(1.,0.,0.)); #433529=DIRECTION('',(0.,0.,1.)); #433530=DIRECTION('center_axis',(0.,0.,-1.)); #433531=DIRECTION('ref_axis',(1.,0.,0.)); #433532=DIRECTION('center_axis',(0.,0.,1.)); #433533=DIRECTION('ref_axis',(1.,0.,0.)); #433534=DIRECTION('center_axis',(0.,0.,1.)); #433535=DIRECTION('ref_axis',(1.,0.,0.)); #433536=DIRECTION('',(0.,0.,1.)); #433537=DIRECTION('center_axis',(0.,0.,-1.)); #433538=DIRECTION('ref_axis',(1.,0.,0.)); #433539=DIRECTION('center_axis',(0.,0.,1.)); #433540=DIRECTION('ref_axis',(1.,0.,0.)); #433541=DIRECTION('center_axis',(0.,0.,1.)); #433542=DIRECTION('ref_axis',(1.,0.,0.)); #433543=DIRECTION('',(0.,0.,1.)); #433544=DIRECTION('center_axis',(0.,0.,-1.)); #433545=DIRECTION('ref_axis',(1.,0.,0.)); #433546=DIRECTION('center_axis',(0.,0.,1.)); #433547=DIRECTION('ref_axis',(1.,0.,0.)); #433548=DIRECTION('center_axis',(0.,0.,1.)); #433549=DIRECTION('ref_axis',(1.,0.,0.)); #433550=DIRECTION('',(0.,0.,1.)); #433551=DIRECTION('center_axis',(0.,0.,-1.)); #433552=DIRECTION('ref_axis',(1.,0.,0.)); #433553=DIRECTION('center_axis',(0.,0.,1.)); #433554=DIRECTION('ref_axis',(1.,0.,0.)); #433555=DIRECTION('center_axis',(0.,0.,1.)); #433556=DIRECTION('ref_axis',(1.,0.,0.)); #433557=DIRECTION('',(0.,0.,1.)); #433558=DIRECTION('center_axis',(0.,0.,-1.)); #433559=DIRECTION('ref_axis',(1.,0.,0.)); #433560=DIRECTION('center_axis',(0.,0.,1.)); #433561=DIRECTION('ref_axis',(1.,0.,0.)); #433562=DIRECTION('center_axis',(0.,0.,1.)); #433563=DIRECTION('ref_axis',(1.,0.,0.)); #433564=DIRECTION('',(0.,0.,1.)); #433565=DIRECTION('center_axis',(0.,0.,-1.)); #433566=DIRECTION('ref_axis',(1.,0.,0.)); #433567=DIRECTION('center_axis',(0.,0.,1.)); #433568=DIRECTION('ref_axis',(1.,0.,0.)); #433569=DIRECTION('center_axis',(0.,0.,1.)); #433570=DIRECTION('ref_axis',(1.,0.,0.)); #433571=DIRECTION('',(0.,0.,1.)); #433572=DIRECTION('center_axis',(0.,0.,-1.)); #433573=DIRECTION('ref_axis',(1.,0.,0.)); #433574=DIRECTION('center_axis',(0.,0.,1.)); #433575=DIRECTION('ref_axis',(1.,0.,0.)); #433576=DIRECTION('center_axis',(0.,0.,1.)); #433577=DIRECTION('ref_axis',(1.,0.,0.)); #433578=DIRECTION('',(0.,0.,1.)); #433579=DIRECTION('center_axis',(0.,0.,-1.)); #433580=DIRECTION('ref_axis',(1.,0.,0.)); #433581=DIRECTION('center_axis',(0.,0.,1.)); #433582=DIRECTION('ref_axis',(1.,0.,0.)); #433583=DIRECTION('center_axis',(0.,0.,1.)); #433584=DIRECTION('ref_axis',(1.,0.,0.)); #433585=DIRECTION('',(0.,0.,1.)); #433586=DIRECTION('center_axis',(0.,0.,-1.)); #433587=DIRECTION('ref_axis',(1.,0.,0.)); #433588=DIRECTION('center_axis',(0.,0.,1.)); #433589=DIRECTION('ref_axis',(1.,0.,0.)); #433590=DIRECTION('center_axis',(0.,0.,1.)); #433591=DIRECTION('ref_axis',(1.,0.,0.)); #433592=DIRECTION('',(0.,0.,1.)); #433593=DIRECTION('center_axis',(0.,0.,-1.)); #433594=DIRECTION('ref_axis',(1.,0.,0.)); #433595=DIRECTION('center_axis',(0.,0.,1.)); #433596=DIRECTION('ref_axis',(1.,0.,0.)); #433597=DIRECTION('center_axis',(0.,0.,1.)); #433598=DIRECTION('ref_axis',(1.,0.,0.)); #433599=DIRECTION('',(0.,0.,1.)); #433600=DIRECTION('center_axis',(0.,0.,-1.)); #433601=DIRECTION('ref_axis',(1.,0.,0.)); #433602=DIRECTION('center_axis',(0.,0.,1.)); #433603=DIRECTION('ref_axis',(1.,0.,0.)); #433604=DIRECTION('center_axis',(0.,0.,1.)); #433605=DIRECTION('ref_axis',(1.,0.,0.)); #433606=DIRECTION('',(0.,0.,1.)); #433607=DIRECTION('center_axis',(0.,0.,-1.)); #433608=DIRECTION('ref_axis',(1.,0.,0.)); #433609=DIRECTION('center_axis',(0.,0.,1.)); #433610=DIRECTION('ref_axis',(1.,0.,0.)); #433611=DIRECTION('center_axis',(0.,0.,1.)); #433612=DIRECTION('ref_axis',(1.,0.,0.)); #433613=DIRECTION('',(0.,0.,1.)); #433614=DIRECTION('center_axis',(0.,0.,-1.)); #433615=DIRECTION('ref_axis',(1.,0.,0.)); #433616=DIRECTION('center_axis',(0.,0.,1.)); #433617=DIRECTION('ref_axis',(1.,0.,0.)); #433618=DIRECTION('center_axis',(0.,0.,1.)); #433619=DIRECTION('ref_axis',(1.,0.,0.)); #433620=DIRECTION('',(0.,0.,1.)); #433621=DIRECTION('center_axis',(0.,0.,-1.)); #433622=DIRECTION('ref_axis',(1.,0.,0.)); #433623=DIRECTION('center_axis',(0.,0.,1.)); #433624=DIRECTION('ref_axis',(1.,0.,0.)); #433625=DIRECTION('center_axis',(0.,0.,1.)); #433626=DIRECTION('ref_axis',(1.,0.,0.)); #433627=DIRECTION('',(0.,0.,1.)); #433628=DIRECTION('center_axis',(0.,0.,-1.)); #433629=DIRECTION('ref_axis',(1.,0.,0.)); #433630=DIRECTION('center_axis',(0.,0.,1.)); #433631=DIRECTION('ref_axis',(1.,0.,0.)); #433632=DIRECTION('center_axis',(0.,0.,1.)); #433633=DIRECTION('ref_axis',(1.,0.,0.)); #433634=DIRECTION('',(0.,0.,1.)); #433635=DIRECTION('center_axis',(0.,0.,-1.)); #433636=DIRECTION('ref_axis',(1.,0.,0.)); #433637=DIRECTION('center_axis',(0.,0.,1.)); #433638=DIRECTION('ref_axis',(1.,0.,0.)); #433639=DIRECTION('center_axis',(0.,0.,1.)); #433640=DIRECTION('ref_axis',(1.,0.,0.)); #433641=DIRECTION('',(0.,0.,1.)); #433642=DIRECTION('center_axis',(0.,0.,-1.)); #433643=DIRECTION('ref_axis',(1.,0.,0.)); #433644=DIRECTION('center_axis',(0.,0.,1.)); #433645=DIRECTION('ref_axis',(1.,0.,0.)); #433646=DIRECTION('center_axis',(0.,0.,1.)); #433647=DIRECTION('ref_axis',(1.,0.,0.)); #433648=DIRECTION('',(0.,0.,1.)); #433649=DIRECTION('center_axis',(0.,0.,-1.)); #433650=DIRECTION('ref_axis',(1.,0.,0.)); #433651=DIRECTION('center_axis',(0.,0.,1.)); #433652=DIRECTION('ref_axis',(1.,0.,0.)); #433653=DIRECTION('center_axis',(0.,0.,1.)); #433654=DIRECTION('ref_axis',(1.,0.,0.)); #433655=DIRECTION('',(0.,0.,1.)); #433656=DIRECTION('center_axis',(0.,0.,-1.)); #433657=DIRECTION('ref_axis',(1.,0.,0.)); #433658=DIRECTION('center_axis',(0.,0.,1.)); #433659=DIRECTION('ref_axis',(1.,0.,0.)); #433660=DIRECTION('center_axis',(0.,0.,1.)); #433661=DIRECTION('ref_axis',(1.,0.,0.)); #433662=DIRECTION('',(0.,0.,1.)); #433663=DIRECTION('center_axis',(0.,0.,-1.)); #433664=DIRECTION('ref_axis',(1.,0.,0.)); #433665=DIRECTION('center_axis',(0.,0.,1.)); #433666=DIRECTION('ref_axis',(1.,0.,0.)); #433667=DIRECTION('center_axis',(0.,0.,1.)); #433668=DIRECTION('ref_axis',(1.,0.,0.)); #433669=DIRECTION('',(0.,0.,1.)); #433670=DIRECTION('center_axis',(0.,0.,-1.)); #433671=DIRECTION('ref_axis',(1.,0.,0.)); #433672=DIRECTION('center_axis',(0.,0.,1.)); #433673=DIRECTION('ref_axis',(1.,0.,0.)); #433674=DIRECTION('center_axis',(0.,0.,1.)); #433675=DIRECTION('ref_axis',(1.,0.,0.)); #433676=DIRECTION('',(0.,0.,1.)); #433677=DIRECTION('center_axis',(0.,0.,-1.)); #433678=DIRECTION('ref_axis',(1.,0.,0.)); #433679=DIRECTION('center_axis',(0.,0.,1.)); #433680=DIRECTION('ref_axis',(1.,0.,0.)); #433681=DIRECTION('center_axis',(0.,0.,1.)); #433682=DIRECTION('ref_axis',(1.,0.,0.)); #433683=DIRECTION('',(0.,0.,1.)); #433684=DIRECTION('center_axis',(0.,0.,-1.)); #433685=DIRECTION('ref_axis',(1.,0.,0.)); #433686=DIRECTION('center_axis',(0.,0.,1.)); #433687=DIRECTION('ref_axis',(1.,0.,0.)); #433688=DIRECTION('center_axis',(0.,0.,1.)); #433689=DIRECTION('ref_axis',(1.,0.,0.)); #433690=DIRECTION('',(0.,0.,1.)); #433691=DIRECTION('center_axis',(0.,0.,-1.)); #433692=DIRECTION('ref_axis',(1.,0.,0.)); #433693=DIRECTION('center_axis',(0.,0.,1.)); #433694=DIRECTION('ref_axis',(1.,0.,0.)); #433695=DIRECTION('center_axis',(0.,0.,1.)); #433696=DIRECTION('ref_axis',(1.,0.,0.)); #433697=DIRECTION('',(0.,0.,1.)); #433698=DIRECTION('center_axis',(0.,0.,-1.)); #433699=DIRECTION('ref_axis',(1.,0.,0.)); #433700=DIRECTION('center_axis',(0.,0.,1.)); #433701=DIRECTION('ref_axis',(1.,0.,0.)); #433702=DIRECTION('center_axis',(0.,0.,1.)); #433703=DIRECTION('ref_axis',(1.,0.,0.)); #433704=DIRECTION('',(0.,0.,1.)); #433705=DIRECTION('center_axis',(0.,0.,-1.)); #433706=DIRECTION('ref_axis',(1.,0.,0.)); #433707=DIRECTION('center_axis',(0.,0.,1.)); #433708=DIRECTION('ref_axis',(1.,0.,0.)); #433709=DIRECTION('center_axis',(0.,0.,1.)); #433710=DIRECTION('ref_axis',(1.,0.,0.)); #433711=DIRECTION('',(0.,0.,1.)); #433712=DIRECTION('center_axis',(0.,0.,-1.)); #433713=DIRECTION('ref_axis',(1.,0.,0.)); #433714=DIRECTION('center_axis',(0.,0.,1.)); #433715=DIRECTION('ref_axis',(1.,0.,0.)); #433716=DIRECTION('center_axis',(0.,0.,1.)); #433717=DIRECTION('ref_axis',(1.,0.,0.)); #433718=DIRECTION('',(0.,0.,1.)); #433719=DIRECTION('center_axis',(0.,0.,-1.)); #433720=DIRECTION('ref_axis',(1.,0.,0.)); #433721=DIRECTION('center_axis',(0.,0.,1.)); #433722=DIRECTION('ref_axis',(1.,0.,0.)); #433723=DIRECTION('center_axis',(0.,0.,1.)); #433724=DIRECTION('ref_axis',(1.,0.,0.)); #433725=DIRECTION('',(0.,0.,1.)); #433726=DIRECTION('center_axis',(0.,0.,-1.)); #433727=DIRECTION('ref_axis',(1.,0.,0.)); #433728=DIRECTION('center_axis',(0.,0.,1.)); #433729=DIRECTION('ref_axis',(1.,0.,0.)); #433730=DIRECTION('center_axis',(0.,0.,1.)); #433731=DIRECTION('ref_axis',(1.,0.,0.)); #433732=DIRECTION('',(0.,0.,1.)); #433733=DIRECTION('center_axis',(0.,0.,-1.)); #433734=DIRECTION('ref_axis',(1.,0.,0.)); #433735=DIRECTION('center_axis',(0.,0.,1.)); #433736=DIRECTION('ref_axis',(1.,0.,0.)); #433737=DIRECTION('center_axis',(0.,0.,1.)); #433738=DIRECTION('ref_axis',(1.,0.,0.)); #433739=DIRECTION('',(0.,0.,1.)); #433740=DIRECTION('center_axis',(0.,0.,-1.)); #433741=DIRECTION('ref_axis',(1.,0.,0.)); #433742=DIRECTION('center_axis',(0.,0.,1.)); #433743=DIRECTION('ref_axis',(1.,0.,0.)); #433744=DIRECTION('center_axis',(0.,0.,1.)); #433745=DIRECTION('ref_axis',(1.,0.,0.)); #433746=DIRECTION('',(0.,0.,1.)); #433747=DIRECTION('center_axis',(0.,0.,-1.)); #433748=DIRECTION('ref_axis',(1.,0.,0.)); #433749=DIRECTION('center_axis',(0.,0.,1.)); #433750=DIRECTION('ref_axis',(1.,0.,0.)); #433751=DIRECTION('center_axis',(0.,0.,1.)); #433752=DIRECTION('ref_axis',(1.,0.,0.)); #433753=DIRECTION('',(0.,0.,1.)); #433754=DIRECTION('center_axis',(0.,0.,-1.)); #433755=DIRECTION('ref_axis',(1.,0.,0.)); #433756=DIRECTION('center_axis',(0.,0.,1.)); #433757=DIRECTION('ref_axis',(1.,0.,0.)); #433758=DIRECTION('center_axis',(0.,0.,1.)); #433759=DIRECTION('ref_axis',(1.,0.,0.)); #433760=DIRECTION('',(0.,0.,1.)); #433761=DIRECTION('center_axis',(0.,0.,-1.)); #433762=DIRECTION('ref_axis',(1.,0.,0.)); #433763=DIRECTION('center_axis',(0.,0.,1.)); #433764=DIRECTION('ref_axis',(1.,0.,0.)); #433765=DIRECTION('center_axis',(0.,0.,1.)); #433766=DIRECTION('ref_axis',(1.,0.,0.)); #433767=DIRECTION('',(0.,0.,1.)); #433768=DIRECTION('center_axis',(0.,0.,-1.)); #433769=DIRECTION('ref_axis',(1.,0.,0.)); #433770=DIRECTION('center_axis',(0.,0.,1.)); #433771=DIRECTION('ref_axis',(1.,0.,0.)); #433772=DIRECTION('center_axis',(0.,0.,1.)); #433773=DIRECTION('ref_axis',(1.,0.,0.)); #433774=DIRECTION('',(0.,0.,1.)); #433775=DIRECTION('center_axis',(0.,0.,-1.)); #433776=DIRECTION('ref_axis',(1.,0.,0.)); #433777=DIRECTION('center_axis',(0.,0.,1.)); #433778=DIRECTION('ref_axis',(1.,0.,0.)); #433779=DIRECTION('center_axis',(0.,0.,1.)); #433780=DIRECTION('ref_axis',(1.,0.,0.)); #433781=DIRECTION('',(0.,0.,1.)); #433782=DIRECTION('center_axis',(0.,0.,-1.)); #433783=DIRECTION('ref_axis',(1.,0.,0.)); #433784=DIRECTION('center_axis',(0.,0.,1.)); #433785=DIRECTION('ref_axis',(1.,0.,0.)); #433786=DIRECTION('center_axis',(0.,0.,1.)); #433787=DIRECTION('ref_axis',(1.,0.,0.)); #433788=DIRECTION('',(0.,0.,1.)); #433789=DIRECTION('center_axis',(0.,0.,-1.)); #433790=DIRECTION('ref_axis',(1.,0.,0.)); #433791=DIRECTION('center_axis',(0.,0.,1.)); #433792=DIRECTION('ref_axis',(1.,0.,0.)); #433793=DIRECTION('center_axis',(0.,0.,1.)); #433794=DIRECTION('ref_axis',(1.,0.,0.)); #433795=DIRECTION('',(0.,0.,1.)); #433796=DIRECTION('center_axis',(0.,0.,-1.)); #433797=DIRECTION('ref_axis',(1.,0.,0.)); #433798=DIRECTION('center_axis',(0.,0.,1.)); #433799=DIRECTION('ref_axis',(1.,0.,0.)); #433800=DIRECTION('center_axis',(0.,0.,1.)); #433801=DIRECTION('ref_axis',(1.,0.,0.)); #433802=DIRECTION('',(0.,0.,1.)); #433803=DIRECTION('center_axis',(0.,0.,-1.)); #433804=DIRECTION('ref_axis',(1.,0.,0.)); #433805=DIRECTION('center_axis',(0.,0.,1.)); #433806=DIRECTION('ref_axis',(1.,0.,0.)); #433807=DIRECTION('center_axis',(0.,0.,1.)); #433808=DIRECTION('ref_axis',(1.,0.,0.)); #433809=DIRECTION('',(0.,0.,1.)); #433810=DIRECTION('center_axis',(0.,0.,-1.)); #433811=DIRECTION('ref_axis',(1.,0.,0.)); #433812=DIRECTION('center_axis',(0.,0.,1.)); #433813=DIRECTION('ref_axis',(1.,0.,0.)); #433814=DIRECTION('center_axis',(0.,0.,1.)); #433815=DIRECTION('ref_axis',(1.,0.,0.)); #433816=DIRECTION('',(0.,0.,1.)); #433817=DIRECTION('center_axis',(0.,0.,-1.)); #433818=DIRECTION('ref_axis',(1.,0.,0.)); #433819=DIRECTION('center_axis',(0.,0.,1.)); #433820=DIRECTION('ref_axis',(1.,0.,0.)); #433821=DIRECTION('center_axis',(0.,0.,1.)); #433822=DIRECTION('ref_axis',(1.,0.,0.)); #433823=DIRECTION('',(0.,0.,1.)); #433824=DIRECTION('center_axis',(0.,0.,-1.)); #433825=DIRECTION('ref_axis',(1.,0.,0.)); #433826=DIRECTION('center_axis',(0.,0.,1.)); #433827=DIRECTION('ref_axis',(1.,0.,0.)); #433828=DIRECTION('center_axis',(0.,0.,1.)); #433829=DIRECTION('ref_axis',(1.,0.,0.)); #433830=DIRECTION('',(0.,0.,1.)); #433831=DIRECTION('center_axis',(0.,0.,-1.)); #433832=DIRECTION('ref_axis',(1.,0.,0.)); #433833=DIRECTION('center_axis',(0.,0.,1.)); #433834=DIRECTION('ref_axis',(1.,0.,0.)); #433835=DIRECTION('center_axis',(0.,0.,1.)); #433836=DIRECTION('ref_axis',(1.,0.,0.)); #433837=DIRECTION('',(0.,0.,1.)); #433838=DIRECTION('center_axis',(0.,0.,-1.)); #433839=DIRECTION('ref_axis',(1.,0.,0.)); #433840=DIRECTION('center_axis',(0.,0.,1.)); #433841=DIRECTION('ref_axis',(1.,0.,0.)); #433842=DIRECTION('center_axis',(0.,0.,1.)); #433843=DIRECTION('ref_axis',(1.,0.,0.)); #433844=DIRECTION('',(0.,0.,1.)); #433845=DIRECTION('center_axis',(0.,0.,-1.)); #433846=DIRECTION('ref_axis',(1.,0.,0.)); #433847=DIRECTION('center_axis',(0.,0.,1.)); #433848=DIRECTION('ref_axis',(1.,0.,0.)); #433849=DIRECTION('center_axis',(0.,0.,1.)); #433850=DIRECTION('ref_axis',(1.,0.,0.)); #433851=DIRECTION('',(0.,0.,1.)); #433852=DIRECTION('center_axis',(0.,0.,-1.)); #433853=DIRECTION('ref_axis',(1.,0.,0.)); #433854=DIRECTION('center_axis',(0.,0.,1.)); #433855=DIRECTION('ref_axis',(1.,0.,0.)); #433856=DIRECTION('center_axis',(0.,0.,1.)); #433857=DIRECTION('ref_axis',(1.,0.,0.)); #433858=DIRECTION('',(0.,0.,1.)); #433859=DIRECTION('center_axis',(0.,0.,-1.)); #433860=DIRECTION('ref_axis',(1.,0.,0.)); #433861=DIRECTION('center_axis',(0.,0.,1.)); #433862=DIRECTION('ref_axis',(1.,0.,0.)); #433863=DIRECTION('center_axis',(0.,0.,1.)); #433864=DIRECTION('ref_axis',(1.,0.,0.)); #433865=DIRECTION('',(0.,0.,1.)); #433866=DIRECTION('center_axis',(0.,0.,-1.)); #433867=DIRECTION('ref_axis',(1.,0.,0.)); #433868=DIRECTION('center_axis',(0.,0.,1.)); #433869=DIRECTION('ref_axis',(1.,0.,0.)); #433870=DIRECTION('center_axis',(0.,0.,1.)); #433871=DIRECTION('ref_axis',(1.,0.,0.)); #433872=DIRECTION('',(0.,0.,1.)); #433873=DIRECTION('center_axis',(0.,0.,-1.)); #433874=DIRECTION('ref_axis',(1.,0.,0.)); #433875=DIRECTION('center_axis',(0.,0.,1.)); #433876=DIRECTION('ref_axis',(1.,0.,0.)); #433877=DIRECTION('center_axis',(0.,0.,1.)); #433878=DIRECTION('ref_axis',(1.,0.,0.)); #433879=DIRECTION('',(0.,0.,1.)); #433880=DIRECTION('center_axis',(0.,0.,-1.)); #433881=DIRECTION('ref_axis',(1.,0.,0.)); #433882=DIRECTION('center_axis',(0.,0.,1.)); #433883=DIRECTION('ref_axis',(1.,0.,0.)); #433884=DIRECTION('center_axis',(0.,0.,1.)); #433885=DIRECTION('ref_axis',(1.,0.,0.)); #433886=DIRECTION('',(0.,0.,1.)); #433887=DIRECTION('center_axis',(0.,0.,-1.)); #433888=DIRECTION('ref_axis',(1.,0.,0.)); #433889=DIRECTION('center_axis',(0.,0.,1.)); #433890=DIRECTION('ref_axis',(1.,0.,0.)); #433891=DIRECTION('center_axis',(0.,0.,1.)); #433892=DIRECTION('ref_axis',(1.,0.,0.)); #433893=DIRECTION('',(0.,0.,1.)); #433894=DIRECTION('center_axis',(0.,0.,-1.)); #433895=DIRECTION('ref_axis',(1.,0.,0.)); #433896=DIRECTION('center_axis',(0.,0.,1.)); #433897=DIRECTION('ref_axis',(1.,0.,0.)); #433898=DIRECTION('center_axis',(0.,0.,1.)); #433899=DIRECTION('ref_axis',(1.,0.,0.)); #433900=DIRECTION('',(0.,0.,1.)); #433901=DIRECTION('center_axis',(0.,0.,-1.)); #433902=DIRECTION('ref_axis',(1.,0.,0.)); #433903=DIRECTION('center_axis',(0.,0.,1.)); #433904=DIRECTION('ref_axis',(1.,0.,0.)); #433905=DIRECTION('center_axis',(0.,0.,1.)); #433906=DIRECTION('ref_axis',(1.,0.,0.)); #433907=DIRECTION('',(0.,0.,1.)); #433908=DIRECTION('center_axis',(0.,0.,-1.)); #433909=DIRECTION('ref_axis',(1.,0.,0.)); #433910=DIRECTION('center_axis',(0.,0.,1.)); #433911=DIRECTION('ref_axis',(1.,0.,0.)); #433912=DIRECTION('center_axis',(0.,0.,1.)); #433913=DIRECTION('ref_axis',(1.,0.,0.)); #433914=DIRECTION('',(0.,0.,1.)); #433915=DIRECTION('center_axis',(0.,0.,-1.)); #433916=DIRECTION('ref_axis',(1.,0.,0.)); #433917=DIRECTION('center_axis',(0.,0.,-1.)); #433918=DIRECTION('ref_axis',(1.,0.,0.)); #433919=DIRECTION('center_axis',(0.,0.,-1.)); #433920=DIRECTION('ref_axis',(1.,0.,0.)); #433921=DIRECTION('',(0.,0.,1.)); #433922=DIRECTION('center_axis',(0.,0.,1.)); #433923=DIRECTION('ref_axis',(1.,0.,0.)); #433924=DIRECTION('center_axis',(0.,0.,1.)); #433925=DIRECTION('ref_axis',(1.,0.,0.)); #433926=DIRECTION('center_axis',(0.,0.,1.)); #433927=DIRECTION('ref_axis',(1.,0.,0.)); #433928=DIRECTION('axis',(0.,0.,1.)); #433929=DIRECTION('refdir',(1.,0.,0.)); #433930=DIRECTION('axis',(0.,0.,1.)); #433931=DIRECTION('refdir',(1.,0.,0.)); #433932=DIRECTION('axis',(0.,0.,1.)); #433933=DIRECTION('refdir',(1.,0.,0.)); #433934=DIRECTION('center_axis',(0.707106781186548,7.40655039324652E-15, 0.707106781186547)); #433935=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #433936=DIRECTION('',(-0.707106781186547,-2.46885013108216E-15,0.707106781186548)); #433937=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #433938=DIRECTION('',(0.707106781186547,2.46885013108216E-15,-0.707106781186548)); #433939=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #433940=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #433941=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #433942=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433943=DIRECTION('',(-6.99353086378051E-15,1.,-3.491481338843E-15)); #433944=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433945=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #433946=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #433947=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433948=DIRECTION('',(1.,6.982962677686E-15,0.)); #433949=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433950=DIRECTION('',(1.,6.982962677686E-15,0.)); #433951=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433952=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433953=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433954=DIRECTION('',(1.,6.982962677686E-15,0.)); #433955=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433956=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433957=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433958=DIRECTION('',(1.,6.982962677686E-15,0.)); #433959=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433960=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433961=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433962=DIRECTION('',(1.,6.982962677686E-15,0.)); #433963=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433964=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433965=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433966=DIRECTION('',(1.,6.982962677686E-15,0.)); #433967=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #433968=DIRECTION('',(1.,6.982962677686E-15,0.)); #433969=DIRECTION('',(-2.44177825035122E-29,3.491481338843E-15,1.)); #433970=DIRECTION('',(-0.707106781186548,-7.40655039324652E-15,-0.707106781186548)); #433971=DIRECTION('center_axis',(-0.707106781186548,-2.47632296713444E-15, 0.707106781186548)); #433972=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #433973=DIRECTION('',(0.707106781186548,7.40655039324652E-15,0.707106781186548)); #433974=DIRECTION('',(6.99353086378051E-15,-1.,3.491481338843E-15)); #433975=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #433976=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #433977=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #433978=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433979=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #433980=DIRECTION('center_axis',(1.,6.99353086378051E-15,0.)); #433981=DIRECTION('ref_axis',(0.,0.,-1.)); #433982=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #433983=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #433984=DIRECTION('',(-4.94517309821662E-15,0.707106781186548,-0.707106781186548)); #433985=DIRECTION('',(2.50496492831706E-29,-3.581831519884E-15,-1.)); #433986=DIRECTION('',(4.94517309821662E-15,-0.707106781186548,-0.707106781186548)); #433987=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #433988=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #433989=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #433990=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433991=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #433992=DIRECTION('',(1.,6.982962677686E-15,0.)); #433993=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #433994=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #433995=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433996=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #433997=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #433998=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #433999=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434000=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434001=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434002=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434003=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434004=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434005=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434006=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434007=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434008=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434009=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434010=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434011=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434012=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434013=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434014=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434015=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434016=DIRECTION('',(1.,6.982962677686E-15,0.)); #434017=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434018=DIRECTION('',(1.,6.982962677686E-15,0.)); #434019=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434020=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434021=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434022=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434023=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434024=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434025=DIRECTION('',(1.,6.982962677686E-15,0.)); #434026=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434027=DIRECTION('',(1.,6.982962677686E-15,0.)); #434028=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434029=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434030=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434031=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434032=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434033=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434034=DIRECTION('',(1.,6.982962677686E-15,0.)); #434035=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434036=DIRECTION('',(1.,6.982962677686E-15,0.)); #434037=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434038=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434039=DIRECTION('',(1.,6.982962677686E-15,0.)); #434040=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434041=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434042=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434043=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434044=DIRECTION('',(1.,6.982962677686E-15,0.)); #434045=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434046=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434047=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434048=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434049=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434050=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434051=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434052=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434053=DIRECTION('',(1.,6.982962677686E-15,0.)); #434054=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434055=DIRECTION('',(1.,6.982962677686E-15,0.)); #434056=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434057=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434058=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434059=DIRECTION('',(1.,6.982962677686E-15,0.)); #434060=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434061=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434062=DIRECTION('ref_axis',(-1.,-6.982962677686E-15,0.)); #434063=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434064=DIRECTION('',(1.,6.982962677686E-15,0.)); #434065=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #434066=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434067=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #434068=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434069=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434070=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434071=DIRECTION('',(1.,6.982962677686E-15,0.)); #434072=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #434073=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434074=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #434075=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434076=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434077=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434078=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434079=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434080=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434081=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434082=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434083=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434084=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434085=DIRECTION('',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #434086=DIRECTION('',(2.501179582111E-29,-3.581831519884E-15,-1.)); #434087=DIRECTION('',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #434088=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #434089=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #434090=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #434091=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434092=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, 0.707106781186548)); #434093=DIRECTION('ref_axis',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #434094=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434095=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.581831519884E-15)); #434096=DIRECTION('ref_axis',(2.501179582111E-29,-3.581831519884E-15,-1.)); #434097=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434098=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, -0.707106781186548)); #434099=DIRECTION('ref_axis',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #434100=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434101=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434102=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #434103=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #434104=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #434105=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #434106=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434107=DIRECTION('',(1.,6.982962677686E-15,0.)); #434108=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434109=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434110=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434111=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434112=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434113=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434114=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434115=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434116=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434117=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434118=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434119=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434120=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434121=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434122=DIRECTION('',(1.,6.982962677686E-15,0.)); #434123=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434124=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434125=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434126=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434127=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434128=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434129=DIRECTION('',(1.,6.982962677686E-15,0.)); #434130=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434131=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434132=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434133=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434134=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434135=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434136=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434137=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434138=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434139=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434140=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434141=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434142=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434143=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434144=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434145=DIRECTION('',(1.,6.982962677686E-15,0.)); #434146=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434147=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434148=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434149=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #434150=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434151=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434152=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #434153=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #434154=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434155=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #434156=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #434157=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434158=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434159=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #434160=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434161=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #434162=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434163=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434164=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #434165=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #434166=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434167=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #434168=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #434169=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434170=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434171=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #434172=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434173=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434174=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #434175=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #434176=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434177=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434178=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #434179=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #434180=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434181=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434182=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434183=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434184=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #434185=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #434186=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #434187=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #434188=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434189=DIRECTION('',(1.,6.982962677686E-15,0.)); #434190=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434191=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434192=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434193=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434194=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434195=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434196=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434197=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434198=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434199=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434200=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434201=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434202=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434203=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434204=DIRECTION('',(1.,6.982962677686E-15,0.)); #434205=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434206=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434207=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434208=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434209=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434210=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434211=DIRECTION('',(1.,6.982962677686E-15,0.)); #434212=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434213=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434214=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434215=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434216=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434217=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434218=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434219=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434220=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434221=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434222=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434223=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434224=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434225=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434226=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434227=DIRECTION('',(1.,6.982962677686E-15,0.)); #434228=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434229=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434230=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #434231=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #434232=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434233=DIRECTION('',(1.,6.982962677686E-15,0.)); #434234=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434235=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434236=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434237=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434238=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434239=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434240=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434241=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434242=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434243=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434244=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434245=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434246=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434247=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434248=DIRECTION('',(1.,6.982962677686E-15,0.)); #434249=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434250=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434251=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434252=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434253=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434254=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434255=DIRECTION('',(1.,6.982962677686E-15,0.)); #434256=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434257=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434258=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434259=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434260=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434261=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434262=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434263=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434264=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434265=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434266=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434267=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434268=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434269=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434270=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434271=DIRECTION('',(1.,6.982962677686E-15,0.)); #434272=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434273=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434274=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #434275=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #434276=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434277=DIRECTION('',(1.,6.982962677686E-15,0.)); #434278=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434279=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434280=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434281=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434282=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434283=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #434284=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434285=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434286=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434287=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434288=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #434289=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434290=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434291=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434292=DIRECTION('',(1.,6.982962677686E-15,0.)); #434293=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434294=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434295=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434296=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434297=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434298=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434299=DIRECTION('',(1.,6.982962677686E-15,0.)); #434300=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434301=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #434302=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #434303=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434304=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434305=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #434306=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434307=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434308=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434309=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #434310=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434311=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434312=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434313=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434314=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #434315=DIRECTION('',(1.,6.982962677686E-15,0.)); #434316=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434317=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #434318=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434319=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #434320=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434321=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434322=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #434323=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #434324=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434325=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #434326=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #434327=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434328=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434329=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #434330=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434331=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #434332=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434333=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434334=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #434335=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #434336=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434337=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #434338=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #434339=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434340=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434341=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #434342=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434343=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #434344=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434345=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434346=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #434347=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #434348=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434349=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #434350=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #434351=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434352=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434353=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #434354=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434355=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #434356=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434357=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434358=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #434359=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #434360=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434361=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #434362=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #434363=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434364=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434365=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #434366=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434367=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #434368=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434369=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434370=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #434371=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #434372=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434373=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #434374=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #434375=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434376=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434377=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #434378=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #434379=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #434380=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #434381=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #434382=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #434383=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #434384=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #434385=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #434386=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #434387=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #434388=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #434389=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #434390=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #434391=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #434392=DIRECTION('',(0.,0.,1.)); #434393=DIRECTION('',(1.,0.,0.)); #434394=DIRECTION('axis',(0.,0.,1.)); #434395=DIRECTION('refdir',(1.,0.,0.)); #434396=DIRECTION('axis',(0.,0.,1.)); #434397=DIRECTION('refdir',(1.,0.,0.)); #434398=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #434399=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #434400=DIRECTION('center_axis',(0.,-1.,0.)); #434401=DIRECTION('ref_axis',(-1.,0.,0.)); #434402=DIRECTION('center_axis',(0.,0.,1.)); #434403=DIRECTION('ref_axis',(0.,-1.,0.)); #434404=DIRECTION('center_axis',(-1.,0.,0.)); #434405=DIRECTION('ref_axis',(0.,0.,1.)); #434406=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #434407=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #434408=DIRECTION('center_axis',(1.,0.,0.)); #434409=DIRECTION('ref_axis',(0.,-1.,0.)); #434410=DIRECTION('center_axis',(0.,0.,1.)); #434411=DIRECTION('ref_axis',(1.,0.,0.)); #434412=DIRECTION('center_axis',(0.,-1.,0.)); #434413=DIRECTION('ref_axis',(0.,0.,1.)); #434414=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #434415=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189625)); #434416=DIRECTION('center_axis',(0.,0.,-1.)); #434417=DIRECTION('ref_axis',(-1.,0.,0.)); #434418=DIRECTION('center_axis',(0.,-1.,0.)); #434419=DIRECTION('ref_axis',(0.,0.,-1.)); #434420=DIRECTION('center_axis',(-1.,0.,0.)); #434421=DIRECTION('ref_axis',(0.,-1.,0.)); #434422=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #434423=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #434424=DIRECTION('center_axis',(-1.,0.,0.)); #434425=DIRECTION('ref_axis',(0.,1.,0.)); #434426=DIRECTION('center_axis',(0.,0.,1.)); #434427=DIRECTION('ref_axis',(-1.,0.,0.)); #434428=DIRECTION('center_axis',(0.,1.,0.)); #434429=DIRECTION('ref_axis',(0.,0.,1.)); #434430=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #434431=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #434432=DIRECTION('center_axis',(0.,0.,-1.)); #434433=DIRECTION('ref_axis',(0.,-1.,0.)); #434434=DIRECTION('center_axis',(1.,0.,0.)); #434435=DIRECTION('ref_axis',(0.,0.,-1.)); #434436=DIRECTION('center_axis',(0.,-1.,0.)); #434437=DIRECTION('ref_axis',(1.,0.,0.)); #434438=DIRECTION('center_axis',(1.,0.,0.)); #434439=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #434440=DIRECTION('',(-1.,0.,0.)); #434441=DIRECTION('',(1.,0.,0.)); #434442=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #434443=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #434444=DIRECTION('center_axis',(0.,1.,0.)); #434445=DIRECTION('ref_axis',(1.,0.,0.)); #434446=DIRECTION('center_axis',(0.,0.,1.)); #434447=DIRECTION('ref_axis',(0.,1.,0.)); #434448=DIRECTION('center_axis',(1.,0.,0.)); #434449=DIRECTION('ref_axis',(0.,0.,1.)); #434450=DIRECTION('center_axis',(0.408248290463866,-0.408248290463863,-0.816496580927725)); #434451=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #434452=DIRECTION('center_axis',(-1.,0.,0.)); #434453=DIRECTION('ref_axis',(0.,0.,-1.)); #434454=DIRECTION('center_axis',(0.,1.,0.)); #434455=DIRECTION('ref_axis',(-1.,0.,0.)); #434456=DIRECTION('center_axis',(0.,0.,-1.)); #434457=DIRECTION('ref_axis',(0.,1.,0.)); #434458=DIRECTION('center_axis',(0.,-1.,0.)); #434459=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #434460=DIRECTION('',(0.,1.,0.)); #434461=DIRECTION('',(0.,-1.,0.)); #434462=DIRECTION('center_axis',(0.,0.,1.)); #434463=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #434464=DIRECTION('',(0.,0.,-1.)); #434465=DIRECTION('',(0.,0.,1.)); #434466=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #434467=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #434468=DIRECTION('center_axis',(0.,0.,-1.)); #434469=DIRECTION('ref_axis',(1.,0.,0.)); #434470=DIRECTION('center_axis',(0.,1.,0.)); #434471=DIRECTION('ref_axis',(0.,0.,-1.)); #434472=DIRECTION('center_axis',(1.,0.,0.)); #434473=DIRECTION('ref_axis',(0.,1.,0.)); #434474=DIRECTION('center_axis',(0.,-1.,0.)); #434475=DIRECTION('ref_axis',(0.707106781186552,0.,-0.707106781186544)); #434476=DIRECTION('',(0.,1.,0.)); #434477=DIRECTION('',(0.,-1.,0.)); #434478=DIRECTION('center_axis',(-1.,0.,0.)); #434479=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #434480=DIRECTION('',(1.,0.,0.)); #434481=DIRECTION('',(-1.,0.,0.)); #434482=DIRECTION('center_axis',(0.,0.,1.)); #434483=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #434484=DIRECTION('',(0.,0.,-1.)); #434485=DIRECTION('',(0.,0.,1.)); #434486=DIRECTION('center_axis',(-1.,0.,0.)); #434487=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #434488=DIRECTION('',(1.,0.,0.)); #434489=DIRECTION('',(-1.,0.,0.)); #434490=DIRECTION('center_axis',(0.,1.,0.)); #434491=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186545)); #434492=DIRECTION('',(0.,-1.,0.)); #434493=DIRECTION('',(0.,1.,0.)); #434494=DIRECTION('center_axis',(0.,0.,1.)); #434495=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #434496=DIRECTION('',(0.,0.,-1.)); #434497=DIRECTION('',(0.,0.,1.)); #434498=DIRECTION('center_axis',(0.,-1.,0.)); #434499=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #434500=DIRECTION('',(0.,1.,0.)); #434501=DIRECTION('',(0.,-1.,0.)); #434502=DIRECTION('center_axis',(0.,0.,1.)); #434503=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #434504=DIRECTION('',(0.,0.,-1.)); #434505=DIRECTION('',(0.,0.,1.)); #434506=DIRECTION('center_axis',(1.,0.,0.)); #434507=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #434508=DIRECTION('',(-1.,0.,0.)); #434509=DIRECTION('',(1.,0.,0.)); #434510=DIRECTION('center_axis',(0.,-1.,0.)); #434511=DIRECTION('ref_axis',(1.,0.,0.)); #434512=DIRECTION('center_axis',(0.,0.,1.)); #434513=DIRECTION('ref_axis',(1.,0.,0.)); #434514=DIRECTION('center_axis',(-1.,0.,0.)); #434515=DIRECTION('ref_axis',(0.,-1.,0.)); #434516=DIRECTION('center_axis',(0.,1.,0.)); #434517=DIRECTION('ref_axis',(-1.,0.,0.)); #434518=DIRECTION('center_axis',(1.,0.,0.)); #434519=DIRECTION('ref_axis',(0.,1.,0.)); #434520=DIRECTION('center_axis',(0.,0.,1.)); #434521=DIRECTION('ref_axis',(1.,0.,0.)); #434522=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #434523=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #434524=DIRECTION('center_axis',(0.,-1.,0.)); #434525=DIRECTION('ref_axis',(-1.,0.,0.)); #434526=DIRECTION('center_axis',(0.,0.,1.)); #434527=DIRECTION('ref_axis',(0.,-1.,0.)); #434528=DIRECTION('center_axis',(-1.,0.,0.)); #434529=DIRECTION('ref_axis',(0.,0.,1.)); #434530=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #434531=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #434532=DIRECTION('center_axis',(1.,0.,0.)); #434533=DIRECTION('ref_axis',(0.,-1.,0.)); #434534=DIRECTION('center_axis',(0.,0.,1.)); #434535=DIRECTION('ref_axis',(1.,0.,0.)); #434536=DIRECTION('center_axis',(0.,-1.,0.)); #434537=DIRECTION('ref_axis',(0.,0.,1.)); #434538=DIRECTION('center_axis',(0.408248290463861,-0.816496580927725,0.408248290463867)); #434539=DIRECTION('ref_axis',(-0.57735026918962,-0.577350269189627,-0.57735026918963)); #434540=DIRECTION('center_axis',(0.,0.,-1.)); #434541=DIRECTION('ref_axis',(-1.,0.,0.)); #434542=DIRECTION('center_axis',(0.,-1.,0.)); #434543=DIRECTION('ref_axis',(0.,0.,-1.)); #434544=DIRECTION('center_axis',(-1.,0.,8.67361737988404E-15)); #434545=DIRECTION('ref_axis',(0.,-1.,0.)); #434546=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #434547=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #434548=DIRECTION('center_axis',(-1.,0.,0.)); #434549=DIRECTION('ref_axis',(0.,1.,0.)); #434550=DIRECTION('center_axis',(0.,0.,1.)); #434551=DIRECTION('ref_axis',(-1.,0.,0.)); #434552=DIRECTION('center_axis',(0.,1.,0.)); #434553=DIRECTION('ref_axis',(0.,0.,1.)); #434554=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #434555=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #434556=DIRECTION('center_axis',(0.,0.,-1.)); #434557=DIRECTION('ref_axis',(0.,-1.,0.)); #434558=DIRECTION('center_axis',(1.,0.,0.)); #434559=DIRECTION('ref_axis',(0.,0.,-1.)); #434560=DIRECTION('center_axis',(0.,-1.,0.)); #434561=DIRECTION('ref_axis',(1.,0.,0.)); #434562=DIRECTION('center_axis',(1.,0.,0.)); #434563=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #434564=DIRECTION('',(-1.,0.,0.)); #434565=DIRECTION('',(1.,0.,0.)); #434566=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #434567=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #434568=DIRECTION('center_axis',(0.,1.,0.)); #434569=DIRECTION('ref_axis',(1.,0.,0.)); #434570=DIRECTION('center_axis',(0.,0.,1.)); #434571=DIRECTION('ref_axis',(0.,1.,0.)); #434572=DIRECTION('center_axis',(1.,0.,0.)); #434573=DIRECTION('ref_axis',(0.,0.,1.)); #434574=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927724, -0.408248290463867)); #434575=DIRECTION('ref_axis',(-0.577350269189621,0.577350269189628,-0.577350269189628)); #434576=DIRECTION('center_axis',(-1.,8.67361737988405E-15,0.)); #434577=DIRECTION('ref_axis',(0.,0.,-1.)); #434578=DIRECTION('center_axis',(0.,1.,0.)); #434579=DIRECTION('ref_axis',(-1.,0.,0.)); #434580=DIRECTION('center_axis',(0.,0.,-1.)); #434581=DIRECTION('ref_axis',(0.,1.,0.)); #434582=DIRECTION('center_axis',(0.,-1.,0.)); #434583=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #434584=DIRECTION('',(0.,1.,0.)); #434585=DIRECTION('',(0.,-1.,0.)); #434586=DIRECTION('center_axis',(0.,0.,1.)); #434587=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #434588=DIRECTION('',(0.,0.,-1.)); #434589=DIRECTION('',(0.,0.,1.)); #434590=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #434591=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #434592=DIRECTION('center_axis',(0.,0.,-1.)); #434593=DIRECTION('ref_axis',(1.,0.,0.)); #434594=DIRECTION('center_axis',(0.,1.,0.)); #434595=DIRECTION('ref_axis',(0.,0.,-1.)); #434596=DIRECTION('center_axis',(1.,0.,0.)); #434597=DIRECTION('ref_axis',(0.,1.,0.)); #434598=DIRECTION('center_axis',(0.,1.,0.)); #434599=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #434600=DIRECTION('',(0.,-1.,0.)); #434601=DIRECTION('',(0.,1.,0.)); #434602=DIRECTION('center_axis',(-1.,0.,0.)); #434603=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #434604=DIRECTION('',(1.,0.,0.)); #434605=DIRECTION('',(-1.,0.,0.)); #434606=DIRECTION('center_axis',(0.,0.,1.)); #434607=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #434608=DIRECTION('',(0.,0.,-1.)); #434609=DIRECTION('',(0.,0.,1.)); #434610=DIRECTION('center_axis',(-1.,0.,0.)); #434611=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #434612=DIRECTION('',(1.,0.,0.)); #434613=DIRECTION('',(-1.,0.,0.)); #434614=DIRECTION('center_axis',(0.,1.,0.)); #434615=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #434616=DIRECTION('',(0.,-1.,0.)); #434617=DIRECTION('',(0.,1.,0.)); #434618=DIRECTION('center_axis',(0.,0.,1.)); #434619=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #434620=DIRECTION('',(0.,0.,-1.)); #434621=DIRECTION('',(0.,0.,1.)); #434622=DIRECTION('center_axis',(0.,-1.,0.)); #434623=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186545)); #434624=DIRECTION('',(0.,1.,0.)); #434625=DIRECTION('',(0.,-1.,0.)); #434626=DIRECTION('center_axis',(0.,0.,1.)); #434627=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #434628=DIRECTION('',(0.,0.,-1.)); #434629=DIRECTION('',(0.,0.,1.)); #434630=DIRECTION('center_axis',(1.,0.,0.)); #434631=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #434632=DIRECTION('',(-1.,0.,0.)); #434633=DIRECTION('',(1.,0.,0.)); #434634=DIRECTION('center_axis',(0.,-1.,0.)); #434635=DIRECTION('ref_axis',(1.,0.,0.)); #434636=DIRECTION('center_axis',(0.,0.,1.)); #434637=DIRECTION('ref_axis',(1.,0.,0.)); #434638=DIRECTION('center_axis',(-1.,0.,0.)); #434639=DIRECTION('ref_axis',(0.,-1.,0.)); #434640=DIRECTION('center_axis',(0.,1.,0.)); #434641=DIRECTION('ref_axis',(-1.,0.,0.)); #434642=DIRECTION('center_axis',(1.,0.,0.)); #434643=DIRECTION('ref_axis',(0.,1.,0.)); #434644=DIRECTION('center_axis',(0.,0.,1.)); #434645=DIRECTION('ref_axis',(1.,0.,0.)); #434646=DIRECTION('center_axis',(1.,0.,0.)); #434647=DIRECTION('ref_axis',(0.,1.,0.)); #434648=DIRECTION('',(0.,-1.,0.)); #434649=DIRECTION('',(0.,0.,1.)); #434650=DIRECTION('',(0.,1.,0.)); #434651=DIRECTION('',(0.,0.,1.)); #434652=DIRECTION('center_axis',(0.,-1.,0.)); #434653=DIRECTION('ref_axis',(1.,0.,0.)); #434654=DIRECTION('',(1.,0.,0.)); #434655=DIRECTION('',(1.,0.,0.)); #434656=DIRECTION('',(0.,0.,1.)); #434657=DIRECTION('center_axis',(-1.,0.,0.)); #434658=DIRECTION('ref_axis',(0.,-1.,0.)); #434659=DIRECTION('',(0.,-1.,0.)); #434660=DIRECTION('',(0.,-1.,0.)); #434661=DIRECTION('',(0.,0.,1.)); #434662=DIRECTION('center_axis',(0.,1.,0.)); #434663=DIRECTION('ref_axis',(-1.,0.,0.)); #434664=DIRECTION('',(-1.,0.,0.)); #434665=DIRECTION('',(-1.,0.,0.)); #434666=DIRECTION('center_axis',(0.,0.,1.)); #434667=DIRECTION('ref_axis',(1.,0.,0.)); #434668=DIRECTION('center_axis',(0.,0.,1.)); #434669=DIRECTION('ref_axis',(1.,0.,0.)); #434670=DIRECTION('center_axis',(-2.02605534853945E-32,3.94310329911601E-32, 1.)); #434671=DIRECTION('ref_axis',(1.,0.,2.02605534853945E-32)); #434672=DIRECTION('',(0.,-1.,0.)); #434673=DIRECTION('',(1.,0.,0.)); #434674=DIRECTION('',(0.,1.,0.)); #434675=DIRECTION('',(-1.,0.,0.)); #434676=DIRECTION('center_axis',(8.50450386111117E-33,1.35921895148378E-48, 1.)); #434677=DIRECTION('ref_axis',(1.,0.,-8.50450386111117E-33)); #434678=DIRECTION('',(0.,-1.,0.)); #434679=DIRECTION('',(-1.,0.,0.)); #434680=DIRECTION('',(0.,1.,0.)); #434681=DIRECTION('',(1.,0.,0.)); #434682=DIRECTION('center_axis',(-0.932798134405597,-1.98402869123086E-16, -0.360399279202162)); #434683=DIRECTION('ref_axis',(-0.360399279202162,0.,0.932798134405597)); #434684=DIRECTION('',(-0.354013048499967,-0.187418672735276,0.916269066705794)); #434685=DIRECTION('',(-0.354013048499967,0.187418672735276,0.916269066705794)); #434686=DIRECTION('center_axis',(0.,0.979714932282565,-0.200396236148706)); #434687=DIRECTION('ref_axis',(0.,0.200396236148706,0.979714932282566)); #434688=DIRECTION('',(0.354013048499966,0.187418672735276,0.916269066705795)); #434689=DIRECTION('center_axis',(0.932798134405597,1.98402869123086E-16, -0.360399279202162)); #434690=DIRECTION('ref_axis',(-0.360399279202162,0.,-0.932798134405597)); #434691=DIRECTION('',(0.354013048499967,-0.187418672735276,0.916269066705794)); #434692=DIRECTION('center_axis',(0.,-0.979714932282565,-0.200396236148706)); #434693=DIRECTION('ref_axis',(0.,0.200396236148706,-0.979714932282566)); #434694=DIRECTION('center_axis',(0.,-1.,0.)); #434695=DIRECTION('ref_axis',(1.,0.,0.)); #434696=DIRECTION('',(1.,0.,0.)); #434697=DIRECTION('',(0.,0.,1.)); #434698=DIRECTION('',(1.,0.,0.)); #434699=DIRECTION('',(0.,0.,1.)); #434700=DIRECTION('center_axis',(-1.,0.,0.)); #434701=DIRECTION('ref_axis',(0.,-1.,0.)); #434702=DIRECTION('',(0.,-1.,0.)); #434703=DIRECTION('',(0.,-1.,0.)); #434704=DIRECTION('',(0.,0.,1.)); #434705=DIRECTION('center_axis',(0.,1.,0.)); #434706=DIRECTION('ref_axis',(-1.,0.,0.)); #434707=DIRECTION('',(-1.,0.,0.)); #434708=DIRECTION('',(-1.,0.,0.)); #434709=DIRECTION('',(0.,0.,1.)); #434710=DIRECTION('center_axis',(1.,0.,0.)); #434711=DIRECTION('ref_axis',(0.,1.,0.)); #434712=DIRECTION('',(0.,1.,0.)); #434713=DIRECTION('',(0.,1.,0.)); #434714=DIRECTION('center_axis',(0.,0.,1.)); #434715=DIRECTION('ref_axis',(1.,0.,0.)); #434716=DIRECTION('center_axis',(0.,0.,1.)); #434717=DIRECTION('ref_axis',(1.,0.,0.)); #434718=DIRECTION('',(0.,0.,1.)); #434719=DIRECTION('',(1.,0.,0.)); #434720=DIRECTION('axis',(0.,0.,1.)); #434721=DIRECTION('refdir',(1.,0.,0.)); #434722=DIRECTION('axis',(0.,0.,1.)); #434723=DIRECTION('refdir',(1.,0.,0.)); #434724=DIRECTION('axis',(0.,0.,1.)); #434725=DIRECTION('refdir',(1.,0.,0.)); #434726=DIRECTION('axis',(0.,0.,1.)); #434727=DIRECTION('refdir',(1.,0.,0.)); #434728=DIRECTION('center_axis',(-7.10886483625716E-17,0.716873494897815, 0.697203264703337)); #434729=DIRECTION('ref_axis',(1.23259516440783E-32,-0.697203264703337,0.716873494897815)); #434730=DIRECTION('',(0.,0.697203264703337,-0.716873494897815)); #434731=DIRECTION('',(-1.,0.,0.)); #434732=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #434733=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434734=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #434735=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434736=DIRECTION('',(1.48865442512566E-16,0.697203264703337,-0.716873494897815)); #434737=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434738=DIRECTION('',(2.96089828786853E-16,-0.697203264703337,0.716873494897815)); #434739=DIRECTION('',(-1.,0.,0.)); #434740=DIRECTION('',(-1.37807766057711E-17,-0.697203264703337,0.716873494897815)); #434741=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434742=DIRECTION('',(6.89038830288553E-17,0.697203264703337,-0.716873494897815)); #434743=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #434744=DIRECTION('',(0.,-0.697203264703337,0.716873494897815)); #434745=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434746=DIRECTION('center_axis',(-1.,0.,0.)); #434747=DIRECTION('ref_axis',(0.,0.,1.)); #434748=DIRECTION('',(0.,1.,0.)); #434749=DIRECTION('',(1.12352556734249E-16,0.,1.)); #434750=DIRECTION('',(0.,1.,0.)); #434751=DIRECTION('',(0.,0.,1.)); #434752=DIRECTION('center_axis',(0.,1.,0.)); #434753=DIRECTION('ref_axis',(0.,0.,1.)); #434754=DIRECTION('center_axis',(0.,-1.,0.)); #434755=DIRECTION('ref_axis',(0.,0.,-1.)); #434756=DIRECTION('',(0.,1.,0.)); #434757=DIRECTION('center_axis',(0.,-1.,0.)); #434758=DIRECTION('ref_axis',(1.,0.,0.)); #434759=DIRECTION('',(0.,1.,0.)); #434760=DIRECTION('center_axis',(0.,-1.,0.)); #434761=DIRECTION('ref_axis',(0.,0.,-1.)); #434762=DIRECTION('',(0.,1.,0.)); #434763=DIRECTION('center_axis',(0.,1.,0.)); #434764=DIRECTION('ref_axis',(0.,0.,1.)); #434765=DIRECTION('',(0.,1.,0.)); #434766=DIRECTION('center_axis',(0.,1.,0.)); #434767=DIRECTION('ref_axis',(0.,0.,1.)); #434768=DIRECTION('center_axis',(0.,-1.,0.)); #434769=DIRECTION('ref_axis',(0.,0.,-1.)); #434770=DIRECTION('',(0.,1.,0.)); #434771=DIRECTION('center_axis',(0.,1.,0.)); #434772=DIRECTION('ref_axis',(0.,0.,1.)); #434773=DIRECTION('',(0.,1.,0.)); #434774=DIRECTION('center_axis',(0.,-1.,0.)); #434775=DIRECTION('ref_axis',(0.,0.,-1.)); #434776=DIRECTION('',(0.,1.,0.)); #434777=DIRECTION('center_axis',(0.,-1.,0.)); #434778=DIRECTION('ref_axis',(1.,0.,0.)); #434779=DIRECTION('',(0.,1.,0.)); #434780=DIRECTION('center_axis',(1.,0.,0.)); #434781=DIRECTION('ref_axis',(0.,0.,-1.)); #434782=DIRECTION('',(0.,0.,-1.)); #434783=DIRECTION('',(0.,0.,-1.)); #434784=DIRECTION('center_axis',(1.,0.,0.)); #434785=DIRECTION('ref_axis',(0.,0.,-1.)); #434786=DIRECTION('',(0.,0.,1.)); #434787=DIRECTION('',(0.,0.,1.)); #434788=DIRECTION('center_axis',(1.01962586754123E-16,0.,1.)); #434789=DIRECTION('ref_axis',(1.,0.,-1.01962586754123E-16)); #434790=DIRECTION('',(1.,0.,0.)); #434791=DIRECTION('',(0.,1.,0.)); #434792=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #434793=DIRECTION('',(0.,-1.,0.)); #434794=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #434795=DIRECTION('',(0.,-1.,0.)); #434796=DIRECTION('',(1.,0.,-1.66800334228539E-16)); #434797=DIRECTION('',(0.,-1.,0.)); #434798=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #434799=DIRECTION('',(0.,-1.,0.)); #434800=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); #434801=DIRECTION('',(0.,-1.,0.)); #434802=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #434803=DIRECTION('',(0.,-1.,0.)); #434804=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #434805=DIRECTION('',(0.,1.,0.)); #434806=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #434807=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #434808=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #434809=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #434810=DIRECTION('',(1.,0.,0.)); #434811=DIRECTION('center_axis',(0.,1.,0.)); #434812=DIRECTION('ref_axis',(0.,0.,1.)); #434813=DIRECTION('',(-1.,0.,0.)); #434814=DIRECTION('',(0.,0.,-1.)); #434815=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #434816=DIRECTION('',(1.53012403374971E-16,0.,1.)); #434817=DIRECTION('',(-1.,0.,0.)); #434818=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #434819=DIRECTION('',(-1.,0.,0.)); #434820=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #434821=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #434822=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #434823=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #434824=DIRECTION('',(0.,0.,1.)); #434825=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #434826=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #434827=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #434828=DIRECTION('',(0.,0.,-1.)); #434829=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #434830=DIRECTION('',(-1.,0.,0.)); #434831=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #434832=DIRECTION('center_axis',(0.,-1.,0.)); #434833=DIRECTION('ref_axis',(0.,0.,-1.)); #434834=DIRECTION('',(0.,0.,-1.)); #434835=DIRECTION('center_axis',(0.,-1.,0.)); #434836=DIRECTION('ref_axis',(0.,0.,-1.)); #434837=DIRECTION('',(0.,0.,-1.)); #434838=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434839=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #434840=DIRECTION('center_axis',(0.,-1.,0.)); #434841=DIRECTION('ref_axis',(0.,0.,-1.)); #434842=DIRECTION('center_axis',(0.,-1.,0.)); #434843=DIRECTION('ref_axis',(0.,0.,-1.)); #434844=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #434845=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #434846=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434847=DIRECTION('',(0.,0.,1.)); #434848=DIRECTION('center_axis',(0.,-1.,0.)); #434849=DIRECTION('ref_axis',(0.,0.,-1.)); #434850=DIRECTION('center_axis',(0.,-1.,0.)); #434851=DIRECTION('ref_axis',(0.,0.,-1.)); #434852=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #434853=DIRECTION('center_axis',(0.,-1.,0.)); #434854=DIRECTION('ref_axis',(0.,0.,-1.)); #434855=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #434856=DIRECTION('center_axis',(0.,1.,0.)); #434857=DIRECTION('ref_axis',(0.,0.,1.)); #434858=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434859=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434860=DIRECTION('',(0.,1.,0.)); #434861=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434862=DIRECTION('',(0.,1.,0.)); #434863=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434864=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434865=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434866=DIRECTION('',(0.,1.,0.)); #434867=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434868=DIRECTION('',(0.,1.,0.)); #434869=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434870=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434871=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434872=DIRECTION('',(0.,1.,0.)); #434873=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434874=DIRECTION('',(0.,1.,0.)); #434875=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434876=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434877=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434878=DIRECTION('',(0.,1.,0.)); #434879=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434880=DIRECTION('',(0.,1.,0.)); #434881=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434882=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434883=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434884=DIRECTION('',(0.,1.,0.)); #434885=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434886=DIRECTION('',(0.,1.,0.)); #434887=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434888=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434889=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434890=DIRECTION('',(0.,1.,0.)); #434891=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434892=DIRECTION('',(0.,1.,0.)); #434893=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434894=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434895=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434896=DIRECTION('',(0.,1.,0.)); #434897=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434898=DIRECTION('',(0.,1.,0.)); #434899=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434900=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434901=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434902=DIRECTION('',(0.,1.,0.)); #434903=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434904=DIRECTION('',(0.,1.,0.)); #434905=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434906=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434907=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434908=DIRECTION('',(0.,1.,0.)); #434909=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434910=DIRECTION('',(0.,1.,0.)); #434911=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434912=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434913=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434914=DIRECTION('',(0.,1.,0.)); #434915=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434916=DIRECTION('',(0.,1.,0.)); #434917=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434918=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434919=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434920=DIRECTION('',(0.,1.,0.)); #434921=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434922=DIRECTION('',(0.,1.,0.)); #434923=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434924=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #434925=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #434926=DIRECTION('',(0.,1.,0.)); #434927=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #434928=DIRECTION('',(0.,1.,0.)); #434929=DIRECTION('',(1.,0.,1.01962586754123E-16)); #434930=DIRECTION('center_axis',(-1.,0.,0.)); #434931=DIRECTION('ref_axis',(0.,0.,1.)); #434932=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #434933=DIRECTION('',(0.,1.,0.)); #434934=DIRECTION('',(0.,0.,1.)); #434935=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #434936=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #434937=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #434938=DIRECTION('',(0.,1.,0.)); #434939=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #434940=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #434941=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #434942=DIRECTION('',(0.,1.,0.)); #434943=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #434944=DIRECTION('',(0.,1.,0.)); #434945=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #434946=DIRECTION('center_axis',(1.,0.,4.33680868994199E-15)); #434947=DIRECTION('ref_axis',(4.33680868994199E-15,0.,-1.)); #434948=DIRECTION('',(4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #434949=DIRECTION('',(4.33680868994199E-15,0.,-1.)); #434950=DIRECTION('center_axis',(-1.,0.,0.)); #434951=DIRECTION('ref_axis',(0.,0.,1.)); #434952=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #434953=DIRECTION('',(0.,1.,0.)); #434954=DIRECTION('',(0.,0.,1.)); #434955=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186547)); #434956=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #434957=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #434958=DIRECTION('',(0.,1.,0.)); #434959=DIRECTION('',(-0.707106781186547,0.,0.707106781186548)); #434960=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #434961=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #434962=DIRECTION('',(0.,1.,0.)); #434963=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #434964=DIRECTION('',(0.,1.,0.)); #434965=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #434966=DIRECTION('center_axis',(1.,0.,0.)); #434967=DIRECTION('ref_axis',(0.,0.,-1.)); #434968=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #434969=DIRECTION('',(0.,0.,-1.)); #434970=DIRECTION('center_axis',(-1.,0.,0.)); #434971=DIRECTION('ref_axis',(0.,0.,1.)); #434972=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #434973=DIRECTION('',(0.,1.,0.)); #434974=DIRECTION('',(0.,0.,1.)); #434975=DIRECTION('center_axis',(-0.707106781186549,0.,-0.707106781186546)); #434976=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #434977=DIRECTION('',(-0.577350269189625,-0.577350269189626,0.577350269189627)); #434978=DIRECTION('',(0.,1.,0.)); #434979=DIRECTION('',(-0.707106781186546,0.,0.707106781186549)); #434980=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #434981=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #434982=DIRECTION('',(0.,1.,0.)); #434983=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #434984=DIRECTION('',(0.,1.,0.)); #434985=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #434986=DIRECTION('center_axis',(1.,0.,0.)); #434987=DIRECTION('ref_axis',(0.,0.,-1.)); #434988=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #434989=DIRECTION('',(0.,0.,-1.)); #434990=DIRECTION('center_axis',(-1.,0.,0.)); #434991=DIRECTION('ref_axis',(0.,0.,1.)); #434992=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #434993=DIRECTION('',(0.,1.,0.)); #434994=DIRECTION('',(0.,0.,1.)); #434995=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #434996=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #434997=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #434998=DIRECTION('',(0.,1.,0.)); #434999=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #435000=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #435001=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #435002=DIRECTION('',(0.,1.,0.)); #435003=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #435004=DIRECTION('',(0.,1.,0.)); #435005=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #435006=DIRECTION('center_axis',(1.,0.,0.)); #435007=DIRECTION('ref_axis',(0.,0.,-1.)); #435008=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435009=DIRECTION('',(0.,0.,-1.)); #435010=DIRECTION('center_axis',(-1.,0.,0.)); #435011=DIRECTION('ref_axis',(0.,0.,1.)); #435012=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435013=DIRECTION('',(0.,1.,0.)); #435014=DIRECTION('',(0.,0.,1.)); #435015=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #435016=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #435017=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #435018=DIRECTION('',(0.,1.,0.)); #435019=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #435020=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186547)); #435021=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #435022=DIRECTION('',(0.,1.,0.)); #435023=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #435024=DIRECTION('',(0.,1.,0.)); #435025=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #435026=DIRECTION('center_axis',(1.,0.,0.)); #435027=DIRECTION('ref_axis',(0.,0.,-1.)); #435028=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435029=DIRECTION('',(0.,0.,-1.)); #435030=DIRECTION('center_axis',(-1.,0.,0.)); #435031=DIRECTION('ref_axis',(0.,0.,1.)); #435032=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435033=DIRECTION('',(0.,1.,0.)); #435034=DIRECTION('',(0.,0.,1.)); #435035=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #435036=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #435037=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #435038=DIRECTION('',(0.,1.,0.)); #435039=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #435040=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #435041=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #435042=DIRECTION('',(0.,1.,0.)); #435043=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #435044=DIRECTION('',(0.,1.,0.)); #435045=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #435046=DIRECTION('center_axis',(1.,0.,0.)); #435047=DIRECTION('ref_axis',(0.,0.,-1.)); #435048=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435049=DIRECTION('',(0.,0.,-1.)); #435050=DIRECTION('center_axis',(-1.,0.,0.)); #435051=DIRECTION('ref_axis',(0.,0.,1.)); #435052=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435053=DIRECTION('',(0.,1.,0.)); #435054=DIRECTION('',(0.,0.,1.)); #435055=DIRECTION('center_axis',(-0.707106781186545,0.,-0.70710678118655)); #435056=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #435057=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #435058=DIRECTION('',(0.,1.,0.)); #435059=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #435060=DIRECTION('center_axis',(-1.,0.,0.)); #435061=DIRECTION('ref_axis',(0.,0.,1.)); #435062=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435063=DIRECTION('',(0.,0.,1.)); #435064=DIRECTION('',(0.,1.,0.)); #435065=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #435066=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #435067=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #435068=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #435069=DIRECTION('',(0.,1.,0.)); #435070=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #435071=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #435072=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #435073=DIRECTION('',(0.,1.,0.)); #435074=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #435075=DIRECTION('',(0.,1.,0.)); #435076=DIRECTION('center_axis',(1.,0.,-4.33680868994199E-15)); #435077=DIRECTION('ref_axis',(-4.33680868994199E-15,0.,-1.)); #435078=DIRECTION('',(-4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #435079=DIRECTION('',(-4.33680868994199E-15,0.,-1.)); #435080=DIRECTION('center_axis',(-1.,0.,0.)); #435081=DIRECTION('ref_axis',(0.,0.,1.)); #435082=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435083=DIRECTION('',(0.,0.,1.)); #435084=DIRECTION('',(0.,1.,0.)); #435085=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186547)); #435086=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #435087=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #435088=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #435089=DIRECTION('',(0.,1.,0.)); #435090=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #435091=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #435092=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #435093=DIRECTION('',(0.,1.,0.)); #435094=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #435095=DIRECTION('',(0.,1.,0.)); #435096=DIRECTION('center_axis',(1.,0.,0.)); #435097=DIRECTION('ref_axis',(0.,0.,-1.)); #435098=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435099=DIRECTION('',(0.,0.,-1.)); #435100=DIRECTION('center_axis',(-1.,0.,0.)); #435101=DIRECTION('ref_axis',(0.,0.,1.)); #435102=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435103=DIRECTION('',(0.,0.,1.)); #435104=DIRECTION('',(0.,1.,0.)); #435105=DIRECTION('center_axis',(-0.707106781186549,0.,0.707106781186546)); #435106=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #435107=DIRECTION('',(0.577350269189625,-0.577350269189626,0.577350269189627)); #435108=DIRECTION('',(0.707106781186546,0.,0.707106781186549)); #435109=DIRECTION('',(0.,1.,0.)); #435110=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #435111=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #435112=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #435113=DIRECTION('',(0.,1.,0.)); #435114=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #435115=DIRECTION('',(0.,1.,0.)); #435116=DIRECTION('center_axis',(1.,0.,0.)); #435117=DIRECTION('ref_axis',(0.,0.,-1.)); #435118=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435119=DIRECTION('',(0.,0.,-1.)); #435120=DIRECTION('center_axis',(-1.,0.,0.)); #435121=DIRECTION('ref_axis',(0.,0.,1.)); #435122=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435123=DIRECTION('',(0.,0.,1.)); #435124=DIRECTION('',(0.,1.,0.)); #435125=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); #435126=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #435127=DIRECTION('',(0.577350269189626,-0.577350269189625,0.577350269189626)); #435128=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); #435129=DIRECTION('',(0.,1.,0.)); #435130=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #435131=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #435132=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #435133=DIRECTION('',(0.,1.,0.)); #435134=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #435135=DIRECTION('',(0.,1.,0.)); #435136=DIRECTION('center_axis',(1.,0.,0.)); #435137=DIRECTION('ref_axis',(0.,0.,-1.)); #435138=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435139=DIRECTION('',(0.,0.,-1.)); #435140=DIRECTION('center_axis',(-1.,0.,0.)); #435141=DIRECTION('ref_axis',(0.,0.,1.)); #435142=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435143=DIRECTION('',(0.,0.,1.)); #435144=DIRECTION('',(0.,1.,0.)); #435145=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #435146=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #435147=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #435148=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #435149=DIRECTION('',(0.,1.,0.)); #435150=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186547)); #435151=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #435152=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #435153=DIRECTION('',(0.,1.,0.)); #435154=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); #435155=DIRECTION('',(0.,1.,0.)); #435156=DIRECTION('center_axis',(1.,0.,0.)); #435157=DIRECTION('ref_axis',(0.,0.,-1.)); #435158=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #435159=DIRECTION('',(0.,0.,-1.)); #435160=DIRECTION('center_axis',(-1.,0.,0.)); #435161=DIRECTION('ref_axis',(0.,0.,1.)); #435162=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #435163=DIRECTION('',(0.,0.,1.)); #435164=DIRECTION('',(0.,1.,0.)); #435165=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #435166=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); #435167=DIRECTION('',(0.577350269189629,-0.577350269189624,0.577350269189624)); #435168=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #435169=DIRECTION('',(0.,1.,0.)); #435170=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #435171=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #435172=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435173=DIRECTION('',(0.,1.,0.)); #435174=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435175=DIRECTION('',(0.,-1.,0.)); #435176=DIRECTION('center_axis',(-1.20466908053945E-16,-1.,-9.91978355124293E-33)); #435177=DIRECTION('ref_axis',(1.,-1.20466908053945E-16,0.)); #435178=DIRECTION('',(-1.,0.,0.)); #435179=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #435180=DIRECTION('',(-1.,1.20466908053945E-16,-7.83760606616027E-17)); #435181=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #435182=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435183=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #435184=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #435185=DIRECTION('',(0.,1.,0.)); #435186=DIRECTION('',(0.,-1.,0.)); #435187=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435188=DIRECTION('center_axis',(0.,1.,0.)); #435189=DIRECTION('ref_axis',(0.,0.,1.)); #435190=DIRECTION('',(-1.,0.,0.)); #435191=DIRECTION('',(-1.,0.,0.)); #435192=DIRECTION('',(-1.,0.,0.)); #435193=DIRECTION('',(-1.,0.,0.)); #435194=DIRECTION('',(-1.,0.,0.)); #435195=DIRECTION('',(-1.,0.,0.)); #435196=DIRECTION('',(1.,0.,0.)); #435197=DIRECTION('',(1.,0.,0.)); #435198=DIRECTION('',(1.,0.,0.)); #435199=DIRECTION('',(1.,0.,0.)); #435200=DIRECTION('',(1.,0.,0.)); #435201=DIRECTION('',(-1.,0.,0.)); #435202=DIRECTION('',(1.,0.,0.)); #435203=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435204=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435205=DIRECTION('',(0.,-1.,0.)); #435206=DIRECTION('',(0.,1.,0.)); #435207=DIRECTION('',(1.,2.25875452601147E-16,1.01962586754123E-16)); #435208=DIRECTION('',(0.,-1.,0.)); #435209=DIRECTION('',(0.707106781186547,0.707106781186548,7.20984365211617E-17)); #435210=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435211=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435212=DIRECTION('',(0.707106781186547,-0.707106781186548,7.20984365211617E-17)); #435213=DIRECTION('',(0.,-1.,0.)); #435214=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435215=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #435216=DIRECTION('',(0.,1.,0.)); #435217=DIRECTION('center_axis',(0.,-1.,0.)); #435218=DIRECTION('ref_axis',(0.,0.,-1.)); #435219=DIRECTION('',(0.,-1.,0.)); #435220=DIRECTION('center_axis',(-2.25875452601147E-16,1.,-2.30308454314712E-32)); #435221=DIRECTION('ref_axis',(-1.,-2.25875452601147E-16,0.)); #435222=DIRECTION('',(0.,-1.,0.)); #435223=DIRECTION('center_axis',(0.,-1.,0.)); #435224=DIRECTION('ref_axis',(0.,0.,-1.)); #435225=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435226=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435227=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #435228=DIRECTION('',(0.,1.,0.)); #435229=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435230=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435231=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435232=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #435233=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #435234=DIRECTION('',(0.,-1.,0.)); #435235=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435236=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435237=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435238=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435239=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #435240=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #435241=DIRECTION('',(0.,-1.,0.)); #435242=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435243=DIRECTION('',(0.,1.,0.)); #435244=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #435245=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #435246=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435247=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #435248=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #435249=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435250=DIRECTION('',(0.,-1.,0.)); #435251=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #435252=DIRECTION('center_axis',(0.,-1.,0.)); #435253=DIRECTION('ref_axis',(0.,0.,-1.)); #435254=DIRECTION('',(1.,0.,1.12352556734249E-16)); #435255=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435256=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435257=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435258=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #435259=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #435260=DIRECTION('',(-7.20984365211617E-17,-0.707106781186549,0.707106781186546)); #435261=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435262=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #435263=DIRECTION('center_axis',(0.,-1.,0.)); #435264=DIRECTION('ref_axis',(0.,0.,-1.)); #435265=DIRECTION('',(1.,0.,1.12352556734249E-16)); #435266=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435267=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435268=DIRECTION('center_axis',(0.,-1.,0.)); #435269=DIRECTION('ref_axis',(0.,0.,-1.)); #435270=DIRECTION('',(0.,0.,1.)); #435271=DIRECTION('center_axis',(0.,-1.,0.)); #435272=DIRECTION('ref_axis',(0.,0.,-1.)); #435273=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #435274=DIRECTION('',(0.,0.,1.)); #435275=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #435276=DIRECTION('center_axis',(0.,-1.,0.)); #435277=DIRECTION('ref_axis',(1.,0.,0.)); #435278=DIRECTION('center_axis',(0.,-1.,0.)); #435279=DIRECTION('ref_axis',(-1.,0.,0.)); #435280=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #435281=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #435282=DIRECTION('',(2.10448956370965E-16,-0.707106781186549,0.707106781186546)); #435283=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); #435284=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #435285=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #435286=DIRECTION('',(7.20984365211617E-17,0.707106781186549,-0.707106781186546)); #435287=DIRECTION('',(4.12830306895245E-16,0.707106781186549,-0.707106781186546)); #435288=DIRECTION('center_axis',(0.,-1.,0.)); #435289=DIRECTION('ref_axis',(0.,0.,-1.)); #435290=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435291=DIRECTION('',(1.,0.,1.12352556734249E-16)); #435292=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #435293=DIRECTION('',(0.,0.,1.)); #435294=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #435295=DIRECTION('center_axis',(0.,-1.,0.)); #435296=DIRECTION('ref_axis',(0.,0.,-1.)); #435297=DIRECTION('',(0.,0.,-1.)); #435298=DIRECTION('center_axis',(0.,-1.,0.)); #435299=DIRECTION('ref_axis',(0.,0.,-1.)); #435300=DIRECTION('center_axis',(0.,-1.,0.)); #435301=DIRECTION('ref_axis',(0.,0.,-1.)); #435302=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #435303=DIRECTION('',(0.,0.,-1.)); #435304=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #435305=DIRECTION('',(0.,0.,-1.)); #435306=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #435307=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #435308=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #435309=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #435310=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #435311=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #435312=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #435313=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #435314=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #435315=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #435316=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #435317=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #435318=DIRECTION('center_axis',(0.,-1.,0.)); #435319=DIRECTION('ref_axis',(0.,0.,-1.)); #435320=DIRECTION('center_axis',(0.,-1.,0.)); #435321=DIRECTION('ref_axis',(0.,0.,-1.)); #435322=DIRECTION('',(0.,-1.,0.)); #435323=DIRECTION('center_axis',(0.,-1.,0.)); #435324=DIRECTION('ref_axis',(0.,0.,-1.)); #435325=DIRECTION('center_axis',(1.,0.,0.)); #435326=DIRECTION('ref_axis',(0.,0.,-1.)); #435327=DIRECTION('',(0.,0.,1.)); #435328=DIRECTION('',(0.,-1.,0.)); #435329=DIRECTION('',(0.,0.,-1.)); #435330=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435331=DIRECTION('center_axis',(0.,-1.,0.)); #435332=DIRECTION('ref_axis',(0.,0.,-1.)); #435333=DIRECTION('center_axis',(0.,-1.,0.)); #435334=DIRECTION('ref_axis',(0.,0.,-1.)); #435335=DIRECTION('center_axis',(0.,-1.,0.)); #435336=DIRECTION('ref_axis',(0.,0.,-1.)); #435337=DIRECTION('center_axis',(0.,-1.,0.)); #435338=DIRECTION('ref_axis',(0.,0.,-1.)); #435339=DIRECTION('',(0.,-1.,0.)); #435340=DIRECTION('center_axis',(0.,-1.,0.)); #435341=DIRECTION('ref_axis',(0.,0.,-1.)); #435342=DIRECTION('center_axis',(-1.,0.,0.)); #435343=DIRECTION('ref_axis',(0.,0.,1.)); #435344=DIRECTION('',(0.,0.,-1.)); #435345=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435346=DIRECTION('',(0.,0.,1.)); #435347=DIRECTION('center_axis',(-1.12352556734249E-16,0.,1.)); #435348=DIRECTION('ref_axis',(1.,0.,1.12352556734249E-16)); #435349=DIRECTION('',(0.,1.,0.)); #435350=DIRECTION('',(-1.,0.,-1.12352556734249E-16)); #435351=DIRECTION('',(0.,1.,0.)); #435352=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435353=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #435354=DIRECTION('',(0.,-1.,0.)); #435355=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #435356=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #435357=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435358=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #435359=DIRECTION('center_axis',(0.,1.,0.)); #435360=DIRECTION('ref_axis',(0.,0.,1.)); #435361=DIRECTION('center_axis',(0.,1.,0.)); #435362=DIRECTION('ref_axis',(0.,0.,1.)); #435363=DIRECTION('',(0.,1.,0.)); #435364=DIRECTION('center_axis',(0.,-1.,0.)); #435365=DIRECTION('ref_axis',(0.,0.,-1.)); #435366=DIRECTION('',(0.,1.,0.)); #435367=DIRECTION('center_axis',(0.,-1.,0.)); #435368=DIRECTION('ref_axis',(0.,0.,-1.)); #435369=DIRECTION('center_axis',(-1.,0.,0.)); #435370=DIRECTION('ref_axis',(0.,0.,1.)); #435371=DIRECTION('',(1.12352556734249E-16,0.,1.)); #435372=DIRECTION('',(0.,1.,0.)); #435373=DIRECTION('',(0.,0.,-1.)); #435374=DIRECTION('center_axis',(0.,1.,0.)); #435375=DIRECTION('ref_axis',(0.,0.,1.)); #435376=DIRECTION('',(0.,1.,0.)); #435377=DIRECTION('center_axis',(0.,1.,0.)); #435378=DIRECTION('ref_axis',(0.,0.,1.)); #435379=DIRECTION('',(0.,1.,0.)); #435380=DIRECTION('center_axis',(0.,-1.,0.)); #435381=DIRECTION('ref_axis',(0.,0.,-1.)); #435382=DIRECTION('center_axis',(0.,-1.,0.)); #435383=DIRECTION('ref_axis',(0.,0.,-1.)); #435384=DIRECTION('center_axis',(-1.12352556734249E-16,0.,-1.)); #435385=DIRECTION('ref_axis',(-1.,0.,1.12352556734249E-16)); #435386=DIRECTION('',(1.,0.,-1.12352556734249E-16)); #435387=DIRECTION('',(0.,-1.,0.)); #435388=DIRECTION('',(1.,0.,8.34001671142696E-17)); #435389=DIRECTION('',(0.,-1.,0.)); #435390=DIRECTION('',(0.,-1.,0.)); #435391=DIRECTION('',(-1.,0.,8.34001671142696E-17)); #435392=DIRECTION('',(0.,-1.,0.)); #435393=DIRECTION('center_axis',(0.,-1.,0.)); #435394=DIRECTION('ref_axis',(0.,0.,-1.)); #435395=DIRECTION('',(0.,0.,1.)); #435396=DIRECTION('center_axis',(0.,-1.,0.)); #435397=DIRECTION('ref_axis',(0.,0.,-1.)); #435398=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #435399=DIRECTION('center_axis',(0.,-1.,0.)); #435400=DIRECTION('ref_axis',(0.,0.,-1.)); #435401=DIRECTION('',(0.,0.,-1.)); #435402=DIRECTION('center_axis',(0.,-1.,0.)); #435403=DIRECTION('ref_axis',(0.,0.,-1.)); #435404=DIRECTION('',(1.,0.,1.12352556734249E-16)); #435405=DIRECTION('center_axis',(0.,-1.,0.)); #435406=DIRECTION('ref_axis',(0.,0.,-1.)); #435407=DIRECTION('',(1.,0.,0.)); #435408=DIRECTION('',(0.,0.,-1.)); #435409=DIRECTION('',(1.,0.,0.)); #435410=DIRECTION('',(0.,0.,-1.)); #435411=DIRECTION('center_axis',(-1.,0.,0.)); #435412=DIRECTION('ref_axis',(0.,0.,1.)); #435413=DIRECTION('',(0.,1.,0.)); #435414=DIRECTION('',(0.,0.,-1.)); #435415=DIRECTION('',(0.,1.,0.)); #435416=DIRECTION('center_axis',(1.,0.,0.)); #435417=DIRECTION('ref_axis',(0.,0.,-1.)); #435418=DIRECTION('',(0.,-1.,0.)); #435419=DIRECTION('',(0.,-1.,0.)); #435420=DIRECTION('',(0.,0.,-1.)); #435421=DIRECTION('center_axis',(0.,0.,-1.)); #435422=DIRECTION('ref_axis',(-1.,0.,0.)); #435423=DIRECTION('center_axis',(0.,0.,1.)); #435424=DIRECTION('ref_axis',(1.,0.,0.)); #435425=DIRECTION('center_axis',(0.,0.,1.)); #435426=DIRECTION('ref_axis',(1.,0.,0.)); #435427=DIRECTION('',(0.,0.,-1.)); #435428=DIRECTION('center_axis',(1.,0.,0.)); #435429=DIRECTION('ref_axis',(0.,0.,-1.)); #435430=DIRECTION('',(0.,-1.,0.)); #435431=DIRECTION('',(0.,-1.,0.)); #435432=DIRECTION('',(0.,0.,-1.)); #435433=DIRECTION('center_axis',(0.959365501571271,-0.28216632399155,0.)); #435434=DIRECTION('ref_axis',(0.28216632399155,0.959365501571271,0.)); #435435=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #435436=DIRECTION('',(0.,0.,-1.)); #435437=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #435438=DIRECTION('center_axis',(0.,-1.,0.)); #435439=DIRECTION('ref_axis',(0.,0.,-1.)); #435440=DIRECTION('',(1.,0.,0.)); #435441=DIRECTION('',(0.,0.,-1.)); #435442=DIRECTION('',(-1.,0.,0.)); #435443=DIRECTION('',(0.,0.,-1.)); #435444=DIRECTION('center_axis',(-0.95936550157128,-0.282166323991518,0.)); #435445=DIRECTION('ref_axis',(0.282166323991518,-0.95936550157128,0.)); #435446=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #435447=DIRECTION('',(0.,0.,-1.)); #435448=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #435449=DIRECTION('',(0.,0.,-1.)); #435450=DIRECTION('center_axis',(-1.,-6.99485272571307E-14,0.)); #435451=DIRECTION('ref_axis',(6.99485272571307E-14,-1.,0.)); #435452=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #435453=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #435454=DIRECTION('',(0.,0.,-1.)); #435455=DIRECTION('center_axis',(0.,0.,-1.)); #435456=DIRECTION('ref_axis',(-1.,0.,0.)); #435457=DIRECTION('center_axis',(0.,0.,1.)); #435458=DIRECTION('ref_axis',(-1.,0.,0.)); #435459=DIRECTION('center_axis',(0.,0.,1.)); #435460=DIRECTION('ref_axis',(-1.,0.,0.)); #435461=DIRECTION('center_axis',(0.,0.,1.)); #435462=DIRECTION('ref_axis',(1.,0.,0.)); #435463=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #435464=DIRECTION('',(1.,0.,0.)); #435465=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #435466=DIRECTION('center_axis',(0.,0.,1.)); #435467=DIRECTION('ref_axis',(1.,0.,0.)); #435468=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #435469=DIRECTION('',(-1.,0.,0.)); #435470=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #435471=DIRECTION('center_axis',(0.,1.,0.)); #435472=DIRECTION('ref_axis',(0.,0.,1.)); #435473=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #435474=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #435475=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186547, -0.707106781186548)); #435476=DIRECTION('ref_axis',(-1.,-7.39557098644699E-32,-1.12352556734249E-16)); #435477=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #435478=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #435479=DIRECTION('ref_axis',(0.,0.,1.)); #435480=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #435481=DIRECTION('center_axis',(0.,1.,0.)); #435482=DIRECTION('ref_axis',(0.,0.,1.)); #435483=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #435484=DIRECTION('center_axis',(0.,1.,0.)); #435485=DIRECTION('ref_axis',(0.,0.,1.)); #435486=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #435487=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #435488=DIRECTION('ref_axis',(0.,0.,-1.)); #435489=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #435490=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186548, 0.707106781186548)); #435491=DIRECTION('ref_axis',(1.,1.72563323017096E-31,-1.12352556734249E-16)); #435492=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #435493=DIRECTION('center_axis',(0.,1.,0.)); #435494=DIRECTION('ref_axis',(0.,0.,1.)); #435495=DIRECTION('center_axis',(0.,1.,0.)); #435496=DIRECTION('ref_axis',(0.,0.,1.)); #435497=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #435498=DIRECTION('center_axis',(0.707106781186549,0.707106781186547,0.)); #435499=DIRECTION('ref_axis',(0.,0.,-1.)); #435500=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #435501=DIRECTION('center_axis',(0.,1.,0.)); #435502=DIRECTION('ref_axis',(0.,0.,1.)); #435503=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #435504=DIRECTION('center_axis',(0.,1.,0.)); #435505=DIRECTION('ref_axis',(0.,0.,1.)); #435506=DIRECTION('center_axis',(-0.707106781186549,0.707106781186547,0.)); #435507=DIRECTION('ref_axis',(0.,0.,1.)); #435508=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #435509=DIRECTION('center_axis',(0.,1.,0.)); #435510=DIRECTION('ref_axis',(0.,0.,1.)); #435511=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #435512=DIRECTION('ref_axis',(1.,0.,0.)); #435513=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #435514=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #435515=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #435516=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #435517=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #435518=DIRECTION('ref_axis',(-1.,0.,0.)); #435519=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #435520=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #435521=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #435522=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #435523=DIRECTION('center_axis',(0.,0.,-1.)); #435524=DIRECTION('ref_axis',(-1.,0.,0.)); #435525=DIRECTION('center_axis',(0.,0.,-1.)); #435526=DIRECTION('ref_axis',(-1.,0.,0.)); #435527=DIRECTION('center_axis',(1.,0.,0.)); #435528=DIRECTION('ref_axis',(0.,0.,-1.)); #435529=DIRECTION('',(0.,0.,1.)); #435530=DIRECTION('center_axis',(-1.,0.,0.)); #435531=DIRECTION('ref_axis',(0.,0.,1.)); #435532=DIRECTION('',(0.,0.,-1.)); #435533=DIRECTION('center_axis',(0.,-1.,0.)); #435534=DIRECTION('ref_axis',(0.,0.,-1.)); #435535=DIRECTION('center_axis',(1.,0.,0.)); #435536=DIRECTION('ref_axis',(0.,0.,-1.)); #435537=DIRECTION('',(0.,0.,1.)); #435538=DIRECTION('center_axis',(-1.,0.,0.)); #435539=DIRECTION('ref_axis',(0.,0.,1.)); #435540=DIRECTION('',(0.,0.,-1.)); #435541=DIRECTION('center_axis',(0.,1.,0.)); #435542=DIRECTION('ref_axis',(0.,0.,1.)); #435543=DIRECTION('center_axis',(-1.,-3.99582355041204E-16,-1.01962586754123E-16)); #435544=DIRECTION('ref_axis',(3.99582355041204E-16,-1.,0.)); #435545=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435546=DIRECTION('center_axis',(0.,-1.,0.)); #435547=DIRECTION('ref_axis',(0.,0.,-1.)); #435548=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435549=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #435550=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435551=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435552=DIRECTION('center_axis',(0.576708198801458,-0.816950214783727,5.88026597521075E-17)); #435553=DIRECTION('ref_axis',(0.816950214783727,0.576708198801458,0.)); #435554=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435555=DIRECTION('center_axis',(1.,-4.8186763221578E-16,1.01962586754123E-16)); #435556=DIRECTION('ref_axis',(4.8186763221578E-16,1.,0.)); #435557=DIRECTION('center_axis',(0.,1.,0.)); #435558=DIRECTION('ref_axis',(0.,0.,1.)); #435559=DIRECTION('',(-0.696145836073751,0.,-0.717900393451054)); #435560=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435561=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #435562=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435563=DIRECTION('',(-0.69614583607375,0.,0.717900393451055)); #435564=DIRECTION('',(1.,0.,1.01962586754123E-16)); #435565=DIRECTION('center_axis',(0.,-1.,0.)); #435566=DIRECTION('ref_axis',(0.,0.,-1.)); #435567=DIRECTION('',(0.69614583607375,0.,-0.717900393451055)); #435568=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435569=DIRECTION('',(0.696145836073751,0.,0.717900393451054)); #435570=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435571=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435572=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435573=DIRECTION('',(0.,1.,0.)); #435574=DIRECTION('',(0.,-1.,0.)); #435575=DIRECTION('center_axis',(-1.,0.,-1.01962586754123E-16)); #435576=DIRECTION('ref_axis',(-1.01962586754123E-16,0.,1.)); #435577=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435578=DIRECTION('center_axis',(0.,1.,0.)); #435579=DIRECTION('ref_axis',(0.,0.,1.)); #435580=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435581=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #435582=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435583=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435584=DIRECTION('center_axis',(0.576708198801458,0.816950214783727,5.88026597521075E-17)); #435585=DIRECTION('ref_axis',(-0.816950214783727,0.576708198801458,0.)); #435586=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #435587=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #435588=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #435589=DIRECTION('center_axis',(-0.717900393451055,0.,-0.69614583607375)); #435590=DIRECTION('ref_axis',(0.,1.,0.)); #435591=DIRECTION('',(-0.565508731074882,0.583180878920974,0.583180878920972)); #435592=DIRECTION('center_axis',(0.717900393451054,0.,-0.696145836073751)); #435593=DIRECTION('ref_axis',(0.,-1.,0.)); #435594=DIRECTION('',(-0.565508731074882,-0.583180878920974,-0.583180878920972)); #435595=DIRECTION('center_axis',(7.20984365211619E-17,0.707106781186546, -0.707106781186549)); #435596=DIRECTION('ref_axis',(1.,2.83496887813801E-31,1.01962586754123E-16)); #435597=DIRECTION('center_axis',(-0.711407045126353,0.702780204718795,-7.25369025561898E-17)); #435598=DIRECTION('ref_axis',(-0.702780204718795,-0.711407045126353,6.16297582203915E-33)); #435599=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #435600=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #435601=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #435602=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435603=DIRECTION('',(0.,1.,0.)); #435604=DIRECTION('center_axis',(-1.03902708196528E-15,-1.,-1.05941888984767E-31)); #435605=DIRECTION('ref_axis',(1.,-1.03902708196528E-15,0.)); #435606=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #435607=DIRECTION('',(1.,0.,7.83760606616027E-17)); #435608=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #435609=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #435610=DIRECTION('',(1.,-1.03902708196528E-15,1.01962586754123E-16)); #435611=DIRECTION('center_axis',(-1.,2.16840434497101E-15,-1.01962586754123E-16)); #435612=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #435613=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #435614=DIRECTION('center_axis',(0.711407045126358,0.70278020471879,7.25369025561903E-17)); #435615=DIRECTION('ref_axis',(-0.70278020471879,0.711407045126358,0.)); #435616=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #435617=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #435618=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #435619=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #435620=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435621=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #435622=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435623=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #435624=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435625=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #435626=DIRECTION('center_axis',(0.,0.,1.)); #435627=DIRECTION('ref_axis',(1.,0.,0.)); #435628=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #435629=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435630=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #435631=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435632=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #435633=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #435634=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #435635=DIRECTION('center_axis',(0.,0.,-1.)); #435636=DIRECTION('ref_axis',(-1.,0.,0.)); #435637=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #435638=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #435639=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #435640=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #435641=DIRECTION('center_axis',(0.,0.,1.)); #435642=DIRECTION('ref_axis',(1.,0.,0.)); #435643=DIRECTION('',(0.707106781186546,8.65956056235492E-17,-0.707106781186548)); #435644=DIRECTION('center_axis',(0.,0.,1.)); #435645=DIRECTION('ref_axis',(1.,0.,0.)); #435646=DIRECTION('',(0.707106781186547,8.65956056235492E-17,-0.707106781186548)); #435647=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #435648=DIRECTION('ref_axis',(0.,0.,1.)); #435649=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #435650=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #435651=DIRECTION('',(2.8794242566824E-16,-0.707106781186548,0.707106781186548)); #435652=DIRECTION('',(1.,0.,-9.63735264431562E-15)); #435653=DIRECTION('center_axis',(0.,-1.,0.)); #435654=DIRECTION('ref_axis',(0.,0.,-1.)); #435655=DIRECTION('center_axis',(0.,1.,0.)); #435656=DIRECTION('ref_axis',(0.,0.,1.)); #435657=DIRECTION('center_axis',(0.,-1.,0.)); #435658=DIRECTION('ref_axis',(0.,0.,-1.)); #435659=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #435660=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #435661=DIRECTION('ref_axis',(0.,0.,-1.)); #435662=DIRECTION('center_axis',(1.,0.,8.23444687963674E-17)); #435663=DIRECTION('ref_axis',(8.23444687963674E-17,0.,-1.)); #435664=DIRECTION('',(0.,-1.,0.)); #435665=DIRECTION('center_axis',(-1.,0.,-8.23444687963674E-17)); #435666=DIRECTION('ref_axis',(-8.23444687963674E-17,0.,1.)); #435667=DIRECTION('',(0.,1.,0.)); #435668=DIRECTION('center_axis',(-7.83760606616027E-17,0.,1.)); #435669=DIRECTION('ref_axis',(1.,0.,7.83760606616027E-17)); #435670=DIRECTION('center_axis',(0.,0.,-1.)); #435671=DIRECTION('ref_axis',(-1.,0.,0.)); #435672=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435673=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435674=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435675=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435676=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435677=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435678=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435679=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435680=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435681=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435682=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435683=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435684=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435685=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435686=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435687=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435688=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435689=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435690=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435691=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435692=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435693=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435694=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435695=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435696=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435697=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435698=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435699=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435700=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435701=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435702=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435703=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435704=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435705=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435706=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435707=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435708=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435709=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435710=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435711=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435712=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435713=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435714=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435715=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435716=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435717=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435718=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435719=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435720=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435721=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435722=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435723=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435724=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435725=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435726=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435727=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435728=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435729=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435730=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435731=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435732=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435733=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435734=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435735=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435736=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435737=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435738=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435739=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #435740=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #435741=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #435742=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #435743=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #435744=DIRECTION('center_axis',(1.,0.,0.)); #435745=DIRECTION('ref_axis',(0.,0.,-1.)); #435746=DIRECTION('',(0.,1.,0.)); #435747=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #435748=DIRECTION('',(1.92234427745657E-17,0.,-1.)); #435749=DIRECTION('',(0.,1.,0.)); #435750=DIRECTION('',(0.,0.,-1.)); #435751=DIRECTION('center_axis',(0.,0.,-1.)); #435752=DIRECTION('ref_axis',(-1.,0.,0.)); #435753=DIRECTION('',(0.,1.,0.)); #435754=DIRECTION('',(-1.,0.,0.)); #435755=DIRECTION('center_axis',(-1.,0.,4.07212083562631E-16)); #435756=DIRECTION('ref_axis',(4.07212083562631E-16,0.,1.)); #435757=DIRECTION('',(-4.13029399042097E-16,0.,-1.)); #435758=DIRECTION('',(0.,0.,1.)); #435759=DIRECTION('',(0.,1.,0.)); #435760=DIRECTION('',(4.07212083562631E-16,0.,1.)); #435761=DIRECTION('center_axis',(1.,0.,0.)); #435762=DIRECTION('ref_axis',(0.,0.,-1.)); #435763=DIRECTION('',(0.,0.,-1.)); #435764=DIRECTION('',(0.,1.,0.)); #435765=DIRECTION('',(0.,1.,0.)); #435766=DIRECTION('',(0.,0.,-1.)); #435767=DIRECTION('center_axis',(3.70034871155462E-17,0.,-1.)); #435768=DIRECTION('ref_axis',(-1.,0.,-3.70034871155462E-17)); #435769=DIRECTION('',(0.,1.,0.)); #435770=DIRECTION('center_axis',(-1.,0.,1.53012403374971E-16)); #435771=DIRECTION('ref_axis',(1.53012403374971E-16,0.,1.)); #435772=DIRECTION('',(-9.61172138728285E-17,0.,1.)); #435773=DIRECTION('',(-1.08196108032093E-16,0.707106781186547,-0.707106781186548)); #435774=DIRECTION('',(0.,1.,0.)); #435775=DIRECTION('center_axis',(-1.59441495953751E-16,0.,-1.)); #435776=DIRECTION('ref_axis',(-1.,0.,1.59441495953751E-16)); #435777=DIRECTION('',(-1.,0.,1.59441495953751E-16)); #435778=DIRECTION('',(-1.,0.,0.)); #435779=DIRECTION('',(0.,1.,0.)); #435780=DIRECTION('',(-1.,0.,0.)); #435781=DIRECTION('',(0.,1.,0.)); #435782=DIRECTION('center_axis',(0.,1.,0.)); #435783=DIRECTION('ref_axis',(0.,0.,1.)); #435784=DIRECTION('',(-1.,0.,0.)); #435785=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #435786=DIRECTION('',(-1.,0.,0.)); #435787=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #435788=DIRECTION('',(0.,0.,1.)); #435789=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #435790=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #435791=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #435792=DIRECTION('',(0.,0.,-1.)); #435793=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #435794=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #435795=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #435796=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #435797=DIRECTION('',(-1.,0.,0.)); #435798=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #435799=DIRECTION('center_axis',(1.,0.,2.04483226766084E-16)); #435800=DIRECTION('ref_axis',(2.04483226766084E-16,0.,-1.)); #435801=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #435802=DIRECTION('',(0.,1.,0.)); #435803=DIRECTION('center_axis',(0.,0.,1.)); #435804=DIRECTION('ref_axis',(1.,0.,0.)); #435805=DIRECTION('',(1.,0.,0.)); #435806=DIRECTION('',(0.,1.,0.)); #435807=DIRECTION('center_axis',(-1.,0.,-2.04483226766084E-16)); #435808=DIRECTION('ref_axis',(-2.04483226766084E-16,0.,1.)); #435809=DIRECTION('',(-2.0765929215138E-16,0.,1.)); #435810=DIRECTION('',(-2.04483226766084E-16,0.,1.)); #435811=DIRECTION('center_axis',(0.,-1.,0.)); #435812=DIRECTION('ref_axis',(0.,0.,-1.)); #435813=DIRECTION('center_axis',(1.,0.,0.)); #435814=DIRECTION('ref_axis',(0.,0.,-1.)); #435815=DIRECTION('',(0.,1.,0.)); #435816=DIRECTION('',(0.,0.,-1.)); #435817=DIRECTION('center_axis',(0.,0.,-1.)); #435818=DIRECTION('ref_axis',(-1.,0.,0.)); #435819=DIRECTION('',(0.,1.,0.)); #435820=DIRECTION('',(-1.,0.,0.)); #435821=DIRECTION('center_axis',(-1.,0.,0.)); #435822=DIRECTION('ref_axis',(0.,0.,1.)); #435823=DIRECTION('',(0.,0.,1.)); #435824=DIRECTION('',(0.,0.,1.)); #435825=DIRECTION('center_axis',(0.,1.,0.)); #435826=DIRECTION('ref_axis',(0.,0.,1.)); #435827=DIRECTION('center_axis',(0.,0.,-1.)); #435828=DIRECTION('ref_axis',(-1.,0.,0.)); #435829=DIRECTION('',(0.,1.,0.)); #435830=DIRECTION('',(-1.,0.,0.)); #435831=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #435832=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #435833=DIRECTION('',(0.,1.,0.)); #435834=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #435835=DIRECTION('center_axis',(0.,0.,-1.)); #435836=DIRECTION('ref_axis',(-1.,0.,0.)); #435837=DIRECTION('',(0.,1.,0.)); #435838=DIRECTION('',(-1.,0.,0.)); #435839=DIRECTION('center_axis',(0.90630778703665,0.,-0.4226182617407)); #435840=DIRECTION('ref_axis',(-0.4226182617407,0.,-0.90630778703665)); #435841=DIRECTION('',(0.,1.,0.)); #435842=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #435843=DIRECTION('center_axis',(1.,0.,0.)); #435844=DIRECTION('ref_axis',(0.,0.,-1.)); #435845=DIRECTION('',(0.,1.,0.)); #435846=DIRECTION('',(0.,0.,-1.)); #435847=DIRECTION('center_axis',(0.85243463864071,0.,-0.522833804229874)); #435848=DIRECTION('ref_axis',(-0.522833804229874,0.,-0.85243463864071)); #435849=DIRECTION('',(0.,1.,0.)); #435850=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #435851=DIRECTION('center_axis',(-1.71362893848849E-15,0.,-1.)); #435852=DIRECTION('ref_axis',(-1.,0.,1.71362893848849E-15)); #435853=DIRECTION('',(0.,1.,0.)); #435854=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #435855=DIRECTION('center_axis',(-0.852434638640709,0.,-0.522833804229875)); #435856=DIRECTION('ref_axis',(-0.522833804229875,0.,0.852434638640709)); #435857=DIRECTION('',(0.,1.,0.)); #435858=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #435859=DIRECTION('center_axis',(-1.,0.,0.)); #435860=DIRECTION('ref_axis',(0.,0.,1.)); #435861=DIRECTION('',(0.,1.,0.)); #435862=DIRECTION('',(0.,0.,1.)); #435863=DIRECTION('center_axis',(3.87215061601966E-16,0.,-1.)); #435864=DIRECTION('ref_axis',(-1.,0.,-3.87215061601966E-16)); #435865=DIRECTION('',(0.,1.,0.)); #435866=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #435867=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #435868=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #435869=DIRECTION('',(0.,1.,0.)); #435870=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #435871=DIRECTION('center_axis',(-1.12937726300573E-16,0.,-1.)); #435872=DIRECTION('ref_axis',(-1.,0.,1.12937726300573E-16)); #435873=DIRECTION('',(0.,1.,0.)); #435874=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #435875=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #435876=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #435877=DIRECTION('',(0.,1.,0.)); #435878=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #435879=DIRECTION('center_axis',(0.,0.,-1.)); #435880=DIRECTION('ref_axis',(-1.,0.,0.)); #435881=DIRECTION('',(0.,1.,0.)); #435882=DIRECTION('',(-1.,0.,0.)); #435883=DIRECTION('center_axis',(-0.984807753012208,0.,-0.173648177666929)); #435884=DIRECTION('ref_axis',(-0.173648177666929,0.,0.984807753012208)); #435885=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #435886=DIRECTION('center_axis',(0.984807753012208,0.,-0.173648177666929)); #435887=DIRECTION('ref_axis',(-0.173648177666929,0.,-0.984807753012208)); #435888=DIRECTION('',(0.,1.,0.)); #435889=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #435890=DIRECTION('center_axis',(0.,0.,-1.)); #435891=DIRECTION('ref_axis',(-1.,0.,0.)); #435892=DIRECTION('',(0.,1.,0.)); #435893=DIRECTION('',(-1.,0.,0.)); #435894=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #435895=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #435896=DIRECTION('',(0.,1.,0.)); #435897=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #435898=DIRECTION('center_axis',(1.12937726300573E-16,0.,-1.)); #435899=DIRECTION('ref_axis',(-1.,0.,-1.12937726300573E-16)); #435900=DIRECTION('',(0.,1.,0.)); #435901=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #435902=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #435903=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #435904=DIRECTION('',(0.,1.,0.)); #435905=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #435906=DIRECTION('center_axis',(-3.87215061601966E-16,0.,-1.)); #435907=DIRECTION('ref_axis',(-1.,0.,3.87215061601966E-16)); #435908=DIRECTION('',(0.,1.,0.)); #435909=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #435910=DIRECTION('center_axis',(1.,0.,0.)); #435911=DIRECTION('ref_axis',(0.,0.,-1.)); #435912=DIRECTION('',(0.,1.,0.)); #435913=DIRECTION('',(0.,0.,-1.)); #435914=DIRECTION('center_axis',(0.852434638640709,0.,-0.522833804229875)); #435915=DIRECTION('ref_axis',(-0.522833804229875,0.,-0.852434638640709)); #435916=DIRECTION('',(0.,1.,0.)); #435917=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #435918=DIRECTION('center_axis',(1.71362893848849E-15,0.,-1.)); #435919=DIRECTION('ref_axis',(-1.,0.,-1.71362893848849E-15)); #435920=DIRECTION('',(0.,1.,0.)); #435921=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #435922=DIRECTION('center_axis',(-0.85243463864071,0.,-0.522833804229874)); #435923=DIRECTION('ref_axis',(-0.522833804229874,0.,0.85243463864071)); #435924=DIRECTION('',(0.,1.,0.)); #435925=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #435926=DIRECTION('center_axis',(-1.,0.,0.)); #435927=DIRECTION('ref_axis',(0.,0.,1.)); #435928=DIRECTION('',(0.,1.,0.)); #435929=DIRECTION('',(0.,0.,1.)); #435930=DIRECTION('center_axis',(-0.90630778703665,0.,-0.4226182617407)); #435931=DIRECTION('ref_axis',(-0.4226182617407,0.,0.90630778703665)); #435932=DIRECTION('',(0.,1.,0.)); #435933=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #435934=DIRECTION('center_axis',(0.,0.,-1.)); #435935=DIRECTION('ref_axis',(-1.,0.,0.)); #435936=DIRECTION('',(0.,1.,0.)); #435937=DIRECTION('',(-1.,0.,0.)); #435938=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #435939=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #435940=DIRECTION('',(0.,1.,0.)); #435941=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #435942=DIRECTION('center_axis',(0.,0.,-1.)); #435943=DIRECTION('ref_axis',(-1.,0.,0.)); #435944=DIRECTION('',(-1.,0.,0.)); #435945=DIRECTION('center_axis',(0.,1.,0.)); #435946=DIRECTION('ref_axis',(0.,0.,1.)); #435947=DIRECTION('center_axis',(0.,-1.,0.)); #435948=DIRECTION('ref_axis',(0.,0.,-1.)); #435949=DIRECTION('',(1.12352556734249E-16,0.,1.)); #435950=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #435951=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #435952=DIRECTION('',(1.12352556734249E-16,0.,1.)); #435953=DIRECTION('center_axis',(0.,1.,0.)); #435954=DIRECTION('ref_axis',(0.,0.,1.)); #435955=DIRECTION('center_axis',(0.,1.,0.)); #435956=DIRECTION('ref_axis',(0.,0.,1.)); #435957=DIRECTION('',(1.12352556734249E-16,0.,1.)); #435958=DIRECTION('center_axis',(0.,-1.,0.)); #435959=DIRECTION('ref_axis',(0.,0.,-1.)); #435960=DIRECTION('',(1.12352556734249E-16,0.,1.)); #435961=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #435962=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #435963=DIRECTION('center_axis',(0.,1.,0.)); #435964=DIRECTION('ref_axis',(0.,0.,1.)); #435965=DIRECTION('center_axis',(0.,-1.,0.)); #435966=DIRECTION('ref_axis',(0.,0.,-1.)); #435967=DIRECTION('',(1.,0.,-1.59441495953751E-16)); #435968=DIRECTION('center_axis',(0.,-1.,0.)); #435969=DIRECTION('ref_axis',(0.,0.,-1.)); #435970=DIRECTION('center_axis',(-1.12742162991424E-16,-0.707106781186548, -0.707106781186547)); #435971=DIRECTION('ref_axis',(-1.,-2.95822839457879E-31,1.5944149595375E-16)); #435972=DIRECTION('axis',(0.,0.,1.)); #435973=DIRECTION('refdir',(1.,0.,0.)); #435974=DIRECTION('center_axis',(0.883572899969473,0.468293636983822,0.)); #435975=DIRECTION('ref_axis',(-0.468293636983822,0.883572899969473,0.)); #435976=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #435977=DIRECTION('',(0.,0.,1.)); #435978=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #435979=DIRECTION('',(0.,0.,1.)); #435980=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #435981=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #435982=DIRECTION('',(3.27971157176865E-15,1.,0.)); #435983=DIRECTION('center_axis',(-1.,0.,0.)); #435984=DIRECTION('ref_axis',(0.,0.,1.)); #435985=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #435986=DIRECTION('center_axis',(-1.,0.,0.)); #435987=DIRECTION('ref_axis',(0.,0.,1.)); #435988=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #435989=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #435990=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #435991=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #435992=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #435993=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #435994=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #435995=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #435996=DIRECTION('',(3.27971157176865E-15,1.,0.)); #435997=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #435998=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #435999=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436000=DIRECTION('',(0.,0.,1.)); #436001=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436002=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436003=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436004=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #436005=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436006=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436007=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436008=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436009=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436010=DIRECTION('center_axis',(-1.,0.,0.)); #436011=DIRECTION('ref_axis',(0.,0.,1.)); #436012=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #436013=DIRECTION('center_axis',(-1.,0.,0.)); #436014=DIRECTION('ref_axis',(0.,0.,1.)); #436015=DIRECTION('center_axis',(-0.842271400661511,-0.539053696423368, 0.)); #436016=DIRECTION('ref_axis',(0.539053696423368,-0.842271400661511,0.)); #436017=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #436018=DIRECTION('',(0.,0.,1.)); #436019=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #436020=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #436021=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #436022=DIRECTION('',(0.,0.,1.)); #436023=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #436024=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436025=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436026=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #436027=DIRECTION('center_axis',(0.,1.,0.)); #436028=DIRECTION('ref_axis',(0.,0.,1.)); #436029=DIRECTION('',(0.,0.,1.)); #436030=DIRECTION('',(1.,0.,0.)); #436031=DIRECTION('',(-1.,0.,0.)); #436032=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #436033=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #436034=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436035=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #436036=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #436037=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436038=DIRECTION('center_axis',(0.,0.,-1.)); #436039=DIRECTION('ref_axis',(-1.,0.,0.)); #436040=DIRECTION('',(-1.,0.,0.)); #436041=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436042=DIRECTION('center_axis',(0.,0.,-1.)); #436043=DIRECTION('ref_axis',(-1.,0.,0.)); #436044=DIRECTION('',(1.,0.,0.)); #436045=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436046=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436047=DIRECTION('ref_axis',(-1.,0.,0.)); #436048=DIRECTION('',(1.,0.,0.)); #436049=DIRECTION('',(-1.,0.,0.)); #436050=DIRECTION('center_axis',(-1.,0.,0.)); #436051=DIRECTION('ref_axis',(0.,0.,1.)); #436052=DIRECTION('',(-1.,0.,0.)); #436053=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436054=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436055=DIRECTION('',(-1.,0.,0.)); #436056=DIRECTION('center_axis',(-1.,0.,0.)); #436057=DIRECTION('ref_axis',(0.,0.,1.)); #436058=DIRECTION('',(-1.,0.,0.)); #436059=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436060=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436061=DIRECTION('center_axis',(-1.,0.,0.)); #436062=DIRECTION('ref_axis',(0.,0.,1.)); #436063=DIRECTION('',(1.,0.,0.)); #436064=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436065=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436066=DIRECTION('',(-1.,0.,0.)); #436067=DIRECTION('center_axis',(-1.,0.,0.)); #436068=DIRECTION('ref_axis',(0.,0.,1.)); #436069=DIRECTION('',(1.,0.,0.)); #436070=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436071=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436072=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #436073=DIRECTION('ref_axis',(1.,0.,0.)); #436074=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #436075=DIRECTION('ref_axis',(-1.,0.,0.)); #436076=DIRECTION('axis',(0.,0.,1.)); #436077=DIRECTION('refdir',(1.,0.,0.)); #436078=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #436079=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #436080=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #436081=DIRECTION('',(0.,0.,1.)); #436082=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #436083=DIRECTION('',(0.,0.,1.)); #436084=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436085=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436086=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436087=DIRECTION('center_axis',(-1.,0.,0.)); #436088=DIRECTION('ref_axis',(0.,0.,1.)); #436089=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436090=DIRECTION('center_axis',(-1.,0.,0.)); #436091=DIRECTION('ref_axis',(0.,0.,1.)); #436092=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436093=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436094=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436095=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436096=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436097=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436098=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436099=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436100=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436101=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436102=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436103=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436104=DIRECTION('',(0.,0.,1.)); #436105=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436106=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436107=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436108=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436109=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436110=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436111=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436112=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436113=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436114=DIRECTION('center_axis',(-1.,0.,0.)); #436115=DIRECTION('ref_axis',(0.,0.,1.)); #436116=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436117=DIRECTION('center_axis',(-1.,0.,0.)); #436118=DIRECTION('ref_axis',(0.,0.,1.)); #436119=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #436120=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #436121=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #436122=DIRECTION('',(0.,0.,1.)); #436123=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #436124=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #436125=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #436126=DIRECTION('',(0.,0.,1.)); #436127=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #436128=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #436129=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #436130=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #436131=DIRECTION('center_axis',(0.,1.,0.)); #436132=DIRECTION('ref_axis',(0.,0.,1.)); #436133=DIRECTION('',(0.,0.,1.)); #436134=DIRECTION('',(1.,0.,0.)); #436135=DIRECTION('',(-1.,0.,0.)); #436136=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #436137=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #436138=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #436139=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #436140=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #436141=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #436142=DIRECTION('center_axis',(0.,0.,-1.)); #436143=DIRECTION('ref_axis',(-1.,0.,0.)); #436144=DIRECTION('',(-1.,0.,0.)); #436145=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436146=DIRECTION('center_axis',(0.,0.,-1.)); #436147=DIRECTION('ref_axis',(-1.,0.,0.)); #436148=DIRECTION('',(1.,0.,0.)); #436149=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436150=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436151=DIRECTION('ref_axis',(-1.,0.,0.)); #436152=DIRECTION('',(1.,0.,0.)); #436153=DIRECTION('',(-1.,0.,0.)); #436154=DIRECTION('center_axis',(-1.,0.,0.)); #436155=DIRECTION('ref_axis',(0.,0.,1.)); #436156=DIRECTION('',(-1.,0.,0.)); #436157=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436158=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436159=DIRECTION('',(-1.,0.,0.)); #436160=DIRECTION('center_axis',(-1.,0.,0.)); #436161=DIRECTION('ref_axis',(0.,0.,1.)); #436162=DIRECTION('',(-1.,0.,0.)); #436163=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436164=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436165=DIRECTION('center_axis',(-1.,0.,0.)); #436166=DIRECTION('ref_axis',(0.,0.,1.)); #436167=DIRECTION('',(1.,0.,0.)); #436168=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436169=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436170=DIRECTION('',(-1.,0.,0.)); #436171=DIRECTION('center_axis',(-1.,0.,0.)); #436172=DIRECTION('ref_axis',(0.,0.,1.)); #436173=DIRECTION('',(1.,0.,0.)); #436174=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436175=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436176=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #436177=DIRECTION('ref_axis',(1.,0.,0.)); #436178=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #436179=DIRECTION('ref_axis',(-1.,0.,0.)); #436180=DIRECTION('axis',(0.,0.,1.)); #436181=DIRECTION('refdir',(1.,0.,0.)); #436182=DIRECTION('center_axis',(0.965429082558387,0.260665852290766,0.)); #436183=DIRECTION('ref_axis',(-0.260665852290766,0.965429082558387,0.)); #436184=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #436185=DIRECTION('',(0.,0.,1.)); #436186=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #436187=DIRECTION('',(0.,0.,1.)); #436188=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436189=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436190=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436191=DIRECTION('center_axis',(-1.,0.,0.)); #436192=DIRECTION('ref_axis',(0.,0.,1.)); #436193=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436194=DIRECTION('center_axis',(-1.,0.,0.)); #436195=DIRECTION('ref_axis',(0.,0.,1.)); #436196=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436197=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436198=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436199=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436200=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436201=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436202=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436203=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436204=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436205=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436206=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436207=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436208=DIRECTION('',(0.,0.,1.)); #436209=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436210=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436211=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436212=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436213=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436214=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436215=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436216=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436217=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436218=DIRECTION('center_axis',(-1.,0.,0.)); #436219=DIRECTION('ref_axis',(0.,0.,1.)); #436220=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436221=DIRECTION('center_axis',(-1.,0.,0.)); #436222=DIRECTION('ref_axis',(0.,0.,1.)); #436223=DIRECTION('center_axis',(-0.934783580588349,-0.355217760623573, 0.)); #436224=DIRECTION('ref_axis',(0.355217760623573,-0.934783580588349,0.)); #436225=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #436226=DIRECTION('',(0.,0.,1.)); #436227=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #436228=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #436229=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #436230=DIRECTION('',(0.,0.,1.)); #436231=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #436232=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436233=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436234=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #436235=DIRECTION('center_axis',(0.,1.,0.)); #436236=DIRECTION('ref_axis',(0.,0.,1.)); #436237=DIRECTION('',(0.,0.,1.)); #436238=DIRECTION('',(1.,0.,0.)); #436239=DIRECTION('',(-1.,0.,0.)); #436240=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #436241=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #436242=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436243=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #436244=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #436245=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436246=DIRECTION('center_axis',(0.,0.,-1.)); #436247=DIRECTION('ref_axis',(-1.,0.,0.)); #436248=DIRECTION('',(-1.,0.,0.)); #436249=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436250=DIRECTION('center_axis',(0.,0.,-1.)); #436251=DIRECTION('ref_axis',(-1.,0.,0.)); #436252=DIRECTION('',(1.,0.,0.)); #436253=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436254=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436255=DIRECTION('ref_axis',(-1.,0.,0.)); #436256=DIRECTION('',(1.,0.,0.)); #436257=DIRECTION('',(-1.,0.,0.)); #436258=DIRECTION('center_axis',(-1.,0.,0.)); #436259=DIRECTION('ref_axis',(0.,0.,1.)); #436260=DIRECTION('',(-1.,0.,0.)); #436261=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436262=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436263=DIRECTION('',(-1.,0.,0.)); #436264=DIRECTION('center_axis',(-1.,0.,0.)); #436265=DIRECTION('ref_axis',(0.,0.,1.)); #436266=DIRECTION('',(-1.,0.,0.)); #436267=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436268=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436269=DIRECTION('center_axis',(-1.,0.,0.)); #436270=DIRECTION('ref_axis',(0.,0.,1.)); #436271=DIRECTION('',(1.,0.,0.)); #436272=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436273=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436274=DIRECTION('',(-1.,0.,0.)); #436275=DIRECTION('center_axis',(-1.,0.,0.)); #436276=DIRECTION('ref_axis',(0.,0.,1.)); #436277=DIRECTION('',(1.,0.,0.)); #436278=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436279=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436280=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #436281=DIRECTION('ref_axis',(1.,0.,0.)); #436282=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #436283=DIRECTION('ref_axis',(-1.,0.,0.)); #436284=DIRECTION('axis',(0.,0.,1.)); #436285=DIRECTION('refdir',(1.,0.,0.)); #436286=DIRECTION('center_axis',(0.708876752278901,0.705332368517507,0.)); #436287=DIRECTION('ref_axis',(-0.705332368517507,0.708876752278901,0.)); #436288=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #436289=DIRECTION('',(0.,0.,1.)); #436290=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #436291=DIRECTION('',(0.,0.,1.)); #436292=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436293=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436294=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436295=DIRECTION('center_axis',(-1.,0.,0.)); #436296=DIRECTION('ref_axis',(0.,0.,1.)); #436297=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436298=DIRECTION('center_axis',(-1.,0.,0.)); #436299=DIRECTION('ref_axis',(0.,0.,1.)); #436300=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436301=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436302=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436303=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436304=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436305=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436306=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436307=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436308=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436309=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436310=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436311=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436312=DIRECTION('',(0.,0.,1.)); #436313=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436314=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436315=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436316=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436317=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436318=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436319=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436320=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436321=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436322=DIRECTION('center_axis',(-1.,0.,0.)); #436323=DIRECTION('ref_axis',(0.,0.,1.)); #436324=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436325=DIRECTION('center_axis',(-1.,0.,0.)); #436326=DIRECTION('ref_axis',(0.,0.,1.)); #436327=DIRECTION('center_axis',(-0.705341229421806,-0.708867935568915, 0.)); #436328=DIRECTION('ref_axis',(0.708867935568915,-0.705341229421806,0.)); #436329=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #436330=DIRECTION('',(0.,0.,1.)); #436331=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #436332=DIRECTION('center_axis',(-1.,1.08149842641946E-16,0.)); #436333=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #436334=DIRECTION('',(0.,0.,1.)); #436335=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #436336=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #436337=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #436338=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #436339=DIRECTION('center_axis',(0.,1.,0.)); #436340=DIRECTION('ref_axis',(0.,0.,1.)); #436341=DIRECTION('',(0.,0.,1.)); #436342=DIRECTION('',(1.,0.,0.)); #436343=DIRECTION('',(-1.,0.,0.)); #436344=DIRECTION('center_axis',(1.,1.08149842641946E-16,0.)); #436345=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #436346=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #436347=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #436348=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #436349=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #436350=DIRECTION('center_axis',(0.,0.,-1.)); #436351=DIRECTION('ref_axis',(-1.,0.,0.)); #436352=DIRECTION('',(-1.,0.,0.)); #436353=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436354=DIRECTION('center_axis',(0.,0.,-1.)); #436355=DIRECTION('ref_axis',(-1.,0.,0.)); #436356=DIRECTION('',(1.,0.,0.)); #436357=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436358=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436359=DIRECTION('ref_axis',(-1.,0.,0.)); #436360=DIRECTION('',(1.,0.,0.)); #436361=DIRECTION('',(-1.,0.,0.)); #436362=DIRECTION('center_axis',(-1.,0.,0.)); #436363=DIRECTION('ref_axis',(0.,0.,1.)); #436364=DIRECTION('',(-1.,0.,0.)); #436365=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436366=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436367=DIRECTION('',(-1.,0.,0.)); #436368=DIRECTION('center_axis',(-1.,0.,0.)); #436369=DIRECTION('ref_axis',(0.,0.,1.)); #436370=DIRECTION('',(-1.,0.,0.)); #436371=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436372=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436373=DIRECTION('center_axis',(-1.,0.,0.)); #436374=DIRECTION('ref_axis',(0.,0.,1.)); #436375=DIRECTION('',(1.,0.,0.)); #436376=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436377=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436378=DIRECTION('',(-1.,0.,0.)); #436379=DIRECTION('center_axis',(-1.,0.,0.)); #436380=DIRECTION('ref_axis',(0.,0.,1.)); #436381=DIRECTION('',(1.,0.,0.)); #436382=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436383=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436384=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #436385=DIRECTION('ref_axis',(1.,0.,0.)); #436386=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #436387=DIRECTION('ref_axis',(-1.,0.,0.)); #436388=DIRECTION('axis',(0.,0.,1.)); #436389=DIRECTION('refdir',(1.,0.,0.)); #436390=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #436391=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #436392=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #436393=DIRECTION('',(0.,0.,1.)); #436394=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #436395=DIRECTION('',(0.,0.,1.)); #436396=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436397=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436398=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436399=DIRECTION('center_axis',(-1.,0.,0.)); #436400=DIRECTION('ref_axis',(0.,0.,1.)); #436401=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #436402=DIRECTION('center_axis',(-1.,0.,0.)); #436403=DIRECTION('ref_axis',(0.,0.,1.)); #436404=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436405=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436406=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436407=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436408=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436409=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #436410=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436411=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436412=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436413=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436414=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436415=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436416=DIRECTION('',(0.,0.,1.)); #436417=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436418=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436419=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436420=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #436421=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436422=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436423=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436424=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436425=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436426=DIRECTION('center_axis',(-1.,0.,0.)); #436427=DIRECTION('ref_axis',(0.,0.,1.)); #436428=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #436429=DIRECTION('center_axis',(-1.,0.,0.)); #436430=DIRECTION('ref_axis',(0.,0.,1.)); #436431=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #436432=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #436433=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #436434=DIRECTION('',(0.,0.,1.)); #436435=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #436436=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #436437=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #436438=DIRECTION('',(0.,0.,1.)); #436439=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #436440=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #436441=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #436442=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #436443=DIRECTION('center_axis',(0.,1.,0.)); #436444=DIRECTION('ref_axis',(0.,0.,1.)); #436445=DIRECTION('',(0.,0.,1.)); #436446=DIRECTION('',(1.,0.,0.)); #436447=DIRECTION('',(-1.,0.,0.)); #436448=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #436449=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #436450=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #436451=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #436452=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #436453=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #436454=DIRECTION('center_axis',(0.,0.,-1.)); #436455=DIRECTION('ref_axis',(-1.,0.,0.)); #436456=DIRECTION('',(-1.,0.,0.)); #436457=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436458=DIRECTION('center_axis',(0.,0.,-1.)); #436459=DIRECTION('ref_axis',(-1.,0.,0.)); #436460=DIRECTION('',(1.,0.,0.)); #436461=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436462=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436463=DIRECTION('ref_axis',(-1.,0.,0.)); #436464=DIRECTION('',(1.,0.,0.)); #436465=DIRECTION('',(-1.,0.,0.)); #436466=DIRECTION('center_axis',(-1.,0.,0.)); #436467=DIRECTION('ref_axis',(0.,0.,1.)); #436468=DIRECTION('',(-1.,0.,0.)); #436469=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436470=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436471=DIRECTION('',(-1.,0.,0.)); #436472=DIRECTION('center_axis',(-1.,0.,0.)); #436473=DIRECTION('ref_axis',(0.,0.,1.)); #436474=DIRECTION('',(-1.,0.,0.)); #436475=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436476=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436477=DIRECTION('center_axis',(-1.,0.,0.)); #436478=DIRECTION('ref_axis',(0.,0.,1.)); #436479=DIRECTION('',(1.,0.,0.)); #436480=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436481=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436482=DIRECTION('',(-1.,0.,0.)); #436483=DIRECTION('center_axis',(-1.,0.,0.)); #436484=DIRECTION('ref_axis',(0.,0.,1.)); #436485=DIRECTION('',(1.,0.,0.)); #436486=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436487=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436488=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #436489=DIRECTION('ref_axis',(1.,0.,0.)); #436490=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #436491=DIRECTION('ref_axis',(-1.,0.,0.)); #436492=DIRECTION('axis',(0.,0.,1.)); #436493=DIRECTION('refdir',(1.,0.,0.)); #436494=DIRECTION('center_axis',(0.632376489912261,0.774661200142519,0.)); #436495=DIRECTION('ref_axis',(-0.774661200142519,0.632376489912261,0.)); #436496=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #436497=DIRECTION('',(0.,0.,1.)); #436498=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #436499=DIRECTION('',(0.,0.,1.)); #436500=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436501=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436502=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436503=DIRECTION('center_axis',(-1.,0.,0.)); #436504=DIRECTION('ref_axis',(0.,0.,1.)); #436505=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #436506=DIRECTION('center_axis',(-1.,0.,0.)); #436507=DIRECTION('ref_axis',(0.,0.,1.)); #436508=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436509=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436510=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436511=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436512=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436513=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #436514=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436515=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436516=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436517=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436518=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436519=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436520=DIRECTION('',(0.,0.,1.)); #436521=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436522=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436523=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436524=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #436525=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436526=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436527=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436528=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436529=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436530=DIRECTION('center_axis',(-1.,0.,0.)); #436531=DIRECTION('ref_axis',(0.,0.,1.)); #436532=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #436533=DIRECTION('center_axis',(-1.,0.,0.)); #436534=DIRECTION('ref_axis',(0.,0.,1.)); #436535=DIRECTION('center_axis',(-0.59952027595186,-0.800359568395734,0.)); #436536=DIRECTION('ref_axis',(0.800359568395734,-0.59952027595186,0.)); #436537=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #436538=DIRECTION('',(0.,0.,1.)); #436539=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #436540=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #436541=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #436542=DIRECTION('',(0.,0.,1.)); #436543=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #436544=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436545=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436546=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #436547=DIRECTION('center_axis',(0.,1.,0.)); #436548=DIRECTION('ref_axis',(0.,0.,1.)); #436549=DIRECTION('',(0.,0.,1.)); #436550=DIRECTION('',(1.,0.,0.)); #436551=DIRECTION('',(-1.,0.,0.)); #436552=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #436553=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #436554=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436555=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #436556=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #436557=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436558=DIRECTION('center_axis',(0.,0.,-1.)); #436559=DIRECTION('ref_axis',(-1.,0.,0.)); #436560=DIRECTION('',(-1.,0.,0.)); #436561=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436562=DIRECTION('center_axis',(0.,0.,-1.)); #436563=DIRECTION('ref_axis',(-1.,0.,0.)); #436564=DIRECTION('',(1.,0.,0.)); #436565=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436566=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436567=DIRECTION('ref_axis',(-1.,0.,0.)); #436568=DIRECTION('',(1.,0.,0.)); #436569=DIRECTION('',(-1.,0.,0.)); #436570=DIRECTION('center_axis',(-1.,0.,0.)); #436571=DIRECTION('ref_axis',(0.,0.,1.)); #436572=DIRECTION('',(-1.,0.,0.)); #436573=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436574=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436575=DIRECTION('',(-1.,0.,0.)); #436576=DIRECTION('center_axis',(-1.,0.,0.)); #436577=DIRECTION('ref_axis',(0.,0.,1.)); #436578=DIRECTION('',(-1.,0.,0.)); #436579=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436580=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436581=DIRECTION('center_axis',(-1.,0.,0.)); #436582=DIRECTION('ref_axis',(0.,0.,1.)); #436583=DIRECTION('',(1.,0.,0.)); #436584=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #436585=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #436586=DIRECTION('',(-1.,0.,0.)); #436587=DIRECTION('center_axis',(-1.,0.,0.)); #436588=DIRECTION('ref_axis',(0.,0.,1.)); #436589=DIRECTION('',(1.,0.,0.)); #436590=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436591=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436592=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #436593=DIRECTION('ref_axis',(1.,0.,0.)); #436594=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #436595=DIRECTION('ref_axis',(-1.,0.,0.)); #436596=DIRECTION('axis',(0.,0.,1.)); #436597=DIRECTION('refdir',(1.,0.,0.)); #436598=DIRECTION('center_axis',(0.719587420499984,0.694401860782486,0.)); #436599=DIRECTION('ref_axis',(-0.694401860782486,0.719587420499984,0.)); #436600=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #436601=DIRECTION('',(0.,0.,1.)); #436602=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #436603=DIRECTION('',(0.,0.,1.)); #436604=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #436605=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #436606=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436607=DIRECTION('center_axis',(-1.,0.,0.)); #436608=DIRECTION('ref_axis',(0.,0.,1.)); #436609=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436610=DIRECTION('center_axis',(-1.,0.,0.)); #436611=DIRECTION('ref_axis',(0.,0.,1.)); #436612=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436613=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436614=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436615=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436616=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436617=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436618=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436619=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436620=DIRECTION('',(3.27971157176865E-15,1.,0.)); #436621=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #436622=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #436623=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436624=DIRECTION('',(0.,0.,1.)); #436625=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #436626=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #436627=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #436628=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #436629=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #436630=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436631=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #436632=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #436633=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #436634=DIRECTION('center_axis',(-1.,0.,0.)); #436635=DIRECTION('ref_axis',(0.,0.,1.)); #436636=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #436637=DIRECTION('center_axis',(-1.,0.,0.)); #436638=DIRECTION('ref_axis',(0.,0.,1.)); #436639=DIRECTION('center_axis',(-0.681103942477287,-0.732186738163084, 0.)); #436640=DIRECTION('ref_axis',(0.732186738163084,-0.681103942477287,0.)); #436641=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #436642=DIRECTION('',(0.,0.,1.)); #436643=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #436644=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #436645=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #436646=DIRECTION('',(0.,0.,1.)); #436647=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #436648=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436649=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436650=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #436651=DIRECTION('center_axis',(0.,1.,0.)); #436652=DIRECTION('ref_axis',(0.,0.,1.)); #436653=DIRECTION('',(0.,0.,1.)); #436654=DIRECTION('',(1.,0.,0.)); #436655=DIRECTION('',(-1.,0.,0.)); #436656=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #436657=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #436658=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436659=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #436660=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #436661=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436662=DIRECTION('center_axis',(0.,0.,-1.)); #436663=DIRECTION('ref_axis',(-1.,0.,0.)); #436664=DIRECTION('',(-1.,0.,0.)); #436665=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436666=DIRECTION('center_axis',(0.,0.,-1.)); #436667=DIRECTION('ref_axis',(-1.,0.,0.)); #436668=DIRECTION('',(1.,0.,0.)); #436669=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #436670=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #436671=DIRECTION('ref_axis',(-1.,0.,0.)); #436672=DIRECTION('',(1.,0.,0.)); #436673=DIRECTION('',(-1.,0.,0.)); #436674=DIRECTION('center_axis',(-1.,0.,0.)); #436675=DIRECTION('ref_axis',(0.,0.,1.)); #436676=DIRECTION('',(-1.,0.,0.)); #436677=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436678=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436679=DIRECTION('',(-1.,0.,0.)); #436680=DIRECTION('center_axis',(-1.,0.,0.)); #436681=DIRECTION('ref_axis',(0.,0.,1.)); #436682=DIRECTION('',(-1.,0.,0.)); #436683=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436684=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436685=DIRECTION('center_axis',(-1.,0.,0.)); #436686=DIRECTION('ref_axis',(0.,0.,1.)); #436687=DIRECTION('',(1.,0.,0.)); #436688=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #436689=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #436690=DIRECTION('',(-1.,0.,0.)); #436691=DIRECTION('center_axis',(-1.,0.,0.)); #436692=DIRECTION('ref_axis',(0.,0.,1.)); #436693=DIRECTION('',(1.,0.,0.)); #436694=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #436695=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #436696=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #436697=DIRECTION('ref_axis',(1.,0.,0.)); #436698=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #436699=DIRECTION('ref_axis',(-1.,0.,0.)); #436700=DIRECTION('axis',(0.,0.,1.)); #436701=DIRECTION('refdir',(1.,0.,0.)); #436702=DIRECTION('center_axis',(0.883572899969473,-0.468293636983822,0.)); #436703=DIRECTION('ref_axis',(-0.468293636983822,-0.883572899969473,0.)); #436704=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #436705=DIRECTION('',(0.,0.,1.)); #436706=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #436707=DIRECTION('',(0.,0.,1.)); #436708=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #436709=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #436710=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436711=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436712=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436713=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436714=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #436715=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436716=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436717=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436718=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436719=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436720=DIRECTION('center_axis',(1.,0.,0.)); #436721=DIRECTION('ref_axis',(0.,0.,1.)); #436722=DIRECTION('',(0.,3.01823612255331E-16,1.)); #436723=DIRECTION('center_axis',(1.,0.,0.)); #436724=DIRECTION('ref_axis',(0.,0.,1.)); #436725=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #436726=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #436727=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436728=DIRECTION('center_axis',(1.,0.,0.)); #436729=DIRECTION('ref_axis',(0.,0.,1.)); #436730=DIRECTION('',(0.,3.01823612255331E-16,1.)); #436731=DIRECTION('center_axis',(1.,0.,0.)); #436732=DIRECTION('ref_axis',(0.,0.,1.)); #436733=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436734=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436735=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436736=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436737=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436738=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #436739=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436740=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436741=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436742=DIRECTION('',(0.,0.,1.)); #436743=DIRECTION('center_axis',(-0.842271400661511,0.539053696423368,0.)); #436744=DIRECTION('ref_axis',(0.539053696423368,0.842271400661511,0.)); #436745=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #436746=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #436747=DIRECTION('',(0.,0.,1.)); #436748=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #436749=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #436750=DIRECTION('',(0.,0.,1.)); #436751=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #436752=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436753=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436754=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #436755=DIRECTION('center_axis',(0.,-1.,0.)); #436756=DIRECTION('ref_axis',(0.,0.,1.)); #436757=DIRECTION('',(0.,0.,1.)); #436758=DIRECTION('',(-1.,0.,0.)); #436759=DIRECTION('',(1.,0.,0.)); #436760=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #436761=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #436762=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436763=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436764=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #436765=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #436766=DIRECTION('center_axis',(0.,0.,-1.)); #436767=DIRECTION('ref_axis',(-1.,0.,0.)); #436768=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436769=DIRECTION('',(-1.,0.,0.)); #436770=DIRECTION('center_axis',(0.,0.,-1.)); #436771=DIRECTION('ref_axis',(-1.,0.,0.)); #436772=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436773=DIRECTION('',(1.,0.,0.)); #436774=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #436775=DIRECTION('ref_axis',(-1.,0.,0.)); #436776=DIRECTION('',(-1.,0.,0.)); #436777=DIRECTION('',(1.,0.,0.)); #436778=DIRECTION('center_axis',(-1.,0.,0.)); #436779=DIRECTION('ref_axis',(0.,0.,1.)); #436780=DIRECTION('',(-1.,0.,0.)); #436781=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #436782=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #436783=DIRECTION('',(-1.,0.,0.)); #436784=DIRECTION('center_axis',(-1.,0.,0.)); #436785=DIRECTION('ref_axis',(0.,0.,1.)); #436786=DIRECTION('',(-1.,0.,0.)); #436787=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #436788=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #436789=DIRECTION('center_axis',(-1.,0.,0.)); #436790=DIRECTION('ref_axis',(0.,0.,1.)); #436791=DIRECTION('',(1.,0.,0.)); #436792=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #436793=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #436794=DIRECTION('',(-1.,0.,0.)); #436795=DIRECTION('center_axis',(-1.,0.,0.)); #436796=DIRECTION('ref_axis',(0.,0.,1.)); #436797=DIRECTION('',(1.,0.,0.)); #436798=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #436799=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #436800=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #436801=DIRECTION('ref_axis',(1.,0.,0.)); #436802=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #436803=DIRECTION('ref_axis',(-1.,0.,0.)); #436804=DIRECTION('axis',(0.,0.,1.)); #436805=DIRECTION('refdir',(1.,0.,0.)); #436806=DIRECTION('center_axis',(0.965429082558387,-0.260665852290766,0.)); #436807=DIRECTION('ref_axis',(-0.260665852290766,-0.965429082558387,0.)); #436808=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #436809=DIRECTION('',(0.,0.,1.)); #436810=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #436811=DIRECTION('',(0.,0.,1.)); #436812=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #436813=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #436814=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436815=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436816=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436817=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436818=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #436819=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436820=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436821=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436822=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436823=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436824=DIRECTION('center_axis',(1.,0.,0.)); #436825=DIRECTION('ref_axis',(0.,0.,1.)); #436826=DIRECTION('',(0.,2.91792413476968E-16,1.)); #436827=DIRECTION('center_axis',(1.,0.,0.)); #436828=DIRECTION('ref_axis',(0.,0.,1.)); #436829=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #436830=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #436831=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436832=DIRECTION('center_axis',(1.,0.,0.)); #436833=DIRECTION('ref_axis',(0.,0.,1.)); #436834=DIRECTION('',(0.,2.91792413476968E-16,1.)); #436835=DIRECTION('center_axis',(1.,0.,0.)); #436836=DIRECTION('ref_axis',(0.,0.,1.)); #436837=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436838=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436839=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436840=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436841=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436842=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #436843=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436844=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436845=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436846=DIRECTION('',(0.,0.,1.)); #436847=DIRECTION('center_axis',(-0.934783580588349,0.355217760623573,0.)); #436848=DIRECTION('ref_axis',(0.355217760623573,0.934783580588349,0.)); #436849=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #436850=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #436851=DIRECTION('',(0.,0.,1.)); #436852=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #436853=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #436854=DIRECTION('',(0.,0.,1.)); #436855=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #436856=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436857=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #436858=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #436859=DIRECTION('center_axis',(0.,-1.,0.)); #436860=DIRECTION('ref_axis',(0.,0.,1.)); #436861=DIRECTION('',(0.,0.,1.)); #436862=DIRECTION('',(-1.,0.,0.)); #436863=DIRECTION('',(1.,0.,0.)); #436864=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #436865=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #436866=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436867=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #436868=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #436869=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #436870=DIRECTION('center_axis',(0.,0.,-1.)); #436871=DIRECTION('ref_axis',(-1.,0.,0.)); #436872=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436873=DIRECTION('',(-1.,0.,0.)); #436874=DIRECTION('center_axis',(0.,0.,-1.)); #436875=DIRECTION('ref_axis',(-1.,0.,0.)); #436876=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436877=DIRECTION('',(1.,0.,0.)); #436878=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #436879=DIRECTION('ref_axis',(-1.,0.,0.)); #436880=DIRECTION('',(-1.,0.,0.)); #436881=DIRECTION('',(1.,0.,0.)); #436882=DIRECTION('center_axis',(-1.,0.,0.)); #436883=DIRECTION('ref_axis',(0.,0.,1.)); #436884=DIRECTION('',(-1.,0.,0.)); #436885=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #436886=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #436887=DIRECTION('',(-1.,0.,0.)); #436888=DIRECTION('center_axis',(-1.,0.,0.)); #436889=DIRECTION('ref_axis',(0.,0.,1.)); #436890=DIRECTION('',(-1.,0.,0.)); #436891=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #436892=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #436893=DIRECTION('center_axis',(-1.,0.,0.)); #436894=DIRECTION('ref_axis',(0.,0.,1.)); #436895=DIRECTION('',(1.,0.,0.)); #436896=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #436897=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #436898=DIRECTION('',(-1.,0.,0.)); #436899=DIRECTION('center_axis',(-1.,0.,0.)); #436900=DIRECTION('ref_axis',(0.,0.,1.)); #436901=DIRECTION('',(1.,0.,0.)); #436902=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #436903=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #436904=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #436905=DIRECTION('ref_axis',(1.,0.,0.)); #436906=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #436907=DIRECTION('ref_axis',(-1.,0.,0.)); #436908=DIRECTION('axis',(0.,0.,1.)); #436909=DIRECTION('refdir',(1.,0.,0.)); #436910=DIRECTION('center_axis',(0.632376489912261,-0.774661200142519,0.)); #436911=DIRECTION('ref_axis',(-0.774661200142519,-0.632376489912261,0.)); #436912=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #436913=DIRECTION('',(0.,0.,1.)); #436914=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #436915=DIRECTION('',(0.,0.,1.)); #436916=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #436917=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #436918=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436919=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #436920=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436921=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436922=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #436923=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436924=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436925=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436926=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436927=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436928=DIRECTION('center_axis',(1.,0.,0.)); #436929=DIRECTION('ref_axis',(0.,0.,1.)); #436930=DIRECTION('',(0.,3.01823612255331E-16,1.)); #436931=DIRECTION('center_axis',(1.,0.,0.)); #436932=DIRECTION('ref_axis',(0.,0.,1.)); #436933=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #436934=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #436935=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436936=DIRECTION('center_axis',(1.,0.,0.)); #436937=DIRECTION('ref_axis',(0.,0.,1.)); #436938=DIRECTION('',(0.,3.01823612255331E-16,1.)); #436939=DIRECTION('center_axis',(1.,0.,0.)); #436940=DIRECTION('ref_axis',(0.,0.,1.)); #436941=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #436942=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #436943=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436944=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #436945=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #436946=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #436947=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #436948=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #436949=DIRECTION('',(1.0842021724855E-16,1.,0.)); #436950=DIRECTION('',(0.,0.,1.)); #436951=DIRECTION('center_axis',(-0.59952027595186,0.800359568395734,0.)); #436952=DIRECTION('ref_axis',(0.800359568395734,0.59952027595186,0.)); #436953=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #436954=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #436955=DIRECTION('',(0.,0.,1.)); #436956=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #436957=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #436958=DIRECTION('',(0.,0.,1.)); #436959=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #436960=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436961=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #436962=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #436963=DIRECTION('center_axis',(0.,-1.,0.)); #436964=DIRECTION('ref_axis',(0.,0.,1.)); #436965=DIRECTION('',(0.,0.,1.)); #436966=DIRECTION('',(-1.,0.,0.)); #436967=DIRECTION('',(1.,0.,0.)); #436968=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #436969=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #436970=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436971=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #436972=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #436973=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #436974=DIRECTION('center_axis',(0.,0.,-1.)); #436975=DIRECTION('ref_axis',(-1.,0.,0.)); #436976=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436977=DIRECTION('',(-1.,0.,0.)); #436978=DIRECTION('center_axis',(0.,0.,-1.)); #436979=DIRECTION('ref_axis',(-1.,0.,0.)); #436980=DIRECTION('',(1.,1.58112816820803E-15,0.)); #436981=DIRECTION('',(1.,0.,0.)); #436982=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #436983=DIRECTION('ref_axis',(-1.,0.,0.)); #436984=DIRECTION('',(-1.,0.,0.)); #436985=DIRECTION('',(1.,0.,0.)); #436986=DIRECTION('center_axis',(-1.,0.,0.)); #436987=DIRECTION('ref_axis',(0.,0.,1.)); #436988=DIRECTION('',(-1.,0.,0.)); #436989=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #436990=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #436991=DIRECTION('',(-1.,0.,0.)); #436992=DIRECTION('center_axis',(-1.,0.,0.)); #436993=DIRECTION('ref_axis',(0.,0.,1.)); #436994=DIRECTION('',(-1.,0.,0.)); #436995=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #436996=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #436997=DIRECTION('center_axis',(-1.,0.,0.)); #436998=DIRECTION('ref_axis',(0.,0.,1.)); #436999=DIRECTION('',(1.,0.,0.)); #437000=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #437001=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #437002=DIRECTION('',(-1.,0.,0.)); #437003=DIRECTION('center_axis',(-1.,0.,0.)); #437004=DIRECTION('ref_axis',(0.,0.,1.)); #437005=DIRECTION('',(1.,0.,0.)); #437006=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437007=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437008=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #437009=DIRECTION('ref_axis',(1.,0.,0.)); #437010=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #437011=DIRECTION('ref_axis',(-1.,0.,0.)); #437012=DIRECTION('axis',(0.,0.,1.)); #437013=DIRECTION('refdir',(1.,0.,0.)); #437014=DIRECTION('center_axis',(0.719587420499984,-0.694401860782486,0.)); #437015=DIRECTION('ref_axis',(-0.694401860782486,-0.719587420499984,0.)); #437016=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #437017=DIRECTION('',(0.,0.,1.)); #437018=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #437019=DIRECTION('',(0.,0.,1.)); #437020=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #437021=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #437022=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437023=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437024=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437025=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437026=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437027=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437028=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437029=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437030=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437031=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437032=DIRECTION('center_axis',(1.,0.,0.)); #437033=DIRECTION('ref_axis',(0.,0.,1.)); #437034=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437035=DIRECTION('center_axis',(1.,0.,0.)); #437036=DIRECTION('ref_axis',(0.,0.,1.)); #437037=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #437038=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #437039=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437040=DIRECTION('center_axis',(1.,0.,0.)); #437041=DIRECTION('ref_axis',(0.,0.,1.)); #437042=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437043=DIRECTION('center_axis',(1.,0.,0.)); #437044=DIRECTION('ref_axis',(0.,0.,1.)); #437045=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437046=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437047=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437048=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437049=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437050=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437051=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437052=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437053=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437054=DIRECTION('',(0.,0.,1.)); #437055=DIRECTION('center_axis',(-0.681103942477287,0.732186738163084,0.)); #437056=DIRECTION('ref_axis',(0.732186738163084,0.681103942477287,0.)); #437057=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #437058=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #437059=DIRECTION('',(0.,0.,1.)); #437060=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #437061=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #437062=DIRECTION('',(0.,0.,1.)); #437063=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #437064=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #437065=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #437066=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #437067=DIRECTION('center_axis',(0.,-1.,0.)); #437068=DIRECTION('ref_axis',(0.,0.,1.)); #437069=DIRECTION('',(0.,0.,1.)); #437070=DIRECTION('',(-1.,0.,0.)); #437071=DIRECTION('',(1.,0.,0.)); #437072=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #437073=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #437074=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #437075=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #437076=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #437077=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #437078=DIRECTION('center_axis',(0.,0.,-1.)); #437079=DIRECTION('ref_axis',(-1.,0.,0.)); #437080=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437081=DIRECTION('',(-1.,0.,0.)); #437082=DIRECTION('center_axis',(0.,0.,-1.)); #437083=DIRECTION('ref_axis',(-1.,0.,0.)); #437084=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437085=DIRECTION('',(1.,0.,0.)); #437086=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #437087=DIRECTION('ref_axis',(-1.,0.,0.)); #437088=DIRECTION('',(-1.,0.,0.)); #437089=DIRECTION('',(1.,0.,0.)); #437090=DIRECTION('center_axis',(-1.,0.,0.)); #437091=DIRECTION('ref_axis',(0.,0.,1.)); #437092=DIRECTION('',(-1.,0.,0.)); #437093=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437094=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437095=DIRECTION('',(-1.,0.,0.)); #437096=DIRECTION('center_axis',(-1.,0.,0.)); #437097=DIRECTION('ref_axis',(0.,0.,1.)); #437098=DIRECTION('',(-1.,0.,0.)); #437099=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437100=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437101=DIRECTION('center_axis',(-1.,0.,0.)); #437102=DIRECTION('ref_axis',(0.,0.,1.)); #437103=DIRECTION('',(1.,0.,0.)); #437104=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437105=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437106=DIRECTION('',(-1.,0.,0.)); #437107=DIRECTION('center_axis',(-1.,0.,0.)); #437108=DIRECTION('ref_axis',(0.,0.,1.)); #437109=DIRECTION('',(1.,0.,0.)); #437110=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437111=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437112=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #437113=DIRECTION('ref_axis',(1.,0.,0.)); #437114=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #437115=DIRECTION('ref_axis',(-1.,0.,0.)); #437116=DIRECTION('axis',(0.,0.,1.)); #437117=DIRECTION('refdir',(1.,0.,0.)); #437118=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #437119=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #437120=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #437121=DIRECTION('',(0.,0.,1.)); #437122=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #437123=DIRECTION('',(0.,0.,1.)); #437124=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #437125=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #437126=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437127=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437128=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437129=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437130=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #437131=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437132=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437133=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437134=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437135=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437136=DIRECTION('center_axis',(1.,0.,0.)); #437137=DIRECTION('ref_axis',(0.,0.,1.)); #437138=DIRECTION('',(0.,3.01823612255331E-16,1.)); #437139=DIRECTION('center_axis',(1.,0.,0.)); #437140=DIRECTION('ref_axis',(0.,0.,1.)); #437141=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #437142=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #437143=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437144=DIRECTION('center_axis',(1.,0.,0.)); #437145=DIRECTION('ref_axis',(0.,0.,1.)); #437146=DIRECTION('',(0.,3.01823612255331E-16,1.)); #437147=DIRECTION('center_axis',(1.,0.,0.)); #437148=DIRECTION('ref_axis',(0.,0.,1.)); #437149=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437150=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437151=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437152=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437153=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437154=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #437155=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437156=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437157=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437158=DIRECTION('',(0.,0.,1.)); #437159=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #437160=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #437161=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #437162=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #437163=DIRECTION('',(0.,0.,1.)); #437164=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #437165=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #437166=DIRECTION('',(0.,0.,1.)); #437167=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #437168=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #437169=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #437170=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #437171=DIRECTION('center_axis',(0.,-1.,0.)); #437172=DIRECTION('ref_axis',(0.,0.,1.)); #437173=DIRECTION('',(0.,0.,1.)); #437174=DIRECTION('',(-1.,0.,0.)); #437175=DIRECTION('',(1.,0.,0.)); #437176=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #437177=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #437178=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #437179=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #437180=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #437181=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #437182=DIRECTION('center_axis',(0.,0.,-1.)); #437183=DIRECTION('ref_axis',(-1.,0.,0.)); #437184=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437185=DIRECTION('',(-1.,0.,0.)); #437186=DIRECTION('center_axis',(0.,0.,-1.)); #437187=DIRECTION('ref_axis',(-1.,0.,0.)); #437188=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437189=DIRECTION('',(1.,0.,0.)); #437190=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #437191=DIRECTION('ref_axis',(-1.,0.,0.)); #437192=DIRECTION('',(-1.,0.,0.)); #437193=DIRECTION('',(1.,0.,0.)); #437194=DIRECTION('center_axis',(-1.,0.,0.)); #437195=DIRECTION('ref_axis',(0.,0.,1.)); #437196=DIRECTION('',(-1.,0.,0.)); #437197=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #437198=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #437199=DIRECTION('',(-1.,0.,0.)); #437200=DIRECTION('center_axis',(-1.,0.,0.)); #437201=DIRECTION('ref_axis',(0.,0.,1.)); #437202=DIRECTION('',(-1.,0.,0.)); #437203=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437204=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437205=DIRECTION('center_axis',(-1.,0.,0.)); #437206=DIRECTION('ref_axis',(0.,0.,1.)); #437207=DIRECTION('',(1.,0.,0.)); #437208=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #437209=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #437210=DIRECTION('',(-1.,0.,0.)); #437211=DIRECTION('center_axis',(-1.,0.,0.)); #437212=DIRECTION('ref_axis',(0.,0.,1.)); #437213=DIRECTION('',(1.,0.,0.)); #437214=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437215=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437216=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #437217=DIRECTION('ref_axis',(1.,0.,0.)); #437218=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #437219=DIRECTION('ref_axis',(-1.,0.,0.)); #437220=DIRECTION('axis',(0.,0.,1.)); #437221=DIRECTION('refdir',(1.,0.,0.)); #437222=DIRECTION('center_axis',(0.708876752278901,-0.705332368517507,0.)); #437223=DIRECTION('ref_axis',(-0.705332368517507,-0.708876752278901,0.)); #437224=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #437225=DIRECTION('',(0.,0.,1.)); #437226=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #437227=DIRECTION('',(0.,0.,1.)); #437228=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #437229=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #437230=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437231=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437232=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437233=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437234=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437235=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437236=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437237=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437238=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437239=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437240=DIRECTION('center_axis',(1.,0.,0.)); #437241=DIRECTION('ref_axis',(0.,0.,1.)); #437242=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437243=DIRECTION('center_axis',(1.,0.,0.)); #437244=DIRECTION('ref_axis',(0.,0.,1.)); #437245=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #437246=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #437247=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437248=DIRECTION('center_axis',(1.,0.,0.)); #437249=DIRECTION('ref_axis',(0.,0.,1.)); #437250=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437251=DIRECTION('center_axis',(1.,0.,0.)); #437252=DIRECTION('ref_axis',(0.,0.,1.)); #437253=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437254=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437255=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437256=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437257=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437258=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437259=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437260=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437261=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437262=DIRECTION('',(0.,0.,1.)); #437263=DIRECTION('center_axis',(-0.705341229421806,0.708867935568915,0.)); #437264=DIRECTION('ref_axis',(0.708867935568915,0.705341229421806,0.)); #437265=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #437266=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #437267=DIRECTION('',(0.,0.,1.)); #437268=DIRECTION('center_axis',(-1.,-1.08149842641946E-16,0.)); #437269=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #437270=DIRECTION('',(0.,0.,1.)); #437271=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #437272=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #437273=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #437274=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #437275=DIRECTION('center_axis',(0.,-1.,0.)); #437276=DIRECTION('ref_axis',(0.,0.,1.)); #437277=DIRECTION('',(0.,0.,1.)); #437278=DIRECTION('',(-1.,0.,0.)); #437279=DIRECTION('',(1.,0.,0.)); #437280=DIRECTION('center_axis',(1.,-1.08149842641946E-16,0.)); #437281=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #437282=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #437283=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #437284=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #437285=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #437286=DIRECTION('center_axis',(0.,0.,-1.)); #437287=DIRECTION('ref_axis',(-1.,0.,0.)); #437288=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437289=DIRECTION('',(-1.,0.,0.)); #437290=DIRECTION('center_axis',(0.,0.,-1.)); #437291=DIRECTION('ref_axis',(-1.,0.,0.)); #437292=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437293=DIRECTION('',(1.,0.,0.)); #437294=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #437295=DIRECTION('ref_axis',(-1.,0.,0.)); #437296=DIRECTION('',(-1.,0.,0.)); #437297=DIRECTION('',(1.,0.,0.)); #437298=DIRECTION('center_axis',(-1.,0.,0.)); #437299=DIRECTION('ref_axis',(0.,0.,1.)); #437300=DIRECTION('',(-1.,0.,0.)); #437301=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437302=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437303=DIRECTION('',(-1.,0.,0.)); #437304=DIRECTION('center_axis',(-1.,0.,0.)); #437305=DIRECTION('ref_axis',(0.,0.,1.)); #437306=DIRECTION('',(-1.,0.,0.)); #437307=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437308=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437309=DIRECTION('center_axis',(-1.,0.,0.)); #437310=DIRECTION('ref_axis',(0.,0.,1.)); #437311=DIRECTION('',(1.,0.,0.)); #437312=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437313=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437314=DIRECTION('',(-1.,0.,0.)); #437315=DIRECTION('center_axis',(-1.,0.,0.)); #437316=DIRECTION('ref_axis',(0.,0.,1.)); #437317=DIRECTION('',(1.,0.,0.)); #437318=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437319=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437320=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #437321=DIRECTION('ref_axis',(1.,0.,0.)); #437322=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #437323=DIRECTION('ref_axis',(-1.,0.,0.)); #437324=DIRECTION('axis',(0.,0.,1.)); #437325=DIRECTION('refdir',(1.,0.,0.)); #437326=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #437327=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #437328=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #437329=DIRECTION('',(0.,0.,1.)); #437330=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #437331=DIRECTION('',(0.,0.,1.)); #437332=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #437333=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #437334=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437335=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #437336=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437337=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437338=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437339=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437340=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437341=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437342=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437343=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437344=DIRECTION('center_axis',(1.,0.,0.)); #437345=DIRECTION('ref_axis',(0.,0.,1.)); #437346=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437347=DIRECTION('center_axis',(1.,0.,0.)); #437348=DIRECTION('ref_axis',(0.,0.,1.)); #437349=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #437350=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #437351=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437352=DIRECTION('center_axis',(1.,0.,0.)); #437353=DIRECTION('ref_axis',(0.,0.,1.)); #437354=DIRECTION('',(0.,2.91792413476968E-16,1.)); #437355=DIRECTION('center_axis',(1.,0.,0.)); #437356=DIRECTION('ref_axis',(0.,0.,1.)); #437357=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #437358=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #437359=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437360=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #437361=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #437362=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #437363=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #437364=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #437365=DIRECTION('',(1.0842021724855E-16,1.,0.)); #437366=DIRECTION('',(0.,0.,1.)); #437367=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #437368=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #437369=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #437370=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #437371=DIRECTION('',(0.,0.,1.)); #437372=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #437373=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #437374=DIRECTION('',(0.,0.,1.)); #437375=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #437376=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #437377=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #437378=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #437379=DIRECTION('center_axis',(0.,-1.,0.)); #437380=DIRECTION('ref_axis',(0.,0.,1.)); #437381=DIRECTION('',(0.,0.,1.)); #437382=DIRECTION('',(-1.,0.,0.)); #437383=DIRECTION('',(1.,0.,0.)); #437384=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #437385=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #437386=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #437387=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #437388=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #437389=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #437390=DIRECTION('center_axis',(0.,0.,-1.)); #437391=DIRECTION('ref_axis',(-1.,0.,0.)); #437392=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437393=DIRECTION('',(-1.,0.,0.)); #437394=DIRECTION('center_axis',(0.,0.,-1.)); #437395=DIRECTION('ref_axis',(-1.,0.,0.)); #437396=DIRECTION('',(1.,1.58112816820803E-15,0.)); #437397=DIRECTION('',(1.,0.,0.)); #437398=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #437399=DIRECTION('ref_axis',(-1.,0.,0.)); #437400=DIRECTION('',(-1.,0.,0.)); #437401=DIRECTION('',(1.,0.,0.)); #437402=DIRECTION('center_axis',(-1.,0.,0.)); #437403=DIRECTION('ref_axis',(0.,0.,1.)); #437404=DIRECTION('',(-1.,0.,0.)); #437405=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437406=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437407=DIRECTION('',(-1.,0.,0.)); #437408=DIRECTION('center_axis',(-1.,0.,0.)); #437409=DIRECTION('ref_axis',(0.,0.,1.)); #437410=DIRECTION('',(-1.,0.,0.)); #437411=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437412=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437413=DIRECTION('center_axis',(-1.,0.,0.)); #437414=DIRECTION('ref_axis',(0.,0.,1.)); #437415=DIRECTION('',(1.,0.,0.)); #437416=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #437417=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #437418=DIRECTION('',(-1.,0.,0.)); #437419=DIRECTION('center_axis',(-1.,0.,0.)); #437420=DIRECTION('ref_axis',(0.,0.,1.)); #437421=DIRECTION('',(1.,0.,0.)); #437422=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #437423=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #437424=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #437425=DIRECTION('ref_axis',(1.,0.,0.)); #437426=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #437427=DIRECTION('ref_axis',(-1.,0.,0.)); #437428=DIRECTION('axis',(0.,0.,1.)); #437429=DIRECTION('refdir',(1.,0.,0.)); #437430=DIRECTION('center_axis',(0.997558967141627,0.,0.0698291276999173)); #437431=DIRECTION('ref_axis',(0.0698291276999173,0.,-0.997558967141627)); #437432=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #437433=DIRECTION('',(0.,1.,0.)); #437434=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #437435=DIRECTION('',(0.,1.,0.)); #437436=DIRECTION('center_axis',(0.,0.,-1.)); #437437=DIRECTION('ref_axis',(-1.,0.,0.)); #437438=DIRECTION('',(-1.,0.,0.)); #437439=DIRECTION('',(0.,1.,0.)); #437440=DIRECTION('',(-1.,0.,0.)); #437441=DIRECTION('center_axis',(-0.997558967141627,0.,0.069829127699917)); #437442=DIRECTION('ref_axis',(0.069829127699917,0.,0.997558967141627)); #437443=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #437444=DIRECTION('',(0.,1.,0.)); #437445=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #437446=DIRECTION('center_axis',(-5.42101086242751E-15,0.,-1.)); #437447=DIRECTION('ref_axis',(-1.,0.,5.42101086242751E-15)); #437448=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #437449=DIRECTION('',(0.,1.,0.)); #437450=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #437451=DIRECTION('center_axis',(0.,1.,0.)); #437452=DIRECTION('ref_axis',(0.,0.,1.)); #437453=DIRECTION('center_axis',(0.,-1.,0.)); #437454=DIRECTION('ref_axis',(-1.,0.,0.)); #437455=DIRECTION('',(0.,1.,0.)); #437456=DIRECTION('center_axis',(0.,-1.,0.)); #437457=DIRECTION('ref_axis',(-1.,0.,0.)); #437458=DIRECTION('center_axis',(0.956304755963036,0.,-0.292371704722736)); #437459=DIRECTION('ref_axis',(-0.292371704722736,0.,-0.956304755963036)); #437460=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #437461=DIRECTION('',(0.,1.,0.)); #437462=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #437463=DIRECTION('center_axis',(1.,0.,1.2513388369406E-15)); #437464=DIRECTION('ref_axis',(1.2513388369406E-15,0.,-1.)); #437465=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #437466=DIRECTION('',(0.,1.,0.)); #437467=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #437468=DIRECTION('center_axis',(1.44560289664736E-14,0.,1.)); #437469=DIRECTION('ref_axis',(1.,0.,-1.44560289664736E-14)); #437470=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #437471=DIRECTION('',(0.,1.,0.)); #437472=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #437473=DIRECTION('center_axis',(0.,1.,0.)); #437474=DIRECTION('ref_axis',(0.,0.,1.)); #437475=DIRECTION('center_axis',(0.,1.,0.)); #437476=DIRECTION('ref_axis',(-1.,0.,0.)); #437477=DIRECTION('',(0.,1.,0.)); #437478=DIRECTION('center_axis',(0.,1.,0.)); #437479=DIRECTION('ref_axis',(-1.,0.,0.)); #437480=DIRECTION('center_axis',(1.,0.,-2.68209620251688E-16)); #437481=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,-1.)); #437482=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #437483=DIRECTION('',(0.,1.,0.)); #437484=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #437485=DIRECTION('center_axis',(0.,1.,0.)); #437486=DIRECTION('ref_axis',(0.,0.,1.)); #437487=DIRECTION('center_axis',(0.,1.,0.)); #437488=DIRECTION('ref_axis',(-1.,0.,0.)); #437489=DIRECTION('',(0.,1.,0.)); #437490=DIRECTION('center_axis',(0.,1.,0.)); #437491=DIRECTION('ref_axis',(-1.,0.,0.)); #437492=DIRECTION('center_axis',(0.,1.,0.)); #437493=DIRECTION('ref_axis',(0.,0.,1.)); #437494=DIRECTION('center_axis',(0.,-1.,0.)); #437495=DIRECTION('ref_axis',(1.,0.,0.)); #437496=DIRECTION('',(0.,1.,0.)); #437497=DIRECTION('center_axis',(0.,-1.,0.)); #437498=DIRECTION('ref_axis',(1.,0.,0.)); #437499=DIRECTION('center_axis',(1.,0.,0.)); #437500=DIRECTION('ref_axis',(0.,0.,-1.)); #437501=DIRECTION('',(0.,0.,-1.)); #437502=DIRECTION('',(0.,1.,0.)); #437503=DIRECTION('',(0.,0.,-1.)); #437504=DIRECTION('center_axis',(0.,1.,0.)); #437505=DIRECTION('ref_axis',(0.,0.,1.)); #437506=DIRECTION('center_axis',(0.,1.,0.)); #437507=DIRECTION('ref_axis',(-1.,0.,0.)); #437508=DIRECTION('',(0.,1.,0.)); #437509=DIRECTION('center_axis',(0.,1.,0.)); #437510=DIRECTION('ref_axis',(-1.,0.,0.)); #437511=DIRECTION('center_axis',(1.,0.,1.58689772421089E-14)); #437512=DIRECTION('ref_axis',(1.58689772421089E-14,0.,-1.)); #437513=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #437514=DIRECTION('',(0.,1.,0.)); #437515=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #437516=DIRECTION('center_axis',(0.,1.,0.)); #437517=DIRECTION('ref_axis',(0.,0.,1.)); #437518=DIRECTION('center_axis',(0.,1.,0.)); #437519=DIRECTION('ref_axis',(-1.,0.,0.)); #437520=DIRECTION('',(0.,1.,0.)); #437521=DIRECTION('center_axis',(0.,1.,0.)); #437522=DIRECTION('ref_axis',(-1.,0.,0.)); #437523=DIRECTION('center_axis',(1.,0.,-8.35954740612944E-15)); #437524=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,-1.)); #437525=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #437526=DIRECTION('',(0.,1.,0.)); #437527=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #437528=DIRECTION('center_axis',(0.,0.,-1.)); #437529=DIRECTION('ref_axis',(-1.,0.,0.)); #437530=DIRECTION('',(-1.,0.,0.)); #437531=DIRECTION('',(0.,1.,0.)); #437532=DIRECTION('',(-1.,0.,0.)); #437533=DIRECTION('center_axis',(1.,0.,0.)); #437534=DIRECTION('ref_axis',(0.,0.,-1.)); #437535=DIRECTION('',(0.,0.,-1.)); #437536=DIRECTION('',(0.,1.,0.)); #437537=DIRECTION('',(0.,0.,-1.)); #437538=DIRECTION('center_axis',(0.,0.,1.)); #437539=DIRECTION('ref_axis',(1.,0.,0.)); #437540=DIRECTION('',(1.,0.,0.)); #437541=DIRECTION('',(0.,1.,0.)); #437542=DIRECTION('',(1.,0.,0.)); #437543=DIRECTION('center_axis',(-1.,0.,0.)); #437544=DIRECTION('ref_axis',(0.,0.,1.)); #437545=DIRECTION('',(0.,0.,1.)); #437546=DIRECTION('',(0.,1.,0.)); #437547=DIRECTION('',(0.,0.,1.)); #437548=DIRECTION('center_axis',(0.,0.,-1.)); #437549=DIRECTION('ref_axis',(-1.,0.,0.)); #437550=DIRECTION('',(-1.,0.,0.)); #437551=DIRECTION('',(0.,1.,0.)); #437552=DIRECTION('',(-1.,0.,0.)); #437553=DIRECTION('center_axis',(-1.,0.,-8.35954740612944E-15)); #437554=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,1.)); #437555=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #437556=DIRECTION('',(0.,1.,0.)); #437557=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #437558=DIRECTION('center_axis',(0.,1.,0.)); #437559=DIRECTION('ref_axis',(0.,0.,1.)); #437560=DIRECTION('center_axis',(0.,1.,0.)); #437561=DIRECTION('ref_axis',(1.,0.,0.)); #437562=DIRECTION('',(0.,1.,0.)); #437563=DIRECTION('center_axis',(0.,1.,0.)); #437564=DIRECTION('ref_axis',(1.,0.,0.)); #437565=DIRECTION('center_axis',(-1.,0.,1.58689772421089E-14)); #437566=DIRECTION('ref_axis',(1.58689772421089E-14,0.,1.)); #437567=DIRECTION('',(1.58689772421089E-14,0.,1.)); #437568=DIRECTION('',(0.,1.,0.)); #437569=DIRECTION('',(1.58689772421089E-14,0.,1.)); #437570=DIRECTION('center_axis',(0.,1.,0.)); #437571=DIRECTION('ref_axis',(0.,0.,1.)); #437572=DIRECTION('center_axis',(0.,1.,0.)); #437573=DIRECTION('ref_axis',(1.,0.,0.)); #437574=DIRECTION('',(0.,1.,0.)); #437575=DIRECTION('center_axis',(0.,1.,0.)); #437576=DIRECTION('ref_axis',(1.,0.,0.)); #437577=DIRECTION('center_axis',(-1.,0.,0.)); #437578=DIRECTION('ref_axis',(0.,0.,1.)); #437579=DIRECTION('',(0.,0.,1.)); #437580=DIRECTION('',(0.,1.,0.)); #437581=DIRECTION('',(0.,0.,1.)); #437582=DIRECTION('center_axis',(0.,1.,0.)); #437583=DIRECTION('ref_axis',(0.,0.,1.)); #437584=DIRECTION('center_axis',(0.,-1.,0.)); #437585=DIRECTION('ref_axis',(-1.,0.,0.)); #437586=DIRECTION('',(0.,1.,0.)); #437587=DIRECTION('center_axis',(0.,-1.,0.)); #437588=DIRECTION('ref_axis',(-1.,0.,0.)); #437589=DIRECTION('center_axis',(0.,1.,0.)); #437590=DIRECTION('ref_axis',(0.,0.,1.)); #437591=DIRECTION('center_axis',(0.,1.,0.)); #437592=DIRECTION('ref_axis',(1.,0.,0.)); #437593=DIRECTION('',(0.,1.,0.)); #437594=DIRECTION('center_axis',(0.,1.,0.)); #437595=DIRECTION('ref_axis',(1.,0.,0.)); #437596=DIRECTION('center_axis',(-1.,0.,-2.68209620251688E-16)); #437597=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,1.)); #437598=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #437599=DIRECTION('',(0.,1.,0.)); #437600=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #437601=DIRECTION('center_axis',(0.,1.,0.)); #437602=DIRECTION('ref_axis',(0.,0.,1.)); #437603=DIRECTION('center_axis',(0.,1.,0.)); #437604=DIRECTION('ref_axis',(1.,0.,0.)); #437605=DIRECTION('',(0.,1.,0.)); #437606=DIRECTION('center_axis',(0.,1.,0.)); #437607=DIRECTION('ref_axis',(1.,0.,0.)); #437608=DIRECTION('center_axis',(-1.44560289664736E-14,0.,1.)); #437609=DIRECTION('ref_axis',(1.,0.,1.44560289664736E-14)); #437610=DIRECTION('',(1.,0.,1.44560289664736E-14)); #437611=DIRECTION('',(0.,1.,0.)); #437612=DIRECTION('',(1.,0.,1.44560289664736E-14)); #437613=DIRECTION('center_axis',(-1.,0.,1.2513388369406E-15)); #437614=DIRECTION('ref_axis',(1.2513388369406E-15,0.,1.)); #437615=DIRECTION('',(1.2513388369406E-15,0.,1.)); #437616=DIRECTION('',(0.,1.,0.)); #437617=DIRECTION('',(1.2513388369406E-15,0.,1.)); #437618=DIRECTION('center_axis',(-0.956304755963036,0.,-0.292371704722736)); #437619=DIRECTION('ref_axis',(-0.292371704722736,0.,0.956304755963036)); #437620=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #437621=DIRECTION('',(0.,1.,0.)); #437622=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #437623=DIRECTION('center_axis',(0.,1.,0.)); #437624=DIRECTION('ref_axis',(0.,0.,1.)); #437625=DIRECTION('center_axis',(0.,-1.,0.)); #437626=DIRECTION('ref_axis',(-1.,0.,0.)); #437627=DIRECTION('',(0.,1.,0.)); #437628=DIRECTION('center_axis',(0.,-1.,0.)); #437629=DIRECTION('ref_axis',(-1.,0.,0.)); #437630=DIRECTION('center_axis',(5.42101086242751E-15,0.,-1.)); #437631=DIRECTION('ref_axis',(-1.,0.,-5.42101086242751E-15)); #437632=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #437633=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #437634=DIRECTION('center_axis',(0.,-1.,0.)); #437635=DIRECTION('ref_axis',(0.,0.,-1.)); #437636=DIRECTION('center_axis',(0.,-1.,0.)); #437637=DIRECTION('ref_axis',(0.,0.,-1.)); #437638=DIRECTION('axis',(0.,0.,1.)); #437639=DIRECTION('refdir',(1.,0.,0.)); #437640=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #437641=DIRECTION('ref_axis',(0.,-1.,0.)); #437642=DIRECTION('',(0.,-1.,0.)); #437643=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #437644=DIRECTION('',(0.,1.,0.)); #437645=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #437646=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #437647=DIRECTION('ref_axis',(0.,-1.,0.)); #437648=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #437649=DIRECTION('',(0.,-1.,0.)); #437650=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #437651=DIRECTION('',(0.,1.,0.)); #437652=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437653=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437654=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437655=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437656=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #437657=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437658=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437659=DIRECTION('',(-4.52510150421121E-16,1.,5.31829255456175E-32)); #437660=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437661=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437662=DIRECTION('',(0.901531566476721,-0.432713340037061,-1.05955824708761E-16)); #437663=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437664=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437665=DIRECTION('',(0.,-1.,0.)); #437666=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437667=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437668=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #437669=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437670=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437671=DIRECTION('',(-9.11094262592861E-17,-1.,1.07079715863659E-32)); #437672=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437673=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437674=DIRECTION('',(-0.901531566476721,0.432713340037062,1.05955824708761E-16)); #437675=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437676=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437677=DIRECTION('',(0.,-1.,0.)); #437678=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437679=DIRECTION('',(0.,-1.,0.)); #437680=DIRECTION('',(1.,-2.15761626365275E-16,-1.17528690784337E-16)); #437681=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437682=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437683=DIRECTION('',(-1.58243987929475E-16,-1.,1.85982087258435E-32)); #437684=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437685=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437686=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437687=DIRECTION('',(-1.97127667724637E-16,-1.,2.31681567050463E-32)); #437688=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437689=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437690=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #437691=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437692=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437693=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437694=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437695=DIRECTION('',(0.,-1.,0.)); #437696=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437697=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437698=DIRECTION('',(1.,-5.70632722360792E-16,-1.17528690784337E-16)); #437699=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437700=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437701=DIRECTION('',(0.706312443883352,-0.707900227161658,-8.30119768142955E-17)); #437702=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437703=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437704=DIRECTION('',(1.,-6.78686805937718E-16,-1.17528690784337E-16)); #437705=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #437706=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437707=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437708=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437709=DIRECTION('',(0.,-1.,0.)); #437710=DIRECTION('',(-1.,0.,0.)); #437711=DIRECTION('',(0.,1.,0.)); #437712=DIRECTION('',(0.,-1.,0.)); #437713=DIRECTION('',(0.,1.,0.)); #437714=DIRECTION('',(1.,8.470329472543E-17,0.)); #437715=DIRECTION('',(0.,-1.,0.)); #437716=DIRECTION('',(0.,-1.,0.)); #437717=DIRECTION('',(0.,-1.,0.)); #437718=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437719=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437720=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #437721=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437722=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437723=DIRECTION('',(0.,1.,0.)); #437724=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #437725=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437726=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #437727=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #437728=DIRECTION('',(-1.,6.78686805937718E-16,1.17528690784337E-16)); #437729=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437730=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437731=DIRECTION('',(-0.706312443883352,0.707900227161658,8.30119768142955E-17)); #437732=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437733=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437734=DIRECTION('',(-1.,5.70632722360792E-16,1.17528690784337E-16)); #437735=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437736=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437737=DIRECTION('',(0.,-1.,0.)); #437738=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437739=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437740=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437741=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437742=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437743=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437744=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437745=DIRECTION('',(1.97127667724637E-16,1.,-2.31681567050463E-32)); #437746=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437747=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437748=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #437749=DIRECTION('',(1.58243987929475E-16,1.,-1.85982087258435E-32)); #437750=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437751=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437752=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #437753=DIRECTION('',(0.,-1.,0.)); #437754=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437755=DIRECTION('',(0.,1.,0.)); #437756=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437757=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437758=DIRECTION('',(0.901531566476721,-0.432713340037062,-1.05955824708761E-16)); #437759=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437760=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #437761=DIRECTION('',(9.11094262592861E-17,1.,-1.07079715863659E-32)); #437762=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437763=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437764=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #437765=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437766=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437767=DIRECTION('',(0.,1.,0.)); #437768=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437769=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437770=DIRECTION('',(-0.901531566476721,0.432713340037061,1.05955824708761E-16)); #437771=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437772=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437773=DIRECTION('',(4.52510150421121E-16,-1.,-5.31829255456175E-32)); #437774=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #437775=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #437776=DIRECTION('',(0.,1.,0.)); #437777=DIRECTION('',(-1.,0.,0.)); #437778=DIRECTION('',(0.,-1.,0.)); #437779=DIRECTION('',(0.,-1.,0.)); #437780=DIRECTION('',(0.,-1.,0.)); #437781=DIRECTION('',(1.,8.470329472543E-17,0.)); #437782=DIRECTION('',(0.,1.,0.)); #437783=DIRECTION('',(0.,-1.,0.)); #437784=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #437785=DIRECTION('ref_axis',(0.,-1.,0.)); #437786=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #437787=DIRECTION('',(1.17528690784337E-16,0.,1.)); #437788=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #437789=DIRECTION('ref_axis',(0.,-1.,0.)); #437790=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #437791=DIRECTION('',(1.17528690784337E-16,0.,1.)); #437792=DIRECTION('',(8.31053342375812E-17,0.707106781186548,0.707106781186547)); #437793=DIRECTION('center_axis',(0.,0.,1.)); #437794=DIRECTION('ref_axis',(1.,0.,0.)); #437795=DIRECTION('center_axis',(0.,0.,-1.)); #437796=DIRECTION('ref_axis',(1.,0.,0.)); #437797=DIRECTION('',(0.,1.92747052886312E-15,1.)); #437798=DIRECTION('',(0.,1.92747052886312E-15,1.)); #437799=DIRECTION('center_axis',(0.,0.,-1.)); #437800=DIRECTION('ref_axis',(1.,0.,0.)); #437801=DIRECTION('',(0.,0.,1.)); #437802=DIRECTION('center_axis',(0.,0.,1.)); #437803=DIRECTION('ref_axis',(1.,0.,0.)); #437804=DIRECTION('center_axis',(0.,0.,-1.)); #437805=DIRECTION('ref_axis',(1.,0.,0.)); #437806=DIRECTION('',(0.,0.,1.)); #437807=DIRECTION('',(0.,0.,1.)); #437808=DIRECTION('center_axis',(0.,0.,-1.)); #437809=DIRECTION('ref_axis',(1.,0.,0.)); #437810=DIRECTION('',(0.,0.,1.)); #437811=DIRECTION('center_axis',(0.,0.,-1.)); #437812=DIRECTION('ref_axis',(-1.,0.,0.)); #437813=DIRECTION('center_axis',(0.,0.,-1.)); #437814=DIRECTION('ref_axis',(-1.,0.,0.)); #437815=DIRECTION('',(1.,8.31258950216367E-17,0.)); #437816=DIRECTION('',(1.,0.,0.)); #437817=DIRECTION('center_axis',(0.,0.,-1.)); #437818=DIRECTION('ref_axis',(1.,0.,0.)); #437819=DIRECTION('',(1.,8.31258950216367E-17,0.)); #437820=DIRECTION('',(1.,0.,0.)); #437821=DIRECTION('',(0.,-1.,0.)); #437822=DIRECTION('',(-1.,0.,0.)); #437823=DIRECTION('',(0.,-1.,0.)); #437824=DIRECTION('',(1.,0.,0.)); #437825=DIRECTION('',(0.,-1.,0.)); #437826=DIRECTION('',(0.,-1.,0.)); #437827=DIRECTION('',(0.,-1.,0.)); #437828=DIRECTION('',(1.,0.,0.)); #437829=DIRECTION('',(0.,1.,0.)); #437830=DIRECTION('',(0.,-1.,0.)); #437831=DIRECTION('',(0.,1.,0.)); #437832=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #437833=DIRECTION('',(1.,0.,0.)); #437834=DIRECTION('',(0.,1.,0.)); #437835=DIRECTION('',(0.,-1.,0.)); #437836=DIRECTION('',(0.,1.,0.)); #437837=DIRECTION('',(-1.,8.470329472543E-17,0.)); #437838=DIRECTION('',(0.,-1.,0.)); #437839=DIRECTION('',(0.,-1.,0.)); #437840=DIRECTION('',(0.,-1.,0.)); #437841=DIRECTION('center_axis',(1.,0.,0.)); #437842=DIRECTION('ref_axis',(0.,0.,-1.)); #437843=DIRECTION('',(0.,0.,-1.)); #437844=DIRECTION('center_axis',(1.,0.,0.)); #437845=DIRECTION('ref_axis',(0.,0.,-1.)); #437846=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #437847=DIRECTION('center_axis',(1.,0.,0.)); #437848=DIRECTION('ref_axis',(0.,1.,0.)); #437849=DIRECTION('center_axis',(-1.,0.,0.)); #437850=DIRECTION('ref_axis',(0.,-1.,0.)); #437851=DIRECTION('',(0.,0.,-1.)); #437852=DIRECTION('center_axis',(1.,0.,0.)); #437853=DIRECTION('ref_axis',(0.,1.,0.)); #437854=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #437855=DIRECTION('center_axis',(1.,0.,0.)); #437856=DIRECTION('ref_axis',(0.,1.,0.)); #437857=DIRECTION('',(0.,1.,0.)); #437858=DIRECTION('center_axis',(1.,0.,0.)); #437859=DIRECTION('ref_axis',(0.,1.,0.)); #437860=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #437861=DIRECTION('center_axis',(1.,0.,0.)); #437862=DIRECTION('ref_axis',(0.,-1.,0.)); #437863=DIRECTION('',(0.,9.63735264431559E-16,1.)); #437864=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #437865=DIRECTION('',(0.,0.,1.)); #437866=DIRECTION('center_axis',(1.,0.,0.)); #437867=DIRECTION('ref_axis',(0.,1.,0.)); #437868=DIRECTION('center_axis',(-1.,0.,0.)); #437869=DIRECTION('ref_axis',(0.,1.,0.)); #437870=DIRECTION('',(0.,0.,-1.)); #437871=DIRECTION('',(0.,-1.,0.)); #437872=DIRECTION('',(0.,0.,-1.)); #437873=DIRECTION('',(0.,-1.,0.)); #437874=DIRECTION('',(0.,-2.53581814682099E-32,1.)); #437875=DIRECTION('center_axis',(1.,0.,0.)); #437876=DIRECTION('ref_axis',(0.,0.,-1.)); #437877=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #437878=DIRECTION('center_axis',(-1.,0.,0.)); #437879=DIRECTION('ref_axis',(0.,1.,0.)); #437880=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #437881=DIRECTION('center_axis',(-1.,0.,0.)); #437882=DIRECTION('ref_axis',(0.,1.,0.)); #437883=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #437884=DIRECTION('center_axis',(-1.,0.,0.)); #437885=DIRECTION('ref_axis',(0.,1.,0.)); #437886=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #437887=DIRECTION('center_axis',(-1.,0.,0.)); #437888=DIRECTION('ref_axis',(0.,1.,0.)); #437889=DIRECTION('',(0.,0.,-1.)); #437890=DIRECTION('center_axis',(-1.,0.,0.)); #437891=DIRECTION('ref_axis',(0.,-1.,0.)); #437892=DIRECTION('center_axis',(1.,0.,0.)); #437893=DIRECTION('ref_axis',(0.,1.,0.)); #437894=DIRECTION('center_axis',(-1.,0.,0.)); #437895=DIRECTION('ref_axis',(0.,1.,0.)); #437896=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #437897=DIRECTION('center_axis',(-1.,0.,0.)); #437898=DIRECTION('ref_axis',(0.,1.,0.)); #437899=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #437900=DIRECTION('center_axis',(1.,0.,0.)); #437901=DIRECTION('ref_axis',(0.,0.,-1.)); #437902=DIRECTION('',(0.,1.0426138798441E-32,-1.)); #437903=DIRECTION('',(0.,-1.,0.)); #437904=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #437905=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #437906=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #437907=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #437908=DIRECTION('center_axis',(6.78686805937718E-16,-1.,-7.97651717544631E-32)); #437909=DIRECTION('ref_axis',(1.,6.78686805937718E-16,0.)); #437910=DIRECTION('',(1.,6.78686805937718E-16,-1.17528690784337E-16)); #437911=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #437912=DIRECTION('ref_axis',(1.,-5.8860153673351E-32,0.)); #437913=DIRECTION('',(0.866025403784439,6.78686805937718E-16,0.5)); #437914=DIRECTION('',(0.5,0.,-0.866025403784439)); #437915=DIRECTION('',(-0.866025403784439,-6.78686805937718E-16,-0.5)); #437916=DIRECTION('center_axis',(5.8860153673351E-32,1.,9.52482186350414E-48)); #437917=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #437918=DIRECTION('',(-1.,-6.78686805937718E-16,1.17528690784337E-16)); #437919=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #437920=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #437921=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #437922=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437923=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437924=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #437925=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437926=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437927=DIRECTION('',(0.706312443883352,0.707900227161658,1.14296341210728E-16)); #437928=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437929=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437930=DIRECTION('',(1.,5.70632722360792E-16,1.61821219773956E-16)); #437931=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437932=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437933=DIRECTION('',(0.,-1.,0.)); #437934=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437935=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437936=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437937=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437938=DIRECTION('',(1.,0.,1.61821219773956E-16)); #437939=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437940=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437941=DIRECTION('',(0.,-1.,0.)); #437942=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437943=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437944=DIRECTION('',(-1.,0.,-1.61821219773956E-16)); #437945=DIRECTION('',(0.,-1.,0.)); #437946=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437947=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437948=DIRECTION('',(-1.,-2.15761626365275E-16,-1.61821219773956E-16)); #437949=DIRECTION('',(0.,-1.,0.)); #437950=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #437951=DIRECTION('',(0.,-1.,0.)); #437952=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437953=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437954=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #437955=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #437956=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437957=DIRECTION('',(0.,-1.,0.)); #437958=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #437959=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #437960=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #437961=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #437962=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #437963=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #437964=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #437965=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #437966=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #437967=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #437968=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #437969=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #437970=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #437971=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #437972=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #437973=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #437974=DIRECTION('',(1.,0.,1.61821219773956E-16)); #437975=DIRECTION('',(0.,-1.,0.)); #437976=DIRECTION('',(0.,-1.,0.)); #437977=DIRECTION('',(0.,-1.,0.)); #437978=DIRECTION('',(-1.,0.,0.)); #437979=DIRECTION('',(0.,1.,0.)); #437980=DIRECTION('',(0.,-1.,0.)); #437981=DIRECTION('',(0.,1.,0.)); #437982=DIRECTION('',(1.,-8.470329472543E-17,0.)); #437983=DIRECTION('center_axis',(1.,0.,0.)); #437984=DIRECTION('ref_axis',(0.,0.,-1.)); #437985=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #437986=DIRECTION('',(0.,0.,-1.)); #437987=DIRECTION('',(0.,-1.,0.)); #437988=DIRECTION('center_axis',(-1.,0.,0.)); #437989=DIRECTION('ref_axis',(0.,0.,1.)); #437990=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #437991=DIRECTION('',(0.,0.,-1.)); #437992=DIRECTION('',(0.,1.,0.)); #437993=DIRECTION('center_axis',(1.,0.,0.)); #437994=DIRECTION('ref_axis',(0.,0.,-1.)); #437995=DIRECTION('',(1.58385899163314E-15,0.,1.)); #437996=DIRECTION('',(0.,0.,1.)); #437997=DIRECTION('',(0.,1.,0.)); #437998=DIRECTION('center_axis',(-1.,0.,0.)); #437999=DIRECTION('ref_axis',(0.,0.,1.)); #438000=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #438001=DIRECTION('',(0.,0.,1.)); #438002=DIRECTION('',(0.,-1.,0.)); #438003=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438004=DIRECTION('ref_axis',(0.,0.,1.)); #438005=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438006=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #438007=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438008=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #438009=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438010=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #438011=DIRECTION('',(0.,0.,1.)); #438012=DIRECTION('',(-0.679586185331775,-0.679586185331775,-0.276270218106138)); #438013=DIRECTION('',(0.,0.,-1.)); #438014=DIRECTION('center_axis',(0.,1.,0.)); #438015=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438016=DIRECTION('',(-1.,0.,0.)); #438017=DIRECTION('center_axis',(0.,-1.,0.)); #438018=DIRECTION('ref_axis',(0.,0.,-1.)); #438019=DIRECTION('',(-1.,0.,0.)); #438020=DIRECTION('',(0.,0.,1.)); #438021=DIRECTION('center_axis',(0.,1.,0.)); #438022=DIRECTION('ref_axis',(1.,0.,0.)); #438023=DIRECTION('center_axis',(-1.,0.,0.)); #438024=DIRECTION('ref_axis',(0.,0.,1.)); #438025=DIRECTION('',(0.,-1.,0.)); #438026=DIRECTION('',(0.,0.,1.)); #438027=DIRECTION('',(0.,-1.,0.)); #438028=DIRECTION('',(0.,0.,1.)); #438029=DIRECTION('center_axis',(1.,0.,0.)); #438030=DIRECTION('ref_axis',(0.,1.,0.)); #438031=DIRECTION('center_axis',(-1.,0.,0.)); #438032=DIRECTION('ref_axis',(0.,-1.,0.)); #438033=DIRECTION('',(0.,0.,-1.)); #438034=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #438035=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #438036=DIRECTION('center_axis',(-1.,0.,0.)); #438037=DIRECTION('ref_axis',(0.,1.,0.)); #438038=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #438039=DIRECTION('center_axis',(-1.,0.,0.)); #438040=DIRECTION('ref_axis',(0.,1.,0.)); #438041=DIRECTION('',(0.,-1.,0.)); #438042=DIRECTION('center_axis',(-1.,0.,0.)); #438043=DIRECTION('ref_axis',(0.,1.,0.)); #438044=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #438045=DIRECTION('center_axis',(-1.,0.,0.)); #438046=DIRECTION('ref_axis',(0.,1.,0.)); #438047=DIRECTION('',(0.,0.,1.)); #438048=DIRECTION('center_axis',(1.,0.,0.)); #438049=DIRECTION('ref_axis',(0.,1.,0.)); #438050=DIRECTION('center_axis',(-1.,0.,0.)); #438051=DIRECTION('ref_axis',(0.,1.,0.)); #438052=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438053=DIRECTION('center_axis',(-1.,0.,0.)); #438054=DIRECTION('ref_axis',(0.,0.,1.)); #438055=DIRECTION('',(0.,0.,1.)); #438056=DIRECTION('',(0.,-1.,0.)); #438057=DIRECTION('',(0.,-1.0426138798441E-32,-1.)); #438058=DIRECTION('center_axis',(-1.,0.,0.)); #438059=DIRECTION('ref_axis',(0.,0.,1.)); #438060=DIRECTION('',(0.,1.80700362080917E-17,1.)); #438061=DIRECTION('center_axis',(1.,0.,0.)); #438062=DIRECTION('ref_axis',(0.,-1.,0.)); #438063=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #438064=DIRECTION('center_axis',(1.,0.,0.)); #438065=DIRECTION('ref_axis',(0.,1.,0.)); #438066=DIRECTION('center_axis',(-1.,0.,0.)); #438067=DIRECTION('ref_axis',(0.,1.,0.)); #438068=DIRECTION('center_axis',(1.,0.,0.)); #438069=DIRECTION('ref_axis',(0.,1.,0.)); #438070=DIRECTION('',(0.,0.,1.)); #438071=DIRECTION('center_axis',(1.,0.,0.)); #438072=DIRECTION('ref_axis',(0.,-1.,0.)); #438073=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #438074=DIRECTION('center_axis',(1.,0.,0.)); #438075=DIRECTION('ref_axis',(0.,1.,0.)); #438076=DIRECTION('',(0.,1.,1.87378280388019E-15)); #438077=DIRECTION('center_axis',(1.,0.,0.)); #438078=DIRECTION('ref_axis',(0.,1.,0.)); #438079=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #438080=DIRECTION('center_axis',(1.,0.,0.)); #438081=DIRECTION('ref_axis',(0.,1.,0.)); #438082=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #438083=DIRECTION('center_axis',(-1.,0.,0.)); #438084=DIRECTION('ref_axis',(0.,0.,1.)); #438085=DIRECTION('',(0.,2.53581814682099E-32,1.)); #438086=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #438087=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #438088=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #438089=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #438090=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438091=DIRECTION('ref_axis',(0.824321232027378,-0.56612234228024,-9.68813951859095E-17)); #438092=DIRECTION('',(0.,0.,-1.)); #438093=DIRECTION('',(0.,0.,-1.)); #438094=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438095=DIRECTION('center_axis',(-1.,0.,0.)); #438096=DIRECTION('ref_axis',(0.,0.,1.)); #438097=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #438098=DIRECTION('',(0.,0.,-1.)); #438099=DIRECTION('center_axis',(1.,0.,0.)); #438100=DIRECTION('ref_axis',(0.,0.,-1.)); #438101=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #438102=DIRECTION('',(0.,0.,-1.)); #438103=DIRECTION('center_axis',(-1.,0.,0.)); #438104=DIRECTION('ref_axis',(0.,0.,1.)); #438105=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #438106=DIRECTION('',(0.,0.,1.)); #438107=DIRECTION('',(0.,-1.,0.)); #438108=DIRECTION('center_axis',(1.,0.,0.)); #438109=DIRECTION('ref_axis',(0.,0.,-1.)); #438110=DIRECTION('',(2.11181198884419E-15,0.,1.)); #438111=DIRECTION('',(0.,0.,1.)); #438112=DIRECTION('',(0.,1.,0.)); #438113=DIRECTION('center_axis',(-1.,0.,0.)); #438114=DIRECTION('ref_axis',(0.,0.,1.)); #438115=DIRECTION('',(0.,0.,1.)); #438116=DIRECTION('',(0.,-1.,0.)); #438117=DIRECTION('',(0.,0.,1.)); #438118=DIRECTION('',(0.,1.,0.)); #438119=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438120=DIRECTION('center_axis',(-1.,0.,0.)); #438121=DIRECTION('ref_axis',(0.,0.,1.)); #438122=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #438123=DIRECTION('center_axis',(1.,0.,0.)); #438124=DIRECTION('ref_axis',(0.,1.,0.)); #438125=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #438126=DIRECTION('center_axis',(1.,0.,0.)); #438127=DIRECTION('ref_axis',(0.,1.,0.)); #438128=DIRECTION('',(0.,1.,1.87378280388019E-15)); #438129=DIRECTION('center_axis',(1.,0.,0.)); #438130=DIRECTION('ref_axis',(0.,1.,0.)); #438131=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #438132=DIRECTION('center_axis',(1.,0.,0.)); #438133=DIRECTION('ref_axis',(0.,-1.,0.)); #438134=DIRECTION('',(0.,0.,1.)); #438135=DIRECTION('center_axis',(1.,0.,0.)); #438136=DIRECTION('ref_axis',(0.,1.,0.)); #438137=DIRECTION('center_axis',(-1.,0.,0.)); #438138=DIRECTION('ref_axis',(0.,1.,0.)); #438139=DIRECTION('center_axis',(1.,0.,0.)); #438140=DIRECTION('ref_axis',(0.,1.,0.)); #438141=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #438142=DIRECTION('center_axis',(1.,0.,0.)); #438143=DIRECTION('ref_axis',(0.,-1.,0.)); #438144=DIRECTION('',(0.,1.80700362080917E-17,1.)); #438145=DIRECTION('center_axis',(-1.,0.,0.)); #438146=DIRECTION('ref_axis',(0.,0.,1.)); #438147=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438148=DIRECTION('',(0.,1.,0.)); #438149=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438150=DIRECTION('center_axis',(-1.,0.,0.)); #438151=DIRECTION('ref_axis',(0.,0.,1.)); #438152=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438153=DIRECTION('center_axis',(-1.,0.,0.)); #438154=DIRECTION('ref_axis',(0.,1.,0.)); #438155=DIRECTION('center_axis',(1.,0.,0.)); #438156=DIRECTION('ref_axis',(0.,1.,0.)); #438157=DIRECTION('',(0.,0.,1.)); #438158=DIRECTION('center_axis',(-1.,0.,0.)); #438159=DIRECTION('ref_axis',(0.,1.,0.)); #438160=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #438161=DIRECTION('center_axis',(-1.,0.,0.)); #438162=DIRECTION('ref_axis',(0.,1.,0.)); #438163=DIRECTION('',(0.,-1.,0.)); #438164=DIRECTION('center_axis',(-1.,0.,0.)); #438165=DIRECTION('ref_axis',(0.,1.,0.)); #438166=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #438167=DIRECTION('center_axis',(-1.,0.,0.)); #438168=DIRECTION('ref_axis',(0.,1.,0.)); #438169=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #438170=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #438171=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438172=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #438173=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438174=DIRECTION('center_axis',(-1.,0.,0.)); #438175=DIRECTION('ref_axis',(0.,0.,1.)); #438176=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #438177=DIRECTION('center_axis',(-1.,0.,0.)); #438178=DIRECTION('ref_axis',(0.,0.,1.)); #438179=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438180=DIRECTION('ref_axis',(0.,0.,-1.)); #438181=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438182=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438183=DIRECTION('',(-0.679586185331775,0.679586185331775,0.276270218106137)); #438184=DIRECTION('',(0.,0.,-1.)); #438185=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438186=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438187=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438188=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #438189=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #438190=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #438191=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #438192=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438193=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438194=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #438195=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #438196=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #438197=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #438198=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #438199=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #438200=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #438201=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #438202=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #438203=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #438204=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #438205=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #438206=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #438207=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #438208=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #438209=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #438210=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #438211=DIRECTION('',(0.,-1.,0.)); #438212=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #438213=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #438214=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #438215=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #438216=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #438217=DIRECTION('',(0.,1.,0.)); #438218=DIRECTION('',(1.,0.,1.61821219773956E-16)); #438219=DIRECTION('',(0.,1.,0.)); #438220=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #438221=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438222=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438223=DIRECTION('',(0.,1.,0.)); #438224=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #438225=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438226=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438227=DIRECTION('',(0.,1.,0.)); #438228=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438229=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438230=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #438231=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438232=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438233=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438234=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438235=DIRECTION('',(0.,1.,0.)); #438236=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438237=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438238=DIRECTION('',(-1.,-5.70632722360792E-16,1.17528690784337E-16)); #438239=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438240=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438241=DIRECTION('',(-0.706312443883352,-0.707900227161658,8.30119768142955E-17)); #438242=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #438243=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #438244=DIRECTION('',(-1.,0.,0.)); #438245=DIRECTION('',(0.,-1.,0.)); #438246=DIRECTION('',(0.,-1.,0.)); #438247=DIRECTION('',(1.,-8.470329472543E-17,0.)); #438248=DIRECTION('',(0.,1.,0.)); #438249=DIRECTION('',(0.,-1.,0.)); #438250=DIRECTION('center_axis',(0.,-1.,0.)); #438251=DIRECTION('ref_axis',(0.,0.,-1.)); #438252=DIRECTION('center_axis',(0.,-1.,0.)); #438253=DIRECTION('ref_axis',(0.,0.,-1.)); #438254=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #438255=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #438256=DIRECTION('center_axis',(0.,-1.,0.)); #438257=DIRECTION('ref_axis',(0.,0.,-1.)); #438258=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #438259=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #438260=DIRECTION('center_axis',(0.,-1.,0.)); #438261=DIRECTION('ref_axis',(0.,0.,-1.)); #438262=DIRECTION('center_axis',(0.,-1.,0.)); #438263=DIRECTION('ref_axis',(0.,0.,-1.)); #438264=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #438265=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #438266=DIRECTION('center_axis',(0.,-1.,0.)); #438267=DIRECTION('ref_axis',(0.,0.,-1.)); #438268=DIRECTION('',(0.,-1.,0.)); #438269=DIRECTION('center_axis',(0.,-1.,0.)); #438270=DIRECTION('ref_axis',(0.,0.,-1.)); #438271=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #438272=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #438273=DIRECTION('',(0.,-1.,0.)); #438274=DIRECTION('center_axis',(0.,-1.,0.)); #438275=DIRECTION('ref_axis',(0.,0.,-1.)); #438276=DIRECTION('center_axis',(0.,-1.,0.)); #438277=DIRECTION('ref_axis',(0.,0.,-1.)); #438278=DIRECTION('center_axis',(0.,-1.,0.)); #438279=DIRECTION('ref_axis',(0.,0.,-1.)); #438280=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #438281=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #438282=DIRECTION('center_axis',(0.,-1.,0.)); #438283=DIRECTION('ref_axis',(0.,0.,-1.)); #438284=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #438285=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #438286=DIRECTION('center_axis',(0.,-1.,0.)); #438287=DIRECTION('ref_axis',(0.,0.,-1.)); #438288=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #438289=DIRECTION('ref_axis',(0.,-1.,0.)); #438290=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438291=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438292=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #438293=DIRECTION('ref_axis',(0.,-1.,0.)); #438294=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #438295=DIRECTION('',(-1.14424881842043E-16,0.707106781186548,0.707106781186547)); #438296=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #438297=DIRECTION('center_axis',(0.,1.,0.)); #438298=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438299=DIRECTION('',(1.,0.,0.)); #438300=DIRECTION('center_axis',(0.,1.,0.)); #438301=DIRECTION('ref_axis',(-1.,0.,0.)); #438302=DIRECTION('',(-1.,0.,0.)); #438303=DIRECTION('center_axis',(0.,-1.,0.)); #438304=DIRECTION('ref_axis',(0.,0.,-1.)); #438305=DIRECTION('center_axis',(0.,1.,0.)); #438306=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438307=DIRECTION('',(0.,0.,1.)); #438308=DIRECTION('',(-1.,0.,0.)); #438309=DIRECTION('center_axis',(0.,1.,0.)); #438310=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #438311=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #438312=DIRECTION('center_axis',(0.,1.,0.)); #438313=DIRECTION('ref_axis',(0.,0.,1.)); #438314=DIRECTION('',(0.,0.,-1.)); #438315=DIRECTION('center_axis',(0.,1.,0.)); #438316=DIRECTION('ref_axis',(0.,0.,1.)); #438317=DIRECTION('',(-1.,0.,0.)); #438318=DIRECTION('center_axis',(0.,1.,0.)); #438319=DIRECTION('ref_axis',(0.,0.,1.)); #438320=DIRECTION('',(0.,0.,1.)); #438321=DIRECTION('center_axis',(0.,1.,0.)); #438322=DIRECTION('ref_axis',(0.,0.,1.)); #438323=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #438324=DIRECTION('center_axis',(0.,-1.,0.)); #438325=DIRECTION('ref_axis',(0.,0.,-1.)); #438326=DIRECTION('',(-1.,0.,0.)); #438327=DIRECTION('center_axis',(0.,-1.,0.)); #438328=DIRECTION('ref_axis',(0.,0.,-1.)); #438329=DIRECTION('',(0.,0.,-1.)); #438330=DIRECTION('center_axis',(0.,-1.,0.)); #438331=DIRECTION('ref_axis',(0.,0.,-1.)); #438332=DIRECTION('center_axis',(0.,-1.,0.)); #438333=DIRECTION('ref_axis',(0.,0.,-1.)); #438334=DIRECTION('center_axis',(0.,-1.,0.)); #438335=DIRECTION('ref_axis',(0.,0.,-1.)); #438336=DIRECTION('center_axis',(0.,-1.,0.)); #438337=DIRECTION('ref_axis',(0.,0.,-1.)); #438338=DIRECTION('center_axis',(0.,-1.,0.)); #438339=DIRECTION('ref_axis',(0.,0.,-1.)); #438340=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #438341=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #438342=DIRECTION('center_axis',(0.,-1.,0.)); #438343=DIRECTION('ref_axis',(0.,0.,-1.)); #438344=DIRECTION('center_axis',(1.,0.,0.)); #438345=DIRECTION('ref_axis',(0.,0.,-1.)); #438346=DIRECTION('',(0.,1.,0.)); #438347=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #438348=DIRECTION('',(0.,9.63735264431559E-16,1.)); #438349=DIRECTION('center_axis',(1.,0.,0.)); #438350=DIRECTION('ref_axis',(0.,-1.,0.)); #438351=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #438352=DIRECTION('center_axis',(1.,0.,0.)); #438353=DIRECTION('ref_axis',(0.,1.,0.)); #438354=DIRECTION('',(0.,1.,0.)); #438355=DIRECTION('center_axis',(1.,0.,0.)); #438356=DIRECTION('ref_axis',(0.,1.,0.)); #438357=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #438358=DIRECTION('center_axis',(1.,0.,0.)); #438359=DIRECTION('ref_axis',(0.,1.,0.)); #438360=DIRECTION('',(0.,0.,-1.)); #438361=DIRECTION('center_axis',(-1.,0.,0.)); #438362=DIRECTION('ref_axis',(0.,-1.,0.)); #438363=DIRECTION('center_axis',(1.,0.,0.)); #438364=DIRECTION('ref_axis',(0.,1.,0.)); #438365=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #438366=DIRECTION('center_axis',(1.,0.,0.)); #438367=DIRECTION('ref_axis',(0.,0.,-1.)); #438368=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438369=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438370=DIRECTION('center_axis',(1.,0.,0.)); #438371=DIRECTION('ref_axis',(0.,0.,-1.)); #438372=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #438373=DIRECTION('center_axis',(-1.,0.,0.)); #438374=DIRECTION('ref_axis',(0.,1.,0.)); #438375=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #438376=DIRECTION('center_axis',(-1.,0.,0.)); #438377=DIRECTION('ref_axis',(0.,1.,0.)); #438378=DIRECTION('center_axis',(1.,0.,0.)); #438379=DIRECTION('ref_axis',(0.,1.,0.)); #438380=DIRECTION('center_axis',(-1.,0.,0.)); #438381=DIRECTION('ref_axis',(0.,-1.,0.)); #438382=DIRECTION('',(0.,0.,-1.)); #438383=DIRECTION('center_axis',(-1.,0.,0.)); #438384=DIRECTION('ref_axis',(0.,1.,0.)); #438385=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #438386=DIRECTION('center_axis',(-1.,0.,0.)); #438387=DIRECTION('ref_axis',(0.,1.,0.)); #438388=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #438389=DIRECTION('center_axis',(-1.,0.,0.)); #438390=DIRECTION('ref_axis',(0.,1.,0.)); #438391=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #438392=DIRECTION('center_axis',(-1.,0.,0.)); #438393=DIRECTION('ref_axis',(0.,1.,0.)); #438394=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #438395=DIRECTION('center_axis',(1.,0.,0.)); #438396=DIRECTION('ref_axis',(0.,0.,-1.)); #438397=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438398=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438399=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438400=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438401=DIRECTION('center_axis',(1.,0.,0.)); #438402=DIRECTION('ref_axis',(0.,0.,-1.)); #438403=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438404=DIRECTION('center_axis',(1.,0.,0.)); #438405=DIRECTION('ref_axis',(0.,0.,-1.)); #438406=DIRECTION('center_axis',(0.,-1.,0.)); #438407=DIRECTION('ref_axis',(0.,0.,-1.)); #438408=DIRECTION('',(0.,-1.,0.)); #438409=DIRECTION('center_axis',(0.,0.,-1.)); #438410=DIRECTION('ref_axis',(-1.,0.,0.)); #438411=DIRECTION('',(1.,8.31258950216367E-17,0.)); #438412=DIRECTION('center_axis',(0.,0.,-1.)); #438413=DIRECTION('ref_axis',(-1.,0.,0.)); #438414=DIRECTION('',(0.,1.,0.)); #438415=DIRECTION('',(1.,8.31258950216367E-17,0.)); #438416=DIRECTION('center_axis',(0.,0.,-1.)); #438417=DIRECTION('ref_axis',(1.,0.,0.)); #438418=DIRECTION('',(1.,0.,0.)); #438419=DIRECTION('',(0.,-1.,0.)); #438420=DIRECTION('',(0.,-1.,0.)); #438421=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #438422=DIRECTION('',(0.,1.,0.)); #438423=DIRECTION('',(0.,-1.,0.)); #438424=DIRECTION('',(1.,0.,0.)); #438425=DIRECTION('',(0.,-1.,0.)); #438426=DIRECTION('',(0.,-1.,0.)); #438427=DIRECTION('',(-1.,8.470329472543E-17,0.)); #438428=DIRECTION('',(0.,1.,0.)); #438429=DIRECTION('',(0.,-1.,0.)); #438430=DIRECTION('center_axis',(0.,-1.,0.)); #438431=DIRECTION('ref_axis',(0.,0.,-1.)); #438432=DIRECTION('center_axis',(0.,-1.,0.)); #438433=DIRECTION('ref_axis',(0.,0.,-1.)); #438434=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #438435=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #438436=DIRECTION('center_axis',(0.,-1.,0.)); #438437=DIRECTION('ref_axis',(0.,0.,-1.)); #438438=DIRECTION('center_axis',(0.,0.,1.)); #438439=DIRECTION('ref_axis',(1.,0.,0.)); #438440=DIRECTION('',(0.,1.92747052886312E-15,1.)); #438441=DIRECTION('',(0.,1.92747052886312E-15,1.)); #438442=DIRECTION('center_axis',(0.,0.,1.)); #438443=DIRECTION('ref_axis',(1.,0.,0.)); #438444=DIRECTION('',(0.,0.,1.)); #438445=DIRECTION('',(0.,0.,1.)); #438446=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438447=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438448=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438449=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438450=DIRECTION('center_axis',(0.,-1.,0.)); #438451=DIRECTION('ref_axis',(0.,0.,-1.)); #438452=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #438453=DIRECTION('center_axis',(-6.78686805937718E-16,-1.,7.97651717544631E-32)); #438454=DIRECTION('ref_axis',(1.,-6.78686805937718E-16,0.)); #438455=DIRECTION('center_axis',(0.,1.,0.)); #438456=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438457=DIRECTION('',(-0.866025403784439,6.78686805937718E-16,0.5)); #438458=DIRECTION('',(-0.5,0.,-0.866025403784439)); #438459=DIRECTION('',(0.866025403784439,-6.78686805937718E-16,-0.5)); #438460=DIRECTION('center_axis',(0.,1.,0.)); #438461=DIRECTION('ref_axis',(0.,0.,1.)); #438462=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438463=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438464=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438465=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438466=DIRECTION('center_axis',(-0.707900227161658,-0.706312443883352, 8.31985869042441E-17)); #438467=DIRECTION('ref_axis',(0.706312443883352,-0.707900227161658,0.)); #438468=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438469=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438470=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438471=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438472=DIRECTION('center_axis',(-5.70632722360792E-16,-1.,6.70657167777656E-32)); #438473=DIRECTION('ref_axis',(1.,-5.70632722360792E-16,0.)); #438474=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438475=DIRECTION('center_axis',(0.,-1.,0.)); #438476=DIRECTION('ref_axis',(0.,0.,-1.)); #438477=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438478=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438479=DIRECTION('center_axis',(5.70632722360792E-16,-1.,-6.70657167777656E-32)); #438480=DIRECTION('ref_axis',(1.,5.70632722360792E-16,0.)); #438481=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438482=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438483=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438484=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438485=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438486=DIRECTION('center_axis',(0.707900227161658,-0.706312443883352,-8.3198586904244E-17)); #438487=DIRECTION('ref_axis',(0.706312443883352,0.707900227161658,0.)); #438488=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438489=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438490=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438491=DIRECTION('center_axis',(0.,-1.,0.)); #438492=DIRECTION('ref_axis',(0.,0.,-1.)); #438493=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #438494=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438495=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438496=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438497=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438498=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438499=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438500=DIRECTION('center_axis',(0.,-1.,0.)); #438501=DIRECTION('ref_axis',(0.,0.,-1.)); #438502=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438503=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438504=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438505=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #438506=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #438507=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #438508=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #438509=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #438510=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438511=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438512=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438513=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438514=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438515=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #438516=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #438517=DIRECTION('',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #438518=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438519=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438520=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438521=DIRECTION('center_axis',(-1.,1.58243987929475E-16,1.17528690784337E-16)); #438522=DIRECTION('ref_axis',(-1.58243987929475E-16,-1.,0.)); #438523=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438524=DIRECTION('center_axis',(0.,-1.,0.)); #438525=DIRECTION('ref_axis',(0.,0.,-1.)); #438526=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438527=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438528=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438529=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438530=DIRECTION('center_axis',(-1.,1.97127667724637E-16,1.17528690784337E-16)); #438531=DIRECTION('ref_axis',(-1.97127667724637E-16,-1.,0.)); #438532=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438533=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438534=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438535=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438536=DIRECTION('center_axis',(0.,1.,0.)); #438537=DIRECTION('ref_axis',(0.,0.,1.)); #438538=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438539=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438540=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438541=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #438542=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #438543=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #438544=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438545=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438546=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438547=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438548=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438549=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #438550=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #438551=DIRECTION('',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #438552=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438553=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438554=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438555=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #438556=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438557=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438558=DIRECTION('center_axis',(0.,1.,0.)); #438559=DIRECTION('ref_axis',(0.,0.,1.)); #438560=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438561=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438562=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438563=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438564=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #438565=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438566=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438567=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438568=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438569=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438570=DIRECTION('center_axis',(0.,-1.,0.)); #438571=DIRECTION('ref_axis',(0.,0.,-1.)); #438572=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #438573=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #438574=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #438575=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #438576=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #438577=DIRECTION('',(1.,1.2329449625327E-32,-1.17528690784337E-16)); #438578=DIRECTION('',(1.,0.,0.)); #438579=DIRECTION('center_axis',(1.,0.,0.)); #438580=DIRECTION('ref_axis',(0.,0.,-1.)); #438581=DIRECTION('',(1.,0.,0.)); #438582=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #438583=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #438584=DIRECTION('',(1.,0.,0.)); #438585=DIRECTION('center_axis',(1.,0.,0.)); #438586=DIRECTION('ref_axis',(0.,0.,-1.)); #438587=DIRECTION('',(1.,0.,0.)); #438588=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #438589=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #438590=DIRECTION('',(1.,0.,0.)); #438591=DIRECTION('center_axis',(1.,0.,0.)); #438592=DIRECTION('ref_axis',(0.,0.,-1.)); #438593=DIRECTION('',(1.,0.,0.)); #438594=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #438595=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #438596=DIRECTION('',(1.,0.,0.)); #438597=DIRECTION('center_axis',(1.,0.,0.)); #438598=DIRECTION('ref_axis',(0.,0.,-1.)); #438599=DIRECTION('',(1.,0.,0.)); #438600=DIRECTION('center_axis',(0.,1.,0.)); #438601=DIRECTION('ref_axis',(0.,0.,1.)); #438602=DIRECTION('',(1.,0.,0.)); #438603=DIRECTION('center_axis',(1.,0.,0.)); #438604=DIRECTION('ref_axis',(0.,0.,-1.)); #438605=DIRECTION('',(1.,0.,0.)); #438606=DIRECTION('center_axis',(1.,0.,0.)); #438607=DIRECTION('ref_axis',(0.,-0.714472947821408,0.699663066648074)); #438608=DIRECTION('',(1.,0.,0.)); #438609=DIRECTION('center_axis',(1.,0.,0.)); #438610=DIRECTION('ref_axis',(0.,0.,-1.)); #438611=DIRECTION('',(1.,0.,0.)); #438612=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #438613=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #438614=DIRECTION('',(1.,0.,0.)); #438615=DIRECTION('center_axis',(1.,0.,0.)); #438616=DIRECTION('ref_axis',(0.,0.,-1.)); #438617=DIRECTION('',(1.,0.,0.)); #438618=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #438619=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #438620=DIRECTION('',(1.,-2.12374769796258E-33,-1.17528690784337E-16)); #438621=DIRECTION('center_axis',(1.,0.,0.)); #438622=DIRECTION('ref_axis',(0.,0.,-1.)); #438623=DIRECTION('',(1.,0.,0.)); #438624=DIRECTION('center_axis',(1.,0.,0.)); #438625=DIRECTION('ref_axis',(0.,0.,-1.)); #438626=DIRECTION('',(1.,0.,0.)); #438627=DIRECTION('center_axis',(0.,-1.,0.)); #438628=DIRECTION('ref_axis',(0.,0.,-1.)); #438629=DIRECTION('',(1.,0.,0.)); #438630=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #438631=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #438632=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #438633=DIRECTION('',(1.,0.,0.)); #438634=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #438635=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #438636=DIRECTION('',(-0.572219065214358,7.90360072656087E-16,0.820100811733049)); #438637=DIRECTION('',(1.,0.,0.)); #438638=DIRECTION('center_axis',(1.,0.,0.)); #438639=DIRECTION('ref_axis',(0.,0.,-1.)); #438640=DIRECTION('',(1.,0.,0.)); #438641=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #438642=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #438643=DIRECTION('',(1.,0.,0.)); #438644=DIRECTION('center_axis',(1.,0.,0.)); #438645=DIRECTION('ref_axis',(0.,0.,-1.)); #438646=DIRECTION('',(1.,0.,0.)); #438647=DIRECTION('center_axis',(0.,0.,1.)); #438648=DIRECTION('ref_axis',(1.,0.,0.)); #438649=DIRECTION('',(1.,0.,0.)); #438650=DIRECTION('center_axis',(1.,0.,0.)); #438651=DIRECTION('ref_axis',(0.,0.,-1.)); #438652=DIRECTION('',(1.,0.,0.)); #438653=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #438654=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #438655=DIRECTION('',(1.,0.,0.)); #438656=DIRECTION('center_axis',(1.,0.,0.)); #438657=DIRECTION('ref_axis',(0.,0.,-1.)); #438658=DIRECTION('',(1.,0.,0.)); #438659=DIRECTION('center_axis',(0.,1.,0.)); #438660=DIRECTION('ref_axis',(0.,0.,1.)); #438661=DIRECTION('',(1.,0.,0.)); #438662=DIRECTION('center_axis',(1.,0.,0.)); #438663=DIRECTION('ref_axis',(0.,0.,-1.)); #438664=DIRECTION('',(1.,0.,0.)); #438665=DIRECTION('center_axis',(1.,0.,0.)); #438666=DIRECTION('ref_axis',(0.,0.,-1.)); #438667=DIRECTION('',(1.,0.,0.)); #438668=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #438669=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #438670=DIRECTION('',(1.,-1.02349286648799E-31,-1.17528690784337E-16)); #438671=DIRECTION('center_axis',(-0.707106781186548,-0.506535101005996, -0.493378345135704)); #438672=DIRECTION('ref_axis',(1.38777878078145E-16,0.697742347072106,-0.716348809660705)); #438673=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #438674=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438675=DIRECTION('center_axis',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #438676=DIRECTION('ref_axis',(1.17528690784337E-16,1.22464679914735E-16, 1.)); #438677=DIRECTION('center_axis',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #438678=DIRECTION('ref_axis',(-2.15761626365275E-16,-1.,0.)); #438679=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #438680=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #438681=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #438682=DIRECTION('center_axis',(0.,-1.,0.)); #438683=DIRECTION('ref_axis',(0.,0.,-1.)); #438684=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438685=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #438686=DIRECTION('ref_axis',(0.,0.,1.)); #438687=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #438688=DIRECTION('center_axis',(0.,-1.,0.)); #438689=DIRECTION('ref_axis',(0.,0.,-1.)); #438690=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #438691=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #438692=DIRECTION('ref_axis',(-1.,0.,0.)); #438693=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #438694=DIRECTION('center_axis',(0.,-1.,0.)); #438695=DIRECTION('ref_axis',(0.,0.,-1.)); #438696=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #438697=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #438698=DIRECTION('ref_axis',(0.,0.,-1.)); #438699=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #438700=DIRECTION('center_axis',(0.,-1.,0.)); #438701=DIRECTION('ref_axis',(0.,0.,-1.)); #438702=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #438703=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #438704=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #438705=DIRECTION('center_axis',(-1.,0.,0.)); #438706=DIRECTION('ref_axis',(0.,0.,1.)); #438707=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #438708=DIRECTION('',(0.,0.,1.)); #438709=DIRECTION('center_axis',(0.,-1.,0.)); #438710=DIRECTION('ref_axis',(0.,0.,-1.)); #438711=DIRECTION('center_axis',(1.,0.,0.)); #438712=DIRECTION('ref_axis',(0.,0.,-1.)); #438713=DIRECTION('',(2.11181198884419E-15,0.,1.)); #438714=DIRECTION('',(0.,0.,1.)); #438715=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #438716=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #438717=DIRECTION('center_axis',(0.,-1.,0.)); #438718=DIRECTION('ref_axis',(0.,0.,-1.)); #438719=DIRECTION('center_axis',(0.,1.,0.)); #438720=DIRECTION('ref_axis',(-1.,0.,0.)); #438721=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #438722=DIRECTION('center_axis',(0.,-1.,0.)); #438723=DIRECTION('ref_axis',(-1.,0.,0.)); #438724=DIRECTION('',(1.,0.,0.)); #438725=DIRECTION('center_axis',(0.,-1.,0.)); #438726=DIRECTION('ref_axis',(-1.,0.,0.)); #438727=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #438728=DIRECTION('center_axis',(0.,1.,0.)); #438729=DIRECTION('ref_axis',(-1.,0.,0.)); #438730=DIRECTION('center_axis',(0.,-1.,0.)); #438731=DIRECTION('ref_axis',(0.,0.,-1.)); #438732=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #438733=DIRECTION('center_axis',(0.,-1.,0.)); #438734=DIRECTION('ref_axis',(0.,0.,-1.)); #438735=DIRECTION('',(-1.,0.,0.)); #438736=DIRECTION('center_axis',(0.,-1.,0.)); #438737=DIRECTION('ref_axis',(0.,0.,-1.)); #438738=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #438739=DIRECTION('center_axis',(0.,-1.,0.)); #438740=DIRECTION('ref_axis',(0.,0.,-1.)); #438741=DIRECTION('center_axis',(0.,1.,0.)); #438742=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #438743=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #438744=DIRECTION('center_axis',(0.,-1.,0.)); #438745=DIRECTION('ref_axis',(0.,0.,-1.)); #438746=DIRECTION('',(-1.,0.,0.)); #438747=DIRECTION('center_axis',(0.,-1.,0.)); #438748=DIRECTION('ref_axis',(0.,0.,-1.)); #438749=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #438750=DIRECTION('center_axis',(0.,-1.,0.)); #438751=DIRECTION('ref_axis',(0.,0.,-1.)); #438752=DIRECTION('center_axis',(0.,1.,0.)); #438753=DIRECTION('ref_axis',(-1.,0.,0.)); #438754=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #438755=DIRECTION('center_axis',(0.,-1.,0.)); #438756=DIRECTION('ref_axis',(-1.,0.,0.)); #438757=DIRECTION('',(1.,0.,0.)); #438758=DIRECTION('center_axis',(0.,-1.,0.)); #438759=DIRECTION('ref_axis',(-1.,0.,0.)); #438760=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #438761=DIRECTION('center_axis',(0.,1.,0.)); #438762=DIRECTION('ref_axis',(-1.,0.,0.)); #438763=DIRECTION('center_axis',(0.,-1.,0.)); #438764=DIRECTION('ref_axis',(0.,0.,-1.)); #438765=DIRECTION('center_axis',(0.,-1.,0.)); #438766=DIRECTION('ref_axis',(0.,0.,-1.)); #438767=DIRECTION('',(0.,-1.,0.)); #438768=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #438769=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #438770=DIRECTION('',(0.,-1.,0.)); #438771=DIRECTION('center_axis',(0.,-1.,0.)); #438772=DIRECTION('ref_axis',(0.,0.,-1.)); #438773=DIRECTION('',(0.,-1.,0.)); #438774=DIRECTION('center_axis',(0.,0.,-1.)); #438775=DIRECTION('ref_axis',(-1.,0.,0.)); #438776=DIRECTION('',(0.,-1.,0.)); #438777=DIRECTION('center_axis',(0.,-1.,0.)); #438778=DIRECTION('ref_axis',(0.,0.,-1.)); #438779=DIRECTION('',(0.,-1.,0.)); #438780=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #438781=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #438782=DIRECTION('',(0.,-1.,0.)); #438783=DIRECTION('center_axis',(0.,-1.,0.)); #438784=DIRECTION('ref_axis',(0.,0.,-1.)); #438785=DIRECTION('center_axis',(0.,-1.,0.)); #438786=DIRECTION('ref_axis',(0.,0.,-1.)); #438787=DIRECTION('',(0.,1.,0.)); #438788=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #438789=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #438790=DIRECTION('',(0.,-1.,0.)); #438791=DIRECTION('center_axis',(0.,-1.,0.)); #438792=DIRECTION('ref_axis',(0.,0.,-1.)); #438793=DIRECTION('',(0.,1.,0.)); #438794=DIRECTION('center_axis',(0.,0.,-1.)); #438795=DIRECTION('ref_axis',(-1.,0.,0.)); #438796=DIRECTION('',(0.,-1.,0.)); #438797=DIRECTION('center_axis',(0.,-1.,0.)); #438798=DIRECTION('ref_axis',(0.,0.,-1.)); #438799=DIRECTION('',(0.,1.,0.)); #438800=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #438801=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #438802=DIRECTION('',(0.,-1.,0.)); #438803=DIRECTION('center_axis',(0.,-1.,0.)); #438804=DIRECTION('ref_axis',(0.,0.,-1.)); #438805=DIRECTION('center_axis',(0.,-1.,0.)); #438806=DIRECTION('ref_axis',(0.,0.,-1.)); #438807=DIRECTION('',(0.,0.,-1.)); #438808=DIRECTION('center_axis',(-1.,0.,0.)); #438809=DIRECTION('ref_axis',(0.,0.,1.)); #438810=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #438811=DIRECTION('',(0.,0.,-1.)); #438812=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #438813=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #438814=DIRECTION('center_axis',(1.,0.,0.)); #438815=DIRECTION('ref_axis',(0.,0.,-1.)); #438816=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #438817=DIRECTION('center_axis',(0.,-1.,0.)); #438818=DIRECTION('ref_axis',(0.,0.,-1.)); #438819=DIRECTION('center_axis',(0.,1.,0.)); #438820=DIRECTION('ref_axis',(-1.,0.,0.)); #438821=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #438822=DIRECTION('center_axis',(0.,-1.,0.)); #438823=DIRECTION('ref_axis',(-1.,0.,0.)); #438824=DIRECTION('',(-1.,0.,0.)); #438825=DIRECTION('center_axis',(0.,-1.,0.)); #438826=DIRECTION('ref_axis',(-1.,0.,0.)); #438827=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #438828=DIRECTION('center_axis',(0.,1.,0.)); #438829=DIRECTION('ref_axis',(-1.,0.,0.)); #438830=DIRECTION('center_axis',(0.,-1.,0.)); #438831=DIRECTION('ref_axis',(0.,0.,-1.)); #438832=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #438833=DIRECTION('center_axis',(0.,-1.,0.)); #438834=DIRECTION('ref_axis',(0.,0.,-1.)); #438835=DIRECTION('',(1.,0.,0.)); #438836=DIRECTION('center_axis',(0.,-1.,0.)); #438837=DIRECTION('ref_axis',(0.,0.,-1.)); #438838=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #438839=DIRECTION('center_axis',(0.,-1.,0.)); #438840=DIRECTION('ref_axis',(0.,0.,-1.)); #438841=DIRECTION('center_axis',(0.,1.,0.)); #438842=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #438843=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #438844=DIRECTION('center_axis',(0.,-1.,0.)); #438845=DIRECTION('ref_axis',(0.,0.,-1.)); #438846=DIRECTION('',(1.,0.,0.)); #438847=DIRECTION('center_axis',(0.,-1.,0.)); #438848=DIRECTION('ref_axis',(0.,0.,-1.)); #438849=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #438850=DIRECTION('center_axis',(0.,-1.,0.)); #438851=DIRECTION('ref_axis',(0.,0.,-1.)); #438852=DIRECTION('center_axis',(0.,1.,0.)); #438853=DIRECTION('ref_axis',(-1.,0.,0.)); #438854=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #438855=DIRECTION('center_axis',(0.,-1.,0.)); #438856=DIRECTION('ref_axis',(-1.,0.,0.)); #438857=DIRECTION('',(-1.,0.,0.)); #438858=DIRECTION('center_axis',(0.,-1.,0.)); #438859=DIRECTION('ref_axis',(-1.,0.,0.)); #438860=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #438861=DIRECTION('center_axis',(0.,1.,0.)); #438862=DIRECTION('ref_axis',(-1.,0.,0.)); #438863=DIRECTION('center_axis',(0.,-1.,0.)); #438864=DIRECTION('ref_axis',(0.,0.,-1.)); #438865=DIRECTION('center_axis',(0.,-1.,0.)); #438866=DIRECTION('ref_axis',(0.,0.,-1.)); #438867=DIRECTION('',(0.,-1.,0.)); #438868=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #438869=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #438870=DIRECTION('',(0.,-1.,0.)); #438871=DIRECTION('center_axis',(0.,-1.,0.)); #438872=DIRECTION('ref_axis',(0.,0.,-1.)); #438873=DIRECTION('',(0.,-1.,0.)); #438874=DIRECTION('center_axis',(0.,0.,1.)); #438875=DIRECTION('ref_axis',(1.,0.,0.)); #438876=DIRECTION('',(0.,-1.,0.)); #438877=DIRECTION('center_axis',(0.,-1.,0.)); #438878=DIRECTION('ref_axis',(0.,0.,-1.)); #438879=DIRECTION('',(0.,-1.,0.)); #438880=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #438881=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #438882=DIRECTION('',(0.,-1.,0.)); #438883=DIRECTION('center_axis',(0.,-1.,0.)); #438884=DIRECTION('ref_axis',(0.,0.,-1.)); #438885=DIRECTION('center_axis',(0.,-1.,0.)); #438886=DIRECTION('ref_axis',(0.,0.,-1.)); #438887=DIRECTION('',(0.,1.,0.)); #438888=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #438889=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #438890=DIRECTION('',(0.,-1.,0.)); #438891=DIRECTION('center_axis',(0.,-1.,0.)); #438892=DIRECTION('ref_axis',(0.,0.,-1.)); #438893=DIRECTION('',(0.,1.,0.)); #438894=DIRECTION('center_axis',(0.,0.,1.)); #438895=DIRECTION('ref_axis',(1.,0.,0.)); #438896=DIRECTION('',(0.,-1.,0.)); #438897=DIRECTION('center_axis',(0.,-1.,0.)); #438898=DIRECTION('ref_axis',(0.,0.,-1.)); #438899=DIRECTION('',(0.,1.,0.)); #438900=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #438901=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #438902=DIRECTION('',(0.,-1.,0.)); #438903=DIRECTION('center_axis',(0.,-1.,0.)); #438904=DIRECTION('ref_axis',(0.,0.,-1.)); #438905=DIRECTION('center_axis',(0.866025403784439,8.64686972952067E-16, -0.5)); #438906=DIRECTION('ref_axis',(-7.48840884897957E-16,1.,4.32343486476034E-16)); #438907=DIRECTION('',(-7.48840884897958E-16,1.,4.32343486476034E-16)); #438908=DIRECTION('',(-0.5,0.,-0.866025403784439)); #438909=DIRECTION('',(7.48840884897958E-16,-1.,-4.32343486476034E-16)); #438910=DIRECTION('',(-0.5,0.,-0.866025403784439)); #438911=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #438912=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #438913=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438914=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438915=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438916=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438917=DIRECTION('',(-0.5,0.,-0.866025403784439)); #438918=DIRECTION('center_axis',(8.02518482231389E-16,1.,-4.63334261745942E-16)); #438919=DIRECTION('ref_axis',(-0.866025403784439,9.26668523491884E-16,0.5)); #438920=DIRECTION('',(-0.866025403784439,9.26668523491884E-16,0.5)); #438921=DIRECTION('',(0.866025403784439,-9.26668523491884E-16,-0.5)); #438922=DIRECTION('',(-0.5,0.,-0.866025403784439)); #438923=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #438924=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #438925=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438926=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438927=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438928=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438929=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438930=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438931=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #438932=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #438933=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #438934=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #438935=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #438936=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #438937=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438938=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438939=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #438940=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #438941=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #438942=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #438943=DIRECTION('center_axis',(0.,1.,0.)); #438944=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438945=DIRECTION('center_axis',(0.,1.,0.)); #438946=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #438947=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438948=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #438949=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438950=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438951=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #438952=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438953=DIRECTION('ref_axis',(-1.,0.,0.)); #438954=DIRECTION('center_axis',(1.,0.,0.)); #438955=DIRECTION('ref_axis',(0.,0.,-1.)); #438956=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #438957=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438958=DIRECTION('',(0.,8.70845118462252E-16,1.)); #438959=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438960=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #438961=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438962=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #438963=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438964=DIRECTION('ref_axis',(1.,0.,0.)); #438965=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #438966=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #438967=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #438968=DIRECTION('ref_axis',(0.,0.,-1.)); #438969=DIRECTION('center_axis',(-7.2280144832367E-16,-7.2280144832367E-16, -1.)); #438970=DIRECTION('ref_axis',(-1.,0.,7.2280144832367E-16)); #438971=DIRECTION('center_axis',(0.,8.70845118462252E-16,1.)); #438972=DIRECTION('ref_axis',(0.,-1.,8.70845118462252E-16)); #438973=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #438974=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #438975=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #438976=DIRECTION('ref_axis',(0.,0.,-1.)); #438977=DIRECTION('center_axis',(0.,-1.,0.)); #438978=DIRECTION('ref_axis',(0.,0.,-1.)); #438979=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #438980=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #438981=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #438982=DIRECTION('center_axis',(-1.80700362080917E-16,1.,1.10647060013582E-32)); #438983=DIRECTION('ref_axis',(-1.,-1.80700362080917E-16,0.)); #438984=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #438985=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #438986=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #438987=DIRECTION('center_axis',(-1.,0.,6.12323399573677E-17)); #438988=DIRECTION('ref_axis',(6.12323399573677E-17,0.,1.)); #438989=DIRECTION('',(0.,1.,0.)); #438990=DIRECTION('',(0.,1.,0.)); #438991=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #438992=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #438993=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #438994=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #438995=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #438996=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #438997=DIRECTION('',(-1.,-1.2329449625327E-32,1.17528690784337E-16)); #438998=DIRECTION('',(-1.,0.,0.)); #438999=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #439000=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #439001=DIRECTION('',(-1.,2.12374769796258E-33,1.17528690784337E-16)); #439002=DIRECTION('',(-1.,0.,0.)); #439003=DIRECTION('center_axis',(-1.,0.,0.)); #439004=DIRECTION('ref_axis',(0.,0.,1.)); #439005=DIRECTION('',(-1.,0.,0.)); #439006=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #439007=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #439008=DIRECTION('',(-1.,0.,0.)); #439009=DIRECTION('center_axis',(-1.,0.,0.)); #439010=DIRECTION('ref_axis',(0.,0.,1.)); #439011=DIRECTION('',(-1.,0.,0.)); #439012=DIRECTION('center_axis',(-1.,0.,0.)); #439013=DIRECTION('ref_axis',(0.,0.,1.)); #439014=DIRECTION('',(-1.,0.,0.)); #439015=DIRECTION('center_axis',(-1.,0.,0.)); #439016=DIRECTION('ref_axis',(0.,0.,1.)); #439017=DIRECTION('',(-1.,0.,0.)); #439018=DIRECTION('center_axis',(0.,1.,0.)); #439019=DIRECTION('ref_axis',(0.,0.,1.)); #439020=DIRECTION('',(-1.,0.,0.)); #439021=DIRECTION('center_axis',(-1.,0.,0.)); #439022=DIRECTION('ref_axis',(0.,0.,1.)); #439023=DIRECTION('',(-1.,0.,0.)); #439024=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #439025=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #439026=DIRECTION('',(-1.,0.,0.)); #439027=DIRECTION('center_axis',(-1.,0.,0.)); #439028=DIRECTION('ref_axis',(0.,0.,1.)); #439029=DIRECTION('',(-1.,0.,0.)); #439030=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #439031=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #439032=DIRECTION('',(-1.,0.,0.)); #439033=DIRECTION('center_axis',(-1.,0.,0.)); #439034=DIRECTION('ref_axis',(0.,0.,1.)); #439035=DIRECTION('',(-1.,0.,0.)); #439036=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #439037=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #439038=DIRECTION('',(-1.,0.,0.)); #439039=DIRECTION('center_axis',(-1.,0.,0.)); #439040=DIRECTION('ref_axis',(0.,0.,1.)); #439041=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #439042=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #439043=DIRECTION('',(-1.,1.02349286648799E-31,1.17528690784337E-16)); #439044=DIRECTION('',(-1.,0.,0.)); #439045=DIRECTION('center_axis',(-1.,0.,0.)); #439046=DIRECTION('ref_axis',(0.,0.,1.)); #439047=DIRECTION('',(-1.,0.,0.)); #439048=DIRECTION('center_axis',(-1.,0.,0.)); #439049=DIRECTION('ref_axis',(0.,0.,1.)); #439050=DIRECTION('',(-1.,0.,0.)); #439051=DIRECTION('center_axis',(0.,1.,0.)); #439052=DIRECTION('ref_axis',(0.,0.,1.)); #439053=DIRECTION('',(-1.,0.,0.)); #439054=DIRECTION('center_axis',(-1.,0.,0.)); #439055=DIRECTION('ref_axis',(0.,0.,1.)); #439056=DIRECTION('',(-1.,0.,0.)); #439057=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #439058=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #439059=DIRECTION('',(-1.,0.,0.)); #439060=DIRECTION('center_axis',(-1.,0.,0.)); #439061=DIRECTION('ref_axis',(0.,0.,1.)); #439062=DIRECTION('',(-1.,0.,0.)); #439063=DIRECTION('center_axis',(0.,0.,1.)); #439064=DIRECTION('ref_axis',(1.,0.,0.)); #439065=DIRECTION('',(-1.,0.,0.)); #439066=DIRECTION('center_axis',(-1.,0.,0.)); #439067=DIRECTION('ref_axis',(0.,0.,1.)); #439068=DIRECTION('',(-1.,0.,0.)); #439069=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #439070=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #439071=DIRECTION('',(-1.,0.,0.)); #439072=DIRECTION('center_axis',(-1.,0.,0.)); #439073=DIRECTION('ref_axis',(0.,0.,1.)); #439074=DIRECTION('',(-1.,0.,0.)); #439075=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #439076=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #439077=DIRECTION('',(-0.572219065214357,-7.90360072656087E-16,-0.82010081173305)); #439078=DIRECTION('',(-1.,0.,0.)); #439079=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #439080=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #439081=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #439082=DIRECTION('',(-1.,0.,0.)); #439083=DIRECTION('center_axis',(0.,-1.,0.)); #439084=DIRECTION('ref_axis',(0.,0.,-1.)); #439085=DIRECTION('',(-1.,0.,0.)); #439086=DIRECTION('center_axis',(-1.,0.,0.)); #439087=DIRECTION('ref_axis',(0.,0.,1.)); #439088=DIRECTION('',(-1.,0.,0.)); #439089=DIRECTION('center_axis',(-1.,0.,0.)); #439090=DIRECTION('ref_axis',(0.,0.,1.)); #439091=DIRECTION('center_axis',(0.707106781186548,-0.506535101005996,-0.493378345135703)); #439092=DIRECTION('ref_axis',(-6.10622663543836E-16,-0.697742347072106, 0.716348809660705)); #439093=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #439094=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #439095=DIRECTION('center_axis',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #439096=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #439097=DIRECTION('center_axis',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #439098=DIRECTION('ref_axis',(-2.15761626365275E-16,1.,0.)); #439099=DIRECTION('center_axis',(0.,-1.,0.)); #439100=DIRECTION('ref_axis',(0.,0.,-1.)); #439101=DIRECTION('',(0.,0.,1.)); #439102=DIRECTION('center_axis',(1.,0.,0.)); #439103=DIRECTION('ref_axis',(0.,0.,-1.)); #439104=DIRECTION('',(1.58385899163314E-15,0.,1.)); #439105=DIRECTION('',(0.,0.,1.)); #439106=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #439107=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #439108=DIRECTION('center_axis',(-1.,0.,0.)); #439109=DIRECTION('ref_axis',(0.,0.,1.)); #439110=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #439111=DIRECTION('center_axis',(0.,-1.,0.)); #439112=DIRECTION('ref_axis',(0.,0.,-1.)); #439113=DIRECTION('center_axis',(0.,1.,0.)); #439114=DIRECTION('ref_axis',(1.,0.,0.)); #439115=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #439116=DIRECTION('center_axis',(0.,-1.,0.)); #439117=DIRECTION('ref_axis',(1.,0.,0.)); #439118=DIRECTION('',(1.,0.,0.)); #439119=DIRECTION('center_axis',(0.,-1.,0.)); #439120=DIRECTION('ref_axis',(1.,0.,0.)); #439121=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #439122=DIRECTION('center_axis',(0.,1.,0.)); #439123=DIRECTION('ref_axis',(1.,0.,0.)); #439124=DIRECTION('center_axis',(0.,-1.,0.)); #439125=DIRECTION('ref_axis',(0.,0.,-1.)); #439126=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #439127=DIRECTION('center_axis',(0.,-1.,0.)); #439128=DIRECTION('ref_axis',(0.,0.,-1.)); #439129=DIRECTION('',(-1.,0.,0.)); #439130=DIRECTION('center_axis',(0.,-1.,0.)); #439131=DIRECTION('ref_axis',(0.,0.,-1.)); #439132=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #439133=DIRECTION('center_axis',(0.,-1.,0.)); #439134=DIRECTION('ref_axis',(0.,0.,-1.)); #439135=DIRECTION('center_axis',(0.,1.,0.)); #439136=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #439137=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #439138=DIRECTION('center_axis',(0.,-1.,0.)); #439139=DIRECTION('ref_axis',(0.,0.,-1.)); #439140=DIRECTION('',(-1.,0.,0.)); #439141=DIRECTION('center_axis',(0.,-1.,0.)); #439142=DIRECTION('ref_axis',(0.,0.,-1.)); #439143=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #439144=DIRECTION('center_axis',(0.,-1.,0.)); #439145=DIRECTION('ref_axis',(0.,0.,-1.)); #439146=DIRECTION('center_axis',(0.,1.,0.)); #439147=DIRECTION('ref_axis',(1.,0.,0.)); #439148=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #439149=DIRECTION('center_axis',(0.,-1.,0.)); #439150=DIRECTION('ref_axis',(1.,0.,0.)); #439151=DIRECTION('',(1.,0.,0.)); #439152=DIRECTION('center_axis',(0.,-1.,0.)); #439153=DIRECTION('ref_axis',(1.,0.,0.)); #439154=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #439155=DIRECTION('center_axis',(0.,1.,0.)); #439156=DIRECTION('ref_axis',(1.,0.,0.)); #439157=DIRECTION('center_axis',(0.,-1.,0.)); #439158=DIRECTION('ref_axis',(0.,0.,-1.)); #439159=DIRECTION('center_axis',(0.,-1.,0.)); #439160=DIRECTION('ref_axis',(0.,0.,-1.)); #439161=DIRECTION('',(0.,-1.,0.)); #439162=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #439163=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #439164=DIRECTION('',(0.,-1.,0.)); #439165=DIRECTION('center_axis',(0.,-1.,0.)); #439166=DIRECTION('ref_axis',(0.,0.,-1.)); #439167=DIRECTION('',(0.,-1.,0.)); #439168=DIRECTION('center_axis',(0.,0.,-1.)); #439169=DIRECTION('ref_axis',(-1.,0.,0.)); #439170=DIRECTION('',(0.,-1.,0.)); #439171=DIRECTION('center_axis',(0.,-1.,0.)); #439172=DIRECTION('ref_axis',(0.,0.,-1.)); #439173=DIRECTION('',(0.,-1.,0.)); #439174=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #439175=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #439176=DIRECTION('',(0.,-1.,0.)); #439177=DIRECTION('center_axis',(0.,-1.,0.)); #439178=DIRECTION('ref_axis',(0.,0.,-1.)); #439179=DIRECTION('center_axis',(0.,-1.,0.)); #439180=DIRECTION('ref_axis',(0.,0.,-1.)); #439181=DIRECTION('',(0.,1.,0.)); #439182=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #439183=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #439184=DIRECTION('',(0.,-1.,0.)); #439185=DIRECTION('center_axis',(0.,-1.,0.)); #439186=DIRECTION('ref_axis',(0.,0.,-1.)); #439187=DIRECTION('',(0.,1.,0.)); #439188=DIRECTION('center_axis',(0.,0.,-1.)); #439189=DIRECTION('ref_axis',(-1.,0.,0.)); #439190=DIRECTION('',(0.,-1.,0.)); #439191=DIRECTION('center_axis',(0.,-1.,0.)); #439192=DIRECTION('ref_axis',(0.,0.,-1.)); #439193=DIRECTION('',(0.,1.,0.)); #439194=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #439195=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #439196=DIRECTION('',(0.,-1.,0.)); #439197=DIRECTION('center_axis',(0.,-1.,0.)); #439198=DIRECTION('ref_axis',(0.,0.,-1.)); #439199=DIRECTION('center_axis',(1.,0.,0.)); #439200=DIRECTION('ref_axis',(0.,0.,-1.)); #439201=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #439202=DIRECTION('',(0.,0.,-1.)); #439203=DIRECTION('center_axis',(0.,-1.,0.)); #439204=DIRECTION('ref_axis',(0.,0.,-1.)); #439205=DIRECTION('center_axis',(-1.,0.,0.)); #439206=DIRECTION('ref_axis',(0.,0.,1.)); #439207=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #439208=DIRECTION('',(0.,0.,-1.)); #439209=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #439210=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #439211=DIRECTION('center_axis',(0.,-1.,0.)); #439212=DIRECTION('ref_axis',(0.,0.,-1.)); #439213=DIRECTION('center_axis',(0.,1.,0.)); #439214=DIRECTION('ref_axis',(1.,0.,0.)); #439215=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #439216=DIRECTION('center_axis',(0.,-1.,0.)); #439217=DIRECTION('ref_axis',(1.,0.,0.)); #439218=DIRECTION('',(-1.,0.,0.)); #439219=DIRECTION('center_axis',(0.,-1.,0.)); #439220=DIRECTION('ref_axis',(1.,0.,0.)); #439221=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #439222=DIRECTION('center_axis',(0.,1.,0.)); #439223=DIRECTION('ref_axis',(1.,0.,0.)); #439224=DIRECTION('center_axis',(0.,-1.,0.)); #439225=DIRECTION('ref_axis',(0.,0.,-1.)); #439226=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #439227=DIRECTION('center_axis',(0.,-1.,0.)); #439228=DIRECTION('ref_axis',(0.,0.,-1.)); #439229=DIRECTION('',(1.,0.,0.)); #439230=DIRECTION('center_axis',(0.,-1.,0.)); #439231=DIRECTION('ref_axis',(0.,0.,-1.)); #439232=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #439233=DIRECTION('center_axis',(0.,-1.,0.)); #439234=DIRECTION('ref_axis',(0.,0.,-1.)); #439235=DIRECTION('center_axis',(0.,1.,0.)); #439236=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #439237=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #439238=DIRECTION('center_axis',(0.,-1.,0.)); #439239=DIRECTION('ref_axis',(0.,0.,-1.)); #439240=DIRECTION('',(1.,0.,0.)); #439241=DIRECTION('center_axis',(0.,-1.,0.)); #439242=DIRECTION('ref_axis',(0.,0.,-1.)); #439243=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #439244=DIRECTION('center_axis',(0.,-1.,0.)); #439245=DIRECTION('ref_axis',(0.,0.,-1.)); #439246=DIRECTION('center_axis',(0.,1.,0.)); #439247=DIRECTION('ref_axis',(1.,0.,0.)); #439248=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #439249=DIRECTION('center_axis',(0.,-1.,0.)); #439250=DIRECTION('ref_axis',(1.,0.,0.)); #439251=DIRECTION('',(-1.,0.,0.)); #439252=DIRECTION('center_axis',(0.,-1.,0.)); #439253=DIRECTION('ref_axis',(1.,0.,0.)); #439254=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #439255=DIRECTION('center_axis',(0.,1.,0.)); #439256=DIRECTION('ref_axis',(1.,0.,0.)); #439257=DIRECTION('center_axis',(0.,-1.,0.)); #439258=DIRECTION('ref_axis',(0.,0.,-1.)); #439259=DIRECTION('center_axis',(0.,-1.,0.)); #439260=DIRECTION('ref_axis',(0.,0.,-1.)); #439261=DIRECTION('',(0.,-1.,0.)); #439262=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #439263=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #439264=DIRECTION('',(0.,-1.,0.)); #439265=DIRECTION('center_axis',(0.,-1.,0.)); #439266=DIRECTION('ref_axis',(0.,0.,-1.)); #439267=DIRECTION('',(0.,-1.,0.)); #439268=DIRECTION('center_axis',(0.,0.,1.)); #439269=DIRECTION('ref_axis',(1.,0.,0.)); #439270=DIRECTION('',(0.,-1.,0.)); #439271=DIRECTION('center_axis',(0.,-1.,0.)); #439272=DIRECTION('ref_axis',(0.,0.,-1.)); #439273=DIRECTION('',(0.,-1.,0.)); #439274=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #439275=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #439276=DIRECTION('',(0.,-1.,0.)); #439277=DIRECTION('center_axis',(0.,-1.,0.)); #439278=DIRECTION('ref_axis',(0.,0.,-1.)); #439279=DIRECTION('center_axis',(0.,-1.,0.)); #439280=DIRECTION('ref_axis',(0.,0.,-1.)); #439281=DIRECTION('',(0.,1.,0.)); #439282=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #439283=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #439284=DIRECTION('',(0.,-1.,0.)); #439285=DIRECTION('center_axis',(0.,-1.,0.)); #439286=DIRECTION('ref_axis',(0.,0.,-1.)); #439287=DIRECTION('',(0.,1.,0.)); #439288=DIRECTION('center_axis',(0.,0.,1.)); #439289=DIRECTION('ref_axis',(1.,0.,0.)); #439290=DIRECTION('',(0.,-1.,0.)); #439291=DIRECTION('center_axis',(0.,-1.,0.)); #439292=DIRECTION('ref_axis',(0.,0.,-1.)); #439293=DIRECTION('',(0.,1.,0.)); #439294=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #439295=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #439296=DIRECTION('',(0.,-1.,0.)); #439297=DIRECTION('center_axis',(0.,-1.,0.)); #439298=DIRECTION('ref_axis',(0.,0.,-1.)); #439299=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #439300=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #439301=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439302=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439303=DIRECTION('',(0.5,0.,-0.866025403784439)); #439304=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439305=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439306=DIRECTION('',(0.5,0.,-0.866025403784439)); #439307=DIRECTION('center_axis',(-0.866025403784439,8.64686972952067E-16, -0.5)); #439308=DIRECTION('ref_axis',(-7.48840884897957E-16,-1.,-4.32343486476034E-16)); #439309=DIRECTION('',(-7.48840884897958E-16,-1.,-4.32343486476033E-16)); #439310=DIRECTION('',(7.48840884897958E-16,1.,4.32343486476033E-16)); #439311=DIRECTION('',(0.5,0.,-0.866025403784439)); #439312=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #439313=DIRECTION('ref_axis',(0.671860342095719,0.630981965636656,0.387898749366797)); #439314=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439315=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439316=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439317=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #439318=DIRECTION('center_axis',(-8.02518482231389E-16,1.,-4.63334261745942E-16)); #439319=DIRECTION('ref_axis',(-0.866025403784439,-9.26668523491884E-16, -0.5)); #439320=DIRECTION('',(0.5,0.,-0.866025403784439)); #439321=DIRECTION('',(0.866025403784439,9.26668523491884E-16,0.5)); #439322=DIRECTION('',(-0.866025403784439,-9.26668523491884E-16,-0.5)); #439323=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #439324=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #439325=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439326=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439327=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #439328=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #439329=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #439330=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439331=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439332=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439333=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #439334=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #439335=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #439336=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #439337=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #439338=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #439339=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #439340=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #439341=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439342=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #439343=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439344=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #439345=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #439346=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439347=DIRECTION('ref_axis',(-1.,0.,0.)); #439348=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439349=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #439350=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #439351=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439352=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #439353=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439354=DIRECTION('ref_axis',(1.,0.,0.)); #439355=DIRECTION('center_axis',(-1.,0.,0.)); #439356=DIRECTION('ref_axis',(0.,0.,1.)); #439357=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #439358=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #439359=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #439360=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #439361=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #439362=DIRECTION('ref_axis',(0.,0.,-1.)); #439363=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #439364=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #439365=DIRECTION('center_axis',(0.,-8.70845118462252E-16,-1.)); #439366=DIRECTION('ref_axis',(0.,1.,-8.70845118462252E-16)); #439367=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #439368=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #439369=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #439370=DIRECTION('ref_axis',(0.,0.,-1.)); #439371=DIRECTION('center_axis',(0.,-1.,0.)); #439372=DIRECTION('ref_axis',(0.,0.,-1.)); #439373=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #439374=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #439375=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #439376=DIRECTION('center_axis',(1.,0.,6.12323399573677E-17)); #439377=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #439378=DIRECTION('',(0.,-1.,0.)); #439379=DIRECTION('',(0.,-1.,0.)); #439380=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #439381=DIRECTION('center_axis',(1.80700362080917E-16,1.,1.10647060013582E-32)); #439382=DIRECTION('ref_axis',(-1.,1.80700362080917E-16,0.)); #439383=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #439384=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #439385=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #439386=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #439387=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #439388=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #439389=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439390=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439391=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439392=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439393=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439394=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439395=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439396=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439397=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #439398=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #439399=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439400=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439401=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439402=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439403=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439404=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439405=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439406=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439407=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439408=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439409=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439410=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439411=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439412=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439413=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439414=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439415=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439416=DIRECTION('ref_axis',(-4.34020088631257E-17,-0.708808595153212, 0.705400861522674)); #439417=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439418=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439419=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439420=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439421=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439422=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439423=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439424=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439425=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439426=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439427=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439428=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439429=DIRECTION('center_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439430=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439431=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439432=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439433=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439434=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439435=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439436=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439437=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439438=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439439=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439440=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439441=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439442=DIRECTION('ref_axis',(-4.34020088631253E-17,-0.708808595153206, -0.70540086152268)); #439443=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439444=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439445=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439446=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439447=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439448=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439449=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439450=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439451=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439452=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439453=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439454=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439455=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439456=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439457=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439458=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439459=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439460=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439461=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439462=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439463=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439464=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439465=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439466=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439467=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439468=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439469=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439470=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439471=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439472=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439473=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439474=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439475=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439476=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439477=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #439478=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439479=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439480=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439481=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439482=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439483=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439484=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439485=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439486=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439487=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439488=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439489=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439490=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439491=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439492=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439493=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439494=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439495=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439496=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439497=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439498=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439499=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439500=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439501=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439502=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439503=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439504=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439505=DIRECTION('center_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439506=DIRECTION('ref_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439507=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439508=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439509=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439510=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439511=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439512=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439513=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439514=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439515=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439516=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439517=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439518=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439519=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439520=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439521=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439522=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439523=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439524=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439525=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439526=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439527=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439528=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439529=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439530=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439531=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439532=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439533=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439534=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439535=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439536=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439537=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439538=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439539=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439540=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439541=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439542=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #439543=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439544=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439545=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439546=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439547=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439548=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439549=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439550=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439551=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439552=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439553=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #439554=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439555=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439556=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439557=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439558=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439559=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439560=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439561=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439562=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439563=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #439564=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #439565=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439566=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439567=DIRECTION('',(-0.0803254032550899,0.996768694127132,6.1034479537654E-17)); #439568=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439569=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439570=DIRECTION('',(-0.630914168390843,0.775852635571789,4.75072723381514E-17)); #439571=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439572=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665465E-33)); #439573=DIRECTION('',(-0.447213595499957,-0.894427190999917,-5.47678698264203E-17)); #439574=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439575=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439576=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439577=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439578=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439579=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439580=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439581=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439582=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #439583=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439584=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439585=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439586=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439587=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #439588=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #439589=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #439590=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #439591=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #439592=DIRECTION('ref_axis',(1.23259516440783E-32,-6.12323399573677E-17, 1.)); #439593=DIRECTION('',(0.447213595499957,0.894427190999917,5.47678698264203E-17)); #439594=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #439595=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439596=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439597=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439598=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #439599=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439600=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439601=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439602=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #439603=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439604=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #439605=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #439606=DIRECTION('ref_axis',(-5.54667823983524E-32,6.12323399573677E-17, -1.)); #439607=DIRECTION('',(-0.447213595499958,0.894427190999916,5.47678698264203E-17)); #439608=DIRECTION('',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #439609=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #439610=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #439611=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439612=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439613=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439614=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #439615=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439616=DIRECTION('',(0.080325403255087,0.996768694127132,6.1034479537654E-17)); #439617=DIRECTION('',(-0.630914168390842,-0.77585263557179,-4.75072723381515E-17)); #439618=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #439619=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439620=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #439621=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #439622=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #439623=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439624=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665465E-33)); #439625=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439626=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439627=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439628=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439629=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439630=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439631=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439632=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439633=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #439634=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439635=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439636=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439637=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439638=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439639=DIRECTION('',(1.,0.,0.)); #439640=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439641=DIRECTION('',(-1.,0.,0.)); #439642=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439643=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439644=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439645=DIRECTION('',(1.,0.,0.)); #439646=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439647=DIRECTION('',(-1.,0.,0.)); #439648=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439649=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439650=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439651=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439652=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #439653=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439654=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439655=DIRECTION('',(1.,0.,0.)); #439656=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439657=DIRECTION('',(1.,0.,0.)); #439658=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439659=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439660=DIRECTION('ref_axis',(1.,0.,0.)); #439661=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439662=DIRECTION('ref_axis',(1.,0.,0.)); #439663=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439664=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439665=DIRECTION('ref_axis',(1.,0.,0.)); #439666=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439667=DIRECTION('ref_axis',(1.,0.,0.)); #439668=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439669=DIRECTION('ref_axis',(1.,0.,0.)); #439670=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439671=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439672=DIRECTION('ref_axis',(1.,0.,0.)); #439673=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439674=DIRECTION('ref_axis',(1.,0.,0.)); #439675=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439676=DIRECTION('ref_axis',(1.,0.,0.)); #439677=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439678=DIRECTION('ref_axis',(1.,0.,0.)); #439679=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439680=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439681=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439682=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439683=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #439684=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439685=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439686=DIRECTION('',(-1.,0.,0.)); #439687=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439688=DIRECTION('',(-1.,0.,0.)); #439689=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439690=DIRECTION('ref_axis',(1.,0.,0.)); #439691=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439692=DIRECTION('ref_axis',(1.,0.,0.)); #439693=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439694=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439695=DIRECTION('ref_axis',(1.,0.,0.)); #439696=DIRECTION('center_axis',(0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #439697=DIRECTION('ref_axis',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #439698=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #439699=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439700=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #439701=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439702=DIRECTION('ref_axis',(1.,0.,0.)); #439703=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439704=DIRECTION('ref_axis',(1.,0.,0.)); #439705=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439706=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439707=DIRECTION('ref_axis',(1.,0.,0.)); #439708=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439709=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439710=DIRECTION('',(-1.,0.,0.)); #439711=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439712=DIRECTION('',(-1.,0.,0.)); #439713=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439714=DIRECTION('ref_axis',(1.,0.,0.)); #439715=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439716=DIRECTION('ref_axis',(1.,0.,0.)); #439717=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439718=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439719=DIRECTION('ref_axis',(1.,0.,0.)); #439720=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439721=DIRECTION('ref_axis',(1.,0.,0.)); #439722=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439723=DIRECTION('ref_axis',(-1.,0.,0.)); #439724=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439725=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #439726=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439727=DIRECTION('ref_axis',(-1.,0.,0.)); #439728=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439729=DIRECTION('ref_axis',(1.,0.,0.)); #439730=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439731=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #439732=DIRECTION('',(-1.,0.,0.)); #439733=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439734=DIRECTION('ref_axis',(-0.232364067292044,-5.95563422961443E-17, 0.972628881039165)); #439735=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439736=DIRECTION('ref_axis',(1.,0.,0.)); #439737=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439738=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439739=DIRECTION('ref_axis',(1.,0.,0.)); #439740=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439741=DIRECTION('ref_axis',(1.,0.,0.)); #439742=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439743=DIRECTION('ref_axis',(1.,0.,0.)); #439744=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439745=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439746=DIRECTION('ref_axis',(1.,0.,0.)); #439747=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439748=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439749=DIRECTION('',(-1.,0.,0.)); #439750=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439751=DIRECTION('',(-1.,0.,0.)); #439752=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439753=DIRECTION('ref_axis',(1.,0.,0.)); #439754=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439755=DIRECTION('ref_axis',(1.,0.,0.)); #439756=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439757=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439758=DIRECTION('ref_axis',(1.,0.,0.)); #439759=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439760=DIRECTION('ref_axis',(1.,0.,0.)); #439761=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439762=DIRECTION('ref_axis',(-1.,0.,0.)); #439763=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439764=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #439765=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439766=DIRECTION('ref_axis',(-1.,0.,0.)); #439767=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439768=DIRECTION('ref_axis',(1.,0.,0.)); #439769=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #439770=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #439771=DIRECTION('',(-1.,0.,0.)); #439772=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439773=DIRECTION('ref_axis',(-0.232364067292051,-5.95563422961442E-17, 0.972628881039164)); #439774=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439775=DIRECTION('ref_axis',(1.,0.,0.)); #439776=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439777=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439778=DIRECTION('ref_axis',(1.,0.,0.)); #439779=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439780=DIRECTION('ref_axis',(1.,0.,0.)); #439781=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439782=DIRECTION('ref_axis',(1.,0.,0.)); #439783=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439784=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439785=DIRECTION('ref_axis',(1.,0.,0.)); #439786=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439787=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439788=DIRECTION('',(-1.,0.,0.)); #439789=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439790=DIRECTION('',(-1.,0.,0.)); #439791=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439792=DIRECTION('ref_axis',(1.,0.,0.)); #439793=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439794=DIRECTION('ref_axis',(1.,0.,0.)); #439795=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439796=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439797=DIRECTION('ref_axis',(1.,0.,0.)); #439798=DIRECTION('center_axis',(-0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #439799=DIRECTION('ref_axis',(-0.641962378174913,-4.69490478473507E-17, 0.766736137799706)); #439800=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #439801=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439802=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #439803=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439804=DIRECTION('ref_axis',(1.,0.,0.)); #439805=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439806=DIRECTION('ref_axis',(1.,0.,0.)); #439807=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439808=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439809=DIRECTION('ref_axis',(1.,0.,0.)); #439810=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439811=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #439812=DIRECTION('',(-1.,0.,0.)); #439813=DIRECTION('',(-1.,0.,0.)); #439814=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439815=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439816=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #439817=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439818=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #439819=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439820=DIRECTION('ref_axis',(1.,0.,0.)); #439821=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439822=DIRECTION('ref_axis',(1.,0.,0.)); #439823=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439824=DIRECTION('ref_axis',(1.,0.,0.)); #439825=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439826=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439827=DIRECTION('ref_axis',(1.,0.,0.)); #439828=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439829=DIRECTION('ref_axis',(1.,0.,0.)); #439830=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439831=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439832=DIRECTION('ref_axis',(1.,0.,0.)); #439833=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439834=DIRECTION('ref_axis',(1.,0.,0.)); #439835=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439836=DIRECTION('ref_axis',(1.,0.,0.)); #439837=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439838=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439839=DIRECTION('ref_axis',(1.,0.,0.)); #439840=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439841=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439842=DIRECTION('',(1.,0.,0.)); #439843=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439844=DIRECTION('',(1.,0.,0.)); #439845=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #439846=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #439847=DIRECTION('',(1.,0.,0.)); #439848=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439849=DIRECTION('',(1.,0.,0.)); #439850=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439851=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439852=DIRECTION('ref_axis',(1.,0.,0.)); #439853=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439854=DIRECTION('ref_axis',(1.,0.,0.)); #439855=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439856=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439857=DIRECTION('ref_axis',(1.,0.,0.)); #439858=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439859=DIRECTION('ref_axis',(1.,0.,0.)); #439860=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439861=DIRECTION('ref_axis',(-1.,0.,0.)); #439862=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439863=DIRECTION('ref_axis',(-1.,0.,0.)); #439864=DIRECTION('',(0.,1.,6.12323399573677E-17)); #439865=DIRECTION('center_axis',(-1.,6.80904984698964E-32,-1.11200222819026E-15)); #439866=DIRECTION('ref_axis',(-1.11200222819026E-15,-6.12323399573677E-17, 1.)); #439867=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #439868=DIRECTION('center_axis',(-1.,0.,0.)); #439869=DIRECTION('ref_axis',(0.,0.,1.)); #439870=DIRECTION('',(0.,0.19945646869513,0.979906687953332)); #439871=DIRECTION('center_axis',(1.,0.,0.)); #439872=DIRECTION('ref_axis',(0.,0.,-1.)); #439873=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #439874=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439875=DIRECTION('ref_axis',(-1.,0.,0.)); #439876=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439877=DIRECTION('ref_axis',(-1.,0.,0.)); #439878=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #439879=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #439880=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #439881=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439882=DIRECTION('ref_axis',(1.,0.,0.)); #439883=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439884=DIRECTION('ref_axis',(1.,0.,0.)); #439885=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #439886=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439887=DIRECTION('ref_axis',(1.,0.,0.)); #439888=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #439889=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439890=DIRECTION('ref_axis',(-1.,0.,0.)); #439891=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #439892=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #439893=DIRECTION('ref_axis',(-1.,0.,0.)); #439894=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #439895=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #439896=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #439897=DIRECTION('ref_axis',(1.,0.,0.)); #439898=DIRECTION('',(0.,1.,0.)); #439899=DIRECTION('',(0.,1.,0.)); #439900=DIRECTION('center_axis',(1.,6.80904984698964E-32,-1.11200222819026E-15)); #439901=DIRECTION('ref_axis',(-1.11200222819026E-15,6.12323399573677E-17, -1.)); #439902=DIRECTION('center_axis',(-1.,0.,0.)); #439903=DIRECTION('ref_axis',(0.,0.,-1.)); #439904=DIRECTION('',(0.,-0.19945646869513,-0.979906687953332)); #439905=DIRECTION('center_axis',(-1.,0.,0.)); #439906=DIRECTION('ref_axis',(0.,0.,1.)); #439907=DIRECTION('center_axis',(0.339799945429367,-0.93890094162551,-0.0547815562112893)); #439908=DIRECTION('ref_axis',(0.940364424215367,0.33819424037998,0.0365978885170823)); #439909=DIRECTION('',(0.,-0.998299153136683,-0.0582992353859162)); #439910=DIRECTION('center_axis',(0.734978321930246,-0.676939326426127,-0.0394970205248571)); #439911=DIRECTION('ref_axis',(-0.0113994639736623,0.0459043449844808,-0.998880795356813)); #439912=DIRECTION('center_axis',(-1.,0.,0.)); #439913=DIRECTION('ref_axis',(0.,0.,1.)); #439914=DIRECTION('center_axis',(-1.,0.,0.)); #439915=DIRECTION('ref_axis',(0.,0.,1.)); #439916=DIRECTION('',(0.,0.199456468695128,0.979906687953332)); #439917=DIRECTION('center_axis',(1.,0.,0.)); #439918=DIRECTION('ref_axis',(0.,0.,-1.)); #439919=DIRECTION('center_axis',(-1.,0.,0.)); #439920=DIRECTION('ref_axis',(0.,0.,-1.)); #439921=DIRECTION('center_axis',(-1.,0.,0.)); #439922=DIRECTION('ref_axis',(0.,0.,-1.)); #439923=DIRECTION('',(0.,-0.199456468695128,-0.979906687953332)); #439924=DIRECTION('center_axis',(1.,0.,0.)); #439925=DIRECTION('ref_axis',(0.,0.,-1.)); #439926=DIRECTION('center_axis',(-1.,0.,0.)); #439927=DIRECTION('ref_axis',(0.,0.,1.)); #439928=DIRECTION('',(0.,0.998299153136683,0.0582992353859162)); #439929=DIRECTION('center_axis',(-1.,0.,0.)); #439930=DIRECTION('ref_axis',(0.,0.,-1.)); #439931=DIRECTION('center_axis',(-0.339799945430312,-0.938900941625164, -0.0547815562113553)); #439932=DIRECTION('ref_axis',(0.940364424215158,-0.338194240381452,-0.0365978885088607)); #439933=DIRECTION('center_axis',(-0.734978321930261,-0.676939326426111, -0.0394970205248571)); #439934=DIRECTION('ref_axis',(0.0113994637719541,0.0459043452030322,-0.998880795349071)); #439935=DIRECTION('center_axis',(-1.,0.,0.)); #439936=DIRECTION('ref_axis',(0.,0.,-1.)); #439937=DIRECTION('center_axis',(-1.,0.,0.)); #439938=DIRECTION('ref_axis',(0.,0.,-1.)); #439939=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439940=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439941=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439942=DIRECTION('center_axis',(0.432713340037062,0.901531566476721,-5.08562323394733E-17)); #439943=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037062,0.)); #439944=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439945=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439946=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439947=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439948=DIRECTION('center_axis',(-1.,9.11094262592861E-17,1.17528690784337E-16)); #439949=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #439950=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439951=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439952=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439953=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439954=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,-5.08562323394733E-17)); #439955=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #439956=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439957=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439958=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439959=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439960=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439961=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439962=DIRECTION('center_axis',(-0.432713340037062,0.901531566476721,5.08562323394734E-17)); #439963=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037062,0.)); #439964=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439965=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439966=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439967=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439968=DIRECTION('center_axis',(1.,4.52510150421121E-16,-1.17528690784337E-16)); #439969=DIRECTION('ref_axis',(-4.52510150421121E-16,1.,0.)); #439970=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439971=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439972=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439973=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439974=DIRECTION('center_axis',(-0.432713340037061,-0.901531566476721, 5.08562323394732E-17)); #439975=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037061,0.)); #439976=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #439977=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #439978=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #439979=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #439980=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #439981=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439982=DIRECTION('center_axis',(-0.432713340037061,0.901531566476721,-7.00222004972597E-17)); #439983=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037061,-3.08148791101958E-33)); #439984=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439985=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #439986=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #439987=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439988=DIRECTION('center_axis',(1.,0.,1.61821219773956E-16)); #439989=DIRECTION('ref_axis',(1.61821219773956E-16,0.,-1.)); #439990=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439991=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #439992=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #439993=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439994=DIRECTION('center_axis',(-0.432713340037062,-0.901531566476721, -7.002220049726E-17)); #439995=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037062,3.08148791101958E-32)); #439996=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #439997=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #439998=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #439999=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #440000=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #440001=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440002=DIRECTION('center_axis',(0.432713340037061,0.901531566476721,7.00222004972597E-17)); #440003=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037061,-3.08148791101958E-33)); #440004=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440005=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #440006=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #440007=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440008=DIRECTION('center_axis',(-1.,-3.64437705037144E-16,-1.61821219773956E-16)); #440009=DIRECTION('ref_axis',(3.64437705037144E-16,-1.,0.)); #440010=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440011=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #440012=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #440013=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440014=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,7.00222004972599E-17)); #440015=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #440016=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #440017=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #440018=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #440019=DIRECTION('axis',(0.,0.,1.)); #440020=DIRECTION('refdir',(1.,0.,0.)); #440021=DIRECTION('center_axis',(0.99998750023437,-0.00499993750117045, 0.)); #440022=DIRECTION('ref_axis',(0.00499993750117045,0.99998750023437,0.)); #440023=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #440024=DIRECTION('',(0.,0.,1.)); #440025=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #440026=DIRECTION('',(0.,0.,1.)); #440027=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #440028=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #440029=DIRECTION('',(3.27971157176865E-15,1.,0.)); #440030=DIRECTION('center_axis',(-1.,0.,0.)); #440031=DIRECTION('ref_axis',(0.,0.,1.)); #440032=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #440033=DIRECTION('center_axis',(-1.,0.,0.)); #440034=DIRECTION('ref_axis',(0.,0.,1.)); #440035=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #440036=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #440037=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #440038=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #440039=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #440040=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #440041=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #440042=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #440043=DIRECTION('',(3.27971157176865E-15,1.,0.)); #440044=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #440045=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #440046=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #440047=DIRECTION('',(0.,0.,1.)); #440048=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #440049=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #440050=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #440051=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #440052=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #440053=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #440054=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #440055=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #440056=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #440057=DIRECTION('center_axis',(-1.,0.,0.)); #440058=DIRECTION('ref_axis',(0.,0.,1.)); #440059=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #440060=DIRECTION('center_axis',(-1.,0.,0.)); #440061=DIRECTION('ref_axis',(0.,0.,1.)); #440062=DIRECTION('center_axis',(-0.99998750023437,-0.00499993750117207, 0.)); #440063=DIRECTION('ref_axis',(0.00499993750117207,-0.99998750023437,0.)); #440064=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #440065=DIRECTION('',(0.,0.,1.)); #440066=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #440067=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #440068=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #440069=DIRECTION('',(0.,0.,1.)); #440070=DIRECTION('',(9.55918588954723E-17,0.707106781186549,-0.707106781186546)); #440071=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #440072=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #440073=DIRECTION('',(9.55918588954723E-17,0.707106781186548,0.707106781186547)); #440074=DIRECTION('center_axis',(0.,1.,0.)); #440075=DIRECTION('ref_axis',(0.,0.,1.)); #440076=DIRECTION('',(0.,0.,1.)); #440077=DIRECTION('',(1.,0.,0.)); #440078=DIRECTION('',(-1.,0.,0.)); #440079=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #440080=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #440081=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #440082=DIRECTION('',(9.55918588954723E-17,-0.707106781186549,0.707106781186546)); #440083=DIRECTION('',(9.55918588954723E-17,-0.707106781186548,-0.707106781186547)); #440084=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #440085=DIRECTION('center_axis',(0.,0.,-1.)); #440086=DIRECTION('ref_axis',(-1.,0.,0.)); #440087=DIRECTION('',(-1.,0.,0.)); #440088=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #440089=DIRECTION('center_axis',(0.,0.,-1.)); #440090=DIRECTION('ref_axis',(-1.,0.,0.)); #440091=DIRECTION('',(1.,0.,0.)); #440092=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #440093=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #440094=DIRECTION('ref_axis',(-1.,0.,0.)); #440095=DIRECTION('',(1.,0.,0.)); #440096=DIRECTION('',(-1.,0.,0.)); #440097=DIRECTION('center_axis',(-1.,0.,0.)); #440098=DIRECTION('ref_axis',(0.,0.,1.)); #440099=DIRECTION('',(-1.,0.,0.)); #440100=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #440101=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #440102=DIRECTION('',(-1.,0.,0.)); #440103=DIRECTION('center_axis',(-1.,0.,0.)); #440104=DIRECTION('ref_axis',(0.,0.,1.)); #440105=DIRECTION('',(-1.,0.,0.)); #440106=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #440107=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #440108=DIRECTION('center_axis',(-1.,0.,0.)); #440109=DIRECTION('ref_axis',(0.,0.,1.)); #440110=DIRECTION('',(1.,0.,0.)); #440111=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #440112=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #440113=DIRECTION('',(-1.,0.,0.)); #440114=DIRECTION('center_axis',(-1.,0.,0.)); #440115=DIRECTION('ref_axis',(0.,0.,1.)); #440116=DIRECTION('',(1.,0.,0.)); #440117=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #440118=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #440119=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #440120=DIRECTION('ref_axis',(1.,0.,0.)); #440121=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #440122=DIRECTION('ref_axis',(-1.,0.,0.)); #440123=DIRECTION('',(0.,0.,1.)); #440124=DIRECTION('',(1.,0.,0.)); #440125=DIRECTION('',(-7.93679993208159E-32,1.08694881798913E-15,1.)); #440126=DIRECTION('',(1.,-1.0842021724855E-16,1.97215226305252E-31)); #440127=DIRECTION('',(-9.16919761343973E-32,9.66963777567405E-16,1.)); #440128=DIRECTION('',(1.,3.27971157176865E-15,-3.07967031463455E-30)); #440129=DIRECTION('',(4.23704587765052E-33,2.77700021424954E-15,1.)); #440130=DIRECTION('',(1.,3.27971157176865E-15,-9.11199678335589E-30)); #440131=DIRECTION('',(6.80836812848299E-17,-4.44089209850069E-16,1.)); #440132=DIRECTION('',(1.,3.38150651353554E-15,-6.80836812848284E-17)); #440133=DIRECTION('',(1.1823118378709E-29,2.10942374678779E-15,1.)); #440134=DIRECTION('',(1.,-2.25645248027861E-15,-7.06330393331109E-30)); #440135=DIRECTION('',(-8.73390613065168E-16,6.98370759370329E-16,1.)); #440136=DIRECTION('',(1.,-2.91436602748403E-15,8.7339061306517E-16)); #440137=DIRECTION('',(4.33680868994199E-15,9.83880281668189E-16,1.)); #440138=DIRECTION('',(1.,3.27971157176865E-15,-4.33680868994199E-15)); #440139=DIRECTION('',(-1.27182698291844E-15,-2.02167565096131E-15,1.)); #440140=DIRECTION('',(1.,-1.47360374210741E-15,1.27182698291844E-15)); #440141=DIRECTION('',(7.93679993208154E-32,1.08694881798913E-15,1.)); #440142=DIRECTION('',(-1.,-6.67538261041712E-15,7.3351672373389E-30)); #440143=DIRECTION('',(-6.80836812848299E-17,-4.44089209850069E-16,1.)); #440144=DIRECTION('',(-1.,4.92831695200288E-16,-6.80836812848297E-17)); #440145=DIRECTION('',(-4.33680868994199E-15,9.83880281668189E-16,1.)); #440146=DIRECTION('',(-1.,7.25596478471811E-15,-4.336808689942E-15)); #440147=DIRECTION('',(1.27182698291844E-15,-2.02167565096131E-15,1.)); #440148=DIRECTION('',(-1.,-5.31019908555827E-15,1.27182698291843E-15)); #440149=DIRECTION('',(8.73390613065168E-16,6.98370759370329E-16,1.)); #440150=DIRECTION('',(-1.,-3.86943680018164E-15,8.73390613065171E-16)); #440151=DIRECTION('',(-1.1823118378709E-29,2.10942374678779E-15,1.)); #440152=DIRECTION('',(-1.,-4.52735034738706E-15,-2.27301804590279E-30)); #440153=DIRECTION('',(9.16919761343973E-32,9.66963777567405E-16,1.)); #440154=DIRECTION('',(-1.,2.81507268621749E-15,-2.63038134265729E-30)); #440155=DIRECTION('',(-1.80411241501588E-16,-1.,5.47511070677026E-16)); #440156=DIRECTION('',(-1.,1.80411241501588E-16,3.8282599880158E-17)); #440157=DIRECTION('',(-5.93452103896745E-16,9.78384040450918E-16,1.)); #440158=DIRECTION('',(1.,-1.14270645880125E-15,5.93452103896746E-16)); #440159=DIRECTION('',(4.23704587765052E-33,2.77700021424954E-15,1.)); #440160=DIRECTION('',(1.,3.27971157176865E-15,-9.11199678335589E-30)); #440161=DIRECTION('',(0.,0.,1.)); #440162=DIRECTION('',(1.,0.,0.)); #440163=DIRECTION('',(0.,0.,1.)); #440164=DIRECTION('',(1.,0.,0.)); #440165=DIRECTION('axis',(0.,0.,1.)); #440166=DIRECTION('refdir',(1.,0.,0.)); #440167=DIRECTION('axis',(0.,0.,1.)); #440168=DIRECTION('refdir',(1.,0.,0.)); #440169=DIRECTION('center_axis',(0.,-1.,0.)); #440170=DIRECTION('ref_axis',(0.,0.,-1.)); #440171=DIRECTION('',(-1.,0.,0.)); #440172=DIRECTION('',(0.,0.,-1.)); #440173=DIRECTION('',(1.,0.,0.)); #440174=DIRECTION('',(0.,0.,-1.)); #440175=DIRECTION('',(-1.,0.,0.)); #440176=DIRECTION('',(0.,0.,-1.)); #440177=DIRECTION('',(-1.,0.,0.)); #440178=DIRECTION('',(0.,0.,-1.)); #440179=DIRECTION('',(-1.,0.,0.)); #440180=DIRECTION('',(0.,0.,-1.)); #440181=DIRECTION('',(1.,0.,0.)); #440182=DIRECTION('',(0.,0.,-1.)); #440183=DIRECTION('center_axis',(0.,0.,1.)); #440184=DIRECTION('ref_axis',(1.,0.,0.)); #440185=DIRECTION('',(1.,0.,0.)); #440186=DIRECTION('',(0.,-1.,0.)); #440187=DIRECTION('',(1.,0.,0.)); #440188=DIRECTION('',(0.,-1.,0.)); #440189=DIRECTION('center_axis',(0.,0.,1.)); #440190=DIRECTION('ref_axis',(1.,0.,0.)); #440191=DIRECTION('',(0.,1.,0.)); #440192=DIRECTION('',(1.,0.,0.)); #440193=DIRECTION('',(0.,-1.,0.)); #440194=DIRECTION('',(1.,0.,0.)); #440195=DIRECTION('center_axis',(0.,0.,-1.)); #440196=DIRECTION('ref_axis',(-1.,0.,0.)); #440197=DIRECTION('',(1.445602896647E-16,1.,0.)); #440198=DIRECTION('',(1.,1.807003620809E-16,0.)); #440199=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #440200=DIRECTION('',(-1.,1.807003620809E-16,0.)); #440201=DIRECTION('',(1.,0.,0.)); #440202=DIRECTION('',(0.,1.,0.)); #440203=DIRECTION('',(1.,0.,0.)); #440204=DIRECTION('',(0.,1.,0.)); #440205=DIRECTION('center_axis',(0.,0.,-1.)); #440206=DIRECTION('ref_axis',(-1.,0.,0.)); #440207=DIRECTION('',(1.445602896647E-16,1.,0.)); #440208=DIRECTION('',(1.,1.807003620809E-16,0.)); #440209=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #440210=DIRECTION('',(-1.,1.807003620809E-16,0.)); #440211=DIRECTION('',(1.,0.,0.)); #440212=DIRECTION('',(0.,1.,0.)); #440213=DIRECTION('',(1.,0.,0.)); #440214=DIRECTION('',(0.,1.,0.)); #440215=DIRECTION('center_axis',(0.,0.,1.)); #440216=DIRECTION('ref_axis',(1.,0.,0.)); #440217=DIRECTION('',(1.,0.,0.)); #440218=DIRECTION('',(0.,1.,0.)); #440219=DIRECTION('',(-1.,0.,0.)); #440220=DIRECTION('',(0.,-1.,0.)); #440221=DIRECTION('',(-1.,0.,0.)); #440222=DIRECTION('',(0.,1.,0.)); #440223=DIRECTION('',(1.,0.,0.)); #440224=DIRECTION('',(1.807003620809E-16,-1.,0.)); #440225=DIRECTION('',(-1.,0.,0.)); #440226=DIRECTION('',(0.,1.,0.)); #440227=DIRECTION('',(1.,0.,0.)); #440228=DIRECTION('',(1.807003620809E-16,-1.,0.)); #440229=DIRECTION('center_axis',(1.,0.,0.)); #440230=DIRECTION('ref_axis',(0.,0.,-1.)); #440231=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #440232=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #440233=DIRECTION('',(0.,-1.,0.)); #440234=DIRECTION('',(0.,0.,-1.)); #440235=DIRECTION('center_axis',(-1.,0.,0.)); #440236=DIRECTION('ref_axis',(0.,0.,1.)); #440237=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #440238=DIRECTION('',(0.,0.,-1.)); #440239=DIRECTION('',(0.,1.,0.)); #440240=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #440241=DIRECTION('center_axis',(0.,0.,1.)); #440242=DIRECTION('ref_axis',(1.,0.,0.)); #440243=DIRECTION('',(1.,0.,0.)); #440244=DIRECTION('',(0.,1.,0.)); #440245=DIRECTION('center_axis',(1.,0.,0.)); #440246=DIRECTION('ref_axis',(0.,0.,-1.)); #440247=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #440248=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #440249=DIRECTION('',(0.,-1.,0.)); #440250=DIRECTION('',(0.,0.,-1.)); #440251=DIRECTION('center_axis',(-1.,0.,0.)); #440252=DIRECTION('ref_axis',(0.,0.,1.)); #440253=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #440254=DIRECTION('',(0.,0.,-1.)); #440255=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #440256=DIRECTION('center_axis',(0.,0.,1.)); #440257=DIRECTION('ref_axis',(1.,0.,0.)); #440258=DIRECTION('',(1.,0.,0.)); #440259=DIRECTION('',(0.,1.,0.)); #440260=DIRECTION('center_axis',(0.,0.,1.)); #440261=DIRECTION('ref_axis',(1.,0.,0.)); #440262=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #440263=DIRECTION('',(0.,1.,0.)); #440264=DIRECTION('',(1.,0.,0.)); #440265=DIRECTION('',(0.,-1.,0.)); #440266=DIRECTION('',(-1.,0.,0.)); #440267=DIRECTION('',(0.,1.,0.)); #440268=DIRECTION('',(0.,-1.,0.)); #440269=DIRECTION('center_axis',(-1.,0.,0.)); #440270=DIRECTION('ref_axis',(0.,0.,1.)); #440271=DIRECTION('',(-8.673617379884E-16,1.,0.)); #440272=DIRECTION('center_axis',(-1.,0.,0.)); #440273=DIRECTION('ref_axis',(0.,0.,1.)); #440274=DIRECTION('',(0.,0.,1.)); #440275=DIRECTION('',(0.,0.,-1.)); #440276=DIRECTION('',(0.,0.,1.)); #440277=DIRECTION('center_axis',(1.,0.,0.)); #440278=DIRECTION('ref_axis',(0.,0.,-1.)); #440279=DIRECTION('',(0.,0.,1.)); #440280=DIRECTION('center_axis',(1.,0.,0.)); #440281=DIRECTION('ref_axis',(0.,0.,-1.)); #440282=DIRECTION('',(0.,-1.,0.)); #440283=DIRECTION('',(0.,0.,1.)); #440284=DIRECTION('',(0.,-1.,0.)); #440285=DIRECTION('',(0.,0.,-1.)); #440286=DIRECTION('center_axis',(0.,1.,0.)); #440287=DIRECTION('ref_axis',(0.,0.,1.)); #440288=DIRECTION('center_axis',(0.,-1.,0.)); #440289=DIRECTION('ref_axis',(0.,0.,1.)); #440290=DIRECTION('',(1.,0.,0.)); #440291=DIRECTION('center_axis',(0.,-1.,0.)); #440292=DIRECTION('ref_axis',(0.,0.,-1.)); #440293=DIRECTION('',(8.673617379884E-16,0.,-1.)); #440294=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #440295=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #440296=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #440297=DIRECTION('',(1.,0.,0.)); #440298=DIRECTION('',(-1.,0.,0.)); #440299=DIRECTION('',(-1.,0.,0.)); #440300=DIRECTION('',(-1.084202172486E-15,0.,1.)); #440301=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #440302=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #440303=DIRECTION('',(8.673617379884E-16,0.,1.)); #440304=DIRECTION('center_axis',(0.,0.,1.)); #440305=DIRECTION('ref_axis',(1.,0.,0.)); #440306=DIRECTION('center_axis',(0.,-1.,0.)); #440307=DIRECTION('ref_axis',(0.,0.,-1.)); #440308=DIRECTION('center_axis',(0.,-1.,0.)); #440309=DIRECTION('ref_axis',(0.,0.,-1.)); #440310=DIRECTION('',(1.,0.,0.)); #440311=DIRECTION('center_axis',(0.,-1.,0.)); #440312=DIRECTION('ref_axis',(0.,0.,-1.)); #440313=DIRECTION('',(8.673617379884E-16,0.,1.)); #440314=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #440315=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #440316=DIRECTION('',(-1.084202172486E-15,0.,1.)); #440317=DIRECTION('',(-1.,0.,0.)); #440318=DIRECTION('',(0.,0.,1.)); #440319=DIRECTION('',(0.,0.,1.)); #440320=DIRECTION('',(-1.,0.,0.)); #440321=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #440322=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #440323=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #440324=DIRECTION('',(8.673617379884E-16,0.,-1.)); #440325=DIRECTION('center_axis',(-1.,0.,0.)); #440326=DIRECTION('ref_axis',(0.,0.,1.)); #440327=DIRECTION('',(0.,0.,1.)); #440328=DIRECTION('',(0.,-1.,0.)); #440329=DIRECTION('',(0.,0.,1.)); #440330=DIRECTION('',(0.,1.,0.)); #440331=DIRECTION('center_axis',(-1.,0.,0.)); #440332=DIRECTION('ref_axis',(0.,0.,1.)); #440333=DIRECTION('center_axis',(0.,1.,0.)); #440334=DIRECTION('ref_axis',(0.,0.,1.)); #440335=DIRECTION('',(0.,0.,1.)); #440336=DIRECTION('',(-1.,0.,0.)); #440337=DIRECTION('center_axis',(1.,0.,0.)); #440338=DIRECTION('ref_axis',(0.,0.,-1.)); #440339=DIRECTION('',(0.,0.,1.)); #440340=DIRECTION('',(0.,1.,0.)); #440341=DIRECTION('center_axis',(1.,0.,0.)); #440342=DIRECTION('ref_axis',(0.,0.,-1.)); #440343=DIRECTION('',(8.673617379884E-16,-1.,0.)); #440344=DIRECTION('center_axis',(1.,0.,1.084202172486E-15)); #440345=DIRECTION('ref_axis',(1.084202172486E-15,0.,-1.)); #440346=DIRECTION('',(0.,-1.,0.)); #440347=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #440348=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #440349=DIRECTION('',(0.,-1.,0.)); #440350=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #440351=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #440352=DIRECTION('',(0.,-1.,0.)); #440353=DIRECTION('center_axis',(1.,0.,-8.673617379884E-16)); #440354=DIRECTION('ref_axis',(-8.673617379884E-16,0.,-1.)); #440355=DIRECTION('',(0.,-1.,0.)); #440356=DIRECTION('center_axis',(0.,-1.,0.)); #440357=DIRECTION('ref_axis',(0.,0.,-1.)); #440358=DIRECTION('',(0.,-1.,0.)); #440359=DIRECTION('center_axis',(-1.,0.,1.084202172486E-15)); #440360=DIRECTION('ref_axis',(1.084202172486E-15,0.,1.)); #440361=DIRECTION('',(0.,-1.,0.)); #440362=DIRECTION('center_axis',(0.,0.,-1.)); #440363=DIRECTION('ref_axis',(-1.,0.,0.)); #440364=DIRECTION('',(0.,-1.,0.)); #440365=DIRECTION('center_axis',(0.,-1.,0.)); #440366=DIRECTION('ref_axis',(0.,0.,-1.)); #440367=DIRECTION('',(0.,-1.,0.)); #440368=DIRECTION('center_axis',(-1.,0.,-8.673617379884E-16)); #440369=DIRECTION('ref_axis',(-8.673617379884E-16,0.,1.)); #440370=DIRECTION('',(0.,-1.,0.)); #440371=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #440372=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #440373=DIRECTION('',(0.,-1.,0.)); #440374=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #440375=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #440376=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #440377=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #440378=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #440379=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #440380=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #440381=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #440382=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #440383=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #440384=DIRECTION('center_axis',(-1.,0.,0.)); #440385=DIRECTION('ref_axis',(0.,0.,1.)); #440386=DIRECTION('',(0.,0.,-1.)); #440387=DIRECTION('',(0.,-1.,0.)); #440388=DIRECTION('',(0.,0.,-1.)); #440389=DIRECTION('center_axis',(0.,-1.,0.)); #440390=DIRECTION('ref_axis',(0.,0.,-1.)); #440391=DIRECTION('',(0.,0.,-1.)); #440392=DIRECTION('',(1.,0.,0.)); #440393=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #440394=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #440395=DIRECTION('',(0.,0.,-1.)); #440396=DIRECTION('',(-1.807003620809E-16,1.,0.)); #440397=DIRECTION('center_axis',(0.,1.,0.)); #440398=DIRECTION('ref_axis',(0.,0.,1.)); #440399=DIRECTION('',(-1.,0.,0.)); #440400=DIRECTION('center_axis',(0.,0.,1.)); #440401=DIRECTION('ref_axis',(1.,0.,0.)); #440402=DIRECTION('',(1.807003620809E-16,-1.,0.)); #440403=DIRECTION('',(1.,0.,0.)); #440404=DIRECTION('',(0.,1.,0.)); #440405=DIRECTION('',(-1.,0.,0.)); #440406=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #440407=DIRECTION('ref_axis',(1.,0.,0.)); #440408=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #440409=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #440410=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #440411=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #440412=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #440413=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #440414=DIRECTION('ref_axis',(0.,-1.,0.)); #440415=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #440416=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #440417=DIRECTION('ref_axis',(-1.,0.,0.)); #440418=DIRECTION('center_axis',(-1.,0.,0.)); #440419=DIRECTION('ref_axis',(0.,0.,1.)); #440420=DIRECTION('',(0.,0.,-1.)); #440421=DIRECTION('',(0.,-1.,0.)); #440422=DIRECTION('',(0.,0.,-1.)); #440423=DIRECTION('center_axis',(0.,-1.,0.)); #440424=DIRECTION('ref_axis',(0.,0.,-1.)); #440425=DIRECTION('',(0.,0.,-1.)); #440426=DIRECTION('',(1.,0.,0.)); #440427=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #440428=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #440429=DIRECTION('',(0.,0.,-1.)); #440430=DIRECTION('',(-1.807003620809E-16,1.,0.)); #440431=DIRECTION('center_axis',(0.,1.,0.)); #440432=DIRECTION('ref_axis',(0.,0.,1.)); #440433=DIRECTION('',(-1.,0.,0.)); #440434=DIRECTION('center_axis',(0.,0.,1.)); #440435=DIRECTION('ref_axis',(1.,0.,0.)); #440436=DIRECTION('',(1.807003620809E-16,-1.,0.)); #440437=DIRECTION('',(1.,0.,0.)); #440438=DIRECTION('',(0.,1.,0.)); #440439=DIRECTION('',(-1.,0.,0.)); #440440=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #440441=DIRECTION('ref_axis',(1.,0.,0.)); #440442=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #440443=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #440444=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #440445=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #440446=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #440447=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #440448=DIRECTION('ref_axis',(0.,-1.,0.)); #440449=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #440450=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #440451=DIRECTION('ref_axis',(-1.,0.,0.)); #440452=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #440453=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #440454=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440455=DIRECTION('',(1.,0.,0.)); #440456=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440457=DIRECTION('center_axis',(0.,0.,-1.)); #440458=DIRECTION('ref_axis',(-1.,0.,0.)); #440459=DIRECTION('',(0.,-1.,0.)); #440460=DIRECTION('',(-1.,0.,0.)); #440461=DIRECTION('',(0.,1.,0.)); #440462=DIRECTION('',(1.,0.,0.)); #440463=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #440464=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #440465=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440466=DIRECTION('',(-1.,0.,0.)); #440467=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440468=DIRECTION('center_axis',(-1.,0.,0.)); #440469=DIRECTION('ref_axis',(0.,0.,1.)); #440470=DIRECTION('',(0.,1.,0.)); #440471=DIRECTION('center_axis',(-1.,0.,0.)); #440472=DIRECTION('ref_axis',(0.,0.,1.)); #440473=DIRECTION('',(0.,-1.,0.)); #440474=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #440475=DIRECTION('ref_axis',(-1.,0.,0.)); #440476=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #440477=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #440478=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #440479=DIRECTION('ref_axis',(0.,1.,0.)); #440480=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #440481=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #440482=DIRECTION('ref_axis',(0.,-1.,0.)); #440483=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #440484=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #440485=DIRECTION('ref_axis',(1.,0.,0.)); #440486=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #440487=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #440488=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440489=DIRECTION('',(1.,0.,0.)); #440490=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440491=DIRECTION('center_axis',(0.,0.,-1.)); #440492=DIRECTION('ref_axis',(-1.,0.,0.)); #440493=DIRECTION('',(0.,-1.,0.)); #440494=DIRECTION('',(-1.,0.,0.)); #440495=DIRECTION('',(0.,1.,0.)); #440496=DIRECTION('',(1.,0.,0.)); #440497=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #440498=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #440499=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440500=DIRECTION('',(-1.,0.,0.)); #440501=DIRECTION('',(0.,4.015563601798E-17,-1.)); #440502=DIRECTION('center_axis',(-1.,0.,0.)); #440503=DIRECTION('ref_axis',(0.,0.,1.)); #440504=DIRECTION('',(0.,1.,0.)); #440505=DIRECTION('center_axis',(-1.,0.,0.)); #440506=DIRECTION('ref_axis',(0.,0.,1.)); #440507=DIRECTION('',(0.,-1.,0.)); #440508=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #440509=DIRECTION('ref_axis',(-1.,0.,0.)); #440510=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #440511=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #440512=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #440513=DIRECTION('ref_axis',(0.,1.,0.)); #440514=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #440515=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #440516=DIRECTION('ref_axis',(0.,-1.,0.)); #440517=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #440518=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #440519=DIRECTION('ref_axis',(1.,0.,0.)); #440520=DIRECTION('center_axis',(0.,1.,0.)); #440521=DIRECTION('ref_axis',(0.,0.,1.)); #440522=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #440523=DIRECTION('',(-1.,0.,0.)); #440524=DIRECTION('center_axis',(0.,0.,1.)); #440525=DIRECTION('ref_axis',(1.,0.,0.)); #440526=DIRECTION('',(1.,0.,0.)); #440527=DIRECTION('center_axis',(-2.710505431214E-16,1.,0.)); #440528=DIRECTION('ref_axis',(-1.,-2.710505431214E-16,0.)); #440529=DIRECTION('',(-0.707106781186548,-1.91661677085277E-16,0.707106781186548)); #440530=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #440531=DIRECTION('center_axis',(0.,0.,1.)); #440532=DIRECTION('ref_axis',(1.,0.,0.)); #440533=DIRECTION('',(1.,0.,0.)); #440534=DIRECTION('center_axis',(1.,0.,0.)); #440535=DIRECTION('ref_axis',(0.,0.,-1.)); #440536=DIRECTION('center_axis',(1.,0.,0.)); #440537=DIRECTION('ref_axis',(0.,0.,-1.)); #440538=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #440539=DIRECTION('ref_axis',(0.,-1.,0.)); #440540=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #440541=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #440542=DIRECTION('ref_axis',(1.,0.,0.)); #440543=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #440544=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #440545=DIRECTION('ref_axis',(0.,1.,0.)); #440546=DIRECTION('',(0.,0.,1.)); #440547=DIRECTION('',(1.,0.,0.)); #440548=DIRECTION('axis',(0.,0.,1.)); #440549=DIRECTION('refdir',(1.,0.,0.)); #440550=DIRECTION('axis',(0.,0.,1.)); #440551=DIRECTION('refdir',(1.,0.,0.)); #440552=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #440553=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #440554=DIRECTION('center_axis',(0.,-1.,0.)); #440555=DIRECTION('ref_axis',(-1.,0.,0.)); #440556=DIRECTION('center_axis',(0.,0.,1.)); #440557=DIRECTION('ref_axis',(0.,-1.,0.)); #440558=DIRECTION('center_axis',(-1.,0.,0.)); #440559=DIRECTION('ref_axis',(0.,0.,1.)); #440560=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #440561=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #440562=DIRECTION('center_axis',(1.,0.,0.)); #440563=DIRECTION('ref_axis',(0.,-1.,0.)); #440564=DIRECTION('center_axis',(0.,0.,1.)); #440565=DIRECTION('ref_axis',(1.,0.,0.)); #440566=DIRECTION('center_axis',(0.,-1.,0.)); #440567=DIRECTION('ref_axis',(0.,0.,1.)); #440568=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #440569=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,-0.577350269189626)); #440570=DIRECTION('center_axis',(0.,0.,-1.)); #440571=DIRECTION('ref_axis',(-1.,0.,0.)); #440572=DIRECTION('center_axis',(0.,-1.,0.)); #440573=DIRECTION('ref_axis',(0.,0.,-1.)); #440574=DIRECTION('center_axis',(-1.,0.,0.)); #440575=DIRECTION('ref_axis',(0.,-1.,0.)); #440576=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #440577=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #440578=DIRECTION('center_axis',(-1.,0.,0.)); #440579=DIRECTION('ref_axis',(0.,1.,0.)); #440580=DIRECTION('center_axis',(0.,0.,1.)); #440581=DIRECTION('ref_axis',(-1.,0.,0.)); #440582=DIRECTION('center_axis',(0.,1.,0.)); #440583=DIRECTION('ref_axis',(0.,0.,1.)); #440584=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #440585=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189625,-0.577350269189626)); #440586=DIRECTION('center_axis',(0.,0.,-1.)); #440587=DIRECTION('ref_axis',(0.,-1.,0.)); #440588=DIRECTION('center_axis',(1.,0.,0.)); #440589=DIRECTION('ref_axis',(0.,0.,-1.)); #440590=DIRECTION('center_axis',(0.,-1.,0.)); #440591=DIRECTION('ref_axis',(1.,0.,0.)); #440592=DIRECTION('center_axis',(1.,0.,0.)); #440593=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #440594=DIRECTION('',(-1.,0.,0.)); #440595=DIRECTION('',(1.,0.,0.)); #440596=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726)); #440597=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,0.577350269189625)); #440598=DIRECTION('center_axis',(0.,1.,0.)); #440599=DIRECTION('ref_axis',(1.,0.,0.)); #440600=DIRECTION('center_axis',(0.,0.,1.)); #440601=DIRECTION('ref_axis',(0.,1.,0.)); #440602=DIRECTION('center_axis',(1.,0.,0.)); #440603=DIRECTION('ref_axis',(0.,0.,1.)); #440604=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #440605=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,-0.577350269189625)); #440606=DIRECTION('center_axis',(-1.,0.,0.)); #440607=DIRECTION('ref_axis',(0.,0.,-1.)); #440608=DIRECTION('center_axis',(0.,1.,0.)); #440609=DIRECTION('ref_axis',(-1.,0.,0.)); #440610=DIRECTION('center_axis',(0.,0.,-1.)); #440611=DIRECTION('ref_axis',(0.,1.,0.)); #440612=DIRECTION('center_axis',(0.,-1.,0.)); #440613=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #440614=DIRECTION('',(0.,1.,0.)); #440615=DIRECTION('',(0.,-1.,0.)); #440616=DIRECTION('center_axis',(0.,0.,1.)); #440617=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440618=DIRECTION('',(0.,0.,-1.)); #440619=DIRECTION('',(0.,0.,1.)); #440620=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #440621=DIRECTION('ref_axis',(0.577350269189626,0.577350269189625,-0.577350269189626)); #440622=DIRECTION('center_axis',(0.,0.,-1.)); #440623=DIRECTION('ref_axis',(1.,0.,0.)); #440624=DIRECTION('center_axis',(0.,1.,0.)); #440625=DIRECTION('ref_axis',(0.,0.,-1.)); #440626=DIRECTION('center_axis',(1.,0.,0.)); #440627=DIRECTION('ref_axis',(0.,1.,0.)); #440628=DIRECTION('center_axis',(0.,-1.,0.)); #440629=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #440630=DIRECTION('',(0.,1.,0.)); #440631=DIRECTION('',(0.,-1.,0.)); #440632=DIRECTION('center_axis',(-1.,0.,0.)); #440633=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #440634=DIRECTION('',(1.,0.,0.)); #440635=DIRECTION('',(-1.,0.,0.)); #440636=DIRECTION('center_axis',(0.,0.,1.)); #440637=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #440638=DIRECTION('',(0.,0.,-1.)); #440639=DIRECTION('',(0.,0.,1.)); #440640=DIRECTION('center_axis',(-1.,0.,0.)); #440641=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #440642=DIRECTION('',(1.,0.,0.)); #440643=DIRECTION('',(-1.,0.,0.)); #440644=DIRECTION('center_axis',(0.,1.,0.)); #440645=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #440646=DIRECTION('',(0.,-1.,0.)); #440647=DIRECTION('',(0.,1.,0.)); #440648=DIRECTION('center_axis',(0.,0.,1.)); #440649=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440650=DIRECTION('',(0.,0.,-1.)); #440651=DIRECTION('',(0.,0.,1.)); #440652=DIRECTION('center_axis',(0.,-1.,0.)); #440653=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #440654=DIRECTION('',(0.,1.,0.)); #440655=DIRECTION('',(0.,-1.,0.)); #440656=DIRECTION('center_axis',(0.,0.,1.)); #440657=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #440658=DIRECTION('',(0.,0.,-1.)); #440659=DIRECTION('',(0.,0.,1.)); #440660=DIRECTION('center_axis',(1.,0.,0.)); #440661=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #440662=DIRECTION('',(-1.,0.,0.)); #440663=DIRECTION('',(1.,0.,0.)); #440664=DIRECTION('center_axis',(0.,-1.,0.)); #440665=DIRECTION('ref_axis',(1.,0.,0.)); #440666=DIRECTION('center_axis',(0.,0.,1.)); #440667=DIRECTION('ref_axis',(1.,0.,0.)); #440668=DIRECTION('center_axis',(-1.,0.,0.)); #440669=DIRECTION('ref_axis',(0.,-1.,0.)); #440670=DIRECTION('center_axis',(0.,1.,0.)); #440671=DIRECTION('ref_axis',(-1.,0.,0.)); #440672=DIRECTION('center_axis',(1.,0.,0.)); #440673=DIRECTION('ref_axis',(0.,1.,0.)); #440674=DIRECTION('center_axis',(0.,0.,1.)); #440675=DIRECTION('ref_axis',(1.,0.,0.)); #440676=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463863, -0.816496580927726)); #440677=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,0.577350269189625)); #440678=DIRECTION('center_axis',(0.,-1.,0.)); #440679=DIRECTION('ref_axis',(-1.,0.,0.)); #440680=DIRECTION('center_axis',(0.,0.,1.)); #440681=DIRECTION('ref_axis',(0.,-1.,0.)); #440682=DIRECTION('center_axis',(-1.,0.,0.)); #440683=DIRECTION('ref_axis',(0.,0.,1.)); #440684=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #440685=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #440686=DIRECTION('center_axis',(1.,0.,0.)); #440687=DIRECTION('ref_axis',(0.,-1.,0.)); #440688=DIRECTION('center_axis',(0.,0.,1.)); #440689=DIRECTION('ref_axis',(1.,0.,0.)); #440690=DIRECTION('center_axis',(0.,-1.,0.)); #440691=DIRECTION('ref_axis',(0.,0.,1.)); #440692=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #440693=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189625,-0.577350269189626)); #440694=DIRECTION('center_axis',(0.,0.,-1.)); #440695=DIRECTION('ref_axis',(-1.,0.,0.)); #440696=DIRECTION('center_axis',(0.,-1.,0.)); #440697=DIRECTION('ref_axis',(0.,0.,-1.)); #440698=DIRECTION('center_axis',(-1.,0.,0.)); #440699=DIRECTION('ref_axis',(0.,-1.,0.)); #440700=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #440701=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #440702=DIRECTION('center_axis',(-1.,0.,0.)); #440703=DIRECTION('ref_axis',(0.,1.,0.)); #440704=DIRECTION('center_axis',(0.,0.,1.)); #440705=DIRECTION('ref_axis',(-1.,0.,0.)); #440706=DIRECTION('center_axis',(0.,1.,0.)); #440707=DIRECTION('ref_axis',(0.,0.,1.)); #440708=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #440709=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,-0.577350269189626)); #440710=DIRECTION('center_axis',(0.,0.,-1.)); #440711=DIRECTION('ref_axis',(0.,-1.,0.)); #440712=DIRECTION('center_axis',(1.,0.,0.)); #440713=DIRECTION('ref_axis',(0.,0.,-1.)); #440714=DIRECTION('center_axis',(0.,-1.,0.)); #440715=DIRECTION('ref_axis',(1.,0.,0.)); #440716=DIRECTION('center_axis',(1.,0.,0.)); #440717=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #440718=DIRECTION('',(-1.,0.,0.)); #440719=DIRECTION('',(1.,0.,0.)); #440720=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #440721=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #440722=DIRECTION('center_axis',(0.,1.,0.)); #440723=DIRECTION('ref_axis',(1.,0.,0.)); #440724=DIRECTION('center_axis',(0.,0.,1.)); #440725=DIRECTION('ref_axis',(0.,1.,0.)); #440726=DIRECTION('center_axis',(1.,0.,0.)); #440727=DIRECTION('ref_axis',(0.,0.,1.)); #440728=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #440729=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #440730=DIRECTION('center_axis',(-1.,0.,0.)); #440731=DIRECTION('ref_axis',(0.,0.,-1.)); #440732=DIRECTION('center_axis',(0.,1.,0.)); #440733=DIRECTION('ref_axis',(-1.,0.,0.)); #440734=DIRECTION('center_axis',(0.,0.,-1.)); #440735=DIRECTION('ref_axis',(0.,1.,0.)); #440736=DIRECTION('center_axis',(0.,-1.,0.)); #440737=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #440738=DIRECTION('',(0.,1.,0.)); #440739=DIRECTION('',(0.,-1.,0.)); #440740=DIRECTION('center_axis',(0.,0.,1.)); #440741=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #440742=DIRECTION('',(0.,0.,-1.)); #440743=DIRECTION('',(0.,0.,1.)); #440744=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #440745=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,-0.577350269189626)); #440746=DIRECTION('center_axis',(0.,0.,-1.)); #440747=DIRECTION('ref_axis',(1.,0.,0.)); #440748=DIRECTION('center_axis',(0.,1.,0.)); #440749=DIRECTION('ref_axis',(0.,0.,-1.)); #440750=DIRECTION('center_axis',(1.,0.,0.)); #440751=DIRECTION('ref_axis',(0.,1.,0.)); #440752=DIRECTION('center_axis',(0.,1.,0.)); #440753=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #440754=DIRECTION('',(0.,-1.,0.)); #440755=DIRECTION('',(0.,1.,0.)); #440756=DIRECTION('center_axis',(-1.,0.,0.)); #440757=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #440758=DIRECTION('',(1.,0.,0.)); #440759=DIRECTION('',(-1.,0.,0.)); #440760=DIRECTION('center_axis',(0.,0.,1.)); #440761=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #440762=DIRECTION('',(0.,0.,-1.)); #440763=DIRECTION('',(0.,0.,1.)); #440764=DIRECTION('center_axis',(-1.,0.,0.)); #440765=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #440766=DIRECTION('',(1.,0.,0.)); #440767=DIRECTION('',(-1.,0.,0.)); #440768=DIRECTION('center_axis',(0.,1.,0.)); #440769=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #440770=DIRECTION('',(0.,-1.,0.)); #440771=DIRECTION('',(0.,1.,0.)); #440772=DIRECTION('center_axis',(0.,0.,1.)); #440773=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #440774=DIRECTION('',(0.,0.,-1.)); #440775=DIRECTION('',(0.,0.,1.)); #440776=DIRECTION('center_axis',(0.,-1.,0.)); #440777=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #440778=DIRECTION('',(0.,1.,0.)); #440779=DIRECTION('',(0.,-1.,0.)); #440780=DIRECTION('center_axis',(0.,0.,1.)); #440781=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #440782=DIRECTION('',(0.,0.,-1.)); #440783=DIRECTION('',(0.,0.,1.)); #440784=DIRECTION('center_axis',(1.,0.,0.)); #440785=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #440786=DIRECTION('',(-1.,0.,0.)); #440787=DIRECTION('',(1.,0.,0.)); #440788=DIRECTION('center_axis',(0.,-1.,0.)); #440789=DIRECTION('ref_axis',(1.,0.,0.)); #440790=DIRECTION('center_axis',(0.,0.,1.)); #440791=DIRECTION('ref_axis',(1.,0.,0.)); #440792=DIRECTION('center_axis',(-1.,0.,0.)); #440793=DIRECTION('ref_axis',(0.,-1.,0.)); #440794=DIRECTION('center_axis',(0.,1.,0.)); #440795=DIRECTION('ref_axis',(-1.,0.,0.)); #440796=DIRECTION('center_axis',(1.,0.,0.)); #440797=DIRECTION('ref_axis',(0.,1.,0.)); #440798=DIRECTION('center_axis',(0.,0.,1.)); #440799=DIRECTION('ref_axis',(1.,0.,0.)); #440800=DIRECTION('center_axis',(1.,0.,0.)); #440801=DIRECTION('ref_axis',(0.,1.,0.)); #440802=DIRECTION('',(0.,-1.,0.)); #440803=DIRECTION('',(0.,0.,1.)); #440804=DIRECTION('',(0.,1.,0.)); #440805=DIRECTION('',(0.,0.,1.)); #440806=DIRECTION('center_axis',(0.,-1.,0.)); #440807=DIRECTION('ref_axis',(1.,0.,0.)); #440808=DIRECTION('',(1.,0.,0.)); #440809=DIRECTION('',(1.,0.,0.)); #440810=DIRECTION('',(0.,0.,1.)); #440811=DIRECTION('center_axis',(-1.,0.,0.)); #440812=DIRECTION('ref_axis',(0.,-1.,0.)); #440813=DIRECTION('',(0.,-1.,0.)); #440814=DIRECTION('',(0.,-1.,0.)); #440815=DIRECTION('',(0.,0.,1.)); #440816=DIRECTION('center_axis',(0.,1.,0.)); #440817=DIRECTION('ref_axis',(-1.,0.,0.)); #440818=DIRECTION('',(-1.,0.,0.)); #440819=DIRECTION('',(-1.,0.,0.)); #440820=DIRECTION('center_axis',(0.,0.,1.)); #440821=DIRECTION('ref_axis',(1.,0.,0.)); #440822=DIRECTION('center_axis',(0.,0.,1.)); #440823=DIRECTION('ref_axis',(1.,0.,0.)); #440824=DIRECTION('',(0.,0.,1.)); #440825=DIRECTION('',(1.,0.,0.)); #440826=DIRECTION('axis',(0.,0.,1.)); #440827=DIRECTION('refdir',(1.,0.,0.)); #440828=DIRECTION('axis',(0.,0.,1.)); #440829=DIRECTION('refdir',(1.,0.,0.)); #440830=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,-0.408248290463862)); #440831=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #440832=DIRECTION('center_axis',(0.,-1.,0.)); #440833=DIRECTION('ref_axis',(-1.,0.,0.)); #440834=DIRECTION('center_axis',(0.,0.,1.)); #440835=DIRECTION('ref_axis',(0.,-1.,0.)); #440836=DIRECTION('center_axis',(-1.,0.,0.)); #440837=DIRECTION('ref_axis',(0.,0.,1.)); #440838=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, 0.408248290463864)); #440839=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #440840=DIRECTION('center_axis',(1.,0.,0.)); #440841=DIRECTION('ref_axis',(0.,-1.,0.)); #440842=DIRECTION('center_axis',(0.,0.,1.)); #440843=DIRECTION('ref_axis',(1.,0.,0.)); #440844=DIRECTION('center_axis',(0.,-1.,0.)); #440845=DIRECTION('ref_axis',(0.,0.,1.)); #440846=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #440847=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #440848=DIRECTION('center_axis',(0.,0.,-1.)); #440849=DIRECTION('ref_axis',(-1.,0.,0.)); #440850=DIRECTION('center_axis',(0.,-1.,0.)); #440851=DIRECTION('ref_axis',(0.,0.,-1.)); #440852=DIRECTION('center_axis',(-1.,0.,0.)); #440853=DIRECTION('ref_axis',(0.,-1.,0.)); #440854=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, -0.408248290463862)); #440855=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189628,0.577350269189625)); #440856=DIRECTION('center_axis',(-1.,0.,0.)); #440857=DIRECTION('ref_axis',(0.,1.,0.)); #440858=DIRECTION('center_axis',(0.,0.,1.)); #440859=DIRECTION('ref_axis',(-1.,0.,0.)); #440860=DIRECTION('center_axis',(0.,1.,0.)); #440861=DIRECTION('ref_axis',(0.,0.,1.)); #440862=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #440863=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #440864=DIRECTION('center_axis',(0.,0.,-1.)); #440865=DIRECTION('ref_axis',(0.,-1.,0.)); #440866=DIRECTION('center_axis',(1.,0.,0.)); #440867=DIRECTION('ref_axis',(0.,0.,-1.)); #440868=DIRECTION('center_axis',(0.,-1.,0.)); #440869=DIRECTION('ref_axis',(1.,0.,0.)); #440870=DIRECTION('center_axis',(1.,0.,0.)); #440871=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #440872=DIRECTION('',(-1.,0.,0.)); #440873=DIRECTION('',(1.,0.,0.)); #440874=DIRECTION('center_axis',(0.408248290463863,0.408248290463862,-0.816496580927727)); #440875=DIRECTION('ref_axis',(0.577350269189628,0.577350269189626,0.577350269189623)); #440876=DIRECTION('center_axis',(0.,1.,0.)); #440877=DIRECTION('ref_axis',(1.,0.,0.)); #440878=DIRECTION('center_axis',(0.,0.,1.)); #440879=DIRECTION('ref_axis',(0.,1.,0.)); #440880=DIRECTION('center_axis',(1.,2.16840434497101E-15,0.)); #440881=DIRECTION('ref_axis',(0.,0.,1.)); #440882=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #440883=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189626,-0.577350269189627)); #440884=DIRECTION('center_axis',(-1.,0.,0.)); #440885=DIRECTION('ref_axis',(0.,0.,-1.)); #440886=DIRECTION('center_axis',(0.,1.,0.)); #440887=DIRECTION('ref_axis',(-1.,0.,0.)); #440888=DIRECTION('center_axis',(0.,0.,-1.)); #440889=DIRECTION('ref_axis',(0.,1.,0.)); #440890=DIRECTION('center_axis',(0.,-1.,0.)); #440891=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #440892=DIRECTION('',(0.,1.,0.)); #440893=DIRECTION('',(0.,-1.,0.)); #440894=DIRECTION('center_axis',(0.,0.,1.)); #440895=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186551,0.)); #440896=DIRECTION('',(0.,0.,-1.)); #440897=DIRECTION('',(0.,0.,1.)); #440898=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #440899=DIRECTION('ref_axis',(0.577350269189625,0.577350269189626,-0.577350269189626)); #440900=DIRECTION('center_axis',(0.,0.,-1.)); #440901=DIRECTION('ref_axis',(1.,0.,0.)); #440902=DIRECTION('center_axis',(0.,1.,0.)); #440903=DIRECTION('ref_axis',(0.,0.,-1.)); #440904=DIRECTION('center_axis',(1.,0.,2.16840434497101E-15)); #440905=DIRECTION('ref_axis',(0.,1.,0.)); #440906=DIRECTION('center_axis',(0.,-1.,0.)); #440907=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #440908=DIRECTION('',(0.,1.,0.)); #440909=DIRECTION('',(0.,-1.,0.)); #440910=DIRECTION('center_axis',(-1.,0.,0.)); #440911=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #440912=DIRECTION('',(1.,0.,0.)); #440913=DIRECTION('',(-1.,0.,0.)); #440914=DIRECTION('center_axis',(0.,0.,1.)); #440915=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #440916=DIRECTION('',(0.,0.,-1.)); #440917=DIRECTION('',(0.,0.,1.)); #440918=DIRECTION('center_axis',(-1.,0.,0.)); #440919=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #440920=DIRECTION('',(1.,0.,0.)); #440921=DIRECTION('',(-1.,0.,0.)); #440922=DIRECTION('center_axis',(0.,1.,0.)); #440923=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #440924=DIRECTION('',(0.,-1.,0.)); #440925=DIRECTION('',(0.,1.,0.)); #440926=DIRECTION('center_axis',(0.,0.,1.)); #440927=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #440928=DIRECTION('',(0.,0.,-1.)); #440929=DIRECTION('',(0.,0.,1.)); #440930=DIRECTION('center_axis',(0.,-1.,0.)); #440931=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #440932=DIRECTION('',(0.,1.,0.)); #440933=DIRECTION('',(0.,-1.,0.)); #440934=DIRECTION('center_axis',(0.,0.,1.)); #440935=DIRECTION('ref_axis',(-0.707106781186545,-0.707106781186551,0.)); #440936=DIRECTION('',(0.,0.,-1.)); #440937=DIRECTION('',(0.,0.,1.)); #440938=DIRECTION('center_axis',(1.,0.,0.)); #440939=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #440940=DIRECTION('',(-1.,0.,0.)); #440941=DIRECTION('',(1.,0.,0.)); #440942=DIRECTION('center_axis',(0.,-1.,0.)); #440943=DIRECTION('ref_axis',(1.,0.,0.)); #440944=DIRECTION('center_axis',(0.,0.,1.)); #440945=DIRECTION('ref_axis',(1.,0.,0.)); #440946=DIRECTION('center_axis',(-1.,0.,0.)); #440947=DIRECTION('ref_axis',(0.,-1.,0.)); #440948=DIRECTION('center_axis',(0.,1.,0.)); #440949=DIRECTION('ref_axis',(-1.,0.,0.)); #440950=DIRECTION('center_axis',(1.,0.,0.)); #440951=DIRECTION('ref_axis',(0.,1.,0.)); #440952=DIRECTION('center_axis',(0.,0.,1.)); #440953=DIRECTION('ref_axis',(1.,0.,0.)); #440954=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463862, -0.816496580927727)); #440955=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189626,0.577350269189623)); #440956=DIRECTION('center_axis',(0.,-1.,0.)); #440957=DIRECTION('ref_axis',(-1.,0.,0.)); #440958=DIRECTION('center_axis',(0.,0.,1.)); #440959=DIRECTION('ref_axis',(0.,-1.,0.)); #440960=DIRECTION('center_axis',(-1.,-2.16840434497101E-15,0.)); #440961=DIRECTION('ref_axis',(0.,0.,1.)); #440962=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, 0.408248290463862)); #440963=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189628,0.577350269189625)); #440964=DIRECTION('center_axis',(1.,0.,0.)); #440965=DIRECTION('ref_axis',(0.,-1.,0.)); #440966=DIRECTION('center_axis',(0.,0.,1.)); #440967=DIRECTION('ref_axis',(1.,0.,0.)); #440968=DIRECTION('center_axis',(0.,-1.,0.)); #440969=DIRECTION('ref_axis',(0.,0.,1.)); #440970=DIRECTION('center_axis',(-0.816496580927728,0.408248290463861,0.408248290463861)); #440971=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627)); #440972=DIRECTION('center_axis',(0.,0.,-1.)); #440973=DIRECTION('ref_axis',(-1.,0.,0.)); #440974=DIRECTION('center_axis',(0.,-1.,0.)); #440975=DIRECTION('ref_axis',(0.,0.,-1.)); #440976=DIRECTION('center_axis',(-1.,0.,4.33680868994202E-15)); #440977=DIRECTION('ref_axis',(0.,-1.,0.)); #440978=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463864)); #440979=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #440980=DIRECTION('center_axis',(-1.,0.,0.)); #440981=DIRECTION('ref_axis',(0.,1.,0.)); #440982=DIRECTION('center_axis',(0.,0.,1.)); #440983=DIRECTION('ref_axis',(-1.,0.,0.)); #440984=DIRECTION('center_axis',(0.,1.,0.)); #440985=DIRECTION('ref_axis',(0.,0.,1.)); #440986=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #440987=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #440988=DIRECTION('center_axis',(0.,0.,-1.)); #440989=DIRECTION('ref_axis',(0.,-1.,0.)); #440990=DIRECTION('center_axis',(1.,0.,0.)); #440991=DIRECTION('ref_axis',(0.,0.,-1.)); #440992=DIRECTION('center_axis',(0.,-1.,0.)); #440993=DIRECTION('ref_axis',(1.,0.,0.)); #440994=DIRECTION('center_axis',(1.,0.,0.)); #440995=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #440996=DIRECTION('',(-1.,0.,0.)); #440997=DIRECTION('',(1.,0.,0.)); #440998=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,0.408248290463862)); #440999=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #441000=DIRECTION('center_axis',(0.,1.,0.)); #441001=DIRECTION('ref_axis',(1.,0.,0.)); #441002=DIRECTION('center_axis',(0.,0.,1.)); #441003=DIRECTION('ref_axis',(0.,1.,0.)); #441004=DIRECTION('center_axis',(1.,0.,0.)); #441005=DIRECTION('ref_axis',(0.,0.,1.)); #441006=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #441007=DIRECTION('ref_axis',(-0.577350269189624,0.577350269189627,-0.577350269189627)); #441008=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #441009=DIRECTION('ref_axis',(0.,0.,-1.)); #441010=DIRECTION('center_axis',(0.,1.,0.)); #441011=DIRECTION('ref_axis',(-1.,0.,0.)); #441012=DIRECTION('center_axis',(0.,0.,-1.)); #441013=DIRECTION('ref_axis',(0.,1.,0.)); #441014=DIRECTION('center_axis',(0.,-1.,0.)); #441015=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #441016=DIRECTION('',(0.,1.,0.)); #441017=DIRECTION('',(0.,-1.,0.)); #441018=DIRECTION('center_axis',(0.,0.,1.)); #441019=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #441020=DIRECTION('',(0.,0.,-1.)); #441021=DIRECTION('',(0.,0.,1.)); #441022=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #441023=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189626)); #441024=DIRECTION('center_axis',(0.,0.,-1.)); #441025=DIRECTION('ref_axis',(1.,0.,0.)); #441026=DIRECTION('center_axis',(0.,1.,0.)); #441027=DIRECTION('ref_axis',(0.,0.,-1.)); #441028=DIRECTION('center_axis',(1.,0.,0.)); #441029=DIRECTION('ref_axis',(0.,1.,0.)); #441030=DIRECTION('center_axis',(0.,1.,0.)); #441031=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #441032=DIRECTION('',(0.,-1.,0.)); #441033=DIRECTION('',(0.,1.,0.)); #441034=DIRECTION('center_axis',(-1.,0.,0.)); #441035=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #441036=DIRECTION('',(1.,0.,0.)); #441037=DIRECTION('',(-1.,0.,0.)); #441038=DIRECTION('center_axis',(0.,0.,1.)); #441039=DIRECTION('ref_axis',(0.707106781186545,0.707106781186551,0.)); #441040=DIRECTION('',(0.,0.,-1.)); #441041=DIRECTION('',(0.,0.,1.)); #441042=DIRECTION('center_axis',(-1.,0.,0.)); #441043=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #441044=DIRECTION('',(1.,0.,0.)); #441045=DIRECTION('',(-1.,0.,0.)); #441046=DIRECTION('center_axis',(0.,1.,0.)); #441047=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #441048=DIRECTION('',(0.,-1.,0.)); #441049=DIRECTION('',(0.,1.,0.)); #441050=DIRECTION('center_axis',(0.,0.,1.)); #441051=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186551,0.)); #441052=DIRECTION('',(0.,0.,-1.)); #441053=DIRECTION('',(0.,0.,1.)); #441054=DIRECTION('center_axis',(0.,-1.,0.)); #441055=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #441056=DIRECTION('',(0.,1.,0.)); #441057=DIRECTION('',(0.,-1.,0.)); #441058=DIRECTION('center_axis',(0.,0.,1.)); #441059=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #441060=DIRECTION('',(0.,0.,-1.)); #441061=DIRECTION('',(0.,0.,1.)); #441062=DIRECTION('center_axis',(1.,0.,0.)); #441063=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #441064=DIRECTION('',(-1.,0.,0.)); #441065=DIRECTION('',(1.,0.,0.)); #441066=DIRECTION('center_axis',(0.,-1.,0.)); #441067=DIRECTION('ref_axis',(1.,0.,0.)); #441068=DIRECTION('center_axis',(0.,0.,1.)); #441069=DIRECTION('ref_axis',(1.,0.,0.)); #441070=DIRECTION('center_axis',(-1.,0.,0.)); #441071=DIRECTION('ref_axis',(0.,-1.,0.)); #441072=DIRECTION('center_axis',(0.,1.,0.)); #441073=DIRECTION('ref_axis',(-1.,0.,0.)); #441074=DIRECTION('center_axis',(1.,0.,0.)); #441075=DIRECTION('ref_axis',(0.,1.,0.)); #441076=DIRECTION('center_axis',(0.,0.,1.)); #441077=DIRECTION('ref_axis',(1.,0.,0.)); #441078=DIRECTION('center_axis',(1.,0.,0.)); #441079=DIRECTION('ref_axis',(0.,1.,0.)); #441080=DIRECTION('',(0.,-1.,0.)); #441081=DIRECTION('',(0.,0.,1.)); #441082=DIRECTION('',(0.,1.,0.)); #441083=DIRECTION('',(0.,0.,1.)); #441084=DIRECTION('center_axis',(0.,-1.,0.)); #441085=DIRECTION('ref_axis',(1.,0.,0.)); #441086=DIRECTION('',(1.,0.,0.)); #441087=DIRECTION('',(1.,0.,0.)); #441088=DIRECTION('',(0.,0.,1.)); #441089=DIRECTION('center_axis',(-1.,0.,0.)); #441090=DIRECTION('ref_axis',(0.,-1.,0.)); #441091=DIRECTION('',(0.,-1.,0.)); #441092=DIRECTION('',(0.,-1.,0.)); #441093=DIRECTION('',(0.,0.,1.)); #441094=DIRECTION('center_axis',(0.,1.,0.)); #441095=DIRECTION('ref_axis',(-1.,0.,0.)); #441096=DIRECTION('',(-1.,0.,0.)); #441097=DIRECTION('',(-1.,0.,0.)); #441098=DIRECTION('center_axis',(0.,0.,1.)); #441099=DIRECTION('ref_axis',(1.,0.,0.)); #441100=DIRECTION('center_axis',(0.,0.,1.)); #441101=DIRECTION('ref_axis',(1.,0.,0.)); #441102=DIRECTION('',(0.,0.,1.)); #441103=DIRECTION('',(1.,0.,0.)); #441104=DIRECTION('axis',(0.,0.,1.)); #441105=DIRECTION('refdir',(1.,0.,0.)); #441106=DIRECTION('axis',(0.,0.,1.)); #441107=DIRECTION('refdir',(1.,0.,0.)); #441108=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863)); #441109=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189624,0.577350269189624)); #441110=DIRECTION('center_axis',(0.,-1.,0.)); #441111=DIRECTION('ref_axis',(-1.,0.,0.)); #441112=DIRECTION('center_axis',(0.,0.,1.)); #441113=DIRECTION('ref_axis',(0.,-1.,0.)); #441114=DIRECTION('center_axis',(-1.,0.,0.)); #441115=DIRECTION('ref_axis',(0.,0.,1.)); #441116=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #441117=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #441118=DIRECTION('center_axis',(1.,0.,0.)); #441119=DIRECTION('ref_axis',(0.,-1.,0.)); #441120=DIRECTION('center_axis',(0.,0.,1.)); #441121=DIRECTION('ref_axis',(1.,0.,0.)); #441122=DIRECTION('center_axis',(0.,-1.,0.)); #441123=DIRECTION('ref_axis',(0.,0.,1.)); #441124=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,0.408248290463854)); #441125=DIRECTION('ref_axis',(-0.577350269189618,-0.577350269189635,-0.577350269189624)); #441126=DIRECTION('center_axis',(0.,0.,-1.)); #441127=DIRECTION('ref_axis',(-1.,0.,0.)); #441128=DIRECTION('center_axis',(0.,-1.,0.)); #441129=DIRECTION('ref_axis',(0.,0.,-1.)); #441130=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #441131=DIRECTION('ref_axis',(0.,-1.,0.)); #441132=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #441133=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #441134=DIRECTION('center_axis',(-1.,0.,0.)); #441135=DIRECTION('ref_axis',(0.,1.,0.)); #441136=DIRECTION('center_axis',(0.,0.,1.)); #441137=DIRECTION('ref_axis',(-1.,0.,0.)); #441138=DIRECTION('center_axis',(0.,1.,0.)); #441139=DIRECTION('ref_axis',(0.,0.,1.)); #441140=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #441141=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #441142=DIRECTION('center_axis',(0.,0.,-1.)); #441143=DIRECTION('ref_axis',(0.,-1.,0.)); #441144=DIRECTION('center_axis',(1.,0.,0.)); #441145=DIRECTION('ref_axis',(0.,0.,-1.)); #441146=DIRECTION('center_axis',(0.,-1.,0.)); #441147=DIRECTION('ref_axis',(1.,0.,0.)); #441148=DIRECTION('center_axis',(1.,0.,0.)); #441149=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #441150=DIRECTION('',(-1.,0.,0.)); #441151=DIRECTION('',(1.,0.,0.)); #441152=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,0.408248290463864)); #441153=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #441154=DIRECTION('center_axis',(0.,1.,0.)); #441155=DIRECTION('ref_axis',(1.,0.,0.)); #441156=DIRECTION('center_axis',(0.,0.,1.)); #441157=DIRECTION('ref_axis',(0.,1.,0.)); #441158=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #441159=DIRECTION('ref_axis',(0.,0.,1.)); #441160=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #441161=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #441162=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #441163=DIRECTION('ref_axis',(0.,0.,-1.)); #441164=DIRECTION('center_axis',(0.,1.,0.)); #441165=DIRECTION('ref_axis',(-1.,0.,0.)); #441166=DIRECTION('center_axis',(0.,0.,-1.)); #441167=DIRECTION('ref_axis',(0.,1.,0.)); #441168=DIRECTION('center_axis',(0.,-1.,0.)); #441169=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #441170=DIRECTION('',(0.,1.,0.)); #441171=DIRECTION('',(0.,-1.,0.)); #441172=DIRECTION('center_axis',(0.,0.,1.)); #441173=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441174=DIRECTION('',(0.,0.,-1.)); #441175=DIRECTION('',(0.,0.,1.)); #441176=DIRECTION('center_axis',(-0.816496580927728,0.408248290463864,-0.408248290463859)); #441177=DIRECTION('ref_axis',(0.577350269189625,0.57735026918963,-0.577350269189622)); #441178=DIRECTION('center_axis',(0.,0.,-1.)); #441179=DIRECTION('ref_axis',(1.,0.,0.)); #441180=DIRECTION('center_axis',(0.,1.,0.)); #441181=DIRECTION('ref_axis',(0.,0.,-1.)); #441182=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #441183=DIRECTION('ref_axis',(0.,1.,0.)); #441184=DIRECTION('center_axis',(0.,-1.,0.)); #441185=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #441186=DIRECTION('',(0.,1.,0.)); #441187=DIRECTION('',(0.,-1.,0.)); #441188=DIRECTION('center_axis',(-1.,0.,0.)); #441189=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #441190=DIRECTION('',(1.,0.,0.)); #441191=DIRECTION('',(-1.,0.,0.)); #441192=DIRECTION('center_axis',(0.,0.,1.)); #441193=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441194=DIRECTION('',(0.,0.,-1.)); #441195=DIRECTION('',(0.,0.,1.)); #441196=DIRECTION('center_axis',(-1.,0.,0.)); #441197=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #441198=DIRECTION('',(1.,0.,0.)); #441199=DIRECTION('',(-1.,0.,0.)); #441200=DIRECTION('center_axis',(0.,1.,0.)); #441201=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #441202=DIRECTION('',(0.,-1.,0.)); #441203=DIRECTION('',(0.,1.,0.)); #441204=DIRECTION('center_axis',(0.,0.,1.)); #441205=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441206=DIRECTION('',(0.,0.,-1.)); #441207=DIRECTION('',(0.,0.,1.)); #441208=DIRECTION('center_axis',(0.,-1.,0.)); #441209=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #441210=DIRECTION('',(0.,1.,0.)); #441211=DIRECTION('',(0.,-1.,0.)); #441212=DIRECTION('center_axis',(0.,0.,1.)); #441213=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #441214=DIRECTION('',(0.,0.,-1.)); #441215=DIRECTION('',(0.,0.,1.)); #441216=DIRECTION('center_axis',(1.,0.,0.)); #441217=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #441218=DIRECTION('',(-1.,0.,0.)); #441219=DIRECTION('',(1.,0.,0.)); #441220=DIRECTION('center_axis',(0.,-1.,0.)); #441221=DIRECTION('ref_axis',(1.,0.,0.)); #441222=DIRECTION('center_axis',(0.,0.,1.)); #441223=DIRECTION('ref_axis',(1.,0.,0.)); #441224=DIRECTION('center_axis',(-1.,0.,0.)); #441225=DIRECTION('ref_axis',(0.,-1.,0.)); #441226=DIRECTION('center_axis',(0.,1.,0.)); #441227=DIRECTION('ref_axis',(-1.,0.,0.)); #441228=DIRECTION('center_axis',(1.,0.,0.)); #441229=DIRECTION('ref_axis',(0.,1.,0.)); #441230=DIRECTION('center_axis',(0.,0.,1.)); #441231=DIRECTION('ref_axis',(1.,0.,0.)); #441232=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463864)); #441233=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #441234=DIRECTION('center_axis',(0.,-1.,0.)); #441235=DIRECTION('ref_axis',(-1.,0.,0.)); #441236=DIRECTION('center_axis',(0.,0.,1.)); #441237=DIRECTION('ref_axis',(0.,-1.,0.)); #441238=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #441239=DIRECTION('ref_axis',(0.,0.,1.)); #441240=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #441241=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #441242=DIRECTION('center_axis',(1.,0.,0.)); #441243=DIRECTION('ref_axis',(0.,-1.,0.)); #441244=DIRECTION('center_axis',(0.,0.,1.)); #441245=DIRECTION('ref_axis',(1.,0.,0.)); #441246=DIRECTION('center_axis',(0.,-1.,0.)); #441247=DIRECTION('ref_axis',(0.,0.,1.)); #441248=DIRECTION('center_axis',(-0.816496580927724,0.408248290463869,0.408248290463861)); #441249=DIRECTION('ref_axis',(-0.577350269189627,-0.57735026918963,-0.57735026918962)); #441250=DIRECTION('center_axis',(0.,0.,-1.)); #441251=DIRECTION('ref_axis',(-1.,0.,0.)); #441252=DIRECTION('center_axis',(0.,-1.,0.)); #441253=DIRECTION('ref_axis',(0.,0.,-1.)); #441254=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #441255=DIRECTION('ref_axis',(0.,-1.,0.)); #441256=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #441257=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #441258=DIRECTION('center_axis',(-1.,0.,0.)); #441259=DIRECTION('ref_axis',(0.,1.,0.)); #441260=DIRECTION('center_axis',(0.,0.,1.)); #441261=DIRECTION('ref_axis',(-1.,0.,0.)); #441262=DIRECTION('center_axis',(0.,1.,0.)); #441263=DIRECTION('ref_axis',(0.,0.,1.)); #441264=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #441265=DIRECTION('ref_axis',(0.577350269189619,-0.57735026918963,-0.577350269189628)); #441266=DIRECTION('center_axis',(0.,0.,-1.)); #441267=DIRECTION('ref_axis',(0.,-1.,0.)); #441268=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #441269=DIRECTION('ref_axis',(0.,0.,-1.)); #441270=DIRECTION('center_axis',(0.,-1.,0.)); #441271=DIRECTION('ref_axis',(1.,0.,0.)); #441272=DIRECTION('center_axis',(1.,0.,0.)); #441273=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #441274=DIRECTION('',(-1.,0.,0.)); #441275=DIRECTION('',(1.,0.,0.)); #441276=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #441277=DIRECTION('ref_axis',(0.57735026918963,0.577350269189624,0.577350269189624)); #441278=DIRECTION('center_axis',(0.,1.,0.)); #441279=DIRECTION('ref_axis',(1.,0.,0.)); #441280=DIRECTION('center_axis',(0.,0.,1.)); #441281=DIRECTION('ref_axis',(0.,1.,0.)); #441282=DIRECTION('center_axis',(1.,0.,0.)); #441283=DIRECTION('ref_axis',(0.,0.,1.)); #441284=DIRECTION('center_axis',(0.408248290463862,-0.408248290463862,-0.816496580927727)); #441285=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189623,-0.577350269189625)); #441286=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #441287=DIRECTION('ref_axis',(0.,0.,-1.)); #441288=DIRECTION('center_axis',(0.,1.,0.)); #441289=DIRECTION('ref_axis',(-1.,0.,0.)); #441290=DIRECTION('center_axis',(0.,0.,-1.)); #441291=DIRECTION('ref_axis',(0.,1.,0.)); #441292=DIRECTION('center_axis',(0.,-1.,0.)); #441293=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #441294=DIRECTION('',(0.,1.,0.)); #441295=DIRECTION('',(0.,-1.,0.)); #441296=DIRECTION('center_axis',(0.,0.,1.)); #441297=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #441298=DIRECTION('',(0.,0.,-1.)); #441299=DIRECTION('',(0.,0.,1.)); #441300=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,-0.408248290463854)); #441301=DIRECTION('ref_axis',(0.577350269189618,0.577350269189635,-0.577350269189624)); #441302=DIRECTION('center_axis',(0.,0.,-1.)); #441303=DIRECTION('ref_axis',(1.,0.,0.)); #441304=DIRECTION('center_axis',(0.,1.,0.)); #441305=DIRECTION('ref_axis',(0.,0.,-1.)); #441306=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #441307=DIRECTION('ref_axis',(0.,1.,0.)); #441308=DIRECTION('center_axis',(0.,1.,0.)); #441309=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #441310=DIRECTION('',(0.,-1.,0.)); #441311=DIRECTION('',(0.,1.,0.)); #441312=DIRECTION('center_axis',(-1.,0.,0.)); #441313=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #441314=DIRECTION('',(1.,0.,0.)); #441315=DIRECTION('',(-1.,0.,0.)); #441316=DIRECTION('center_axis',(0.,0.,1.)); #441317=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #441318=DIRECTION('',(0.,0.,-1.)); #441319=DIRECTION('',(0.,0.,1.)); #441320=DIRECTION('center_axis',(-1.,0.,0.)); #441321=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #441322=DIRECTION('',(1.,0.,0.)); #441323=DIRECTION('',(-1.,0.,0.)); #441324=DIRECTION('center_axis',(0.,1.,0.)); #441325=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #441326=DIRECTION('',(0.,-1.,0.)); #441327=DIRECTION('',(0.,1.,0.)); #441328=DIRECTION('center_axis',(0.,0.,1.)); #441329=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #441330=DIRECTION('',(0.,0.,-1.)); #441331=DIRECTION('',(0.,0.,1.)); #441332=DIRECTION('center_axis',(0.,-1.,0.)); #441333=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #441334=DIRECTION('',(0.,1.,0.)); #441335=DIRECTION('',(0.,-1.,0.)); #441336=DIRECTION('center_axis',(0.,0.,1.)); #441337=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #441338=DIRECTION('',(0.,0.,-1.)); #441339=DIRECTION('',(0.,0.,1.)); #441340=DIRECTION('center_axis',(1.,0.,0.)); #441341=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #441342=DIRECTION('',(-1.,0.,0.)); #441343=DIRECTION('',(1.,0.,0.)); #441344=DIRECTION('center_axis',(0.,-1.,0.)); #441345=DIRECTION('ref_axis',(1.,0.,0.)); #441346=DIRECTION('center_axis',(0.,0.,1.)); #441347=DIRECTION('ref_axis',(1.,0.,0.)); #441348=DIRECTION('center_axis',(-1.,0.,0.)); #441349=DIRECTION('ref_axis',(0.,-1.,0.)); #441350=DIRECTION('center_axis',(0.,1.,0.)); #441351=DIRECTION('ref_axis',(-1.,0.,0.)); #441352=DIRECTION('center_axis',(1.,0.,0.)); #441353=DIRECTION('ref_axis',(0.,1.,0.)); #441354=DIRECTION('center_axis',(0.,0.,1.)); #441355=DIRECTION('ref_axis',(1.,0.,0.)); #441356=DIRECTION('center_axis',(1.,0.,0.)); #441357=DIRECTION('ref_axis',(0.,1.,0.)); #441358=DIRECTION('',(0.,-1.,0.)); #441359=DIRECTION('',(0.,0.,1.)); #441360=DIRECTION('',(0.,1.,0.)); #441361=DIRECTION('',(0.,0.,1.)); #441362=DIRECTION('center_axis',(0.,-1.,0.)); #441363=DIRECTION('ref_axis',(1.,0.,0.)); #441364=DIRECTION('',(1.,0.,0.)); #441365=DIRECTION('',(1.,0.,0.)); #441366=DIRECTION('',(0.,0.,1.)); #441367=DIRECTION('center_axis',(-1.,0.,0.)); #441368=DIRECTION('ref_axis',(0.,-1.,0.)); #441369=DIRECTION('',(0.,-1.,0.)); #441370=DIRECTION('',(0.,-1.,0.)); #441371=DIRECTION('',(0.,0.,1.)); #441372=DIRECTION('center_axis',(0.,1.,0.)); #441373=DIRECTION('ref_axis',(-1.,0.,0.)); #441374=DIRECTION('',(-1.,0.,0.)); #441375=DIRECTION('',(-1.,0.,0.)); #441376=DIRECTION('center_axis',(0.,0.,1.)); #441377=DIRECTION('ref_axis',(1.,0.,0.)); #441378=DIRECTION('center_axis',(0.,0.,1.)); #441379=DIRECTION('ref_axis',(1.,0.,0.)); #441380=DIRECTION('',(0.,0.,1.)); #441381=DIRECTION('',(1.,0.,0.)); #441382=DIRECTION('axis',(0.,0.,1.)); #441383=DIRECTION('refdir',(1.,0.,0.)); #441384=DIRECTION('axis',(0.,0.,1.)); #441385=DIRECTION('refdir',(1.,0.,0.)); #441386=DIRECTION('center_axis',(0.,0.,-1.)); #441387=DIRECTION('ref_axis',(1.,0.,0.)); #441388=DIRECTION('center_axis',(0.,0.,-1.)); #441389=DIRECTION('ref_axis',(1.,0.,0.)); #441390=DIRECTION('',(0.,0.,-1.)); #441391=DIRECTION('center_axis',(0.,0.,-1.)); #441392=DIRECTION('ref_axis',(1.,0.,0.)); #441393=DIRECTION('center_axis',(0.,0.,1.)); #441394=DIRECTION('ref_axis',(1.,0.,0.)); #441395=DIRECTION('center_axis',(0.,0.,-1.)); #441396=DIRECTION('ref_axis',(-1.,0.,0.)); #441397=DIRECTION('center_axis',(0.,0.,1.)); #441398=DIRECTION('ref_axis',(1.,0.,0.)); #441399=DIRECTION('center_axis',(0.,0.,1.)); #441400=DIRECTION('ref_axis',(1.,0.,0.)); #441401=DIRECTION('center_axis',(0.,0.,1.)); #441402=DIRECTION('ref_axis',(1.,0.,0.)); #441403=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #441404=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #441405=DIRECTION('center_axis',(0.,0.,1.)); #441406=DIRECTION('ref_axis',(1.,0.,0.)); #441407=DIRECTION('center_axis',(0.,0.,1.)); #441408=DIRECTION('ref_axis',(1.,0.,0.)); #441409=DIRECTION('',(0.,0.,-1.)); #441410=DIRECTION('center_axis',(0.,0.,1.)); #441411=DIRECTION('ref_axis',(1.,0.,0.)); #441412=DIRECTION('center_axis',(0.,0.,1.)); #441413=DIRECTION('ref_axis',(1.,0.,0.)); #441414=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #441415=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #441416=DIRECTION('center_axis',(0.,0.,1.)); #441417=DIRECTION('ref_axis',(1.,0.,0.)); #441418=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #441419=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #441420=DIRECTION('center_axis',(0.,0.,1.)); #441421=DIRECTION('ref_axis',(1.,0.,0.)); #441422=DIRECTION('center_axis',(0.,0.,1.)); #441423=DIRECTION('ref_axis',(1.,0.,0.)); #441424=DIRECTION('center_axis',(0.,0.,1.)); #441425=DIRECTION('ref_axis',(1.,0.,0.)); #441426=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #441427=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #441428=DIRECTION('center_axis',(0.,0.,1.)); #441429=DIRECTION('ref_axis',(1.,0.,0.)); #441430=DIRECTION('center_axis',(0.,0.,1.)); #441431=DIRECTION('ref_axis',(1.,0.,0.)); #441432=DIRECTION('',(0.,0.,-1.)); #441433=DIRECTION('center_axis',(0.,0.,1.)); #441434=DIRECTION('ref_axis',(1.,0.,0.)); #441435=DIRECTION('center_axis',(0.,0.,1.)); #441436=DIRECTION('ref_axis',(1.,0.,0.)); #441437=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #441438=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #441439=DIRECTION('center_axis',(0.,0.,1.)); #441440=DIRECTION('ref_axis',(1.,0.,0.)); #441441=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441442=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #441443=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #441444=DIRECTION('ref_axis',(0.251357811229301,-0.0398111662527533,0.967075137605972)); #441445=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441446=DIRECTION('ref_axis',(-0.251357811229302,0.0398111662527534,-0.967075137605972)); #441447=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #441448=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #441449=DIRECTION('',(0.,0.,1.)); #441450=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #441451=DIRECTION('ref_axis',(0.,0.,1.)); #441452=DIRECTION('',(-0.987688340595138,0.156434465040231,0.)); #441453=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441454=DIRECTION('ref_axis',(0.,0.,1.)); #441455=DIRECTION('',(0.,0.,-1.)); #441456=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441457=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #441458=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #441459=DIRECTION('ref_axis',(-0.246922085148784,0.0391086162600576,-0.968245836551854)); #441460=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441461=DIRECTION('ref_axis',(0.246922085148784,-0.0391086162600577,0.968245836551854)); #441462=DIRECTION('',(-5.17408234786307E-16,8.19494136859355E-17,-1.)); #441463=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #441464=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,-2.44902137784961E-16)); #441465=DIRECTION('',(0.987688340595138,-0.156434465040231,0.)); #441466=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #441467=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #441468=DIRECTION('',(0.,0.,1.)); #441469=DIRECTION('center_axis',(0.,0.,1.)); #441470=DIRECTION('ref_axis',(1.,0.,0.)); #441471=DIRECTION('center_axis',(0.,0.,-1.)); #441472=DIRECTION('ref_axis',(1.,-2.41605739979525E-15,0.)); #441473=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #441474=DIRECTION('ref_axis',(-0.251357811229302,-0.0398111662527534,-0.967075137605972)); #441475=DIRECTION('center_axis',(0.,0.,1.)); #441476=DIRECTION('ref_axis',(1.,2.41605739979525E-15,0.)); #441477=DIRECTION('center_axis',(0.,0.,1.)); #441478=DIRECTION('ref_axis',(1.,0.,0.)); #441479=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441480=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #441481=DIRECTION('center_axis',(-2.10210788236898E-14,-1.65439478271922E-15, 1.)); #441482=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,2.09954354188482E-14)); #441483=DIRECTION('center_axis',(0.,0.,1.)); #441484=DIRECTION('ref_axis',(1.,0.,0.)); #441485=DIRECTION('',(0.,0.,-1.)); #441486=DIRECTION('center_axis',(-1.68168630589519E-13,-1.32351582617538E-14, 1.)); #441487=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,1.6822348874297E-13)); #441488=DIRECTION('center_axis',(0.,0.,1.)); #441489=DIRECTION('ref_axis',(1.,0.,0.)); #441490=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441491=DIRECTION('ref_axis',(0.,0.,1.)); #441492=DIRECTION('center_axis',(-1.73939455619841E-13,-1.36893320414264E-14, 1.)); #441493=DIRECTION('ref_axis',(1.,-6.0508723586757E-16,1.73996196269475E-13)); #441494=DIRECTION('center_axis',(0.,0.,1.)); #441495=DIRECTION('ref_axis',(1.,0.,0.)); #441496=DIRECTION('',(0.987688340595138,0.156434465040231,0.)); #441497=DIRECTION('center_axis',(-1.74786219703999E-13,-1.37559738201274E-14, 1.)); #441498=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,1.74843236575853E-13)); #441499=DIRECTION('center_axis',(0.,0.,1.)); #441500=DIRECTION('ref_axis',(1.,0.,0.)); #441501=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #441502=DIRECTION('ref_axis',(0.,0.,1.)); #441503=DIRECTION('center_axis',(-1.68960012380529E-13,-1.32974413594563E-14, 1.)); #441504=DIRECTION('ref_axis',(1.,1.19185707055348E-15,1.69015128689994E-13)); #441505=DIRECTION('center_axis',(0.,0.,1.)); #441506=DIRECTION('ref_axis',(1.,0.,0.)); #441507=DIRECTION('',(0.,0.,1.)); #441508=DIRECTION('center_axis',(-2.11200015475662E-14,-1.66218016993203E-15, 1.)); #441509=DIRECTION('ref_axis',(1.,1.19185707055348E-15,2.1094237467878E-14)); #441510=DIRECTION('center_axis',(0.,0.,1.)); #441511=DIRECTION('ref_axis',(1.,0.,0.)); #441512=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #441513=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #441514=DIRECTION('center_axis',(-2.16615400487854E-14,-1.70480017428924E-15, 1.)); #441515=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,2.16351153516701E-14)); #441516=DIRECTION('center_axis',(0.,0.,1.)); #441517=DIRECTION('ref_axis',(1.,0.,0.)); #441518=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441519=DIRECTION('ref_axis',(-0.246922085148784,-0.0391086162600576,-0.968245836551854)); #441520=DIRECTION('center_axis',(0.,0.,1.)); #441521=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,0.)); #441522=DIRECTION('center_axis',(0.,0.,1.)); #441523=DIRECTION('ref_axis',(1.,0.,0.)); #441524=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #441525=DIRECTION('ref_axis',(0.246922085148784,0.0391086162600577,0.968245836551854)); #441526=DIRECTION('center_axis',(0.,0.,1.)); #441527=DIRECTION('ref_axis',(1.,1.19185707055348E-15,0.)); #441528=DIRECTION('center_axis',(0.,0.,1.)); #441529=DIRECTION('ref_axis',(1.,0.,0.)); #441530=DIRECTION('',(5.17408234786307E-16,8.19494136859355E-17,1.)); #441531=DIRECTION('center_axis',(2.04620423503529E-14,1.58430556709647E-15, 1.)); #441532=DIRECTION('ref_axis',(1.,-8.97974505211524E-16,-2.04615736119335E-14)); #441533=DIRECTION('center_axis',(0.,0.,1.)); #441534=DIRECTION('ref_axis',(1.,0.,0.)); #441535=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #441536=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,-2.44902137784961E-16)); #441537=DIRECTION('center_axis',(2.18482774629999E-14,1.71949672751592E-15, 1.)); #441538=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,-2.18482774629999E-14)); #441539=DIRECTION('center_axis',(0.,0.,-1.)); #441540=DIRECTION('ref_axis',(-1.,0.,0.)); #441541=DIRECTION('',(-0.987688340595138,-0.156434465040231,0.)); #441542=DIRECTION('center_axis',(2.44518319571531E-14,2.03184512807808E-15, 1.)); #441543=DIRECTION('ref_axis',(1.,-2.92458830669326E-15,-2.44518319571531E-14)); #441544=DIRECTION('center_axis',(0.,0.,1.)); #441545=DIRECTION('ref_axis',(1.,0.,0.)); #441546=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441547=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #441548=DIRECTION('center_axis',(2.36405885081453E-14,1.96443418510905E-15, 1.)); #441549=DIRECTION('ref_axis',(1.,1.69003504919521E-15,-2.36401777674686E-14)); #441550=DIRECTION('center_axis',(0.,0.,1.)); #441551=DIRECTION('ref_axis',(1.,0.,0.)); #441552=DIRECTION('',(0.,0.,-1.)); #441553=DIRECTION('center_axis',(0.,0.,1.)); #441554=DIRECTION('ref_axis',(1.,1.69003504919521E-15,0.)); #441555=DIRECTION('center_axis',(0.,0.,1.)); #441556=DIRECTION('ref_axis',(1.,0.,0.)); #441557=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441558=DIRECTION('ref_axis',(0.251357811229301,0.0398111662527533,0.967075137605972)); #441559=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #441560=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #441561=DIRECTION('center_axis',(0.,0.,-1.)); #441562=DIRECTION('ref_axis',(1.,0.,0.)); #441563=DIRECTION('center_axis',(0.,0.,-1.)); #441564=DIRECTION('ref_axis',(1.,0.,0.)); #441565=DIRECTION('',(0.,0.,1.)); #441566=DIRECTION('center_axis',(0.,0.,1.)); #441567=DIRECTION('ref_axis',(1.,0.,0.)); #441568=DIRECTION('center_axis',(0.,0.,1.)); #441569=DIRECTION('ref_axis',(1.,0.,0.)); #441570=DIRECTION('center_axis',(0.,0.,1.)); #441571=DIRECTION('ref_axis',(1.,0.,0.)); #441572=DIRECTION('center_axis',(0.,0.,1.)); #441573=DIRECTION('ref_axis',(-1.,0.,0.)); #441574=DIRECTION('center_axis',(0.,0.,1.)); #441575=DIRECTION('ref_axis',(-1.,0.,0.)); #441576=DIRECTION('',(0.,0.,1.)); #441577=DIRECTION('center_axis',(0.,0.,-1.)); #441578=DIRECTION('ref_axis',(-1.,0.,0.)); #441579=DIRECTION('center_axis',(0.,0.,-1.)); #441580=DIRECTION('ref_axis',(-1.,0.,0.)); #441581=DIRECTION('center_axis',(0.,0.,-1.)); #441582=DIRECTION('ref_axis',(-1.,0.,0.)); #441583=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441584=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441585=DIRECTION('',(0.,0.,-1.)); #441586=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441587=DIRECTION('',(0.,0.,1.)); #441588=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441589=DIRECTION('center_axis',(0.,0.,-1.)); #441590=DIRECTION('ref_axis',(-1.,0.,0.)); #441591=DIRECTION('center_axis',(0.,0.,-1.)); #441592=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441593=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441594=DIRECTION('center_axis',(0.,0.,1.)); #441595=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441596=DIRECTION('center_axis',(0.,0.,1.)); #441597=DIRECTION('ref_axis',(1.,0.,0.)); #441598=DIRECTION('',(0.,0.,-1.)); #441599=DIRECTION('center_axis',(0.,0.,1.)); #441600=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441601=DIRECTION('center_axis',(0.,0.,1.)); #441602=DIRECTION('ref_axis',(1.,0.,0.)); #441603=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441604=DIRECTION('center_axis',(0.,0.,1.)); #441605=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441606=DIRECTION('center_axis',(0.,0.,1.)); #441607=DIRECTION('ref_axis',(1.,0.,0.)); #441608=DIRECTION('',(0.,0.,1.)); #441609=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441610=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441611=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441612=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441613=DIRECTION('',(0.,0.,-1.)); #441614=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441615=DIRECTION('',(0.,0.,1.)); #441616=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441617=DIRECTION('center_axis',(0.,0.,-1.)); #441618=DIRECTION('ref_axis',(-1.,0.,0.)); #441619=DIRECTION('center_axis',(0.,0.,-1.)); #441620=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441621=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441622=DIRECTION('center_axis',(0.,0.,1.)); #441623=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441624=DIRECTION('center_axis',(0.,0.,1.)); #441625=DIRECTION('ref_axis',(1.,0.,0.)); #441626=DIRECTION('',(0.,0.,-1.)); #441627=DIRECTION('center_axis',(0.,0.,1.)); #441628=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441629=DIRECTION('center_axis',(0.,0.,1.)); #441630=DIRECTION('ref_axis',(1.,0.,0.)); #441631=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441632=DIRECTION('center_axis',(0.,0.,1.)); #441633=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441634=DIRECTION('center_axis',(0.,0.,1.)); #441635=DIRECTION('ref_axis',(1.,0.,0.)); #441636=DIRECTION('',(0.,0.,1.)); #441637=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441638=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441639=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441640=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441641=DIRECTION('',(0.,0.,-1.)); #441642=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441643=DIRECTION('',(0.,0.,1.)); #441644=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441645=DIRECTION('center_axis',(0.,0.,-1.)); #441646=DIRECTION('ref_axis',(-1.,0.,0.)); #441647=DIRECTION('center_axis',(0.,0.,-1.)); #441648=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441649=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441650=DIRECTION('center_axis',(0.,0.,1.)); #441651=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441652=DIRECTION('center_axis',(0.,0.,1.)); #441653=DIRECTION('ref_axis',(1.,0.,0.)); #441654=DIRECTION('',(0.,0.,-1.)); #441655=DIRECTION('center_axis',(0.,0.,1.)); #441656=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441657=DIRECTION('center_axis',(0.,0.,1.)); #441658=DIRECTION('ref_axis',(1.,0.,0.)); #441659=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441660=DIRECTION('center_axis',(0.,0.,1.)); #441661=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441662=DIRECTION('center_axis',(0.,0.,1.)); #441663=DIRECTION('ref_axis',(1.,0.,0.)); #441664=DIRECTION('',(0.,0.,1.)); #441665=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441666=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441667=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441668=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441669=DIRECTION('',(0.,0.,-1.)); #441670=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441671=DIRECTION('',(0.,0.,1.)); #441672=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441673=DIRECTION('center_axis',(0.,0.,-1.)); #441674=DIRECTION('ref_axis',(-1.,0.,0.)); #441675=DIRECTION('center_axis',(0.,0.,-1.)); #441676=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441677=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441678=DIRECTION('center_axis',(0.,0.,1.)); #441679=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441680=DIRECTION('center_axis',(0.,0.,1.)); #441681=DIRECTION('ref_axis',(1.,0.,0.)); #441682=DIRECTION('',(0.,0.,-1.)); #441683=DIRECTION('center_axis',(0.,0.,1.)); #441684=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441685=DIRECTION('center_axis',(0.,0.,1.)); #441686=DIRECTION('ref_axis',(1.,0.,0.)); #441687=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441688=DIRECTION('center_axis',(0.,0.,1.)); #441689=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441690=DIRECTION('center_axis',(0.,0.,1.)); #441691=DIRECTION('ref_axis',(1.,0.,0.)); #441692=DIRECTION('',(0.,0.,1.)); #441693=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441694=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441695=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441696=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441697=DIRECTION('',(0.,0.,-1.)); #441698=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441699=DIRECTION('',(0.,0.,1.)); #441700=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441701=DIRECTION('center_axis',(0.,0.,-1.)); #441702=DIRECTION('ref_axis',(-1.,0.,0.)); #441703=DIRECTION('center_axis',(0.,0.,-1.)); #441704=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441705=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441706=DIRECTION('center_axis',(0.,0.,1.)); #441707=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441708=DIRECTION('center_axis',(0.,0.,1.)); #441709=DIRECTION('ref_axis',(1.,0.,0.)); #441710=DIRECTION('',(0.,0.,-1.)); #441711=DIRECTION('center_axis',(0.,0.,1.)); #441712=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441713=DIRECTION('center_axis',(0.,0.,1.)); #441714=DIRECTION('ref_axis',(1.,0.,0.)); #441715=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441716=DIRECTION('center_axis',(0.,0.,1.)); #441717=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441718=DIRECTION('center_axis',(0.,0.,1.)); #441719=DIRECTION('ref_axis',(1.,0.,0.)); #441720=DIRECTION('',(0.,0.,1.)); #441721=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441722=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441723=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441724=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441725=DIRECTION('',(0.,0.,-1.)); #441726=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441727=DIRECTION('',(0.,0.,1.)); #441728=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441729=DIRECTION('center_axis',(0.,0.,-1.)); #441730=DIRECTION('ref_axis',(-1.,0.,0.)); #441731=DIRECTION('center_axis',(0.,0.,-1.)); #441732=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441733=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441734=DIRECTION('center_axis',(0.,0.,1.)); #441735=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441736=DIRECTION('center_axis',(0.,0.,1.)); #441737=DIRECTION('ref_axis',(1.,0.,0.)); #441738=DIRECTION('',(0.,0.,-1.)); #441739=DIRECTION('center_axis',(0.,0.,1.)); #441740=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441741=DIRECTION('center_axis',(0.,0.,1.)); #441742=DIRECTION('ref_axis',(1.,0.,0.)); #441743=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441744=DIRECTION('center_axis',(0.,0.,1.)); #441745=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441746=DIRECTION('center_axis',(0.,0.,1.)); #441747=DIRECTION('ref_axis',(1.,0.,0.)); #441748=DIRECTION('',(0.,0.,1.)); #441749=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441750=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441751=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441752=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441753=DIRECTION('',(0.,0.,-1.)); #441754=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441755=DIRECTION('',(0.,0.,1.)); #441756=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441757=DIRECTION('center_axis',(0.,0.,-1.)); #441758=DIRECTION('ref_axis',(-1.,0.,0.)); #441759=DIRECTION('center_axis',(0.,0.,-1.)); #441760=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441761=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441762=DIRECTION('center_axis',(0.,0.,1.)); #441763=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441764=DIRECTION('center_axis',(0.,0.,1.)); #441765=DIRECTION('ref_axis',(1.,0.,0.)); #441766=DIRECTION('',(0.,0.,-1.)); #441767=DIRECTION('center_axis',(0.,0.,1.)); #441768=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441769=DIRECTION('center_axis',(0.,0.,1.)); #441770=DIRECTION('ref_axis',(1.,0.,0.)); #441771=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441772=DIRECTION('center_axis',(0.,0.,1.)); #441773=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441774=DIRECTION('center_axis',(0.,0.,1.)); #441775=DIRECTION('ref_axis',(1.,0.,0.)); #441776=DIRECTION('',(0.,0.,1.)); #441777=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441778=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441779=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441780=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441781=DIRECTION('',(0.,0.,-1.)); #441782=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441783=DIRECTION('',(0.,0.,1.)); #441784=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441785=DIRECTION('center_axis',(0.,0.,-1.)); #441786=DIRECTION('ref_axis',(-1.,0.,0.)); #441787=DIRECTION('center_axis',(0.,0.,-1.)); #441788=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441789=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441790=DIRECTION('center_axis',(0.,0.,1.)); #441791=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441792=DIRECTION('center_axis',(0.,0.,1.)); #441793=DIRECTION('ref_axis',(1.,0.,0.)); #441794=DIRECTION('',(0.,0.,-1.)); #441795=DIRECTION('center_axis',(0.,0.,1.)); #441796=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441797=DIRECTION('center_axis',(0.,0.,1.)); #441798=DIRECTION('ref_axis',(1.,0.,0.)); #441799=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441800=DIRECTION('center_axis',(0.,0.,1.)); #441801=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441802=DIRECTION('center_axis',(0.,0.,1.)); #441803=DIRECTION('ref_axis',(1.,0.,0.)); #441804=DIRECTION('',(0.,0.,1.)); #441805=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441806=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441807=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #441808=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #441809=DIRECTION('',(0.,0.,-1.)); #441810=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #441811=DIRECTION('',(0.,0.,1.)); #441812=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #441813=DIRECTION('center_axis',(0.,0.,-1.)); #441814=DIRECTION('ref_axis',(-1.,0.,0.)); #441815=DIRECTION('center_axis',(0.,0.,-1.)); #441816=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #441817=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #441818=DIRECTION('center_axis',(0.,0.,1.)); #441819=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441820=DIRECTION('center_axis',(0.,0.,1.)); #441821=DIRECTION('ref_axis',(1.,0.,0.)); #441822=DIRECTION('',(0.,0.,-1.)); #441823=DIRECTION('center_axis',(0.,0.,1.)); #441824=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #441825=DIRECTION('center_axis',(0.,0.,1.)); #441826=DIRECTION('ref_axis',(1.,0.,0.)); #441827=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #441828=DIRECTION('center_axis',(0.,0.,1.)); #441829=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #441830=DIRECTION('center_axis',(0.,0.,1.)); #441831=DIRECTION('ref_axis',(1.,0.,0.)); #441832=DIRECTION('',(0.,0.,1.)); #441833=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #441834=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #441835=DIRECTION('',(0.,0.,1.)); #441836=DIRECTION('',(1.,0.,0.)); #441837=DIRECTION('axis',(0.,0.,1.)); #441838=DIRECTION('refdir',(1.,0.,0.)); #441839=DIRECTION('axis',(0.,0.,1.)); #441840=DIRECTION('refdir',(1.,0.,0.)); #441841=DIRECTION('center_axis',(0.,0.,-1.)); #441842=DIRECTION('ref_axis',(1.,0.,0.)); #441843=DIRECTION('center_axis',(0.,0.,-1.)); #441844=DIRECTION('ref_axis',(1.,0.,0.)); #441845=DIRECTION('',(0.,0.,-1.)); #441846=DIRECTION('center_axis',(0.,0.,-1.)); #441847=DIRECTION('ref_axis',(1.,0.,0.)); #441848=DIRECTION('center_axis',(0.,0.,1.)); #441849=DIRECTION('ref_axis',(1.,0.,0.)); #441850=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #441851=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #441852=DIRECTION('',(0.,-1.,0.)); #441853=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #441854=DIRECTION('',(0.,1.,0.)); #441855=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #441856=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #441857=DIRECTION('ref_axis',(1.,0.,0.)); #441858=DIRECTION('',(1.,0.,0.)); #441859=DIRECTION('',(-1.,0.,0.)); #441860=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #441861=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #441862=DIRECTION('ref_axis',(1.,0.,0.)); #441863=DIRECTION('',(1.,0.,0.)); #441864=DIRECTION('',(-1.,0.,0.)); #441865=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #441866=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #441867=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #441868=DIRECTION('',(0.,1.,0.)); #441869=DIRECTION('',(0.,-1.,0.)); #441870=DIRECTION('center_axis',(0.,1.,0.)); #441871=DIRECTION('ref_axis',(1.,0.,0.)); #441872=DIRECTION('',(0.,0.,-1.)); #441873=DIRECTION('',(1.,0.,0.)); #441874=DIRECTION('',(0.,0.,-1.)); #441875=DIRECTION('center_axis',(1.,0.,0.)); #441876=DIRECTION('ref_axis',(0.,-1.,0.)); #441877=DIRECTION('',(0.,0.,-1.)); #441878=DIRECTION('',(0.,-1.,0.)); #441879=DIRECTION('center_axis',(0.,0.,1.)); #441880=DIRECTION('ref_axis',(1.,0.,0.)); #441881=DIRECTION('center_axis',(-1.,0.,0.)); #441882=DIRECTION('ref_axis',(0.,1.,0.)); #441883=DIRECTION('',(0.,1.,0.)); #441884=DIRECTION('',(0.,0.,-1.)); #441885=DIRECTION('center_axis',(0.,-1.,0.)); #441886=DIRECTION('ref_axis',(-1.,0.,0.)); #441887=DIRECTION('',(-1.,0.,0.)); #441888=DIRECTION('center_axis',(0.,0.,-1.)); #441889=DIRECTION('ref_axis',(-1.,0.,0.)); #441890=DIRECTION('center_axis',(0.,1.,0.)); #441891=DIRECTION('ref_axis',(-1.,0.,0.)); #441892=DIRECTION('',(-1.,0.,0.)); #441893=DIRECTION('',(0.,0.,1.)); #441894=DIRECTION('',(-1.,0.,0.)); #441895=DIRECTION('',(0.,0.,1.)); #441896=DIRECTION('center_axis',(1.,0.,0.)); #441897=DIRECTION('ref_axis',(0.,1.,0.)); #441898=DIRECTION('',(0.,1.,0.)); #441899=DIRECTION('',(0.,1.,0.)); #441900=DIRECTION('',(0.,0.,1.)); #441901=DIRECTION('center_axis',(0.,-1.,0.)); #441902=DIRECTION('ref_axis',(1.,0.,0.)); #441903=DIRECTION('',(1.,0.,0.)); #441904=DIRECTION('',(1.,0.,0.)); #441905=DIRECTION('',(0.,0.,1.)); #441906=DIRECTION('center_axis',(-1.,0.,0.)); #441907=DIRECTION('ref_axis',(0.,-1.,0.)); #441908=DIRECTION('',(0.,-1.,0.)); #441909=DIRECTION('',(0.,-1.,0.)); #441910=DIRECTION('center_axis',(0.,0.,1.)); #441911=DIRECTION('ref_axis',(1.,0.,0.)); #441912=DIRECTION('center_axis',(0.,0.,1.)); #441913=DIRECTION('ref_axis',(1.,0.,0.)); #441914=DIRECTION('center_axis',(1.,0.,0.)); #441915=DIRECTION('ref_axis',(0.,1.,0.)); #441916=DIRECTION('',(0.,-1.,0.)); #441917=DIRECTION('',(0.,0.,1.)); #441918=DIRECTION('',(0.,1.,0.)); #441919=DIRECTION('',(0.,0.,1.)); #441920=DIRECTION('center_axis',(0.,-1.,0.)); #441921=DIRECTION('ref_axis',(1.,0.,0.)); #441922=DIRECTION('',(1.,0.,0.)); #441923=DIRECTION('',(1.,0.,0.)); #441924=DIRECTION('',(0.,0.,1.)); #441925=DIRECTION('center_axis',(0.,0.,1.)); #441926=DIRECTION('ref_axis',(0.,1.,0.)); #441927=DIRECTION('center_axis',(0.,0.,1.)); #441928=DIRECTION('ref_axis',(0.,1.,0.)); #441929=DIRECTION('center_axis',(0.,0.,1.)); #441930=DIRECTION('ref_axis',(0.,1.,0.)); #441931=DIRECTION('',(0.,0.,1.)); #441932=DIRECTION('center_axis',(-1.,0.,0.)); #441933=DIRECTION('ref_axis',(0.,-1.,0.)); #441934=DIRECTION('',(0.,-1.,0.)); #441935=DIRECTION('',(0.,-1.,0.)); #441936=DIRECTION('',(0.,0.,1.)); #441937=DIRECTION('center_axis',(0.,0.,1.)); #441938=DIRECTION('ref_axis',(0.,1.,0.)); #441939=DIRECTION('center_axis',(0.,0.,1.)); #441940=DIRECTION('ref_axis',(0.,1.,0.)); #441941=DIRECTION('center_axis',(0.,0.,1.)); #441942=DIRECTION('ref_axis',(0.,1.,0.)); #441943=DIRECTION('',(0.,0.,1.)); #441944=DIRECTION('center_axis',(0.,1.,0.)); #441945=DIRECTION('ref_axis',(-1.,0.,0.)); #441946=DIRECTION('',(-1.,0.,0.)); #441947=DIRECTION('',(-1.,0.,0.)); #441948=DIRECTION('center_axis',(0.,0.,1.)); #441949=DIRECTION('ref_axis',(1.,0.,0.)); #441950=DIRECTION('center_axis',(0.,0.,1.)); #441951=DIRECTION('ref_axis',(1.,0.,0.)); #441952=DIRECTION('center_axis',(1.,0.,0.)); #441953=DIRECTION('ref_axis',(0.,1.,0.)); #441954=DIRECTION('',(0.,-1.,0.)); #441955=DIRECTION('',(0.,0.,1.)); #441956=DIRECTION('',(0.,1.,0.)); #441957=DIRECTION('',(0.,0.,1.)); #441958=DIRECTION('center_axis',(0.,1.,0.)); #441959=DIRECTION('ref_axis',(-1.,0.,0.)); #441960=DIRECTION('',(-1.,0.,0.)); #441961=DIRECTION('',(0.,0.,1.)); #441962=DIRECTION('',(-1.,0.,0.)); #441963=DIRECTION('center_axis',(0.,0.,-1.)); #441964=DIRECTION('ref_axis',(0.,1.,0.)); #441965=DIRECTION('center_axis',(0.,0.,-1.)); #441966=DIRECTION('ref_axis',(0.,1.,0.)); #441967=DIRECTION('',(0.,0.,1.)); #441968=DIRECTION('center_axis',(0.,0.,-1.)); #441969=DIRECTION('ref_axis',(0.,1.,0.)); #441970=DIRECTION('center_axis',(-1.,0.,0.)); #441971=DIRECTION('ref_axis',(0.,-1.,0.)); #441972=DIRECTION('',(0.,-1.,0.)); #441973=DIRECTION('',(0.,0.,1.)); #441974=DIRECTION('',(0.,-1.,0.)); #441975=DIRECTION('center_axis',(0.,0.,-1.)); #441976=DIRECTION('ref_axis',(0.,1.,0.)); #441977=DIRECTION('center_axis',(0.,0.,-1.)); #441978=DIRECTION('ref_axis',(0.,1.,0.)); #441979=DIRECTION('',(0.,0.,1.)); #441980=DIRECTION('center_axis',(0.,0.,-1.)); #441981=DIRECTION('ref_axis',(0.,1.,0.)); #441982=DIRECTION('center_axis',(0.,-1.,0.)); #441983=DIRECTION('ref_axis',(1.,0.,0.)); #441984=DIRECTION('',(1.,0.,0.)); #441985=DIRECTION('',(1.,0.,0.)); #441986=DIRECTION('center_axis',(0.,0.,-1.)); #441987=DIRECTION('ref_axis',(-1.,0.,0.)); #441988=DIRECTION('center_axis',(0.,0.,-1.)); #441989=DIRECTION('ref_axis',(-1.,0.,0.)); #441990=DIRECTION('center_axis',(1.,0.,0.)); #441991=DIRECTION('ref_axis',(0.,1.,0.)); #441992=DIRECTION('',(0.,-1.,0.)); #441993=DIRECTION('',(0.,0.,1.)); #441994=DIRECTION('',(0.,1.,0.)); #441995=DIRECTION('',(0.,0.,1.)); #441996=DIRECTION('center_axis',(0.,-1.,0.)); #441997=DIRECTION('ref_axis',(1.,0.,0.)); #441998=DIRECTION('',(1.,0.,0.)); #441999=DIRECTION('',(1.,0.,0.)); #442000=DIRECTION('',(0.,0.,1.)); #442001=DIRECTION('center_axis',(0.,0.,1.)); #442002=DIRECTION('ref_axis',(0.,1.,0.)); #442003=DIRECTION('center_axis',(0.,0.,1.)); #442004=DIRECTION('ref_axis',(0.,1.,0.)); #442005=DIRECTION('center_axis',(0.,0.,1.)); #442006=DIRECTION('ref_axis',(0.,1.,0.)); #442007=DIRECTION('',(0.,0.,1.)); #442008=DIRECTION('center_axis',(-1.,0.,0.)); #442009=DIRECTION('ref_axis',(0.,-1.,0.)); #442010=DIRECTION('',(0.,-1.,0.)); #442011=DIRECTION('',(0.,-1.,0.)); #442012=DIRECTION('',(0.,0.,1.)); #442013=DIRECTION('center_axis',(0.,0.,1.)); #442014=DIRECTION('ref_axis',(0.,1.,0.)); #442015=DIRECTION('center_axis',(0.,0.,1.)); #442016=DIRECTION('ref_axis',(0.,1.,0.)); #442017=DIRECTION('center_axis',(0.,0.,1.)); #442018=DIRECTION('ref_axis',(0.,1.,0.)); #442019=DIRECTION('',(0.,0.,1.)); #442020=DIRECTION('center_axis',(0.,1.,0.)); #442021=DIRECTION('ref_axis',(-1.,0.,0.)); #442022=DIRECTION('',(-1.,0.,0.)); #442023=DIRECTION('',(-1.,0.,0.)); #442024=DIRECTION('center_axis',(0.,0.,1.)); #442025=DIRECTION('ref_axis',(1.,0.,0.)); #442026=DIRECTION('center_axis',(0.,0.,1.)); #442027=DIRECTION('ref_axis',(1.,0.,0.)); #442028=DIRECTION('center_axis',(1.,0.,0.)); #442029=DIRECTION('ref_axis',(0.,1.,0.)); #442030=DIRECTION('',(0.,-1.,0.)); #442031=DIRECTION('',(0.,0.,1.)); #442032=DIRECTION('',(0.,1.,0.)); #442033=DIRECTION('',(0.,0.,1.)); #442034=DIRECTION('center_axis',(0.,-1.,0.)); #442035=DIRECTION('ref_axis',(1.,0.,0.)); #442036=DIRECTION('',(1.,0.,0.)); #442037=DIRECTION('',(1.,0.,0.)); #442038=DIRECTION('',(0.,0.,1.)); #442039=DIRECTION('center_axis',(0.,0.,1.)); #442040=DIRECTION('ref_axis',(0.,1.,0.)); #442041=DIRECTION('center_axis',(0.,0.,1.)); #442042=DIRECTION('ref_axis',(0.,1.,0.)); #442043=DIRECTION('center_axis',(0.,0.,1.)); #442044=DIRECTION('ref_axis',(0.,1.,0.)); #442045=DIRECTION('',(0.,0.,1.)); #442046=DIRECTION('center_axis',(-1.,0.,0.)); #442047=DIRECTION('ref_axis',(0.,-1.,0.)); #442048=DIRECTION('',(0.,-1.,0.)); #442049=DIRECTION('',(0.,-1.,0.)); #442050=DIRECTION('',(0.,0.,1.)); #442051=DIRECTION('center_axis',(0.,0.,1.)); #442052=DIRECTION('ref_axis',(0.,1.,0.)); #442053=DIRECTION('center_axis',(0.,0.,1.)); #442054=DIRECTION('ref_axis',(0.,1.,0.)); #442055=DIRECTION('center_axis',(0.,0.,1.)); #442056=DIRECTION('ref_axis',(0.,1.,0.)); #442057=DIRECTION('',(0.,0.,1.)); #442058=DIRECTION('center_axis',(0.,1.,0.)); #442059=DIRECTION('ref_axis',(-1.,0.,0.)); #442060=DIRECTION('',(-1.,0.,0.)); #442061=DIRECTION('',(-1.,0.,0.)); #442062=DIRECTION('center_axis',(0.,0.,1.)); #442063=DIRECTION('ref_axis',(1.,0.,0.)); #442064=DIRECTION('center_axis',(0.,0.,1.)); #442065=DIRECTION('ref_axis',(1.,0.,0.)); #442066=DIRECTION('center_axis',(1.,0.,0.)); #442067=DIRECTION('ref_axis',(0.,1.,0.)); #442068=DIRECTION('',(0.,-1.,0.)); #442069=DIRECTION('',(0.,0.,1.)); #442070=DIRECTION('',(0.,1.,0.)); #442071=DIRECTION('',(0.,0.,1.)); #442072=DIRECTION('center_axis',(0.,-1.,0.)); #442073=DIRECTION('ref_axis',(1.,0.,0.)); #442074=DIRECTION('',(1.,0.,0.)); #442075=DIRECTION('',(1.,0.,0.)); #442076=DIRECTION('',(0.,0.,1.)); #442077=DIRECTION('center_axis',(0.,0.,1.)); #442078=DIRECTION('ref_axis',(0.,1.,0.)); #442079=DIRECTION('center_axis',(0.,0.,1.)); #442080=DIRECTION('ref_axis',(0.,1.,0.)); #442081=DIRECTION('center_axis',(0.,0.,1.)); #442082=DIRECTION('ref_axis',(0.,1.,0.)); #442083=DIRECTION('',(0.,0.,1.)); #442084=DIRECTION('center_axis',(-1.,0.,0.)); #442085=DIRECTION('ref_axis',(0.,-1.,0.)); #442086=DIRECTION('',(0.,-1.,0.)); #442087=DIRECTION('',(0.,-1.,0.)); #442088=DIRECTION('',(0.,0.,1.)); #442089=DIRECTION('center_axis',(0.,0.,1.)); #442090=DIRECTION('ref_axis',(0.,1.,0.)); #442091=DIRECTION('center_axis',(0.,0.,1.)); #442092=DIRECTION('ref_axis',(0.,1.,0.)); #442093=DIRECTION('center_axis',(0.,0.,1.)); #442094=DIRECTION('ref_axis',(0.,1.,0.)); #442095=DIRECTION('',(0.,0.,1.)); #442096=DIRECTION('center_axis',(0.,1.,0.)); #442097=DIRECTION('ref_axis',(-1.,0.,0.)); #442098=DIRECTION('',(-1.,0.,0.)); #442099=DIRECTION('',(-1.,0.,0.)); #442100=DIRECTION('center_axis',(0.,0.,1.)); #442101=DIRECTION('ref_axis',(1.,0.,0.)); #442102=DIRECTION('center_axis',(0.,0.,1.)); #442103=DIRECTION('ref_axis',(1.,0.,0.)); #442104=DIRECTION('center_axis',(1.,0.,0.)); #442105=DIRECTION('ref_axis',(0.,1.,0.)); #442106=DIRECTION('',(0.,-1.,0.)); #442107=DIRECTION('',(0.,0.,1.)); #442108=DIRECTION('',(0.,1.,0.)); #442109=DIRECTION('',(0.,0.,1.)); #442110=DIRECTION('center_axis',(0.,1.,0.)); #442111=DIRECTION('ref_axis',(-1.,0.,0.)); #442112=DIRECTION('',(-1.,0.,0.)); #442113=DIRECTION('',(0.,0.,1.)); #442114=DIRECTION('',(-1.,0.,0.)); #442115=DIRECTION('center_axis',(0.,0.,-1.)); #442116=DIRECTION('ref_axis',(0.,1.,0.)); #442117=DIRECTION('center_axis',(0.,0.,-1.)); #442118=DIRECTION('ref_axis',(0.,1.,0.)); #442119=DIRECTION('',(0.,0.,1.)); #442120=DIRECTION('center_axis',(0.,0.,-1.)); #442121=DIRECTION('ref_axis',(0.,1.,0.)); #442122=DIRECTION('center_axis',(-1.,0.,0.)); #442123=DIRECTION('ref_axis',(0.,-1.,0.)); #442124=DIRECTION('',(0.,-1.,0.)); #442125=DIRECTION('',(0.,0.,1.)); #442126=DIRECTION('',(0.,-1.,0.)); #442127=DIRECTION('center_axis',(0.,0.,-1.)); #442128=DIRECTION('ref_axis',(0.,1.,0.)); #442129=DIRECTION('center_axis',(0.,0.,-1.)); #442130=DIRECTION('ref_axis',(0.,1.,0.)); #442131=DIRECTION('',(0.,0.,1.)); #442132=DIRECTION('center_axis',(0.,0.,-1.)); #442133=DIRECTION('ref_axis',(0.,1.,0.)); #442134=DIRECTION('center_axis',(0.,-1.,0.)); #442135=DIRECTION('ref_axis',(1.,0.,0.)); #442136=DIRECTION('',(1.,0.,0.)); #442137=DIRECTION('',(1.,0.,0.)); #442138=DIRECTION('center_axis',(0.,0.,-1.)); #442139=DIRECTION('ref_axis',(-1.,0.,0.)); #442140=DIRECTION('center_axis',(0.,0.,-1.)); #442141=DIRECTION('ref_axis',(-1.,0.,0.)); #442142=DIRECTION('center_axis',(1.,0.,0.)); #442143=DIRECTION('ref_axis',(0.,1.,0.)); #442144=DIRECTION('',(0.,-1.,0.)); #442145=DIRECTION('',(0.,0.,1.)); #442146=DIRECTION('',(0.,1.,0.)); #442147=DIRECTION('',(0.,0.,1.)); #442148=DIRECTION('center_axis',(0.,1.,0.)); #442149=DIRECTION('ref_axis',(-1.,0.,0.)); #442150=DIRECTION('',(-1.,0.,0.)); #442151=DIRECTION('',(0.,0.,1.)); #442152=DIRECTION('',(-1.,0.,0.)); #442153=DIRECTION('center_axis',(0.,0.,-1.)); #442154=DIRECTION('ref_axis',(0.,1.,0.)); #442155=DIRECTION('center_axis',(0.,0.,-1.)); #442156=DIRECTION('ref_axis',(0.,1.,0.)); #442157=DIRECTION('',(0.,0.,1.)); #442158=DIRECTION('center_axis',(0.,0.,-1.)); #442159=DIRECTION('ref_axis',(0.,1.,0.)); #442160=DIRECTION('center_axis',(-1.,0.,0.)); #442161=DIRECTION('ref_axis',(0.,-1.,0.)); #442162=DIRECTION('',(0.,-1.,0.)); #442163=DIRECTION('',(0.,0.,1.)); #442164=DIRECTION('',(0.,-1.,0.)); #442165=DIRECTION('center_axis',(0.,0.,-1.)); #442166=DIRECTION('ref_axis',(0.,1.,0.)); #442167=DIRECTION('center_axis',(0.,0.,-1.)); #442168=DIRECTION('ref_axis',(0.,1.,0.)); #442169=DIRECTION('',(0.,0.,1.)); #442170=DIRECTION('center_axis',(0.,0.,-1.)); #442171=DIRECTION('ref_axis',(0.,1.,0.)); #442172=DIRECTION('center_axis',(0.,-1.,0.)); #442173=DIRECTION('ref_axis',(1.,0.,0.)); #442174=DIRECTION('',(1.,0.,0.)); #442175=DIRECTION('',(1.,0.,0.)); #442176=DIRECTION('center_axis',(0.,0.,-1.)); #442177=DIRECTION('ref_axis',(-1.,0.,0.)); #442178=DIRECTION('center_axis',(0.,0.,-1.)); #442179=DIRECTION('ref_axis',(-1.,0.,0.)); #442180=DIRECTION('center_axis',(1.,0.,0.)); #442181=DIRECTION('ref_axis',(0.,1.,0.)); #442182=DIRECTION('',(0.,-1.,0.)); #442183=DIRECTION('',(0.,0.,1.)); #442184=DIRECTION('',(0.,1.,0.)); #442185=DIRECTION('',(0.,0.,1.)); #442186=DIRECTION('center_axis',(0.,1.,0.)); #442187=DIRECTION('ref_axis',(-1.,0.,0.)); #442188=DIRECTION('',(-1.,0.,0.)); #442189=DIRECTION('',(0.,0.,1.)); #442190=DIRECTION('',(-1.,0.,0.)); #442191=DIRECTION('center_axis',(0.,0.,-1.)); #442192=DIRECTION('ref_axis',(0.,1.,0.)); #442193=DIRECTION('center_axis',(0.,0.,-1.)); #442194=DIRECTION('ref_axis',(0.,1.,0.)); #442195=DIRECTION('',(0.,0.,1.)); #442196=DIRECTION('center_axis',(0.,0.,-1.)); #442197=DIRECTION('ref_axis',(0.,1.,0.)); #442198=DIRECTION('center_axis',(-1.,0.,0.)); #442199=DIRECTION('ref_axis',(0.,-1.,0.)); #442200=DIRECTION('',(0.,-1.,0.)); #442201=DIRECTION('',(0.,0.,1.)); #442202=DIRECTION('',(0.,-1.,0.)); #442203=DIRECTION('center_axis',(0.,0.,-1.)); #442204=DIRECTION('ref_axis',(0.,1.,0.)); #442205=DIRECTION('center_axis',(0.,0.,-1.)); #442206=DIRECTION('ref_axis',(0.,1.,0.)); #442207=DIRECTION('',(0.,0.,1.)); #442208=DIRECTION('center_axis',(0.,0.,-1.)); #442209=DIRECTION('ref_axis',(0.,1.,0.)); #442210=DIRECTION('center_axis',(0.,-1.,0.)); #442211=DIRECTION('ref_axis',(1.,0.,0.)); #442212=DIRECTION('',(1.,0.,0.)); #442213=DIRECTION('',(1.,0.,0.)); #442214=DIRECTION('center_axis',(0.,0.,-1.)); #442215=DIRECTION('ref_axis',(-1.,0.,0.)); #442216=DIRECTION('center_axis',(0.,0.,-1.)); #442217=DIRECTION('ref_axis',(-1.,0.,0.)); #442218=DIRECTION('center_axis',(0.,-1.,0.)); #442219=DIRECTION('ref_axis',(1.,0.,0.)); #442220=DIRECTION('',(1.,0.,0.)); #442221=DIRECTION('',(0.,0.,1.)); #442222=DIRECTION('',(1.,0.,0.)); #442223=DIRECTION('',(0.,0.,1.)); #442224=DIRECTION('center_axis',(1.,0.,0.)); #442225=DIRECTION('ref_axis',(0.,1.,0.)); #442226=DIRECTION('',(0.,1.,0.)); #442227=DIRECTION('',(0.,0.,1.)); #442228=DIRECTION('',(0.,1.,0.)); #442229=DIRECTION('center_axis',(0.,1.,0.)); #442230=DIRECTION('ref_axis',(-1.,0.,0.)); #442231=DIRECTION('',(-1.,0.,0.)); #442232=DIRECTION('',(0.,0.,1.)); #442233=DIRECTION('',(-1.,0.,0.)); #442234=DIRECTION('center_axis',(-1.,0.,0.)); #442235=DIRECTION('ref_axis',(0.,-1.,0.)); #442236=DIRECTION('',(0.,-1.,0.)); #442237=DIRECTION('',(0.,-1.,0.)); #442238=DIRECTION('center_axis',(0.,0.,-1.)); #442239=DIRECTION('ref_axis',(-1.,0.,0.)); #442240=DIRECTION('center_axis',(0.,0.,-1.)); #442241=DIRECTION('ref_axis',(-1.,0.,0.)); #442242=DIRECTION('center_axis',(0.,1.,0.)); #442243=DIRECTION('ref_axis',(-1.,0.,0.)); #442244=DIRECTION('',(-1.,0.,0.)); #442245=DIRECTION('',(0.,0.,1.)); #442246=DIRECTION('',(-1.,0.,0.)); #442247=DIRECTION('',(0.,0.,1.)); #442248=DIRECTION('center_axis',(1.,0.,0.)); #442249=DIRECTION('ref_axis',(0.,1.,0.)); #442250=DIRECTION('',(0.,1.,0.)); #442251=DIRECTION('',(0.,1.,0.)); #442252=DIRECTION('',(0.,0.,1.)); #442253=DIRECTION('center_axis',(0.,-1.,0.)); #442254=DIRECTION('ref_axis',(1.,0.,0.)); #442255=DIRECTION('',(1.,0.,0.)); #442256=DIRECTION('',(1.,0.,0.)); #442257=DIRECTION('',(0.,0.,1.)); #442258=DIRECTION('center_axis',(-1.,0.,0.)); #442259=DIRECTION('ref_axis',(0.,-1.,0.)); #442260=DIRECTION('',(0.,-1.,0.)); #442261=DIRECTION('',(0.,-1.,0.)); #442262=DIRECTION('center_axis',(0.,0.,1.)); #442263=DIRECTION('ref_axis',(1.,0.,0.)); #442264=DIRECTION('center_axis',(0.,0.,1.)); #442265=DIRECTION('ref_axis',(1.,0.,0.)); #442266=DIRECTION('center_axis',(0.,1.,0.)); #442267=DIRECTION('ref_axis',(-1.,0.,0.)); #442268=DIRECTION('',(-1.,0.,0.)); #442269=DIRECTION('',(0.,0.,1.)); #442270=DIRECTION('',(-1.,0.,0.)); #442271=DIRECTION('',(0.,0.,1.)); #442272=DIRECTION('center_axis',(1.,0.,0.)); #442273=DIRECTION('ref_axis',(0.,1.,0.)); #442274=DIRECTION('',(0.,1.,0.)); #442275=DIRECTION('',(0.,1.,0.)); #442276=DIRECTION('',(0.,0.,1.)); #442277=DIRECTION('center_axis',(0.,-1.,0.)); #442278=DIRECTION('ref_axis',(1.,0.,0.)); #442279=DIRECTION('',(1.,0.,0.)); #442280=DIRECTION('',(1.,0.,0.)); #442281=DIRECTION('',(0.,0.,1.)); #442282=DIRECTION('center_axis',(-1.,0.,0.)); #442283=DIRECTION('ref_axis',(0.,-1.,0.)); #442284=DIRECTION('',(0.,-1.,0.)); #442285=DIRECTION('',(0.,-1.,0.)); #442286=DIRECTION('center_axis',(0.,0.,1.)); #442287=DIRECTION('ref_axis',(1.,0.,0.)); #442288=DIRECTION('center_axis',(0.,0.,1.)); #442289=DIRECTION('ref_axis',(1.,0.,0.)); #442290=DIRECTION('center_axis',(0.,1.,0.)); #442291=DIRECTION('ref_axis',(-1.,0.,0.)); #442292=DIRECTION('',(-1.,0.,0.)); #442293=DIRECTION('',(0.,0.,1.)); #442294=DIRECTION('',(-1.,0.,0.)); #442295=DIRECTION('',(0.,0.,1.)); #442296=DIRECTION('center_axis',(1.,0.,0.)); #442297=DIRECTION('ref_axis',(0.,1.,0.)); #442298=DIRECTION('',(0.,1.,0.)); #442299=DIRECTION('',(0.,1.,0.)); #442300=DIRECTION('',(0.,0.,1.)); #442301=DIRECTION('center_axis',(0.,-1.,0.)); #442302=DIRECTION('ref_axis',(1.,0.,0.)); #442303=DIRECTION('',(1.,0.,0.)); #442304=DIRECTION('',(1.,0.,0.)); #442305=DIRECTION('',(0.,0.,1.)); #442306=DIRECTION('center_axis',(-1.,0.,0.)); #442307=DIRECTION('ref_axis',(0.,-1.,0.)); #442308=DIRECTION('',(0.,-1.,0.)); #442309=DIRECTION('',(0.,-1.,0.)); #442310=DIRECTION('center_axis',(0.,0.,1.)); #442311=DIRECTION('ref_axis',(1.,0.,0.)); #442312=DIRECTION('center_axis',(0.,0.,1.)); #442313=DIRECTION('ref_axis',(1.,0.,0.)); #442314=DIRECTION('center_axis',(0.,-1.,0.)); #442315=DIRECTION('ref_axis',(1.,0.,0.)); #442316=DIRECTION('',(1.,0.,0.)); #442317=DIRECTION('',(0.,0.,1.)); #442318=DIRECTION('',(1.,0.,0.)); #442319=DIRECTION('',(0.,0.,1.)); #442320=DIRECTION('center_axis',(1.,0.,0.)); #442321=DIRECTION('ref_axis',(0.,1.,0.)); #442322=DIRECTION('',(0.,1.,0.)); #442323=DIRECTION('',(0.,0.,1.)); #442324=DIRECTION('',(0.,1.,0.)); #442325=DIRECTION('center_axis',(0.,1.,0.)); #442326=DIRECTION('ref_axis',(-1.,0.,0.)); #442327=DIRECTION('',(-1.,0.,0.)); #442328=DIRECTION('',(0.,0.,1.)); #442329=DIRECTION('',(-1.,0.,0.)); #442330=DIRECTION('center_axis',(-1.,0.,0.)); #442331=DIRECTION('ref_axis',(0.,-1.,0.)); #442332=DIRECTION('',(0.,-1.,0.)); #442333=DIRECTION('',(0.,-1.,0.)); #442334=DIRECTION('center_axis',(0.,0.,-1.)); #442335=DIRECTION('ref_axis',(-1.,0.,0.)); #442336=DIRECTION('center_axis',(0.,0.,-1.)); #442337=DIRECTION('ref_axis',(-1.,0.,0.)); #442338=DIRECTION('center_axis',(0.,-1.,0.)); #442339=DIRECTION('ref_axis',(1.,0.,0.)); #442340=DIRECTION('',(1.,0.,0.)); #442341=DIRECTION('',(0.,0.,1.)); #442342=DIRECTION('',(1.,0.,0.)); #442343=DIRECTION('',(0.,0.,1.)); #442344=DIRECTION('center_axis',(1.,0.,0.)); #442345=DIRECTION('ref_axis',(0.,1.,0.)); #442346=DIRECTION('',(0.,1.,0.)); #442347=DIRECTION('',(0.,0.,1.)); #442348=DIRECTION('',(0.,1.,0.)); #442349=DIRECTION('center_axis',(0.,1.,0.)); #442350=DIRECTION('ref_axis',(-1.,0.,0.)); #442351=DIRECTION('',(-1.,0.,0.)); #442352=DIRECTION('',(0.,0.,1.)); #442353=DIRECTION('',(-1.,0.,0.)); #442354=DIRECTION('center_axis',(-1.,0.,0.)); #442355=DIRECTION('ref_axis',(0.,-1.,0.)); #442356=DIRECTION('',(0.,-1.,0.)); #442357=DIRECTION('',(0.,-1.,0.)); #442358=DIRECTION('center_axis',(0.,0.,-1.)); #442359=DIRECTION('ref_axis',(-1.,0.,0.)); #442360=DIRECTION('center_axis',(0.,0.,-1.)); #442361=DIRECTION('ref_axis',(-1.,0.,0.)); #442362=DIRECTION('center_axis',(0.,-1.,0.)); #442363=DIRECTION('ref_axis',(1.,0.,0.)); #442364=DIRECTION('',(1.,0.,0.)); #442365=DIRECTION('',(0.,0.,1.)); #442366=DIRECTION('',(1.,0.,0.)); #442367=DIRECTION('',(0.,0.,1.)); #442368=DIRECTION('center_axis',(1.,0.,0.)); #442369=DIRECTION('ref_axis',(0.,1.,0.)); #442370=DIRECTION('',(0.,1.,0.)); #442371=DIRECTION('',(0.,0.,1.)); #442372=DIRECTION('',(0.,1.,0.)); #442373=DIRECTION('center_axis',(0.,1.,0.)); #442374=DIRECTION('ref_axis',(-1.,0.,0.)); #442375=DIRECTION('',(-1.,0.,0.)); #442376=DIRECTION('',(0.,0.,1.)); #442377=DIRECTION('',(-1.,0.,0.)); #442378=DIRECTION('center_axis',(-1.,0.,0.)); #442379=DIRECTION('ref_axis',(0.,-1.,0.)); #442380=DIRECTION('',(0.,-1.,0.)); #442381=DIRECTION('',(0.,-1.,0.)); #442382=DIRECTION('center_axis',(0.,0.,-1.)); #442383=DIRECTION('ref_axis',(-1.,0.,0.)); #442384=DIRECTION('center_axis',(0.,0.,-1.)); #442385=DIRECTION('ref_axis',(-1.,0.,0.)); #442386=DIRECTION('center_axis',(2.22044604925031E-16,-1.,0.)); #442387=DIRECTION('ref_axis',(1.,2.22044604925031E-16,0.)); #442388=DIRECTION('',(1.,2.22044604925031E-16,0.)); #442389=DIRECTION('',(0.,0.,1.)); #442390=DIRECTION('',(1.,2.22044604925031E-16,0.)); #442391=DIRECTION('',(0.,0.,1.)); #442392=DIRECTION('center_axis',(-1.,0.,0.)); #442393=DIRECTION('ref_axis',(0.,-1.,0.)); #442394=DIRECTION('',(0.,-1.,0.)); #442395=DIRECTION('',(0.,-1.,0.)); #442396=DIRECTION('',(0.,0.,1.)); #442397=DIRECTION('center_axis',(-1.11022302462516E-16,1.,0.)); #442398=DIRECTION('ref_axis',(-1.,-1.11022302462516E-16,0.)); #442399=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #442400=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #442401=DIRECTION('',(0.,0.,1.)); #442402=DIRECTION('center_axis',(1.,2.95272081017329E-17,0.)); #442403=DIRECTION('ref_axis',(-2.95272081017329E-17,1.,0.)); #442404=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #442405=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #442406=DIRECTION('center_axis',(0.,0.,1.)); #442407=DIRECTION('ref_axis',(1.,0.,0.)); #442408=DIRECTION('center_axis',(0.,0.,1.)); #442409=DIRECTION('ref_axis',(1.,0.,0.)); #442410=DIRECTION('',(0.,0.,1.)); #442411=DIRECTION('',(1.,0.,0.)); #442412=DIRECTION('axis',(0.,0.,1.)); #442413=DIRECTION('refdir',(1.,0.,0.)); #442414=DIRECTION('axis',(0.,0.,1.)); #442415=DIRECTION('refdir',(1.,0.,0.)); #442416=DIRECTION('center_axis',(0.,0.,-1.)); #442417=DIRECTION('ref_axis',(1.,0.,0.)); #442418=DIRECTION('center_axis',(0.,0.,-1.)); #442419=DIRECTION('ref_axis',(1.,0.,0.)); #442420=DIRECTION('',(0.,0.,-1.)); #442421=DIRECTION('center_axis',(0.,0.,-1.)); #442422=DIRECTION('ref_axis',(1.,0.,0.)); #442423=DIRECTION('center_axis',(0.,0.,1.)); #442424=DIRECTION('ref_axis',(1.,0.,0.)); #442425=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #442426=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #442427=DIRECTION('',(0.,-1.,0.)); #442428=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #442429=DIRECTION('',(0.,1.,0.)); #442430=DIRECTION('',(-0.577350269189624,-0.577350269189627,0.577350269189627)); #442431=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #442432=DIRECTION('ref_axis',(1.,0.,0.)); #442433=DIRECTION('',(1.,0.,0.)); #442434=DIRECTION('',(-1.,0.,0.)); #442435=DIRECTION('',(0.577350269189624,0.577350269189627,0.577350269189627)); #442436=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #442437=DIRECTION('ref_axis',(1.,0.,0.)); #442438=DIRECTION('',(1.,0.,0.)); #442439=DIRECTION('',(-1.,0.,0.)); #442440=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #442441=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #442442=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #442443=DIRECTION('',(0.,1.,0.)); #442444=DIRECTION('',(0.,-1.,0.)); #442445=DIRECTION('center_axis',(0.,1.,0.)); #442446=DIRECTION('ref_axis',(1.,0.,0.)); #442447=DIRECTION('',(0.,0.,-1.)); #442448=DIRECTION('',(1.,0.,0.)); #442449=DIRECTION('',(0.,0.,-1.)); #442450=DIRECTION('center_axis',(1.,0.,0.)); #442451=DIRECTION('ref_axis',(0.,-1.,0.)); #442452=DIRECTION('',(0.,0.,-1.)); #442453=DIRECTION('',(0.,-1.,0.)); #442454=DIRECTION('center_axis',(0.,0.,1.)); #442455=DIRECTION('ref_axis',(1.,0.,0.)); #442456=DIRECTION('center_axis',(-1.,0.,0.)); #442457=DIRECTION('ref_axis',(0.,1.,0.)); #442458=DIRECTION('',(0.,1.,0.)); #442459=DIRECTION('',(0.,0.,-1.)); #442460=DIRECTION('center_axis',(0.,-1.,0.)); #442461=DIRECTION('ref_axis',(-1.,0.,0.)); #442462=DIRECTION('',(-1.,0.,0.)); #442463=DIRECTION('center_axis',(0.,0.,-1.)); #442464=DIRECTION('ref_axis',(-1.,0.,0.)); #442465=DIRECTION('center_axis',(0.,1.,0.)); #442466=DIRECTION('ref_axis',(-1.,0.,0.)); #442467=DIRECTION('',(-1.,0.,0.)); #442468=DIRECTION('',(0.,0.,1.)); #442469=DIRECTION('',(-1.,0.,0.)); #442470=DIRECTION('',(0.,0.,1.)); #442471=DIRECTION('center_axis',(1.,0.,0.)); #442472=DIRECTION('ref_axis',(0.,1.,0.)); #442473=DIRECTION('',(0.,1.,0.)); #442474=DIRECTION('',(0.,1.,0.)); #442475=DIRECTION('',(0.,0.,1.)); #442476=DIRECTION('center_axis',(0.,-1.,0.)); #442477=DIRECTION('ref_axis',(1.,0.,0.)); #442478=DIRECTION('',(1.,0.,0.)); #442479=DIRECTION('',(1.,0.,0.)); #442480=DIRECTION('',(0.,0.,1.)); #442481=DIRECTION('center_axis',(-1.,0.,0.)); #442482=DIRECTION('ref_axis',(0.,-1.,0.)); #442483=DIRECTION('',(0.,-1.,0.)); #442484=DIRECTION('',(0.,-1.,0.)); #442485=DIRECTION('center_axis',(0.,0.,1.)); #442486=DIRECTION('ref_axis',(1.,0.,0.)); #442487=DIRECTION('center_axis',(0.,0.,1.)); #442488=DIRECTION('ref_axis',(1.,0.,0.)); #442489=DIRECTION('center_axis',(1.,0.,0.)); #442490=DIRECTION('ref_axis',(0.,1.,0.)); #442491=DIRECTION('',(0.,-1.,0.)); #442492=DIRECTION('',(0.,0.,1.)); #442493=DIRECTION('',(0.,1.,0.)); #442494=DIRECTION('',(0.,0.,1.)); #442495=DIRECTION('center_axis',(0.,-1.,0.)); #442496=DIRECTION('ref_axis',(1.,0.,0.)); #442497=DIRECTION('',(1.,0.,0.)); #442498=DIRECTION('',(1.,0.,0.)); #442499=DIRECTION('',(0.,0.,1.)); #442500=DIRECTION('center_axis',(0.,0.,1.)); #442501=DIRECTION('ref_axis',(0.,1.,0.)); #442502=DIRECTION('center_axis',(0.,0.,1.)); #442503=DIRECTION('ref_axis',(0.,1.,0.)); #442504=DIRECTION('center_axis',(0.,0.,1.)); #442505=DIRECTION('ref_axis',(0.,1.,0.)); #442506=DIRECTION('',(0.,0.,1.)); #442507=DIRECTION('center_axis',(-1.,0.,0.)); #442508=DIRECTION('ref_axis',(0.,-1.,0.)); #442509=DIRECTION('',(0.,-1.,0.)); #442510=DIRECTION('',(0.,-1.,0.)); #442511=DIRECTION('',(0.,0.,1.)); #442512=DIRECTION('center_axis',(0.,0.,1.)); #442513=DIRECTION('ref_axis',(0.,1.,0.)); #442514=DIRECTION('center_axis',(0.,0.,1.)); #442515=DIRECTION('ref_axis',(0.,1.,0.)); #442516=DIRECTION('center_axis',(0.,0.,1.)); #442517=DIRECTION('ref_axis',(0.,1.,0.)); #442518=DIRECTION('',(0.,0.,1.)); #442519=DIRECTION('center_axis',(0.,1.,0.)); #442520=DIRECTION('ref_axis',(-1.,0.,0.)); #442521=DIRECTION('',(-1.,0.,0.)); #442522=DIRECTION('',(-1.,0.,0.)); #442523=DIRECTION('center_axis',(0.,0.,1.)); #442524=DIRECTION('ref_axis',(1.,0.,0.)); #442525=DIRECTION('center_axis',(0.,0.,1.)); #442526=DIRECTION('ref_axis',(1.,0.,0.)); #442527=DIRECTION('center_axis',(1.,0.,0.)); #442528=DIRECTION('ref_axis',(0.,1.,0.)); #442529=DIRECTION('',(0.,-1.,0.)); #442530=DIRECTION('',(0.,0.,1.)); #442531=DIRECTION('',(0.,1.,0.)); #442532=DIRECTION('',(0.,0.,1.)); #442533=DIRECTION('center_axis',(0.,1.,0.)); #442534=DIRECTION('ref_axis',(-1.,0.,0.)); #442535=DIRECTION('',(-1.,0.,0.)); #442536=DIRECTION('',(0.,0.,1.)); #442537=DIRECTION('',(-1.,0.,0.)); #442538=DIRECTION('center_axis',(0.,0.,-1.)); #442539=DIRECTION('ref_axis',(0.,1.,0.)); #442540=DIRECTION('center_axis',(0.,0.,-1.)); #442541=DIRECTION('ref_axis',(0.,1.,0.)); #442542=DIRECTION('',(0.,0.,1.)); #442543=DIRECTION('center_axis',(0.,0.,-1.)); #442544=DIRECTION('ref_axis',(0.,1.,0.)); #442545=DIRECTION('center_axis',(-1.,0.,0.)); #442546=DIRECTION('ref_axis',(0.,-1.,0.)); #442547=DIRECTION('',(0.,-1.,0.)); #442548=DIRECTION('',(0.,0.,1.)); #442549=DIRECTION('',(0.,-1.,0.)); #442550=DIRECTION('center_axis',(0.,0.,-1.)); #442551=DIRECTION('ref_axis',(0.,1.,0.)); #442552=DIRECTION('center_axis',(0.,0.,-1.)); #442553=DIRECTION('ref_axis',(0.,1.,0.)); #442554=DIRECTION('',(0.,0.,1.)); #442555=DIRECTION('center_axis',(0.,0.,-1.)); #442556=DIRECTION('ref_axis',(0.,1.,0.)); #442557=DIRECTION('center_axis',(0.,-1.,0.)); #442558=DIRECTION('ref_axis',(1.,0.,0.)); #442559=DIRECTION('',(1.,0.,0.)); #442560=DIRECTION('',(1.,0.,0.)); #442561=DIRECTION('center_axis',(0.,0.,-1.)); #442562=DIRECTION('ref_axis',(-1.,0.,0.)); #442563=DIRECTION('center_axis',(0.,0.,-1.)); #442564=DIRECTION('ref_axis',(-1.,0.,0.)); #442565=DIRECTION('center_axis',(1.,0.,0.)); #442566=DIRECTION('ref_axis',(0.,1.,0.)); #442567=DIRECTION('',(0.,-1.,0.)); #442568=DIRECTION('',(0.,0.,1.)); #442569=DIRECTION('',(0.,1.,0.)); #442570=DIRECTION('',(0.,0.,1.)); #442571=DIRECTION('center_axis',(0.,-1.,0.)); #442572=DIRECTION('ref_axis',(1.,0.,0.)); #442573=DIRECTION('',(1.,0.,0.)); #442574=DIRECTION('',(1.,0.,0.)); #442575=DIRECTION('',(0.,0.,1.)); #442576=DIRECTION('center_axis',(0.,0.,1.)); #442577=DIRECTION('ref_axis',(0.,1.,0.)); #442578=DIRECTION('center_axis',(0.,0.,1.)); #442579=DIRECTION('ref_axis',(0.,1.,0.)); #442580=DIRECTION('center_axis',(0.,0.,1.)); #442581=DIRECTION('ref_axis',(0.,1.,0.)); #442582=DIRECTION('',(0.,0.,1.)); #442583=DIRECTION('center_axis',(-1.,0.,0.)); #442584=DIRECTION('ref_axis',(0.,-1.,0.)); #442585=DIRECTION('',(0.,-1.,0.)); #442586=DIRECTION('',(0.,-1.,0.)); #442587=DIRECTION('',(0.,0.,1.)); #442588=DIRECTION('center_axis',(0.,0.,1.)); #442589=DIRECTION('ref_axis',(0.,1.,0.)); #442590=DIRECTION('center_axis',(0.,0.,1.)); #442591=DIRECTION('ref_axis',(0.,1.,0.)); #442592=DIRECTION('center_axis',(0.,0.,1.)); #442593=DIRECTION('ref_axis',(0.,1.,0.)); #442594=DIRECTION('',(0.,0.,1.)); #442595=DIRECTION('center_axis',(0.,1.,0.)); #442596=DIRECTION('ref_axis',(-1.,0.,0.)); #442597=DIRECTION('',(-1.,0.,0.)); #442598=DIRECTION('',(-1.,0.,0.)); #442599=DIRECTION('center_axis',(0.,0.,1.)); #442600=DIRECTION('ref_axis',(1.,0.,0.)); #442601=DIRECTION('center_axis',(0.,0.,1.)); #442602=DIRECTION('ref_axis',(1.,0.,0.)); #442603=DIRECTION('center_axis',(1.,0.,0.)); #442604=DIRECTION('ref_axis',(0.,1.,0.)); #442605=DIRECTION('',(0.,-1.,0.)); #442606=DIRECTION('',(0.,0.,1.)); #442607=DIRECTION('',(0.,1.,0.)); #442608=DIRECTION('',(0.,0.,1.)); #442609=DIRECTION('center_axis',(0.,-1.,0.)); #442610=DIRECTION('ref_axis',(1.,0.,0.)); #442611=DIRECTION('',(1.,0.,0.)); #442612=DIRECTION('',(1.,0.,0.)); #442613=DIRECTION('',(0.,0.,1.)); #442614=DIRECTION('center_axis',(0.,0.,1.)); #442615=DIRECTION('ref_axis',(0.,1.,0.)); #442616=DIRECTION('center_axis',(0.,0.,1.)); #442617=DIRECTION('ref_axis',(0.,1.,0.)); #442618=DIRECTION('center_axis',(0.,0.,1.)); #442619=DIRECTION('ref_axis',(0.,1.,0.)); #442620=DIRECTION('',(0.,0.,1.)); #442621=DIRECTION('center_axis',(-1.,0.,0.)); #442622=DIRECTION('ref_axis',(0.,-1.,0.)); #442623=DIRECTION('',(0.,-1.,0.)); #442624=DIRECTION('',(0.,-1.,0.)); #442625=DIRECTION('',(0.,0.,1.)); #442626=DIRECTION('center_axis',(0.,0.,1.)); #442627=DIRECTION('ref_axis',(0.,1.,0.)); #442628=DIRECTION('center_axis',(0.,0.,1.)); #442629=DIRECTION('ref_axis',(0.,1.,0.)); #442630=DIRECTION('center_axis',(0.,0.,1.)); #442631=DIRECTION('ref_axis',(0.,1.,0.)); #442632=DIRECTION('',(0.,0.,1.)); #442633=DIRECTION('center_axis',(0.,1.,0.)); #442634=DIRECTION('ref_axis',(-1.,0.,0.)); #442635=DIRECTION('',(-1.,0.,0.)); #442636=DIRECTION('',(-1.,0.,0.)); #442637=DIRECTION('center_axis',(0.,0.,1.)); #442638=DIRECTION('ref_axis',(1.,0.,0.)); #442639=DIRECTION('center_axis',(0.,0.,1.)); #442640=DIRECTION('ref_axis',(1.,0.,0.)); #442641=DIRECTION('center_axis',(1.,0.,0.)); #442642=DIRECTION('ref_axis',(0.,1.,0.)); #442643=DIRECTION('',(0.,-1.,0.)); #442644=DIRECTION('',(0.,0.,1.)); #442645=DIRECTION('',(0.,1.,0.)); #442646=DIRECTION('',(0.,0.,1.)); #442647=DIRECTION('center_axis',(0.,-1.,0.)); #442648=DIRECTION('ref_axis',(1.,0.,0.)); #442649=DIRECTION('',(1.,0.,0.)); #442650=DIRECTION('',(1.,0.,0.)); #442651=DIRECTION('',(0.,0.,1.)); #442652=DIRECTION('center_axis',(0.,0.,1.)); #442653=DIRECTION('ref_axis',(0.,1.,0.)); #442654=DIRECTION('center_axis',(0.,0.,1.)); #442655=DIRECTION('ref_axis',(0.,1.,0.)); #442656=DIRECTION('center_axis',(0.,0.,1.)); #442657=DIRECTION('ref_axis',(0.,1.,0.)); #442658=DIRECTION('',(0.,0.,1.)); #442659=DIRECTION('center_axis',(-1.,0.,0.)); #442660=DIRECTION('ref_axis',(0.,-1.,0.)); #442661=DIRECTION('',(0.,-1.,0.)); #442662=DIRECTION('',(0.,-1.,0.)); #442663=DIRECTION('',(0.,0.,1.)); #442664=DIRECTION('center_axis',(0.,0.,1.)); #442665=DIRECTION('ref_axis',(0.,1.,0.)); #442666=DIRECTION('center_axis',(0.,0.,1.)); #442667=DIRECTION('ref_axis',(0.,1.,0.)); #442668=DIRECTION('center_axis',(0.,0.,1.)); #442669=DIRECTION('ref_axis',(0.,1.,0.)); #442670=DIRECTION('',(0.,0.,1.)); #442671=DIRECTION('center_axis',(0.,1.,0.)); #442672=DIRECTION('ref_axis',(-1.,0.,0.)); #442673=DIRECTION('',(-1.,0.,0.)); #442674=DIRECTION('',(-1.,0.,0.)); #442675=DIRECTION('center_axis',(0.,0.,1.)); #442676=DIRECTION('ref_axis',(1.,0.,0.)); #442677=DIRECTION('center_axis',(0.,0.,1.)); #442678=DIRECTION('ref_axis',(1.,0.,0.)); #442679=DIRECTION('center_axis',(1.,0.,0.)); #442680=DIRECTION('ref_axis',(0.,1.,0.)); #442681=DIRECTION('',(0.,-1.,0.)); #442682=DIRECTION('',(0.,0.,1.)); #442683=DIRECTION('',(0.,1.,0.)); #442684=DIRECTION('',(0.,0.,1.)); #442685=DIRECTION('center_axis',(0.,1.,0.)); #442686=DIRECTION('ref_axis',(-1.,0.,0.)); #442687=DIRECTION('',(-1.,0.,0.)); #442688=DIRECTION('',(0.,0.,1.)); #442689=DIRECTION('',(-1.,0.,0.)); #442690=DIRECTION('center_axis',(0.,0.,-1.)); #442691=DIRECTION('ref_axis',(0.,1.,0.)); #442692=DIRECTION('center_axis',(0.,0.,-1.)); #442693=DIRECTION('ref_axis',(0.,1.,0.)); #442694=DIRECTION('',(0.,0.,1.)); #442695=DIRECTION('center_axis',(0.,0.,-1.)); #442696=DIRECTION('ref_axis',(0.,1.,0.)); #442697=DIRECTION('center_axis',(-1.,0.,0.)); #442698=DIRECTION('ref_axis',(0.,-1.,0.)); #442699=DIRECTION('',(0.,-1.,0.)); #442700=DIRECTION('',(0.,0.,1.)); #442701=DIRECTION('',(0.,-1.,0.)); #442702=DIRECTION('center_axis',(0.,0.,-1.)); #442703=DIRECTION('ref_axis',(0.,1.,0.)); #442704=DIRECTION('center_axis',(0.,0.,-1.)); #442705=DIRECTION('ref_axis',(0.,1.,0.)); #442706=DIRECTION('',(0.,0.,1.)); #442707=DIRECTION('center_axis',(0.,0.,-1.)); #442708=DIRECTION('ref_axis',(0.,1.,0.)); #442709=DIRECTION('center_axis',(0.,-1.,0.)); #442710=DIRECTION('ref_axis',(1.,0.,0.)); #442711=DIRECTION('',(1.,0.,0.)); #442712=DIRECTION('',(1.,0.,0.)); #442713=DIRECTION('center_axis',(0.,0.,-1.)); #442714=DIRECTION('ref_axis',(-1.,0.,0.)); #442715=DIRECTION('center_axis',(0.,0.,-1.)); #442716=DIRECTION('ref_axis',(-1.,0.,0.)); #442717=DIRECTION('center_axis',(1.,0.,0.)); #442718=DIRECTION('ref_axis',(0.,1.,0.)); #442719=DIRECTION('',(0.,-1.,0.)); #442720=DIRECTION('',(0.,0.,1.)); #442721=DIRECTION('',(0.,1.,0.)); #442722=DIRECTION('',(0.,0.,1.)); #442723=DIRECTION('center_axis',(0.,1.,0.)); #442724=DIRECTION('ref_axis',(-1.,0.,0.)); #442725=DIRECTION('',(-1.,0.,0.)); #442726=DIRECTION('',(0.,0.,1.)); #442727=DIRECTION('',(-1.,0.,0.)); #442728=DIRECTION('center_axis',(0.,0.,-1.)); #442729=DIRECTION('ref_axis',(0.,1.,0.)); #442730=DIRECTION('center_axis',(0.,0.,-1.)); #442731=DIRECTION('ref_axis',(0.,1.,0.)); #442732=DIRECTION('',(0.,0.,1.)); #442733=DIRECTION('center_axis',(0.,0.,-1.)); #442734=DIRECTION('ref_axis',(0.,1.,0.)); #442735=DIRECTION('center_axis',(-1.,0.,0.)); #442736=DIRECTION('ref_axis',(0.,-1.,0.)); #442737=DIRECTION('',(0.,-1.,0.)); #442738=DIRECTION('',(0.,0.,1.)); #442739=DIRECTION('',(0.,-1.,0.)); #442740=DIRECTION('center_axis',(0.,0.,-1.)); #442741=DIRECTION('ref_axis',(0.,1.,0.)); #442742=DIRECTION('center_axis',(0.,0.,-1.)); #442743=DIRECTION('ref_axis',(0.,1.,0.)); #442744=DIRECTION('',(0.,0.,1.)); #442745=DIRECTION('center_axis',(0.,0.,-1.)); #442746=DIRECTION('ref_axis',(0.,1.,0.)); #442747=DIRECTION('center_axis',(0.,-1.,0.)); #442748=DIRECTION('ref_axis',(1.,0.,0.)); #442749=DIRECTION('',(1.,0.,0.)); #442750=DIRECTION('',(1.,0.,0.)); #442751=DIRECTION('center_axis',(0.,0.,-1.)); #442752=DIRECTION('ref_axis',(-1.,0.,0.)); #442753=DIRECTION('center_axis',(0.,0.,-1.)); #442754=DIRECTION('ref_axis',(-1.,0.,0.)); #442755=DIRECTION('center_axis',(1.,0.,0.)); #442756=DIRECTION('ref_axis',(0.,1.,0.)); #442757=DIRECTION('',(0.,-1.,0.)); #442758=DIRECTION('',(0.,0.,1.)); #442759=DIRECTION('',(0.,1.,0.)); #442760=DIRECTION('',(0.,0.,1.)); #442761=DIRECTION('center_axis',(0.,1.,0.)); #442762=DIRECTION('ref_axis',(-1.,0.,0.)); #442763=DIRECTION('',(-1.,0.,0.)); #442764=DIRECTION('',(0.,0.,1.)); #442765=DIRECTION('',(-1.,0.,0.)); #442766=DIRECTION('center_axis',(0.,0.,-1.)); #442767=DIRECTION('ref_axis',(0.,1.,0.)); #442768=DIRECTION('center_axis',(0.,0.,-1.)); #442769=DIRECTION('ref_axis',(0.,1.,0.)); #442770=DIRECTION('',(0.,0.,1.)); #442771=DIRECTION('center_axis',(0.,0.,-1.)); #442772=DIRECTION('ref_axis',(0.,1.,0.)); #442773=DIRECTION('center_axis',(-1.,0.,0.)); #442774=DIRECTION('ref_axis',(0.,-1.,0.)); #442775=DIRECTION('',(0.,-1.,0.)); #442776=DIRECTION('',(0.,0.,1.)); #442777=DIRECTION('',(0.,-1.,0.)); #442778=DIRECTION('center_axis',(0.,0.,-1.)); #442779=DIRECTION('ref_axis',(0.,1.,0.)); #442780=DIRECTION('center_axis',(0.,0.,-1.)); #442781=DIRECTION('ref_axis',(0.,1.,0.)); #442782=DIRECTION('',(0.,0.,1.)); #442783=DIRECTION('center_axis',(0.,0.,-1.)); #442784=DIRECTION('ref_axis',(0.,1.,0.)); #442785=DIRECTION('center_axis',(0.,-1.,0.)); #442786=DIRECTION('ref_axis',(1.,0.,0.)); #442787=DIRECTION('',(1.,0.,0.)); #442788=DIRECTION('',(1.,0.,0.)); #442789=DIRECTION('center_axis',(0.,0.,-1.)); #442790=DIRECTION('ref_axis',(-1.,0.,0.)); #442791=DIRECTION('center_axis',(0.,0.,-1.)); #442792=DIRECTION('ref_axis',(-1.,0.,0.)); #442793=DIRECTION('center_axis',(0.,-1.,0.)); #442794=DIRECTION('ref_axis',(1.,0.,0.)); #442795=DIRECTION('',(1.,0.,0.)); #442796=DIRECTION('',(0.,0.,1.)); #442797=DIRECTION('',(1.,0.,0.)); #442798=DIRECTION('',(0.,0.,1.)); #442799=DIRECTION('center_axis',(1.,0.,0.)); #442800=DIRECTION('ref_axis',(0.,1.,0.)); #442801=DIRECTION('',(0.,1.,0.)); #442802=DIRECTION('',(0.,0.,1.)); #442803=DIRECTION('',(0.,1.,0.)); #442804=DIRECTION('center_axis',(0.,1.,0.)); #442805=DIRECTION('ref_axis',(-1.,0.,0.)); #442806=DIRECTION('',(-1.,0.,0.)); #442807=DIRECTION('',(0.,0.,1.)); #442808=DIRECTION('',(-1.,0.,0.)); #442809=DIRECTION('center_axis',(-1.,0.,0.)); #442810=DIRECTION('ref_axis',(0.,-1.,0.)); #442811=DIRECTION('',(0.,-1.,0.)); #442812=DIRECTION('',(0.,-1.,0.)); #442813=DIRECTION('center_axis',(0.,0.,-1.)); #442814=DIRECTION('ref_axis',(-1.,0.,0.)); #442815=DIRECTION('center_axis',(0.,0.,-1.)); #442816=DIRECTION('ref_axis',(-1.,0.,0.)); #442817=DIRECTION('center_axis',(0.,1.,0.)); #442818=DIRECTION('ref_axis',(-1.,0.,0.)); #442819=DIRECTION('',(-1.,0.,0.)); #442820=DIRECTION('',(0.,0.,1.)); #442821=DIRECTION('',(-1.,0.,0.)); #442822=DIRECTION('',(0.,0.,1.)); #442823=DIRECTION('center_axis',(1.,0.,0.)); #442824=DIRECTION('ref_axis',(0.,1.,0.)); #442825=DIRECTION('',(0.,1.,0.)); #442826=DIRECTION('',(0.,1.,0.)); #442827=DIRECTION('',(0.,0.,1.)); #442828=DIRECTION('center_axis',(0.,-1.,0.)); #442829=DIRECTION('ref_axis',(1.,0.,0.)); #442830=DIRECTION('',(1.,0.,0.)); #442831=DIRECTION('',(1.,0.,0.)); #442832=DIRECTION('',(0.,0.,1.)); #442833=DIRECTION('center_axis',(-1.,0.,0.)); #442834=DIRECTION('ref_axis',(0.,-1.,0.)); #442835=DIRECTION('',(0.,-1.,0.)); #442836=DIRECTION('',(0.,-1.,0.)); #442837=DIRECTION('center_axis',(0.,0.,1.)); #442838=DIRECTION('ref_axis',(1.,0.,0.)); #442839=DIRECTION('center_axis',(0.,0.,1.)); #442840=DIRECTION('ref_axis',(1.,0.,0.)); #442841=DIRECTION('center_axis',(0.,1.,0.)); #442842=DIRECTION('ref_axis',(-1.,0.,0.)); #442843=DIRECTION('',(-1.,0.,0.)); #442844=DIRECTION('',(0.,0.,1.)); #442845=DIRECTION('',(-1.,0.,0.)); #442846=DIRECTION('',(0.,0.,1.)); #442847=DIRECTION('center_axis',(1.,0.,0.)); #442848=DIRECTION('ref_axis',(0.,1.,0.)); #442849=DIRECTION('',(0.,1.,0.)); #442850=DIRECTION('',(0.,1.,0.)); #442851=DIRECTION('',(0.,0.,1.)); #442852=DIRECTION('center_axis',(0.,-1.,0.)); #442853=DIRECTION('ref_axis',(1.,0.,0.)); #442854=DIRECTION('',(1.,0.,0.)); #442855=DIRECTION('',(1.,0.,0.)); #442856=DIRECTION('',(0.,0.,1.)); #442857=DIRECTION('center_axis',(-1.,0.,0.)); #442858=DIRECTION('ref_axis',(0.,-1.,0.)); #442859=DIRECTION('',(0.,-1.,0.)); #442860=DIRECTION('',(0.,-1.,0.)); #442861=DIRECTION('center_axis',(0.,0.,1.)); #442862=DIRECTION('ref_axis',(1.,0.,0.)); #442863=DIRECTION('center_axis',(0.,0.,1.)); #442864=DIRECTION('ref_axis',(1.,0.,0.)); #442865=DIRECTION('center_axis',(0.,1.,0.)); #442866=DIRECTION('ref_axis',(-1.,0.,0.)); #442867=DIRECTION('',(-1.,0.,0.)); #442868=DIRECTION('',(0.,0.,1.)); #442869=DIRECTION('',(-1.,0.,0.)); #442870=DIRECTION('',(0.,0.,1.)); #442871=DIRECTION('center_axis',(1.,0.,0.)); #442872=DIRECTION('ref_axis',(0.,1.,0.)); #442873=DIRECTION('',(0.,1.,0.)); #442874=DIRECTION('',(0.,1.,0.)); #442875=DIRECTION('',(0.,0.,1.)); #442876=DIRECTION('center_axis',(0.,-1.,0.)); #442877=DIRECTION('ref_axis',(1.,0.,0.)); #442878=DIRECTION('',(1.,0.,0.)); #442879=DIRECTION('',(1.,0.,0.)); #442880=DIRECTION('',(0.,0.,1.)); #442881=DIRECTION('center_axis',(-1.,0.,0.)); #442882=DIRECTION('ref_axis',(0.,-1.,0.)); #442883=DIRECTION('',(0.,-1.,0.)); #442884=DIRECTION('',(0.,-1.,0.)); #442885=DIRECTION('center_axis',(0.,0.,1.)); #442886=DIRECTION('ref_axis',(1.,0.,0.)); #442887=DIRECTION('center_axis',(0.,0.,1.)); #442888=DIRECTION('ref_axis',(1.,0.,0.)); #442889=DIRECTION('center_axis',(0.,-1.,0.)); #442890=DIRECTION('ref_axis',(1.,0.,0.)); #442891=DIRECTION('',(1.,0.,0.)); #442892=DIRECTION('',(0.,0.,1.)); #442893=DIRECTION('',(1.,0.,0.)); #442894=DIRECTION('',(0.,0.,1.)); #442895=DIRECTION('center_axis',(1.,0.,0.)); #442896=DIRECTION('ref_axis',(0.,1.,0.)); #442897=DIRECTION('',(0.,1.,0.)); #442898=DIRECTION('',(0.,0.,1.)); #442899=DIRECTION('',(0.,1.,0.)); #442900=DIRECTION('center_axis',(0.,1.,0.)); #442901=DIRECTION('ref_axis',(-1.,0.,0.)); #442902=DIRECTION('',(-1.,0.,0.)); #442903=DIRECTION('',(0.,0.,1.)); #442904=DIRECTION('',(-1.,0.,0.)); #442905=DIRECTION('center_axis',(-1.,0.,0.)); #442906=DIRECTION('ref_axis',(0.,-1.,0.)); #442907=DIRECTION('',(0.,-1.,0.)); #442908=DIRECTION('',(0.,-1.,0.)); #442909=DIRECTION('center_axis',(0.,0.,-1.)); #442910=DIRECTION('ref_axis',(-1.,0.,0.)); #442911=DIRECTION('center_axis',(0.,0.,-1.)); #442912=DIRECTION('ref_axis',(-1.,0.,0.)); #442913=DIRECTION('center_axis',(0.,-1.,0.)); #442914=DIRECTION('ref_axis',(1.,0.,0.)); #442915=DIRECTION('',(1.,0.,0.)); #442916=DIRECTION('',(0.,0.,1.)); #442917=DIRECTION('',(1.,0.,0.)); #442918=DIRECTION('',(0.,0.,1.)); #442919=DIRECTION('center_axis',(1.,0.,0.)); #442920=DIRECTION('ref_axis',(0.,1.,0.)); #442921=DIRECTION('',(0.,1.,0.)); #442922=DIRECTION('',(0.,0.,1.)); #442923=DIRECTION('',(0.,1.,0.)); #442924=DIRECTION('center_axis',(0.,1.,0.)); #442925=DIRECTION('ref_axis',(-1.,0.,0.)); #442926=DIRECTION('',(-1.,0.,0.)); #442927=DIRECTION('',(0.,0.,1.)); #442928=DIRECTION('',(-1.,0.,0.)); #442929=DIRECTION('center_axis',(-1.,0.,0.)); #442930=DIRECTION('ref_axis',(0.,-1.,0.)); #442931=DIRECTION('',(0.,-1.,0.)); #442932=DIRECTION('',(0.,-1.,0.)); #442933=DIRECTION('center_axis',(0.,0.,-1.)); #442934=DIRECTION('ref_axis',(-1.,0.,0.)); #442935=DIRECTION('center_axis',(0.,0.,-1.)); #442936=DIRECTION('ref_axis',(-1.,0.,0.)); #442937=DIRECTION('center_axis',(0.,-1.,0.)); #442938=DIRECTION('ref_axis',(1.,0.,0.)); #442939=DIRECTION('',(1.,0.,0.)); #442940=DIRECTION('',(0.,0.,1.)); #442941=DIRECTION('',(1.,0.,0.)); #442942=DIRECTION('',(0.,0.,1.)); #442943=DIRECTION('center_axis',(1.,0.,0.)); #442944=DIRECTION('ref_axis',(0.,1.,0.)); #442945=DIRECTION('',(0.,1.,0.)); #442946=DIRECTION('',(0.,0.,1.)); #442947=DIRECTION('',(0.,1.,0.)); #442948=DIRECTION('center_axis',(0.,1.,0.)); #442949=DIRECTION('ref_axis',(-1.,0.,0.)); #442950=DIRECTION('',(-1.,0.,0.)); #442951=DIRECTION('',(0.,0.,1.)); #442952=DIRECTION('',(-1.,0.,0.)); #442953=DIRECTION('center_axis',(-1.,0.,0.)); #442954=DIRECTION('ref_axis',(0.,-1.,0.)); #442955=DIRECTION('',(0.,-1.,0.)); #442956=DIRECTION('',(0.,-1.,0.)); #442957=DIRECTION('center_axis',(0.,0.,-1.)); #442958=DIRECTION('ref_axis',(-1.,0.,0.)); #442959=DIRECTION('center_axis',(0.,0.,-1.)); #442960=DIRECTION('ref_axis',(-1.,0.,0.)); #442961=DIRECTION('center_axis',(0.,-1.,0.)); #442962=DIRECTION('ref_axis',(1.,0.,0.)); #442963=DIRECTION('',(1.,0.,0.)); #442964=DIRECTION('',(0.,0.,1.)); #442965=DIRECTION('',(1.,0.,0.)); #442966=DIRECTION('',(0.,0.,1.)); #442967=DIRECTION('center_axis',(-1.,0.,0.)); #442968=DIRECTION('ref_axis',(0.,-1.,0.)); #442969=DIRECTION('',(0.,-1.,0.)); #442970=DIRECTION('',(0.,-1.,0.)); #442971=DIRECTION('',(0.,0.,1.)); #442972=DIRECTION('center_axis',(0.,1.,0.)); #442973=DIRECTION('ref_axis',(-1.,0.,0.)); #442974=DIRECTION('',(-1.,0.,0.)); #442975=DIRECTION('',(-1.,0.,0.)); #442976=DIRECTION('',(0.,0.,1.)); #442977=DIRECTION('center_axis',(1.,0.,0.)); #442978=DIRECTION('ref_axis',(0.,1.,0.)); #442979=DIRECTION('',(0.,1.,0.)); #442980=DIRECTION('',(0.,1.,0.)); #442981=DIRECTION('center_axis',(0.,0.,1.)); #442982=DIRECTION('ref_axis',(1.,0.,0.)); #442983=DIRECTION('center_axis',(0.,0.,1.)); #442984=DIRECTION('ref_axis',(1.,0.,0.)); #442985=DIRECTION('',(0.,0.,1.)); #442986=DIRECTION('',(1.,0.,0.)); #442987=DIRECTION('axis',(0.,0.,1.)); #442988=DIRECTION('refdir',(1.,0.,0.)); #442989=DIRECTION('axis',(0.,0.,1.)); #442990=DIRECTION('refdir',(1.,0.,0.)); #442991=DIRECTION('center_axis',(-0.994505452921406,0.,0.104684784518043)); #442992=DIRECTION('ref_axis',(0.104684784518043,0.,0.994505452921406)); #442993=DIRECTION('',(0.,1.,0.)); #442994=DIRECTION('',(-0.104115841259071,0.104115841259071,-0.989100491961172)); #442995=DIRECTION('',(0.,-1.,0.)); #442996=DIRECTION('',(-0.104115841259071,-0.104115841259071,-0.989100491961172)); #442997=DIRECTION('center_axis',(0.,0.994505452921406,0.104684784518043)); #442998=DIRECTION('ref_axis',(0.,-0.104684784518043,0.994505452921406)); #442999=DIRECTION('',(1.,0.,0.)); #443000=DIRECTION('',(0.104115841259071,0.104115841259071,-0.989100491961172)); #443001=DIRECTION('',(-1.,0.,0.)); #443002=DIRECTION('center_axis',(0.994505452921406,0.,0.104684784518043)); #443003=DIRECTION('ref_axis',(0.104684784518043,0.,-0.994505452921406)); #443004=DIRECTION('',(0.,-1.,0.)); #443005=DIRECTION('',(0.104115841259071,-0.104115841259071,-0.989100491961172)); #443006=DIRECTION('',(0.,1.,0.)); #443007=DIRECTION('center_axis',(0.,-0.994505452921406,0.104684784518043)); #443008=DIRECTION('ref_axis',(0.,-0.104684784518043,-0.994505452921406)); #443009=DIRECTION('',(1.,0.,0.)); #443010=DIRECTION('',(-1.,0.,0.)); #443011=DIRECTION('center_axis',(0.,-1.,0.)); #443012=DIRECTION('ref_axis',(1.,0.,0.)); #443013=DIRECTION('',(0.,0.,1.)); #443014=DIRECTION('',(1.,0.,0.)); #443015=DIRECTION('',(0.,0.,1.)); #443016=DIRECTION('center_axis',(-1.,0.,0.)); #443017=DIRECTION('ref_axis',(0.,-1.,0.)); #443018=DIRECTION('',(0.,0.,1.)); #443019=DIRECTION('',(0.,-1.,0.)); #443020=DIRECTION('center_axis',(0.,0.,1.)); #443021=DIRECTION('ref_axis',(1.,0.,0.)); #443022=DIRECTION('center_axis',(0.,1.,0.)); #443023=DIRECTION('ref_axis',(-1.,0.,0.)); #443024=DIRECTION('',(0.,0.,1.)); #443025=DIRECTION('',(-1.,0.,0.)); #443026=DIRECTION('center_axis',(1.,0.,0.)); #443027=DIRECTION('ref_axis',(0.,1.,0.)); #443028=DIRECTION('',(0.,1.,0.)); #443029=DIRECTION('center_axis',(0.,0.,1.)); #443030=DIRECTION('ref_axis',(1.,0.,0.)); #443031=DIRECTION('center_axis',(1.,0.,0.)); #443032=DIRECTION('ref_axis',(0.,1.,0.)); #443033=DIRECTION('',(0.,-1.,0.)); #443034=DIRECTION('',(0.,0.,1.)); #443035=DIRECTION('',(0.,1.,0.)); #443036=DIRECTION('',(0.,0.,1.)); #443037=DIRECTION('center_axis',(0.,-1.,0.)); #443038=DIRECTION('ref_axis',(1.,0.,0.)); #443039=DIRECTION('',(1.,0.,0.)); #443040=DIRECTION('',(1.,0.,0.)); #443041=DIRECTION('',(0.,0.,1.)); #443042=DIRECTION('center_axis',(-1.,0.,0.)); #443043=DIRECTION('ref_axis',(0.,-1.,0.)); #443044=DIRECTION('',(0.,-1.,0.)); #443045=DIRECTION('',(0.,-1.,0.)); #443046=DIRECTION('',(0.,0.,1.)); #443047=DIRECTION('center_axis',(0.,1.,0.)); #443048=DIRECTION('ref_axis',(-1.,0.,0.)); #443049=DIRECTION('',(-1.,0.,0.)); #443050=DIRECTION('',(-1.,0.,0.)); #443051=DIRECTION('center_axis',(0.,0.,1.)); #443052=DIRECTION('ref_axis',(1.,0.,0.)); #443053=DIRECTION('center_axis',(0.,0.,1.)); #443054=DIRECTION('ref_axis',(1.,0.,0.)); #443055=DIRECTION('center_axis',(0.,1.,0.)); #443056=DIRECTION('ref_axis',(-1.,0.,0.)); #443057=DIRECTION('',(-1.,0.,0.)); #443058=DIRECTION('',(0.,0.,1.)); #443059=DIRECTION('',(-1.,0.,0.)); #443060=DIRECTION('',(0.,0.,1.)); #443061=DIRECTION('center_axis',(1.,0.,0.)); #443062=DIRECTION('ref_axis',(0.,1.,0.)); #443063=DIRECTION('',(0.,1.,0.)); #443064=DIRECTION('',(0.,1.,0.)); #443065=DIRECTION('',(0.,0.,1.)); #443066=DIRECTION('center_axis',(0.,-1.,0.)); #443067=DIRECTION('ref_axis',(1.,0.,0.)); #443068=DIRECTION('',(1.,0.,0.)); #443069=DIRECTION('',(1.,0.,0.)); #443070=DIRECTION('',(0.,0.,1.)); #443071=DIRECTION('center_axis',(-1.,0.,0.)); #443072=DIRECTION('ref_axis',(0.,-1.,0.)); #443073=DIRECTION('',(0.,-1.,0.)); #443074=DIRECTION('',(0.,-1.,0.)); #443075=DIRECTION('center_axis',(0.,0.,1.)); #443076=DIRECTION('ref_axis',(1.,0.,0.)); #443077=DIRECTION('center_axis',(0.,0.,1.)); #443078=DIRECTION('ref_axis',(1.,0.,0.)); #443079=DIRECTION('center_axis',(0.,1.,0.)); #443080=DIRECTION('ref_axis',(-1.,0.,0.)); #443081=DIRECTION('',(1.,0.,0.)); #443082=DIRECTION('',(0.,0.,1.)); #443083=DIRECTION('',(-1.,0.,0.)); #443084=DIRECTION('',(0.,0.,1.)); #443085=DIRECTION('center_axis',(1.,0.,0.)); #443086=DIRECTION('ref_axis',(0.,1.,0.)); #443087=DIRECTION('',(0.,-1.,0.)); #443088=DIRECTION('',(0.,1.,0.)); #443089=DIRECTION('',(0.,0.,1.)); #443090=DIRECTION('center_axis',(0.,-1.,0.)); #443091=DIRECTION('ref_axis',(1.,0.,0.)); #443092=DIRECTION('',(-1.,0.,0.)); #443093=DIRECTION('',(1.,0.,0.)); #443094=DIRECTION('',(0.,0.,1.)); #443095=DIRECTION('center_axis',(-1.,0.,0.)); #443096=DIRECTION('ref_axis',(0.,-1.,0.)); #443097=DIRECTION('',(0.,1.,0.)); #443098=DIRECTION('',(0.,-1.,0.)); #443099=DIRECTION('center_axis',(0.,0.,1.)); #443100=DIRECTION('ref_axis',(1.,0.,0.)); #443101=DIRECTION('center_axis',(0.,0.,1.)); #443102=DIRECTION('ref_axis',(1.,0.,0.)); #443103=DIRECTION('center_axis',(0.,-1.,0.)); #443104=DIRECTION('ref_axis',(1.,0.,0.)); #443105=DIRECTION('',(-1.,0.,0.)); #443106=DIRECTION('',(0.,0.,1.)); #443107=DIRECTION('',(1.,0.,0.)); #443108=DIRECTION('',(0.,0.,1.)); #443109=DIRECTION('center_axis',(-1.,0.,0.)); #443110=DIRECTION('ref_axis',(0.,-1.,0.)); #443111=DIRECTION('',(0.,1.,0.)); #443112=DIRECTION('',(0.,-1.,0.)); #443113=DIRECTION('',(0.,0.,1.)); #443114=DIRECTION('center_axis',(0.,1.,0.)); #443115=DIRECTION('ref_axis',(-1.,0.,0.)); #443116=DIRECTION('',(1.,0.,0.)); #443117=DIRECTION('',(-1.,0.,0.)); #443118=DIRECTION('',(0.,0.,1.)); #443119=DIRECTION('center_axis',(1.,0.,0.)); #443120=DIRECTION('ref_axis',(0.,1.,0.)); #443121=DIRECTION('',(0.,1.,0.)); #443122=DIRECTION('',(0.,1.,0.)); #443123=DIRECTION('center_axis',(0.,0.,1.)); #443124=DIRECTION('ref_axis',(1.,0.,0.)); #443125=DIRECTION('center_axis',(0.,0.,1.)); #443126=DIRECTION('ref_axis',(1.,0.,0.)); #443127=DIRECTION('',(0.,0.,1.)); #443128=DIRECTION('',(1.,0.,0.)); #443129=DIRECTION('axis',(0.,0.,1.)); #443130=DIRECTION('refdir',(1.,0.,0.)); #443131=DIRECTION('axis',(0.,0.,1.)); #443132=DIRECTION('refdir',(1.,0.,0.)); #443133=DIRECTION('center_axis',(0.,0.,-1.)); #443134=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443135=DIRECTION('center_axis',(0.,0.,1.)); #443136=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443137=DIRECTION('',(0.,0.,-1.)); #443138=DIRECTION('center_axis',(0.,0.,1.)); #443139=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443140=DIRECTION('center_axis',(0.,0.,-1.)); #443141=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443142=DIRECTION('center_axis',(0.,0.,1.)); #443143=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443144=DIRECTION('',(0.,0.,1.)); #443145=DIRECTION('center_axis',(0.,0.,1.)); #443146=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443147=DIRECTION('center_axis',(0.,0.,1.)); #443148=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443149=DIRECTION('center_axis',(0.,0.,1.)); #443150=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443151=DIRECTION('center_axis',(0.,0.,1.)); #443152=DIRECTION('ref_axis',(-0.716996251031681,0.697077023008587,0.)); #443153=DIRECTION('',(0.,0.,1.)); #443154=DIRECTION('center_axis',(0.,0.,1.)); #443155=DIRECTION('ref_axis',(-1.,0.,0.)); #443156=DIRECTION('',(0.,0.,1.)); #443157=DIRECTION('center_axis',(0.,0.,1.)); #443158=DIRECTION('ref_axis',(-1.,0.,0.)); #443159=DIRECTION('',(0.,0.,1.)); #443160=DIRECTION('center_axis',(0.,0.,1.)); #443161=DIRECTION('ref_axis',(-1.,0.,0.)); #443162=DIRECTION('center_axis',(0.,0.,1.)); #443163=DIRECTION('ref_axis',(-0.936617633732484,-0.350353261981908,0.)); #443164=DIRECTION('',(0.,0.,-1.)); #443165=DIRECTION('center_axis',(0.,0.,-1.)); #443166=DIRECTION('ref_axis',(-1.,0.,0.)); #443167=DIRECTION('center_axis',(0.,0.,1.)); #443168=DIRECTION('ref_axis',(-1.,0.,0.)); #443169=DIRECTION('',(0.,0.,-1.)); #443170=DIRECTION('center_axis',(0.,0.,1.)); #443171=DIRECTION('ref_axis',(0.572926515548536,0.819606739712048,0.)); #443172=DIRECTION('',(0.,0.,-1.)); #443173=DIRECTION('center_axis',(0.,0.,1.)); #443174=DIRECTION('ref_axis',(-1.,0.,0.)); #443175=DIRECTION('center_axis',(0.,0.,1.)); #443176=DIRECTION('ref_axis',(-1.,0.,0.)); #443177=DIRECTION('center_axis',(0.,0.,1.)); #443178=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443179=DIRECTION('',(-1.,-7.105427357601E-17,0.)); #443180=DIRECTION('',(-0.5,0.866025403784439,0.)); #443181=DIRECTION('',(0.5,0.866025403784439,0.)); #443182=DIRECTION('',(1.,3.5527136788005E-16,0.)); #443183=DIRECTION('',(0.5,-0.866025403784438,0.)); #443184=DIRECTION('',(-0.5,-0.866025403784438,0.)); #443185=DIRECTION('center_axis',(0.,-1.,0.)); #443186=DIRECTION('ref_axis',(1.,6.93889390390723E-17,0.)); #443187=DIRECTION('',(0.,0.,-1.)); #443188=DIRECTION('',(0.,0.,-1.)); #443189=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #443190=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #443191=DIRECTION('',(0.,0.,-1.)); #443192=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #443193=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #443194=DIRECTION('',(0.,0.,-1.)); #443195=DIRECTION('center_axis',(-2.22044604925031E-16,1.,0.)); #443196=DIRECTION('ref_axis',(-1.,-2.77555756156289E-16,0.)); #443197=DIRECTION('',(0.,0.,-1.)); #443198=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #443199=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #443200=DIRECTION('',(0.,0.,-1.)); #443201=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #443202=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #443203=DIRECTION('center_axis',(0.,0.,1.)); #443204=DIRECTION('ref_axis',(-1.,0.,0.)); #443205=DIRECTION('',(0.,0.,-1.)); #443206=DIRECTION('center_axis',(0.,0.,1.)); #443207=DIRECTION('ref_axis',(-1.,0.,0.)); #443208=DIRECTION('center_axis',(0.,0.,1.)); #443209=DIRECTION('ref_axis',(-1.,0.,0.)); #443210=DIRECTION('',(0.,0.,-1.)); #443211=DIRECTION('center_axis',(0.,0.,1.)); #443212=DIRECTION('ref_axis',(-1.,0.,0.)); #443213=DIRECTION('',(0.,0.,-1.)); #443214=DIRECTION('center_axis',(0.,0.,1.)); #443215=DIRECTION('ref_axis',(-1.,0.,0.)); #443216=DIRECTION('',(0.,0.,-1.)); #443217=DIRECTION('center_axis',(0.,0.,1.)); #443218=DIRECTION('ref_axis',(-1.,0.,0.)); #443219=DIRECTION('',(0.,0.,-1.)); #443220=DIRECTION('center_axis',(0.,0.,1.)); #443221=DIRECTION('ref_axis',(-1.,0.,0.)); #443222=DIRECTION('',(0.,0.,-1.)); #443223=DIRECTION('center_axis',(0.,0.,1.)); #443224=DIRECTION('ref_axis',(-1.,0.,0.)); #443225=DIRECTION('',(0.,0.,-1.)); #443226=DIRECTION('center_axis',(0.,0.,1.)); #443227=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443228=DIRECTION('',(0.,0.,1.)); #443229=DIRECTION('center_axis',(0.,0.,1.)); #443230=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443231=DIRECTION('center_axis',(0.,0.,1.)); #443232=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443233=DIRECTION('',(0.,0.,1.)); #443234=DIRECTION('center_axis',(0.,0.,1.)); #443235=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443236=DIRECTION('center_axis',(0.,0.,1.)); #443237=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443238=DIRECTION('',(0.,0.,1.)); #443239=DIRECTION('center_axis',(0.,0.,1.)); #443240=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443241=DIRECTION('',(0.,0.,1.)); #443242=DIRECTION('center_axis',(0.,0.,1.)); #443243=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443244=DIRECTION('',(0.,0.,1.)); #443245=DIRECTION('center_axis',(0.,0.,1.)); #443246=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443247=DIRECTION('',(0.,0.,1.)); #443248=DIRECTION('center_axis',(0.,0.,1.)); #443249=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #443250=DIRECTION('',(0.,0.,1.)); #443251=DIRECTION('center_axis',(0.,1.,0.)); #443252=DIRECTION('ref_axis',(0.,0.,1.)); #443253=DIRECTION('',(-1.,0.,0.)); #443254=DIRECTION('',(-7.137153981444E-15,0.,-1.)); #443255=DIRECTION('',(-1.,0.,0.)); #443256=DIRECTION('',(0.,0.,-1.)); #443257=DIRECTION('center_axis',(0.,1.,0.)); #443258=DIRECTION('ref_axis',(0.,0.,1.)); #443259=DIRECTION('',(-1.,0.,0.)); #443260=DIRECTION('',(7.13714620256007E-15,0.,1.)); #443261=DIRECTION('',(-1.,0.,0.)); #443262=DIRECTION('',(0.,0.,1.)); #443263=DIRECTION('center_axis',(-1.,0.,0.)); #443264=DIRECTION('ref_axis',(0.,0.,1.)); #443265=DIRECTION('',(-7.13715398144343E-15,-2.5469456057311E-29,-1.)); #443266=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #443267=DIRECTION('',(7.13715398144343E-15,2.5469456057311E-29,1.)); #443268=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #443269=DIRECTION('center_axis',(-1.,0.,0.)); #443270=DIRECTION('ref_axis',(0.,0.,1.)); #443271=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #443272=DIRECTION('',(-7.13715398144343E-15,0.,-1.)); #443273=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #443274=DIRECTION('',(-7.13715398144343E-15,-2.54694557473962E-29,-1.)); #443275=DIRECTION('center_axis',(1.,0.,0.)); #443276=DIRECTION('ref_axis',(0.,0.,-1.)); #443277=DIRECTION('',(-3.56857311546411E-15,1.,-1.28102656504955E-15)); #443278=DIRECTION('',(-7.13714620256007E-15,0.,-1.)); #443279=DIRECTION('',(-3.56857310128028E-15,1.,-1.28102656504955E-15)); #443280=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #443281=DIRECTION('center_axis',(1.,0.,0.)); #443282=DIRECTION('ref_axis',(0.,0.,-1.)); #443283=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #443284=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #443285=DIRECTION('',(7.13714620256054E-15,2.54694279583621E-29,1.)); #443286=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #443287=DIRECTION('center_axis',(0.866025403784439,-0.5,-8.05588776216133E-17)); #443288=DIRECTION('ref_axis',(-6.5209077923577E-18,1.49823211635391E-16, -1.)); #443289=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #443290=DIRECTION('',(-0.5,-0.866025403784439,0.)); #443291=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #443292=DIRECTION('',(0.5,0.866025403784439,0.)); #443293=DIRECTION('',(0.5,0.866025403784439,0.)); #443294=DIRECTION('',(0.5,0.866025403784439,0.)); #443295=DIRECTION('',(0.5,0.866025403784439,0.)); #443296=DIRECTION('center_axis',(-0.866025403784439,0.5,-8.05588776216158E-17)); #443297=DIRECTION('ref_axis',(-1.33011161249002E-16,-6.92643340137767E-17, 1.)); #443298=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #443299=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #443300=DIRECTION('',(0.5,0.866025403784439,0.)); #443301=DIRECTION('center_axis',(-0.5,-0.866025403784439,-1.26490253456642E-16)); #443302=DIRECTION('ref_axis',(6.32451267283211E-17,1.09543772824585E-16, -1.)); #443303=DIRECTION('',(0.866025403784439,-0.5,0.)); #443304=DIRECTION('',(0.866025403784438,-0.5,0.)); #443305=DIRECTION('center_axis',(0.,0.,-1.)); #443306=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443307=DIRECTION('',(0.0434828493834553,-0.999054173610969,0.)); #443308=DIRECTION('center_axis',(0.,0.,1.)); #443309=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443310=DIRECTION('center_axis',(0.,0.,1.)); #443311=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443312=DIRECTION('',(-0.886947718795699,-0.461869834610474,0.)); #443313=DIRECTION('',(-0.5,-0.866025403784438,0.)); #443314=DIRECTION('',(-0.866025403784439,0.5,0.)); #443315=DIRECTION('',(0.5,0.866025403784439,0.)); #443316=DIRECTION('',(-0.0434828493834488,0.999054173610969,0.)); #443317=DIRECTION('center_axis',(0.,0.,1.)); #443318=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443319=DIRECTION('',(0.886947718795702,0.461869834610467,0.)); #443320=DIRECTION('center_axis',(-0.866025403784439,0.5,1.49609344154423E-16)); #443321=DIRECTION('ref_axis',(-1.21102573286625E-17,2.78243107322868E-16, -1.)); #443322=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #443323=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #443324=DIRECTION('',(-0.5,-0.866025403784439,0.)); #443325=DIRECTION('',(-0.5,-0.866025403784439,0.)); #443326=DIRECTION('',(-0.5,-0.866025403784439,0.)); #443327=DIRECTION('',(-0.5,-0.866025403784439,0.)); #443328=DIRECTION('center_axis',(0.866025403784439,-0.5,1.49609344154427E-16)); #443329=DIRECTION('ref_axis',(-2.47020728033859E-16,-1.28633763168444E-16, 1.)); #443330=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #443331=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #443332=DIRECTION('center_axis',(0.5,0.866025403784439,2.34910470705193E-16)); #443333=DIRECTION('ref_axis',(-1.17455235352596E-16,-2.03438435245657E-16, 1.)); #443334=DIRECTION('',(-0.866025403784438,0.5,0.)); #443335=DIRECTION('center_axis',(-0.537184339000487,0.843464869412242,0.)); #443336=DIRECTION('ref_axis',(-0.843464869412241,-0.537184339000487,0.)); #443337=DIRECTION('',(-0.843464869412242,-0.537184339000487,0.)); #443338=DIRECTION('',(0.,0.,1.)); #443339=DIRECTION('',(0.,0.,1.)); #443340=DIRECTION('center_axis',(0.793270134887063,0.608869849061572,0.)); #443341=DIRECTION('ref_axis',(-0.608869849061572,0.793270134887063,0.)); #443342=DIRECTION('',(-0.608869849061572,0.793270134887063,0.)); #443343=DIRECTION('',(0.,0.,1.)); #443344=DIRECTION('center_axis',(-0.793270134887056,0.608869849061581,0.)); #443345=DIRECTION('ref_axis',(-0.608869849061581,-0.793270134887056,0.)); #443346=DIRECTION('',(-0.608869849061581,-0.793270134887056,0.)); #443347=DIRECTION('',(0.,0.,1.)); #443348=DIRECTION('',(0.,0.,1.)); #443349=DIRECTION('center_axis',(0.537184339000497,0.843464869412235,0.)); #443350=DIRECTION('ref_axis',(-0.843464869412235,0.537184339000497,0.)); #443351=DIRECTION('',(-0.843464869412235,0.537184339000497,0.)); #443352=DIRECTION('',(0.,0.,1.)); #443353=DIRECTION('center_axis',(-0.953675845085929,0.300836138952153,0.)); #443354=DIRECTION('ref_axis',(-0.300836138952153,-0.953675845085929,0.)); #443355=DIRECTION('',(-0.300836138952153,-0.953675845085929,0.)); #443356=DIRECTION('',(0.,0.,1.)); #443357=DIRECTION('',(0.,0.,1.)); #443358=DIRECTION('center_axis',(0.216306183833984,0.976325578296082,0.)); #443359=DIRECTION('ref_axis',(-0.976325578296082,0.216306183833984,0.)); #443360=DIRECTION('',(-0.976325578296082,0.216306183833984,0.)); #443361=DIRECTION('',(0.,0.,1.)); #443362=DIRECTION('center_axis',(-0.999054173610969,-0.0434828493834553, 0.)); #443363=DIRECTION('ref_axis',(0.0434828493834553,-0.999054173610969,0.)); #443364=DIRECTION('',(0.,0.,1.)); #443365=DIRECTION('center_axis',(0.461869834610467,-0.886947718795702,0.)); #443366=DIRECTION('ref_axis',(0.886947718795702,0.461869834610467,0.)); #443367=DIRECTION('',(0.,0.,1.)); #443368=DIRECTION('center_axis',(-0.216306183833972,0.976325578296085,0.)); #443369=DIRECTION('ref_axis',(-0.976325578296085,-0.216306183833972,0.)); #443370=DIRECTION('',(-0.976325578296085,-0.216306183833972,0.)); #443371=DIRECTION('',(0.,0.,1.)); #443372=DIRECTION('',(0.,0.,1.)); #443373=DIRECTION('center_axis',(0.953675845085932,0.300836138952143,0.)); #443374=DIRECTION('ref_axis',(-0.300836138952142,0.953675845085932,0.)); #443375=DIRECTION('',(-0.300836138952143,0.953675845085932,0.)); #443376=DIRECTION('',(0.,0.,1.)); #443377=DIRECTION('center_axis',(0.130661689442201,0.991427013406489,0.)); #443378=DIRECTION('ref_axis',(-0.991427013406489,0.130661689442201,0.)); #443379=DIRECTION('',(-0.991427013406489,0.130661689442201,0.)); #443380=DIRECTION('',(0.,0.,1.)); #443381=DIRECTION('',(0.,0.,1.)); #443382=DIRECTION('center_axis',(0.999054173610968,-0.043482849383467,0.)); #443383=DIRECTION('ref_axis',(0.0434828493834669,0.999054173610968,0.)); #443384=DIRECTION('',(0.043482849383467,0.999054173610968,0.)); #443385=DIRECTION('',(0.,0.,1.)); #443386=DIRECTION('center_axis',(0.461869834610483,0.886947718795694,0.)); #443387=DIRECTION('ref_axis',(-0.886947718795694,0.461869834610483,0.)); #443388=DIRECTION('',(-0.886947718795694,0.461869834610483,0.)); #443389=DIRECTION('',(0.,0.,1.)); #443390=DIRECTION('',(0.,0.,1.)); #443391=DIRECTION('center_axis',(0.923931824329249,-0.382557164344921,0.)); #443392=DIRECTION('ref_axis',(0.38255716434492,0.923931824329249,0.)); #443393=DIRECTION('',(0.38255716434492,0.923931824329249,0.)); #443394=DIRECTION('',(0.,0.,1.)); #443395=DIRECTION('center_axis',(0.737369661251958,0.675489439343927,0.)); #443396=DIRECTION('ref_axis',(-0.675489439343927,0.737369661251958,0.)); #443397=DIRECTION('',(-0.675489439343927,0.737369661251958,0.)); #443398=DIRECTION('',(0.,0.,1.)); #443399=DIRECTION('',(0.,0.,1.)); #443400=DIRECTION('center_axis',(0.737369661251945,-0.675489439343941,0.)); #443401=DIRECTION('ref_axis',(0.675489439343941,0.737369661251945,0.)); #443402=DIRECTION('',(0.675489439343941,0.737369661251945,0.)); #443403=DIRECTION('',(0.,0.,1.)); #443404=DIRECTION('center_axis',(0.,0.,-1.)); #443405=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443406=DIRECTION('center_axis',(0.,0.,1.)); #443407=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443408=DIRECTION('center_axis',(0.,0.,-1.)); #443409=DIRECTION('ref_axis',(0.866025403784483,-0.499999999999924,0.)); #443410=DIRECTION('center_axis',(0.,0.,1.)); #443411=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443412=DIRECTION('center_axis',(0.,0.,1.)); #443413=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443414=DIRECTION('center_axis',(0.,0.,1.)); #443415=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443416=DIRECTION('center_axis',(0.,0.,1.)); #443417=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443418=DIRECTION('center_axis',(0.,0.,1.)); #443419=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443420=DIRECTION('center_axis',(0.,0.,1.)); #443421=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443422=DIRECTION('center_axis',(0.,0.,1.)); #443423=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443424=DIRECTION('center_axis',(0.,0.,1.)); #443425=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443426=DIRECTION('center_axis',(0.,0.,-1.)); #443427=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443428=DIRECTION('center_axis',(0.,0.,-1.)); #443429=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443430=DIRECTION('center_axis',(0.,0.,-1.)); #443431=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443432=DIRECTION('center_axis',(0.,0.,-1.)); #443433=DIRECTION('ref_axis',(0.866025403784471,-0.499999999999943,0.)); #443434=DIRECTION('center_axis',(0.,0.,-1.)); #443435=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443436=DIRECTION('center_axis',(0.,0.,-1.)); #443437=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443438=DIRECTION('center_axis',(0.,0.,-1.)); #443439=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443440=DIRECTION('center_axis',(0.,0.,-1.)); #443441=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443442=DIRECTION('center_axis',(0.,0.,-1.)); #443443=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443444=DIRECTION('center_axis',(0.,0.,-1.)); #443445=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443446=DIRECTION('center_axis',(0.,0.,-1.)); #443447=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443448=DIRECTION('center_axis',(0.,0.,-1.)); #443449=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443450=DIRECTION('center_axis',(0.,0.,-1.)); #443451=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443452=DIRECTION('center_axis',(0.,0.,-1.)); #443453=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443454=DIRECTION('center_axis',(0.,0.,-1.)); #443455=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443456=DIRECTION('center_axis',(0.,0.,1.)); #443457=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443458=DIRECTION('center_axis',(0.,0.,-1.)); #443459=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443460=DIRECTION('center_axis',(0.,0.,1.)); #443461=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443462=DIRECTION('center_axis',(0.,0.,-1.)); #443463=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443464=DIRECTION('center_axis',(0.,0.,1.)); #443465=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443466=DIRECTION('center_axis',(0.,0.,-1.)); #443467=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443468=DIRECTION('center_axis',(0.,0.,1.)); #443469=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443470=DIRECTION('center_axis',(0.,0.,-1.)); #443471=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443472=DIRECTION('center_axis',(0.,0.,1.)); #443473=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443474=DIRECTION('center_axis',(0.,0.,-1.)); #443475=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443476=DIRECTION('center_axis',(0.,0.,1.)); #443477=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443478=DIRECTION('center_axis',(0.216306183833979,-0.976325578296083,0.)); #443479=DIRECTION('ref_axis',(0.976325578296083,0.216306183833979,0.)); #443480=DIRECTION('',(0.976325578296083,0.216306183833979,0.)); #443481=DIRECTION('',(0.,0.,1.)); #443482=DIRECTION('',(0.,0.,1.)); #443483=DIRECTION('center_axis',(-0.95367584508593,-0.300836138952149,0.)); #443484=DIRECTION('ref_axis',(0.300836138952149,-0.95367584508593,0.)); #443485=DIRECTION('',(0.300836138952149,-0.95367584508593,0.)); #443486=DIRECTION('',(0.,0.,1.)); #443487=DIRECTION('center_axis',(0.537184339000482,-0.843464869412245,0.)); #443488=DIRECTION('ref_axis',(0.843464869412245,0.537184339000482,0.)); #443489=DIRECTION('',(0.843464869412245,0.537184339000482,0.)); #443490=DIRECTION('',(0.,0.,1.)); #443491=DIRECTION('',(0.,0.,1.)); #443492=DIRECTION('center_axis',(-0.793270134887066,-0.608869849061567, 0.)); #443493=DIRECTION('ref_axis',(0.608869849061567,-0.793270134887066,0.)); #443494=DIRECTION('',(0.608869849061567,-0.793270134887066,0.)); #443495=DIRECTION('',(0.,0.,1.)); #443496=DIRECTION('center_axis',(0.793270134887051,-0.608869849061587,0.)); #443497=DIRECTION('ref_axis',(0.608869849061587,0.793270134887051,0.)); #443498=DIRECTION('',(0.608869849061587,0.793270134887051,0.)); #443499=DIRECTION('',(0.,0.,1.)); #443500=DIRECTION('',(0.,0.,1.)); #443501=DIRECTION('center_axis',(-0.537184339000503,-0.843464869412232, 0.)); #443502=DIRECTION('ref_axis',(0.843464869412231,-0.537184339000503,0.)); #443503=DIRECTION('',(0.843464869412231,-0.537184339000503,0.)); #443504=DIRECTION('',(0.,0.,1.)); #443505=DIRECTION('center_axis',(0.953675845085927,-0.300836138952159,0.)); #443506=DIRECTION('ref_axis',(0.300836138952159,0.953675845085927,0.)); #443507=DIRECTION('',(0.300836138952159,0.953675845085927,0.)); #443508=DIRECTION('',(0.,0.,1.)); #443509=DIRECTION('',(0.,0.,1.)); #443510=DIRECTION('center_axis',(-0.216306183833991,-0.97632557829608,0.)); #443511=DIRECTION('ref_axis',(0.976325578296081,-0.216306183833991,0.)); #443512=DIRECTION('',(0.97632557829608,-0.216306183833991,0.)); #443513=DIRECTION('',(0.,0.,1.)); #443514=DIRECTION('center_axis',(0.,0.,-1.)); #443515=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443516=DIRECTION('center_axis',(0.,0.,1.)); #443517=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443518=DIRECTION('center_axis',(0.,0.,-1.)); #443519=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443520=DIRECTION('center_axis',(0.,0.,-1.)); #443521=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443522=DIRECTION('center_axis',(0.,0.,1.)); #443523=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443524=DIRECTION('center_axis',(0.,0.,-1.)); #443525=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443526=DIRECTION('center_axis',(0.,0.,-1.)); #443527=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443528=DIRECTION('center_axis',(0.,0.,1.)); #443529=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443530=DIRECTION('center_axis',(0.,0.,-1.)); #443531=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443532=DIRECTION('center_axis',(0.,0.,-1.)); #443533=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443534=DIRECTION('center_axis',(0.,0.,-1.)); #443535=DIRECTION('ref_axis',(0.866025403784323,-0.5000000000002,0.)); #443536=DIRECTION('center_axis',(0.,0.,1.)); #443537=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443538=DIRECTION('center_axis',(0.,0.,-1.)); #443539=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443540=DIRECTION('center_axis',(0.,0.,1.)); #443541=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443542=DIRECTION('center_axis',(0.,0.,-1.)); #443543=DIRECTION('ref_axis',(0.86602540378448,-0.499999999999929,0.)); #443544=DIRECTION('center_axis',(0.,0.,-1.)); #443545=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443546=DIRECTION('center_axis',(0.,0.,1.)); #443547=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443548=DIRECTION('center_axis',(0.,0.,-1.)); #443549=DIRECTION('ref_axis',(0.866025403784351,-0.500000000000152,0.)); #443550=DIRECTION('center_axis',(0.,0.,-1.)); #443551=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443552=DIRECTION('center_axis',(0.,0.,1.)); #443553=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443554=DIRECTION('center_axis',(0.,0.,-1.)); #443555=DIRECTION('ref_axis',(0.866025403784368,-0.500000000000123,0.)); #443556=DIRECTION('center_axis',(0.,0.,1.)); #443557=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443558=DIRECTION('center_axis',(0.,0.,1.)); #443559=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #443560=DIRECTION('center_axis',(0.,0.,-1.)); #443561=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443562=DIRECTION('center_axis',(0.,0.,-1.)); #443563=DIRECTION('ref_axis',(0.86602540378445,-0.49999999999998,0.)); #443564=DIRECTION('center_axis',(0.999054173610969,0.0434828493834488,0.)); #443565=DIRECTION('ref_axis',(-0.0434828493834489,0.999054173610969,0.)); #443566=DIRECTION('',(0.,0.,1.)); #443567=DIRECTION('center_axis',(-0.461869834610474,0.886947718795699,0.)); #443568=DIRECTION('ref_axis',(-0.886947718795699,-0.461869834610474,0.)); #443569=DIRECTION('',(0.,0.,1.)); #443570=DIRECTION('center_axis',(-0.73736966125195,0.675489439343936,0.)); #443571=DIRECTION('ref_axis',(-0.675489439343936,-0.73736966125195,0.)); #443572=DIRECTION('',(-0.675489439343936,-0.73736966125195,0.)); #443573=DIRECTION('',(0.,0.,1.)); #443574=DIRECTION('',(0.,0.,1.)); #443575=DIRECTION('center_axis',(-0.737369661251953,-0.675489439343932, 0.)); #443576=DIRECTION('ref_axis',(0.675489439343932,-0.737369661251953,0.)); #443577=DIRECTION('',(0.675489439343932,-0.737369661251953,0.)); #443578=DIRECTION('',(0.,0.,1.)); #443579=DIRECTION('center_axis',(-0.923931824329251,0.382557164344914,0.)); #443580=DIRECTION('ref_axis',(-0.382557164344914,-0.923931824329251,0.)); #443581=DIRECTION('',(-0.382557164344914,-0.923931824329251,0.)); #443582=DIRECTION('',(0.,0.,1.)); #443583=DIRECTION('',(0.,0.,1.)); #443584=DIRECTION('center_axis',(-0.461869834610477,-0.886947718795697, 0.)); #443585=DIRECTION('ref_axis',(0.886947718795697,-0.461869834610477,0.)); #443586=DIRECTION('',(0.886947718795697,-0.461869834610477,0.)); #443587=DIRECTION('',(0.,0.,1.)); #443588=DIRECTION('center_axis',(-0.999054173610969,0.0434828493834601, 0.)); #443589=DIRECTION('ref_axis',(-0.0434828493834601,-0.999054173610969,0.)); #443590=DIRECTION('',(-0.0434828493834601,-0.999054173610969,0.)); #443591=DIRECTION('',(0.,0.,1.)); #443592=DIRECTION('',(0.,0.,1.)); #443593=DIRECTION('center_axis',(-0.130661689442194,-0.99142701340649,0.)); #443594=DIRECTION('ref_axis',(0.99142701340649,-0.130661689442194,0.)); #443595=DIRECTION('',(0.99142701340649,-0.130661689442194,0.)); #443596=DIRECTION('',(0.,0.,1.)); #443597=DIRECTION('center_axis',(0.,0.,-1.)); #443598=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443599=DIRECTION('center_axis',(0.,0.,1.)); #443600=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443601=DIRECTION('center_axis',(0.,0.,-1.)); #443602=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443603=DIRECTION('center_axis',(0.,0.,1.)); #443604=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443605=DIRECTION('center_axis',(0.,0.,-1.)); #443606=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443607=DIRECTION('center_axis',(0.,0.,1.)); #443608=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443609=DIRECTION('center_axis',(0.,0.,-1.)); #443610=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443611=DIRECTION('center_axis',(0.,0.,1.)); #443612=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443613=DIRECTION('center_axis',(0.,0.,-1.)); #443614=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443615=DIRECTION('center_axis',(0.,0.,1.)); #443616=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443617=DIRECTION('center_axis',(0.,0.,-1.)); #443618=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443619=DIRECTION('center_axis',(0.,0.,1.)); #443620=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443621=DIRECTION('center_axis',(0.,0.,-1.)); #443622=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443623=DIRECTION('center_axis',(0.,0.,1.)); #443624=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443625=DIRECTION('center_axis',(0.,0.,-1.)); #443626=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443627=DIRECTION('center_axis',(0.,0.,1.)); #443628=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443629=DIRECTION('center_axis',(0.,0.,-1.)); #443630=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443631=DIRECTION('center_axis',(0.,0.,-1.)); #443632=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #443633=DIRECTION('',(0.,0.,1.)); #443634=DIRECTION('center_axis',(0.,0.,1.)); #443635=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #443636=DIRECTION('',(1.,3.572543183515E-15,0.)); #443637=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #443638=DIRECTION('',(1.,3.491481338843E-15,0.)); #443639=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #443640=DIRECTION('center_axis',(0.,0.,-1.)); #443641=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #443642=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #443643=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443644=DIRECTION('',(1.,3.491481338843E-15,0.)); #443645=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #443646=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443647=DIRECTION('ref_axis',(0.,0.,-1.)); #443648=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443649=DIRECTION('ref_axis',(0.,0.,1.)); #443650=DIRECTION('',(1.,3.491481338843E-15,0.)); #443651=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443652=DIRECTION('ref_axis',(0.,0.,1.)); #443653=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443654=DIRECTION('ref_axis',(0.,0.,-1.)); #443655=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443656=DIRECTION('ref_axis',(0.,0.,-1.)); #443657=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443658=DIRECTION('ref_axis',(0.,0.,-1.)); #443659=DIRECTION('',(1.,3.491481338843E-15,0.)); #443660=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443661=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #443662=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #443663=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443664=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443665=DIRECTION('',(-1.,-3.491481338843E-15,-2.77403219247928E-16)); #443666=DIRECTION('',(2.77403219247929E-16,-3.44647048207299E-16,-1.)); #443667=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443668=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443669=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443670=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443671=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443672=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #443673=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443674=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443675=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #443676=DIRECTION('',(0.,0.,1.)); #443677=DIRECTION('center_axis',(1.,3.53252780562548E-15,0.)); #443678=DIRECTION('ref_axis',(0.,0.,-1.)); #443679=DIRECTION('',(0.,0.,1.)); #443680=DIRECTION('',(3.491481338843E-15,-1.,9.68548163339114E-31)); #443681=DIRECTION('',(0.,0.,-1.)); #443682=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443683=DIRECTION('ref_axis',(0.,0.,1.)); #443684=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443685=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443686=DIRECTION('',(-2.77403219247928E-16,-9.68548163339114E-31,1.)); #443687=DIRECTION('center_axis',(0.,0.,1.)); #443688=DIRECTION('ref_axis',(1.,0.,0.)); #443689=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443690=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443691=DIRECTION('',(1.,3.491481338843E-15,0.)); #443692=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443693=DIRECTION('ref_axis',(0.,0.,-1.)); #443694=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443695=DIRECTION('',(0.,0.,-1.)); #443696=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443697=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #443698=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443699=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #443700=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443701=DIRECTION('center_axis',(-2.77403219247928E-16,0.,1.)); #443702=DIRECTION('ref_axis',(1.,0.,2.77403219247928E-16)); #443703=DIRECTION('',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #443704=DIRECTION('',(1.,3.491481338843E-15,2.77403219247928E-16)); #443705=DIRECTION('center_axis',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #443706=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443707=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443708=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443709=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443710=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443711=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443712=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443713=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443714=DIRECTION('center_axis',(0.,0.,1.)); #443715=DIRECTION('ref_axis',(1.,0.,0.)); #443716=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443717=DIRECTION('',(1.,3.491481338843E-15,0.)); #443718=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443719=DIRECTION('ref_axis',(0.,0.,-1.)); #443720=DIRECTION('',(0.,0.,1.)); #443721=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443722=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443723=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443724=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443725=DIRECTION('center_axis',(-1.,-3.53252780562548E-15,0.)); #443726=DIRECTION('ref_axis',(0.,0.,1.)); #443727=DIRECTION('',(0.,0.,1.)); #443728=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443729=DIRECTION('ref_axis',(0.,0.,-1.)); #443730=DIRECTION('',(1.,3.491481338843E-15,0.)); #443731=DIRECTION('',(0.,0.,1.)); #443732=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443733=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443734=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #443735=DIRECTION('',(0.,0.,-1.)); #443736=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443737=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443738=DIRECTION('',(1.,3.491481338843E-15,0.)); #443739=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443740=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443741=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #443742=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #443743=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443744=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443745=DIRECTION('',(0.,0.,1.)); #443746=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443747=DIRECTION('ref_axis',(0.,0.,-1.)); #443748=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443749=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #443750=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #443751=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443752=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #443753=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443754=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #443755=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443756=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443757=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #443758=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #443759=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443760=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443761=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443762=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443763=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443764=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #443765=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #443766=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443767=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443768=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443769=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443770=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443771=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443772=DIRECTION('center_axis',(0.,0.,1.)); #443773=DIRECTION('ref_axis',(1.,0.,0.)); #443774=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443775=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443776=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443777=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443778=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #443779=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443780=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #443781=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #443782=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #443783=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #443784=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443785=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #443786=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443787=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #443788=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443789=DIRECTION('ref_axis',(0.,0.,-1.)); #443790=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #443791=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #443792=DIRECTION('ref_axis',(-1.,0.,0.)); #443793=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443794=DIRECTION('ref_axis',(0.,0.,1.)); #443795=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443796=DIRECTION('ref_axis',(0.,0.,-1.)); #443797=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443798=DIRECTION('center_axis',(0.,0.,-1.)); #443799=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #443800=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443801=DIRECTION('',(1.,3.491481338843E-15,0.)); #443802=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #443803=DIRECTION('',(1.,3.572543183515E-15,0.)); #443804=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443805=DIRECTION('ref_axis',(0.,0.,-1.)); #443806=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443807=DIRECTION('ref_axis',(0.,0.,-1.)); #443808=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443809=DIRECTION('ref_axis',(0.,0.,-1.)); #443810=DIRECTION('',(1.,3.491481338843E-15,0.)); #443811=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443812=DIRECTION('ref_axis',(0.,0.,-1.)); #443813=DIRECTION('',(1.,3.491481338843E-15,0.)); #443814=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443815=DIRECTION('ref_axis',(0.,0.,1.)); #443816=DIRECTION('',(1.,3.491481338843E-15,0.)); #443817=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443818=DIRECTION('ref_axis',(0.,0.,1.)); #443819=DIRECTION('center_axis',(0.,0.,1.)); #443820=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #443821=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443822=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #443823=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #443824=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443825=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #443826=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #443827=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443828=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443829=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #443830=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #443831=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443832=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443833=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443834=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443835=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443836=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #443837=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #443838=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443839=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443840=DIRECTION('',(0.,0.,1.)); #443841=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443842=DIRECTION('ref_axis',(0.,0.,-1.)); #443843=DIRECTION('',(0.,0.,1.)); #443844=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #443845=DIRECTION('',(0.,0.,-1.)); #443846=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443847=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443848=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443849=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #443850=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443851=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443852=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443853=DIRECTION('ref_axis',(0.,0.,-1.)); #443854=DIRECTION('',(0.,0.,1.)); #443855=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443856=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443857=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #443858=DIRECTION('',(0.,0.,-1.)); #443859=DIRECTION('',(1.,3.491481338843E-15,0.)); #443860=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443861=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443862=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #443863=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #443864=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443865=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443866=DIRECTION('center_axis',(0.,0.,1.)); #443867=DIRECTION('ref_axis',(1.,0.,0.)); #443868=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443869=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443870=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #443871=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443872=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #443873=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443874=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #443875=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #443876=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #443877=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443878=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #443879=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443880=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #443881=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #443882=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443883=DIRECTION('ref_axis',(0.,0.,-1.)); #443884=DIRECTION('',(0.,0.,1.)); #443885=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443886=DIRECTION('ref_axis',(0.,0.,-1.)); #443887=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #443888=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #443889=DIRECTION('ref_axis',(-1.,0.,0.)); #443890=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443891=DIRECTION('ref_axis',(0.,0.,1.)); #443892=DIRECTION('center_axis',(0.,0.,1.)); #443893=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #443894=DIRECTION('',(1.,3.572543183515E-15,0.)); #443895=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #443896=DIRECTION('',(1.,3.491481338843E-15,0.)); #443897=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #443898=DIRECTION('center_axis',(0.,0.,-1.)); #443899=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #443900=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #443901=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443902=DIRECTION('',(1.,3.491481338843E-15,0.)); #443903=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #443904=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443905=DIRECTION('ref_axis',(0.,0.,-1.)); #443906=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443907=DIRECTION('ref_axis',(0.,0.,1.)); #443908=DIRECTION('',(1.,3.491481338843E-15,0.)); #443909=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443910=DIRECTION('ref_axis',(0.,0.,1.)); #443911=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443912=DIRECTION('ref_axis',(0.,0.,-1.)); #443913=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443914=DIRECTION('ref_axis',(0.,0.,-1.)); #443915=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443916=DIRECTION('ref_axis',(0.,0.,-1.)); #443917=DIRECTION('',(1.,3.491481338843E-15,0.)); #443918=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443919=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #443920=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #443921=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443922=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443923=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443924=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #443925=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443926=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443927=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443928=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443929=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #443930=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #443931=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #443932=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #443933=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443934=DIRECTION('',(0.,0.,1.)); #443935=DIRECTION('center_axis',(1.,3.6334571715005E-15,0.)); #443936=DIRECTION('ref_axis',(0.,0.,-1.)); #443937=DIRECTION('',(0.,0.,1.)); #443938=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443939=DIRECTION('',(0.,0.,-1.)); #443940=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #443941=DIRECTION('ref_axis',(0.,0.,1.)); #443942=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443943=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443944=DIRECTION('',(0.,0.,1.)); #443945=DIRECTION('center_axis',(0.,0.,1.)); #443946=DIRECTION('ref_axis',(1.,0.,0.)); #443947=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443948=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443949=DIRECTION('',(1.,3.491481338843E-15,0.)); #443950=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #443951=DIRECTION('ref_axis',(0.,0.,-1.)); #443952=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443953=DIRECTION('',(0.,0.,-1.)); #443954=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443955=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443956=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443957=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443958=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443959=DIRECTION('center_axis',(0.,0.,1.)); #443960=DIRECTION('ref_axis',(1.,0.,0.)); #443961=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443962=DIRECTION('',(1.,3.491481338843E-15,0.)); #443963=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443964=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443965=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443966=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #443967=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443968=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443969=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443970=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443971=DIRECTION('',(-3.491481338843E-15,1.,0.)); #443972=DIRECTION('center_axis',(0.,0.,1.)); #443973=DIRECTION('ref_axis',(1.,0.,0.)); #443974=DIRECTION('',(3.491481338843E-15,-1.,0.)); #443975=DIRECTION('',(1.,3.491481338843E-15,0.)); #443976=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443977=DIRECTION('ref_axis',(0.,0.,-1.)); #443978=DIRECTION('',(0.,0.,1.)); #443979=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443980=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #443981=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #443982=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #443983=DIRECTION('center_axis',(-1.,-3.6334571715005E-15,0.)); #443984=DIRECTION('ref_axis',(0.,0.,1.)); #443985=DIRECTION('',(0.,0.,1.)); #443986=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443987=DIRECTION('ref_axis',(0.,0.,-1.)); #443988=DIRECTION('',(1.,3.491481338843E-15,0.)); #443989=DIRECTION('',(0.,0.,1.)); #443990=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443991=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #443992=DIRECTION('',(1.,3.491481338843E-15,0.)); #443993=DIRECTION('',(0.,0.,-1.)); #443994=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443995=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #443996=DIRECTION('',(1.,3.491481338843E-15,0.)); #443997=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #443998=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #443999=DIRECTION('',(0.,0.,1.)); #444000=DIRECTION('',(1.,3.491481338843E-15,0.)); #444001=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444002=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #444003=DIRECTION('',(0.,0.,1.)); #444004=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444005=DIRECTION('ref_axis',(0.,0.,-1.)); #444006=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444007=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #444008=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444009=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #444010=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #444011=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444012=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #444013=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #444014=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #444015=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444016=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #444017=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #444018=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #444019=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444020=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #444021=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #444022=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #444023=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444024=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #444025=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #444026=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444027=DIRECTION('ref_axis',(0.,0.,-1.)); #444028=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444029=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444030=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444031=DIRECTION('ref_axis',(0.,0.,1.)); #444032=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444033=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444034=DIRECTION('center_axis',(0.,0.,1.)); #444035=DIRECTION('ref_axis',(1.,0.,0.)); #444036=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444037=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444038=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444039=DIRECTION('ref_axis',(0.,0.,-1.)); #444040=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444041=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444042=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #444043=DIRECTION('center_axis',(0.,0.,1.)); #444044=DIRECTION('ref_axis',(1.,0.,0.)); #444045=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444046=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444047=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #444048=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444049=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #444050=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444051=DIRECTION('center_axis',(0.,0.,1.)); #444052=DIRECTION('ref_axis',(1.,0.,0.)); #444053=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444054=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444055=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #444056=DIRECTION('center_axis',(0.,0.,-1.)); #444057=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444058=DIRECTION('',(1.,3.491481338843E-15,0.)); #444059=DIRECTION('center_axis',(0.,0.,1.)); #444060=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #444061=DIRECTION('',(-1.323076993872E-15,1.,0.)); #444062=DIRECTION('center_axis',(0.,0.,1.)); #444063=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444064=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #444065=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444066=DIRECTION('center_axis',(0.,0.,-1.)); #444067=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444068=DIRECTION('',(-4.358843076832E-15,1.,0.)); #444069=DIRECTION('',(1.,5.55120961409737E-15,0.)); #444070=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #444071=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444072=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444073=DIRECTION('',(1.,5.55120961409737E-15,0.)); #444074=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #444075=DIRECTION('',(1.,0.,0.)); #444076=DIRECTION('center_axis',(0.,0.,-1.)); #444077=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444078=DIRECTION('',(1.,4.730569535969E-15,0.)); #444079=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444080=DIRECTION('',(1.,4.44089209850063E-15,0.)); #444081=DIRECTION('center_axis',(0.,0.,1.)); #444082=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #444083=DIRECTION('',(-5.659885683814E-15,1.,0.)); #444084=DIRECTION('center_axis',(0.,0.,1.)); #444085=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #444086=DIRECTION('center_axis',(0.,0.,-1.)); #444087=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444088=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #444089=DIRECTION('center_axis',(0.,0.,1.)); #444090=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #444091=DIRECTION('',(-4.44089209850062E-15,1.,0.)); #444092=DIRECTION('center_axis',(0.,0.,1.)); #444093=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #444094=DIRECTION('',(-1.,-4.730569535969E-15,0.)); #444095=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444096=DIRECTION('center_axis',(0.,0.,-1.)); #444097=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444098=DIRECTION('center_axis',(0.,0.,-1.)); #444099=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #444100=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444101=DIRECTION('center_axis',(0.,0.,1.)); #444102=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444103=DIRECTION('',(1.,3.572543183515E-15,0.)); #444104=DIRECTION('center_axis',(0.,0.,1.)); #444105=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444106=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444107=DIRECTION('center_axis',(0.,0.,-1.)); #444108=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #444109=DIRECTION('',(1.,0.,0.)); #444110=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444111=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #444112=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #444113=DIRECTION('',(1.,3.491481338843E-15,0.)); #444114=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444115=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #444116=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #444117=DIRECTION('',(1.,0.,0.)); #444118=DIRECTION('center_axis',(0.,0.,1.)); #444119=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #444120=DIRECTION('center_axis',(0.,0.,-1.)); #444121=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #444122=DIRECTION('center_axis',(0.,0.,1.)); #444123=DIRECTION('ref_axis',(-1.,0.,0.)); #444124=DIRECTION('center_axis',(0.,0.,-1.)); #444125=DIRECTION('ref_axis',(-1.,0.,0.)); #444126=DIRECTION('center_axis',(1.,0.,0.)); #444127=DIRECTION('ref_axis',(0.,1.,0.)); #444128=DIRECTION('',(0.,0.,-1.)); #444129=DIRECTION('',(0.,-1.,0.)); #444130=DIRECTION('',(0.,0.,1.)); #444131=DIRECTION('',(0.,1.,0.)); #444132=DIRECTION('',(0.,0.,1.)); #444133=DIRECTION('',(0.,-1.,0.)); #444134=DIRECTION('center_axis',(0.,1.,0.)); #444135=DIRECTION('ref_axis',(-1.,0.,0.)); #444136=DIRECTION('',(1.,0.,0.)); #444137=DIRECTION('',(0.,0.,-1.)); #444138=DIRECTION('',(-1.,0.,0.)); #444139=DIRECTION('center_axis',(0.,0.,-1.)); #444140=DIRECTION('ref_axis',(-1.,0.,0.)); #444141=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #444142=DIRECTION('',(-1.,-2.77555756156289E-16,0.)); #444143=DIRECTION('',(0.,1.,0.)); #444144=DIRECTION('center_axis',(2.77555756156289E-16,-1.,0.)); #444145=DIRECTION('ref_axis',(1.,2.77555756156289E-16,0.)); #444146=DIRECTION('',(1.,2.77555756156289E-16,0.)); #444147=DIRECTION('',(0.,0.,1.)); #444148=DIRECTION('center_axis',(-1.,0.,0.)); #444149=DIRECTION('ref_axis',(0.,-1.,0.)); #444150=DIRECTION('',(0.,0.,1.)); #444151=DIRECTION('',(0.,-1.,0.)); #444152=DIRECTION('',(0.,1.,0.)); #444153=DIRECTION('center_axis',(0.,0.,1.)); #444154=DIRECTION('ref_axis',(1.,0.,0.)); #444155=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #444156=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #444157=DIRECTION('',(1.,3.572543183515E-15,0.)); #444158=DIRECTION('',(0.,0.,1.)); #444159=DIRECTION('',(1.,3.491481338843E-15,0.)); #444160=DIRECTION('',(0.,0.,1.)); #444161=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444162=DIRECTION('',(0.,0.,-1.)); #444163=DIRECTION('',(1.,3.491481338843E-15,0.)); #444164=DIRECTION('',(0.,0.,1.)); #444165=DIRECTION('',(1.,3.572543183515E-15,0.)); #444166=DIRECTION('center_axis',(0.,0.,1.)); #444167=DIRECTION('ref_axis',(-1.,0.,0.)); #444168=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #444169=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #444170=DIRECTION('',(-1.00308851270177E-30,2.710505431214E-16,-1.)); #444171=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444172=DIRECTION('',(9.463679131916E-31,-2.710505431214E-16,1.)); #444173=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #444174=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #444175=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #444176=DIRECTION('',(3.70074341541719E-15,-1.,-1.770125995895E-16)); #444177=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444178=DIRECTION('',(-3.491481338843E-15,1.,1.770125995895E-16)); #444179=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #444180=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #444181=DIRECTION('',(0.,0.,-1.)); #444182=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #444183=DIRECTION('',(0.,0.,1.)); #444184=DIRECTION('',(1.,3.644320411616E-15,0.)); #444185=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #444186=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #444187=DIRECTION('',(-3.70074341541719E-15,1.,1.770125995895E-16)); #444188=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #444189=DIRECTION('',(3.491481338843E-15,-1.,-1.770125995895E-16)); #444190=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #444191=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #444192=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #444193=DIRECTION('',(0.,0.,-1.)); #444194=DIRECTION('',(0.,0.,1.)); #444195=DIRECTION('',(1.,3.644320411616E-15,0.)); #444196=DIRECTION('center_axis',(-1.,5.446953552317E-15,0.)); #444197=DIRECTION('ref_axis',(-5.446953552317E-15,-1.,0.)); #444198=DIRECTION('',(0.,0.,1.)); #444199=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #444200=DIRECTION('',(0.,0.,1.)); #444201=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #444202=DIRECTION('center_axis',(0.,0.,1.)); #444203=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444204=DIRECTION('center_axis',(0.,0.,1.)); #444205=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444206=DIRECTION('',(0.,0.,-1.)); #444207=DIRECTION('center_axis',(0.,0.,-1.)); #444208=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444209=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444210=DIRECTION('ref_axis',(0.,0.,1.)); #444211=DIRECTION('',(0.,0.,-1.)); #444212=DIRECTION('',(1.,3.491481338843E-15,0.)); #444213=DIRECTION('',(1.,3.491481338843E-15,0.)); #444214=DIRECTION('center_axis',(0.,0.,1.)); #444215=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444216=DIRECTION('center_axis',(0.,0.,-1.)); #444217=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444218=DIRECTION('',(-4.279992009742E-15,1.,0.)); #444219=DIRECTION('',(0.510262918304127,-0.860018461548213,0.)); #444220=DIRECTION('center_axis',(0.,0.,-1.)); #444221=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444222=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444223=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444224=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444225=DIRECTION('ref_axis',(0.,0.,-1.)); #444226=DIRECTION('',(0.,0.,-1.)); #444227=DIRECTION('',(0.,0.,-1.)); #444228=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444229=DIRECTION('center_axis',(1.,4.279992009742E-15,0.)); #444230=DIRECTION('ref_axis',(-4.279992009742E-15,1.,0.)); #444231=DIRECTION('',(-4.279992009742E-15,1.,0.)); #444232=DIRECTION('',(0.,0.,-1.)); #444233=DIRECTION('center_axis',(0.860018461548214,0.510262918304127,0.)); #444234=DIRECTION('ref_axis',(-0.510262918304127,0.860018461548214,0.)); #444235=DIRECTION('',(-0.510262918304127,0.860018461548213,0.)); #444236=DIRECTION('',(0.,0.,1.)); #444237=DIRECTION('center_axis',(0.,0.,1.)); #444238=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444239=DIRECTION('center_axis',(0.,0.,1.)); #444240=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444241=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444242=DIRECTION('ref_axis',(0.,0.,1.)); #444243=DIRECTION('',(0.,0.,1.)); #444244=DIRECTION('',(1.,3.491481338843E-15,0.)); #444245=DIRECTION('',(0.,0.,1.)); #444246=DIRECTION('',(1.,3.491481338843E-15,0.)); #444247=DIRECTION('center_axis',(0.,0.,1.)); #444248=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444249=DIRECTION('center_axis',(0.,0.,1.)); #444250=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444251=DIRECTION('',(0.,0.,-1.)); #444252=DIRECTION('center_axis',(0.,0.,-1.)); #444253=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444254=DIRECTION('center_axis',(1.,2.498321906492E-15,0.)); #444255=DIRECTION('ref_axis',(-2.498321906492E-15,1.,0.)); #444256=DIRECTION('',(0.,0.,-1.)); #444257=DIRECTION('',(-2.498321906492E-15,1.,0.)); #444258=DIRECTION('',(-2.498321906492E-15,1.,0.)); #444259=DIRECTION('center_axis',(-0.860018461548214,0.510262918304127,0.)); #444260=DIRECTION('ref_axis',(-0.510262918304127,-0.860018461548214,0.)); #444261=DIRECTION('',(-0.510262918304127,-0.860018461548213,0.)); #444262=DIRECTION('',(0.,0.,1.)); #444263=DIRECTION('',(0.510262918304127,0.860018461548213,0.)); #444264=DIRECTION('',(0.,0.,1.)); #444265=DIRECTION('center_axis',(-1.,-2.702970667945E-15,0.)); #444266=DIRECTION('ref_axis',(2.702970667945E-15,-1.,0.)); #444267=DIRECTION('',(2.702970667945E-15,-1.,0.)); #444268=DIRECTION('',(2.702970667945E-15,-1.,0.)); #444269=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444270=DIRECTION('ref_axis',(0.,0.,-1.)); #444271=DIRECTION('',(0.,0.,1.)); #444272=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444273=DIRECTION('',(0.,0.,1.)); #444274=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444275=DIRECTION('center_axis',(0.,0.,1.)); #444276=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444277=DIRECTION('center_axis',(0.,0.,1.)); #444278=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444279=DIRECTION('center_axis',(0.,0.,-1.)); #444280=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #444281=DIRECTION('center_axis',(0.,0.,-1.)); #444282=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444283=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444284=DIRECTION('',(-1.,0.,0.)); #444285=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444286=DIRECTION('center_axis',(0.,0.,-1.)); #444287=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #444288=DIRECTION('center_axis',(0.,0.,1.)); #444289=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #444290=DIRECTION('',(0.,0.,-1.)); #444291=DIRECTION('center_axis',(0.,0.,1.)); #444292=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #444293=DIRECTION('',(0.,0.,-1.)); #444294=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444295=DIRECTION('ref_axis',(0.,0.,-1.)); #444296=DIRECTION('',(0.,0.,1.)); #444297=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444298=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444299=DIRECTION('ref_axis',(0.,0.,-1.)); #444300=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444301=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444302=DIRECTION('ref_axis',(0.,0.,-1.)); #444303=DIRECTION('',(0.,0.,-1.)); #444304=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444305=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444306=DIRECTION('',(0.,0.,1.)); #444307=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444308=DIRECTION('',(-9.463679131916E-31,2.710505431214E-16,-1.)); #444309=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444310=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444311=DIRECTION('ref_axis',(0.,0.,1.)); #444312=DIRECTION('',(0.,0.,-1.)); #444313=DIRECTION('',(1.,3.491481338843E-15,0.)); #444314=DIRECTION('',(1.,3.491481338843E-15,0.)); #444315=DIRECTION('center_axis',(0.,0.,-1.)); #444316=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444317=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444318=DIRECTION('',(1.,3.491481338843E-15,0.)); #444319=DIRECTION('',(1.,3.491481338843E-15,0.)); #444320=DIRECTION('center_axis',(0.,0.,1.)); #444321=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444322=DIRECTION('center_axis',(0.,0.,-1.)); #444323=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444324=DIRECTION('',(1.,3.491481338843E-15,0.)); #444325=DIRECTION('center_axis',(0.,0.,-1.)); #444326=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444327=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444328=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444329=DIRECTION('',(1.,3.644320411616E-15,0.)); #444330=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444331=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #444332=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #444333=DIRECTION('',(0.,0.,1.)); #444334=DIRECTION('',(0.,0.,-1.)); #444335=DIRECTION('center_axis',(0.,0.,1.)); #444336=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444337=DIRECTION('center_axis',(0.,0.,-1.)); #444338=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #444339=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444340=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444341=DIRECTION('',(1.,3.491481338843E-15,0.)); #444342=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #444343=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444344=DIRECTION('center_axis',(0.,0.,-1.)); #444345=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #444346=DIRECTION('',(-1.,0.,0.)); #444347=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #444348=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #444349=DIRECTION('',(1.00308851270177E-30,-2.710505431214E-16,1.)); #444350=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #444351=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #444352=DIRECTION('',(0.,0.,1.)); #444353=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #444354=DIRECTION('',(0.,0.,-1.)); #444355=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444356=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #444357=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #444358=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #444359=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #444360=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444361=DIRECTION('ref_axis',(0.,0.,1.)); #444362=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444363=DIRECTION('center_axis',(0.,0.,-1.)); #444364=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444365=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444366=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444367=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444368=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #444369=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #444370=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #444371=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #444372=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444373=DIRECTION('ref_axis',(0.,0.,1.)); #444374=DIRECTION('',(1.,3.70074341541719E-15,0.)); #444375=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #444376=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #444377=DIRECTION('',(0.,0.,-1.)); #444378=DIRECTION('',(0.,0.,1.)); #444379=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #444380=DIRECTION('center_axis',(0.,0.,1.)); #444381=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444382=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444383=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444384=DIRECTION('',(1.,3.491481338843E-15,0.)); #444385=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444386=DIRECTION('ref_axis',(0.,0.,-1.)); #444387=DIRECTION('',(0.,0.,-1.)); #444388=DIRECTION('',(1.,3.491481338843E-15,0.)); #444389=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444390=DIRECTION('ref_axis',(0.,0.,-1.)); #444391=DIRECTION('',(0.,0.,1.)); #444392=DIRECTION('',(1.,3.491481338843E-15,0.)); #444393=DIRECTION('',(1.,3.491481338843E-15,0.)); #444394=DIRECTION('center_axis',(0.,0.,1.)); #444395=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444396=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444397=DIRECTION('',(1.,3.644320411616E-15,0.)); #444398=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #444399=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #444400=DIRECTION('',(0.,0.,1.)); #444401=DIRECTION('',(1.,3.644320411616E-15,0.)); #444402=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #444403=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #444404=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #444405=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #444406=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #444407=DIRECTION('center_axis',(0.,0.,-1.)); #444408=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444409=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444410=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #444411=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #444412=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #444413=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #444414=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #444415=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444416=DIRECTION('ref_axis',(0.,0.,1.)); #444417=DIRECTION('',(0.,0.,-1.)); #444418=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444419=DIRECTION('center_axis',(0.,-1.,0.)); #444420=DIRECTION('ref_axis',(0.,0.,-1.)); #444421=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444422=DIRECTION('center_axis',(0.,0.,1.)); #444423=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444424=DIRECTION('center_axis',(0.,0.,-1.)); #444425=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #444426=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444427=DIRECTION('',(-1.,0.,0.)); #444428=DIRECTION('center_axis',(0.,0.,-1.)); #444429=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444430=DIRECTION('',(0.,0.,1.)); #444431=DIRECTION('',(0.,0.,-1.)); #444432=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #444433=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #444434=DIRECTION('',(0.,0.,-1.)); #444435=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444436=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444437=DIRECTION('',(0.,0.,1.)); #444438=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444439=DIRECTION('',(3.644320411616E-15,-1.,0.)); #444440=DIRECTION('center_axis',(0.,0.,1.)); #444441=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444442=DIRECTION('center_axis',(0.,0.,-1.)); #444443=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444444=DIRECTION('center_axis',(0.,0.,1.)); #444445=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444446=DIRECTION('center_axis',(0.,0.,1.)); #444447=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444448=DIRECTION('center_axis',(0.,0.,1.)); #444449=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444450=DIRECTION('center_axis',(0.,0.,-1.)); #444451=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #444452=DIRECTION('',(-1.,0.,0.)); #444453=DIRECTION('center_axis',(0.,0.,1.)); #444454=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #444455=DIRECTION('center_axis',(0.,0.,1.)); #444456=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #444457=DIRECTION('',(0.,0.,1.)); #444458=DIRECTION('center_axis',(0.,0.,1.)); #444459=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #444460=DIRECTION('',(0.,0.,-1.)); #444461=DIRECTION('center_axis',(0.,0.,-1.)); #444462=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #444463=DIRECTION('',(0.,0.,1.)); #444464=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #444465=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #444466=DIRECTION('',(0.,0.,1.)); #444467=DIRECTION('center_axis',(0.,0.,-1.)); #444468=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444469=DIRECTION('',(0.,0.,-1.)); #444470=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #444471=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #444472=DIRECTION('',(0.,0.,1.)); #444473=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444474=DIRECTION('',(-3.644320411616E-15,1.,0.)); #444475=DIRECTION('',(0.,0.,-1.)); #444476=DIRECTION('center_axis',(0.,0.,-1.)); #444477=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444478=DIRECTION('center_axis',(0.,0.,1.)); #444479=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444480=DIRECTION('center_axis',(0.,0.,-1.)); #444481=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #444482=DIRECTION('center_axis',(0.,-1.,0.)); #444483=DIRECTION('ref_axis',(0.,0.,-1.)); #444484=DIRECTION('',(0.,0.,-1.)); #444485=DIRECTION('',(1.,0.,0.)); #444486=DIRECTION('center_axis',(0.,0.,1.)); #444487=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #444488=DIRECTION('center_axis',(0.,0.,1.)); #444489=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #444490=DIRECTION('center_axis',(0.,0.,-1.)); #444491=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #444492=DIRECTION('center_axis',(0.,0.,-1.)); #444493=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444494=DIRECTION('',(1.,4.44089209850063E-15,0.)); #444495=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444496=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444497=DIRECTION('center_axis',(0.,0.,1.)); #444498=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #444499=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444500=DIRECTION('center_axis',(0.,0.,1.)); #444501=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #444502=DIRECTION('center_axis',(0.,0.,-1.)); #444503=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #444504=DIRECTION('center_axis',(0.,0.,-1.)); #444505=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #444506=DIRECTION('',(0.,0.,1.)); #444507=DIRECTION('',(0.,0.,-1.)); #444508=DIRECTION('center_axis',(0.,0.,-1.)); #444509=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444510=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #444511=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #444512=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #444513=DIRECTION('',(1.,4.44089209850063E-15,0.)); #444514=DIRECTION('center_axis',(-7.137153981444E-15,0.,-1.)); #444515=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #444516=DIRECTION('center_axis',(0.,0.,1.)); #444517=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #444518=DIRECTION('center_axis',(0.,0.,-1.)); #444519=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444520=DIRECTION('center_axis',(0.,0.,1.)); #444521=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #444522=DIRECTION('',(-1.,0.,0.)); #444523=DIRECTION('',(3.491481338843E-15,-1.,-1.51788304148E-15)); #444524=DIRECTION('',(1.,5.55111512312578E-15,0.)); #444525=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #444526=DIRECTION('',(1.,3.491481338843E-15,0.)); #444527=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #444528=DIRECTION('',(1.,5.55111512312578E-15,0.)); #444529=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #444530=DIRECTION('',(-1.,0.,0.)); #444531=DIRECTION('center_axis',(0.,0.,1.)); #444532=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #444533=DIRECTION('',(-1.,0.,0.)); #444534=DIRECTION('center_axis',(0.,0.,1.)); #444535=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #444536=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444537=DIRECTION('center_axis',(0.,0.,-1.)); #444538=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #444539=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #444540=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #444541=DIRECTION('',(1.,4.44089209850062E-15,0.)); #444542=DIRECTION('center_axis',(0.,0.,-1.)); #444543=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444544=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444545=DIRECTION('center_axis',(0.,0.,1.)); #444546=DIRECTION('ref_axis',(-2.891205793295E-15,-1.,0.)); #444547=DIRECTION('',(-1.,0.,0.)); #444548=DIRECTION('',(0.,1.,0.)); #444549=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #444550=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #444551=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444552=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444553=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #444554=DIRECTION('',(0.,1.,0.)); #444555=DIRECTION('center_axis',(0.,0.,1.)); #444556=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #444557=DIRECTION('',(1.,0.,0.)); #444558=DIRECTION('center_axis',(0.,0.,1.)); #444559=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #444560=DIRECTION('',(4.44089209850062E-15,-1.,0.)); #444561=DIRECTION('center_axis',(0.,0.,-1.)); #444562=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #444563=DIRECTION('',(1.,4.44089209850062E-15,0.)); #444564=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #444565=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #444566=DIRECTION('center_axis',(0.,0.,-1.)); #444567=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #444568=DIRECTION('',(1.323076993872E-15,-1.,0.)); #444569=DIRECTION('center_axis',(0.,0.,1.)); #444570=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #444571=DIRECTION('',(1.,0.,0.)); #444572=DIRECTION('center_axis',(7.13715398144343E-15,0.,1.)); #444573=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #444574=DIRECTION('',(7.13715398144343E-15,0.,1.)); #444575=DIRECTION('center_axis',(0.,1.,0.)); #444576=DIRECTION('ref_axis',(0.,0.,1.)); #444577=DIRECTION('',(0.,0.,-1.)); #444578=DIRECTION('center_axis',(7.13714620256007E-15,0.,1.)); #444579=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #444580=DIRECTION('center_axis',(0.,-1.,0.)); #444581=DIRECTION('ref_axis',(0.,0.,-1.)); #444582=DIRECTION('center_axis',(-7.13714620256054E-15,0.,-1.)); #444583=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #444584=DIRECTION('',(-7.13714620256054E-15,0.,-1.)); #444585=DIRECTION('center_axis',(-1.,-3.56857310128027E-15,7.13714620256054E-15)); #444586=DIRECTION('ref_axis',(7.13714620256054E-15,0.,1.)); #444587=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #444588=DIRECTION('center_axis',(-1.,-4.358843076832E-15,0.)); #444589=DIRECTION('ref_axis',(4.358843076832E-15,-1.,0.)); #444590=DIRECTION('',(0.,0.,-1.)); #444591=DIRECTION('center_axis',(0.,1.,0.)); #444592=DIRECTION('ref_axis',(0.,0.,1.)); #444593=DIRECTION('',(0.,0.,1.)); #444594=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444595=DIRECTION('ref_axis',(0.,0.,1.)); #444596=DIRECTION('',(0.,0.,1.)); #444597=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444598=DIRECTION('ref_axis',(0.,0.,-1.)); #444599=DIRECTION('',(0.,0.,-1.)); #444600=DIRECTION('center_axis',(1.,2.624119600855E-15,0.)); #444601=DIRECTION('ref_axis',(-2.624119600855E-15,1.,0.)); #444602=DIRECTION('',(0.,0.,1.)); #444603=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #444604=DIRECTION('ref_axis',(0.,0.,-1.)); #444605=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #444606=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444607=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444608=DIRECTION('',(0.,0.,1.)); #444609=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444610=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444611=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444612=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #444613=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #444614=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #444615=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444616=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444617=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444618=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #444619=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #444620=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #444621=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444622=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #444623=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444624=DIRECTION('ref_axis',(0.,0.,-1.)); #444625=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444626=DIRECTION('ref_axis',(0.,0.,-1.)); #444627=DIRECTION('',(1.,3.491481338843E-15,0.)); #444628=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444629=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #444630=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444631=DIRECTION('ref_axis',(0.,0.,-1.)); #444632=DIRECTION('',(-1.,-3.491481338843E-15,1.730597518943E-45)); #444633=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444634=DIRECTION('ref_axis',(0.,0.,-1.)); #444635=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444636=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444637=DIRECTION('',(0.,0.,1.)); #444638=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444639=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444640=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444641=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444642=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #444643=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #444644=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #444645=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #444646=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #444647=DIRECTION('',(1.,3.491481338843E-15,0.)); #444648=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #444649=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #444650=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444651=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #444652=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #444653=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #444654=DIRECTION('',(-3.491481338843E-15,1.,0.)); #444655=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #444656=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #444657=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #444658=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #444659=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444660=DIRECTION('center_axis',(0.,0.,1.)); #444661=DIRECTION('ref_axis',(1.,0.,0.)); #444662=DIRECTION('',(3.491481338843E-15,-1.,0.)); #444663=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444664=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #444665=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #444666=DIRECTION('ref_axis',(0.,0.,1.)); #444667=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #444668=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444669=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444670=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #444671=DIRECTION('',(0.,0.,1.)); #444672=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444673=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444674=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #444675=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #444676=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #444677=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #444678=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444679=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444680=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #444681=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #444682=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #444683=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #444684=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444685=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #444686=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444687=DIRECTION('ref_axis',(0.,0.,1.)); #444688=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444689=DIRECTION('ref_axis',(0.,0.,1.)); #444690=DIRECTION('',(-1.,-3.780601918173E-15,0.)); #444691=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444692=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #444693=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #444694=DIRECTION('ref_axis',(0.,0.,1.)); #444695=DIRECTION('',(1.,3.491481338843E-15,9.806685017958E-31)); #444696=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444697=DIRECTION('ref_axis',(0.,0.,1.)); #444698=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #444699=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #444700=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444701=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444702=DIRECTION('',(0.,0.,1.)); #444703=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #444704=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #444705=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #444706=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #444707=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #444708=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #444709=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #444710=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #444711=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #444712=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #444713=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #444714=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #444715=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #444716=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #444717=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #444718=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #444719=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #444720=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #444721=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #444722=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #444723=DIRECTION('ref_axis',(1.,0.,0.)); #444724=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #444725=DIRECTION('center_axis',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #444726=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #444727=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #444728=DIRECTION('ref_axis',(0.,0.,1.)); #444729=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #444730=DIRECTION('ref_axis',(0.,0.,-1.)); #444731=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #444732=DIRECTION('ref_axis',(0.,0.,1.)); #444733=DIRECTION('',(0.,0.,-1.)); #444734=DIRECTION('center_axis',(1.,3.56857699072172E-15,-7.13715398144343E-15)); #444735=DIRECTION('ref_axis',(-7.13715398144343E-15,0.,-1.)); #444736=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #444737=DIRECTION('ref_axis',(0.,0.,-1.)); #444738=DIRECTION('',(0.,0.,-1.)); #444739=DIRECTION('center_axis',(-9.81833656705719E-31,1.73472347597681E-16, -1.)); #444740=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #444741=DIRECTION('',(-9.81833656705719E-31,1.73472347597681E-16,-1.)); #444742=DIRECTION('',(9.81833656705719E-31,-1.73472347597681E-16,1.)); #444743=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #444744=DIRECTION('ref_axis',(0.,-1.73472347597681E-16,1.)); #444745=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #444746=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #444747=DIRECTION('',(3.407492542097E-15,-1.73472347597666E-16,1.)); #444748=DIRECTION('',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #444749=DIRECTION('',(-3.407492542097E-15,1.73472347597666E-16,-1.)); #444750=DIRECTION('center_axis',(4.44089209850063E-15,-1.,-1.73472347597681E-16)); #444751=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #444752=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #444753=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #444754=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #444755=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #444756=DIRECTION('',(1.,4.44089209850063E-15,-1.0053794163196E-30)); #444757=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #444758=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #444759=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #444760=DIRECTION('ref_axis',(1.,0.,0.)); #444761=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #444762=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #444763=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #444764=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #444765=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #444766=DIRECTION('',(3.321410409826E-15,-1.,1.700709290173E-16)); #444767=DIRECTION('',(-3.407492542097E-15,1.73472347597665E-16,-1.)); #444768=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #444769=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #444770=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #444771=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #444772=DIRECTION('center_axis',(2.29517272179458E-31,-1.73472347597681E-16, 1.)); #444773=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #444774=DIRECTION('',(2.29517272179458E-31,-1.73472347597681E-16,1.)); #444775=DIRECTION('',(-2.29517272179458E-31,1.73472347597681E-16,-1.)); #444776=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #444777=DIRECTION('ref_axis',(0.,1.73472347597681E-16,-1.)); #444778=DIRECTION('center_axis',(-4.44089209850062E-15,1.,1.73472347597681E-16)); #444779=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #444780=DIRECTION('',(3.407492542097E-15,-1.73472347597665E-16,1.)); #444781=DIRECTION('',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #444782=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #444783=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #444784=DIRECTION('center_axis',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #444785=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #444786=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #444787=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #444788=DIRECTION('',(-1.,-4.44089209850062E-15,1.0053794163196E-30)); #444789=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #444790=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #444791=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #444792=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #444793=DIRECTION('',(-3.321410409826E-15,1.,-1.700709290173E-16)); #444794=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,0.)); #444795=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #444796=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #444797=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #444798=DIRECTION('',(1.,4.44089209850063E-15,0.)); #444799=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #444800=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #444801=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #444802=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #444803=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #444804=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #444805=DIRECTION('',(3.66155226786E-15,-1.,1.700709290173E-16)); #444806=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #444807=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #444808=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #444809=DIRECTION('',(3.66155226786E-15,-1.,0.)); #444810=DIRECTION('',(3.66155226786E-15,-1.,0.)); #444811=DIRECTION('',(3.66155226786E-15,-1.,0.)); #444812=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #444813=DIRECTION('ref_axis',(0.,0.,1.)); #444814=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #444815=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #444816=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #444817=DIRECTION('',(1.,4.44089209850063E-15,0.)); #444818=DIRECTION('',(0.,0.,1.)); #444819=DIRECTION('center_axis',(4.44089209850063E-15,-1.,0.)); #444820=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #444821=DIRECTION('center_axis',(0.,0.,1.)); #444822=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #444823=DIRECTION('',(0.,0.,-1.)); #444824=DIRECTION('center_axis',(1.,4.44089209850062E-15,0.)); #444825=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #444826=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #444827=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #444828=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #444829=DIRECTION('',(1.,4.44089209850062E-15,0.)); #444830=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #444831=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #444832=DIRECTION('',(-3.66155226786E-15,1.,0.)); #444833=DIRECTION('',(-3.66155226786E-15,1.,0.)); #444834=DIRECTION('',(-3.66155226786E-15,1.,0.)); #444835=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #444836=DIRECTION('',(-3.66155226786E-15,1.,-1.700709290173E-16)); #444837=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #444838=DIRECTION('ref_axis',(0.,0.,-1.)); #444839=DIRECTION('center_axis',(-4.44089209850062E-15,1.,0.)); #444840=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #444841=DIRECTION('',(0.,0.,-1.)); #444842=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #444843=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #444844=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #444845=DIRECTION('center_axis',(0.,0.,-1.)); #444846=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #444847=DIRECTION('',(0.,0.,1.)); #444848=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #444849=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #444850=DIRECTION('center_axis',(0.,0.,-1.)); #444851=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #444852=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #444853=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #444854=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #444855=DIRECTION('center_axis',(0.,0.,1.)); #444856=DIRECTION('ref_axis',(1.,0.,0.)); #444857=DIRECTION('',(1.,3.491481338843E-15,0.)); #444858=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #444859=DIRECTION('ref_axis',(1.323076993872E-15,-1.,0.)); #444860=DIRECTION('',(0.,0.,-1.)); #444861=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #444862=DIRECTION('ref_axis',(5.659885683814E-15,-1.,0.)); #444863=DIRECTION('',(0.,0.,-1.)); #444864=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #444865=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #444866=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #444867=DIRECTION('ref_axis',(1.,0.,0.)); #444868=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #444869=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #444870=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #444871=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #444872=DIRECTION('ref_axis',(-5.659885683814E-15,1.,0.)); #444873=DIRECTION('',(0.,0.,-1.)); #444874=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #444875=DIRECTION('ref_axis',(-1.323076993872E-15,1.,0.)); #444876=DIRECTION('',(0.,0.,-1.)); #444877=DIRECTION('center_axis',(0.,0.,1.)); #444878=DIRECTION('ref_axis',(1.,0.,0.)); #444879=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444880=DIRECTION('center_axis',(4.730569535969E-15,-1.,0.)); #444881=DIRECTION('ref_axis',(1.,4.730569535969E-15,0.)); #444882=DIRECTION('',(0.,0.,-1.)); #444883=DIRECTION('center_axis',(-4.730569535969E-15,1.,0.)); #444884=DIRECTION('ref_axis',(-1.,-4.730569535969E-15,0.)); #444885=DIRECTION('',(0.,0.,-1.)); #444886=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #444887=DIRECTION('center_axis',(0.,0.,-1.)); #444888=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #444889=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #444890=DIRECTION('center_axis',(0.,0.,-1.)); #444891=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #444892=DIRECTION('center_axis',(0.,0.,1.)); #444893=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #444894=DIRECTION('center_axis',(0.,0.,1.)); #444895=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #444896=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444897=DIRECTION('ref_axis',(0.,0.,-1.)); #444898=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #444899=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444900=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444901=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #444902=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444903=DIRECTION('',(1.,3.491481338843E-15,0.)); #444904=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #444905=DIRECTION('ref_axis',(0.,0.,-1.)); #444906=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #444907=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444908=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444909=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #444910=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444911=DIRECTION('',(1.,3.491481338843E-15,0.)); #444912=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444913=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444914=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #444915=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444916=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444917=DIRECTION('',(1.,3.491481338843E-15,0.)); #444918=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444919=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444920=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444921=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #444922=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #444923=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444924=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #444925=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #444926=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444927=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #444928=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444929=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #444930=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444931=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444932=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444933=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444934=DIRECTION('ref_axis',(2.478176394253E-15,-1.21333938210291E-16, 1.)); #444935=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444936=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #444937=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444938=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444939=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #444940=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444941=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #444942=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #444943=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444944=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #444945=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444946=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #444947=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444948=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444949=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #444950=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444951=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #444952=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #444953=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #444954=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444955=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #444956=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444957=DIRECTION('ref_axis',(-0.959437118914254,-3.18668443433527E-15, -0.281922710772857)); #444958=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444959=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444960=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444961=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444962=DIRECTION('ref_axis',(1.156482317318E-14,-1.2133393821026E-16,1.)); #444963=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #444964=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #444965=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #444966=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #444967=DIRECTION('center_axis',(0.,0.,-1.)); #444968=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444969=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444970=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444971=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #444972=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #444973=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444974=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444975=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #444976=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #444977=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #444978=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444979=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444980=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #444981=DIRECTION('',(3.440251503174E-15,-1.,-7.8026980481E-17)); #444982=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #444983=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #444984=DIRECTION('',(3.321410409826E-15,-1.,0.)); #444985=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #444986=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #444987=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #444988=DIRECTION('ref_axis',(-0.959437118913447,-3.18668443433259E-15, -0.281922710775605)); #444989=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #444990=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444991=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #444992=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #444993=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #444994=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #444995=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #444996=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #444997=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #444998=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #444999=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445000=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #445001=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445002=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445003=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #445004=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445005=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445006=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #445007=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445008=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445009=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445010=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445011=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #445012=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445013=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445014=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #445015=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445016=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445017=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #445018=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445019=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445020=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #445021=DIRECTION('ref_axis',(0.,0.,-1.)); #445022=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #445023=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445024=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #445025=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #445026=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445027=DIRECTION('',(1.,3.491481338843E-15,0.)); #445028=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #445029=DIRECTION('ref_axis',(0.,0.,-1.)); #445030=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #445031=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445032=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #445033=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #445034=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445035=DIRECTION('',(1.,3.491481338843E-15,0.)); #445036=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445037=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445038=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #445039=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445040=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445041=DIRECTION('',(1.,3.491481338843E-15,0.)); #445042=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445043=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445044=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445045=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445046=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #445047=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445048=DIRECTION('ref_axis',(-7.434529182758E-15,-1.21333938210326E-16, 1.)); #445049=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #445050=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445051=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #445052=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445053=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445054=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445055=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445056=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445057=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445058=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #445059=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445060=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445061=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445062=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #445063=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #445064=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445065=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445066=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #445067=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445068=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445069=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #445070=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445071=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #445072=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445073=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445074=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445075=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #445076=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #445077=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445078=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #445079=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #445080=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #445081=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #445082=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #445083=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445084=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #445085=DIRECTION('center_axis',(0.,0.,-1.)); #445086=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445087=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445088=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445089=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445090=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #445091=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445092=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445093=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #445094=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #445095=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #445096=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445097=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445098=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445099=DIRECTION('',(3.542711174512E-15,-1.,-7.8026980481E-17)); #445100=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #445101=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #445102=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #445103=DIRECTION('',(3.66155226786E-15,-1.,0.)); #445104=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #445105=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #445106=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #445107=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #445108=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #445109=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #445110=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445111=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445112=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #445113=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445114=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445115=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #445116=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445117=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #445118=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445119=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #445120=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445121=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445122=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #445123=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #445124=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #445125=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445126=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445127=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #445128=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445129=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445130=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #445131=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445132=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445133=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #445134=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445135=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445136=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445137=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445138=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445139=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445140=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #445141=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #445142=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #445143=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #445144=DIRECTION('',(0.,0.,1.)); #445145=DIRECTION('',(1.,0.,0.)); #445146=DIRECTION('axis',(0.,0.,1.)); #445147=DIRECTION('refdir',(1.,0.,0.)); #445148=DIRECTION('axis',(0.,0.,1.)); #445149=DIRECTION('refdir',(1.,0.,0.)); #445150=DIRECTION('center_axis',(0.,0.,-1.)); #445151=DIRECTION('ref_axis',(1.,0.,0.)); #445152=DIRECTION('center_axis',(0.,0.,-1.)); #445153=DIRECTION('ref_axis',(1.,0.,0.)); #445154=DIRECTION('',(0.,0.,-1.)); #445155=DIRECTION('center_axis',(0.,0.,-1.)); #445156=DIRECTION('ref_axis',(1.,0.,0.)); #445157=DIRECTION('center_axis',(0.,0.,1.)); #445158=DIRECTION('ref_axis',(1.,0.,0.)); #445159=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #445160=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #445161=DIRECTION('',(0.,-1.,0.)); #445162=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #445163=DIRECTION('',(0.,1.,0.)); #445164=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #445165=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #445166=DIRECTION('ref_axis',(1.,0.,0.)); #445167=DIRECTION('',(1.,0.,0.)); #445168=DIRECTION('',(-1.,0.,0.)); #445169=DIRECTION('',(0.577350269189629,0.577350269189624,0.577350269189624)); #445170=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #445171=DIRECTION('ref_axis',(1.,0.,0.)); #445172=DIRECTION('',(1.,0.,0.)); #445173=DIRECTION('',(-1.,0.,0.)); #445174=DIRECTION('',(0.577350269189624,-0.577350269189629,0.577350269189624)); #445175=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #445176=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #445177=DIRECTION('',(0.,1.,0.)); #445178=DIRECTION('',(0.,-1.,0.)); #445179=DIRECTION('center_axis',(0.,1.,0.)); #445180=DIRECTION('ref_axis',(1.,0.,0.)); #445181=DIRECTION('',(0.,0.,-1.)); #445182=DIRECTION('',(1.,0.,0.)); #445183=DIRECTION('',(0.,0.,-1.)); #445184=DIRECTION('center_axis',(1.,0.,0.)); #445185=DIRECTION('ref_axis',(0.,-1.,0.)); #445186=DIRECTION('',(0.,0.,-1.)); #445187=DIRECTION('',(0.,-1.,0.)); #445188=DIRECTION('center_axis',(0.,0.,1.)); #445189=DIRECTION('ref_axis',(1.,0.,0.)); #445190=DIRECTION('center_axis',(-1.,0.,0.)); #445191=DIRECTION('ref_axis',(0.,1.,0.)); #445192=DIRECTION('',(0.,1.,0.)); #445193=DIRECTION('',(0.,0.,-1.)); #445194=DIRECTION('center_axis',(0.,-1.,0.)); #445195=DIRECTION('ref_axis',(-1.,0.,0.)); #445196=DIRECTION('',(-1.,0.,0.)); #445197=DIRECTION('center_axis',(0.,0.,-1.)); #445198=DIRECTION('ref_axis',(-1.,0.,0.)); #445199=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445200=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445201=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445202=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445203=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445204=DIRECTION('',(0.,1.,0.)); #445205=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445206=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445207=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445208=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445209=DIRECTION('center_axis',(0.,0.,1.)); #445210=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445211=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445212=DIRECTION('center_axis',(0.,0.,1.)); #445213=DIRECTION('ref_axis',(1.,0.,0.)); #445214=DIRECTION('',(1.,0.,0.)); #445215=DIRECTION('',(0.,1.,0.)); #445216=DIRECTION('',(1.,0.,0.)); #445217=DIRECTION('',(0.,1.,0.)); #445218=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445219=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445220=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445221=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445222=DIRECTION('center_axis',(0.,0.,-1.)); #445223=DIRECTION('ref_axis',(-1.,0.,0.)); #445224=DIRECTION('',(-1.,0.,0.)); #445225=DIRECTION('',(0.,1.,0.)); #445226=DIRECTION('',(-1.,0.,0.)); #445227=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445228=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445229=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445230=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445231=DIRECTION('center_axis',(0.,1.,0.)); #445232=DIRECTION('ref_axis',(0.,0.,1.)); #445233=DIRECTION('center_axis',(0.,1.,0.)); #445234=DIRECTION('ref_axis',(1.,0.,0.)); #445235=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445236=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445237=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445238=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445239=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445240=DIRECTION('',(0.,1.,0.)); #445241=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445242=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445243=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445244=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445245=DIRECTION('center_axis',(0.,0.,-1.)); #445246=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445247=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445248=DIRECTION('center_axis',(0.,0.,-1.)); #445249=DIRECTION('ref_axis',(-1.,0.,0.)); #445250=DIRECTION('',(-1.,0.,0.)); #445251=DIRECTION('',(0.,1.,0.)); #445252=DIRECTION('',(-1.,0.,0.)); #445253=DIRECTION('',(0.,1.,0.)); #445254=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445255=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445256=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445257=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445258=DIRECTION('center_axis',(0.,0.,1.)); #445259=DIRECTION('ref_axis',(1.,0.,0.)); #445260=DIRECTION('',(1.,0.,0.)); #445261=DIRECTION('',(0.,1.,0.)); #445262=DIRECTION('',(1.,0.,0.)); #445263=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445264=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445265=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445266=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445267=DIRECTION('center_axis',(0.,-1.,0.)); #445268=DIRECTION('ref_axis',(0.,0.,1.)); #445269=DIRECTION('center_axis',(0.,-1.,0.)); #445270=DIRECTION('ref_axis',(-1.,0.,0.)); #445271=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445272=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445273=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445274=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445275=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445276=DIRECTION('',(0.,1.,0.)); #445277=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445278=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445279=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445280=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445281=DIRECTION('center_axis',(0.,0.,1.)); #445282=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445283=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445284=DIRECTION('center_axis',(0.,0.,1.)); #445285=DIRECTION('ref_axis',(1.,0.,0.)); #445286=DIRECTION('',(1.,0.,0.)); #445287=DIRECTION('',(0.,1.,0.)); #445288=DIRECTION('',(1.,0.,0.)); #445289=DIRECTION('',(0.,1.,0.)); #445290=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445291=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445292=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445293=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445294=DIRECTION('center_axis',(0.,0.,-1.)); #445295=DIRECTION('ref_axis',(-1.,0.,0.)); #445296=DIRECTION('',(-1.,0.,0.)); #445297=DIRECTION('',(0.,1.,0.)); #445298=DIRECTION('',(-1.,0.,0.)); #445299=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445300=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445301=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445302=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445303=DIRECTION('center_axis',(0.,1.,0.)); #445304=DIRECTION('ref_axis',(0.,0.,1.)); #445305=DIRECTION('center_axis',(0.,1.,0.)); #445306=DIRECTION('ref_axis',(1.,0.,0.)); #445307=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445308=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445309=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445310=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445311=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445312=DIRECTION('',(0.,1.,0.)); #445313=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445314=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445315=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445316=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445317=DIRECTION('center_axis',(0.,0.,1.)); #445318=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445319=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445320=DIRECTION('center_axis',(0.,0.,1.)); #445321=DIRECTION('ref_axis',(1.,0.,0.)); #445322=DIRECTION('',(1.,0.,0.)); #445323=DIRECTION('',(0.,1.,0.)); #445324=DIRECTION('',(1.,0.,0.)); #445325=DIRECTION('',(0.,1.,0.)); #445326=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445327=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445328=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445329=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445330=DIRECTION('center_axis',(0.,0.,-1.)); #445331=DIRECTION('ref_axis',(-1.,0.,0.)); #445332=DIRECTION('',(-1.,0.,0.)); #445333=DIRECTION('',(0.,1.,0.)); #445334=DIRECTION('',(-1.,0.,0.)); #445335=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445336=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445337=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445338=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445339=DIRECTION('center_axis',(0.,1.,0.)); #445340=DIRECTION('ref_axis',(0.,0.,1.)); #445341=DIRECTION('center_axis',(0.,1.,0.)); #445342=DIRECTION('ref_axis',(1.,0.,0.)); #445343=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445344=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445345=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445346=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445347=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445348=DIRECTION('',(0.,1.,0.)); #445349=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445350=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445351=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445352=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445353=DIRECTION('center_axis',(0.,0.,1.)); #445354=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445355=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445356=DIRECTION('center_axis',(0.,0.,1.)); #445357=DIRECTION('ref_axis',(1.,0.,0.)); #445358=DIRECTION('',(1.,0.,0.)); #445359=DIRECTION('',(0.,1.,0.)); #445360=DIRECTION('',(1.,0.,0.)); #445361=DIRECTION('',(0.,1.,0.)); #445362=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445363=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445364=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445365=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445366=DIRECTION('center_axis',(0.,0.,-1.)); #445367=DIRECTION('ref_axis',(-1.,0.,0.)); #445368=DIRECTION('',(-1.,0.,0.)); #445369=DIRECTION('',(0.,1.,0.)); #445370=DIRECTION('',(-1.,0.,0.)); #445371=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445372=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445373=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445374=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445375=DIRECTION('center_axis',(0.,1.,0.)); #445376=DIRECTION('ref_axis',(0.,0.,1.)); #445377=DIRECTION('center_axis',(0.,1.,0.)); #445378=DIRECTION('ref_axis',(1.,0.,0.)); #445379=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445380=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445381=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445382=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445383=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445384=DIRECTION('',(0.,1.,0.)); #445385=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445386=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445387=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445388=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445389=DIRECTION('center_axis',(0.,0.,1.)); #445390=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445391=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445392=DIRECTION('center_axis',(0.,0.,1.)); #445393=DIRECTION('ref_axis',(1.,0.,0.)); #445394=DIRECTION('',(1.,0.,0.)); #445395=DIRECTION('',(0.,1.,0.)); #445396=DIRECTION('',(1.,0.,0.)); #445397=DIRECTION('',(0.,1.,0.)); #445398=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445399=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445400=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445401=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445402=DIRECTION('center_axis',(0.,0.,-1.)); #445403=DIRECTION('ref_axis',(-1.,0.,0.)); #445404=DIRECTION('',(-1.,0.,0.)); #445405=DIRECTION('',(0.,1.,0.)); #445406=DIRECTION('',(-1.,0.,0.)); #445407=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445408=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445409=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445410=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445411=DIRECTION('center_axis',(0.,1.,0.)); #445412=DIRECTION('ref_axis',(0.,0.,1.)); #445413=DIRECTION('center_axis',(0.,1.,0.)); #445414=DIRECTION('ref_axis',(1.,0.,0.)); #445415=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445416=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445417=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445418=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445419=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445420=DIRECTION('',(0.,1.,0.)); #445421=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445422=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445423=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445424=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445425=DIRECTION('center_axis',(0.,0.,1.)); #445426=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445427=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445428=DIRECTION('center_axis',(0.,0.,1.)); #445429=DIRECTION('ref_axis',(1.,0.,0.)); #445430=DIRECTION('',(1.,0.,0.)); #445431=DIRECTION('',(0.,1.,0.)); #445432=DIRECTION('',(1.,0.,0.)); #445433=DIRECTION('',(0.,1.,0.)); #445434=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445435=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445436=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445437=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445438=DIRECTION('center_axis',(0.,0.,-1.)); #445439=DIRECTION('ref_axis',(-1.,0.,0.)); #445440=DIRECTION('',(-1.,0.,0.)); #445441=DIRECTION('',(0.,1.,0.)); #445442=DIRECTION('',(-1.,0.,0.)); #445443=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445444=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445445=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445446=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445447=DIRECTION('center_axis',(0.,1.,0.)); #445448=DIRECTION('ref_axis',(0.,0.,1.)); #445449=DIRECTION('center_axis',(0.,1.,0.)); #445450=DIRECTION('ref_axis',(1.,0.,0.)); #445451=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445452=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445453=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445454=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445455=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445456=DIRECTION('',(0.,1.,0.)); #445457=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445458=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445459=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445460=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445461=DIRECTION('center_axis',(0.,0.,1.)); #445462=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445463=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445464=DIRECTION('center_axis',(0.,0.,1.)); #445465=DIRECTION('ref_axis',(1.,0.,0.)); #445466=DIRECTION('',(1.,0.,0.)); #445467=DIRECTION('',(0.,1.,0.)); #445468=DIRECTION('',(1.,0.,0.)); #445469=DIRECTION('',(0.,1.,0.)); #445470=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445471=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445472=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445473=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445474=DIRECTION('center_axis',(0.,0.,-1.)); #445475=DIRECTION('ref_axis',(-1.,0.,0.)); #445476=DIRECTION('',(-1.,0.,0.)); #445477=DIRECTION('',(0.,1.,0.)); #445478=DIRECTION('',(-1.,0.,0.)); #445479=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445480=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445481=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445482=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445483=DIRECTION('center_axis',(0.,1.,0.)); #445484=DIRECTION('ref_axis',(0.,0.,1.)); #445485=DIRECTION('center_axis',(0.,1.,0.)); #445486=DIRECTION('ref_axis',(1.,0.,0.)); #445487=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445488=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445489=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445490=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445491=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445492=DIRECTION('',(0.,1.,0.)); #445493=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445494=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445495=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445496=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445497=DIRECTION('center_axis',(0.,0.,1.)); #445498=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445499=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445500=DIRECTION('center_axis',(0.,0.,1.)); #445501=DIRECTION('ref_axis',(1.,0.,0.)); #445502=DIRECTION('',(1.,0.,0.)); #445503=DIRECTION('',(0.,1.,0.)); #445504=DIRECTION('',(1.,0.,0.)); #445505=DIRECTION('',(0.,1.,0.)); #445506=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445507=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445508=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445509=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445510=DIRECTION('center_axis',(0.,0.,-1.)); #445511=DIRECTION('ref_axis',(-1.,0.,0.)); #445512=DIRECTION('',(-1.,0.,0.)); #445513=DIRECTION('',(0.,1.,0.)); #445514=DIRECTION('',(-1.,0.,0.)); #445515=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445516=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445517=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445518=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445519=DIRECTION('center_axis',(0.,1.,0.)); #445520=DIRECTION('ref_axis',(0.,0.,1.)); #445521=DIRECTION('center_axis',(0.,1.,0.)); #445522=DIRECTION('ref_axis',(1.,0.,0.)); #445523=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445524=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445525=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445526=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445527=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445528=DIRECTION('',(0.,1.,0.)); #445529=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445530=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445531=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445532=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445533=DIRECTION('center_axis',(0.,0.,1.)); #445534=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445535=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445536=DIRECTION('center_axis',(0.,0.,1.)); #445537=DIRECTION('ref_axis',(1.,0.,0.)); #445538=DIRECTION('',(1.,0.,0.)); #445539=DIRECTION('',(0.,1.,0.)); #445540=DIRECTION('',(1.,0.,0.)); #445541=DIRECTION('',(0.,1.,0.)); #445542=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445543=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445544=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445545=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445546=DIRECTION('center_axis',(0.,0.,-1.)); #445547=DIRECTION('ref_axis',(-1.,0.,0.)); #445548=DIRECTION('',(-1.,0.,0.)); #445549=DIRECTION('',(0.,1.,0.)); #445550=DIRECTION('',(-1.,0.,0.)); #445551=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445552=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445553=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445554=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445555=DIRECTION('center_axis',(0.,1.,0.)); #445556=DIRECTION('ref_axis',(0.,0.,1.)); #445557=DIRECTION('center_axis',(0.,1.,0.)); #445558=DIRECTION('ref_axis',(1.,0.,0.)); #445559=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445560=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445561=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445562=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445563=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445564=DIRECTION('',(0.,1.,0.)); #445565=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445566=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445567=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445568=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445569=DIRECTION('center_axis',(0.,0.,1.)); #445570=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445571=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445572=DIRECTION('center_axis',(0.,0.,1.)); #445573=DIRECTION('ref_axis',(1.,0.,0.)); #445574=DIRECTION('',(1.,0.,0.)); #445575=DIRECTION('',(0.,1.,0.)); #445576=DIRECTION('',(1.,0.,0.)); #445577=DIRECTION('',(0.,1.,0.)); #445578=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445579=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445580=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445581=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445582=DIRECTION('center_axis',(0.,0.,-1.)); #445583=DIRECTION('ref_axis',(-1.,0.,0.)); #445584=DIRECTION('',(-1.,0.,0.)); #445585=DIRECTION('',(0.,1.,0.)); #445586=DIRECTION('',(-1.,0.,0.)); #445587=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445588=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445589=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445590=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445591=DIRECTION('center_axis',(0.,1.,0.)); #445592=DIRECTION('ref_axis',(0.,0.,1.)); #445593=DIRECTION('center_axis',(0.,1.,0.)); #445594=DIRECTION('ref_axis',(1.,0.,0.)); #445595=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445596=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445597=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445598=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445599=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445600=DIRECTION('',(0.,1.,0.)); #445601=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445602=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445603=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445604=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445605=DIRECTION('center_axis',(0.,0.,1.)); #445606=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445607=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445608=DIRECTION('center_axis',(0.,0.,1.)); #445609=DIRECTION('ref_axis',(1.,0.,0.)); #445610=DIRECTION('',(1.,0.,0.)); #445611=DIRECTION('',(0.,1.,0.)); #445612=DIRECTION('',(1.,0.,0.)); #445613=DIRECTION('',(0.,1.,0.)); #445614=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445615=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445616=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445617=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445618=DIRECTION('center_axis',(0.,0.,-1.)); #445619=DIRECTION('ref_axis',(-1.,0.,0.)); #445620=DIRECTION('',(-1.,0.,0.)); #445621=DIRECTION('',(0.,1.,0.)); #445622=DIRECTION('',(-1.,0.,0.)); #445623=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445624=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445625=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445626=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445627=DIRECTION('center_axis',(0.,1.,0.)); #445628=DIRECTION('ref_axis',(0.,0.,1.)); #445629=DIRECTION('center_axis',(0.,1.,0.)); #445630=DIRECTION('ref_axis',(1.,0.,0.)); #445631=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #445632=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #445633=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #445634=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445635=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445636=DIRECTION('',(0.,1.,0.)); #445637=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #445638=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #445639=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445640=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #445641=DIRECTION('center_axis',(0.,0.,1.)); #445642=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445643=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #445644=DIRECTION('center_axis',(0.,0.,1.)); #445645=DIRECTION('ref_axis',(1.,0.,0.)); #445646=DIRECTION('',(1.,0.,0.)); #445647=DIRECTION('',(0.,1.,0.)); #445648=DIRECTION('',(1.,0.,0.)); #445649=DIRECTION('',(0.,1.,0.)); #445650=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #445651=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #445652=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445653=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #445654=DIRECTION('center_axis',(0.,0.,-1.)); #445655=DIRECTION('ref_axis',(-1.,0.,0.)); #445656=DIRECTION('',(-1.,0.,0.)); #445657=DIRECTION('',(0.,1.,0.)); #445658=DIRECTION('',(-1.,0.,0.)); #445659=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #445660=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #445661=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445662=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #445663=DIRECTION('center_axis',(0.,1.,0.)); #445664=DIRECTION('ref_axis',(0.,0.,1.)); #445665=DIRECTION('center_axis',(0.,1.,0.)); #445666=DIRECTION('ref_axis',(1.,0.,0.)); #445667=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445668=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445669=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445670=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445671=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445672=DIRECTION('',(0.,1.,0.)); #445673=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445674=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445675=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445676=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445677=DIRECTION('center_axis',(0.,0.,-1.)); #445678=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445679=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445680=DIRECTION('center_axis',(0.,0.,-1.)); #445681=DIRECTION('ref_axis',(-1.,0.,0.)); #445682=DIRECTION('',(-1.,0.,0.)); #445683=DIRECTION('',(0.,1.,0.)); #445684=DIRECTION('',(-1.,0.,0.)); #445685=DIRECTION('',(0.,1.,0.)); #445686=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445687=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445688=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445689=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445690=DIRECTION('center_axis',(0.,0.,1.)); #445691=DIRECTION('ref_axis',(1.,0.,0.)); #445692=DIRECTION('',(1.,0.,0.)); #445693=DIRECTION('',(0.,1.,0.)); #445694=DIRECTION('',(1.,0.,0.)); #445695=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445696=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445697=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445698=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445699=DIRECTION('center_axis',(0.,-1.,0.)); #445700=DIRECTION('ref_axis',(0.,0.,1.)); #445701=DIRECTION('center_axis',(0.,-1.,0.)); #445702=DIRECTION('ref_axis',(-1.,0.,0.)); #445703=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445704=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445705=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445706=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445707=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445708=DIRECTION('',(0.,1.,0.)); #445709=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445710=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445711=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445712=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445713=DIRECTION('center_axis',(0.,0.,-1.)); #445714=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445715=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445716=DIRECTION('center_axis',(0.,0.,-1.)); #445717=DIRECTION('ref_axis',(-1.,0.,0.)); #445718=DIRECTION('',(-1.,0.,0.)); #445719=DIRECTION('',(0.,1.,0.)); #445720=DIRECTION('',(-1.,0.,0.)); #445721=DIRECTION('',(0.,1.,0.)); #445722=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445723=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445724=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445725=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445726=DIRECTION('center_axis',(0.,0.,1.)); #445727=DIRECTION('ref_axis',(1.,0.,0.)); #445728=DIRECTION('',(1.,0.,0.)); #445729=DIRECTION('',(0.,1.,0.)); #445730=DIRECTION('',(1.,0.,0.)); #445731=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445732=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445733=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445734=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445735=DIRECTION('center_axis',(0.,-1.,0.)); #445736=DIRECTION('ref_axis',(0.,0.,1.)); #445737=DIRECTION('center_axis',(0.,-1.,0.)); #445738=DIRECTION('ref_axis',(-1.,0.,0.)); #445739=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445740=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445741=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445742=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445743=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445744=DIRECTION('',(0.,1.,0.)); #445745=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445746=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445747=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445748=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445749=DIRECTION('center_axis',(0.,0.,-1.)); #445750=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445751=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445752=DIRECTION('center_axis',(0.,0.,-1.)); #445753=DIRECTION('ref_axis',(-1.,0.,0.)); #445754=DIRECTION('',(-1.,0.,0.)); #445755=DIRECTION('',(0.,1.,0.)); #445756=DIRECTION('',(-1.,0.,0.)); #445757=DIRECTION('',(0.,1.,0.)); #445758=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445759=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445760=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445761=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445762=DIRECTION('center_axis',(0.,0.,1.)); #445763=DIRECTION('ref_axis',(1.,0.,0.)); #445764=DIRECTION('',(1.,0.,0.)); #445765=DIRECTION('',(0.,1.,0.)); #445766=DIRECTION('',(1.,0.,0.)); #445767=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445768=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445769=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445770=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445771=DIRECTION('center_axis',(0.,-1.,0.)); #445772=DIRECTION('ref_axis',(0.,0.,1.)); #445773=DIRECTION('center_axis',(0.,-1.,0.)); #445774=DIRECTION('ref_axis',(-1.,0.,0.)); #445775=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445776=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445777=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445778=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445779=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445780=DIRECTION('',(0.,1.,0.)); #445781=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445782=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445783=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445784=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445785=DIRECTION('center_axis',(0.,0.,-1.)); #445786=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445787=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445788=DIRECTION('center_axis',(0.,0.,-1.)); #445789=DIRECTION('ref_axis',(-1.,0.,0.)); #445790=DIRECTION('',(-1.,0.,0.)); #445791=DIRECTION('',(0.,1.,0.)); #445792=DIRECTION('',(-1.,0.,0.)); #445793=DIRECTION('',(0.,1.,0.)); #445794=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445795=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445796=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445797=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445798=DIRECTION('center_axis',(0.,0.,1.)); #445799=DIRECTION('ref_axis',(1.,0.,0.)); #445800=DIRECTION('',(1.,0.,0.)); #445801=DIRECTION('',(0.,1.,0.)); #445802=DIRECTION('',(1.,0.,0.)); #445803=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445804=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445805=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445806=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445807=DIRECTION('center_axis',(0.,-1.,0.)); #445808=DIRECTION('ref_axis',(0.,0.,1.)); #445809=DIRECTION('center_axis',(0.,-1.,0.)); #445810=DIRECTION('ref_axis',(-1.,0.,0.)); #445811=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445812=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445813=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445814=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445815=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445816=DIRECTION('',(0.,1.,0.)); #445817=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445818=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445819=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445820=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445821=DIRECTION('center_axis',(0.,0.,-1.)); #445822=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445823=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445824=DIRECTION('center_axis',(0.,0.,-1.)); #445825=DIRECTION('ref_axis',(-1.,0.,0.)); #445826=DIRECTION('',(-1.,0.,0.)); #445827=DIRECTION('',(0.,1.,0.)); #445828=DIRECTION('',(-1.,0.,0.)); #445829=DIRECTION('',(0.,1.,0.)); #445830=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445831=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445832=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445833=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445834=DIRECTION('center_axis',(0.,0.,1.)); #445835=DIRECTION('ref_axis',(1.,0.,0.)); #445836=DIRECTION('',(1.,0.,0.)); #445837=DIRECTION('',(0.,1.,0.)); #445838=DIRECTION('',(1.,0.,0.)); #445839=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445840=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445841=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445842=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445843=DIRECTION('center_axis',(0.,-1.,0.)); #445844=DIRECTION('ref_axis',(0.,0.,1.)); #445845=DIRECTION('center_axis',(0.,-1.,0.)); #445846=DIRECTION('ref_axis',(-1.,0.,0.)); #445847=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445848=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445849=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445850=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445851=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445852=DIRECTION('',(0.,1.,0.)); #445853=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445854=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445855=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445856=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445857=DIRECTION('center_axis',(0.,0.,-1.)); #445858=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445859=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445860=DIRECTION('center_axis',(0.,0.,-1.)); #445861=DIRECTION('ref_axis',(-1.,0.,0.)); #445862=DIRECTION('',(-1.,0.,0.)); #445863=DIRECTION('',(0.,1.,0.)); #445864=DIRECTION('',(-1.,0.,0.)); #445865=DIRECTION('',(0.,1.,0.)); #445866=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445867=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445868=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445869=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445870=DIRECTION('center_axis',(0.,0.,1.)); #445871=DIRECTION('ref_axis',(1.,0.,0.)); #445872=DIRECTION('',(1.,0.,0.)); #445873=DIRECTION('',(0.,1.,0.)); #445874=DIRECTION('',(1.,0.,0.)); #445875=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445876=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445877=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445878=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445879=DIRECTION('center_axis',(0.,-1.,0.)); #445880=DIRECTION('ref_axis',(0.,0.,1.)); #445881=DIRECTION('center_axis',(0.,-1.,0.)); #445882=DIRECTION('ref_axis',(-1.,0.,0.)); #445883=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445884=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445885=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445886=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445887=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445888=DIRECTION('',(0.,1.,0.)); #445889=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445890=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445891=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445892=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445893=DIRECTION('center_axis',(0.,0.,-1.)); #445894=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445895=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445896=DIRECTION('center_axis',(0.,0.,-1.)); #445897=DIRECTION('ref_axis',(-1.,0.,0.)); #445898=DIRECTION('',(-1.,0.,0.)); #445899=DIRECTION('',(0.,1.,0.)); #445900=DIRECTION('',(-1.,0.,0.)); #445901=DIRECTION('',(0.,1.,0.)); #445902=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445903=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445904=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445905=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445906=DIRECTION('center_axis',(0.,0.,1.)); #445907=DIRECTION('ref_axis',(1.,0.,0.)); #445908=DIRECTION('',(1.,0.,0.)); #445909=DIRECTION('',(0.,1.,0.)); #445910=DIRECTION('',(1.,0.,0.)); #445911=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445912=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445913=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445914=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445915=DIRECTION('center_axis',(0.,-1.,0.)); #445916=DIRECTION('ref_axis',(0.,0.,1.)); #445917=DIRECTION('center_axis',(0.,-1.,0.)); #445918=DIRECTION('ref_axis',(-1.,0.,0.)); #445919=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445920=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445921=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445922=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445923=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445924=DIRECTION('',(0.,1.,0.)); #445925=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445926=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445927=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445928=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445929=DIRECTION('center_axis',(0.,0.,-1.)); #445930=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445931=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445932=DIRECTION('center_axis',(0.,0.,-1.)); #445933=DIRECTION('ref_axis',(-1.,0.,0.)); #445934=DIRECTION('',(-1.,0.,0.)); #445935=DIRECTION('',(0.,1.,0.)); #445936=DIRECTION('',(-1.,0.,0.)); #445937=DIRECTION('',(0.,1.,0.)); #445938=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445939=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445940=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445941=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445942=DIRECTION('center_axis',(0.,0.,1.)); #445943=DIRECTION('ref_axis',(1.,0.,0.)); #445944=DIRECTION('',(1.,0.,0.)); #445945=DIRECTION('',(0.,1.,0.)); #445946=DIRECTION('',(1.,0.,0.)); #445947=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445948=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445949=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445950=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445951=DIRECTION('center_axis',(0.,-1.,0.)); #445952=DIRECTION('ref_axis',(0.,0.,1.)); #445953=DIRECTION('center_axis',(0.,-1.,0.)); #445954=DIRECTION('ref_axis',(-1.,0.,0.)); #445955=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445956=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445957=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445958=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445959=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445960=DIRECTION('',(0.,1.,0.)); #445961=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445962=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445963=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #445964=DIRECTION('',(1.11022302462516E-14,0.,1.)); #445965=DIRECTION('center_axis',(0.,0.,-1.)); #445966=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445967=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #445968=DIRECTION('center_axis',(0.,0.,-1.)); #445969=DIRECTION('ref_axis',(-1.,0.,0.)); #445970=DIRECTION('',(-1.,0.,0.)); #445971=DIRECTION('',(0.,1.,0.)); #445972=DIRECTION('',(-1.,0.,0.)); #445973=DIRECTION('',(0.,1.,0.)); #445974=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #445975=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #445976=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445977=DIRECTION('',(3.70074341541719E-15,0.,1.)); #445978=DIRECTION('center_axis',(0.,0.,1.)); #445979=DIRECTION('ref_axis',(1.,0.,0.)); #445980=DIRECTION('',(1.,0.,0.)); #445981=DIRECTION('',(0.,1.,0.)); #445982=DIRECTION('',(1.,0.,0.)); #445983=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #445984=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #445985=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445986=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #445987=DIRECTION('center_axis',(0.,-1.,0.)); #445988=DIRECTION('ref_axis',(0.,0.,1.)); #445989=DIRECTION('center_axis',(0.,-1.,0.)); #445990=DIRECTION('ref_axis',(-1.,0.,0.)); #445991=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #445992=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #445993=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #445994=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #445995=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445996=DIRECTION('',(0.,1.,0.)); #445997=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #445998=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #445999=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #446000=DIRECTION('',(1.11022302462516E-14,0.,1.)); #446001=DIRECTION('center_axis',(0.,0.,-1.)); #446002=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #446003=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #446004=DIRECTION('center_axis',(0.,0.,-1.)); #446005=DIRECTION('ref_axis',(-1.,0.,0.)); #446006=DIRECTION('',(-1.,0.,0.)); #446007=DIRECTION('',(0.,1.,0.)); #446008=DIRECTION('',(-1.,0.,0.)); #446009=DIRECTION('',(0.,1.,0.)); #446010=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #446011=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #446012=DIRECTION('',(3.70074341541719E-15,0.,1.)); #446013=DIRECTION('',(3.70074341541719E-15,0.,1.)); #446014=DIRECTION('center_axis',(0.,0.,1.)); #446015=DIRECTION('ref_axis',(1.,0.,0.)); #446016=DIRECTION('',(1.,0.,0.)); #446017=DIRECTION('',(0.,1.,0.)); #446018=DIRECTION('',(1.,0.,0.)); #446019=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #446020=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #446021=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #446022=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #446023=DIRECTION('center_axis',(0.,-1.,0.)); #446024=DIRECTION('ref_axis',(0.,0.,1.)); #446025=DIRECTION('center_axis',(0.,-1.,0.)); #446026=DIRECTION('ref_axis',(-1.,0.,0.)); #446027=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #446028=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #446029=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #446030=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #446031=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #446032=DIRECTION('',(0.,1.,0.)); #446033=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #446034=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #446035=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #446036=DIRECTION('',(1.11022302462516E-14,0.,1.)); #446037=DIRECTION('center_axis',(0.,0.,-1.)); #446038=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #446039=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #446040=DIRECTION('center_axis',(0.,0.,-1.)); #446041=DIRECTION('ref_axis',(-1.,0.,0.)); #446042=DIRECTION('',(-1.,0.,0.)); #446043=DIRECTION('',(0.,1.,0.)); #446044=DIRECTION('',(-1.,0.,0.)); #446045=DIRECTION('',(0.,1.,0.)); #446046=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #446047=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #446048=DIRECTION('',(3.70074341541719E-15,0.,1.)); #446049=DIRECTION('',(3.70074341541719E-15,0.,1.)); #446050=DIRECTION('center_axis',(0.,0.,1.)); #446051=DIRECTION('ref_axis',(1.,0.,0.)); #446052=DIRECTION('',(1.,0.,0.)); #446053=DIRECTION('',(0.,1.,0.)); #446054=DIRECTION('',(1.,0.,0.)); #446055=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #446056=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #446057=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #446058=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #446059=DIRECTION('center_axis',(0.,-1.,0.)); #446060=DIRECTION('ref_axis',(0.,0.,1.)); #446061=DIRECTION('center_axis',(0.,-1.,0.)); #446062=DIRECTION('ref_axis',(-1.,0.,0.)); #446063=DIRECTION('center_axis',(0.,0.,-1.)); #446064=DIRECTION('ref_axis',(0.,1.,0.)); #446065=DIRECTION('center_axis',(0.,0.,1.)); #446066=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446067=DIRECTION('',(0.,-1.,0.)); #446068=DIRECTION('',(1.,0.,0.)); #446069=DIRECTION('',(0.,1.,0.)); #446070=DIRECTION('center_axis',(0.,0.,1.)); #446071=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446072=DIRECTION('center_axis',(0.,0.,-1.)); #446073=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446074=DIRECTION('',(0.,0.,-1.)); #446075=DIRECTION('',(0.,0.,1.)); #446076=DIRECTION('center_axis',(0.,0.,1.)); #446077=DIRECTION('ref_axis',(0.,-1.,0.)); #446078=DIRECTION('',(0.,-1.,0.)); #446079=DIRECTION('',(1.,0.,0.)); #446080=DIRECTION('',(0.,1.,0.)); #446081=DIRECTION('center_axis',(0.,-1.,0.)); #446082=DIRECTION('ref_axis',(0.,0.,-1.)); #446083=DIRECTION('',(0.,0.,-1.)); #446084=DIRECTION('',(0.,0.,-1.)); #446085=DIRECTION('',(1.,0.,0.)); #446086=DIRECTION('center_axis',(0.,0.,1.)); #446087=DIRECTION('ref_axis',(0.,-1.,0.)); #446088=DIRECTION('',(0.,-1.,0.)); #446089=DIRECTION('',(0.,-1.,0.)); #446090=DIRECTION('',(1.,0.,0.)); #446091=DIRECTION('center_axis',(0.,1.,0.)); #446092=DIRECTION('ref_axis',(0.,0.,1.)); #446093=DIRECTION('',(0.,0.,1.)); #446094=DIRECTION('',(0.,0.,1.)); #446095=DIRECTION('center_axis',(1.,0.,0.)); #446096=DIRECTION('ref_axis',(0.,0.,-1.)); #446097=DIRECTION('center_axis',(1.,0.,0.)); #446098=DIRECTION('ref_axis',(0.,0.,-1.)); #446099=DIRECTION('center_axis',(0.,0.,1.)); #446100=DIRECTION('ref_axis',(0.,-1.,0.)); #446101=DIRECTION('center_axis',(0.,0.,-1.)); #446102=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446103=DIRECTION('',(0.,-1.,0.)); #446104=DIRECTION('',(1.,0.,0.)); #446105=DIRECTION('',(0.,1.,0.)); #446106=DIRECTION('center_axis',(0.,0.,-1.)); #446107=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446108=DIRECTION('center_axis',(0.,0.,1.)); #446109=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446110=DIRECTION('',(0.,0.,1.)); #446111=DIRECTION('',(0.,0.,-1.)); #446112=DIRECTION('center_axis',(0.,0.,-1.)); #446113=DIRECTION('ref_axis',(0.,1.,0.)); #446114=DIRECTION('',(0.,-1.,0.)); #446115=DIRECTION('',(1.,0.,0.)); #446116=DIRECTION('',(0.,1.,0.)); #446117=DIRECTION('center_axis',(0.,-1.,0.)); #446118=DIRECTION('ref_axis',(0.,0.,-1.)); #446119=DIRECTION('',(0.,0.,-1.)); #446120=DIRECTION('',(1.,0.,0.)); #446121=DIRECTION('',(0.,0.,-1.)); #446122=DIRECTION('center_axis',(0.,0.,-1.)); #446123=DIRECTION('ref_axis',(0.,1.,0.)); #446124=DIRECTION('',(0.,1.,0.)); #446125=DIRECTION('',(1.,0.,0.)); #446126=DIRECTION('',(0.,1.,0.)); #446127=DIRECTION('center_axis',(0.,1.,0.)); #446128=DIRECTION('ref_axis',(0.,0.,1.)); #446129=DIRECTION('',(0.,0.,1.)); #446130=DIRECTION('',(0.,0.,1.)); #446131=DIRECTION('center_axis',(-1.,0.,0.)); #446132=DIRECTION('ref_axis',(0.,0.,-1.)); #446133=DIRECTION('center_axis',(-1.,0.,0.)); #446134=DIRECTION('ref_axis',(0.,0.,-1.)); #446135=DIRECTION('center_axis',(0.,0.,-1.)); #446136=DIRECTION('ref_axis',(0.,1.,0.)); #446137=DIRECTION('center_axis',(0.,0.,1.)); #446138=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446139=DIRECTION('',(0.,-1.,0.)); #446140=DIRECTION('',(1.,0.,0.)); #446141=DIRECTION('',(0.,1.,0.)); #446142=DIRECTION('center_axis',(0.,0.,1.)); #446143=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446144=DIRECTION('center_axis',(0.,0.,-1.)); #446145=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446146=DIRECTION('',(0.,0.,-1.)); #446147=DIRECTION('',(0.,0.,1.)); #446148=DIRECTION('center_axis',(0.,0.,1.)); #446149=DIRECTION('ref_axis',(0.,-1.,0.)); #446150=DIRECTION('',(0.,-1.,0.)); #446151=DIRECTION('',(1.,0.,0.)); #446152=DIRECTION('',(0.,1.,0.)); #446153=DIRECTION('center_axis',(0.,-1.,0.)); #446154=DIRECTION('ref_axis',(0.,0.,-1.)); #446155=DIRECTION('',(0.,0.,-1.)); #446156=DIRECTION('',(0.,0.,-1.)); #446157=DIRECTION('',(1.,0.,0.)); #446158=DIRECTION('center_axis',(0.,0.,1.)); #446159=DIRECTION('ref_axis',(0.,-1.,0.)); #446160=DIRECTION('',(0.,-1.,0.)); #446161=DIRECTION('',(0.,-1.,0.)); #446162=DIRECTION('',(1.,0.,0.)); #446163=DIRECTION('center_axis',(0.,1.,0.)); #446164=DIRECTION('ref_axis',(0.,0.,1.)); #446165=DIRECTION('',(0.,0.,1.)); #446166=DIRECTION('',(0.,0.,1.)); #446167=DIRECTION('center_axis',(1.,0.,0.)); #446168=DIRECTION('ref_axis',(0.,0.,-1.)); #446169=DIRECTION('center_axis',(1.,0.,0.)); #446170=DIRECTION('ref_axis',(0.,0.,-1.)); #446171=DIRECTION('center_axis',(0.,0.,-1.)); #446172=DIRECTION('ref_axis',(0.,1.,0.)); #446173=DIRECTION('center_axis',(0.,0.,1.)); #446174=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446175=DIRECTION('',(0.,-1.,0.)); #446176=DIRECTION('',(1.,0.,0.)); #446177=DIRECTION('',(0.,1.,0.)); #446178=DIRECTION('center_axis',(0.,0.,1.)); #446179=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446180=DIRECTION('center_axis',(0.,0.,-1.)); #446181=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446182=DIRECTION('',(0.,0.,-1.)); #446183=DIRECTION('',(0.,0.,1.)); #446184=DIRECTION('center_axis',(0.,0.,1.)); #446185=DIRECTION('ref_axis',(0.,-1.,0.)); #446186=DIRECTION('',(0.,-1.,0.)); #446187=DIRECTION('',(1.,0.,0.)); #446188=DIRECTION('',(0.,1.,0.)); #446189=DIRECTION('center_axis',(0.,-1.,0.)); #446190=DIRECTION('ref_axis',(0.,0.,-1.)); #446191=DIRECTION('',(0.,0.,-1.)); #446192=DIRECTION('',(0.,0.,-1.)); #446193=DIRECTION('',(1.,0.,0.)); #446194=DIRECTION('center_axis',(0.,0.,1.)); #446195=DIRECTION('ref_axis',(0.,-1.,0.)); #446196=DIRECTION('',(0.,-1.,0.)); #446197=DIRECTION('',(0.,-1.,0.)); #446198=DIRECTION('',(1.,0.,0.)); #446199=DIRECTION('center_axis',(0.,1.,0.)); #446200=DIRECTION('ref_axis',(0.,0.,1.)); #446201=DIRECTION('',(0.,0.,1.)); #446202=DIRECTION('',(0.,0.,1.)); #446203=DIRECTION('center_axis',(1.,0.,0.)); #446204=DIRECTION('ref_axis',(0.,0.,-1.)); #446205=DIRECTION('center_axis',(1.,0.,0.)); #446206=DIRECTION('ref_axis',(0.,0.,-1.)); #446207=DIRECTION('center_axis',(0.,0.,-1.)); #446208=DIRECTION('ref_axis',(0.,1.,0.)); #446209=DIRECTION('center_axis',(0.,0.,1.)); #446210=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446211=DIRECTION('',(0.,-1.,0.)); #446212=DIRECTION('',(1.,0.,0.)); #446213=DIRECTION('',(0.,1.,0.)); #446214=DIRECTION('center_axis',(0.,0.,1.)); #446215=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446216=DIRECTION('center_axis',(0.,0.,-1.)); #446217=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446218=DIRECTION('',(0.,0.,-1.)); #446219=DIRECTION('',(0.,0.,1.)); #446220=DIRECTION('center_axis',(0.,0.,1.)); #446221=DIRECTION('ref_axis',(0.,-1.,0.)); #446222=DIRECTION('',(0.,-1.,0.)); #446223=DIRECTION('',(1.,0.,0.)); #446224=DIRECTION('',(0.,1.,0.)); #446225=DIRECTION('center_axis',(0.,-1.,0.)); #446226=DIRECTION('ref_axis',(0.,0.,-1.)); #446227=DIRECTION('',(0.,0.,-1.)); #446228=DIRECTION('',(0.,0.,-1.)); #446229=DIRECTION('',(1.,0.,0.)); #446230=DIRECTION('center_axis',(0.,0.,1.)); #446231=DIRECTION('ref_axis',(0.,-1.,0.)); #446232=DIRECTION('',(0.,-1.,0.)); #446233=DIRECTION('',(0.,-1.,0.)); #446234=DIRECTION('',(1.,0.,0.)); #446235=DIRECTION('center_axis',(0.,1.,0.)); #446236=DIRECTION('ref_axis',(0.,0.,1.)); #446237=DIRECTION('',(0.,0.,1.)); #446238=DIRECTION('',(0.,0.,1.)); #446239=DIRECTION('center_axis',(1.,0.,0.)); #446240=DIRECTION('ref_axis',(0.,0.,-1.)); #446241=DIRECTION('center_axis',(1.,0.,0.)); #446242=DIRECTION('ref_axis',(0.,0.,-1.)); #446243=DIRECTION('center_axis',(0.,0.,-1.)); #446244=DIRECTION('ref_axis',(0.,1.,0.)); #446245=DIRECTION('center_axis',(0.,0.,1.)); #446246=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446247=DIRECTION('',(0.,-1.,0.)); #446248=DIRECTION('',(1.,0.,0.)); #446249=DIRECTION('',(0.,1.,0.)); #446250=DIRECTION('center_axis',(0.,0.,1.)); #446251=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446252=DIRECTION('center_axis',(0.,0.,-1.)); #446253=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446254=DIRECTION('',(0.,0.,-1.)); #446255=DIRECTION('',(0.,0.,1.)); #446256=DIRECTION('center_axis',(0.,0.,1.)); #446257=DIRECTION('ref_axis',(0.,-1.,0.)); #446258=DIRECTION('',(0.,-1.,0.)); #446259=DIRECTION('',(1.,0.,0.)); #446260=DIRECTION('',(0.,1.,0.)); #446261=DIRECTION('center_axis',(0.,-1.,0.)); #446262=DIRECTION('ref_axis',(0.,0.,-1.)); #446263=DIRECTION('',(0.,0.,-1.)); #446264=DIRECTION('',(0.,0.,-1.)); #446265=DIRECTION('',(1.,0.,0.)); #446266=DIRECTION('center_axis',(0.,0.,1.)); #446267=DIRECTION('ref_axis',(0.,-1.,0.)); #446268=DIRECTION('',(0.,-1.,0.)); #446269=DIRECTION('',(0.,-1.,0.)); #446270=DIRECTION('',(1.,0.,0.)); #446271=DIRECTION('center_axis',(0.,1.,0.)); #446272=DIRECTION('ref_axis',(0.,0.,1.)); #446273=DIRECTION('',(0.,0.,1.)); #446274=DIRECTION('',(0.,0.,1.)); #446275=DIRECTION('center_axis',(1.,0.,0.)); #446276=DIRECTION('ref_axis',(0.,0.,-1.)); #446277=DIRECTION('center_axis',(1.,0.,0.)); #446278=DIRECTION('ref_axis',(0.,0.,-1.)); #446279=DIRECTION('center_axis',(0.,0.,-1.)); #446280=DIRECTION('ref_axis',(0.,1.,0.)); #446281=DIRECTION('center_axis',(0.,0.,1.)); #446282=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446283=DIRECTION('',(0.,-1.,0.)); #446284=DIRECTION('',(1.,0.,0.)); #446285=DIRECTION('',(0.,1.,0.)); #446286=DIRECTION('center_axis',(0.,0.,1.)); #446287=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446288=DIRECTION('center_axis',(0.,0.,-1.)); #446289=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446290=DIRECTION('',(0.,0.,-1.)); #446291=DIRECTION('',(0.,0.,1.)); #446292=DIRECTION('center_axis',(0.,0.,1.)); #446293=DIRECTION('ref_axis',(0.,-1.,0.)); #446294=DIRECTION('',(0.,-1.,0.)); #446295=DIRECTION('',(1.,0.,0.)); #446296=DIRECTION('',(0.,1.,0.)); #446297=DIRECTION('center_axis',(0.,-1.,0.)); #446298=DIRECTION('ref_axis',(0.,0.,-1.)); #446299=DIRECTION('',(0.,0.,-1.)); #446300=DIRECTION('',(0.,0.,-1.)); #446301=DIRECTION('',(1.,0.,0.)); #446302=DIRECTION('center_axis',(0.,0.,1.)); #446303=DIRECTION('ref_axis',(0.,-1.,0.)); #446304=DIRECTION('',(0.,-1.,0.)); #446305=DIRECTION('',(0.,-1.,0.)); #446306=DIRECTION('',(1.,0.,0.)); #446307=DIRECTION('center_axis',(0.,1.,0.)); #446308=DIRECTION('ref_axis',(0.,0.,1.)); #446309=DIRECTION('',(0.,0.,1.)); #446310=DIRECTION('',(0.,0.,1.)); #446311=DIRECTION('center_axis',(1.,0.,0.)); #446312=DIRECTION('ref_axis',(0.,0.,-1.)); #446313=DIRECTION('center_axis',(1.,0.,0.)); #446314=DIRECTION('ref_axis',(0.,0.,-1.)); #446315=DIRECTION('center_axis',(0.,0.,-1.)); #446316=DIRECTION('ref_axis',(0.,1.,0.)); #446317=DIRECTION('center_axis',(0.,0.,1.)); #446318=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446319=DIRECTION('',(0.,-1.,0.)); #446320=DIRECTION('',(1.,0.,0.)); #446321=DIRECTION('',(0.,1.,0.)); #446322=DIRECTION('center_axis',(0.,0.,1.)); #446323=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446324=DIRECTION('center_axis',(0.,0.,-1.)); #446325=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446326=DIRECTION('',(0.,0.,-1.)); #446327=DIRECTION('',(0.,0.,1.)); #446328=DIRECTION('center_axis',(0.,0.,1.)); #446329=DIRECTION('ref_axis',(0.,-1.,0.)); #446330=DIRECTION('',(0.,-1.,0.)); #446331=DIRECTION('',(1.,0.,0.)); #446332=DIRECTION('',(0.,1.,0.)); #446333=DIRECTION('center_axis',(0.,-1.,0.)); #446334=DIRECTION('ref_axis',(0.,0.,-1.)); #446335=DIRECTION('',(0.,0.,-1.)); #446336=DIRECTION('',(0.,0.,-1.)); #446337=DIRECTION('',(1.,0.,0.)); #446338=DIRECTION('center_axis',(0.,0.,1.)); #446339=DIRECTION('ref_axis',(0.,-1.,0.)); #446340=DIRECTION('',(0.,-1.,0.)); #446341=DIRECTION('',(0.,-1.,0.)); #446342=DIRECTION('',(1.,0.,0.)); #446343=DIRECTION('center_axis',(0.,1.,0.)); #446344=DIRECTION('ref_axis',(0.,0.,1.)); #446345=DIRECTION('',(0.,0.,1.)); #446346=DIRECTION('',(0.,0.,1.)); #446347=DIRECTION('center_axis',(1.,0.,0.)); #446348=DIRECTION('ref_axis',(0.,0.,-1.)); #446349=DIRECTION('center_axis',(1.,0.,0.)); #446350=DIRECTION('ref_axis',(0.,0.,-1.)); #446351=DIRECTION('center_axis',(0.,0.,-1.)); #446352=DIRECTION('ref_axis',(0.,1.,0.)); #446353=DIRECTION('center_axis',(0.,0.,1.)); #446354=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446355=DIRECTION('',(0.,-1.,0.)); #446356=DIRECTION('',(1.,0.,0.)); #446357=DIRECTION('',(0.,1.,0.)); #446358=DIRECTION('center_axis',(0.,0.,1.)); #446359=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446360=DIRECTION('center_axis',(0.,0.,-1.)); #446361=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446362=DIRECTION('',(0.,0.,-1.)); #446363=DIRECTION('',(0.,0.,1.)); #446364=DIRECTION('center_axis',(0.,0.,1.)); #446365=DIRECTION('ref_axis',(0.,-1.,0.)); #446366=DIRECTION('',(0.,-1.,0.)); #446367=DIRECTION('',(1.,0.,0.)); #446368=DIRECTION('',(0.,1.,0.)); #446369=DIRECTION('center_axis',(0.,-1.,0.)); #446370=DIRECTION('ref_axis',(0.,0.,-1.)); #446371=DIRECTION('',(0.,0.,-1.)); #446372=DIRECTION('',(0.,0.,-1.)); #446373=DIRECTION('',(1.,0.,0.)); #446374=DIRECTION('center_axis',(0.,0.,1.)); #446375=DIRECTION('ref_axis',(0.,-1.,0.)); #446376=DIRECTION('',(0.,-1.,0.)); #446377=DIRECTION('',(0.,-1.,0.)); #446378=DIRECTION('',(1.,0.,0.)); #446379=DIRECTION('center_axis',(0.,1.,0.)); #446380=DIRECTION('ref_axis',(0.,0.,1.)); #446381=DIRECTION('',(0.,0.,1.)); #446382=DIRECTION('',(0.,0.,1.)); #446383=DIRECTION('center_axis',(1.,0.,0.)); #446384=DIRECTION('ref_axis',(0.,0.,-1.)); #446385=DIRECTION('center_axis',(1.,0.,0.)); #446386=DIRECTION('ref_axis',(0.,0.,-1.)); #446387=DIRECTION('center_axis',(0.,0.,-1.)); #446388=DIRECTION('ref_axis',(0.,1.,0.)); #446389=DIRECTION('center_axis',(0.,0.,1.)); #446390=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446391=DIRECTION('',(0.,-1.,0.)); #446392=DIRECTION('',(1.,0.,0.)); #446393=DIRECTION('',(0.,1.,0.)); #446394=DIRECTION('center_axis',(0.,0.,1.)); #446395=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446396=DIRECTION('center_axis',(0.,0.,-1.)); #446397=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446398=DIRECTION('',(0.,0.,-1.)); #446399=DIRECTION('',(0.,0.,1.)); #446400=DIRECTION('center_axis',(0.,0.,1.)); #446401=DIRECTION('ref_axis',(0.,-1.,0.)); #446402=DIRECTION('',(0.,-1.,0.)); #446403=DIRECTION('',(1.,0.,0.)); #446404=DIRECTION('',(0.,1.,0.)); #446405=DIRECTION('center_axis',(0.,-1.,0.)); #446406=DIRECTION('ref_axis',(0.,0.,-1.)); #446407=DIRECTION('',(0.,0.,-1.)); #446408=DIRECTION('',(0.,0.,-1.)); #446409=DIRECTION('',(1.,0.,0.)); #446410=DIRECTION('center_axis',(0.,0.,1.)); #446411=DIRECTION('ref_axis',(0.,-1.,0.)); #446412=DIRECTION('',(0.,-1.,0.)); #446413=DIRECTION('',(0.,-1.,0.)); #446414=DIRECTION('',(1.,0.,0.)); #446415=DIRECTION('center_axis',(0.,1.,0.)); #446416=DIRECTION('ref_axis',(0.,0.,1.)); #446417=DIRECTION('',(0.,0.,1.)); #446418=DIRECTION('',(0.,0.,1.)); #446419=DIRECTION('center_axis',(1.,0.,0.)); #446420=DIRECTION('ref_axis',(0.,0.,-1.)); #446421=DIRECTION('center_axis',(1.,0.,0.)); #446422=DIRECTION('ref_axis',(0.,0.,-1.)); #446423=DIRECTION('center_axis',(0.,0.,-1.)); #446424=DIRECTION('ref_axis',(0.,1.,0.)); #446425=DIRECTION('center_axis',(0.,0.,1.)); #446426=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446427=DIRECTION('',(0.,-1.,0.)); #446428=DIRECTION('',(1.,0.,0.)); #446429=DIRECTION('',(0.,1.,0.)); #446430=DIRECTION('center_axis',(0.,0.,1.)); #446431=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446432=DIRECTION('center_axis',(0.,0.,-1.)); #446433=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446434=DIRECTION('',(0.,0.,-1.)); #446435=DIRECTION('',(0.,0.,1.)); #446436=DIRECTION('center_axis',(0.,0.,1.)); #446437=DIRECTION('ref_axis',(0.,-1.,0.)); #446438=DIRECTION('',(0.,-1.,0.)); #446439=DIRECTION('',(1.,0.,0.)); #446440=DIRECTION('',(0.,1.,0.)); #446441=DIRECTION('center_axis',(0.,-1.,0.)); #446442=DIRECTION('ref_axis',(0.,0.,-1.)); #446443=DIRECTION('',(0.,0.,-1.)); #446444=DIRECTION('',(0.,0.,-1.)); #446445=DIRECTION('',(1.,0.,0.)); #446446=DIRECTION('center_axis',(0.,0.,1.)); #446447=DIRECTION('ref_axis',(0.,-1.,0.)); #446448=DIRECTION('',(0.,-1.,0.)); #446449=DIRECTION('',(0.,-1.,0.)); #446450=DIRECTION('',(1.,0.,0.)); #446451=DIRECTION('center_axis',(0.,1.,0.)); #446452=DIRECTION('ref_axis',(0.,0.,1.)); #446453=DIRECTION('',(0.,0.,1.)); #446454=DIRECTION('',(0.,0.,1.)); #446455=DIRECTION('center_axis',(1.,0.,0.)); #446456=DIRECTION('ref_axis',(0.,0.,-1.)); #446457=DIRECTION('center_axis',(1.,0.,0.)); #446458=DIRECTION('ref_axis',(0.,0.,-1.)); #446459=DIRECTION('center_axis',(0.,0.,-1.)); #446460=DIRECTION('ref_axis',(0.,1.,0.)); #446461=DIRECTION('center_axis',(0.,0.,1.)); #446462=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446463=DIRECTION('',(0.,-1.,0.)); #446464=DIRECTION('',(1.,0.,0.)); #446465=DIRECTION('',(0.,1.,0.)); #446466=DIRECTION('center_axis',(0.,0.,1.)); #446467=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446468=DIRECTION('center_axis',(0.,0.,-1.)); #446469=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446470=DIRECTION('',(0.,0.,-1.)); #446471=DIRECTION('',(0.,0.,1.)); #446472=DIRECTION('center_axis',(0.,0.,1.)); #446473=DIRECTION('ref_axis',(0.,-1.,0.)); #446474=DIRECTION('',(0.,-1.,0.)); #446475=DIRECTION('',(1.,0.,0.)); #446476=DIRECTION('',(0.,1.,0.)); #446477=DIRECTION('center_axis',(0.,-1.,0.)); #446478=DIRECTION('ref_axis',(0.,0.,-1.)); #446479=DIRECTION('',(0.,0.,-1.)); #446480=DIRECTION('',(0.,0.,-1.)); #446481=DIRECTION('',(1.,0.,0.)); #446482=DIRECTION('center_axis',(0.,0.,1.)); #446483=DIRECTION('ref_axis',(0.,-1.,0.)); #446484=DIRECTION('',(0.,-1.,0.)); #446485=DIRECTION('',(0.,-1.,0.)); #446486=DIRECTION('',(1.,0.,0.)); #446487=DIRECTION('center_axis',(0.,1.,0.)); #446488=DIRECTION('ref_axis',(0.,0.,1.)); #446489=DIRECTION('',(0.,0.,1.)); #446490=DIRECTION('',(0.,0.,1.)); #446491=DIRECTION('center_axis',(1.,0.,0.)); #446492=DIRECTION('ref_axis',(0.,0.,-1.)); #446493=DIRECTION('center_axis',(1.,0.,0.)); #446494=DIRECTION('ref_axis',(0.,0.,-1.)); #446495=DIRECTION('center_axis',(0.,0.,-1.)); #446496=DIRECTION('ref_axis',(0.,1.,0.)); #446497=DIRECTION('center_axis',(0.,0.,1.)); #446498=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446499=DIRECTION('',(0.,-1.,0.)); #446500=DIRECTION('',(1.,0.,0.)); #446501=DIRECTION('',(0.,1.,0.)); #446502=DIRECTION('center_axis',(0.,0.,1.)); #446503=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #446504=DIRECTION('center_axis',(0.,0.,-1.)); #446505=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #446506=DIRECTION('',(0.,0.,-1.)); #446507=DIRECTION('',(0.,0.,1.)); #446508=DIRECTION('center_axis',(0.,0.,1.)); #446509=DIRECTION('ref_axis',(0.,-1.,0.)); #446510=DIRECTION('',(0.,-1.,0.)); #446511=DIRECTION('',(1.,0.,0.)); #446512=DIRECTION('',(0.,1.,0.)); #446513=DIRECTION('center_axis',(0.,-1.,0.)); #446514=DIRECTION('ref_axis',(0.,0.,-1.)); #446515=DIRECTION('',(0.,0.,-1.)); #446516=DIRECTION('',(0.,0.,-1.)); #446517=DIRECTION('',(1.,0.,0.)); #446518=DIRECTION('center_axis',(0.,0.,1.)); #446519=DIRECTION('ref_axis',(0.,-1.,0.)); #446520=DIRECTION('',(0.,-1.,0.)); #446521=DIRECTION('',(0.,-1.,0.)); #446522=DIRECTION('',(1.,0.,0.)); #446523=DIRECTION('center_axis',(0.,1.,0.)); #446524=DIRECTION('ref_axis',(0.,0.,1.)); #446525=DIRECTION('',(0.,0.,1.)); #446526=DIRECTION('',(0.,0.,1.)); #446527=DIRECTION('center_axis',(1.,0.,0.)); #446528=DIRECTION('ref_axis',(0.,0.,-1.)); #446529=DIRECTION('center_axis',(1.,0.,0.)); #446530=DIRECTION('ref_axis',(0.,0.,-1.)); #446531=DIRECTION('center_axis',(0.,0.,1.)); #446532=DIRECTION('ref_axis',(0.,-1.,0.)); #446533=DIRECTION('center_axis',(0.,0.,-1.)); #446534=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446535=DIRECTION('',(0.,-1.,0.)); #446536=DIRECTION('',(1.,0.,0.)); #446537=DIRECTION('',(0.,1.,0.)); #446538=DIRECTION('center_axis',(0.,0.,-1.)); #446539=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446540=DIRECTION('center_axis',(0.,0.,1.)); #446541=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446542=DIRECTION('',(0.,0.,1.)); #446543=DIRECTION('',(0.,0.,-1.)); #446544=DIRECTION('center_axis',(0.,0.,-1.)); #446545=DIRECTION('ref_axis',(0.,1.,0.)); #446546=DIRECTION('',(0.,-1.,0.)); #446547=DIRECTION('',(1.,0.,0.)); #446548=DIRECTION('',(0.,1.,0.)); #446549=DIRECTION('center_axis',(0.,-1.,0.)); #446550=DIRECTION('ref_axis',(0.,0.,-1.)); #446551=DIRECTION('',(0.,0.,-1.)); #446552=DIRECTION('',(1.,0.,0.)); #446553=DIRECTION('',(0.,0.,-1.)); #446554=DIRECTION('center_axis',(0.,0.,-1.)); #446555=DIRECTION('ref_axis',(0.,1.,0.)); #446556=DIRECTION('',(0.,1.,0.)); #446557=DIRECTION('',(1.,0.,0.)); #446558=DIRECTION('',(0.,1.,0.)); #446559=DIRECTION('center_axis',(0.,1.,0.)); #446560=DIRECTION('ref_axis',(0.,0.,1.)); #446561=DIRECTION('',(0.,0.,1.)); #446562=DIRECTION('',(0.,0.,1.)); #446563=DIRECTION('center_axis',(-1.,0.,0.)); #446564=DIRECTION('ref_axis',(0.,0.,-1.)); #446565=DIRECTION('center_axis',(-1.,0.,0.)); #446566=DIRECTION('ref_axis',(0.,0.,-1.)); #446567=DIRECTION('center_axis',(0.,0.,1.)); #446568=DIRECTION('ref_axis',(0.,-1.,0.)); #446569=DIRECTION('center_axis',(0.,0.,-1.)); #446570=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446571=DIRECTION('',(0.,-1.,0.)); #446572=DIRECTION('',(1.,0.,0.)); #446573=DIRECTION('',(0.,1.,0.)); #446574=DIRECTION('center_axis',(0.,0.,-1.)); #446575=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446576=DIRECTION('center_axis',(0.,0.,1.)); #446577=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446578=DIRECTION('',(0.,0.,1.)); #446579=DIRECTION('',(0.,0.,-1.)); #446580=DIRECTION('center_axis',(0.,0.,-1.)); #446581=DIRECTION('ref_axis',(0.,1.,0.)); #446582=DIRECTION('',(0.,-1.,0.)); #446583=DIRECTION('',(1.,0.,0.)); #446584=DIRECTION('',(0.,1.,0.)); #446585=DIRECTION('center_axis',(0.,-1.,0.)); #446586=DIRECTION('ref_axis',(0.,0.,-1.)); #446587=DIRECTION('',(0.,0.,-1.)); #446588=DIRECTION('',(1.,0.,0.)); #446589=DIRECTION('',(0.,0.,-1.)); #446590=DIRECTION('center_axis',(0.,0.,-1.)); #446591=DIRECTION('ref_axis',(0.,1.,0.)); #446592=DIRECTION('',(0.,1.,0.)); #446593=DIRECTION('',(1.,0.,0.)); #446594=DIRECTION('',(0.,1.,0.)); #446595=DIRECTION('center_axis',(0.,1.,0.)); #446596=DIRECTION('ref_axis',(0.,0.,1.)); #446597=DIRECTION('',(0.,0.,1.)); #446598=DIRECTION('',(0.,0.,1.)); #446599=DIRECTION('center_axis',(-1.,0.,0.)); #446600=DIRECTION('ref_axis',(0.,0.,-1.)); #446601=DIRECTION('center_axis',(-1.,0.,0.)); #446602=DIRECTION('ref_axis',(0.,0.,-1.)); #446603=DIRECTION('center_axis',(0.,0.,1.)); #446604=DIRECTION('ref_axis',(0.,-1.,0.)); #446605=DIRECTION('center_axis',(0.,0.,-1.)); #446606=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446607=DIRECTION('',(0.,-1.,0.)); #446608=DIRECTION('',(1.,0.,0.)); #446609=DIRECTION('',(0.,1.,0.)); #446610=DIRECTION('center_axis',(0.,0.,-1.)); #446611=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446612=DIRECTION('center_axis',(0.,0.,1.)); #446613=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446614=DIRECTION('',(0.,0.,1.)); #446615=DIRECTION('',(0.,0.,-1.)); #446616=DIRECTION('center_axis',(0.,0.,-1.)); #446617=DIRECTION('ref_axis',(0.,1.,0.)); #446618=DIRECTION('',(0.,-1.,0.)); #446619=DIRECTION('',(1.,0.,0.)); #446620=DIRECTION('',(0.,1.,0.)); #446621=DIRECTION('center_axis',(0.,-1.,0.)); #446622=DIRECTION('ref_axis',(0.,0.,-1.)); #446623=DIRECTION('',(0.,0.,-1.)); #446624=DIRECTION('',(1.,0.,0.)); #446625=DIRECTION('',(0.,0.,-1.)); #446626=DIRECTION('center_axis',(0.,0.,-1.)); #446627=DIRECTION('ref_axis',(0.,1.,0.)); #446628=DIRECTION('',(0.,1.,0.)); #446629=DIRECTION('',(1.,0.,0.)); #446630=DIRECTION('',(0.,1.,0.)); #446631=DIRECTION('center_axis',(0.,1.,0.)); #446632=DIRECTION('ref_axis',(0.,0.,1.)); #446633=DIRECTION('',(0.,0.,1.)); #446634=DIRECTION('',(0.,0.,1.)); #446635=DIRECTION('center_axis',(-1.,0.,0.)); #446636=DIRECTION('ref_axis',(0.,0.,-1.)); #446637=DIRECTION('center_axis',(-1.,0.,0.)); #446638=DIRECTION('ref_axis',(0.,0.,-1.)); #446639=DIRECTION('center_axis',(0.,0.,1.)); #446640=DIRECTION('ref_axis',(0.,-1.,0.)); #446641=DIRECTION('center_axis',(0.,0.,-1.)); #446642=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446643=DIRECTION('',(0.,-1.,0.)); #446644=DIRECTION('',(1.,0.,0.)); #446645=DIRECTION('',(0.,1.,0.)); #446646=DIRECTION('center_axis',(0.,0.,-1.)); #446647=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446648=DIRECTION('center_axis',(0.,0.,1.)); #446649=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446650=DIRECTION('',(0.,0.,1.)); #446651=DIRECTION('',(0.,0.,-1.)); #446652=DIRECTION('center_axis',(0.,0.,-1.)); #446653=DIRECTION('ref_axis',(0.,1.,0.)); #446654=DIRECTION('',(0.,-1.,0.)); #446655=DIRECTION('',(1.,0.,0.)); #446656=DIRECTION('',(0.,1.,0.)); #446657=DIRECTION('center_axis',(0.,-1.,0.)); #446658=DIRECTION('ref_axis',(0.,0.,-1.)); #446659=DIRECTION('',(0.,0.,-1.)); #446660=DIRECTION('',(1.,0.,0.)); #446661=DIRECTION('',(0.,0.,-1.)); #446662=DIRECTION('center_axis',(0.,0.,-1.)); #446663=DIRECTION('ref_axis',(0.,1.,0.)); #446664=DIRECTION('',(0.,1.,0.)); #446665=DIRECTION('',(1.,0.,0.)); #446666=DIRECTION('',(0.,1.,0.)); #446667=DIRECTION('center_axis',(0.,1.,0.)); #446668=DIRECTION('ref_axis',(0.,0.,1.)); #446669=DIRECTION('',(0.,0.,1.)); #446670=DIRECTION('',(0.,0.,1.)); #446671=DIRECTION('center_axis',(-1.,0.,0.)); #446672=DIRECTION('ref_axis',(0.,0.,-1.)); #446673=DIRECTION('center_axis',(-1.,0.,0.)); #446674=DIRECTION('ref_axis',(0.,0.,-1.)); #446675=DIRECTION('center_axis',(0.,0.,1.)); #446676=DIRECTION('ref_axis',(0.,-1.,0.)); #446677=DIRECTION('center_axis',(0.,0.,-1.)); #446678=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446679=DIRECTION('',(0.,-1.,0.)); #446680=DIRECTION('',(1.,0.,0.)); #446681=DIRECTION('',(0.,1.,0.)); #446682=DIRECTION('center_axis',(0.,0.,-1.)); #446683=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446684=DIRECTION('center_axis',(0.,0.,1.)); #446685=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446686=DIRECTION('',(0.,0.,1.)); #446687=DIRECTION('',(0.,0.,-1.)); #446688=DIRECTION('center_axis',(0.,0.,-1.)); #446689=DIRECTION('ref_axis',(0.,1.,0.)); #446690=DIRECTION('',(0.,-1.,0.)); #446691=DIRECTION('',(1.,0.,0.)); #446692=DIRECTION('',(0.,1.,0.)); #446693=DIRECTION('center_axis',(0.,-1.,0.)); #446694=DIRECTION('ref_axis',(0.,0.,-1.)); #446695=DIRECTION('',(0.,0.,-1.)); #446696=DIRECTION('',(1.,0.,0.)); #446697=DIRECTION('',(0.,0.,-1.)); #446698=DIRECTION('center_axis',(0.,0.,-1.)); #446699=DIRECTION('ref_axis',(0.,1.,0.)); #446700=DIRECTION('',(0.,1.,0.)); #446701=DIRECTION('',(1.,0.,0.)); #446702=DIRECTION('',(0.,1.,0.)); #446703=DIRECTION('center_axis',(0.,1.,0.)); #446704=DIRECTION('ref_axis',(0.,0.,1.)); #446705=DIRECTION('',(0.,0.,1.)); #446706=DIRECTION('',(0.,0.,1.)); #446707=DIRECTION('center_axis',(-1.,0.,0.)); #446708=DIRECTION('ref_axis',(0.,0.,-1.)); #446709=DIRECTION('center_axis',(-1.,0.,0.)); #446710=DIRECTION('ref_axis',(0.,0.,-1.)); #446711=DIRECTION('center_axis',(0.,0.,1.)); #446712=DIRECTION('ref_axis',(0.,-1.,0.)); #446713=DIRECTION('center_axis',(0.,0.,-1.)); #446714=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446715=DIRECTION('',(0.,-1.,0.)); #446716=DIRECTION('',(1.,0.,0.)); #446717=DIRECTION('',(0.,1.,0.)); #446718=DIRECTION('center_axis',(0.,0.,-1.)); #446719=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446720=DIRECTION('center_axis',(0.,0.,1.)); #446721=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446722=DIRECTION('',(0.,0.,1.)); #446723=DIRECTION('',(0.,0.,-1.)); #446724=DIRECTION('center_axis',(0.,0.,-1.)); #446725=DIRECTION('ref_axis',(0.,1.,0.)); #446726=DIRECTION('',(0.,-1.,0.)); #446727=DIRECTION('',(1.,0.,0.)); #446728=DIRECTION('',(0.,1.,0.)); #446729=DIRECTION('center_axis',(0.,-1.,0.)); #446730=DIRECTION('ref_axis',(0.,0.,-1.)); #446731=DIRECTION('',(0.,0.,-1.)); #446732=DIRECTION('',(1.,0.,0.)); #446733=DIRECTION('',(0.,0.,-1.)); #446734=DIRECTION('center_axis',(0.,0.,-1.)); #446735=DIRECTION('ref_axis',(0.,1.,0.)); #446736=DIRECTION('',(0.,1.,0.)); #446737=DIRECTION('',(1.,0.,0.)); #446738=DIRECTION('',(0.,1.,0.)); #446739=DIRECTION('center_axis',(0.,1.,0.)); #446740=DIRECTION('ref_axis',(0.,0.,1.)); #446741=DIRECTION('',(0.,0.,1.)); #446742=DIRECTION('',(0.,0.,1.)); #446743=DIRECTION('center_axis',(-1.,0.,0.)); #446744=DIRECTION('ref_axis',(0.,0.,-1.)); #446745=DIRECTION('center_axis',(-1.,0.,0.)); #446746=DIRECTION('ref_axis',(0.,0.,-1.)); #446747=DIRECTION('center_axis',(0.,0.,1.)); #446748=DIRECTION('ref_axis',(0.,-1.,0.)); #446749=DIRECTION('center_axis',(0.,0.,-1.)); #446750=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446751=DIRECTION('',(0.,-1.,0.)); #446752=DIRECTION('',(1.,0.,0.)); #446753=DIRECTION('',(0.,1.,0.)); #446754=DIRECTION('center_axis',(0.,0.,-1.)); #446755=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446756=DIRECTION('center_axis',(0.,0.,1.)); #446757=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446758=DIRECTION('',(0.,0.,1.)); #446759=DIRECTION('',(0.,0.,-1.)); #446760=DIRECTION('center_axis',(0.,0.,-1.)); #446761=DIRECTION('ref_axis',(0.,1.,0.)); #446762=DIRECTION('',(0.,-1.,0.)); #446763=DIRECTION('',(1.,0.,0.)); #446764=DIRECTION('',(0.,1.,0.)); #446765=DIRECTION('center_axis',(0.,-1.,0.)); #446766=DIRECTION('ref_axis',(0.,0.,-1.)); #446767=DIRECTION('',(0.,0.,-1.)); #446768=DIRECTION('',(1.,0.,0.)); #446769=DIRECTION('',(0.,0.,-1.)); #446770=DIRECTION('center_axis',(0.,0.,-1.)); #446771=DIRECTION('ref_axis',(0.,1.,0.)); #446772=DIRECTION('',(0.,1.,0.)); #446773=DIRECTION('',(1.,0.,0.)); #446774=DIRECTION('',(0.,1.,0.)); #446775=DIRECTION('center_axis',(0.,1.,0.)); #446776=DIRECTION('ref_axis',(0.,0.,1.)); #446777=DIRECTION('',(0.,0.,1.)); #446778=DIRECTION('',(0.,0.,1.)); #446779=DIRECTION('center_axis',(-1.,0.,0.)); #446780=DIRECTION('ref_axis',(0.,0.,-1.)); #446781=DIRECTION('center_axis',(-1.,0.,0.)); #446782=DIRECTION('ref_axis',(0.,0.,-1.)); #446783=DIRECTION('center_axis',(0.,0.,1.)); #446784=DIRECTION('ref_axis',(0.,-1.,0.)); #446785=DIRECTION('center_axis',(0.,0.,-1.)); #446786=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446787=DIRECTION('',(0.,-1.,0.)); #446788=DIRECTION('',(1.,0.,0.)); #446789=DIRECTION('',(0.,1.,0.)); #446790=DIRECTION('center_axis',(0.,0.,-1.)); #446791=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446792=DIRECTION('center_axis',(0.,0.,1.)); #446793=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446794=DIRECTION('',(0.,0.,1.)); #446795=DIRECTION('',(0.,0.,-1.)); #446796=DIRECTION('center_axis',(0.,0.,-1.)); #446797=DIRECTION('ref_axis',(0.,1.,0.)); #446798=DIRECTION('',(0.,-1.,0.)); #446799=DIRECTION('',(1.,0.,0.)); #446800=DIRECTION('',(0.,1.,0.)); #446801=DIRECTION('center_axis',(0.,-1.,0.)); #446802=DIRECTION('ref_axis',(0.,0.,-1.)); #446803=DIRECTION('',(0.,0.,-1.)); #446804=DIRECTION('',(1.,0.,0.)); #446805=DIRECTION('',(0.,0.,-1.)); #446806=DIRECTION('center_axis',(0.,0.,-1.)); #446807=DIRECTION('ref_axis',(0.,1.,0.)); #446808=DIRECTION('',(0.,1.,0.)); #446809=DIRECTION('',(1.,0.,0.)); #446810=DIRECTION('',(0.,1.,0.)); #446811=DIRECTION('center_axis',(0.,1.,0.)); #446812=DIRECTION('ref_axis',(0.,0.,1.)); #446813=DIRECTION('',(0.,0.,1.)); #446814=DIRECTION('',(0.,0.,1.)); #446815=DIRECTION('center_axis',(-1.,0.,0.)); #446816=DIRECTION('ref_axis',(0.,0.,-1.)); #446817=DIRECTION('center_axis',(-1.,0.,0.)); #446818=DIRECTION('ref_axis',(0.,0.,-1.)); #446819=DIRECTION('center_axis',(0.,0.,1.)); #446820=DIRECTION('ref_axis',(0.,-1.,0.)); #446821=DIRECTION('center_axis',(0.,0.,-1.)); #446822=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446823=DIRECTION('',(0.,-1.,0.)); #446824=DIRECTION('',(1.,0.,0.)); #446825=DIRECTION('',(0.,1.,0.)); #446826=DIRECTION('center_axis',(0.,0.,-1.)); #446827=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446828=DIRECTION('center_axis',(0.,0.,1.)); #446829=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446830=DIRECTION('',(0.,0.,1.)); #446831=DIRECTION('',(0.,0.,-1.)); #446832=DIRECTION('center_axis',(0.,0.,-1.)); #446833=DIRECTION('ref_axis',(0.,1.,0.)); #446834=DIRECTION('',(0.,-1.,0.)); #446835=DIRECTION('',(1.,0.,0.)); #446836=DIRECTION('',(0.,1.,0.)); #446837=DIRECTION('center_axis',(0.,-1.,0.)); #446838=DIRECTION('ref_axis',(0.,0.,-1.)); #446839=DIRECTION('',(0.,0.,-1.)); #446840=DIRECTION('',(1.,0.,0.)); #446841=DIRECTION('',(0.,0.,-1.)); #446842=DIRECTION('center_axis',(0.,0.,-1.)); #446843=DIRECTION('ref_axis',(0.,1.,0.)); #446844=DIRECTION('',(0.,1.,0.)); #446845=DIRECTION('',(1.,0.,0.)); #446846=DIRECTION('',(0.,1.,0.)); #446847=DIRECTION('center_axis',(0.,1.,0.)); #446848=DIRECTION('ref_axis',(0.,0.,1.)); #446849=DIRECTION('',(0.,0.,1.)); #446850=DIRECTION('',(0.,0.,1.)); #446851=DIRECTION('center_axis',(-1.,0.,0.)); #446852=DIRECTION('ref_axis',(0.,0.,-1.)); #446853=DIRECTION('center_axis',(-1.,0.,0.)); #446854=DIRECTION('ref_axis',(0.,0.,-1.)); #446855=DIRECTION('center_axis',(0.,0.,1.)); #446856=DIRECTION('ref_axis',(0.,-1.,0.)); #446857=DIRECTION('center_axis',(0.,0.,-1.)); #446858=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446859=DIRECTION('',(0.,-1.,0.)); #446860=DIRECTION('',(1.,0.,0.)); #446861=DIRECTION('',(0.,1.,0.)); #446862=DIRECTION('center_axis',(0.,0.,-1.)); #446863=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446864=DIRECTION('center_axis',(0.,0.,1.)); #446865=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446866=DIRECTION('',(0.,0.,1.)); #446867=DIRECTION('',(0.,0.,-1.)); #446868=DIRECTION('center_axis',(0.,0.,-1.)); #446869=DIRECTION('ref_axis',(0.,1.,0.)); #446870=DIRECTION('',(0.,-1.,0.)); #446871=DIRECTION('',(1.,0.,0.)); #446872=DIRECTION('',(0.,1.,0.)); #446873=DIRECTION('center_axis',(0.,-1.,0.)); #446874=DIRECTION('ref_axis',(0.,0.,-1.)); #446875=DIRECTION('',(0.,0.,-1.)); #446876=DIRECTION('',(1.,0.,0.)); #446877=DIRECTION('',(0.,0.,-1.)); #446878=DIRECTION('center_axis',(0.,0.,-1.)); #446879=DIRECTION('ref_axis',(0.,1.,0.)); #446880=DIRECTION('',(0.,1.,0.)); #446881=DIRECTION('',(1.,0.,0.)); #446882=DIRECTION('',(0.,1.,0.)); #446883=DIRECTION('center_axis',(0.,1.,0.)); #446884=DIRECTION('ref_axis',(0.,0.,1.)); #446885=DIRECTION('',(0.,0.,1.)); #446886=DIRECTION('',(0.,0.,1.)); #446887=DIRECTION('center_axis',(-1.,0.,0.)); #446888=DIRECTION('ref_axis',(0.,0.,-1.)); #446889=DIRECTION('center_axis',(-1.,0.,0.)); #446890=DIRECTION('ref_axis',(0.,0.,-1.)); #446891=DIRECTION('center_axis',(0.,0.,1.)); #446892=DIRECTION('ref_axis',(0.,-1.,0.)); #446893=DIRECTION('center_axis',(0.,0.,-1.)); #446894=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446895=DIRECTION('',(0.,-1.,0.)); #446896=DIRECTION('',(1.,0.,0.)); #446897=DIRECTION('',(0.,1.,0.)); #446898=DIRECTION('center_axis',(0.,0.,-1.)); #446899=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #446900=DIRECTION('center_axis',(0.,0.,1.)); #446901=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #446902=DIRECTION('',(0.,0.,1.)); #446903=DIRECTION('',(0.,0.,-1.)); #446904=DIRECTION('center_axis',(0.,0.,-1.)); #446905=DIRECTION('ref_axis',(0.,1.,0.)); #446906=DIRECTION('',(0.,-1.,0.)); #446907=DIRECTION('',(1.,0.,0.)); #446908=DIRECTION('',(0.,1.,0.)); #446909=DIRECTION('center_axis',(0.,-1.,0.)); #446910=DIRECTION('ref_axis',(0.,0.,-1.)); #446911=DIRECTION('',(0.,0.,-1.)); #446912=DIRECTION('',(1.,0.,0.)); #446913=DIRECTION('',(0.,0.,-1.)); #446914=DIRECTION('center_axis',(0.,0.,-1.)); #446915=DIRECTION('ref_axis',(0.,1.,0.)); #446916=DIRECTION('',(0.,1.,0.)); #446917=DIRECTION('',(1.,0.,0.)); #446918=DIRECTION('',(0.,1.,0.)); #446919=DIRECTION('center_axis',(0.,1.,0.)); #446920=DIRECTION('ref_axis',(0.,0.,1.)); #446921=DIRECTION('',(0.,0.,1.)); #446922=DIRECTION('',(0.,0.,1.)); #446923=DIRECTION('center_axis',(-1.,0.,0.)); #446924=DIRECTION('ref_axis',(0.,0.,-1.)); #446925=DIRECTION('center_axis',(-1.,0.,0.)); #446926=DIRECTION('ref_axis',(0.,0.,-1.)); #446927=DIRECTION('center_axis',(0.,-1.,0.)); #446928=DIRECTION('ref_axis',(1.,0.,0.)); #446929=DIRECTION('',(1.,0.,0.)); #446930=DIRECTION('',(0.,0.,1.)); #446931=DIRECTION('',(1.,0.,0.)); #446932=DIRECTION('',(0.,0.,1.)); #446933=DIRECTION('center_axis',(-1.,0.,0.)); #446934=DIRECTION('ref_axis',(0.,-1.,0.)); #446935=DIRECTION('',(0.,-1.,0.)); #446936=DIRECTION('',(0.,-1.,0.)); #446937=DIRECTION('',(0.,0.,1.)); #446938=DIRECTION('center_axis',(0.,1.,0.)); #446939=DIRECTION('ref_axis',(-1.,0.,0.)); #446940=DIRECTION('',(-1.,0.,0.)); #446941=DIRECTION('',(-1.,0.,0.)); #446942=DIRECTION('',(0.,0.,1.)); #446943=DIRECTION('center_axis',(1.,0.,0.)); #446944=DIRECTION('ref_axis',(0.,1.,0.)); #446945=DIRECTION('',(0.,1.,0.)); #446946=DIRECTION('',(0.,1.,0.)); #446947=DIRECTION('center_axis',(0.,0.,1.)); #446948=DIRECTION('ref_axis',(1.,0.,0.)); #446949=DIRECTION('center_axis',(0.,0.,1.)); #446950=DIRECTION('ref_axis',(1.,0.,0.)); #446951=DIRECTION('',(0.,0.,1.)); #446952=DIRECTION('',(1.,0.,0.)); #446953=DIRECTION('axis',(0.,0.,1.)); #446954=DIRECTION('refdir',(1.,0.,0.)); #446955=DIRECTION('axis',(0.,0.,1.)); #446956=DIRECTION('refdir',(1.,0.,0.)); #446957=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463862)); #446958=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189625,0.577350269189628)); #446959=DIRECTION('center_axis',(0.,-1.,0.)); #446960=DIRECTION('ref_axis',(-1.,0.,0.)); #446961=DIRECTION('center_axis',(0.,0.,1.)); #446962=DIRECTION('ref_axis',(0.,-1.,0.)); #446963=DIRECTION('center_axis',(-1.,0.,0.)); #446964=DIRECTION('ref_axis',(0.,0.,1.)); #446965=DIRECTION('center_axis',(-0.408248290463861,-0.816496580927727, -0.408248290463864)); #446966=DIRECTION('ref_axis',(0.577350269189628,-0.577350269189625,0.577350269189625)); #446967=DIRECTION('center_axis',(1.,0.,0.)); #446968=DIRECTION('ref_axis',(0.,-1.,0.)); #446969=DIRECTION('center_axis',(0.,0.,1.)); #446970=DIRECTION('ref_axis',(1.,0.,0.)); #446971=DIRECTION('center_axis',(0.,-1.,0.)); #446972=DIRECTION('ref_axis',(0.,0.,1.)); #446973=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #446974=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #446975=DIRECTION('center_axis',(0.,0.,-1.)); #446976=DIRECTION('ref_axis',(-1.,0.,0.)); #446977=DIRECTION('center_axis',(0.,-1.,0.)); #446978=DIRECTION('ref_axis',(0.,0.,-1.)); #446979=DIRECTION('center_axis',(-1.,0.,0.)); #446980=DIRECTION('ref_axis',(0.,-1.,0.)); #446981=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #446982=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #446983=DIRECTION('center_axis',(-1.,0.,0.)); #446984=DIRECTION('ref_axis',(0.,1.,0.)); #446985=DIRECTION('center_axis',(0.,0.,1.)); #446986=DIRECTION('ref_axis',(-1.,0.,0.)); #446987=DIRECTION('center_axis',(0.,1.,0.)); #446988=DIRECTION('ref_axis',(0.,0.,1.)); #446989=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #446990=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #446991=DIRECTION('center_axis',(0.,0.,-1.)); #446992=DIRECTION('ref_axis',(0.,-1.,0.)); #446993=DIRECTION('center_axis',(1.,0.,0.)); #446994=DIRECTION('ref_axis',(0.,0.,-1.)); #446995=DIRECTION('center_axis',(0.,-1.,0.)); #446996=DIRECTION('ref_axis',(1.,0.,0.)); #446997=DIRECTION('center_axis',(1.,0.,0.)); #446998=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #446999=DIRECTION('',(-1.,0.,0.)); #447000=DIRECTION('',(1.,0.,0.)); #447001=DIRECTION('center_axis',(0.408248290463861,-0.816496580927728,0.408248290463861)); #447002=DIRECTION('ref_axis',(0.577350269189627,0.577350269189624,0.577350269189627)); #447003=DIRECTION('center_axis',(0.,1.,0.)); #447004=DIRECTION('ref_axis',(1.,0.,0.)); #447005=DIRECTION('center_axis',(0.,0.,1.)); #447006=DIRECTION('ref_axis',(0.,1.,0.)); #447007=DIRECTION('center_axis',(1.,0.,0.)); #447008=DIRECTION('ref_axis',(0.,0.,1.)); #447009=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #447010=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,-0.577350269189626)); #447011=DIRECTION('center_axis',(-1.,0.,0.)); #447012=DIRECTION('ref_axis',(0.,0.,-1.)); #447013=DIRECTION('center_axis',(0.,1.,0.)); #447014=DIRECTION('ref_axis',(-1.,0.,0.)); #447015=DIRECTION('center_axis',(0.,0.,-1.)); #447016=DIRECTION('ref_axis',(0.,1.,0.)); #447017=DIRECTION('center_axis',(0.,-1.,0.)); #447018=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #447019=DIRECTION('',(0.,1.,0.)); #447020=DIRECTION('',(0.,-1.,0.)); #447021=DIRECTION('center_axis',(0.,0.,1.)); #447022=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #447023=DIRECTION('',(0.,0.,-1.)); #447024=DIRECTION('',(0.,0.,1.)); #447025=DIRECTION('center_axis',(-0.816496580927726,0.408248290463865,-0.408248290463861)); #447026=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #447027=DIRECTION('center_axis',(0.,0.,-1.)); #447028=DIRECTION('ref_axis',(1.,0.,0.)); #447029=DIRECTION('center_axis',(0.,1.,0.)); #447030=DIRECTION('ref_axis',(0.,0.,-1.)); #447031=DIRECTION('center_axis',(1.,0.,0.)); #447032=DIRECTION('ref_axis',(0.,1.,0.)); #447033=DIRECTION('center_axis',(0.,-1.,0.)); #447034=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #447035=DIRECTION('',(0.,1.,0.)); #447036=DIRECTION('',(0.,-1.,0.)); #447037=DIRECTION('center_axis',(-1.,0.,0.)); #447038=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #447039=DIRECTION('',(1.,0.,0.)); #447040=DIRECTION('',(-1.,0.,0.)); #447041=DIRECTION('center_axis',(0.,0.,1.)); #447042=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #447043=DIRECTION('',(0.,0.,-1.)); #447044=DIRECTION('',(0.,0.,1.)); #447045=DIRECTION('center_axis',(-1.,0.,0.)); #447046=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #447047=DIRECTION('',(1.,0.,0.)); #447048=DIRECTION('',(-1.,0.,0.)); #447049=DIRECTION('center_axis',(0.,1.,0.)); #447050=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #447051=DIRECTION('',(0.,-1.,0.)); #447052=DIRECTION('',(0.,1.,0.)); #447053=DIRECTION('center_axis',(0.,0.,1.)); #447054=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #447055=DIRECTION('',(0.,0.,-1.)); #447056=DIRECTION('',(0.,0.,1.)); #447057=DIRECTION('center_axis',(0.,-1.,0.)); #447058=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #447059=DIRECTION('',(0.,1.,0.)); #447060=DIRECTION('',(0.,-1.,0.)); #447061=DIRECTION('center_axis',(0.,0.,1.)); #447062=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #447063=DIRECTION('',(0.,0.,-1.)); #447064=DIRECTION('',(0.,0.,1.)); #447065=DIRECTION('center_axis',(1.,0.,0.)); #447066=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #447067=DIRECTION('',(-1.,0.,0.)); #447068=DIRECTION('',(1.,0.,0.)); #447069=DIRECTION('center_axis',(0.,-1.,0.)); #447070=DIRECTION('ref_axis',(1.,0.,0.)); #447071=DIRECTION('center_axis',(0.,0.,1.)); #447072=DIRECTION('ref_axis',(1.,0.,0.)); #447073=DIRECTION('center_axis',(-1.,0.,0.)); #447074=DIRECTION('ref_axis',(0.,-1.,0.)); #447075=DIRECTION('center_axis',(0.,1.,0.)); #447076=DIRECTION('ref_axis',(-1.,0.,0.)); #447077=DIRECTION('center_axis',(1.,0.,0.)); #447078=DIRECTION('ref_axis',(0.,1.,0.)); #447079=DIRECTION('center_axis',(0.,0.,1.)); #447080=DIRECTION('ref_axis',(1.,0.,0.)); #447081=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463862)); #447082=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189624,0.577350269189627)); #447083=DIRECTION('center_axis',(0.,-1.,0.)); #447084=DIRECTION('ref_axis',(-1.,0.,0.)); #447085=DIRECTION('center_axis',(0.,0.,1.)); #447086=DIRECTION('ref_axis',(0.,-1.,0.)); #447087=DIRECTION('center_axis',(-1.,0.,0.)); #447088=DIRECTION('ref_axis',(0.,0.,1.)); #447089=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #447090=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #447091=DIRECTION('center_axis',(1.,0.,0.)); #447092=DIRECTION('ref_axis',(0.,-1.,0.)); #447093=DIRECTION('center_axis',(0.,0.,1.)); #447094=DIRECTION('ref_axis',(1.,0.,0.)); #447095=DIRECTION('center_axis',(0.,-1.,0.)); #447096=DIRECTION('ref_axis',(0.,0.,1.)); #447097=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #447098=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #447099=DIRECTION('center_axis',(0.,0.,-1.)); #447100=DIRECTION('ref_axis',(-1.,0.,0.)); #447101=DIRECTION('center_axis',(0.,-1.,0.)); #447102=DIRECTION('ref_axis',(0.,0.,-1.)); #447103=DIRECTION('center_axis',(-1.,0.,0.)); #447104=DIRECTION('ref_axis',(0.,-1.,0.)); #447105=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #447106=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,0.577350269189625)); #447107=DIRECTION('center_axis',(-1.,0.,0.)); #447108=DIRECTION('ref_axis',(0.,1.,0.)); #447109=DIRECTION('center_axis',(0.,0.,1.)); #447110=DIRECTION('ref_axis',(-1.,0.,0.)); #447111=DIRECTION('center_axis',(0.,1.,0.)); #447112=DIRECTION('ref_axis',(0.,0.,1.)); #447113=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463862)); #447114=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #447115=DIRECTION('center_axis',(0.,0.,-1.)); #447116=DIRECTION('ref_axis',(0.,-1.,0.)); #447117=DIRECTION('center_axis',(1.,0.,0.)); #447118=DIRECTION('ref_axis',(0.,0.,-1.)); #447119=DIRECTION('center_axis',(0.,-1.,0.)); #447120=DIRECTION('ref_axis',(1.,0.,0.)); #447121=DIRECTION('center_axis',(1.,0.,0.)); #447122=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #447123=DIRECTION('',(-1.,0.,0.)); #447124=DIRECTION('',(1.,0.,0.)); #447125=DIRECTION('center_axis',(0.408248290463864,-0.816496580927727,0.408248290463861)); #447126=DIRECTION('ref_axis',(0.577350269189625,0.577350269189625,0.577350269189628)); #447127=DIRECTION('center_axis',(0.,1.,0.)); #447128=DIRECTION('ref_axis',(1.,0.,0.)); #447129=DIRECTION('center_axis',(0.,0.,1.)); #447130=DIRECTION('ref_axis',(0.,1.,0.)); #447131=DIRECTION('center_axis',(1.,0.,0.)); #447132=DIRECTION('ref_axis',(0.,0.,1.)); #447133=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #447134=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189625)); #447135=DIRECTION('center_axis',(-1.,0.,0.)); #447136=DIRECTION('ref_axis',(0.,0.,-1.)); #447137=DIRECTION('center_axis',(0.,1.,0.)); #447138=DIRECTION('ref_axis',(-1.,0.,0.)); #447139=DIRECTION('center_axis',(0.,0.,-1.)); #447140=DIRECTION('ref_axis',(0.,1.,0.)); #447141=DIRECTION('center_axis',(0.,-1.,0.)); #447142=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #447143=DIRECTION('',(0.,1.,0.)); #447144=DIRECTION('',(0.,-1.,0.)); #447145=DIRECTION('center_axis',(0.,0.,1.)); #447146=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #447147=DIRECTION('',(0.,0.,-1.)); #447148=DIRECTION('',(0.,0.,1.)); #447149=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,-0.40824829046386)); #447150=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #447151=DIRECTION('center_axis',(0.,0.,-1.)); #447152=DIRECTION('ref_axis',(1.,0.,0.)); #447153=DIRECTION('center_axis',(0.,1.,0.)); #447154=DIRECTION('ref_axis',(0.,0.,-1.)); #447155=DIRECTION('center_axis',(1.,0.,0.)); #447156=DIRECTION('ref_axis',(0.,1.,0.)); #447157=DIRECTION('center_axis',(0.,1.,0.)); #447158=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #447159=DIRECTION('',(0.,-1.,0.)); #447160=DIRECTION('',(0.,1.,0.)); #447161=DIRECTION('center_axis',(-1.,0.,0.)); #447162=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #447163=DIRECTION('',(1.,0.,0.)); #447164=DIRECTION('',(-1.,0.,0.)); #447165=DIRECTION('center_axis',(0.,0.,1.)); #447166=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #447167=DIRECTION('',(0.,0.,-1.)); #447168=DIRECTION('',(0.,0.,1.)); #447169=DIRECTION('center_axis',(-1.,0.,0.)); #447170=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #447171=DIRECTION('',(1.,0.,0.)); #447172=DIRECTION('',(-1.,0.,0.)); #447173=DIRECTION('center_axis',(0.,1.,0.)); #447174=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #447175=DIRECTION('',(0.,-1.,0.)); #447176=DIRECTION('',(0.,1.,0.)); #447177=DIRECTION('center_axis',(0.,0.,1.)); #447178=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #447179=DIRECTION('',(0.,0.,-1.)); #447180=DIRECTION('',(0.,0.,1.)); #447181=DIRECTION('center_axis',(0.,-1.,0.)); #447182=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #447183=DIRECTION('',(0.,1.,0.)); #447184=DIRECTION('',(0.,-1.,0.)); #447185=DIRECTION('center_axis',(0.,0.,1.)); #447186=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #447187=DIRECTION('',(0.,0.,-1.)); #447188=DIRECTION('',(0.,0.,1.)); #447189=DIRECTION('center_axis',(1.,0.,0.)); #447190=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #447191=DIRECTION('',(-1.,0.,0.)); #447192=DIRECTION('',(1.,0.,0.)); #447193=DIRECTION('center_axis',(0.,-1.,0.)); #447194=DIRECTION('ref_axis',(1.,0.,0.)); #447195=DIRECTION('center_axis',(0.,0.,1.)); #447196=DIRECTION('ref_axis',(1.,0.,0.)); #447197=DIRECTION('center_axis',(-1.,0.,0.)); #447198=DIRECTION('ref_axis',(0.,-1.,0.)); #447199=DIRECTION('center_axis',(0.,1.,0.)); #447200=DIRECTION('ref_axis',(-1.,0.,0.)); #447201=DIRECTION('center_axis',(1.,0.,0.)); #447202=DIRECTION('ref_axis',(0.,1.,0.)); #447203=DIRECTION('center_axis',(0.,0.,1.)); #447204=DIRECTION('ref_axis',(1.,0.,0.)); #447205=DIRECTION('center_axis',(1.,0.,0.)); #447206=DIRECTION('ref_axis',(0.,1.,0.)); #447207=DIRECTION('',(0.,-1.,0.)); #447208=DIRECTION('',(0.,0.,1.)); #447209=DIRECTION('',(0.,1.,0.)); #447210=DIRECTION('',(0.,0.,1.)); #447211=DIRECTION('center_axis',(0.,-1.,0.)); #447212=DIRECTION('ref_axis',(1.,0.,0.)); #447213=DIRECTION('',(1.,0.,0.)); #447214=DIRECTION('',(1.,0.,0.)); #447215=DIRECTION('',(0.,0.,1.)); #447216=DIRECTION('center_axis',(-1.,0.,0.)); #447217=DIRECTION('ref_axis',(0.,-1.,0.)); #447218=DIRECTION('',(0.,-1.,0.)); #447219=DIRECTION('',(0.,-1.,0.)); #447220=DIRECTION('',(0.,0.,1.)); #447221=DIRECTION('center_axis',(0.,1.,0.)); #447222=DIRECTION('ref_axis',(-1.,0.,0.)); #447223=DIRECTION('',(-1.,0.,0.)); #447224=DIRECTION('',(-1.,0.,0.)); #447225=DIRECTION('center_axis',(0.,0.,1.)); #447226=DIRECTION('ref_axis',(1.,0.,0.)); #447227=DIRECTION('center_axis',(0.,0.,1.)); #447228=DIRECTION('ref_axis',(1.,0.,0.)); #447229=DIRECTION('',(0.,0.,1.)); #447230=DIRECTION('',(1.,0.,0.)); #447231=DIRECTION('axis',(0.,0.,1.)); #447232=DIRECTION('refdir',(1.,0.,0.)); #447233=DIRECTION('axis',(0.,0.,1.)); #447234=DIRECTION('refdir',(1.,0.,0.)); #447235=DIRECTION('center_axis',(-0.990678839845296,0.,0.136218340478728)); #447236=DIRECTION('ref_axis',(0.136218340478728,0.,0.990678839845296)); #447237=DIRECTION('',(0.,1.,0.)); #447238=DIRECTION('',(-0.134971865202591,0.13497186520259,-0.981613565109747)); #447239=DIRECTION('',(0.,-1.,0.)); #447240=DIRECTION('',(-0.13497186520259,-0.13497186520259,-0.981613565109747)); #447241=DIRECTION('center_axis',(0.,0.990678839845296,0.136218340478728)); #447242=DIRECTION('ref_axis',(0.,-0.136218340478728,0.990678839845296)); #447243=DIRECTION('',(1.,0.,0.)); #447244=DIRECTION('',(0.13497186520259,0.13497186520259,-0.981613565109747)); #447245=DIRECTION('',(-1.,0.,0.)); #447246=DIRECTION('center_axis',(0.990678839845296,0.,0.136218340478728)); #447247=DIRECTION('ref_axis',(0.136218340478728,0.,-0.990678839845296)); #447248=DIRECTION('',(0.,-1.,0.)); #447249=DIRECTION('',(0.134971865202591,-0.13497186520259,-0.981613565109747)); #447250=DIRECTION('',(0.,1.,0.)); #447251=DIRECTION('center_axis',(0.,-0.990678839845296,0.136218340478728)); #447252=DIRECTION('ref_axis',(0.,-0.136218340478728,-0.990678839845296)); #447253=DIRECTION('',(1.,0.,0.)); #447254=DIRECTION('',(-1.,0.,0.)); #447255=DIRECTION('center_axis',(0.,-1.,0.)); #447256=DIRECTION('ref_axis',(1.,0.,0.)); #447257=DIRECTION('',(0.,0.,1.)); #447258=DIRECTION('',(1.,0.,0.)); #447259=DIRECTION('',(0.,0.,1.)); #447260=DIRECTION('center_axis',(-1.,0.,0.)); #447261=DIRECTION('ref_axis',(0.,-1.,0.)); #447262=DIRECTION('',(0.,0.,1.)); #447263=DIRECTION('',(0.,-1.,0.)); #447264=DIRECTION('center_axis',(0.,0.,1.)); #447265=DIRECTION('ref_axis',(1.,0.,0.)); #447266=DIRECTION('center_axis',(0.,1.,0.)); #447267=DIRECTION('ref_axis',(-1.,0.,0.)); #447268=DIRECTION('',(0.,0.,1.)); #447269=DIRECTION('',(-1.,0.,0.)); #447270=DIRECTION('center_axis',(1.,0.,0.)); #447271=DIRECTION('ref_axis',(0.,1.,0.)); #447272=DIRECTION('',(0.,1.,0.)); #447273=DIRECTION('center_axis',(0.,0.,1.)); #447274=DIRECTION('ref_axis',(1.,0.,0.)); #447275=DIRECTION('center_axis',(1.,0.,0.)); #447276=DIRECTION('ref_axis',(0.,1.,0.)); #447277=DIRECTION('',(0.,-1.,0.)); #447278=DIRECTION('',(0.,0.,1.)); #447279=DIRECTION('',(0.,1.,0.)); #447280=DIRECTION('',(0.,0.,1.)); #447281=DIRECTION('center_axis',(0.,-1.,0.)); #447282=DIRECTION('ref_axis',(1.,0.,0.)); #447283=DIRECTION('',(1.,0.,0.)); #447284=DIRECTION('',(1.,0.,0.)); #447285=DIRECTION('',(0.,0.,1.)); #447286=DIRECTION('center_axis',(-1.,0.,0.)); #447287=DIRECTION('ref_axis',(0.,-1.,0.)); #447288=DIRECTION('',(0.,-1.,0.)); #447289=DIRECTION('',(0.,-1.,0.)); #447290=DIRECTION('',(0.,0.,1.)); #447291=DIRECTION('center_axis',(0.,1.,0.)); #447292=DIRECTION('ref_axis',(-1.,0.,0.)); #447293=DIRECTION('',(-1.,0.,0.)); #447294=DIRECTION('',(-1.,0.,0.)); #447295=DIRECTION('center_axis',(0.,0.,1.)); #447296=DIRECTION('ref_axis',(1.,0.,0.)); #447297=DIRECTION('center_axis',(0.,0.,1.)); #447298=DIRECTION('ref_axis',(1.,0.,0.)); #447299=DIRECTION('center_axis',(0.,1.,0.)); #447300=DIRECTION('ref_axis',(-1.,0.,0.)); #447301=DIRECTION('',(-1.,0.,0.)); #447302=DIRECTION('',(0.,0.,1.)); #447303=DIRECTION('',(-1.,0.,0.)); #447304=DIRECTION('',(0.,0.,1.)); #447305=DIRECTION('center_axis',(1.,0.,0.)); #447306=DIRECTION('ref_axis',(0.,1.,0.)); #447307=DIRECTION('',(0.,1.,0.)); #447308=DIRECTION('',(0.,1.,0.)); #447309=DIRECTION('',(0.,0.,1.)); #447310=DIRECTION('center_axis',(0.,-1.,0.)); #447311=DIRECTION('ref_axis',(1.,0.,0.)); #447312=DIRECTION('',(1.,0.,0.)); #447313=DIRECTION('',(1.,0.,0.)); #447314=DIRECTION('',(0.,0.,1.)); #447315=DIRECTION('center_axis',(-1.,0.,0.)); #447316=DIRECTION('ref_axis',(0.,-1.,0.)); #447317=DIRECTION('',(0.,-1.,0.)); #447318=DIRECTION('',(0.,-1.,0.)); #447319=DIRECTION('center_axis',(0.,0.,1.)); #447320=DIRECTION('ref_axis',(1.,0.,0.)); #447321=DIRECTION('center_axis',(0.,0.,1.)); #447322=DIRECTION('ref_axis',(1.,0.,0.)); #447323=DIRECTION('center_axis',(0.,1.,0.)); #447324=DIRECTION('ref_axis',(-1.,0.,0.)); #447325=DIRECTION('',(1.,0.,0.)); #447326=DIRECTION('',(0.,0.,1.)); #447327=DIRECTION('',(-1.,0.,0.)); #447328=DIRECTION('',(0.,0.,1.)); #447329=DIRECTION('center_axis',(1.,0.,0.)); #447330=DIRECTION('ref_axis',(0.,1.,0.)); #447331=DIRECTION('',(0.,-1.,0.)); #447332=DIRECTION('',(0.,1.,0.)); #447333=DIRECTION('',(0.,0.,1.)); #447334=DIRECTION('center_axis',(0.,-1.,0.)); #447335=DIRECTION('ref_axis',(1.,0.,0.)); #447336=DIRECTION('',(-1.,0.,0.)); #447337=DIRECTION('',(1.,0.,0.)); #447338=DIRECTION('',(0.,0.,1.)); #447339=DIRECTION('center_axis',(-1.,0.,0.)); #447340=DIRECTION('ref_axis',(0.,-1.,0.)); #447341=DIRECTION('',(0.,1.,0.)); #447342=DIRECTION('',(0.,-1.,0.)); #447343=DIRECTION('center_axis',(0.,0.,1.)); #447344=DIRECTION('ref_axis',(1.,0.,0.)); #447345=DIRECTION('center_axis',(0.,0.,1.)); #447346=DIRECTION('ref_axis',(1.,0.,0.)); #447347=DIRECTION('center_axis',(0.,-1.,0.)); #447348=DIRECTION('ref_axis',(1.,0.,0.)); #447349=DIRECTION('',(-1.,0.,0.)); #447350=DIRECTION('',(0.,0.,1.)); #447351=DIRECTION('',(1.,0.,0.)); #447352=DIRECTION('',(0.,0.,1.)); #447353=DIRECTION('center_axis',(-1.,0.,0.)); #447354=DIRECTION('ref_axis',(0.,-1.,0.)); #447355=DIRECTION('',(0.,1.,0.)); #447356=DIRECTION('',(0.,-1.,0.)); #447357=DIRECTION('',(0.,0.,1.)); #447358=DIRECTION('center_axis',(0.,1.,0.)); #447359=DIRECTION('ref_axis',(-1.,0.,0.)); #447360=DIRECTION('',(1.,0.,0.)); #447361=DIRECTION('',(-1.,0.,0.)); #447362=DIRECTION('',(0.,0.,1.)); #447363=DIRECTION('center_axis',(1.,0.,0.)); #447364=DIRECTION('ref_axis',(0.,1.,0.)); #447365=DIRECTION('',(0.,1.,0.)); #447366=DIRECTION('',(0.,1.,0.)); #447367=DIRECTION('center_axis',(0.,0.,1.)); #447368=DIRECTION('ref_axis',(1.,0.,0.)); #447369=DIRECTION('center_axis',(0.,0.,1.)); #447370=DIRECTION('ref_axis',(1.,0.,0.)); #447371=DIRECTION('center_axis',(1.,-1.16294311238669E-16,0.)); #447372=DIRECTION('ref_axis',(1.16294311238669E-16,1.,0.)); #447373=DIRECTION('',(-1.16294311238669E-16,-1.,0.)); #447374=DIRECTION('',(0.,0.,1.)); #447375=DIRECTION('',(1.16294311238669E-16,1.,0.)); #447376=DIRECTION('',(0.,0.,1.)); #447377=DIRECTION('center_axis',(0.,-1.,0.)); #447378=DIRECTION('ref_axis',(1.,0.,0.)); #447379=DIRECTION('',(1.,0.,0.)); #447380=DIRECTION('',(1.,0.,0.)); #447381=DIRECTION('',(0.,0.,1.)); #447382=DIRECTION('center_axis',(-1.,0.,0.)); #447383=DIRECTION('ref_axis',(0.,-1.,0.)); #447384=DIRECTION('',(0.,-1.,0.)); #447385=DIRECTION('',(0.,-1.,0.)); #447386=DIRECTION('',(0.,0.,1.)); #447387=DIRECTION('center_axis',(4.97411749384031E-17,1.,0.)); #447388=DIRECTION('ref_axis',(-1.,4.97411749384031E-17,0.)); #447389=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #447390=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #447391=DIRECTION('center_axis',(0.,0.,1.)); #447392=DIRECTION('ref_axis',(1.,0.,0.)); #447393=DIRECTION('center_axis',(0.,0.,1.)); #447394=DIRECTION('ref_axis',(1.,0.,0.)); #447395=DIRECTION('',(0.,0.,1.)); #447396=DIRECTION('',(1.,0.,0.)); #447397=DIRECTION('axis',(0.,0.,1.)); #447398=DIRECTION('refdir',(1.,0.,0.)); #447399=DIRECTION('axis',(0.,0.,1.)); #447400=DIRECTION('refdir',(1.,0.,0.)); #447401=DIRECTION('center_axis',(0.,0.,-1.)); #447402=DIRECTION('ref_axis',(1.,0.,0.)); #447403=DIRECTION('center_axis',(0.,0.,-1.)); #447404=DIRECTION('ref_axis',(1.,0.,0.)); #447405=DIRECTION('',(0.,0.,-1.)); #447406=DIRECTION('center_axis',(0.,0.,-1.)); #447407=DIRECTION('ref_axis',(1.,0.,0.)); #447408=DIRECTION('center_axis',(0.,0.,1.)); #447409=DIRECTION('ref_axis',(1.,0.,0.)); #447410=DIRECTION('center_axis',(-0.928476690885259,0.,0.371390676354104)); #447411=DIRECTION('ref_axis',(0.371390676354104,0.,0.928476690885259)); #447412=DIRECTION('',(0.,1.,0.)); #447413=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #447414=DIRECTION('',(0.,-1.,0.)); #447415=DIRECTION('',(-0.348155311911396,-0.348155311911396,-0.870388279778489)); #447416=DIRECTION('center_axis',(0.,0.928476690885259,0.371390676354104)); #447417=DIRECTION('ref_axis',(0.,-0.371390676354104,0.928476690885259)); #447418=DIRECTION('',(1.,0.,0.)); #447419=DIRECTION('',(0.348155311911396,0.348155311911396,-0.870388279778489)); #447420=DIRECTION('',(-1.,0.,0.)); #447421=DIRECTION('center_axis',(0.928476690885259,0.,0.371390676354104)); #447422=DIRECTION('ref_axis',(0.371390676354104,0.,-0.928476690885259)); #447423=DIRECTION('',(0.,-1.,0.)); #447424=DIRECTION('',(0.348155311911396,-0.348155311911396,-0.870388279778489)); #447425=DIRECTION('',(0.,1.,0.)); #447426=DIRECTION('center_axis',(0.,-0.928476690885259,0.371390676354104)); #447427=DIRECTION('ref_axis',(0.,-0.371390676354104,-0.928476690885259)); #447428=DIRECTION('',(1.,0.,0.)); #447429=DIRECTION('',(-1.,0.,0.)); #447430=DIRECTION('center_axis',(0.,-1.,0.)); #447431=DIRECTION('ref_axis',(1.,0.,0.)); #447432=DIRECTION('',(0.,0.,1.)); #447433=DIRECTION('',(-1.,0.,0.)); #447434=DIRECTION('',(0.,0.,1.)); #447435=DIRECTION('center_axis',(-1.,0.,0.)); #447436=DIRECTION('ref_axis',(0.,-1.,0.)); #447437=DIRECTION('',(0.,0.,1.)); #447438=DIRECTION('',(0.,1.,0.)); #447439=DIRECTION('center_axis',(0.,0.,1.)); #447440=DIRECTION('ref_axis',(1.,0.,0.)); #447441=DIRECTION('center_axis',(0.928476690885259,0.,-0.371390676354104)); #447442=DIRECTION('ref_axis',(-0.371390676354104,0.,-0.928476690885259)); #447443=DIRECTION('',(0.,-1.,0.)); #447444=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #447445=DIRECTION('',(0.,1.,0.)); #447446=DIRECTION('',(0.348155311911396,0.348155311911396,0.870388279778489)); #447447=DIRECTION('center_axis',(0.,-0.928476690885259,-0.371390676354104)); #447448=DIRECTION('ref_axis',(0.,0.371390676354104,-0.928476690885259)); #447449=DIRECTION('',(1.,0.,0.)); #447450=DIRECTION('',(-0.348155311911396,-0.348155311911396,0.870388279778489)); #447451=DIRECTION('center_axis',(0.,0.928476690885259,-0.371390676354104)); #447452=DIRECTION('ref_axis',(0.,0.371390676354104,0.928476690885259)); #447453=DIRECTION('',(1.,0.,0.)); #447454=DIRECTION('',(-1.,0.,0.)); #447455=DIRECTION('',(-0.348155311911396,0.348155311911396,0.870388279778489)); #447456=DIRECTION('center_axis',(-0.928476690885259,0.,-0.371390676354104)); #447457=DIRECTION('ref_axis',(-0.371390676354104,0.,0.928476690885259)); #447458=DIRECTION('',(0.,-1.,0.)); #447459=DIRECTION('center_axis',(1.,0.,0.)); #447460=DIRECTION('ref_axis',(0.,1.,0.)); #447461=DIRECTION('',(0.,0.,1.)); #447462=DIRECTION('center_axis',(0.,0.,1.)); #447463=DIRECTION('ref_axis',(1.,0.,0.)); #447464=DIRECTION('center_axis',(0.,1.,0.)); #447465=DIRECTION('ref_axis',(-1.,0.,0.)); #447466=DIRECTION('center_axis',(0.,1.,0.)); #447467=DIRECTION('ref_axis',(1.,0.,0.)); #447468=DIRECTION('center_axis',(0.,-1.,0.)); #447469=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447470=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #447471=DIRECTION('center_axis',(0.,1.,0.)); #447472=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447473=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #447474=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #447475=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #447476=DIRECTION('center_axis',(0.,-1.,0.)); #447477=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447478=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #447479=DIRECTION('center_axis',(0.,1.,0.)); #447480=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447481=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #447482=DIRECTION('',(0.,0.,-1.)); #447483=DIRECTION('',(1.,0.,0.)); #447484=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #447485=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #447486=DIRECTION('',(0.,-1.,0.)); #447487=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #447488=DIRECTION('',(0.,1.,0.)); #447489=DIRECTION('center_axis',(0.,1.,0.)); #447490=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447491=DIRECTION('center_axis',(0.,-1.,0.)); #447492=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447493=DIRECTION('',(0.,1.,0.)); #447494=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #447495=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #447496=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #447497=DIRECTION('',(0.,1.,0.)); #447498=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #447499=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #447500=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #447501=DIRECTION('',(0.,1.,0.)); #447502=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #447503=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #447504=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #447505=DIRECTION('',(0.,1.,0.)); #447506=DIRECTION('center_axis',(0.,1.,0.)); #447507=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447508=DIRECTION('center_axis',(0.,1.,0.)); #447509=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447510=DIRECTION('',(0.,1.,0.)); #447511=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #447512=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #447513=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #447514=DIRECTION('',(0.,1.,0.)); #447515=DIRECTION('center_axis',(0.,1.,0.)); #447516=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447517=DIRECTION('center_axis',(0.,-1.,0.)); #447518=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447519=DIRECTION('',(0.,1.,0.)); #447520=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #447521=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #447522=DIRECTION('',(1.,0.,4.95238232924399E-13)); #447523=DIRECTION('',(0.,1.,0.)); #447524=DIRECTION('center_axis',(-1.,0.,0.)); #447525=DIRECTION('ref_axis',(0.,0.,1.)); #447526=DIRECTION('',(0.,0.,1.)); #447527=DIRECTION('',(0.,1.,0.)); #447528=DIRECTION('center_axis',(0.,0.,-1.)); #447529=DIRECTION('ref_axis',(-1.,0.,0.)); #447530=DIRECTION('',(-1.,0.,0.)); #447531=DIRECTION('',(0.,1.,0.)); #447532=DIRECTION('center_axis',(0.,1.,0.)); #447533=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447534=DIRECTION('center_axis',(0.,1.,0.)); #447535=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447536=DIRECTION('center_axis',(0.,1.,0.)); #447537=DIRECTION('ref_axis',(1.,0.,0.)); #447538=DIRECTION('center_axis',(0.,-1.,0.)); #447539=DIRECTION('ref_axis',(-1.,0.,0.)); #447540=DIRECTION('center_axis',(0.,1.,0.)); #447541=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447542=DIRECTION('',(-1.,0.,0.)); #447543=DIRECTION('',(0.,0.,-1.)); #447544=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #447545=DIRECTION('center_axis',(0.,-1.,0.)); #447546=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447547=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #447548=DIRECTION('center_axis',(0.,1.,0.)); #447549=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447550=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #447551=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #447552=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #447553=DIRECTION('center_axis',(0.,-1.,0.)); #447554=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447555=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #447556=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #447557=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #447558=DIRECTION('',(0.,-1.,0.)); #447559=DIRECTION('',(0.,1.,0.)); #447560=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #447561=DIRECTION('center_axis',(0.,-1.,0.)); #447562=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447563=DIRECTION('',(0.,1.,0.)); #447564=DIRECTION('center_axis',(0.,1.,0.)); #447565=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447566=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #447567=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #447568=DIRECTION('',(0.,1.,0.)); #447569=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #447570=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #447571=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #447572=DIRECTION('',(0.,1.,0.)); #447573=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #447574=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #447575=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #447576=DIRECTION('',(0.,1.,0.)); #447577=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #447578=DIRECTION('center_axis',(0.,-1.,0.)); #447579=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447580=DIRECTION('',(0.,1.,0.)); #447581=DIRECTION('center_axis',(0.,-1.,0.)); #447582=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447583=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #447584=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #447585=DIRECTION('',(0.,1.,0.)); #447586=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #447587=DIRECTION('center_axis',(0.,-1.,0.)); #447588=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447589=DIRECTION('',(0.,1.,0.)); #447590=DIRECTION('center_axis',(0.,1.,0.)); #447591=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447592=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #447593=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #447594=DIRECTION('',(0.,1.,0.)); #447595=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #447596=DIRECTION('center_axis',(-1.,0.,0.)); #447597=DIRECTION('ref_axis',(0.,0.,1.)); #447598=DIRECTION('',(0.,1.,0.)); #447599=DIRECTION('',(0.,0.,1.)); #447600=DIRECTION('center_axis',(0.,0.,1.)); #447601=DIRECTION('ref_axis',(1.,0.,0.)); #447602=DIRECTION('',(0.,1.,0.)); #447603=DIRECTION('',(1.,0.,0.)); #447604=DIRECTION('center_axis',(0.,-1.,0.)); #447605=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447606=DIRECTION('center_axis',(0.,-1.,0.)); #447607=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447608=DIRECTION('center_axis',(0.,-1.,0.)); #447609=DIRECTION('ref_axis',(-1.,0.,0.)); #447610=DIRECTION('center_axis',(0.,1.,0.)); #447611=DIRECTION('ref_axis',(1.,0.,0.)); #447612=DIRECTION('center_axis',(0.,-1.,0.)); #447613=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447614=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #447615=DIRECTION('center_axis',(0.,1.,0.)); #447616=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447617=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #447618=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #447619=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #447620=DIRECTION('center_axis',(0.,-1.,0.)); #447621=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447622=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #447623=DIRECTION('center_axis',(0.,1.,0.)); #447624=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447625=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #447626=DIRECTION('',(0.,0.,-1.)); #447627=DIRECTION('',(1.,0.,0.)); #447628=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #447629=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #447630=DIRECTION('',(0.,-1.,0.)); #447631=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #447632=DIRECTION('',(0.,1.,0.)); #447633=DIRECTION('center_axis',(0.,1.,0.)); #447634=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447635=DIRECTION('center_axis',(0.,-1.,0.)); #447636=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447637=DIRECTION('',(0.,1.,0.)); #447638=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #447639=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #447640=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #447641=DIRECTION('',(0.,1.,0.)); #447642=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #447643=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #447644=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #447645=DIRECTION('',(0.,1.,0.)); #447646=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #447647=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #447648=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #447649=DIRECTION('',(0.,1.,0.)); #447650=DIRECTION('center_axis',(0.,1.,0.)); #447651=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447652=DIRECTION('center_axis',(0.,1.,0.)); #447653=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447654=DIRECTION('',(0.,1.,0.)); #447655=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #447656=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #447657=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #447658=DIRECTION('',(0.,1.,0.)); #447659=DIRECTION('center_axis',(0.,1.,0.)); #447660=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447661=DIRECTION('center_axis',(0.,-1.,0.)); #447662=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447663=DIRECTION('',(0.,1.,0.)); #447664=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #447665=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #447666=DIRECTION('',(1.,0.,4.95238232924399E-13)); #447667=DIRECTION('',(0.,1.,0.)); #447668=DIRECTION('center_axis',(-1.,0.,0.)); #447669=DIRECTION('ref_axis',(0.,0.,1.)); #447670=DIRECTION('',(0.,0.,1.)); #447671=DIRECTION('',(0.,1.,0.)); #447672=DIRECTION('center_axis',(0.,0.,-1.)); #447673=DIRECTION('ref_axis',(-1.,0.,0.)); #447674=DIRECTION('',(-1.,0.,0.)); #447675=DIRECTION('',(0.,1.,0.)); #447676=DIRECTION('center_axis',(0.,1.,0.)); #447677=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447678=DIRECTION('center_axis',(0.,1.,0.)); #447679=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447680=DIRECTION('center_axis',(0.,1.,0.)); #447681=DIRECTION('ref_axis',(1.,0.,0.)); #447682=DIRECTION('center_axis',(0.,1.,0.)); #447683=DIRECTION('ref_axis',(1.,0.,0.)); #447684=DIRECTION('center_axis',(0.,-1.,0.)); #447685=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447686=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #447687=DIRECTION('center_axis',(0.,1.,0.)); #447688=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447689=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #447690=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #447691=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #447692=DIRECTION('center_axis',(0.,-1.,0.)); #447693=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447694=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #447695=DIRECTION('center_axis',(0.,1.,0.)); #447696=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447697=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #447698=DIRECTION('',(0.,0.,-1.)); #447699=DIRECTION('',(1.,0.,0.)); #447700=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #447701=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #447702=DIRECTION('',(0.,-1.,0.)); #447703=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #447704=DIRECTION('',(0.,1.,0.)); #447705=DIRECTION('center_axis',(0.,1.,0.)); #447706=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447707=DIRECTION('center_axis',(0.,-1.,0.)); #447708=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447709=DIRECTION('',(0.,1.,0.)); #447710=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #447711=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #447712=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #447713=DIRECTION('',(0.,1.,0.)); #447714=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #447715=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #447716=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #447717=DIRECTION('',(0.,1.,0.)); #447718=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #447719=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #447720=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #447721=DIRECTION('',(0.,1.,0.)); #447722=DIRECTION('center_axis',(0.,1.,0.)); #447723=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447724=DIRECTION('center_axis',(0.,1.,0.)); #447725=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447726=DIRECTION('',(0.,1.,0.)); #447727=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #447728=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #447729=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #447730=DIRECTION('',(0.,1.,0.)); #447731=DIRECTION('center_axis',(0.,1.,0.)); #447732=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447733=DIRECTION('center_axis',(0.,-1.,0.)); #447734=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447735=DIRECTION('',(0.,1.,0.)); #447736=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #447737=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #447738=DIRECTION('',(1.,0.,4.95238232924399E-13)); #447739=DIRECTION('',(0.,1.,0.)); #447740=DIRECTION('center_axis',(-1.,0.,0.)); #447741=DIRECTION('ref_axis',(0.,0.,1.)); #447742=DIRECTION('',(0.,0.,1.)); #447743=DIRECTION('',(0.,1.,0.)); #447744=DIRECTION('center_axis',(0.,0.,-1.)); #447745=DIRECTION('ref_axis',(-1.,0.,0.)); #447746=DIRECTION('',(-1.,0.,0.)); #447747=DIRECTION('',(0.,1.,0.)); #447748=DIRECTION('center_axis',(0.,1.,0.)); #447749=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447750=DIRECTION('center_axis',(0.,1.,0.)); #447751=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447752=DIRECTION('center_axis',(0.,1.,0.)); #447753=DIRECTION('ref_axis',(1.,0.,0.)); #447754=DIRECTION('center_axis',(0.,1.,0.)); #447755=DIRECTION('ref_axis',(1.,0.,0.)); #447756=DIRECTION('center_axis',(0.,-1.,0.)); #447757=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447758=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #447759=DIRECTION('center_axis',(0.,1.,0.)); #447760=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447761=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #447762=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #447763=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #447764=DIRECTION('center_axis',(0.,-1.,0.)); #447765=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447766=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #447767=DIRECTION('center_axis',(0.,1.,0.)); #447768=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447769=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #447770=DIRECTION('',(0.,0.,-1.)); #447771=DIRECTION('',(1.,0.,0.)); #447772=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #447773=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #447774=DIRECTION('',(0.,-1.,0.)); #447775=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #447776=DIRECTION('',(0.,1.,0.)); #447777=DIRECTION('center_axis',(0.,1.,0.)); #447778=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447779=DIRECTION('center_axis',(0.,-1.,0.)); #447780=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #447781=DIRECTION('',(0.,1.,0.)); #447782=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #447783=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #447784=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #447785=DIRECTION('',(0.,1.,0.)); #447786=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #447787=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #447788=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #447789=DIRECTION('',(0.,1.,0.)); #447790=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #447791=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #447792=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #447793=DIRECTION('',(0.,1.,0.)); #447794=DIRECTION('center_axis',(0.,1.,0.)); #447795=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447796=DIRECTION('center_axis',(0.,1.,0.)); #447797=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #447798=DIRECTION('',(0.,1.,0.)); #447799=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #447800=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #447801=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #447802=DIRECTION('',(0.,1.,0.)); #447803=DIRECTION('center_axis',(0.,1.,0.)); #447804=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447805=DIRECTION('center_axis',(0.,-1.,0.)); #447806=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #447807=DIRECTION('',(0.,1.,0.)); #447808=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #447809=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #447810=DIRECTION('',(1.,0.,4.95238232924399E-13)); #447811=DIRECTION('',(0.,1.,0.)); #447812=DIRECTION('center_axis',(-1.,0.,0.)); #447813=DIRECTION('ref_axis',(0.,0.,1.)); #447814=DIRECTION('',(0.,0.,1.)); #447815=DIRECTION('',(0.,1.,0.)); #447816=DIRECTION('center_axis',(0.,0.,-1.)); #447817=DIRECTION('ref_axis',(-1.,0.,0.)); #447818=DIRECTION('',(-1.,0.,0.)); #447819=DIRECTION('',(0.,1.,0.)); #447820=DIRECTION('center_axis',(0.,1.,0.)); #447821=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447822=DIRECTION('center_axis',(0.,1.,0.)); #447823=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #447824=DIRECTION('center_axis',(0.,1.,0.)); #447825=DIRECTION('ref_axis',(1.,0.,0.)); #447826=DIRECTION('center_axis',(0.,-1.,0.)); #447827=DIRECTION('ref_axis',(-1.,0.,0.)); #447828=DIRECTION('center_axis',(0.,1.,0.)); #447829=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447830=DIRECTION('',(-1.,0.,0.)); #447831=DIRECTION('',(0.,0.,-1.)); #447832=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #447833=DIRECTION('center_axis',(0.,-1.,0.)); #447834=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447835=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #447836=DIRECTION('center_axis',(0.,1.,0.)); #447837=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447838=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #447839=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #447840=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #447841=DIRECTION('center_axis',(0.,-1.,0.)); #447842=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447843=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #447844=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #447845=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #447846=DIRECTION('',(0.,-1.,0.)); #447847=DIRECTION('',(0.,1.,0.)); #447848=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #447849=DIRECTION('center_axis',(0.,-1.,0.)); #447850=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447851=DIRECTION('',(0.,1.,0.)); #447852=DIRECTION('center_axis',(0.,1.,0.)); #447853=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447854=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #447855=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #447856=DIRECTION('',(0.,1.,0.)); #447857=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #447858=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #447859=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #447860=DIRECTION('',(0.,1.,0.)); #447861=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #447862=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #447863=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #447864=DIRECTION('',(0.,1.,0.)); #447865=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #447866=DIRECTION('center_axis',(0.,-1.,0.)); #447867=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447868=DIRECTION('',(0.,1.,0.)); #447869=DIRECTION('center_axis',(0.,-1.,0.)); #447870=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447871=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #447872=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #447873=DIRECTION('',(0.,1.,0.)); #447874=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #447875=DIRECTION('center_axis',(0.,-1.,0.)); #447876=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447877=DIRECTION('',(0.,1.,0.)); #447878=DIRECTION('center_axis',(0.,1.,0.)); #447879=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447880=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #447881=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #447882=DIRECTION('',(0.,1.,0.)); #447883=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #447884=DIRECTION('center_axis',(-1.,0.,0.)); #447885=DIRECTION('ref_axis',(0.,0.,1.)); #447886=DIRECTION('',(0.,1.,0.)); #447887=DIRECTION('',(0.,0.,1.)); #447888=DIRECTION('center_axis',(0.,0.,1.)); #447889=DIRECTION('ref_axis',(1.,0.,0.)); #447890=DIRECTION('',(0.,1.,0.)); #447891=DIRECTION('',(1.,0.,0.)); #447892=DIRECTION('center_axis',(0.,-1.,0.)); #447893=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447894=DIRECTION('center_axis',(0.,-1.,0.)); #447895=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447896=DIRECTION('center_axis',(0.,-1.,0.)); #447897=DIRECTION('ref_axis',(-1.,0.,0.)); #447898=DIRECTION('center_axis',(0.,-1.,0.)); #447899=DIRECTION('ref_axis',(-1.,0.,0.)); #447900=DIRECTION('center_axis',(0.,1.,0.)); #447901=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447902=DIRECTION('',(-1.,0.,0.)); #447903=DIRECTION('',(0.,0.,-1.)); #447904=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #447905=DIRECTION('center_axis',(0.,-1.,0.)); #447906=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447907=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #447908=DIRECTION('center_axis',(0.,1.,0.)); #447909=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447910=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #447911=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #447912=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #447913=DIRECTION('center_axis',(0.,-1.,0.)); #447914=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447915=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #447916=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #447917=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #447918=DIRECTION('',(0.,-1.,0.)); #447919=DIRECTION('',(0.,1.,0.)); #447920=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #447921=DIRECTION('center_axis',(0.,-1.,0.)); #447922=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447923=DIRECTION('',(0.,1.,0.)); #447924=DIRECTION('center_axis',(0.,1.,0.)); #447925=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447926=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #447927=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #447928=DIRECTION('',(0.,1.,0.)); #447929=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #447930=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #447931=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #447932=DIRECTION('',(0.,1.,0.)); #447933=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #447934=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #447935=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #447936=DIRECTION('',(0.,1.,0.)); #447937=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #447938=DIRECTION('center_axis',(0.,-1.,0.)); #447939=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447940=DIRECTION('',(0.,1.,0.)); #447941=DIRECTION('center_axis',(0.,-1.,0.)); #447942=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447943=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #447944=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #447945=DIRECTION('',(0.,1.,0.)); #447946=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #447947=DIRECTION('center_axis',(0.,-1.,0.)); #447948=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447949=DIRECTION('',(0.,1.,0.)); #447950=DIRECTION('center_axis',(0.,1.,0.)); #447951=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447952=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #447953=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #447954=DIRECTION('',(0.,1.,0.)); #447955=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #447956=DIRECTION('center_axis',(-1.,0.,0.)); #447957=DIRECTION('ref_axis',(0.,0.,1.)); #447958=DIRECTION('',(0.,1.,0.)); #447959=DIRECTION('',(0.,0.,1.)); #447960=DIRECTION('center_axis',(0.,0.,1.)); #447961=DIRECTION('ref_axis',(1.,0.,0.)); #447962=DIRECTION('',(0.,1.,0.)); #447963=DIRECTION('',(1.,0.,0.)); #447964=DIRECTION('center_axis',(0.,-1.,0.)); #447965=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447966=DIRECTION('center_axis',(0.,-1.,0.)); #447967=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447968=DIRECTION('center_axis',(0.,-1.,0.)); #447969=DIRECTION('ref_axis',(-1.,0.,0.)); #447970=DIRECTION('center_axis',(0.,-1.,0.)); #447971=DIRECTION('ref_axis',(-1.,0.,0.)); #447972=DIRECTION('center_axis',(0.,1.,0.)); #447973=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #447974=DIRECTION('',(-1.,0.,0.)); #447975=DIRECTION('',(0.,0.,-1.)); #447976=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #447977=DIRECTION('center_axis',(0.,-1.,0.)); #447978=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #447979=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #447980=DIRECTION('center_axis',(0.,1.,0.)); #447981=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #447982=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #447983=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #447984=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #447985=DIRECTION('center_axis',(0.,-1.,0.)); #447986=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447987=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #447988=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #447989=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #447990=DIRECTION('',(0.,-1.,0.)); #447991=DIRECTION('',(0.,1.,0.)); #447992=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #447993=DIRECTION('center_axis',(0.,-1.,0.)); #447994=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447995=DIRECTION('',(0.,1.,0.)); #447996=DIRECTION('center_axis',(0.,1.,0.)); #447997=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #447998=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #447999=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #448000=DIRECTION('',(0.,1.,0.)); #448001=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #448002=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #448003=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #448004=DIRECTION('',(0.,1.,0.)); #448005=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #448006=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #448007=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #448008=DIRECTION('',(0.,1.,0.)); #448009=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #448010=DIRECTION('center_axis',(0.,-1.,0.)); #448011=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #448012=DIRECTION('',(0.,1.,0.)); #448013=DIRECTION('center_axis',(0.,-1.,0.)); #448014=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #448015=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #448016=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #448017=DIRECTION('',(0.,1.,0.)); #448018=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #448019=DIRECTION('center_axis',(0.,-1.,0.)); #448020=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #448021=DIRECTION('',(0.,1.,0.)); #448022=DIRECTION('center_axis',(0.,1.,0.)); #448023=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #448024=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #448025=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #448026=DIRECTION('',(0.,1.,0.)); #448027=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #448028=DIRECTION('center_axis',(-1.,0.,0.)); #448029=DIRECTION('ref_axis',(0.,0.,1.)); #448030=DIRECTION('',(0.,1.,0.)); #448031=DIRECTION('',(0.,0.,1.)); #448032=DIRECTION('center_axis',(0.,0.,1.)); #448033=DIRECTION('ref_axis',(1.,0.,0.)); #448034=DIRECTION('',(0.,1.,0.)); #448035=DIRECTION('',(1.,0.,0.)); #448036=DIRECTION('center_axis',(0.,-1.,0.)); #448037=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #448038=DIRECTION('center_axis',(0.,-1.,0.)); #448039=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #448040=DIRECTION('center_axis',(0.,-1.,0.)); #448041=DIRECTION('ref_axis',(-1.,0.,0.)); #448042=DIRECTION('',(0.,0.,1.)); #448043=DIRECTION('',(1.,0.,0.)); #448044=DIRECTION('axis',(0.,0.,1.)); #448045=DIRECTION('refdir',(1.,0.,0.)); #448046=DIRECTION('axis',(0.,0.,1.)); #448047=DIRECTION('refdir',(1.,0.,0.)); #448048=DIRECTION('center_axis',(0.,0.,-1.)); #448049=DIRECTION('ref_axis',(1.,0.,0.)); #448050=DIRECTION('center_axis',(0.,0.,-1.)); #448051=DIRECTION('ref_axis',(1.,0.,0.)); #448052=DIRECTION('',(0.,0.,-1.)); #448053=DIRECTION('center_axis',(0.,0.,-1.)); #448054=DIRECTION('ref_axis',(1.,0.,0.)); #448055=DIRECTION('center_axis',(0.,0.,1.)); #448056=DIRECTION('ref_axis',(1.,0.,0.)); #448057=DIRECTION('center_axis',(-0.969483457617158,0.,0.245156736408936)); #448058=DIRECTION('ref_axis',(0.245156736408936,0.,0.969483457617158)); #448059=DIRECTION('',(0.,1.,0.)); #448060=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #448061=DIRECTION('',(0.,-1.,0.)); #448062=DIRECTION('',(-0.238105835984066,-0.238105835984067,-0.941600351391533)); #448063=DIRECTION('center_axis',(0.,0.969483457617158,0.245156736408937)); #448064=DIRECTION('ref_axis',(0.,-0.245156736408937,0.969483457617158)); #448065=DIRECTION('',(1.,0.,0.)); #448066=DIRECTION('',(0.238105835984066,0.238105835984067,-0.941600351391533)); #448067=DIRECTION('',(-1.,0.,0.)); #448068=DIRECTION('center_axis',(0.969483457617158,0.,0.245156736408936)); #448069=DIRECTION('ref_axis',(0.245156736408936,0.,-0.969483457617158)); #448070=DIRECTION('',(0.,-1.,0.)); #448071=DIRECTION('',(0.238105835984066,-0.238105835984066,-0.941600351391533)); #448072=DIRECTION('',(0.,1.,0.)); #448073=DIRECTION('center_axis',(0.,-0.969483457617158,0.245156736408937)); #448074=DIRECTION('ref_axis',(0.,-0.245156736408937,-0.969483457617158)); #448075=DIRECTION('',(1.,0.,0.)); #448076=DIRECTION('',(-1.,0.,0.)); #448077=DIRECTION('center_axis',(0.,-1.,0.)); #448078=DIRECTION('ref_axis',(1.,0.,0.)); #448079=DIRECTION('',(0.,0.,1.)); #448080=DIRECTION('',(-1.,0.,0.)); #448081=DIRECTION('',(0.,0.,1.)); #448082=DIRECTION('center_axis',(-1.,0.,0.)); #448083=DIRECTION('ref_axis',(0.,-1.,0.)); #448084=DIRECTION('',(0.,0.,1.)); #448085=DIRECTION('',(0.,1.,0.)); #448086=DIRECTION('center_axis',(0.,0.,1.)); #448087=DIRECTION('ref_axis',(1.,0.,0.)); #448088=DIRECTION('center_axis',(0.969483457617158,0.,-0.245156736408936)); #448089=DIRECTION('ref_axis',(-0.245156736408936,0.,-0.969483457617158)); #448090=DIRECTION('',(0.,-1.,0.)); #448091=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #448092=DIRECTION('',(0.,1.,0.)); #448093=DIRECTION('',(0.238105835984066,0.238105835984066,0.941600351391533)); #448094=DIRECTION('center_axis',(0.,-0.969483457617158,-0.245156736408937)); #448095=DIRECTION('ref_axis',(0.,0.245156736408937,-0.969483457617158)); #448096=DIRECTION('',(1.,0.,0.)); #448097=DIRECTION('',(-0.238105835984066,-0.238105835984066,0.941600351391533)); #448098=DIRECTION('center_axis',(0.,0.969483457617158,-0.245156736408937)); #448099=DIRECTION('ref_axis',(0.,0.245156736408937,0.969483457617158)); #448100=DIRECTION('',(1.,0.,0.)); #448101=DIRECTION('',(-1.,0.,0.)); #448102=DIRECTION('',(-0.238105835984066,0.238105835984066,0.941600351391533)); #448103=DIRECTION('center_axis',(-0.969483457617158,0.,-0.245156736408936)); #448104=DIRECTION('ref_axis',(-0.245156736408936,0.,0.969483457617158)); #448105=DIRECTION('',(0.,-1.,0.)); #448106=DIRECTION('center_axis',(1.,0.,0.)); #448107=DIRECTION('ref_axis',(0.,1.,0.)); #448108=DIRECTION('',(0.,0.,1.)); #448109=DIRECTION('center_axis',(0.,0.,1.)); #448110=DIRECTION('ref_axis',(1.,0.,0.)); #448111=DIRECTION('center_axis',(0.,1.,0.)); #448112=DIRECTION('ref_axis',(-1.,0.,0.)); #448113=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448114=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448115=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448116=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448117=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #448118=DIRECTION('',(0.,0.,1.)); #448119=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #448120=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448121=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448122=DIRECTION('',(0.,0.,1.)); #448123=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448124=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448125=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #448126=DIRECTION('',(0.,0.,-1.)); #448127=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #448128=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448129=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448130=DIRECTION('',(0.,0.,-1.)); #448131=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #448132=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #448133=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448134=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #448135=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448136=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #448137=DIRECTION('ref_axis',(0.,0.,-1.)); #448138=DIRECTION('',(0.,0.,-1.)); #448139=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448140=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #448141=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #448142=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #448143=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448144=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448145=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448146=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448147=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448148=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448149=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #448150=DIRECTION('ref_axis',(0.,0.,-1.)); #448151=DIRECTION('',(0.,0.,-1.)); #448152=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448153=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448154=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448155=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448156=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448157=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448158=DIRECTION('center_axis',(0.,0.,1.)); #448159=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #448160=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #448161=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448162=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #448163=DIRECTION('ref_axis',(0.,0.,1.)); #448164=DIRECTION('',(0.,0.,1.)); #448165=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448166=DIRECTION('center_axis',(0.,0.,1.)); #448167=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #448168=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #448169=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448170=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448171=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448172=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448173=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448174=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448175=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #448176=DIRECTION('ref_axis',(0.,0.,1.)); #448177=DIRECTION('',(0.,0.,1.)); #448178=DIRECTION('',(1.22464679914735E-16,1.,0.)); #448179=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448180=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448181=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448182=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448183=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448184=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448185=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448186=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448187=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448188=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448189=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #448190=DIRECTION('',(0.,0.,1.)); #448191=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #448192=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448193=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448194=DIRECTION('',(0.,0.,1.)); #448195=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448196=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448197=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #448198=DIRECTION('',(0.,0.,-1.)); #448199=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #448200=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448201=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448202=DIRECTION('',(0.,0.,-1.)); #448203=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #448204=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #448205=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448206=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #448207=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448208=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #448209=DIRECTION('ref_axis',(0.,0.,-1.)); #448210=DIRECTION('',(0.,0.,-1.)); #448211=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448212=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #448213=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #448214=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #448215=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448216=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448217=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448218=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448219=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #448220=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448221=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #448222=DIRECTION('ref_axis',(0.,0.,-1.)); #448223=DIRECTION('',(0.,0.,-1.)); #448224=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448225=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448226=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448227=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448228=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #448229=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448230=DIRECTION('center_axis',(0.,0.,1.)); #448231=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #448232=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #448233=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448234=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #448235=DIRECTION('ref_axis',(0.,0.,1.)); #448236=DIRECTION('',(0.,0.,1.)); #448237=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448238=DIRECTION('center_axis',(0.,0.,1.)); #448239=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #448240=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #448241=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448242=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448243=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448244=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448245=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #448246=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #448247=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #448248=DIRECTION('ref_axis',(0.,0.,1.)); #448249=DIRECTION('',(0.,0.,1.)); #448250=DIRECTION('',(1.22464679914735E-16,1.,0.)); #448251=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448252=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448253=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #448254=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448255=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #448256=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #448257=DIRECTION('center_axis',(0.,1.,0.)); #448258=DIRECTION('ref_axis',(1.,0.,0.)); #448259=DIRECTION('center_axis',(0.,1.,0.)); #448260=DIRECTION('ref_axis',(1.,0.,0.)); #448261=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #448262=DIRECTION('',(0.,0.,1.)); #448263=DIRECTION('',(1.,0.,4.72033598905254E-17)); #448264=DIRECTION('center_axis',(0.,-1.,0.)); #448265=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448266=DIRECTION('',(0.,0.,1.)); #448267=DIRECTION('center_axis',(0.,1.,0.)); #448268=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448269=DIRECTION('',(1.,0.,0.)); #448270=DIRECTION('',(0.,0.,-1.)); #448271=DIRECTION('',(1.,0.,0.)); #448272=DIRECTION('center_axis',(0.,-1.,0.)); #448273=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448274=DIRECTION('',(0.,0.,-1.)); #448275=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #448276=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #448277=DIRECTION('',(0.,1.,0.)); #448278=DIRECTION('',(1.,0.,4.33680868994202E-17)); #448279=DIRECTION('',(0.,1.,0.)); #448280=DIRECTION('center_axis',(-1.,0.,0.)); #448281=DIRECTION('ref_axis',(0.,0.,-1.)); #448282=DIRECTION('',(0.,0.,-1.)); #448283=DIRECTION('',(0.,1.,0.)); #448284=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #448285=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #448286=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #448287=DIRECTION('',(0.,1.,0.)); #448288=DIRECTION('center_axis',(0.,-1.,0.)); #448289=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448290=DIRECTION('center_axis',(0.,1.,0.)); #448291=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448292=DIRECTION('',(0.,1.,0.)); #448293=DIRECTION('center_axis',(-1.,0.,0.)); #448294=DIRECTION('ref_axis',(0.,0.,-1.)); #448295=DIRECTION('',(0.,0.,-1.)); #448296=DIRECTION('',(0.,1.,0.)); #448297=DIRECTION('center_axis',(0.,-1.,0.)); #448298=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448299=DIRECTION('center_axis',(0.,-1.,0.)); #448300=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448301=DIRECTION('',(0.,1.,0.)); #448302=DIRECTION('center_axis',(0.,0.,1.)); #448303=DIRECTION('ref_axis',(-1.,0.,0.)); #448304=DIRECTION('',(-1.,0.,0.)); #448305=DIRECTION('',(0.,1.,0.)); #448306=DIRECTION('center_axis',(1.,0.,0.)); #448307=DIRECTION('ref_axis',(0.,0.,1.)); #448308=DIRECTION('',(0.,0.,1.)); #448309=DIRECTION('',(0.,1.,0.)); #448310=DIRECTION('center_axis',(0.,0.,1.)); #448311=DIRECTION('ref_axis',(-1.,0.,0.)); #448312=DIRECTION('',(-1.,0.,0.)); #448313=DIRECTION('',(0.,1.,0.)); #448314=DIRECTION('center_axis',(0.,-1.,0.)); #448315=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448316=DIRECTION('center_axis',(0.,1.,0.)); #448317=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448318=DIRECTION('',(0.,1.,0.)); #448319=DIRECTION('center_axis',(1.,0.,0.)); #448320=DIRECTION('ref_axis',(0.,0.,1.)); #448321=DIRECTION('',(0.,0.,1.)); #448322=DIRECTION('',(0.,-1.,0.)); #448323=DIRECTION('center_axis',(0.,-1.,0.)); #448324=DIRECTION('ref_axis',(1.,0.,0.)); #448325=DIRECTION('center_axis',(0.,-1.,0.)); #448326=DIRECTION('ref_axis',(1.,0.,0.)); #448327=DIRECTION('center_axis',(0.,1.,0.)); #448328=DIRECTION('ref_axis',(1.,0.,0.)); #448329=DIRECTION('center_axis',(0.,1.,0.)); #448330=DIRECTION('ref_axis',(1.,0.,0.)); #448331=DIRECTION('center_axis',(0.,1.,0.)); #448332=DIRECTION('ref_axis',(1.,0.,0.)); #448333=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #448334=DIRECTION('',(0.,0.,1.)); #448335=DIRECTION('',(1.,0.,4.72033598905254E-17)); #448336=DIRECTION('center_axis',(0.,-1.,0.)); #448337=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448338=DIRECTION('',(0.,0.,1.)); #448339=DIRECTION('center_axis',(0.,1.,0.)); #448340=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448341=DIRECTION('',(1.,0.,0.)); #448342=DIRECTION('',(0.,0.,-1.)); #448343=DIRECTION('',(1.,0.,0.)); #448344=DIRECTION('center_axis',(0.,-1.,0.)); #448345=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448346=DIRECTION('',(0.,0.,-1.)); #448347=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #448348=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #448349=DIRECTION('',(0.,1.,0.)); #448350=DIRECTION('',(1.,0.,4.33680868994202E-17)); #448351=DIRECTION('',(0.,1.,0.)); #448352=DIRECTION('center_axis',(-1.,0.,0.)); #448353=DIRECTION('ref_axis',(0.,0.,-1.)); #448354=DIRECTION('',(0.,0.,-1.)); #448355=DIRECTION('',(0.,1.,0.)); #448356=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #448357=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #448358=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #448359=DIRECTION('',(0.,1.,0.)); #448360=DIRECTION('center_axis',(0.,-1.,0.)); #448361=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448362=DIRECTION('center_axis',(0.,1.,0.)); #448363=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448364=DIRECTION('',(0.,1.,0.)); #448365=DIRECTION('center_axis',(-1.,0.,0.)); #448366=DIRECTION('ref_axis',(0.,0.,-1.)); #448367=DIRECTION('',(0.,0.,-1.)); #448368=DIRECTION('',(0.,1.,0.)); #448369=DIRECTION('center_axis',(0.,-1.,0.)); #448370=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448371=DIRECTION('center_axis',(0.,-1.,0.)); #448372=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448373=DIRECTION('',(0.,1.,0.)); #448374=DIRECTION('center_axis',(0.,0.,1.)); #448375=DIRECTION('ref_axis',(-1.,0.,0.)); #448376=DIRECTION('',(-1.,0.,0.)); #448377=DIRECTION('',(0.,1.,0.)); #448378=DIRECTION('center_axis',(1.,0.,0.)); #448379=DIRECTION('ref_axis',(0.,0.,1.)); #448380=DIRECTION('',(0.,0.,1.)); #448381=DIRECTION('',(0.,1.,0.)); #448382=DIRECTION('center_axis',(0.,0.,1.)); #448383=DIRECTION('ref_axis',(-1.,0.,0.)); #448384=DIRECTION('',(-1.,0.,0.)); #448385=DIRECTION('',(0.,1.,0.)); #448386=DIRECTION('center_axis',(0.,-1.,0.)); #448387=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448388=DIRECTION('center_axis',(0.,1.,0.)); #448389=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448390=DIRECTION('',(0.,1.,0.)); #448391=DIRECTION('center_axis',(1.,0.,0.)); #448392=DIRECTION('ref_axis',(0.,0.,1.)); #448393=DIRECTION('',(0.,0.,1.)); #448394=DIRECTION('',(0.,-1.,0.)); #448395=DIRECTION('center_axis',(0.,-1.,0.)); #448396=DIRECTION('ref_axis',(1.,0.,0.)); #448397=DIRECTION('center_axis',(0.,-1.,0.)); #448398=DIRECTION('ref_axis',(1.,0.,0.)); #448399=DIRECTION('center_axis',(0.,1.,0.)); #448400=DIRECTION('ref_axis',(1.,0.,0.)); #448401=DIRECTION('center_axis',(0.,1.,0.)); #448402=DIRECTION('ref_axis',(1.,0.,0.)); #448403=DIRECTION('center_axis',(0.,1.,0.)); #448404=DIRECTION('ref_axis',(1.,0.,0.)); #448405=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #448406=DIRECTION('',(0.,0.,1.)); #448407=DIRECTION('',(1.,0.,4.72033598905254E-17)); #448408=DIRECTION('center_axis',(0.,-1.,0.)); #448409=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448410=DIRECTION('',(0.,0.,1.)); #448411=DIRECTION('center_axis',(0.,1.,0.)); #448412=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448413=DIRECTION('',(1.,0.,0.)); #448414=DIRECTION('',(0.,0.,-1.)); #448415=DIRECTION('',(1.,0.,0.)); #448416=DIRECTION('center_axis',(0.,-1.,0.)); #448417=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448418=DIRECTION('',(0.,0.,-1.)); #448419=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #448420=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #448421=DIRECTION('',(0.,1.,0.)); #448422=DIRECTION('',(1.,0.,4.33680868994202E-17)); #448423=DIRECTION('',(0.,1.,0.)); #448424=DIRECTION('center_axis',(-1.,0.,0.)); #448425=DIRECTION('ref_axis',(0.,0.,-1.)); #448426=DIRECTION('',(0.,0.,-1.)); #448427=DIRECTION('',(0.,1.,0.)); #448428=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #448429=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #448430=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #448431=DIRECTION('',(0.,1.,0.)); #448432=DIRECTION('center_axis',(0.,-1.,0.)); #448433=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448434=DIRECTION('center_axis',(0.,1.,0.)); #448435=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #448436=DIRECTION('',(0.,1.,0.)); #448437=DIRECTION('center_axis',(-1.,0.,0.)); #448438=DIRECTION('ref_axis',(0.,0.,-1.)); #448439=DIRECTION('',(0.,0.,-1.)); #448440=DIRECTION('',(0.,1.,0.)); #448441=DIRECTION('center_axis',(0.,-1.,0.)); #448442=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448443=DIRECTION('center_axis',(0.,-1.,0.)); #448444=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #448445=DIRECTION('',(0.,1.,0.)); #448446=DIRECTION('center_axis',(0.,0.,1.)); #448447=DIRECTION('ref_axis',(-1.,0.,0.)); #448448=DIRECTION('',(-1.,0.,0.)); #448449=DIRECTION('',(0.,1.,0.)); #448450=DIRECTION('center_axis',(1.,0.,0.)); #448451=DIRECTION('ref_axis',(0.,0.,1.)); #448452=DIRECTION('',(0.,0.,1.)); #448453=DIRECTION('',(0.,1.,0.)); #448454=DIRECTION('center_axis',(0.,0.,1.)); #448455=DIRECTION('ref_axis',(-1.,0.,0.)); #448456=DIRECTION('',(-1.,0.,0.)); #448457=DIRECTION('',(0.,1.,0.)); #448458=DIRECTION('center_axis',(0.,-1.,0.)); #448459=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448460=DIRECTION('center_axis',(0.,1.,0.)); #448461=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #448462=DIRECTION('',(0.,1.,0.)); #448463=DIRECTION('center_axis',(1.,0.,0.)); #448464=DIRECTION('ref_axis',(0.,0.,1.)); #448465=DIRECTION('',(0.,0.,1.)); #448466=DIRECTION('',(0.,-1.,0.)); #448467=DIRECTION('center_axis',(0.,-1.,0.)); #448468=DIRECTION('ref_axis',(1.,0.,0.)); #448469=DIRECTION('center_axis',(0.,-1.,0.)); #448470=DIRECTION('ref_axis',(1.,0.,0.)); #448471=DIRECTION('center_axis',(0.,1.,0.)); #448472=DIRECTION('ref_axis',(1.,0.,0.)); #448473=DIRECTION('',(0.,0.,1.)); #448474=DIRECTION('',(1.,0.,0.)); #448475=DIRECTION('axis',(0.,0.,1.)); #448476=DIRECTION('refdir',(1.,0.,0.)); #448477=DIRECTION('axis',(0.,0.,1.)); #448478=DIRECTION('refdir',(1.,0.,0.)); #448479=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #448480=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,0.577350269189625)); #448481=DIRECTION('center_axis',(0.,-1.,0.)); #448482=DIRECTION('ref_axis',(-1.,0.,0.)); #448483=DIRECTION('center_axis',(0.,0.,1.)); #448484=DIRECTION('ref_axis',(0.,-1.,0.)); #448485=DIRECTION('center_axis',(-1.,0.,0.)); #448486=DIRECTION('ref_axis',(0.,0.,1.)); #448487=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #448488=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #448489=DIRECTION('center_axis',(1.,0.,0.)); #448490=DIRECTION('ref_axis',(0.,-1.,0.)); #448491=DIRECTION('center_axis',(0.,0.,1.)); #448492=DIRECTION('ref_axis',(1.,0.,0.)); #448493=DIRECTION('center_axis',(0.,-1.,0.)); #448494=DIRECTION('ref_axis',(0.,0.,1.)); #448495=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,0.408248290463866)); #448496=DIRECTION('ref_axis',(-0.577350269189621,-0.577350269189626,-0.577350269189631)); #448497=DIRECTION('center_axis',(0.,0.,-1.)); #448498=DIRECTION('ref_axis',(-1.,0.,0.)); #448499=DIRECTION('center_axis',(0.,-1.,0.)); #448500=DIRECTION('ref_axis',(0.,0.,-1.)); #448501=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #448502=DIRECTION('ref_axis',(0.,-1.,0.)); #448503=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #448504=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #448505=DIRECTION('center_axis',(-1.,0.,0.)); #448506=DIRECTION('ref_axis',(0.,1.,0.)); #448507=DIRECTION('center_axis',(0.,0.,1.)); #448508=DIRECTION('ref_axis',(-1.,0.,0.)); #448509=DIRECTION('center_axis',(0.,1.,0.)); #448510=DIRECTION('ref_axis',(0.,0.,1.)); #448511=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #448512=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #448513=DIRECTION('center_axis',(0.,0.,-1.)); #448514=DIRECTION('ref_axis',(0.,-1.,0.)); #448515=DIRECTION('center_axis',(1.,0.,0.)); #448516=DIRECTION('ref_axis',(0.,0.,-1.)); #448517=DIRECTION('center_axis',(0.,-1.,0.)); #448518=DIRECTION('ref_axis',(1.,0.,0.)); #448519=DIRECTION('center_axis',(1.,0.,0.)); #448520=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #448521=DIRECTION('',(-1.,0.,0.)); #448522=DIRECTION('',(1.,0.,0.)); #448523=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,0.408248290463859)); #448524=DIRECTION('ref_axis',(0.577350269189622,0.57735026918963,0.577350269189625)); #448525=DIRECTION('center_axis',(0.,1.,0.)); #448526=DIRECTION('ref_axis',(1.,0.,0.)); #448527=DIRECTION('center_axis',(0.,0.,1.)); #448528=DIRECTION('ref_axis',(0.,1.,0.)); #448529=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #448530=DIRECTION('ref_axis',(0.,0.,1.)); #448531=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #448532=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #448533=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #448534=DIRECTION('ref_axis',(0.,0.,-1.)); #448535=DIRECTION('center_axis',(0.,1.,0.)); #448536=DIRECTION('ref_axis',(-1.,0.,0.)); #448537=DIRECTION('center_axis',(0.,0.,-1.)); #448538=DIRECTION('ref_axis',(0.,1.,0.)); #448539=DIRECTION('center_axis',(0.,-1.,0.)); #448540=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #448541=DIRECTION('',(0.,1.,0.)); #448542=DIRECTION('',(0.,-1.,0.)); #448543=DIRECTION('center_axis',(0.,0.,1.)); #448544=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #448545=DIRECTION('',(0.,0.,-1.)); #448546=DIRECTION('',(0.,0.,1.)); #448547=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463862, -0.816496580927726)); #448548=DIRECTION('ref_axis',(0.577350269189628,0.577350269189623,-0.577350269189627)); #448549=DIRECTION('center_axis',(0.,0.,-1.)); #448550=DIRECTION('ref_axis',(1.,0.,0.)); #448551=DIRECTION('center_axis',(0.,1.,0.)); #448552=DIRECTION('ref_axis',(0.,0.,-1.)); #448553=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #448554=DIRECTION('ref_axis',(0.,1.,0.)); #448555=DIRECTION('center_axis',(0.,-1.,0.)); #448556=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #448557=DIRECTION('',(0.,1.,0.)); #448558=DIRECTION('',(0.,-1.,0.)); #448559=DIRECTION('center_axis',(-1.,0.,0.)); #448560=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #448561=DIRECTION('',(1.,0.,0.)); #448562=DIRECTION('',(-1.,0.,0.)); #448563=DIRECTION('center_axis',(0.,0.,1.)); #448564=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #448565=DIRECTION('',(0.,0.,-1.)); #448566=DIRECTION('',(0.,0.,1.)); #448567=DIRECTION('center_axis',(-1.,0.,0.)); #448568=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #448569=DIRECTION('',(1.,0.,0.)); #448570=DIRECTION('',(-1.,0.,0.)); #448571=DIRECTION('center_axis',(0.,1.,0.)); #448572=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #448573=DIRECTION('',(0.,-1.,0.)); #448574=DIRECTION('',(0.,1.,0.)); #448575=DIRECTION('center_axis',(0.,0.,1.)); #448576=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #448577=DIRECTION('',(0.,0.,-1.)); #448578=DIRECTION('',(0.,0.,1.)); #448579=DIRECTION('center_axis',(0.,-1.,0.)); #448580=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #448581=DIRECTION('',(0.,1.,0.)); #448582=DIRECTION('',(0.,-1.,0.)); #448583=DIRECTION('center_axis',(0.,0.,1.)); #448584=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #448585=DIRECTION('',(0.,0.,-1.)); #448586=DIRECTION('',(0.,0.,1.)); #448587=DIRECTION('center_axis',(1.,0.,0.)); #448588=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #448589=DIRECTION('',(-1.,0.,0.)); #448590=DIRECTION('',(1.,0.,0.)); #448591=DIRECTION('center_axis',(0.,-1.,0.)); #448592=DIRECTION('ref_axis',(1.,0.,0.)); #448593=DIRECTION('center_axis',(0.,0.,1.)); #448594=DIRECTION('ref_axis',(1.,0.,0.)); #448595=DIRECTION('center_axis',(-1.,0.,0.)); #448596=DIRECTION('ref_axis',(0.,-1.,0.)); #448597=DIRECTION('center_axis',(0.,1.,0.)); #448598=DIRECTION('ref_axis',(-1.,0.,0.)); #448599=DIRECTION('center_axis',(1.,0.,0.)); #448600=DIRECTION('ref_axis',(0.,1.,0.)); #448601=DIRECTION('center_axis',(0.,0.,1.)); #448602=DIRECTION('ref_axis',(1.,0.,0.)); #448603=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,-0.408248290463859)); #448604=DIRECTION('ref_axis',(-0.577350269189622,-0.57735026918963,0.577350269189625)); #448605=DIRECTION('center_axis',(0.,-1.,0.)); #448606=DIRECTION('ref_axis',(-1.,0.,0.)); #448607=DIRECTION('center_axis',(0.,0.,1.)); #448608=DIRECTION('ref_axis',(0.,-1.,0.)); #448609=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #448610=DIRECTION('ref_axis',(0.,0.,1.)); #448611=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #448612=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #448613=DIRECTION('center_axis',(1.,0.,0.)); #448614=DIRECTION('ref_axis',(0.,-1.,0.)); #448615=DIRECTION('center_axis',(0.,0.,1.)); #448616=DIRECTION('ref_axis',(1.,0.,0.)); #448617=DIRECTION('center_axis',(0.,-1.,0.)); #448618=DIRECTION('ref_axis',(0.,0.,1.)); #448619=DIRECTION('center_axis',(0.408248290463867,0.408248290463858,-0.816496580927727)); #448620=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189622,-0.577350269189625)); #448621=DIRECTION('center_axis',(0.,0.,-1.)); #448622=DIRECTION('ref_axis',(-1.,0.,0.)); #448623=DIRECTION('center_axis',(0.,-1.,0.)); #448624=DIRECTION('ref_axis',(0.,0.,-1.)); #448625=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #448626=DIRECTION('ref_axis',(0.,-1.,0.)); #448627=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #448628=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #448629=DIRECTION('center_axis',(-1.,0.,0.)); #448630=DIRECTION('ref_axis',(0.,1.,0.)); #448631=DIRECTION('center_axis',(0.,0.,1.)); #448632=DIRECTION('ref_axis',(-1.,0.,0.)); #448633=DIRECTION('center_axis',(0.,1.,0.)); #448634=DIRECTION('ref_axis',(0.,0.,1.)); #448635=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #448636=DIRECTION('ref_axis',(0.57735026918962,-0.577350269189628,-0.577350269189629)); #448637=DIRECTION('center_axis',(0.,0.,-1.)); #448638=DIRECTION('ref_axis',(0.,-1.,0.)); #448639=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #448640=DIRECTION('ref_axis',(0.,0.,-1.)); #448641=DIRECTION('center_axis',(0.,-1.,0.)); #448642=DIRECTION('ref_axis',(1.,0.,0.)); #448643=DIRECTION('center_axis',(1.,0.,0.)); #448644=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #448645=DIRECTION('',(-1.,0.,0.)); #448646=DIRECTION('',(1.,0.,0.)); #448647=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #448648=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,0.577350269189625)); #448649=DIRECTION('center_axis',(0.,1.,0.)); #448650=DIRECTION('ref_axis',(1.,0.,0.)); #448651=DIRECTION('center_axis',(0.,0.,1.)); #448652=DIRECTION('ref_axis',(0.,1.,0.)); #448653=DIRECTION('center_axis',(1.,0.,0.)); #448654=DIRECTION('ref_axis',(0.,0.,1.)); #448655=DIRECTION('center_axis',(0.408248290463863,-0.40824829046386,-0.816496580927728)); #448656=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,-0.577350269189624)); #448657=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #448658=DIRECTION('ref_axis',(0.,0.,-1.)); #448659=DIRECTION('center_axis',(0.,1.,0.)); #448660=DIRECTION('ref_axis',(-1.,0.,0.)); #448661=DIRECTION('center_axis',(0.,0.,-1.)); #448662=DIRECTION('ref_axis',(0.,1.,0.)); #448663=DIRECTION('center_axis',(0.,-1.,0.)); #448664=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #448665=DIRECTION('',(0.,1.,0.)); #448666=DIRECTION('',(0.,-1.,0.)); #448667=DIRECTION('center_axis',(0.,0.,1.)); #448668=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #448669=DIRECTION('',(0.,0.,-1.)); #448670=DIRECTION('',(0.,0.,1.)); #448671=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,-0.408248290463866)); #448672=DIRECTION('ref_axis',(0.577350269189621,0.577350269189626,-0.577350269189631)); #448673=DIRECTION('center_axis',(0.,0.,-1.)); #448674=DIRECTION('ref_axis',(1.,0.,0.)); #448675=DIRECTION('center_axis',(0.,1.,0.)); #448676=DIRECTION('ref_axis',(0.,0.,-1.)); #448677=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #448678=DIRECTION('ref_axis',(0.,1.,0.)); #448679=DIRECTION('center_axis',(0.,1.,0.)); #448680=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #448681=DIRECTION('',(0.,-1.,0.)); #448682=DIRECTION('',(0.,1.,0.)); #448683=DIRECTION('center_axis',(-1.,0.,0.)); #448684=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #448685=DIRECTION('',(1.,0.,0.)); #448686=DIRECTION('',(-1.,0.,0.)); #448687=DIRECTION('center_axis',(0.,0.,1.)); #448688=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #448689=DIRECTION('',(0.,0.,-1.)); #448690=DIRECTION('',(0.,0.,1.)); #448691=DIRECTION('center_axis',(-1.,0.,0.)); #448692=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #448693=DIRECTION('',(1.,0.,0.)); #448694=DIRECTION('',(-1.,0.,0.)); #448695=DIRECTION('center_axis',(0.,1.,0.)); #448696=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #448697=DIRECTION('',(0.,-1.,0.)); #448698=DIRECTION('',(0.,1.,0.)); #448699=DIRECTION('center_axis',(0.,0.,1.)); #448700=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #448701=DIRECTION('',(0.,0.,-1.)); #448702=DIRECTION('',(0.,0.,1.)); #448703=DIRECTION('center_axis',(0.,-1.,0.)); #448704=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #448705=DIRECTION('',(0.,1.,0.)); #448706=DIRECTION('',(0.,-1.,0.)); #448707=DIRECTION('center_axis',(0.,0.,1.)); #448708=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #448709=DIRECTION('',(0.,0.,-1.)); #448710=DIRECTION('',(0.,0.,1.)); #448711=DIRECTION('center_axis',(1.,0.,0.)); #448712=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #448713=DIRECTION('',(-1.,0.,0.)); #448714=DIRECTION('',(1.,0.,0.)); #448715=DIRECTION('center_axis',(0.,-1.,0.)); #448716=DIRECTION('ref_axis',(1.,0.,0.)); #448717=DIRECTION('center_axis',(0.,0.,1.)); #448718=DIRECTION('ref_axis',(1.,0.,0.)); #448719=DIRECTION('center_axis',(-1.,0.,0.)); #448720=DIRECTION('ref_axis',(0.,-1.,0.)); #448721=DIRECTION('center_axis',(0.,1.,0.)); #448722=DIRECTION('ref_axis',(-1.,0.,0.)); #448723=DIRECTION('center_axis',(1.,0.,0.)); #448724=DIRECTION('ref_axis',(0.,1.,0.)); #448725=DIRECTION('center_axis',(0.,0.,1.)); #448726=DIRECTION('ref_axis',(1.,0.,0.)); #448727=DIRECTION('center_axis',(1.,0.,0.)); #448728=DIRECTION('ref_axis',(0.,1.,0.)); #448729=DIRECTION('',(0.,-1.,0.)); #448730=DIRECTION('',(0.,0.,1.)); #448731=DIRECTION('',(0.,1.,0.)); #448732=DIRECTION('',(0.,0.,1.)); #448733=DIRECTION('center_axis',(0.,-1.,0.)); #448734=DIRECTION('ref_axis',(1.,0.,0.)); #448735=DIRECTION('',(1.,0.,0.)); #448736=DIRECTION('',(1.,0.,0.)); #448737=DIRECTION('',(0.,0.,1.)); #448738=DIRECTION('center_axis',(-1.,0.,0.)); #448739=DIRECTION('ref_axis',(0.,-1.,0.)); #448740=DIRECTION('',(0.,-1.,0.)); #448741=DIRECTION('',(0.,-1.,0.)); #448742=DIRECTION('',(0.,0.,1.)); #448743=DIRECTION('center_axis',(0.,1.,0.)); #448744=DIRECTION('ref_axis',(-1.,0.,0.)); #448745=DIRECTION('',(-1.,0.,0.)); #448746=DIRECTION('',(-1.,0.,0.)); #448747=DIRECTION('center_axis',(0.,0.,1.)); #448748=DIRECTION('ref_axis',(1.,0.,0.)); #448749=DIRECTION('center_axis',(0.,0.,1.)); #448750=DIRECTION('ref_axis',(1.,0.,0.)); #448751=DIRECTION('',(0.,0.,1.)); #448752=DIRECTION('',(1.,0.,0.)); #448753=DIRECTION('axis',(0.,0.,1.)); #448754=DIRECTION('refdir',(1.,0.,0.)); #448755=DIRECTION('axis',(0.,0.,1.)); #448756=DIRECTION('refdir',(1.,0.,0.)); #448757=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #448758=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #448759=DIRECTION('',(0.,-1.,0.)); #448760=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #448761=DIRECTION('',(0.,1.,0.)); #448762=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #448763=DIRECTION('center_axis',(1.51525467060403E-16,-0.707106781186548, 0.707106781186548)); #448764=DIRECTION('ref_axis',(1.,1.83268433108748E-17,-1.95962527250865E-16)); #448765=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448766=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #448767=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448768=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #448769=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #448770=DIRECTION('',(0.,1.,0.)); #448771=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #448772=DIRECTION('',(0.,-1.,0.)); #448773=DIRECTION('center_axis',(1.51525467060403E-16,0.707106781186548, 0.707106781186548)); #448774=DIRECTION('ref_axis',(1.,-1.83268433108748E-17,-1.95962527250865E-16)); #448775=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448776=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448777=DIRECTION('center_axis',(0.,1.,0.)); #448778=DIRECTION('ref_axis',(-1.,0.,2.22044604925E-16)); #448779=DIRECTION('',(2.142893705618E-16,0.,1.)); #448780=DIRECTION('',(1.,0.,-2.185478394931E-16)); #448781=DIRECTION('',(2.142893705618E-16,0.,1.)); #448782=DIRECTION('center_axis',(1.,0.,-2.142893705618E-16)); #448783=DIRECTION('ref_axis',(0.,1.,0.)); #448784=DIRECTION('',(2.142893705618E-16,0.,1.)); #448785=DIRECTION('',(0.,-1.,0.)); #448786=DIRECTION('center_axis',(2.142893705618E-16,0.,1.)); #448787=DIRECTION('ref_axis',(1.,0.,-2.142893705618E-16)); #448788=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #448789=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #448790=DIRECTION('',(0.,-1.,0.)); #448791=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #448792=DIRECTION('',(0.,1.,0.)); #448793=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #448794=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #448795=DIRECTION('ref_axis',(-1.,0.,0.)); #448796=DIRECTION('',(1.,0.,0.)); #448797=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #448798=DIRECTION('',(-1.,0.,0.)); #448799=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #448800=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #448801=DIRECTION('',(0.,1.,0.)); #448802=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #448803=DIRECTION('',(0.,-1.,0.)); #448804=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186548)); #448805=DIRECTION('ref_axis',(-1.,0.,0.)); #448806=DIRECTION('',(1.,0.,0.)); #448807=DIRECTION('',(-1.,0.,0.)); #448808=DIRECTION('center_axis',(0.,1.,0.)); #448809=DIRECTION('ref_axis',(1.,0.,0.)); #448810=DIRECTION('',(0.,0.,-1.)); #448811=DIRECTION('',(-1.,0.,3.278217592397E-16)); #448812=DIRECTION('',(0.,0.,-1.)); #448813=DIRECTION('center_axis',(-1.,0.,0.)); #448814=DIRECTION('ref_axis',(0.,1.,0.)); #448815=DIRECTION('',(0.,0.,-1.)); #448816=DIRECTION('',(0.,-1.,0.)); #448817=DIRECTION('center_axis',(0.,0.,-1.)); #448818=DIRECTION('ref_axis',(-1.,0.,0.)); #448819=DIRECTION('center_axis',(-1.,0.,2.142893705618E-16)); #448820=DIRECTION('ref_axis',(0.,-1.,0.)); #448821=DIRECTION('',(0.,1.,0.)); #448822=DIRECTION('',(2.142893705618E-16,0.,1.)); #448823=DIRECTION('center_axis',(0.,-1.,0.)); #448824=DIRECTION('ref_axis',(1.,0.,-2.22044604925E-16)); #448825=DIRECTION('',(-1.,0.,2.185478394931E-16)); #448826=DIRECTION('center_axis',(0.,-1.,0.)); #448827=DIRECTION('ref_axis',(-1.,0.,0.)); #448828=DIRECTION('',(0.,0.,-1.)); #448829=DIRECTION('',(1.,0.,-3.278217592397E-16)); #448830=DIRECTION('center_axis',(1.,0.,0.)); #448831=DIRECTION('ref_axis',(0.,-1.,0.)); #448832=DIRECTION('',(0.,1.,0.)); #448833=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,3.09073318638302E-16)); #448834=DIRECTION('ref_axis',(4.4059244441802E-16,3.49676543207147E-18, 1.)); #448835=DIRECTION('',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #448836=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448837=DIRECTION('',(-0.707106781186548,-0.707106781186548,2.31804988978752E-16)); #448838=DIRECTION('',(4.370956789863E-16,0.,1.)); #448839=DIRECTION('center_axis',(-1.,0.,4.370956789863E-16)); #448840=DIRECTION('ref_axis',(4.370956789863E-16,0.,1.)); #448841=DIRECTION('',(0.,1.,0.)); #448842=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448843=DIRECTION('',(0.,1.,0.)); #448844=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #448845=DIRECTION('ref_axis',(-2.20296222209045E-16,1.74838271600014E-18, -1.)); #448846=DIRECTION('',(-0.707106781186548,0.707106781186548,2.31804988978752E-16)); #448847=DIRECTION('',(2.185478394931E-16,0.,1.)); #448848=DIRECTION('',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #448849=DIRECTION('',(4.370956789863E-16,0.,1.)); #448850=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #448851=DIRECTION('ref_axis',(-2.20296222209045E-16,-1.74838271600014E-18, -1.)); #448852=DIRECTION('',(0.707106781186548,0.707106781186548,-2.31804988978752E-16)); #448853=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448854=DIRECTION('',(-0.707106781186548,-0.707106781186548,1.54536659319201E-16)); #448855=DIRECTION('',(2.185478394931E-16,0.,1.)); #448856=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.09073318638302E-16)); #448857=DIRECTION('ref_axis',(4.4059244441802E-16,-3.49676543207147E-18, 1.)); #448858=DIRECTION('',(-0.707106781186548,0.707106781186548,1.54536659319201E-16)); #448859=DIRECTION('',(0.707106781186548,-0.707106781186548,-2.31804988978752E-16)); #448860=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448861=DIRECTION('center_axis',(0.,1.,0.)); #448862=DIRECTION('ref_axis',(0.,0.,1.)); #448863=DIRECTION('',(1.,0.,-2.185478394931E-16)); #448864=DIRECTION('',(-1.,0.,3.278217592397E-16)); #448865=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #448866=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #448867=DIRECTION('',(0.,-1.,0.)); #448868=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #448869=DIRECTION('',(0.,1.,0.)); #448870=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #448871=DIRECTION('center_axis',(1.51525467060403E-16,-0.707106781186548, 0.707106781186548)); #448872=DIRECTION('ref_axis',(1.,1.83268433108748E-17,-1.95962527250865E-16)); #448873=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448874=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #448875=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448876=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #448877=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #448878=DIRECTION('',(0.,1.,0.)); #448879=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #448880=DIRECTION('',(0.,-1.,0.)); #448881=DIRECTION('center_axis',(1.51525467060403E-16,0.707106781186548, 0.707106781186548)); #448882=DIRECTION('ref_axis',(1.,-1.83268433108748E-17,-1.95962527250865E-16)); #448883=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448884=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448885=DIRECTION('center_axis',(0.,1.,0.)); #448886=DIRECTION('ref_axis',(-1.,0.,2.22044604925E-16)); #448887=DIRECTION('',(2.142893705618E-16,0.,1.)); #448888=DIRECTION('',(1.,0.,-2.185478394931E-16)); #448889=DIRECTION('',(2.142893705618E-16,0.,1.)); #448890=DIRECTION('center_axis',(1.,0.,-2.142893705618E-16)); #448891=DIRECTION('ref_axis',(0.,1.,0.)); #448892=DIRECTION('',(2.142893705618E-16,0.,1.)); #448893=DIRECTION('',(0.,-1.,0.)); #448894=DIRECTION('center_axis',(2.142893705618E-16,0.,1.)); #448895=DIRECTION('ref_axis',(1.,0.,-2.142893705618E-16)); #448896=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #448897=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #448898=DIRECTION('',(0.,-1.,0.)); #448899=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #448900=DIRECTION('',(0.,1.,0.)); #448901=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #448902=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #448903=DIRECTION('ref_axis',(-1.,0.,0.)); #448904=DIRECTION('',(1.,0.,0.)); #448905=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #448906=DIRECTION('',(-1.,0.,0.)); #448907=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #448908=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #448909=DIRECTION('',(0.,1.,0.)); #448910=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #448911=DIRECTION('',(0.,-1.,0.)); #448912=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186548)); #448913=DIRECTION('ref_axis',(-1.,0.,0.)); #448914=DIRECTION('',(1.,0.,0.)); #448915=DIRECTION('',(-1.,0.,0.)); #448916=DIRECTION('center_axis',(0.,1.,0.)); #448917=DIRECTION('ref_axis',(1.,0.,0.)); #448918=DIRECTION('',(0.,0.,-1.)); #448919=DIRECTION('',(-1.,0.,3.278217592397E-16)); #448920=DIRECTION('',(0.,0.,-1.)); #448921=DIRECTION('center_axis',(-1.,0.,0.)); #448922=DIRECTION('ref_axis',(0.,1.,0.)); #448923=DIRECTION('',(0.,0.,-1.)); #448924=DIRECTION('',(0.,-1.,0.)); #448925=DIRECTION('center_axis',(0.,0.,-1.)); #448926=DIRECTION('ref_axis',(-1.,0.,0.)); #448927=DIRECTION('center_axis',(-1.,0.,2.142893705618E-16)); #448928=DIRECTION('ref_axis',(0.,-1.,0.)); #448929=DIRECTION('',(0.,1.,0.)); #448930=DIRECTION('',(2.142893705618E-16,0.,1.)); #448931=DIRECTION('center_axis',(0.,-1.,0.)); #448932=DIRECTION('ref_axis',(1.,0.,-2.22044604925E-16)); #448933=DIRECTION('',(-1.,0.,2.185478394931E-16)); #448934=DIRECTION('center_axis',(0.,-1.,0.)); #448935=DIRECTION('ref_axis',(-1.,0.,0.)); #448936=DIRECTION('',(0.,0.,-1.)); #448937=DIRECTION('',(1.,0.,-3.278217592397E-16)); #448938=DIRECTION('center_axis',(1.,0.,0.)); #448939=DIRECTION('ref_axis',(0.,-1.,0.)); #448940=DIRECTION('',(0.,1.,0.)); #448941=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,3.09073318638302E-16)); #448942=DIRECTION('ref_axis',(4.35041329294857E-16,-2.0543496910915E-18, 1.)); #448943=DIRECTION('',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #448944=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448945=DIRECTION('',(-0.707106781186548,-0.707106781186548,2.31804988978752E-16)); #448946=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #448947=DIRECTION('ref_axis',(-2.20296222209045E-16,1.74838271600014E-18, -1.)); #448948=DIRECTION('',(-0.707106781186548,0.707106781186548,2.31804988978752E-16)); #448949=DIRECTION('',(2.185478394931E-16,0.,1.)); #448950=DIRECTION('',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #448951=DIRECTION('',(-2.185478394931E-16,0.,-1.)); #448952=DIRECTION('center_axis',(1.,0.,-2.185478394931E-16)); #448953=DIRECTION('ref_axis',(-2.185478394931E-16,0.,-1.)); #448954=DIRECTION('',(0.,1.,0.)); #448955=DIRECTION('',(2.185478394931E-16,0.,1.)); #448956=DIRECTION('',(0.,1.,0.)); #448957=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #448958=DIRECTION('ref_axis',(-2.20296222209045E-16,-1.74838271600014E-18, -1.)); #448959=DIRECTION('',(0.707106781186548,0.707106781186548,-2.31804988978752E-16)); #448960=DIRECTION('',(-0.707106781186548,-0.707106781186548,1.54536659319201E-16)); #448961=DIRECTION('',(2.185478394931E-16,0.,1.)); #448962=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.09073318638302E-16)); #448963=DIRECTION('ref_axis',(4.35041329294857E-16,2.0543496910915E-18, 1.)); #448964=DIRECTION('',(-0.707106781186548,0.707106781186548,1.54536659319201E-16)); #448965=DIRECTION('',(0.707106781186548,-0.707106781186548,-2.31804988978752E-16)); #448966=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #448967=DIRECTION('center_axis',(0.,1.,0.)); #448968=DIRECTION('ref_axis',(0.,0.,1.)); #448969=DIRECTION('',(1.,0.,-2.185478394931E-16)); #448970=DIRECTION('',(-1.,0.,3.278217592397E-16)); #448971=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #448972=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #448973=DIRECTION('',(0.,-1.,0.)); #448974=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #448975=DIRECTION('',(0.,1.,0.)); #448976=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #448977=DIRECTION('center_axis',(1.51525467060403E-16,-0.707106781186548, 0.707106781186548)); #448978=DIRECTION('ref_axis',(1.,1.83268433108748E-17,-1.95962527250865E-16)); #448979=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448980=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #448981=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448982=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #448983=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #448984=DIRECTION('',(0.,1.,0.)); #448985=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #448986=DIRECTION('',(0.,-1.,0.)); #448987=DIRECTION('center_axis',(1.51525467060403E-16,0.707106781186548, 0.707106781186548)); #448988=DIRECTION('ref_axis',(1.,-1.83268433108748E-17,-1.95962527250865E-16)); #448989=DIRECTION('',(-1.,0.,2.142893705618E-16)); #448990=DIRECTION('',(1.,0.,-2.142893705618E-16)); #448991=DIRECTION('center_axis',(0.,1.,0.)); #448992=DIRECTION('ref_axis',(-1.,0.,2.22044604925E-16)); #448993=DIRECTION('',(2.142893705618E-16,0.,1.)); #448994=DIRECTION('',(1.,0.,-2.185478394931E-16)); #448995=DIRECTION('',(2.142893705618E-16,0.,1.)); #448996=DIRECTION('center_axis',(1.,0.,-2.142893705618E-16)); #448997=DIRECTION('ref_axis',(0.,1.,0.)); #448998=DIRECTION('',(2.142893705618E-16,0.,1.)); #448999=DIRECTION('',(0.,-1.,0.)); #449000=DIRECTION('center_axis',(2.142893705618E-16,0.,1.)); #449001=DIRECTION('ref_axis',(1.,0.,-2.142893705618E-16)); #449002=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #449003=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #449004=DIRECTION('',(0.,-1.,0.)); #449005=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #449006=DIRECTION('',(0.,1.,0.)); #449007=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #449008=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #449009=DIRECTION('ref_axis',(-1.,0.,0.)); #449010=DIRECTION('',(1.,0.,0.)); #449011=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #449012=DIRECTION('',(-1.,0.,0.)); #449013=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #449014=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #449015=DIRECTION('',(0.,1.,0.)); #449016=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #449017=DIRECTION('',(0.,-1.,0.)); #449018=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186548)); #449019=DIRECTION('ref_axis',(-1.,0.,0.)); #449020=DIRECTION('',(1.,0.,0.)); #449021=DIRECTION('',(-1.,0.,0.)); #449022=DIRECTION('center_axis',(0.,1.,0.)); #449023=DIRECTION('ref_axis',(1.,0.,0.)); #449024=DIRECTION('',(0.,0.,-1.)); #449025=DIRECTION('',(-1.,0.,3.278217592397E-16)); #449026=DIRECTION('',(0.,0.,-1.)); #449027=DIRECTION('center_axis',(-1.,0.,0.)); #449028=DIRECTION('ref_axis',(0.,1.,0.)); #449029=DIRECTION('',(0.,0.,-1.)); #449030=DIRECTION('',(0.,-1.,0.)); #449031=DIRECTION('center_axis',(0.,0.,-1.)); #449032=DIRECTION('ref_axis',(-1.,0.,0.)); #449033=DIRECTION('center_axis',(-1.,0.,2.142893705618E-16)); #449034=DIRECTION('ref_axis',(0.,-1.,0.)); #449035=DIRECTION('',(0.,1.,0.)); #449036=DIRECTION('',(2.142893705618E-16,0.,1.)); #449037=DIRECTION('center_axis',(0.,-1.,0.)); #449038=DIRECTION('ref_axis',(1.,0.,-2.22044604925E-16)); #449039=DIRECTION('',(-1.,0.,2.185478394931E-16)); #449040=DIRECTION('center_axis',(0.,-1.,0.)); #449041=DIRECTION('ref_axis',(-1.,0.,0.)); #449042=DIRECTION('',(0.,0.,-1.)); #449043=DIRECTION('',(1.,0.,-3.278217592397E-16)); #449044=DIRECTION('center_axis',(1.,0.,0.)); #449045=DIRECTION('ref_axis',(0.,-1.,0.)); #449046=DIRECTION('',(0.,1.,0.)); #449047=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,3.09073318638302E-16)); #449048=DIRECTION('ref_axis',(4.4059244441802E-16,3.49676543207147E-18, 1.)); #449049=DIRECTION('',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #449050=DIRECTION('',(-0.707106781186548,-0.707106781186548,2.31804988978752E-16)); #449051=DIRECTION('',(4.370956789863E-16,0.,1.)); #449052=DIRECTION('center_axis',(-1.,0.,4.370956789863E-16)); #449053=DIRECTION('ref_axis',(4.370956789863E-16,0.,1.)); #449054=DIRECTION('',(0.,1.,0.)); #449055=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #449056=DIRECTION('',(0.,1.,0.)); #449057=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #449058=DIRECTION('ref_axis',(-2.20296222209045E-16,1.74838271600014E-18, -1.)); #449059=DIRECTION('',(-0.707106781186548,0.707106781186548,2.31804988978752E-16)); #449060=DIRECTION('',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #449061=DIRECTION('',(4.370956789863E-16,0.,1.)); #449062=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #449063=DIRECTION('ref_axis',(-2.20296222209045E-16,-1.74838271600014E-18, -1.)); #449064=DIRECTION('',(0.707106781186548,0.707106781186548,-2.31804988978752E-16)); #449065=DIRECTION('',(-4.370956789863E-16,0.,-1.)); #449066=DIRECTION('',(-0.707106781186548,-0.707106781186548,1.54536659319201E-16)); #449067=DIRECTION('',(-2.185478394931E-16,0.,-1.)); #449068=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.09073318638302E-16)); #449069=DIRECTION('ref_axis',(4.4059244441802E-16,-3.49676543207147E-18, 1.)); #449070=DIRECTION('',(-0.707106781186548,0.707106781186548,1.54536659319201E-16)); #449071=DIRECTION('',(0.707106781186548,-0.707106781186548,-2.31804988978752E-16)); #449072=DIRECTION('',(4.370956789863E-16,0.,1.)); #449073=DIRECTION('center_axis',(0.,-1.,0.)); #449074=DIRECTION('ref_axis',(0.,0.,-1.)); #449075=DIRECTION('',(1.,0.,-2.185478394931E-16)); #449076=DIRECTION('',(-1.,0.,3.278217592397E-16)); #449077=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #449078=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #449079=DIRECTION('',(0.,-1.,0.)); #449080=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #449081=DIRECTION('',(0.,1.,0.)); #449082=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #449083=DIRECTION('center_axis',(1.51525467060403E-16,-0.707106781186548, 0.707106781186548)); #449084=DIRECTION('ref_axis',(1.,1.83268433108748E-17,-1.95962527250865E-16)); #449085=DIRECTION('',(-1.,0.,2.142893705618E-16)); #449086=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #449087=DIRECTION('',(1.,0.,-2.142893705618E-16)); #449088=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #449089=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #449090=DIRECTION('',(0.,1.,0.)); #449091=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #449092=DIRECTION('',(0.,-1.,0.)); #449093=DIRECTION('center_axis',(1.51525467060403E-16,0.707106781186548, 0.707106781186548)); #449094=DIRECTION('ref_axis',(1.,-1.83268433108748E-17,-1.95962527250865E-16)); #449095=DIRECTION('',(-1.,0.,2.142893705618E-16)); #449096=DIRECTION('',(1.,0.,-2.142893705618E-16)); #449097=DIRECTION('center_axis',(0.,1.,0.)); #449098=DIRECTION('ref_axis',(-1.,0.,2.22044604925E-16)); #449099=DIRECTION('',(2.142893705618E-16,0.,1.)); #449100=DIRECTION('',(1.,0.,-2.185478394931E-16)); #449101=DIRECTION('',(2.142893705618E-16,0.,1.)); #449102=DIRECTION('center_axis',(1.,0.,-2.142893705618E-16)); #449103=DIRECTION('ref_axis',(0.,1.,0.)); #449104=DIRECTION('',(2.142893705618E-16,0.,1.)); #449105=DIRECTION('',(0.,-1.,0.)); #449106=DIRECTION('center_axis',(2.142893705618E-16,0.,1.)); #449107=DIRECTION('ref_axis',(1.,0.,-2.142893705618E-16)); #449108=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #449109=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #449110=DIRECTION('',(0.,-1.,0.)); #449111=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #449112=DIRECTION('',(0.,1.,0.)); #449113=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #449114=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #449115=DIRECTION('ref_axis',(-1.,0.,0.)); #449116=DIRECTION('',(1.,0.,0.)); #449117=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #449118=DIRECTION('',(-1.,0.,0.)); #449119=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #449120=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #449121=DIRECTION('',(0.,1.,0.)); #449122=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #449123=DIRECTION('',(0.,-1.,0.)); #449124=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186548)); #449125=DIRECTION('ref_axis',(-1.,0.,0.)); #449126=DIRECTION('',(1.,0.,0.)); #449127=DIRECTION('',(-1.,0.,0.)); #449128=DIRECTION('center_axis',(0.,1.,0.)); #449129=DIRECTION('ref_axis',(1.,0.,0.)); #449130=DIRECTION('',(0.,0.,-1.)); #449131=DIRECTION('',(-1.,0.,3.278217592397E-16)); #449132=DIRECTION('',(0.,0.,-1.)); #449133=DIRECTION('center_axis',(-1.,0.,0.)); #449134=DIRECTION('ref_axis',(0.,1.,0.)); #449135=DIRECTION('',(0.,0.,-1.)); #449136=DIRECTION('',(0.,-1.,0.)); #449137=DIRECTION('center_axis',(0.,0.,-1.)); #449138=DIRECTION('ref_axis',(-1.,0.,0.)); #449139=DIRECTION('center_axis',(-1.,0.,2.142893705618E-16)); #449140=DIRECTION('ref_axis',(0.,-1.,0.)); #449141=DIRECTION('',(0.,1.,0.)); #449142=DIRECTION('',(2.142893705618E-16,0.,1.)); #449143=DIRECTION('center_axis',(0.,-1.,0.)); #449144=DIRECTION('ref_axis',(1.,0.,-2.22044604925E-16)); #449145=DIRECTION('',(-1.,0.,2.185478394931E-16)); #449146=DIRECTION('center_axis',(0.,-1.,0.)); #449147=DIRECTION('ref_axis',(-1.,0.,0.)); #449148=DIRECTION('',(0.,0.,-1.)); #449149=DIRECTION('',(1.,0.,-3.278217592397E-16)); #449150=DIRECTION('center_axis',(1.,0.,0.)); #449151=DIRECTION('ref_axis',(0.,-1.,0.)); #449152=DIRECTION('',(0.,1.,0.)); #449153=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,3.09073318638302E-16)); #449154=DIRECTION('ref_axis',(4.35041329294857E-16,-2.0543496910915E-18, 1.)); #449155=DIRECTION('',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #449156=DIRECTION('',(-0.707106781186548,-0.707106781186548,2.31804988978752E-16)); #449157=DIRECTION('center_axis',(-3.278217592397E-16,0.,-1.)); #449158=DIRECTION('ref_axis',(-1.,0.,3.278217592397E-16)); #449159=DIRECTION('',(-0.707106781186548,0.707106781186548,2.31804988978752E-16)); #449160=DIRECTION('',(0.,1.,0.)); #449161=DIRECTION('',(0.707106781186548,0.707106781186548,-2.31804988978752E-16)); #449162=DIRECTION('',(-1.,0.,3.278217592397E-16)); #449163=DIRECTION('',(0.707106781186548,-0.707106781186548,-2.31804988978752E-16)); #449164=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,-1.54536659319201E-16)); #449165=DIRECTION('ref_axis',(-2.20296222209045E-16,1.74838271600014E-18, -1.)); #449166=DIRECTION('',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #449167=DIRECTION('',(-2.185478394931E-16,0.,-1.)); #449168=DIRECTION('center_axis',(1.,0.,-2.185478394931E-16)); #449169=DIRECTION('ref_axis',(-2.185478394931E-16,0.,-1.)); #449170=DIRECTION('',(0.,1.,0.)); #449171=DIRECTION('',(2.185478394931E-16,0.,1.)); #449172=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,-1.54536659319201E-16)); #449173=DIRECTION('ref_axis',(-2.20296222209045E-16,-1.74838271600014E-18, -1.)); #449174=DIRECTION('',(-0.707106781186548,-0.707106781186548,1.54536659319201E-16)); #449175=DIRECTION('',(-2.185478394931E-16,0.,-1.)); #449176=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 3.09073318638302E-16)); #449177=DIRECTION('ref_axis',(4.35041329294857E-16,2.0543496910915E-18, 1.)); #449178=DIRECTION('',(-0.707106781186548,0.707106781186548,1.54536659319201E-16)); #449179=DIRECTION('',(4.370956789863E-16,0.,1.)); #449180=DIRECTION('center_axis',(2.185478394931E-16,0.,1.)); #449181=DIRECTION('ref_axis',(1.,0.,-2.185478394931E-16)); #449182=DIRECTION('',(1.,0.,-2.185478394931E-16)); #449183=DIRECTION('center_axis',(0.,-1.,0.)); #449184=DIRECTION('ref_axis',(0.,0.,-1.)); #449185=DIRECTION('',(0.,0.,1.)); #449186=DIRECTION('',(1.,0.,0.)); #449187=DIRECTION('axis',(0.,0.,1.)); #449188=DIRECTION('refdir',(1.,0.,0.)); #449189=DIRECTION('axis',(0.,0.,1.)); #449190=DIRECTION('refdir',(1.,0.,0.)); #449191=DIRECTION('center_axis',(-1.,0.,0.)); #449192=DIRECTION('ref_axis',(0.,0.,-1.)); #449193=DIRECTION('center_axis',(-1.,0.,0.)); #449194=DIRECTION('ref_axis',(0.,0.,-1.)); #449195=DIRECTION('',(1.,0.,0.)); #449196=DIRECTION('center_axis',(1.,0.,0.)); #449197=DIRECTION('ref_axis',(0.,0.,-1.)); #449198=DIRECTION('center_axis',(1.,0.,0.)); #449199=DIRECTION('ref_axis',(0.,0.,-1.)); #449200=DIRECTION('center_axis',(1.,0.,0.)); #449201=DIRECTION('ref_axis',(0.,0.,-1.)); #449202=DIRECTION('center_axis',(-1.,0.,0.)); #449203=DIRECTION('ref_axis',(0.,0.,-1.)); #449204=DIRECTION('center_axis',(1.,0.,0.)); #449205=DIRECTION('ref_axis',(0.,0.,-1.)); #449206=DIRECTION('',(1.,0.,0.)); #449207=DIRECTION('center_axis',(-1.,0.,0.)); #449208=DIRECTION('ref_axis',(0.,0.,-1.)); #449209=DIRECTION('center_axis',(-1.,0.,0.)); #449210=DIRECTION('ref_axis',(0.,0.,-1.)); #449211=DIRECTION('center_axis',(-1.,0.,0.)); #449212=DIRECTION('ref_axis',(0.,0.,-1.)); #449213=DIRECTION('',(1.,0.,0.)); #449214=DIRECTION('center_axis',(1.,0.,0.)); #449215=DIRECTION('ref_axis',(0.,0.,-1.)); #449216=DIRECTION('center_axis',(1.,0.,0.)); #449217=DIRECTION('ref_axis',(0.,0.,-1.)); #449218=DIRECTION('center_axis',(1.,0.,0.)); #449219=DIRECTION('ref_axis',(0.,0.,-1.)); #449220=DIRECTION('center_axis',(-1.,0.,0.)); #449221=DIRECTION('ref_axis',(0.,0.,-1.)); #449222=DIRECTION('center_axis',(-1.,0.,0.)); #449223=DIRECTION('ref_axis',(0.,0.,-1.)); #449224=DIRECTION('',(1.,0.,0.)); #449225=DIRECTION('center_axis',(1.,0.,0.)); #449226=DIRECTION('ref_axis',(0.,0.,-1.)); #449227=DIRECTION('center_axis',(1.,0.,0.)); #449228=DIRECTION('ref_axis',(0.,0.,-1.)); #449229=DIRECTION('center_axis',(1.,0.,0.)); #449230=DIRECTION('ref_axis',(0.,0.,-1.)); #449231=DIRECTION('center_axis',(-1.,0.,0.)); #449232=DIRECTION('ref_axis',(0.,0.,-1.)); #449233=DIRECTION('center_axis',(-1.,0.,0.)); #449234=DIRECTION('ref_axis',(0.,0.,-1.)); #449235=DIRECTION('',(-1.,0.,0.)); #449236=DIRECTION('center_axis',(1.,0.,0.)); #449237=DIRECTION('ref_axis',(0.,0.,-1.)); #449238=DIRECTION('center_axis',(1.,0.,0.)); #449239=DIRECTION('ref_axis',(0.,0.,-1.)); #449240=DIRECTION('center_axis',(1.,0.,0.)); #449241=DIRECTION('ref_axis',(0.,0.,-1.)); #449242=DIRECTION('center_axis',(1.,0.,0.)); #449243=DIRECTION('ref_axis',(0.,0.,-1.)); #449244=DIRECTION('center_axis',(1.,0.,0.)); #449245=DIRECTION('ref_axis',(0.,0.,-1.)); #449246=DIRECTION('',(-1.,0.,0.)); #449247=DIRECTION('center_axis',(1.,0.,0.)); #449248=DIRECTION('ref_axis',(0.,0.,-1.)); #449249=DIRECTION('center_axis',(1.,0.,0.)); #449250=DIRECTION('ref_axis',(0.,0.,-1.)); #449251=DIRECTION('center_axis',(1.,0.,0.)); #449252=DIRECTION('ref_axis',(0.,0.,-1.)); #449253=DIRECTION('',(0.,0.,1.)); #449254=DIRECTION('',(1.,0.,0.)); #449255=DIRECTION('',(0.,0.,1.)); #449256=DIRECTION('',(1.,0.,0.)); #449257=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449258=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449259=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449260=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449261=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449262=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449263=DIRECTION('',(0.,0.,1.)); #449264=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449265=DIRECTION('',(0.,0.,1.)); #449266=DIRECTION('',(1.,0.,0.)); #449267=DIRECTION('',(0.,0.,1.)); #449268=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449269=DIRECTION('',(0.,0.,1.)); #449270=DIRECTION('',(1.,0.,0.)); #449271=DIRECTION('',(0.,0.,1.)); #449272=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #449273=DIRECTION('',(0.,0.,1.)); #449274=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #449275=DIRECTION('',(0.,0.,1.)); #449276=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #449277=DIRECTION('',(0.,0.,1.)); #449278=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #449279=DIRECTION('',(0.,0.,1.)); #449280=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449281=DIRECTION('',(0.,0.,1.)); #449282=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449283=DIRECTION('',(0.,0.,1.)); #449284=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449285=DIRECTION('',(0.,0.,1.)); #449286=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449287=DIRECTION('',(0.,0.,1.)); #449288=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449289=DIRECTION('',(0.,0.,1.)); #449290=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449291=DIRECTION('',(0.,0.,1.)); #449292=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449293=DIRECTION('',(0.,0.,1.)); #449294=DIRECTION('',(1.,0.,0.)); #449295=DIRECTION('',(0.,0.,1.)); #449296=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449297=DIRECTION('',(0.,0.,1.)); #449298=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449299=DIRECTION('',(0.,0.,1.)); #449300=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449301=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449302=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449303=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449304=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449305=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449306=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #449307=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449308=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449309=DIRECTION('',(0.,0.,1.)); #449310=DIRECTION('',(1.,0.,0.)); #449311=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449312=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449313=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449314=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #449315=DIRECTION('',(0.,0.,1.)); #449316=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449317=DIRECTION('',(0.,0.,1.)); #449318=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449319=DIRECTION('',(0.,0.,1.)); #449320=DIRECTION('',(1.,0.,0.)); #449321=DIRECTION('',(0.,0.,1.)); #449322=DIRECTION('',(1.,0.,0.)); #449323=DIRECTION('',(0.,0.,1.)); #449324=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449325=DIRECTION('',(0.,0.,1.)); #449326=DIRECTION('',(1.,0.,0.)); #449327=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449328=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449329=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449330=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449331=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449332=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449333=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449334=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449335=DIRECTION('',(0.,0.,1.)); #449336=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #449337=DIRECTION('',(0.,0.,1.)); #449338=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #449339=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449340=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449341=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449342=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449343=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449344=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #449345=DIRECTION('',(0.,0.,1.)); #449346=DIRECTION('',(1.,0.,0.)); #449347=DIRECTION('',(0.,0.,1.)); #449348=DIRECTION('',(1.,0.,0.)); #449349=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449350=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449351=DIRECTION('',(0.,0.,1.)); #449352=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449353=DIRECTION('',(0.,0.,1.)); #449354=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449355=DIRECTION('',(0.,0.,1.)); #449356=DIRECTION('',(1.,0.,0.)); #449357=DIRECTION('',(0.,0.,1.)); #449358=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449359=DIRECTION('',(0.,0.,1.)); #449360=DIRECTION('',(1.,0.,0.)); #449361=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449362=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #449363=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449364=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #449365=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449366=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #449367=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449368=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #449369=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449370=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449371=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449372=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #449373=DIRECTION('',(0.,0.,1.)); #449374=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449375=DIRECTION('',(0.,0.,1.)); #449376=DIRECTION('',(1.,0.,0.)); #449377=DIRECTION('',(0.,0.,1.)); #449378=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449379=DIRECTION('',(0.,0.,1.)); #449380=DIRECTION('',(1.,0.,0.)); #449381=DIRECTION('',(0.,0.,1.)); #449382=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #449383=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449384=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #449385=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #449386=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #449387=DIRECTION('',(0.,0.,1.)); #449388=DIRECTION('',(1.,0.,0.)); #449389=DIRECTION('',(0.,0.,1.)); #449390=DIRECTION('',(1.,0.,0.)); #449391=DIRECTION('',(0.,0.,1.)); #449392=DIRECTION('',(1.,0.,0.)); #449393=DIRECTION('',(0.,0.,1.)); #449394=DIRECTION('',(1.,0.,0.)); #449395=DIRECTION('',(0.,0.,1.)); #449396=DIRECTION('',(1.,0.,0.)); #449397=DIRECTION('',(0.,0.,1.)); #449398=DIRECTION('',(1.,0.,0.)); #449399=DIRECTION('',(0.,0.,1.)); #449400=DIRECTION('',(1.,0.,0.)); #449401=DIRECTION('',(0.,0.,1.)); #449402=DIRECTION('',(1.,0.,0.)); #449403=DIRECTION('',(0.,0.,1.)); #449404=DIRECTION('',(1.,0.,0.)); #449405=DIRECTION('center_axis',(0.,0.,1.)); #449406=DIRECTION('ref_axis',(1.,0.,0.)); #449407=CARTESIAN_POINT('',(0.,0.,0.)); #449408=CARTESIAN_POINT('',(0.,0.,0.)); #449409=CARTESIAN_POINT('Origin',(31.11,6.18,0.696999999999986)); #449410=CARTESIAN_POINT('',(30.785,6.18,1.5)); #449411=CARTESIAN_POINT('Origin',(31.11,6.18,1.5)); #449412=CARTESIAN_POINT('',(30.785,6.18,0.)); #449413=CARTESIAN_POINT('',(30.785,6.18,0.696999999999986)); #449414=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #449415=CARTESIAN_POINT('Origin',(36.89,6.18,0.696999999999986)); #449416=CARTESIAN_POINT('',(36.565,6.18,1.5)); #449417=CARTESIAN_POINT('Origin',(36.89,6.18,1.5)); #449418=CARTESIAN_POINT('',(36.565,6.18,0.)); #449419=CARTESIAN_POINT('',(36.565,6.18,0.696999999999986)); #449420=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #449421=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #449422=CARTESIAN_POINT('',(29.59,45.,0.)); #449423=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #449424=CARTESIAN_POINT('',(29.59,45.,1.49999999999999)); #449425=CARTESIAN_POINT('',(29.59,45.,-200.)); #449426=CARTESIAN_POINT('Origin',(30.19,45.,1.49999999999999)); #449427=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #449428=CARTESIAN_POINT('',(47.575,47.75,0.)); #449429=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #449430=CARTESIAN_POINT('',(47.575,47.75,1.49999999999999)); #449431=CARTESIAN_POINT('',(47.575,47.75,-200.)); #449432=CARTESIAN_POINT('Origin',(47.75,47.75,1.49999999999999)); #449433=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #449434=CARTESIAN_POINT('',(33.075,49.25,0.)); #449435=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #449436=CARTESIAN_POINT('',(33.075,49.25,1.49999999999999)); #449437=CARTESIAN_POINT('',(33.075,49.25,-200.)); #449438=CARTESIAN_POINT('Origin',(33.25,49.25,1.49999999999999)); #449439=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #449440=CARTESIAN_POINT('',(45.825,47.75,0.)); #449441=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #449442=CARTESIAN_POINT('',(45.825,47.75,1.49999999999999)); #449443=CARTESIAN_POINT('',(45.825,47.75,-200.)); #449444=CARTESIAN_POINT('Origin',(46.,47.75,1.49999999999999)); #449445=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #449446=CARTESIAN_POINT('',(33.825,18.5,0.)); #449447=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #449448=CARTESIAN_POINT('',(33.825,18.5,1.49999999999999)); #449449=CARTESIAN_POINT('',(33.825,18.5,-200.)); #449450=CARTESIAN_POINT('Origin',(34.,18.5,1.49999999999999)); #449451=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #449452=CARTESIAN_POINT('',(47.575,47.,0.)); #449453=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #449454=CARTESIAN_POINT('',(47.575,47.,1.49999999999999)); #449455=CARTESIAN_POINT('',(47.575,47.,-200.)); #449456=CARTESIAN_POINT('Origin',(47.75,47.,1.49999999999999)); #449457=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #449458=CARTESIAN_POINT('',(33.075,50.,0.)); #449459=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #449460=CARTESIAN_POINT('',(33.075,50.,1.49999999999999)); #449461=CARTESIAN_POINT('',(33.075,50.,-200.)); #449462=CARTESIAN_POINT('Origin',(33.25,50.,1.49999999999999)); #449463=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #449464=CARTESIAN_POINT('',(44.075,47.,0.)); #449465=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #449466=CARTESIAN_POINT('',(44.075,47.,1.49999999999999)); #449467=CARTESIAN_POINT('',(44.075,47.,-200.)); #449468=CARTESIAN_POINT('Origin',(44.25,47.,1.49999999999999)); #449469=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #449470=CARTESIAN_POINT('',(39.075,19.,0.)); #449471=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #449472=CARTESIAN_POINT('',(39.075,19.,1.49999999999999)); #449473=CARTESIAN_POINT('',(39.075,19.,-200.)); #449474=CARTESIAN_POINT('Origin',(39.25,19.,1.49999999999999)); #449475=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #449476=CARTESIAN_POINT('',(44.075,46.25,0.)); #449477=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #449478=CARTESIAN_POINT('',(44.075,46.25,1.49999999999999)); #449479=CARTESIAN_POINT('',(44.075,46.25,-200.)); #449480=CARTESIAN_POINT('Origin',(44.25,46.25,1.49999999999999)); #449481=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #449482=CARTESIAN_POINT('',(34.575,50.,0.)); #449483=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #449484=CARTESIAN_POINT('',(34.575,50.,1.49999999999999)); #449485=CARTESIAN_POINT('',(34.575,50.,-200.)); #449486=CARTESIAN_POINT('Origin',(34.75,50.,1.49999999999999)); #449487=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #449488=CARTESIAN_POINT('',(44.075,47.75,0.)); #449489=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #449490=CARTESIAN_POINT('',(44.075,47.75,1.49999999999999)); #449491=CARTESIAN_POINT('',(44.075,47.75,-200.)); #449492=CARTESIAN_POINT('Origin',(44.25,47.75,1.49999999999999)); #449493=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #449494=CARTESIAN_POINT('',(34.575,18.5,0.)); #449495=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #449496=CARTESIAN_POINT('',(34.575,18.5,1.49999999999999)); #449497=CARTESIAN_POINT('',(34.575,18.5,-200.)); #449498=CARTESIAN_POINT('Origin',(34.75,18.5,1.49999999999999)); #449499=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #449500=CARTESIAN_POINT('',(45.825,46.25,0.)); #449501=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #449502=CARTESIAN_POINT('',(45.825,46.25,1.49999999999999)); #449503=CARTESIAN_POINT('',(45.825,46.25,-200.)); #449504=CARTESIAN_POINT('Origin',(46.,46.25,1.49999999999999)); #449505=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #449506=CARTESIAN_POINT('',(33.825,50.,0.)); #449507=CARTESIAN_POINT('Origin',(34.,50.,0.)); #449508=CARTESIAN_POINT('',(33.825,50.,1.49999999999999)); #449509=CARTESIAN_POINT('',(33.825,50.,-200.)); #449510=CARTESIAN_POINT('Origin',(34.,50.,1.49999999999999)); #449511=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #449512=CARTESIAN_POINT('',(47.575,46.25,0.)); #449513=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #449514=CARTESIAN_POINT('',(47.575,46.25,1.49999999999999)); #449515=CARTESIAN_POINT('',(47.575,46.25,-200.)); #449516=CARTESIAN_POINT('Origin',(47.75,46.25,1.49999999999999)); #449517=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #449518=CARTESIAN_POINT('',(55.25,34.,0.)); #449519=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #449520=CARTESIAN_POINT('',(55.25,34.,1.49999999999999)); #449521=CARTESIAN_POINT('',(55.25,34.,-200.)); #449522=CARTESIAN_POINT('Origin',(55.75,34.,1.49999999999999)); #449523=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #449524=CARTESIAN_POINT('',(14.325,21.75,0.)); #449525=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #449526=CARTESIAN_POINT('',(14.325,21.75,1.49999999999999)); #449527=CARTESIAN_POINT('',(14.325,21.75,-200.)); #449528=CARTESIAN_POINT('Origin',(14.5,21.75,1.49999999999999)); #449529=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #449530=CARTESIAN_POINT('',(33.825,49.25,0.)); #449531=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #449532=CARTESIAN_POINT('',(33.825,49.25,1.49999999999999)); #449533=CARTESIAN_POINT('',(33.825,49.25,-200.)); #449534=CARTESIAN_POINT('Origin',(34.,49.25,1.49999999999999)); #449535=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #449536=CARTESIAN_POINT('',(14.325,21.,0.)); #449537=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #449538=CARTESIAN_POINT('',(14.325,21.,1.49999999999999)); #449539=CARTESIAN_POINT('',(14.325,21.,-200.)); #449540=CARTESIAN_POINT('Origin',(14.5,21.,1.49999999999999)); #449541=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #449542=CARTESIAN_POINT('',(35.325,17.75,0.)); #449543=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #449544=CARTESIAN_POINT('',(35.325,17.75,1.49999999999999)); #449545=CARTESIAN_POINT('',(35.325,17.75,-200.)); #449546=CARTESIAN_POINT('Origin',(35.5,17.75,1.49999999999999)); #449547=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #449548=CARTESIAN_POINT('',(15.825,20.25,0.)); #449549=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #449550=CARTESIAN_POINT('',(15.825,20.25,1.49999999999999)); #449551=CARTESIAN_POINT('',(15.825,20.25,-200.)); #449552=CARTESIAN_POINT('Origin',(16.,20.25,1.49999999999999)); #449553=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #449554=CARTESIAN_POINT('',(34.575,49.25,0.)); #449555=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #449556=CARTESIAN_POINT('',(34.575,49.25,1.49999999999999)); #449557=CARTESIAN_POINT('',(34.575,49.25,-200.)); #449558=CARTESIAN_POINT('Origin',(34.75,49.25,1.49999999999999)); #449559=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #449560=CARTESIAN_POINT('',(14.325,20.25,0.)); #449561=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #449562=CARTESIAN_POINT('',(14.325,20.25,1.49999999999999)); #449563=CARTESIAN_POINT('',(14.325,20.25,-200.)); #449564=CARTESIAN_POINT('Origin',(14.5,20.25,1.49999999999999)); #449565=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #449566=CARTESIAN_POINT('',(53.325,20.25,0.)); #449567=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #449568=CARTESIAN_POINT('',(53.325,20.25,1.49999999999999)); #449569=CARTESIAN_POINT('',(53.325,20.25,-200.)); #449570=CARTESIAN_POINT('Origin',(53.5,20.25,1.49999999999999)); #449571=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #449572=CARTESIAN_POINT('',(42.325,16.,0.)); #449573=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #449574=CARTESIAN_POINT('',(42.325,16.,1.49999999999999)); #449575=CARTESIAN_POINT('',(42.325,16.,-200.)); #449576=CARTESIAN_POINT('Origin',(42.5,16.,1.49999999999999)); #449577=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #449578=CARTESIAN_POINT('',(33.825,48.5,0.)); #449579=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #449580=CARTESIAN_POINT('',(33.825,48.5,1.49999999999999)); #449581=CARTESIAN_POINT('',(33.825,48.5,-200.)); #449582=CARTESIAN_POINT('Origin',(34.,48.5,1.49999999999999)); #449583=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #449584=CARTESIAN_POINT('',(39.075,18.25,0.)); #449585=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #449586=CARTESIAN_POINT('',(39.075,18.25,1.49999999999999)); #449587=CARTESIAN_POINT('',(39.075,18.25,-200.)); #449588=CARTESIAN_POINT('Origin',(39.25,18.25,1.49999999999999)); #449589=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #449590=CARTESIAN_POINT('',(35.325,18.5,0.)); #449591=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #449592=CARTESIAN_POINT('',(35.325,18.5,1.49999999999999)); #449593=CARTESIAN_POINT('',(35.325,18.5,-200.)); #449594=CARTESIAN_POINT('Origin',(35.5,18.5,1.49999999999999)); #449595=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #449596=CARTESIAN_POINT('',(41.825,36.,0.)); #449597=CARTESIAN_POINT('Origin',(42.,36.,0.)); #449598=CARTESIAN_POINT('',(41.825,36.,1.49999999999999)); #449599=CARTESIAN_POINT('',(41.825,36.,-200.)); #449600=CARTESIAN_POINT('Origin',(42.,36.,1.49999999999999)); #449601=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #449602=CARTESIAN_POINT('',(34.575,48.5,0.)); #449603=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #449604=CARTESIAN_POINT('',(34.575,48.5,1.49999999999999)); #449605=CARTESIAN_POINT('',(34.575,48.5,-200.)); #449606=CARTESIAN_POINT('Origin',(34.75,48.5,1.49999999999999)); #449607=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #449608=CARTESIAN_POINT('',(41.825,31.,0.)); #449609=CARTESIAN_POINT('Origin',(42.,31.,0.)); #449610=CARTESIAN_POINT('',(41.825,31.,1.49999999999999)); #449611=CARTESIAN_POINT('',(41.825,31.,-200.)); #449612=CARTESIAN_POINT('Origin',(42.,31.,1.49999999999999)); #449613=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #449614=CARTESIAN_POINT('',(23.7,34.,0.)); #449615=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #449616=CARTESIAN_POINT('',(23.7,34.,1.49999999999999)); #449617=CARTESIAN_POINT('',(23.7,34.,-200.)); #449618=CARTESIAN_POINT('Origin',(24.25,34.,1.49999999999999)); #449619=CARTESIAN_POINT('Origin',(34.375,29.625,-200.)); #449620=CARTESIAN_POINT('',(34.2,29.625,0.)); #449621=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #449622=CARTESIAN_POINT('',(34.2,29.625,1.49999999999999)); #449623=CARTESIAN_POINT('',(34.2,29.625,-200.)); #449624=CARTESIAN_POINT('Origin',(34.375,29.625,1.49999999999999)); #449625=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #449626=CARTESIAN_POINT('',(31.575,19.25,0.)); #449627=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #449628=CARTESIAN_POINT('',(31.575,19.25,1.49999999999999)); #449629=CARTESIAN_POINT('',(31.575,19.25,-200.)); #449630=CARTESIAN_POINT('Origin',(31.75,19.25,1.49999999999999)); #449631=CARTESIAN_POINT('Origin',(33.625,29.625,-200.)); #449632=CARTESIAN_POINT('',(33.45,29.625,0.)); #449633=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #449634=CARTESIAN_POINT('',(33.45,29.625,1.49999999999999)); #449635=CARTESIAN_POINT('',(33.45,29.625,-200.)); #449636=CARTESIAN_POINT('Origin',(33.625,29.625,1.49999999999999)); #449637=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #449638=CARTESIAN_POINT('',(33.075,17.75,0.)); #449639=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #449640=CARTESIAN_POINT('',(33.075,17.75,1.49999999999999)); #449641=CARTESIAN_POINT('',(33.075,17.75,-200.)); #449642=CARTESIAN_POINT('Origin',(33.25,17.75,1.49999999999999)); #449643=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #449644=CARTESIAN_POINT('',(30.03,7.07999999062179,1.49999999999999)); #449645=CARTESIAN_POINT('',(30.03,6.27999997186536,1.49999999999999)); #449646=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,1.5)); #449647=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #449648=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #449649=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #449650=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #449651=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #449652=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #449653=CARTESIAN_POINT('',(29.33,6.28000000937821,1.49999999999999)); #449654=CARTESIAN_POINT('Origin',(29.68,6.28,1.49999999999999)); #449655=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #449656=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #449657=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #449658=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #449659=CARTESIAN_POINT('',(29.33,7.08000002813464,1.49999999999999)); #449660=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,1.5)); #449661=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #449662=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #449663=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #449664=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #449665=CARTESIAN_POINT('Origin',(29.68,7.08,1.49999999999999)); #449666=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #449667=CARTESIAN_POINT('Origin',(34.375,28.875,-200.)); #449668=CARTESIAN_POINT('',(34.2,28.875,0.)); #449669=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #449670=CARTESIAN_POINT('',(34.2,28.875,1.49999999999999)); #449671=CARTESIAN_POINT('',(34.2,28.875,-200.)); #449672=CARTESIAN_POINT('Origin',(34.375,28.875,1.49999999999999)); #449673=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #449674=CARTESIAN_POINT('',(38.67,7.07999999062179,1.49999999999999)); #449675=CARTESIAN_POINT('',(38.67,6.27999997186536,1.49999999999999)); #449676=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,1.5)); #449677=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #449678=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #449679=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #449680=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #449681=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #449682=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #449683=CARTESIAN_POINT('',(37.97,6.28000000937821,1.49999999999999)); #449684=CARTESIAN_POINT('Origin',(38.32,6.28,1.49999999999999)); #449685=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #449686=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #449687=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #449688=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #449689=CARTESIAN_POINT('',(37.97,7.08000002813464,1.49999999999999)); #449690=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,1.5)); #449691=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #449692=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #449693=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #449694=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #449695=CARTESIAN_POINT('Origin',(38.32,7.08,1.49999999999999)); #449696=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #449697=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #449698=CARTESIAN_POINT('',(31.575,18.5,0.)); #449699=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #449700=CARTESIAN_POINT('',(31.575,18.5,1.49999999999999)); #449701=CARTESIAN_POINT('',(31.575,18.5,-200.)); #449702=CARTESIAN_POINT('Origin',(31.75,18.5,1.49999999999999)); #449703=CARTESIAN_POINT('Origin',(33.625,28.875,-200.)); #449704=CARTESIAN_POINT('',(33.45,28.875,0.)); #449705=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #449706=CARTESIAN_POINT('',(33.45,28.875,1.49999999999999)); #449707=CARTESIAN_POINT('',(33.45,28.875,-200.)); #449708=CARTESIAN_POINT('Origin',(33.625,28.875,1.49999999999999)); #449709=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #449710=CARTESIAN_POINT('',(51.825,20.25,0.)); #449711=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #449712=CARTESIAN_POINT('',(51.825,20.25,1.49999999999999)); #449713=CARTESIAN_POINT('',(51.825,20.25,-200.)); #449714=CARTESIAN_POINT('Origin',(52.,20.25,1.49999999999999)); #449715=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #449716=CARTESIAN_POINT('',(30.65,7.6,0.)); #449717=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #449718=CARTESIAN_POINT('',(30.65,7.6,1.49999999999999)); #449719=CARTESIAN_POINT('',(30.65,7.6,-200.)); #449720=CARTESIAN_POINT('Origin',(30.8,7.6,1.49999999999999)); #449721=CARTESIAN_POINT('Origin',(33.625,27.375,-200.)); #449722=CARTESIAN_POINT('',(33.45,27.375,0.)); #449723=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #449724=CARTESIAN_POINT('',(33.45,27.375,1.49999999999999)); #449725=CARTESIAN_POINT('',(33.45,27.375,-200.)); #449726=CARTESIAN_POINT('Origin',(33.625,27.375,1.49999999999999)); #449727=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #449728=CARTESIAN_POINT('',(30.65,7.1,0.)); #449729=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #449730=CARTESIAN_POINT('',(30.65,7.1,1.49999999999999)); #449731=CARTESIAN_POINT('',(30.65,7.1,-200.)); #449732=CARTESIAN_POINT('Origin',(30.8,7.1,1.49999999999999)); #449733=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #449734=CARTESIAN_POINT('',(31.575,17.,0.)); #449735=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #449736=CARTESIAN_POINT('',(31.575,17.,1.49999999999999)); #449737=CARTESIAN_POINT('',(31.575,17.,-200.)); #449738=CARTESIAN_POINT('Origin',(31.75,17.,1.49999999999999)); #449739=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #449740=CARTESIAN_POINT('',(37.05,7.1,0.)); #449741=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #449742=CARTESIAN_POINT('',(37.05,7.1,1.49999999999999)); #449743=CARTESIAN_POINT('',(37.05,7.1,-200.)); #449744=CARTESIAN_POINT('Origin',(37.2,7.1,1.49999999999999)); #449745=CARTESIAN_POINT('Origin',(34.375,27.375,-200.)); #449746=CARTESIAN_POINT('',(34.2,27.375,0.)); #449747=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #449748=CARTESIAN_POINT('',(34.2,27.375,1.49999999999999)); #449749=CARTESIAN_POINT('',(34.2,27.375,-200.)); #449750=CARTESIAN_POINT('Origin',(34.375,27.375,1.49999999999999)); #449751=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #449752=CARTESIAN_POINT('',(37.05,7.6,0.)); #449753=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #449754=CARTESIAN_POINT('',(37.05,7.6,1.49999999999999)); #449755=CARTESIAN_POINT('',(37.05,7.6,-200.)); #449756=CARTESIAN_POINT('Origin',(37.2,7.6,1.49999999999999)); #449757=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #449758=CARTESIAN_POINT('',(34.575,17.75,0.)); #449759=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #449760=CARTESIAN_POINT('',(34.575,17.75,1.49999999999999)); #449761=CARTESIAN_POINT('',(34.575,17.75,-200.)); #449762=CARTESIAN_POINT('Origin',(34.75,17.75,1.49999999999999)); #449763=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #449764=CARTESIAN_POINT('',(28.8500000160769,34.6,1.49999999999999)); #449765=CARTESIAN_POINT('',(29.4500000482308,34.6,1.49999999999999)); #449766=CARTESIAN_POINT('',(31.7250000241154,34.6,1.5)); #449767=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #449768=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #449769=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #449770=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #449771=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #449772=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #449773=CARTESIAN_POINT('',(29.4499999839231,33.4,1.49999999999999)); #449774=CARTESIAN_POINT('Origin',(29.45,34.,1.49999999999999)); #449775=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #449776=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #449777=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #449778=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #449779=CARTESIAN_POINT('',(28.8499999517692,33.4,1.49999999999999)); #449780=CARTESIAN_POINT('',(31.4249999758846,33.4,1.5)); #449781=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #449782=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #449783=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #449784=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #449785=CARTESIAN_POINT('Origin',(28.85,34.,1.49999999999999)); #449786=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #449787=CARTESIAN_POINT('Origin',(33.625,28.125,-200.)); #449788=CARTESIAN_POINT('',(33.45,28.125,0.)); #449789=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #449790=CARTESIAN_POINT('',(33.45,28.125,1.49999999999999)); #449791=CARTESIAN_POINT('',(33.45,28.125,-200.)); #449792=CARTESIAN_POINT('Origin',(33.625,28.125,1.49999999999999)); #449793=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #449794=CARTESIAN_POINT('',(38.5500000160769,34.6,1.49999999999999)); #449795=CARTESIAN_POINT('',(39.1500000482308,34.6,1.49999999999999)); #449796=CARTESIAN_POINT('',(36.5750000241154,34.6,1.5)); #449797=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #449798=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #449799=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #449800=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #449801=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #449802=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #449803=CARTESIAN_POINT('',(39.1499999839231,33.4,1.49999999999999)); #449804=CARTESIAN_POINT('Origin',(39.15,34.,1.49999999999999)); #449805=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #449806=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #449807=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #449808=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #449809=CARTESIAN_POINT('',(38.5499999517692,33.4,1.49999999999999)); #449810=CARTESIAN_POINT('',(36.2749999758846,33.4,1.5)); #449811=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #449812=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #449813=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #449814=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #449815=CARTESIAN_POINT('Origin',(38.55,34.,1.49999999999999)); #449816=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #449817=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #449818=CARTESIAN_POINT('',(31.575,17.75,0.)); #449819=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #449820=CARTESIAN_POINT('',(31.575,17.75,1.49999999999999)); #449821=CARTESIAN_POINT('',(31.575,17.75,-200.)); #449822=CARTESIAN_POINT('Origin',(31.75,17.75,1.49999999999999)); #449823=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #449824=CARTESIAN_POINT('',(30.03,2.89999999062179,1.49999999999999)); #449825=CARTESIAN_POINT('',(30.03,2.09999997186536,1.49999999999999)); #449826=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,1.5)); #449827=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #449828=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #449829=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #449830=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #449831=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #449832=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #449833=CARTESIAN_POINT('',(29.33,2.10000000937821,1.49999999999999)); #449834=CARTESIAN_POINT('Origin',(29.68,2.1,1.49999999999999)); #449835=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #449836=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #449837=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #449838=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #449839=CARTESIAN_POINT('',(29.33,2.90000002813464,1.49999999999999)); #449840=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,1.5)); #449841=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #449842=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #449843=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #449844=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #449845=CARTESIAN_POINT('Origin',(29.68,2.9,1.49999999999999)); #449846=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #449847=CARTESIAN_POINT('Origin',(34.375,28.125,-200.)); #449848=CARTESIAN_POINT('',(34.2,28.125,0.)); #449849=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #449850=CARTESIAN_POINT('',(34.2,28.125,1.49999999999999)); #449851=CARTESIAN_POINT('',(34.2,28.125,-200.)); #449852=CARTESIAN_POINT('Origin',(34.375,28.125,1.49999999999999)); #449853=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #449854=CARTESIAN_POINT('',(38.67,2.89999999062179,1.49999999999999)); #449855=CARTESIAN_POINT('',(38.67,2.09999997186536,1.49999999999999)); #449856=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,1.5)); #449857=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #449858=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #449859=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #449860=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #449861=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #449862=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #449863=CARTESIAN_POINT('',(37.97,2.10000000937821,1.49999999999999)); #449864=CARTESIAN_POINT('Origin',(38.32,2.1,1.49999999999999)); #449865=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #449866=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #449867=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #449868=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #449869=CARTESIAN_POINT('',(37.97,2.90000002813464,1.49999999999999)); #449870=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,1.5)); #449871=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #449872=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #449873=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #449874=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #449875=CARTESIAN_POINT('Origin',(38.32,2.9,1.49999999999999)); #449876=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #449877=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #449878=CARTESIAN_POINT('',(51.75,34.,0.)); #449879=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #449880=CARTESIAN_POINT('',(51.75,34.,1.49999999999999)); #449881=CARTESIAN_POINT('',(51.75,34.,-200.)); #449882=CARTESIAN_POINT('Origin',(52.25,34.,1.49999999999999)); #449883=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #449884=CARTESIAN_POINT('',(15.075,21.,0.)); #449885=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #449886=CARTESIAN_POINT('',(15.075,21.,1.49999999999999)); #449887=CARTESIAN_POINT('',(15.075,21.,-200.)); #449888=CARTESIAN_POINT('Origin',(15.25,21.,1.49999999999999)); #449889=CARTESIAN_POINT('Origin',(29.238,63.5,-200.)); #449890=CARTESIAN_POINT('',(29.063,63.5,0.)); #449891=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #449892=CARTESIAN_POINT('',(29.063,63.5,1.49999999999999)); #449893=CARTESIAN_POINT('',(29.063,63.5,-200.)); #449894=CARTESIAN_POINT('Origin',(29.238,63.5,1.49999999999999)); #449895=CARTESIAN_POINT('Origin',(33.625,26.625,-200.)); #449896=CARTESIAN_POINT('',(33.45,26.625,0.)); #449897=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #449898=CARTESIAN_POINT('',(33.45,26.625,1.49999999999999)); #449899=CARTESIAN_POINT('',(33.45,26.625,-200.)); #449900=CARTESIAN_POINT('Origin',(33.625,26.625,1.49999999999999)); #449901=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #449902=CARTESIAN_POINT('',(33.075,16.25,0.)); #449903=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #449904=CARTESIAN_POINT('',(33.075,16.25,1.49999999999999)); #449905=CARTESIAN_POINT('',(33.075,16.25,-200.)); #449906=CARTESIAN_POINT('Origin',(33.25,16.25,1.49999999999999)); #449907=CARTESIAN_POINT('Origin',(34.375,30.375,-200.)); #449908=CARTESIAN_POINT('',(34.2,30.375,0.)); #449909=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #449910=CARTESIAN_POINT('',(34.2,30.375,1.49999999999999)); #449911=CARTESIAN_POINT('',(34.2,30.375,-200.)); #449912=CARTESIAN_POINT('Origin',(34.375,30.375,1.49999999999999)); #449913=CARTESIAN_POINT('Origin',(49.875,39.625,-200.)); #449914=CARTESIAN_POINT('',(49.7,39.625,0.)); #449915=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #449916=CARTESIAN_POINT('',(49.7,39.625,1.49999999999999)); #449917=CARTESIAN_POINT('',(49.7,39.625,-200.)); #449918=CARTESIAN_POINT('Origin',(49.875,39.625,1.49999999999999)); #449919=CARTESIAN_POINT('Origin',(33.625,30.375,-200.)); #449920=CARTESIAN_POINT('',(33.45,30.375,0.)); #449921=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #449922=CARTESIAN_POINT('',(33.45,30.375,1.49999999999999)); #449923=CARTESIAN_POINT('',(33.45,30.375,-200.)); #449924=CARTESIAN_POINT('Origin',(33.625,30.375,1.49999999999999)); #449925=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #449926=CARTESIAN_POINT('',(52.575,20.25,0.)); #449927=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #449928=CARTESIAN_POINT('',(52.575,20.25,1.49999999999999)); #449929=CARTESIAN_POINT('',(52.575,20.25,-200.)); #449930=CARTESIAN_POINT('Origin',(52.75,20.25,1.49999999999999)); #449931=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #449932=CARTESIAN_POINT('',(15.075,20.25,0.)); #449933=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #449934=CARTESIAN_POINT('',(15.075,20.25,1.49999999999999)); #449935=CARTESIAN_POINT('',(15.075,20.25,-200.)); #449936=CARTESIAN_POINT('Origin',(15.25,20.25,1.49999999999999)); #449937=CARTESIAN_POINT('Origin',(32.349,63.5,-200.)); #449938=CARTESIAN_POINT('',(32.174,63.5,0.)); #449939=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #449940=CARTESIAN_POINT('',(32.174,63.5,1.49999999999999)); #449941=CARTESIAN_POINT('',(32.174,63.5,-200.)); #449942=CARTESIAN_POINT('Origin',(32.349,63.5,1.49999999999999)); #449943=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #449944=CARTESIAN_POINT('',(15.075,21.75,0.)); #449945=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #449946=CARTESIAN_POINT('',(15.075,21.75,1.49999999999999)); #449947=CARTESIAN_POINT('',(15.075,21.75,-200.)); #449948=CARTESIAN_POINT('Origin',(15.25,21.75,1.49999999999999)); #449949=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #449950=CARTESIAN_POINT('',(34.575,16.25,0.)); #449951=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #449952=CARTESIAN_POINT('',(34.575,16.25,1.49999999999999)); #449953=CARTESIAN_POINT('',(34.575,16.25,-200.)); #449954=CARTESIAN_POINT('Origin',(34.75,16.25,1.49999999999999)); #449955=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #449956=CARTESIAN_POINT('',(15.825,21.75,0.)); #449957=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #449958=CARTESIAN_POINT('',(15.825,21.75,1.49999999999999)); #449959=CARTESIAN_POINT('',(15.825,21.75,-200.)); #449960=CARTESIAN_POINT('Origin',(16.,21.75,1.49999999999999)); #449961=CARTESIAN_POINT('Origin',(32.349,54.5,-200.)); #449962=CARTESIAN_POINT('',(32.174,54.5,0.)); #449963=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #449964=CARTESIAN_POINT('',(32.174,54.5,1.49999999999999)); #449965=CARTESIAN_POINT('',(32.174,54.5,-200.)); #449966=CARTESIAN_POINT('Origin',(32.349,54.5,1.49999999999999)); #449967=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #449968=CARTESIAN_POINT('',(15.825,21.,0.)); #449969=CARTESIAN_POINT('Origin',(16.,21.,0.)); #449970=CARTESIAN_POINT('',(15.825,21.,1.49999999999999)); #449971=CARTESIAN_POINT('',(15.825,21.,-200.)); #449972=CARTESIAN_POINT('Origin',(16.,21.,1.49999999999999)); #449973=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #449974=CARTESIAN_POINT('',(34.67,45.,0.)); #449975=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #449976=CARTESIAN_POINT('',(34.67,45.,1.49999999999999)); #449977=CARTESIAN_POINT('',(34.67,45.,-200.)); #449978=CARTESIAN_POINT('Origin',(35.27,45.,1.49999999999999)); #449979=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #449980=CARTESIAN_POINT('',(26.575,31.,0.)); #449981=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #449982=CARTESIAN_POINT('',(26.575,31.,1.49999999999999)); #449983=CARTESIAN_POINT('',(26.575,31.,-200.)); #449984=CARTESIAN_POINT('Origin',(26.75,31.,1.49999999999999)); #449985=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #449986=CARTESIAN_POINT('',(9.325,34.,0.)); #449987=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #449988=CARTESIAN_POINT('',(9.325,34.,1.49999999999999)); #449989=CARTESIAN_POINT('',(9.325,34.,-200.)); #449990=CARTESIAN_POINT('Origin',(9.5,34.,1.49999999999999)); #449991=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #449992=CARTESIAN_POINT('',(26.575,36.,0.)); #449993=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #449994=CARTESIAN_POINT('',(26.575,36.,1.49999999999999)); #449995=CARTESIAN_POINT('',(26.575,36.,-200.)); #449996=CARTESIAN_POINT('Origin',(26.75,36.,1.49999999999999)); #449997=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #449998=CARTESIAN_POINT('',(36.075,14.75,0.)); #449999=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #450000=CARTESIAN_POINT('',(36.075,14.75,1.49999999999999)); #450001=CARTESIAN_POINT('',(36.075,14.75,-200.)); #450002=CARTESIAN_POINT('Origin',(36.25,14.75,1.49999999999999)); #450003=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #450004=CARTESIAN_POINT('',(16.45,47.,0.)); #450005=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #450006=CARTESIAN_POINT('',(16.45,47.,1.49999999999999)); #450007=CARTESIAN_POINT('',(16.45,47.,-200.)); #450008=CARTESIAN_POINT('Origin',(16.625,47.,1.49999999999999)); #450009=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #450010=CARTESIAN_POINT('',(10.075,34.,0.)); #450011=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #450012=CARTESIAN_POINT('',(10.075,34.,1.49999999999999)); #450013=CARTESIAN_POINT('',(10.075,34.,-200.)); #450014=CARTESIAN_POINT('Origin',(10.25,34.,1.49999999999999)); #450015=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #450016=CARTESIAN_POINT('',(16.45,46.25,0.)); #450017=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #450018=CARTESIAN_POINT('',(16.45,46.25,1.49999999999999)); #450019=CARTESIAN_POINT('',(16.45,46.25,-200.)); #450020=CARTESIAN_POINT('Origin',(16.625,46.25,1.49999999999999)); #450021=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #450022=CARTESIAN_POINT('',(33.825,17.,0.)); #450023=CARTESIAN_POINT('Origin',(34.,17.,0.)); #450024=CARTESIAN_POINT('',(33.825,17.,1.49999999999999)); #450025=CARTESIAN_POINT('',(33.825,17.,-200.)); #450026=CARTESIAN_POINT('Origin',(34.,17.,1.49999999999999)); #450027=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #450028=CARTESIAN_POINT('',(15.575,47.,0.)); #450029=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #450030=CARTESIAN_POINT('',(15.575,47.,1.49999999999999)); #450031=CARTESIAN_POINT('',(15.575,47.,-200.)); #450032=CARTESIAN_POINT('Origin',(15.75,47.,1.49999999999999)); #450033=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #450034=CARTESIAN_POINT('',(57.575,34.,0.)); #450035=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #450036=CARTESIAN_POINT('',(57.575,34.,1.49999999999999)); #450037=CARTESIAN_POINT('',(57.575,34.,-200.)); #450038=CARTESIAN_POINT('Origin',(57.75,34.,1.49999999999999)); #450039=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #450040=CARTESIAN_POINT('',(15.575,46.25,0.)); #450041=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #450042=CARTESIAN_POINT('',(15.575,46.25,1.49999999999999)); #450043=CARTESIAN_POINT('',(15.575,46.25,-200.)); #450044=CARTESIAN_POINT('Origin',(15.75,46.25,1.49999999999999)); #450045=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #450046=CARTESIAN_POINT('',(36.075,15.5,0.)); #450047=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #450048=CARTESIAN_POINT('',(36.075,15.5,1.49999999999999)); #450049=CARTESIAN_POINT('',(36.075,15.5,-200.)); #450050=CARTESIAN_POINT('Origin',(36.25,15.5,1.49999999999999)); #450051=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #450052=CARTESIAN_POINT('',(15.575,47.75,0.)); #450053=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #450054=CARTESIAN_POINT('',(15.575,47.75,1.49999999999999)); #450055=CARTESIAN_POINT('',(15.575,47.75,-200.)); #450056=CARTESIAN_POINT('Origin',(15.75,47.75,1.49999999999999)); #450057=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #450058=CARTESIAN_POINT('',(57.575,34.75,0.)); #450059=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #450060=CARTESIAN_POINT('',(57.575,34.75,1.49999999999999)); #450061=CARTESIAN_POINT('',(57.575,34.75,-200.)); #450062=CARTESIAN_POINT('Origin',(57.75,34.75,1.49999999999999)); #450063=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #450064=CARTESIAN_POINT('',(16.45,47.75,0.)); #450065=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #450066=CARTESIAN_POINT('',(16.45,47.75,1.49999999999999)); #450067=CARTESIAN_POINT('',(16.45,47.75,-200.)); #450068=CARTESIAN_POINT('Origin',(16.625,47.75,1.49999999999999)); #450069=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #450070=CARTESIAN_POINT('',(36.,27.75,0.)); #450071=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #450072=CARTESIAN_POINT('',(36.,27.75,1.49999999999999)); #450073=CARTESIAN_POINT('',(36.,27.75,-200.)); #450074=CARTESIAN_POINT('Origin',(36.5,27.75,1.49999999999999)); #450075=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #450076=CARTESIAN_POINT('',(19.2,47.,0.)); #450077=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #450078=CARTESIAN_POINT('',(19.2,47.,1.49999999999999)); #450079=CARTESIAN_POINT('',(19.2,47.,-200.)); #450080=CARTESIAN_POINT('Origin',(19.375,47.,1.49999999999999)); #450081=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #450082=CARTESIAN_POINT('',(61.825,34.,0.)); #450083=CARTESIAN_POINT('Origin',(62.,34.,0.)); #450084=CARTESIAN_POINT('',(61.825,34.,1.49999999999999)); #450085=CARTESIAN_POINT('',(61.825,34.,-200.)); #450086=CARTESIAN_POINT('Origin',(62.,34.,1.49999999999999)); #450087=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #450088=CARTESIAN_POINT('',(19.2,47.75,0.)); #450089=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #450090=CARTESIAN_POINT('',(19.2,47.75,1.49999999999999)); #450091=CARTESIAN_POINT('',(19.2,47.75,-200.)); #450092=CARTESIAN_POINT('Origin',(19.375,47.75,1.49999999999999)); #450093=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #450094=CARTESIAN_POINT('',(36.075,17.,0.)); #450095=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #450096=CARTESIAN_POINT('',(36.075,17.,1.49999999999999)); #450097=CARTESIAN_POINT('',(36.075,17.,-200.)); #450098=CARTESIAN_POINT('Origin',(36.25,17.,1.49999999999999)); #450099=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #450100=CARTESIAN_POINT('',(22.7,47.,0.)); #450101=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #450102=CARTESIAN_POINT('',(22.7,47.,1.49999999999999)); #450103=CARTESIAN_POINT('',(22.7,47.,-200.)); #450104=CARTESIAN_POINT('Origin',(22.875,47.,1.49999999999999)); #450105=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #450106=CARTESIAN_POINT('',(61.825,34.75,0.)); #450107=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #450108=CARTESIAN_POINT('',(61.825,34.75,1.49999999999999)); #450109=CARTESIAN_POINT('',(61.825,34.75,-200.)); #450110=CARTESIAN_POINT('Origin',(62.,34.75,1.49999999999999)); #450111=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #450112=CARTESIAN_POINT('',(22.7,47.75,0.)); #450113=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #450114=CARTESIAN_POINT('',(22.7,47.75,1.49999999999999)); #450115=CARTESIAN_POINT('',(22.7,47.75,-200.)); #450116=CARTESIAN_POINT('Origin',(22.875,47.75,1.49999999999999)); #450117=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #450118=CARTESIAN_POINT('',(37.075,8.75,0.)); #450119=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #450120=CARTESIAN_POINT('',(37.075,8.75,1.49999999999999)); #450121=CARTESIAN_POINT('',(37.075,8.75,-200.)); #450122=CARTESIAN_POINT('Origin',(37.25,8.75,1.49999999999999)); #450123=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #450124=CARTESIAN_POINT('',(17.325,47.75,0.)); #450125=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #450126=CARTESIAN_POINT('',(17.325,47.75,1.49999999999999)); #450127=CARTESIAN_POINT('',(17.325,47.75,-200.)); #450128=CARTESIAN_POINT('Origin',(17.5,47.75,1.49999999999999)); #450129=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #450130=CARTESIAN_POINT('',(61.825,33.25,0.)); #450131=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #450132=CARTESIAN_POINT('',(61.825,33.25,1.49999999999999)); #450133=CARTESIAN_POINT('',(61.825,33.25,-200.)); #450134=CARTESIAN_POINT('Origin',(62.,33.25,1.49999999999999)); #450135=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #450136=CARTESIAN_POINT('',(19.2,46.25,0.)); #450137=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #450138=CARTESIAN_POINT('',(19.2,46.25,1.49999999999999)); #450139=CARTESIAN_POINT('',(19.2,46.25,-200.)); #450140=CARTESIAN_POINT('Origin',(19.375,46.25,1.49999999999999)); #450141=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #450142=CARTESIAN_POINT('',(36.075,16.25,0.)); #450143=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #450144=CARTESIAN_POINT('',(36.075,16.25,1.49999999999999)); #450145=CARTESIAN_POINT('',(36.075,16.25,-200.)); #450146=CARTESIAN_POINT('Origin',(36.25,16.25,1.49999999999999)); #450147=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #450148=CARTESIAN_POINT('',(17.325,47.,0.)); #450149=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #450150=CARTESIAN_POINT('',(17.325,47.,1.49999999999999)); #450151=CARTESIAN_POINT('',(17.325,47.,-200.)); #450152=CARTESIAN_POINT('Origin',(17.5,47.,1.49999999999999)); #450153=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #450154=CARTESIAN_POINT('',(57.575,33.25,0.)); #450155=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #450156=CARTESIAN_POINT('',(57.575,33.25,1.49999999999999)); #450157=CARTESIAN_POINT('',(57.575,33.25,-200.)); #450158=CARTESIAN_POINT('Origin',(57.75,33.25,1.49999999999999)); #450159=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #450160=CARTESIAN_POINT('',(17.325,46.25,0.)); #450161=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #450162=CARTESIAN_POINT('',(17.325,46.25,1.49999999999999)); #450163=CARTESIAN_POINT('',(17.325,46.25,-200.)); #450164=CARTESIAN_POINT('Origin',(17.5,46.25,1.49999999999999)); #450165=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #450166=CARTESIAN_POINT('',(45.762,32.73,0.)); #450167=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #450168=CARTESIAN_POINT('',(45.762,32.73,1.49999999999999)); #450169=CARTESIAN_POINT('',(45.762,32.73,-200.)); #450170=CARTESIAN_POINT('Origin',(46.27,32.73,1.49999999999999)); #450171=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #450172=CARTESIAN_POINT('',(21.825,46.25,0.)); #450173=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #450174=CARTESIAN_POINT('',(21.825,46.25,1.49999999999999)); #450175=CARTESIAN_POINT('',(21.825,46.25,-200.)); #450176=CARTESIAN_POINT('Origin',(22.,46.25,1.49999999999999)); #450177=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #450178=CARTESIAN_POINT('',(58.325,34.75,0.)); #450179=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #450180=CARTESIAN_POINT('',(58.325,34.75,1.49999999999999)); #450181=CARTESIAN_POINT('',(58.325,34.75,-200.)); #450182=CARTESIAN_POINT('Origin',(58.5,34.75,1.49999999999999)); #450183=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #450184=CARTESIAN_POINT('',(23.575,46.25,0.)); #450185=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #450186=CARTESIAN_POINT('',(23.575,46.25,1.49999999999999)); #450187=CARTESIAN_POINT('',(23.575,46.25,-200.)); #450188=CARTESIAN_POINT('Origin',(23.75,46.25,1.49999999999999)); #450189=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #450190=CARTESIAN_POINT('',(36.075,19.25,0.)); #450191=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #450192=CARTESIAN_POINT('',(36.075,19.25,1.49999999999999)); #450193=CARTESIAN_POINT('',(36.075,19.25,-200.)); #450194=CARTESIAN_POINT('Origin',(36.25,19.25,1.49999999999999)); #450195=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #450196=CARTESIAN_POINT('',(20.075,47.75,0.)); #450197=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #450198=CARTESIAN_POINT('',(20.075,47.75,1.49999999999999)); #450199=CARTESIAN_POINT('',(20.075,47.75,-200.)); #450200=CARTESIAN_POINT('Origin',(20.25,47.75,1.49999999999999)); #450201=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #450202=CARTESIAN_POINT('',(58.325,34.,0.)); #450203=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #450204=CARTESIAN_POINT('',(58.325,34.,1.49999999999999)); #450205=CARTESIAN_POINT('',(58.325,34.,-200.)); #450206=CARTESIAN_POINT('Origin',(58.5,34.,1.49999999999999)); #450207=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #450208=CARTESIAN_POINT('',(23.575,47.75,0.)); #450209=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #450210=CARTESIAN_POINT('',(23.575,47.75,1.49999999999999)); #450211=CARTESIAN_POINT('',(23.575,47.75,-200.)); #450212=CARTESIAN_POINT('Origin',(23.75,47.75,1.49999999999999)); #450213=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #450214=CARTESIAN_POINT('',(36.325,9.5,0.)); #450215=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #450216=CARTESIAN_POINT('',(36.325,9.5,1.49999999999999)); #450217=CARTESIAN_POINT('',(36.325,9.5,-200.)); #450218=CARTESIAN_POINT('Origin',(36.5,9.5,1.49999999999999)); #450219=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #450220=CARTESIAN_POINT('',(18.325,46.25,0.)); #450221=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #450222=CARTESIAN_POINT('',(18.325,46.25,1.49999999999999)); #450223=CARTESIAN_POINT('',(18.325,46.25,-200.)); #450224=CARTESIAN_POINT('Origin',(18.5,46.25,1.49999999999999)); #450225=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #450226=CARTESIAN_POINT('',(13.325,21.,0.)); #450227=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #450228=CARTESIAN_POINT('',(13.325,21.,1.49999999999999)); #450229=CARTESIAN_POINT('',(13.325,21.,-200.)); #450230=CARTESIAN_POINT('Origin',(13.5,21.,1.49999999999999)); #450231=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #450232=CARTESIAN_POINT('',(18.325,47.,0.)); #450233=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #450234=CARTESIAN_POINT('',(18.325,47.,1.49999999999999)); #450235=CARTESIAN_POINT('',(18.325,47.,-200.)); #450236=CARTESIAN_POINT('Origin',(18.5,47.,1.49999999999999)); #450237=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #450238=CARTESIAN_POINT('',(35.325,19.25,0.)); #450239=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #450240=CARTESIAN_POINT('',(35.325,19.25,1.49999999999999)); #450241=CARTESIAN_POINT('',(35.325,19.25,-200.)); #450242=CARTESIAN_POINT('Origin',(35.5,19.25,1.49999999999999)); #450243=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #450244=CARTESIAN_POINT('',(18.325,47.75,0.)); #450245=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #450246=CARTESIAN_POINT('',(18.325,47.75,1.49999999999999)); #450247=CARTESIAN_POINT('',(18.325,47.75,-200.)); #450248=CARTESIAN_POINT('Origin',(18.5,47.75,1.49999999999999)); #450249=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #450250=CARTESIAN_POINT('',(13.325,20.25,0.)); #450251=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #450252=CARTESIAN_POINT('',(13.325,20.25,1.49999999999999)); #450253=CARTESIAN_POINT('',(13.325,20.25,-200.)); #450254=CARTESIAN_POINT('Origin',(13.5,20.25,1.49999999999999)); #450255=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #450256=CARTESIAN_POINT('',(20.075,46.25,0.)); #450257=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #450258=CARTESIAN_POINT('',(20.075,46.25,1.49999999999999)); #450259=CARTESIAN_POINT('',(20.075,46.25,-200.)); #450260=CARTESIAN_POINT('Origin',(20.25,46.25,1.49999999999999)); #450261=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #450262=CARTESIAN_POINT('',(31.,25.25,0.)); #450263=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #450264=CARTESIAN_POINT('',(31.,25.25,1.49999999999999)); #450265=CARTESIAN_POINT('',(31.,25.25,-200.)); #450266=CARTESIAN_POINT('Origin',(31.5,25.25,1.49999999999999)); #450267=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #450268=CARTESIAN_POINT('',(21.825,47.75,0.)); #450269=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #450270=CARTESIAN_POINT('',(21.825,47.75,1.49999999999999)); #450271=CARTESIAN_POINT('',(21.825,47.75,-200.)); #450272=CARTESIAN_POINT('Origin',(22.,47.75,1.49999999999999)); #450273=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #450274=CARTESIAN_POINT('',(61.075,33.25,0.)); #450275=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #450276=CARTESIAN_POINT('',(61.075,33.25,1.49999999999999)); #450277=CARTESIAN_POINT('',(61.075,33.25,-200.)); #450278=CARTESIAN_POINT('Origin',(61.25,33.25,1.49999999999999)); #450279=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #450280=CARTESIAN_POINT('',(21.825,47.,0.)); #450281=CARTESIAN_POINT('Origin',(22.,47.,0.)); #450282=CARTESIAN_POINT('',(21.825,47.,1.49999999999999)); #450283=CARTESIAN_POINT('',(21.825,47.,-200.)); #450284=CARTESIAN_POINT('Origin',(22.,47.,1.49999999999999)); #450285=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #450286=CARTESIAN_POINT('',(36.075,18.5,0.)); #450287=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #450288=CARTESIAN_POINT('',(36.075,18.5,1.49999999999999)); #450289=CARTESIAN_POINT('',(36.075,18.5,-200.)); #450290=CARTESIAN_POINT('Origin',(36.25,18.5,1.49999999999999)); #450291=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #450292=CARTESIAN_POINT('',(20.075,47.,0.)); #450293=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #450294=CARTESIAN_POINT('',(20.075,47.,1.49999999999999)); #450295=CARTESIAN_POINT('',(20.075,47.,-200.)); #450296=CARTESIAN_POINT('Origin',(20.25,47.,1.49999999999999)); #450297=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #450298=CARTESIAN_POINT('',(58.325,33.25,0.)); #450299=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #450300=CARTESIAN_POINT('',(58.325,33.25,1.49999999999999)); #450301=CARTESIAN_POINT('',(58.325,33.25,-200.)); #450302=CARTESIAN_POINT('Origin',(58.5,33.25,1.49999999999999)); #450303=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #450304=CARTESIAN_POINT('',(23.575,47.,0.)); #450305=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #450306=CARTESIAN_POINT('',(23.575,47.,1.49999999999999)); #450307=CARTESIAN_POINT('',(23.575,47.,-200.)); #450308=CARTESIAN_POINT('Origin',(23.75,47.,1.49999999999999)); #450309=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #450310=CARTESIAN_POINT('',(37.075,9.5,0.)); #450311=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #450312=CARTESIAN_POINT('',(37.075,9.5,1.49999999999999)); #450313=CARTESIAN_POINT('',(37.075,9.5,-200.)); #450314=CARTESIAN_POINT('Origin',(37.25,9.5,1.49999999999999)); #450315=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #450316=CARTESIAN_POINT('',(20.95,47.,0.)); #450317=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #450318=CARTESIAN_POINT('',(20.95,47.,1.49999999999999)); #450319=CARTESIAN_POINT('',(20.95,47.,-200.)); #450320=CARTESIAN_POINT('Origin',(21.125,47.,1.49999999999999)); #450321=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #450322=CARTESIAN_POINT('',(61.075,34.,0.)); #450323=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #450324=CARTESIAN_POINT('',(61.075,34.,1.49999999999999)); #450325=CARTESIAN_POINT('',(61.075,34.,-200.)); #450326=CARTESIAN_POINT('Origin',(61.25,34.,1.49999999999999)); #450327=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #450328=CARTESIAN_POINT('',(20.95,47.75,0.)); #450329=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #450330=CARTESIAN_POINT('',(20.95,47.75,1.49999999999999)); #450331=CARTESIAN_POINT('',(20.95,47.75,-200.)); #450332=CARTESIAN_POINT('Origin',(21.125,47.75,1.49999999999999)); #450333=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #450334=CARTESIAN_POINT('',(36.075,17.75,0.)); #450335=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #450336=CARTESIAN_POINT('',(36.075,17.75,1.49999999999999)); #450337=CARTESIAN_POINT('',(36.075,17.75,-200.)); #450338=CARTESIAN_POINT('Origin',(36.25,17.75,1.49999999999999)); #450339=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #450340=CARTESIAN_POINT('',(20.95,46.25,0.)); #450341=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #450342=CARTESIAN_POINT('',(20.95,46.25,1.49999999999999)); #450343=CARTESIAN_POINT('',(20.95,46.25,-200.)); #450344=CARTESIAN_POINT('Origin',(21.125,46.25,1.49999999999999)); #450345=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #450346=CARTESIAN_POINT('',(61.075,34.75,0.)); #450347=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #450348=CARTESIAN_POINT('',(61.075,34.75,1.49999999999999)); #450349=CARTESIAN_POINT('',(61.075,34.75,-200.)); #450350=CARTESIAN_POINT('Origin',(61.25,34.75,1.49999999999999)); #450351=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #450352=CARTESIAN_POINT('',(22.7,46.25,0.)); #450353=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #450354=CARTESIAN_POINT('',(22.7,46.25,1.49999999999999)); #450355=CARTESIAN_POINT('',(22.7,46.25,-200.)); #450356=CARTESIAN_POINT('Origin',(22.875,46.25,1.49999999999999)); #450357=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #450358=CARTESIAN_POINT('',(21.2,34.,0.)); #450359=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #450360=CARTESIAN_POINT('',(21.2,34.,1.49999999999999)); #450361=CARTESIAN_POINT('',(21.2,34.,-200.)); #450362=CARTESIAN_POINT('Origin',(21.75,34.,1.49999999999999)); #450363=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #450364=CARTESIAN_POINT('',(33.8875,12.25,0.)); #450365=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #450366=CARTESIAN_POINT('',(33.8875,12.25,1.49999999999999)); #450367=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #450368=CARTESIAN_POINT('Origin',(34.0625,12.25,1.49999999999999)); #450369=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #450370=CARTESIAN_POINT('',(6.325,33.25,0.)); #450371=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #450372=CARTESIAN_POINT('',(6.325,33.25,1.49999999999999)); #450373=CARTESIAN_POINT('',(6.325,33.25,-200.)); #450374=CARTESIAN_POINT('Origin',(6.5,33.25,1.49999999999999)); #450375=CARTESIAN_POINT('Origin',(34.75,56.5,-200.)); #450376=CARTESIAN_POINT('',(34.575,56.5,0.)); #450377=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #450378=CARTESIAN_POINT('',(34.575,56.5,1.49999999999999)); #450379=CARTESIAN_POINT('',(34.575,56.5,-200.)); #450380=CARTESIAN_POINT('Origin',(34.75,56.5,1.49999999999999)); #450381=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #450382=CARTESIAN_POINT('',(33.075,14.75,0.)); #450383=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #450384=CARTESIAN_POINT('',(33.075,14.75,1.49999999999999)); #450385=CARTESIAN_POINT('',(33.075,14.75,-200.)); #450386=CARTESIAN_POINT('Origin',(33.25,14.75,1.49999999999999)); #450387=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #450388=CARTESIAN_POINT('',(41.075,13.0029,0.)); #450389=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #450390=CARTESIAN_POINT('',(41.075,13.0029,1.49999999999999)); #450391=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #450392=CARTESIAN_POINT('Origin',(41.25,13.0029,1.49999999999999)); #450393=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #450394=CARTESIAN_POINT('',(7.075,33.25,0.)); #450395=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #450396=CARTESIAN_POINT('',(7.075,33.25,1.49999999999999)); #450397=CARTESIAN_POINT('',(7.075,33.25,-200.)); #450398=CARTESIAN_POINT('Origin',(7.25,33.25,1.49999999999999)); #450399=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #450400=CARTESIAN_POINT('',(39.075,15.75,0.)); #450401=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #450402=CARTESIAN_POINT('',(39.075,15.75,1.49999999999999)); #450403=CARTESIAN_POINT('',(39.075,15.75,-200.)); #450404=CARTESIAN_POINT('Origin',(39.25,15.75,1.49999999999999)); #450405=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #450406=CARTESIAN_POINT('',(34.575,17.,0.)); #450407=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #450408=CARTESIAN_POINT('',(34.575,17.,1.49999999999999)); #450409=CARTESIAN_POINT('',(34.575,17.,-200.)); #450410=CARTESIAN_POINT('Origin',(34.75,17.,1.49999999999999)); #450411=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #450412=CARTESIAN_POINT('',(34.7625,12.25,0.)); #450413=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #450414=CARTESIAN_POINT('',(34.7625,12.25,1.49999999999999)); #450415=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #450416=CARTESIAN_POINT('Origin',(34.9375,12.25,1.49999999999999)); #450417=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #450418=CARTESIAN_POINT('',(7.075,34.75,0.)); #450419=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #450420=CARTESIAN_POINT('',(7.075,34.75,1.49999999999999)); #450421=CARTESIAN_POINT('',(7.075,34.75,-200.)); #450422=CARTESIAN_POINT('Origin',(7.25,34.75,1.49999999999999)); #450423=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #450424=CARTESIAN_POINT('',(38.587,60.25,0.)); #450425=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #450426=CARTESIAN_POINT('',(38.587,60.25,1.49999999999999)); #450427=CARTESIAN_POINT('',(38.587,60.25,-200.)); #450428=CARTESIAN_POINT('Origin',(38.762,60.25,1.49999999999999)); #450429=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #450430=CARTESIAN_POINT('',(33.825,14.75,0.)); #450431=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #450432=CARTESIAN_POINT('',(33.825,14.75,1.49999999999999)); #450433=CARTESIAN_POINT('',(33.825,14.75,-200.)); #450434=CARTESIAN_POINT('Origin',(34.,14.75,1.49999999999999)); #450435=CARTESIAN_POINT('Origin',(34.,56.5,-200.)); #450436=CARTESIAN_POINT('',(33.825,56.5,0.)); #450437=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #450438=CARTESIAN_POINT('',(33.825,56.5,1.49999999999999)); #450439=CARTESIAN_POINT('',(33.825,56.5,-200.)); #450440=CARTESIAN_POINT('Origin',(34.,56.5,1.49999999999999)); #450441=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #450442=CARTESIAN_POINT('',(7.075,34.,0.)); #450443=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #450444=CARTESIAN_POINT('',(7.075,34.,1.49999999999999)); #450445=CARTESIAN_POINT('',(7.075,34.,-200.)); #450446=CARTESIAN_POINT('Origin',(7.25,34.,1.49999999999999)); #450447=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #450448=CARTESIAN_POINT('',(42.325,14.25,0.)); #450449=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #450450=CARTESIAN_POINT('',(42.325,14.25,1.49999999999999)); #450451=CARTESIAN_POINT('',(42.325,14.25,-200.)); #450452=CARTESIAN_POINT('Origin',(42.5,14.25,1.49999999999999)); #450453=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #450454=CARTESIAN_POINT('',(31.,27.75,0.)); #450455=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #450456=CARTESIAN_POINT('',(31.,27.75,1.49999999999999)); #450457=CARTESIAN_POINT('',(31.,27.75,-200.)); #450458=CARTESIAN_POINT('Origin',(31.5,27.75,1.49999999999999)); #450459=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #450460=CARTESIAN_POINT('',(30.325,11.25,0.)); #450461=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #450462=CARTESIAN_POINT('',(30.325,11.25,1.49999999999999)); #450463=CARTESIAN_POINT('',(30.325,11.25,-200.)); #450464=CARTESIAN_POINT('Origin',(30.5,11.25,1.49999999999999)); #450465=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #450466=CARTESIAN_POINT('',(10.075,33.25,0.)); #450467=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #450468=CARTESIAN_POINT('',(10.075,33.25,1.49999999999999)); #450469=CARTESIAN_POINT('',(10.075,33.25,-200.)); #450470=CARTESIAN_POINT('Origin',(10.25,33.25,1.49999999999999)); #450471=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #450472=CARTESIAN_POINT('',(35.575,11.25,0.)); #450473=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #450474=CARTESIAN_POINT('',(35.575,11.25,1.49999999999999)); #450475=CARTESIAN_POINT('',(35.575,11.25,-200.)); #450476=CARTESIAN_POINT('Origin',(35.75,11.25,1.49999999999999)); #450477=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #450478=CARTESIAN_POINT('',(35.325,14.75,0.)); #450479=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #450480=CARTESIAN_POINT('',(35.325,14.75,1.49999999999999)); #450481=CARTESIAN_POINT('',(35.325,14.75,-200.)); #450482=CARTESIAN_POINT('Origin',(35.5,14.75,1.49999999999999)); #450483=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #450484=CARTESIAN_POINT('',(25.825,36.,0.)); #450485=CARTESIAN_POINT('Origin',(26.,36.,0.)); #450486=CARTESIAN_POINT('',(25.825,36.,1.49999999999999)); #450487=CARTESIAN_POINT('',(25.825,36.,-200.)); #450488=CARTESIAN_POINT('Origin',(26.,36.,1.49999999999999)); #450489=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #450490=CARTESIAN_POINT('',(9.325,33.25,0.)); #450491=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #450492=CARTESIAN_POINT('',(9.325,33.25,1.49999999999999)); #450493=CARTESIAN_POINT('',(9.325,33.25,-200.)); #450494=CARTESIAN_POINT('Origin',(9.5,33.25,1.49999999999999)); #450495=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #450496=CARTESIAN_POINT('',(25.825,31.,0.)); #450497=CARTESIAN_POINT('Origin',(26.,31.,0.)); #450498=CARTESIAN_POINT('',(25.825,31.,1.49999999999999)); #450499=CARTESIAN_POINT('',(25.825,31.,-200.)); #450500=CARTESIAN_POINT('Origin',(26.,31.,1.49999999999999)); #450501=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #450502=CARTESIAN_POINT('',(33.825,17.75,0.)); #450503=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #450504=CARTESIAN_POINT('',(33.825,17.75,1.49999999999999)); #450505=CARTESIAN_POINT('',(33.825,17.75,-200.)); #450506=CARTESIAN_POINT('Origin',(34.,17.75,1.49999999999999)); #450507=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #450508=CARTESIAN_POINT('',(30.325,10.5,0.)); #450509=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #450510=CARTESIAN_POINT('',(30.325,10.5,1.49999999999999)); #450511=CARTESIAN_POINT('',(30.325,10.5,-200.)); #450512=CARTESIAN_POINT('Origin',(30.5,10.5,1.49999999999999)); #450513=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #450514=CARTESIAN_POINT('',(10.075,34.75,0.)); #450515=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #450516=CARTESIAN_POINT('',(10.075,34.75,1.49999999999999)); #450517=CARTESIAN_POINT('',(10.075,34.75,-200.)); #450518=CARTESIAN_POINT('Origin',(10.25,34.75,1.49999999999999)); #450519=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #450520=CARTESIAN_POINT('',(36.325,10.5,0.)); #450521=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #450522=CARTESIAN_POINT('',(36.325,10.5,1.49999999999999)); #450523=CARTESIAN_POINT('',(36.325,10.5,-200.)); #450524=CARTESIAN_POINT('Origin',(36.5,10.5,1.49999999999999)); #450525=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #450526=CARTESIAN_POINT('',(34.575,14.75,0.)); #450527=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #450528=CARTESIAN_POINT('',(34.575,14.75,1.49999999999999)); #450529=CARTESIAN_POINT('',(34.575,14.75,-200.)); #450530=CARTESIAN_POINT('Origin',(34.75,14.75,1.49999999999999)); #450531=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #450532=CARTESIAN_POINT('',(30.587,60.25,0.)); #450533=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #450534=CARTESIAN_POINT('',(30.587,60.25,1.49999999999999)); #450535=CARTESIAN_POINT('',(30.587,60.25,-200.)); #450536=CARTESIAN_POINT('Origin',(30.762,60.25,1.49999999999999)); #450537=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #450538=CARTESIAN_POINT('',(9.325,34.75,0.)); #450539=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #450540=CARTESIAN_POINT('',(9.325,34.75,1.49999999999999)); #450541=CARTESIAN_POINT('',(9.325,34.75,-200.)); #450542=CARTESIAN_POINT('Origin',(9.5,34.75,1.49999999999999)); #450543=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #450544=CARTESIAN_POINT('',(34.587,60.25,0.)); #450545=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #450546=CARTESIAN_POINT('',(34.587,60.25,1.49999999999999)); #450547=CARTESIAN_POINT('',(34.587,60.25,-200.)); #450548=CARTESIAN_POINT('Origin',(34.762,60.25,1.49999999999999)); #450549=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #450550=CARTESIAN_POINT('',(45.762,35.27,0.)); #450551=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #450552=CARTESIAN_POINT('',(45.762,35.27,1.49999999999999)); #450553=CARTESIAN_POINT('',(45.762,35.27,-200.)); #450554=CARTESIAN_POINT('Origin',(46.27,35.27,1.49999999999999)); #450555=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #450556=CARTESIAN_POINT('',(51.825,21.,0.)); #450557=CARTESIAN_POINT('Origin',(52.,21.,0.)); #450558=CARTESIAN_POINT('',(51.825,21.,1.49999999999999)); #450559=CARTESIAN_POINT('',(51.825,21.,-200.)); #450560=CARTESIAN_POINT('Origin',(52.,21.,1.49999999999999)); #450561=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #450562=CARTESIAN_POINT('',(33.575,6.2426,0.)); #450563=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #450564=CARTESIAN_POINT('',(33.575,6.2426,1.49999999999999)); #450565=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #450566=CARTESIAN_POINT('Origin',(33.75,6.2426,1.49999999999999)); #450567=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #450568=CARTESIAN_POINT('',(52.575,21.,0.)); #450569=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #450570=CARTESIAN_POINT('',(52.575,21.,1.49999999999999)); #450571=CARTESIAN_POINT('',(52.575,21.,-200.)); #450572=CARTESIAN_POINT('Origin',(52.75,21.,1.49999999999999)); #450573=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #450574=CARTESIAN_POINT('',(31.575,14.75,0.)); #450575=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #450576=CARTESIAN_POINT('',(31.575,14.75,1.49999999999999)); #450577=CARTESIAN_POINT('',(31.575,14.75,-200.)); #450578=CARTESIAN_POINT('Origin',(31.75,14.75,1.49999999999999)); #450579=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #450580=CARTESIAN_POINT('',(37.325,21.25,0.)); #450581=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #450582=CARTESIAN_POINT('',(37.325,21.25,1.49999999999999)); #450583=CARTESIAN_POINT('',(37.325,21.25,-200.)); #450584=CARTESIAN_POINT('Origin',(37.5,21.25,1.49999999999999)); #450585=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #450586=CARTESIAN_POINT('',(32.575,8.25,0.)); #450587=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #450588=CARTESIAN_POINT('',(32.575,8.25,1.49999999999999)); #450589=CARTESIAN_POINT('',(32.575,8.25,-200.)); #450590=CARTESIAN_POINT('Origin',(32.75,8.25,1.49999999999999)); #450591=CARTESIAN_POINT('Origin',(33.25,56.5,-200.)); #450592=CARTESIAN_POINT('',(33.075,56.5,0.)); #450593=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #450594=CARTESIAN_POINT('',(33.075,56.5,1.49999999999999)); #450595=CARTESIAN_POINT('',(33.075,56.5,-200.)); #450596=CARTESIAN_POINT('Origin',(33.25,56.5,1.49999999999999)); #450597=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #450598=CARTESIAN_POINT('',(33.825,16.25,0.)); #450599=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #450600=CARTESIAN_POINT('',(33.825,16.25,1.49999999999999)); #450601=CARTESIAN_POINT('',(33.825,16.25,-200.)); #450602=CARTESIAN_POINT('Origin',(34.,16.25,1.49999999999999)); #450603=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #450604=CARTESIAN_POINT('',(27.075,24.375,0.)); #450605=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #450606=CARTESIAN_POINT('',(27.075,24.375,1.49999999999999)); #450607=CARTESIAN_POINT('',(27.075,24.375,-200.)); #450608=CARTESIAN_POINT('Origin',(27.25,24.375,1.49999999999999)); #450609=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #450610=CARTESIAN_POINT('',(6.325,34.,0.)); #450611=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #450612=CARTESIAN_POINT('',(6.325,34.,1.49999999999999)); #450613=CARTESIAN_POINT('',(6.325,34.,-200.)); #450614=CARTESIAN_POINT('Origin',(6.5,34.,1.49999999999999)); #450615=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #450616=CARTESIAN_POINT('',(27.587,19.,0.)); #450617=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #450618=CARTESIAN_POINT('',(27.587,19.,1.49999999999999)); #450619=CARTESIAN_POINT('',(27.587,19.,-200.)); #450620=CARTESIAN_POINT('Origin',(27.762,19.,1.49999999999999)); #450621=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #450622=CARTESIAN_POINT('',(32.325,14.75,0.)); #450623=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #450624=CARTESIAN_POINT('',(32.325,14.75,1.49999999999999)); #450625=CARTESIAN_POINT('',(32.325,14.75,-200.)); #450626=CARTESIAN_POINT('Origin',(32.5,14.75,1.49999999999999)); #450627=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #450628=CARTESIAN_POINT('',(24.825,26.625,0.)); #450629=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #450630=CARTESIAN_POINT('',(24.825,26.625,1.49999999999999)); #450631=CARTESIAN_POINT('',(24.825,26.625,-200.)); #450632=CARTESIAN_POINT('Origin',(25.,26.625,1.49999999999999)); #450633=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #450634=CARTESIAN_POINT('',(6.325,34.75,0.)); #450635=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #450636=CARTESIAN_POINT('',(6.325,34.75,1.49999999999999)); #450637=CARTESIAN_POINT('',(6.325,34.75,-200.)); #450638=CARTESIAN_POINT('Origin',(6.5,34.75,1.49999999999999)); #450639=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #450640=CARTESIAN_POINT('',(30.825,13.,0.)); #450641=CARTESIAN_POINT('Origin',(31.,13.,0.)); #450642=CARTESIAN_POINT('',(30.825,13.,1.49999999999999)); #450643=CARTESIAN_POINT('',(30.825,13.,-200.)); #450644=CARTESIAN_POINT('Origin',(31.,13.,1.49999999999999)); #450645=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #450646=CARTESIAN_POINT('',(39.075,17.5,0.)); #450647=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #450648=CARTESIAN_POINT('',(39.075,17.5,1.49999999999999)); #450649=CARTESIAN_POINT('',(39.075,17.5,-200.)); #450650=CARTESIAN_POINT('Origin',(39.25,17.5,1.49999999999999)); #450651=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #450652=CARTESIAN_POINT('',(51.825,21.75,0.)); #450653=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #450654=CARTESIAN_POINT('',(51.825,21.75,1.49999999999999)); #450655=CARTESIAN_POINT('',(51.825,21.75,-200.)); #450656=CARTESIAN_POINT('Origin',(52.,21.75,1.49999999999999)); #450657=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #450658=CARTESIAN_POINT('',(35.075,6.25,0.)); #450659=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #450660=CARTESIAN_POINT('',(35.075,6.25,1.49999999999999)); #450661=CARTESIAN_POINT('',(35.075,6.25,-200.)); #450662=CARTESIAN_POINT('Origin',(35.25,6.25,1.49999999999999)); #450663=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #450664=CARTESIAN_POINT('',(52.575,21.75,0.)); #450665=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #450666=CARTESIAN_POINT('',(52.575,21.75,1.49999999999999)); #450667=CARTESIAN_POINT('',(52.575,21.75,-200.)); #450668=CARTESIAN_POINT('Origin',(52.75,21.75,1.49999999999999)); #450669=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #450670=CARTESIAN_POINT('',(31.575,15.5,0.)); #450671=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #450672=CARTESIAN_POINT('',(31.575,15.5,1.49999999999999)); #450673=CARTESIAN_POINT('',(31.575,15.5,-200.)); #450674=CARTESIAN_POINT('Origin',(31.75,15.5,1.49999999999999)); #450675=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #450676=CARTESIAN_POINT('',(53.325,21.,0.)); #450677=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #450678=CARTESIAN_POINT('',(53.325,21.,1.49999999999999)); #450679=CARTESIAN_POINT('',(53.325,21.,-200.)); #450680=CARTESIAN_POINT('Origin',(53.5,21.,1.49999999999999)); #450681=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #450682=CARTESIAN_POINT('',(34.075,8.25,0.)); #450683=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #450684=CARTESIAN_POINT('',(34.075,8.25,1.49999999999999)); #450685=CARTESIAN_POINT('',(34.075,8.25,-200.)); #450686=CARTESIAN_POINT('Origin',(34.25,8.25,1.49999999999999)); #450687=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #450688=CARTESIAN_POINT('',(53.325,21.75,0.)); #450689=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #450690=CARTESIAN_POINT('',(53.325,21.75,1.49999999999999)); #450691=CARTESIAN_POINT('',(53.325,21.75,-200.)); #450692=CARTESIAN_POINT('Origin',(53.5,21.75,1.49999999999999)); #450693=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #450694=CARTESIAN_POINT('',(33.075,17.,0.)); #450695=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #450696=CARTESIAN_POINT('',(33.075,17.,1.49999999999999)); #450697=CARTESIAN_POINT('',(33.075,17.,-200.)); #450698=CARTESIAN_POINT('Origin',(33.25,17.,1.49999999999999)); #450699=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #450700=CARTESIAN_POINT('',(36.25,7.1,0.)); #450701=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #450702=CARTESIAN_POINT('',(36.25,7.1,1.49999999999999)); #450703=CARTESIAN_POINT('',(36.25,7.1,-200.)); #450704=CARTESIAN_POINT('Origin',(36.4,7.1,1.49999999999999)); #450705=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #450706=CARTESIAN_POINT('',(33.825,23.125,0.)); #450707=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #450708=CARTESIAN_POINT('',(33.825,23.125,1.49999999999999)); #450709=CARTESIAN_POINT('',(33.825,23.125,-200.)); #450710=CARTESIAN_POINT('Origin',(34.,23.125,1.49999999999999)); #450711=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #450712=CARTESIAN_POINT('',(36.25,7.6,0.)); #450713=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #450714=CARTESIAN_POINT('',(36.25,7.6,1.49999999999999)); #450715=CARTESIAN_POINT('',(36.25,7.6,-200.)); #450716=CARTESIAN_POINT('Origin',(36.4,7.6,1.49999999999999)); #450717=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #450718=CARTESIAN_POINT('',(31.575,16.25,0.)); #450719=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #450720=CARTESIAN_POINT('',(31.575,16.25,1.49999999999999)); #450721=CARTESIAN_POINT('',(31.575,16.25,-200.)); #450722=CARTESIAN_POINT('Origin',(31.75,16.25,1.49999999999999)); #450723=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #450724=CARTESIAN_POINT('',(31.45,7.6,0.)); #450725=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #450726=CARTESIAN_POINT('',(31.45,7.6,1.49999999999999)); #450727=CARTESIAN_POINT('',(31.45,7.6,-200.)); #450728=CARTESIAN_POINT('Origin',(31.6,7.6,1.49999999999999)); #450729=CARTESIAN_POINT('Origin',(34.375,26.625,-200.)); #450730=CARTESIAN_POINT('',(34.2,26.625,0.)); #450731=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #450732=CARTESIAN_POINT('',(34.2,26.625,1.49999999999999)); #450733=CARTESIAN_POINT('',(34.2,26.625,-200.)); #450734=CARTESIAN_POINT('Origin',(34.375,26.625,1.49999999999999)); #450735=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #450736=CARTESIAN_POINT('',(31.45,7.1,0.)); #450737=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #450738=CARTESIAN_POINT('',(31.45,7.1,1.49999999999999)); #450739=CARTESIAN_POINT('',(31.45,7.1,-200.)); #450740=CARTESIAN_POINT('Origin',(31.6,7.1,1.49999999999999)); #450741=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #450742=CARTESIAN_POINT('',(32.13,45.,0.)); #450743=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #450744=CARTESIAN_POINT('',(32.13,45.,1.49999999999999)); #450745=CARTESIAN_POINT('',(32.13,45.,-200.)); #450746=CARTESIAN_POINT('Origin',(32.73,45.,1.49999999999999)); #450747=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #450748=CARTESIAN_POINT('',(47.575,56.,0.)); #450749=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #450750=CARTESIAN_POINT('',(47.575,56.,1.49999999999999)); #450751=CARTESIAN_POINT('',(47.575,56.,-200.)); #450752=CARTESIAN_POINT('Origin',(47.75,56.,1.49999999999999)); #450753=CARTESIAN_POINT('Origin',(40.125,39.625,-200.)); #450754=CARTESIAN_POINT('',(39.95,39.625,0.)); #450755=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #450756=CARTESIAN_POINT('',(39.95,39.625,1.49999999999999)); #450757=CARTESIAN_POINT('',(39.95,39.625,-200.)); #450758=CARTESIAN_POINT('Origin',(40.125,39.625,1.49999999999999)); #450759=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #450760=CARTESIAN_POINT('',(47.575,55.25,0.)); #450761=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #450762=CARTESIAN_POINT('',(47.575,55.25,1.49999999999999)); #450763=CARTESIAN_POINT('',(47.575,55.25,-200.)); #450764=CARTESIAN_POINT('Origin',(47.75,55.25,1.49999999999999)); #450765=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #450766=CARTESIAN_POINT('',(33.825,15.5,0.)); #450767=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #450768=CARTESIAN_POINT('',(33.825,15.5,1.49999999999999)); #450769=CARTESIAN_POINT('',(33.825,15.5,-200.)); #450770=CARTESIAN_POINT('Origin',(34.,15.5,1.49999999999999)); #450771=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #450772=CARTESIAN_POINT('',(45.825,56.75,0.)); #450773=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #450774=CARTESIAN_POINT('',(45.825,56.75,1.49999999999999)); #450775=CARTESIAN_POINT('',(45.825,56.75,-200.)); #450776=CARTESIAN_POINT('Origin',(46.,56.75,1.49999999999999)); #450777=CARTESIAN_POINT('Origin',(40.875,39.625,-200.)); #450778=CARTESIAN_POINT('',(40.7,39.625,0.)); #450779=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #450780=CARTESIAN_POINT('',(40.7,39.625,1.49999999999999)); #450781=CARTESIAN_POINT('',(40.7,39.625,-200.)); #450782=CARTESIAN_POINT('Origin',(40.875,39.625,1.49999999999999)); #450783=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #450784=CARTESIAN_POINT('',(45.825,55.25,0.)); #450785=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #450786=CARTESIAN_POINT('',(45.825,55.25,1.49999999999999)); #450787=CARTESIAN_POINT('',(45.825,55.25,-200.)); #450788=CARTESIAN_POINT('Origin',(46.,55.25,1.49999999999999)); #450789=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #450790=CARTESIAN_POINT('',(31.325,8.75,0.)); #450791=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #450792=CARTESIAN_POINT('',(31.325,8.75,1.49999999999999)); #450793=CARTESIAN_POINT('',(31.325,8.75,-200.)); #450794=CARTESIAN_POINT('Origin',(31.5,8.75,1.49999999999999)); #450795=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #450796=CARTESIAN_POINT('',(44.075,55.25,0.)); #450797=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #450798=CARTESIAN_POINT('',(44.075,55.25,1.49999999999999)); #450799=CARTESIAN_POINT('',(44.075,55.25,-200.)); #450800=CARTESIAN_POINT('Origin',(44.25,55.25,1.49999999999999)); #450801=CARTESIAN_POINT('Origin',(27.875,39.625,-200.)); #450802=CARTESIAN_POINT('',(27.7,39.625,0.)); #450803=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #450804=CARTESIAN_POINT('',(27.7,39.625,1.49999999999999)); #450805=CARTESIAN_POINT('',(27.7,39.625,-200.)); #450806=CARTESIAN_POINT('Origin',(27.875,39.625,1.49999999999999)); #450807=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #450808=CARTESIAN_POINT('',(44.075,56.75,0.)); #450809=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #450810=CARTESIAN_POINT('',(44.075,56.75,1.49999999999999)); #450811=CARTESIAN_POINT('',(44.075,56.75,-200.)); #450812=CARTESIAN_POINT('Origin',(44.25,56.75,1.49999999999999)); #450813=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #450814=CARTESIAN_POINT('',(33.075,15.5,0.)); #450815=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #450816=CARTESIAN_POINT('',(33.075,15.5,1.49999999999999)); #450817=CARTESIAN_POINT('',(33.075,15.5,-200.)); #450818=CARTESIAN_POINT('Origin',(33.25,15.5,1.49999999999999)); #450819=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #450820=CARTESIAN_POINT('',(44.075,56.,0.)); #450821=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #450822=CARTESIAN_POINT('',(44.075,56.,1.49999999999999)); #450823=CARTESIAN_POINT('',(44.075,56.,-200.)); #450824=CARTESIAN_POINT('Origin',(44.25,56.,1.49999999999999)); #450825=CARTESIAN_POINT('Origin',(41.625,39.625,-200.)); #450826=CARTESIAN_POINT('',(41.45,39.625,0.)); #450827=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #450828=CARTESIAN_POINT('',(41.45,39.625,1.49999999999999)); #450829=CARTESIAN_POINT('',(41.45,39.625,-200.)); #450830=CARTESIAN_POINT('Origin',(41.625,39.625,1.49999999999999)); #450831=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #450832=CARTESIAN_POINT('',(45.825,56.,0.)); #450833=CARTESIAN_POINT('Origin',(46.,56.,0.)); #450834=CARTESIAN_POINT('',(45.825,56.,1.49999999999999)); #450835=CARTESIAN_POINT('',(45.825,56.,-200.)); #450836=CARTESIAN_POINT('Origin',(46.,56.,1.49999999999999)); #450837=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #450838=CARTESIAN_POINT('',(11.75,34.,0.)); #450839=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #450840=CARTESIAN_POINT('',(11.75,34.,1.49999999999999)); #450841=CARTESIAN_POINT('',(11.75,34.,-200.)); #450842=CARTESIAN_POINT('Origin',(12.25,34.,1.49999999999999)); #450843=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #450844=CARTESIAN_POINT('',(51.95,46.25,0.)); #450845=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #450846=CARTESIAN_POINT('',(51.95,46.25,1.49999999999999)); #450847=CARTESIAN_POINT('',(51.95,46.25,-200.)); #450848=CARTESIAN_POINT('Origin',(52.125,46.25,1.49999999999999)); #450849=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #450850=CARTESIAN_POINT('',(42.325,26.,0.)); #450851=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #450852=CARTESIAN_POINT('',(42.325,26.,1.49999999999999)); #450853=CARTESIAN_POINT('',(42.325,26.,-200.)); #450854=CARTESIAN_POINT('Origin',(42.5,26.,1.49999999999999)); #450855=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #450856=CARTESIAN_POINT('',(51.075,46.25,0.)); #450857=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #450858=CARTESIAN_POINT('',(51.075,46.25,1.49999999999999)); #450859=CARTESIAN_POINT('',(51.075,46.25,-200.)); #450860=CARTESIAN_POINT('Origin',(51.25,46.25,1.49999999999999)); #450861=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #450862=CARTESIAN_POINT('',(32.325,16.25,0.)); #450863=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #450864=CARTESIAN_POINT('',(32.325,16.25,1.49999999999999)); #450865=CARTESIAN_POINT('',(32.325,16.25,-200.)); #450866=CARTESIAN_POINT('Origin',(32.5,16.25,1.49999999999999)); #450867=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #450868=CARTESIAN_POINT('',(51.075,47.75,0.)); #450869=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #450870=CARTESIAN_POINT('',(51.075,47.75,1.49999999999999)); #450871=CARTESIAN_POINT('',(51.075,47.75,-200.)); #450872=CARTESIAN_POINT('Origin',(51.25,47.75,1.49999999999999)); #450873=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #450874=CARTESIAN_POINT('',(42.325,25.25,0.)); #450875=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #450876=CARTESIAN_POINT('',(42.325,25.25,1.49999999999999)); #450877=CARTESIAN_POINT('',(42.325,25.25,-200.)); #450878=CARTESIAN_POINT('Origin',(42.5,25.25,1.49999999999999)); #450879=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #450880=CARTESIAN_POINT('',(51.075,47.,0.)); #450881=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #450882=CARTESIAN_POINT('',(51.075,47.,1.49999999999999)); #450883=CARTESIAN_POINT('',(51.075,47.,-200.)); #450884=CARTESIAN_POINT('Origin',(51.25,47.,1.49999999999999)); #450885=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #450886=CARTESIAN_POINT('',(34.575,5.5,0.)); #450887=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #450888=CARTESIAN_POINT('',(34.575,5.5,1.49999999999999)); #450889=CARTESIAN_POINT('',(34.575,5.5,-200.)); #450890=CARTESIAN_POINT('Origin',(34.75,5.5,1.49999999999999)); #450891=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #450892=CARTESIAN_POINT('',(51.95,47.75,0.)); #450893=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #450894=CARTESIAN_POINT('',(51.95,47.75,1.49999999999999)); #450895=CARTESIAN_POINT('',(51.95,47.75,-200.)); #450896=CARTESIAN_POINT('Origin',(52.125,47.75,1.49999999999999)); #450897=CARTESIAN_POINT('Origin',(26.375,39.625,-200.)); #450898=CARTESIAN_POINT('',(26.2,39.625,0.)); #450899=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #450900=CARTESIAN_POINT('',(26.2,39.625,1.49999999999999)); #450901=CARTESIAN_POINT('',(26.2,39.625,-200.)); #450902=CARTESIAN_POINT('Origin',(26.375,39.625,1.49999999999999)); #450903=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #450904=CARTESIAN_POINT('',(51.95,47.,0.)); #450905=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #450906=CARTESIAN_POINT('',(51.95,47.,1.49999999999999)); #450907=CARTESIAN_POINT('',(51.95,47.,-200.)); #450908=CARTESIAN_POINT('Origin',(52.125,47.,1.49999999999999)); #450909=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #450910=CARTESIAN_POINT('',(32.325,15.5,0.)); #450911=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #450912=CARTESIAN_POINT('',(32.325,15.5,1.49999999999999)); #450913=CARTESIAN_POINT('',(32.325,15.5,-200.)); #450914=CARTESIAN_POINT('Origin',(32.5,15.5,1.49999999999999)); #450915=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #450916=CARTESIAN_POINT('',(41.075,36.,0.)); #450917=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #450918=CARTESIAN_POINT('',(41.075,36.,1.49999999999999)); #450919=CARTESIAN_POINT('',(41.075,36.,-200.)); #450920=CARTESIAN_POINT('Origin',(41.25,36.,1.49999999999999)); #450921=CARTESIAN_POINT('Origin',(27.125,39.625,-200.)); #450922=CARTESIAN_POINT('',(26.95,39.625,0.)); #450923=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #450924=CARTESIAN_POINT('',(26.95,39.625,1.49999999999999)); #450925=CARTESIAN_POINT('',(26.95,39.625,-200.)); #450926=CARTESIAN_POINT('Origin',(27.125,39.625,1.49999999999999)); #450927=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #450928=CARTESIAN_POINT('',(41.075,31.,0.)); #450929=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #450930=CARTESIAN_POINT('',(41.075,31.,1.49999999999999)); #450931=CARTESIAN_POINT('',(41.075,31.,-200.)); #450932=CARTESIAN_POINT('Origin',(41.25,31.,1.49999999999999)); #450933=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #450934=CARTESIAN_POINT('',(43.222,32.73,0.)); #450935=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #450936=CARTESIAN_POINT('',(43.222,32.73,1.49999999999999)); #450937=CARTESIAN_POINT('',(43.222,32.73,-200.)); #450938=CARTESIAN_POINT('Origin',(43.73,32.73,1.49999999999999)); #450939=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #450940=CARTESIAN_POINT('',(48.45,46.25,0.)); #450941=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #450942=CARTESIAN_POINT('',(48.45,46.25,1.49999999999999)); #450943=CARTESIAN_POINT('',(48.45,46.25,-200.)); #450944=CARTESIAN_POINT('Origin',(48.625,46.25,1.49999999999999)); #450945=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #450946=CARTESIAN_POINT('',(41.575,26.,0.)); #450947=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #450948=CARTESIAN_POINT('',(41.575,26.,1.49999999999999)); #450949=CARTESIAN_POINT('',(41.575,26.,-200.)); #450950=CARTESIAN_POINT('Origin',(41.75,26.,1.49999999999999)); #450951=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #450952=CARTESIAN_POINT('',(46.7,47.75,0.)); #450953=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #450954=CARTESIAN_POINT('',(46.7,47.75,1.49999999999999)); #450955=CARTESIAN_POINT('',(46.7,47.75,-200.)); #450956=CARTESIAN_POINT('Origin',(46.875,47.75,1.49999999999999)); #450957=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #450958=CARTESIAN_POINT('',(32.325,18.5,0.)); #450959=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #450960=CARTESIAN_POINT('',(32.325,18.5,1.49999999999999)); #450961=CARTESIAN_POINT('',(32.325,18.5,-200.)); #450962=CARTESIAN_POINT('Origin',(32.5,18.5,1.49999999999999)); #450963=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #450964=CARTESIAN_POINT('',(46.7,46.25,0.)); #450965=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #450966=CARTESIAN_POINT('',(46.7,46.25,1.49999999999999)); #450967=CARTESIAN_POINT('',(46.7,46.25,-200.)); #450968=CARTESIAN_POINT('Origin',(46.875,46.25,1.49999999999999)); #450969=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #450970=CARTESIAN_POINT('',(41.575,25.25,0.)); #450971=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #450972=CARTESIAN_POINT('',(41.575,25.25,1.49999999999999)); #450973=CARTESIAN_POINT('',(41.575,25.25,-200.)); #450974=CARTESIAN_POINT('Origin',(41.75,25.25,1.49999999999999)); #450975=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #450976=CARTESIAN_POINT('',(46.7,47.,0.)); #450977=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #450978=CARTESIAN_POINT('',(46.7,47.,1.49999999999999)); #450979=CARTESIAN_POINT('',(46.7,47.,-200.)); #450980=CARTESIAN_POINT('Origin',(46.875,47.,1.49999999999999)); #450981=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #450982=CARTESIAN_POINT('',(33.825,5.5,0.)); #450983=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #450984=CARTESIAN_POINT('',(33.825,5.5,1.49999999999999)); #450985=CARTESIAN_POINT('',(33.825,5.5,-200.)); #450986=CARTESIAN_POINT('Origin',(34.,5.5,1.49999999999999)); #450987=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #450988=CARTESIAN_POINT('',(44.95,47.75,0.)); #450989=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #450990=CARTESIAN_POINT('',(44.95,47.75,1.49999999999999)); #450991=CARTESIAN_POINT('',(44.95,47.75,-200.)); #450992=CARTESIAN_POINT('Origin',(45.125,47.75,1.49999999999999)); #450993=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #450994=CARTESIAN_POINT('',(33.075,48.5,0.)); #450995=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #450996=CARTESIAN_POINT('',(33.075,48.5,1.49999999999999)); #450997=CARTESIAN_POINT('',(33.075,48.5,-200.)); #450998=CARTESIAN_POINT('Origin',(33.25,48.5,1.49999999999999)); #450999=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #451000=CARTESIAN_POINT('',(45.825,47.,0.)); #451001=CARTESIAN_POINT('Origin',(46.,47.,0.)); #451002=CARTESIAN_POINT('',(45.825,47.,1.49999999999999)); #451003=CARTESIAN_POINT('',(45.825,47.,-200.)); #451004=CARTESIAN_POINT('Origin',(46.,47.,1.49999999999999)); #451005=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #451006=CARTESIAN_POINT('',(33.075,18.5,0.)); #451007=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #451008=CARTESIAN_POINT('',(33.075,18.5,1.49999999999999)); #451009=CARTESIAN_POINT('',(33.075,18.5,-200.)); #451010=CARTESIAN_POINT('Origin',(33.25,18.5,1.49999999999999)); #451011=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #451012=CARTESIAN_POINT('',(44.95,47.,0.)); #451013=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #451014=CARTESIAN_POINT('',(44.95,47.,1.49999999999999)); #451015=CARTESIAN_POINT('',(44.95,47.,-200.)); #451016=CARTESIAN_POINT('Origin',(45.125,47.,1.49999999999999)); #451017=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #451018=CARTESIAN_POINT('',(40.825,25.25,0.)); #451019=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #451020=CARTESIAN_POINT('',(40.825,25.25,1.49999999999999)); #451021=CARTESIAN_POINT('',(40.825,25.25,-200.)); #451022=CARTESIAN_POINT('Origin',(41.,25.25,1.49999999999999)); #451023=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #451024=CARTESIAN_POINT('',(44.95,46.25,0.)); #451025=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #451026=CARTESIAN_POINT('',(44.95,46.25,1.49999999999999)); #451027=CARTESIAN_POINT('',(44.95,46.25,-200.)); #451028=CARTESIAN_POINT('Origin',(45.125,46.25,1.49999999999999)); #451029=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #451030=CARTESIAN_POINT('',(15.25,34.,0.)); #451031=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #451032=CARTESIAN_POINT('',(15.25,34.,1.49999999999999)); #451033=CARTESIAN_POINT('',(15.25,34.,-200.)); #451034=CARTESIAN_POINT('Origin',(15.75,34.,1.49999999999999)); #451035=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #451036=CARTESIAN_POINT('',(49.325,47.,0.)); #451037=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #451038=CARTESIAN_POINT('',(49.325,47.,1.49999999999999)); #451039=CARTESIAN_POINT('',(49.325,47.,-200.)); #451040=CARTESIAN_POINT('Origin',(49.5,47.,1.49999999999999)); #451041=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #451042=CARTESIAN_POINT('',(40.825,24.5,0.)); #451043=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #451044=CARTESIAN_POINT('',(40.825,24.5,1.49999999999999)); #451045=CARTESIAN_POINT('',(40.825,24.5,-200.)); #451046=CARTESIAN_POINT('Origin',(41.,24.5,1.49999999999999)); #451047=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #451048=CARTESIAN_POINT('',(49.325,47.75,0.)); #451049=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #451050=CARTESIAN_POINT('',(49.325,47.75,1.49999999999999)); #451051=CARTESIAN_POINT('',(49.325,47.75,-200.)); #451052=CARTESIAN_POINT('Origin',(49.5,47.75,1.49999999999999)); #451053=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #451054=CARTESIAN_POINT('',(32.325,17.75,0.)); #451055=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #451056=CARTESIAN_POINT('',(32.325,17.75,1.49999999999999)); #451057=CARTESIAN_POINT('',(32.325,17.75,-200.)); #451058=CARTESIAN_POINT('Origin',(32.5,17.75,1.49999999999999)); #451059=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #451060=CARTESIAN_POINT('',(48.45,47.,0.)); #451061=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #451062=CARTESIAN_POINT('',(48.45,47.,1.49999999999999)); #451063=CARTESIAN_POINT('',(48.45,47.,-200.)); #451064=CARTESIAN_POINT('Origin',(48.625,47.,1.49999999999999)); #451065=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #451066=CARTESIAN_POINT('',(40.825,26.,0.)); #451067=CARTESIAN_POINT('Origin',(41.,26.,0.)); #451068=CARTESIAN_POINT('',(40.825,26.,1.49999999999999)); #451069=CARTESIAN_POINT('',(40.825,26.,-200.)); #451070=CARTESIAN_POINT('Origin',(41.,26.,1.49999999999999)); #451071=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #451072=CARTESIAN_POINT('',(48.45,47.75,0.)); #451073=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #451074=CARTESIAN_POINT('',(48.45,47.75,1.49999999999999)); #451075=CARTESIAN_POINT('',(48.45,47.75,-200.)); #451076=CARTESIAN_POINT('Origin',(48.625,47.75,1.49999999999999)); #451077=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #451078=CARTESIAN_POINT('',(33.075,5.5,0.)); #451079=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #451080=CARTESIAN_POINT('',(33.075,5.5,1.49999999999999)); #451081=CARTESIAN_POINT('',(33.075,5.5,-200.)); #451082=CARTESIAN_POINT('Origin',(33.25,5.5,1.49999999999999)); #451083=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #451084=CARTESIAN_POINT('',(50.2,46.25,0.)); #451085=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #451086=CARTESIAN_POINT('',(50.2,46.25,1.49999999999999)); #451087=CARTESIAN_POINT('',(50.2,46.25,-200.)); #451088=CARTESIAN_POINT('Origin',(50.375,46.25,1.49999999999999)); #451089=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #451090=CARTESIAN_POINT('',(41.575,24.5,0.)); #451091=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #451092=CARTESIAN_POINT('',(41.575,24.5,1.49999999999999)); #451093=CARTESIAN_POINT('',(41.575,24.5,-200.)); #451094=CARTESIAN_POINT('Origin',(41.75,24.5,1.49999999999999)); #451095=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #451096=CARTESIAN_POINT('',(49.325,46.25,0.)); #451097=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #451098=CARTESIAN_POINT('',(49.325,46.25,1.49999999999999)); #451099=CARTESIAN_POINT('',(49.325,46.25,-200.)); #451100=CARTESIAN_POINT('Origin',(49.5,46.25,1.49999999999999)); #451101=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #451102=CARTESIAN_POINT('',(32.325,17.,0.)); #451103=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #451104=CARTESIAN_POINT('',(32.325,17.,1.49999999999999)); #451105=CARTESIAN_POINT('',(32.325,17.,-200.)); #451106=CARTESIAN_POINT('Origin',(32.5,17.,1.49999999999999)); #451107=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #451108=CARTESIAN_POINT('',(50.2,47.,0.)); #451109=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #451110=CARTESIAN_POINT('',(50.2,47.,1.49999999999999)); #451111=CARTESIAN_POINT('',(50.2,47.,-200.)); #451112=CARTESIAN_POINT('Origin',(50.375,47.,1.49999999999999)); #451113=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #451114=CARTESIAN_POINT('',(42.325,24.5,0.)); #451115=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #451116=CARTESIAN_POINT('',(42.325,24.5,1.49999999999999)); #451117=CARTESIAN_POINT('',(42.325,24.5,-200.)); #451118=CARTESIAN_POINT('Origin',(42.5,24.5,1.49999999999999)); #451119=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #451120=CARTESIAN_POINT('',(50.2,47.75,0.)); #451121=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #451122=CARTESIAN_POINT('',(50.2,47.75,1.49999999999999)); #451123=CARTESIAN_POINT('',(50.2,47.75,-200.)); #451124=CARTESIAN_POINT('Origin',(50.375,47.75,1.49999999999999)); #451125=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #451126=CARTESIAN_POINT('',(37.21,45.,0.)); #451127=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #451128=CARTESIAN_POINT('',(37.21,45.,1.49999999999999)); #451129=CARTESIAN_POINT('',(37.21,45.,-200.)); #451130=CARTESIAN_POINT('Origin',(37.81,45.,1.49999999999999)); #451131=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #451132=CARTESIAN_POINT('',(21.825,55.25,0.)); #451133=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #451134=CARTESIAN_POINT('',(21.825,55.25,1.49999999999999)); #451135=CARTESIAN_POINT('',(21.825,55.25,-200.)); #451136=CARTESIAN_POINT('Origin',(22.,55.25,1.49999999999999)); #451137=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #451138=CARTESIAN_POINT('',(55.825,21.,0.)); #451139=CARTESIAN_POINT('Origin',(56.,21.,0.)); #451140=CARTESIAN_POINT('',(55.825,21.,1.49999999999999)); #451141=CARTESIAN_POINT('',(55.825,21.,-200.)); #451142=CARTESIAN_POINT('Origin',(56.,21.,1.49999999999999)); #451143=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #451144=CARTESIAN_POINT('',(21.825,56.75,0.)); #451145=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #451146=CARTESIAN_POINT('',(21.825,56.75,1.49999999999999)); #451147=CARTESIAN_POINT('',(21.825,56.75,-200.)); #451148=CARTESIAN_POINT('Origin',(22.,56.75,1.49999999999999)); #451149=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #451150=CARTESIAN_POINT('',(35.325,17.,0.)); #451151=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #451152=CARTESIAN_POINT('',(35.325,17.,1.49999999999999)); #451153=CARTESIAN_POINT('',(35.325,17.,-200.)); #451154=CARTESIAN_POINT('Origin',(35.5,17.,1.49999999999999)); #451155=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #451156=CARTESIAN_POINT('',(20.075,56.,0.)); #451157=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #451158=CARTESIAN_POINT('',(20.075,56.,1.49999999999999)); #451159=CARTESIAN_POINT('',(20.075,56.,-200.)); #451160=CARTESIAN_POINT('Origin',(20.25,56.,1.49999999999999)); #451161=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #451162=CARTESIAN_POINT('',(55.825,21.75,0.)); #451163=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #451164=CARTESIAN_POINT('',(55.825,21.75,1.49999999999999)); #451165=CARTESIAN_POINT('',(55.825,21.75,-200.)); #451166=CARTESIAN_POINT('Origin',(56.,21.75,1.49999999999999)); #451167=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #451168=CARTESIAN_POINT('',(21.825,56.,0.)); #451169=CARTESIAN_POINT('Origin',(22.,56.,0.)); #451170=CARTESIAN_POINT('',(21.825,56.,1.49999999999999)); #451171=CARTESIAN_POINT('',(21.825,56.,-200.)); #451172=CARTESIAN_POINT('Origin',(22.,56.,1.49999999999999)); #451173=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #451174=CARTESIAN_POINT('',(30.575,9.5,0.)); #451175=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #451176=CARTESIAN_POINT('',(30.575,9.5,1.49999999999999)); #451177=CARTESIAN_POINT('',(30.575,9.5,-200.)); #451178=CARTESIAN_POINT('Origin',(30.75,9.5,1.49999999999999)); #451179=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #451180=CARTESIAN_POINT('',(37.0125,36.75,0.)); #451181=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #451182=CARTESIAN_POINT('',(37.0125,36.75,1.49999999999999)); #451183=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #451184=CARTESIAN_POINT('Origin',(37.1875,36.75,1.49999999999999)); #451185=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #451186=CARTESIAN_POINT('',(54.325,21.,0.)); #451187=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #451188=CARTESIAN_POINT('',(54.325,21.,1.49999999999999)); #451189=CARTESIAN_POINT('',(54.325,21.,-200.)); #451190=CARTESIAN_POINT('Origin',(54.5,21.,1.49999999999999)); #451191=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #451192=CARTESIAN_POINT('',(38.575,36.75,0.)); #451193=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #451194=CARTESIAN_POINT('',(38.575,36.75,1.49999999999999)); #451195=CARTESIAN_POINT('',(38.575,36.75,-200.)); #451196=CARTESIAN_POINT('Origin',(38.75,36.75,1.49999999999999)); #451197=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #451198=CARTESIAN_POINT('',(35.325,16.25,0.)); #451199=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #451200=CARTESIAN_POINT('',(35.325,16.25,1.49999999999999)); #451201=CARTESIAN_POINT('',(35.325,16.25,-200.)); #451202=CARTESIAN_POINT('Origin',(35.5,16.25,1.49999999999999)); #451203=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #451204=CARTESIAN_POINT('',(20.075,56.75,0.)); #451205=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #451206=CARTESIAN_POINT('',(20.075,56.75,1.49999999999999)); #451207=CARTESIAN_POINT('',(20.075,56.75,-200.)); #451208=CARTESIAN_POINT('Origin',(20.25,56.75,1.49999999999999)); #451209=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #451210=CARTESIAN_POINT('',(54.325,21.75,0.)); #451211=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #451212=CARTESIAN_POINT('',(54.325,21.75,1.49999999999999)); #451213=CARTESIAN_POINT('',(54.325,21.75,-200.)); #451214=CARTESIAN_POINT('Origin',(54.5,21.75,1.49999999999999)); #451215=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #451216=CARTESIAN_POINT('',(20.075,55.25,0.)); #451217=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #451218=CARTESIAN_POINT('',(20.075,55.25,1.49999999999999)); #451219=CARTESIAN_POINT('',(20.075,55.25,-200.)); #451220=CARTESIAN_POINT('Origin',(20.25,55.25,1.49999999999999)); #451221=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #451222=CARTESIAN_POINT('',(36.,25.25,0.)); #451223=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #451224=CARTESIAN_POINT('',(36.,25.25,1.49999999999999)); #451225=CARTESIAN_POINT('',(36.,25.25,-200.)); #451226=CARTESIAN_POINT('Origin',(36.5,25.25,1.49999999999999)); #451227=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #451228=CARTESIAN_POINT('',(44.95,55.25,0.)); #451229=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #451230=CARTESIAN_POINT('',(44.95,55.25,1.49999999999999)); #451231=CARTESIAN_POINT('',(44.95,55.25,-200.)); #451232=CARTESIAN_POINT('Origin',(45.125,55.25,1.49999999999999)); #451233=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #451234=CARTESIAN_POINT('',(55.075,21.75,0.)); #451235=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #451236=CARTESIAN_POINT('',(55.075,21.75,1.49999999999999)); #451237=CARTESIAN_POINT('',(55.075,21.75,-200.)); #451238=CARTESIAN_POINT('Origin',(55.25,21.75,1.49999999999999)); #451239=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #451240=CARTESIAN_POINT('',(44.95,56.,0.)); #451241=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #451242=CARTESIAN_POINT('',(44.95,56.,1.49999999999999)); #451243=CARTESIAN_POINT('',(44.95,56.,-200.)); #451244=CARTESIAN_POINT('Origin',(45.125,56.,1.49999999999999)); #451245=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #451246=CARTESIAN_POINT('',(34.575,15.5,0.)); #451247=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #451248=CARTESIAN_POINT('',(34.575,15.5,1.49999999999999)); #451249=CARTESIAN_POINT('',(34.575,15.5,-200.)); #451250=CARTESIAN_POINT('Origin',(34.75,15.5,1.49999999999999)); #451251=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #451252=CARTESIAN_POINT('',(47.575,56.75,0.)); #451253=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #451254=CARTESIAN_POINT('',(47.575,56.75,1.49999999999999)); #451255=CARTESIAN_POINT('',(47.575,56.75,-200.)); #451256=CARTESIAN_POINT('Origin',(47.75,56.75,1.49999999999999)); #451257=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #451258=CARTESIAN_POINT('',(55.075,21.,0.)); #451259=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #451260=CARTESIAN_POINT('',(55.075,21.,1.49999999999999)); #451261=CARTESIAN_POINT('',(55.075,21.,-200.)); #451262=CARTESIAN_POINT('Origin',(55.25,21.,1.49999999999999)); #451263=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #451264=CARTESIAN_POINT('',(44.95,56.75,0.)); #451265=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #451266=CARTESIAN_POINT('',(44.95,56.75,1.49999999999999)); #451267=CARTESIAN_POINT('',(44.95,56.75,-200.)); #451268=CARTESIAN_POINT('Origin',(45.125,56.75,1.49999999999999)); #451269=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #451270=CARTESIAN_POINT('',(30.575,8.75,0.)); #451271=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #451272=CARTESIAN_POINT('',(30.575,8.75,1.49999999999999)); #451273=CARTESIAN_POINT('',(30.575,8.75,-200.)); #451274=CARTESIAN_POINT('Origin',(30.75,8.75,1.49999999999999)); #451275=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #451276=CARTESIAN_POINT('',(46.7,56.,0.)); #451277=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #451278=CARTESIAN_POINT('',(46.7,56.,1.49999999999999)); #451279=CARTESIAN_POINT('',(46.7,56.,-200.)); #451280=CARTESIAN_POINT('Origin',(46.875,56.,1.49999999999999)); #451281=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #451282=CARTESIAN_POINT('',(55.075,20.25,0.)); #451283=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #451284=CARTESIAN_POINT('',(55.075,20.25,1.49999999999999)); #451285=CARTESIAN_POINT('',(55.075,20.25,-200.)); #451286=CARTESIAN_POINT('Origin',(55.25,20.25,1.49999999999999)); #451287=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #451288=CARTESIAN_POINT('',(46.7,55.25,0.)); #451289=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #451290=CARTESIAN_POINT('',(46.7,55.25,1.49999999999999)); #451291=CARTESIAN_POINT('',(46.7,55.25,-200.)); #451292=CARTESIAN_POINT('Origin',(46.875,55.25,1.49999999999999)); #451293=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #451294=CARTESIAN_POINT('',(35.325,15.5,0.)); #451295=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #451296=CARTESIAN_POINT('',(35.325,15.5,1.49999999999999)); #451297=CARTESIAN_POINT('',(35.325,15.5,-200.)); #451298=CARTESIAN_POINT('Origin',(35.5,15.5,1.49999999999999)); #451299=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #451300=CARTESIAN_POINT('',(46.7,56.75,0.)); #451301=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #451302=CARTESIAN_POINT('',(46.7,56.75,1.49999999999999)); #451303=CARTESIAN_POINT('',(46.7,56.75,-200.)); #451304=CARTESIAN_POINT('Origin',(46.875,56.75,1.49999999999999)); #451305=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #451306=CARTESIAN_POINT('',(54.325,20.25,0.)); #451307=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451308=CARTESIAN_POINT('',(54.325,20.25,1.49999999999999)); #451309=CARTESIAN_POINT('',(54.325,20.25,-200.)); #451310=CARTESIAN_POINT('Origin',(54.5,20.25,1.49999999999999)); #451311=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #451312=CARTESIAN_POINT('',(39.837,52.5,0.)); #451313=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451314=CARTESIAN_POINT('',(39.837,52.5,1.49999999999999)); #451315=CARTESIAN_POINT('',(39.837,52.5,-200.)); #451316=CARTESIAN_POINT('Origin',(40.012,52.5,1.49999999999999)); #451317=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #451318=CARTESIAN_POINT('',(43.222,35.27,0.)); #451319=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451320=CARTESIAN_POINT('',(43.222,35.27,1.49999999999999)); #451321=CARTESIAN_POINT('',(43.222,35.27,-200.)); #451322=CARTESIAN_POINT('Origin',(43.73,35.27,1.49999999999999)); #451323=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #451324=CARTESIAN_POINT('',(20.95,56.,0.)); #451325=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451326=CARTESIAN_POINT('',(20.95,56.,1.49999999999999)); #451327=CARTESIAN_POINT('',(20.95,56.,-200.)); #451328=CARTESIAN_POINT('Origin',(21.125,56.,1.49999999999999)); #451329=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #451330=CARTESIAN_POINT('',(12.575,20.25,0.)); #451331=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451332=CARTESIAN_POINT('',(12.575,20.25,1.49999999999999)); #451333=CARTESIAN_POINT('',(12.575,20.25,-200.)); #451334=CARTESIAN_POINT('Origin',(12.75,20.25,1.49999999999999)); #451335=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #451336=CARTESIAN_POINT('',(20.95,55.25,0.)); #451337=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451338=CARTESIAN_POINT('',(20.95,55.25,1.49999999999999)); #451339=CARTESIAN_POINT('',(20.95,55.25,-200.)); #451340=CARTESIAN_POINT('Origin',(21.125,55.25,1.49999999999999)); #451341=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #451342=CARTESIAN_POINT('',(33.075,19.25,0.)); #451343=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451344=CARTESIAN_POINT('',(33.075,19.25,1.49999999999999)); #451345=CARTESIAN_POINT('',(33.075,19.25,-200.)); #451346=CARTESIAN_POINT('Origin',(33.25,19.25,1.49999999999999)); #451347=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #451348=CARTESIAN_POINT('',(22.7,56.,0.)); #451349=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451350=CARTESIAN_POINT('',(22.7,56.,1.49999999999999)); #451351=CARTESIAN_POINT('',(22.7,56.,-200.)); #451352=CARTESIAN_POINT('Origin',(22.875,56.,1.49999999999999)); #451353=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #451354=CARTESIAN_POINT('',(12.575,21.75,0.)); #451355=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451356=CARTESIAN_POINT('',(12.575,21.75,1.49999999999999)); #451357=CARTESIAN_POINT('',(12.575,21.75,-200.)); #451358=CARTESIAN_POINT('Origin',(12.75,21.75,1.49999999999999)); #451359=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #451360=CARTESIAN_POINT('',(22.7,55.25,0.)); #451361=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451362=CARTESIAN_POINT('',(22.7,55.25,1.49999999999999)); #451363=CARTESIAN_POINT('',(22.7,55.25,-200.)); #451364=CARTESIAN_POINT('Origin',(22.875,55.25,1.49999999999999)); #451365=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #451366=CARTESIAN_POINT('',(31.325,9.5,0.)); #451367=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451368=CARTESIAN_POINT('',(31.325,9.5,1.49999999999999)); #451369=CARTESIAN_POINT('',(31.325,9.5,-200.)); #451370=CARTESIAN_POINT('Origin',(31.5,9.5,1.49999999999999)); #451371=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #451372=CARTESIAN_POINT('',(23.575,56.,0.)); #451373=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451374=CARTESIAN_POINT('',(23.575,56.,1.49999999999999)); #451375=CARTESIAN_POINT('',(23.575,56.,-200.)); #451376=CARTESIAN_POINT('Origin',(23.75,56.,1.49999999999999)); #451377=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #451378=CARTESIAN_POINT('',(55.825,20.25,0.)); #451379=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451380=CARTESIAN_POINT('',(55.825,20.25,1.49999999999999)); #451381=CARTESIAN_POINT('',(55.825,20.25,-200.)); #451382=CARTESIAN_POINT('Origin',(56.,20.25,1.49999999999999)); #451383=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #451384=CARTESIAN_POINT('',(23.575,55.25,0.)); #451385=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451386=CARTESIAN_POINT('',(23.575,55.25,1.49999999999999)); #451387=CARTESIAN_POINT('',(23.575,55.25,-200.)); #451388=CARTESIAN_POINT('Origin',(23.75,55.25,1.49999999999999)); #451389=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #451390=CARTESIAN_POINT('',(32.325,19.25,0.)); #451391=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451392=CARTESIAN_POINT('',(32.325,19.25,1.49999999999999)); #451393=CARTESIAN_POINT('',(32.325,19.25,-200.)); #451394=CARTESIAN_POINT('Origin',(32.5,19.25,1.49999999999999)); #451395=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #451396=CARTESIAN_POINT('',(23.575,56.75,0.)); #451397=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451398=CARTESIAN_POINT('',(23.575,56.75,1.49999999999999)); #451399=CARTESIAN_POINT('',(23.575,56.75,-200.)); #451400=CARTESIAN_POINT('Origin',(23.75,56.75,1.49999999999999)); #451401=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #451402=CARTESIAN_POINT('',(12.575,21.,0.)); #451403=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451404=CARTESIAN_POINT('',(12.575,21.,1.49999999999999)); #451405=CARTESIAN_POINT('',(12.575,21.,-200.)); #451406=CARTESIAN_POINT('Origin',(12.75,21.,1.49999999999999)); #451407=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #451408=CARTESIAN_POINT('',(22.7,56.75,0.)); #451409=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451410=CARTESIAN_POINT('',(22.7,56.75,1.49999999999999)); #451411=CARTESIAN_POINT('',(22.7,56.75,-200.)); #451412=CARTESIAN_POINT('Origin',(22.875,56.75,1.49999999999999)); #451413=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #451414=CARTESIAN_POINT('',(33.5,25.25,0.)); #451415=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451416=CARTESIAN_POINT('',(33.5,25.25,1.49999999999999)); #451417=CARTESIAN_POINT('',(33.5,25.25,-200.)); #451418=CARTESIAN_POINT('Origin',(34.,25.25,1.49999999999999)); #451419=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #451420=CARTESIAN_POINT('',(30.6375,36.75,0.)); #451421=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451422=CARTESIAN_POINT('',(30.6375,36.75,1.49999999999999)); #451423=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #451424=CARTESIAN_POINT('Origin',(30.8125,36.75,1.49999999999999)); #451425=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #451426=CARTESIAN_POINT('',(11.825,21.,0.)); #451427=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451428=CARTESIAN_POINT('',(11.825,21.,1.49999999999999)); #451429=CARTESIAN_POINT('',(11.825,21.,-200.)); #451430=CARTESIAN_POINT('Origin',(12.,21.,1.49999999999999)); #451431=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #451432=CARTESIAN_POINT('',(29.075,36.75,0.)); #451433=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451434=CARTESIAN_POINT('',(29.075,36.75,1.49999999999999)); #451435=CARTESIAN_POINT('',(29.075,36.75,-200.)); #451436=CARTESIAN_POINT('Origin',(29.25,36.75,1.49999999999999)); #451437=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #451438=CARTESIAN_POINT('',(33.825,19.25,0.)); #451439=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451440=CARTESIAN_POINT('',(33.825,19.25,1.49999999999999)); #451441=CARTESIAN_POINT('',(33.825,19.25,-200.)); #451442=CARTESIAN_POINT('Origin',(34.,19.25,1.49999999999999)); #451443=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #451444=CARTESIAN_POINT('',(20.95,56.75,0.)); #451445=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451446=CARTESIAN_POINT('',(20.95,56.75,1.49999999999999)); #451447=CARTESIAN_POINT('',(20.95,56.75,-200.)); #451448=CARTESIAN_POINT('Origin',(21.125,56.75,1.49999999999999)); #451449=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #451450=CARTESIAN_POINT('',(11.825,20.25,0.)); #451451=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451452=CARTESIAN_POINT('',(11.825,20.25,1.49999999999999)); #451453=CARTESIAN_POINT('',(11.825,20.25,-200.)); #451454=CARTESIAN_POINT('Origin',(12.,20.25,1.49999999999999)); #451455=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #451456=CARTESIAN_POINT('',(27.813,52.5,0.)); #451457=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451458=CARTESIAN_POINT('',(27.813,52.5,1.49999999999999)); #451459=CARTESIAN_POINT('',(27.813,52.5,-200.)); #451460=CARTESIAN_POINT('Origin',(27.988,52.5,1.49999999999999)); #451461=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #451462=CARTESIAN_POINT('',(36.325,8.75,0.)); #451463=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451464=CARTESIAN_POINT('',(36.325,8.75,1.49999999999999)); #451465=CARTESIAN_POINT('',(36.325,8.75,-200.)); #451466=CARTESIAN_POINT('Origin',(36.5,8.75,1.49999999999999)); #451467=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #451468=CARTESIAN_POINT('',(23.73,49.75,0.)); #451469=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451470=CARTESIAN_POINT('',(23.73,49.75,1.49999999999999)); #451471=CARTESIAN_POINT('',(23.73,49.75,-200.)); #451472=CARTESIAN_POINT('Origin',(23.905,49.75,1.49999999999999)); #451473=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #451474=CARTESIAN_POINT('',(11.825,21.75,0.)); #451475=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451476=CARTESIAN_POINT('',(11.825,21.75,1.49999999999999)); #451477=CARTESIAN_POINT('',(11.825,21.75,-200.)); #451478=CARTESIAN_POINT('Origin',(12.,21.75,1.49999999999999)); #451479=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #451480=CARTESIAN_POINT('',(47.73,58.75,0.)); #451481=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451482=CARTESIAN_POINT('',(47.73,58.75,1.49999999999999)); #451483=CARTESIAN_POINT('',(47.73,58.75,-200.)); #451484=CARTESIAN_POINT('Origin',(47.905,58.75,1.49999999999999)); #451485=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #451486=CARTESIAN_POINT('',(34.575,19.25,0.)); #451487=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451488=CARTESIAN_POINT('',(34.575,19.25,1.49999999999999)); #451489=CARTESIAN_POINT('',(34.575,19.25,-200.)); #451490=CARTESIAN_POINT('Origin',(34.75,19.25,1.49999999999999)); #451491=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #451492=CARTESIAN_POINT('',(23.73,58.75,0.)); #451493=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451494=CARTESIAN_POINT('',(23.73,58.75,1.49999999999999)); #451495=CARTESIAN_POINT('',(23.73,58.75,-200.)); #451496=CARTESIAN_POINT('Origin',(23.905,58.75,1.49999999999999)); #451497=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #451498=CARTESIAN_POINT('',(13.325,21.75,0.)); #451499=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451500=CARTESIAN_POINT('',(13.325,21.75,1.49999999999999)); #451501=CARTESIAN_POINT('',(13.325,21.75,-200.)); #451502=CARTESIAN_POINT('Origin',(13.5,21.75,1.49999999999999)); #451503=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #451504=CARTESIAN_POINT('',(47.73,49.75,0.)); #451505=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451506=CARTESIAN_POINT('',(47.73,49.75,1.49999999999999)); #451507=CARTESIAN_POINT('',(47.73,49.75,-200.)); #451508=CARTESIAN_POINT('Origin',(47.905,49.75,1.49999999999999)); #451509=CARTESIAN_POINT('Origin',(34.,34.,0.)); #451510=CARTESIAN_POINT('',(0.,34.,1.5)); #451511=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #451512=CARTESIAN_POINT('',(0.,34.,0.)); #451513=CARTESIAN_POINT('',(0.,34.,0.)); #451514=CARTESIAN_POINT('Origin',(34.,34.,0.)); #451515=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #451516=CARTESIAN_POINT('Origin',(34.,34.,0.)); #451517=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451518=CARTESIAN_POINT('',(47.765,49.75,1.5)); #451519=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #451520=CARTESIAN_POINT('',(47.765,49.75,0.)); #451521=CARTESIAN_POINT('',(47.765,49.75,0.)); #451522=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451523=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451524=CARTESIAN_POINT('',(47.73,49.75,1.5)); #451525=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #451526=CARTESIAN_POINT('',(47.73,49.75,0.)); #451527=CARTESIAN_POINT('',(47.73,49.75,0.)); #451528=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451529=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #451530=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #451531=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451532=CARTESIAN_POINT('',(13.36,21.75,1.5)); #451533=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #451534=CARTESIAN_POINT('',(13.36,21.75,0.)); #451535=CARTESIAN_POINT('',(13.36,21.75,0.)); #451536=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451537=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451538=CARTESIAN_POINT('',(13.325,21.75,1.5)); #451539=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #451540=CARTESIAN_POINT('',(13.325,21.75,0.)); #451541=CARTESIAN_POINT('',(13.325,21.75,0.)); #451542=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451543=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #451544=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #451545=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451546=CARTESIAN_POINT('',(23.765,58.75,1.5)); #451547=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #451548=CARTESIAN_POINT('',(23.765,58.75,0.)); #451549=CARTESIAN_POINT('',(23.765,58.75,0.)); #451550=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451551=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451552=CARTESIAN_POINT('',(23.73,58.75,1.5)); #451553=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #451554=CARTESIAN_POINT('',(23.73,58.75,0.)); #451555=CARTESIAN_POINT('',(23.73,58.75,0.)); #451556=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451557=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #451558=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #451559=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451560=CARTESIAN_POINT('',(34.61,19.25,1.5)); #451561=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #451562=CARTESIAN_POINT('',(34.61,19.25,0.)); #451563=CARTESIAN_POINT('',(34.61,19.25,0.)); #451564=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451565=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451566=CARTESIAN_POINT('',(34.575,19.25,1.5)); #451567=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #451568=CARTESIAN_POINT('',(34.575,19.25,0.)); #451569=CARTESIAN_POINT('',(34.575,19.25,0.)); #451570=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451571=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #451572=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #451573=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451574=CARTESIAN_POINT('',(47.765,58.75,1.5)); #451575=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #451576=CARTESIAN_POINT('',(47.765,58.75,0.)); #451577=CARTESIAN_POINT('',(47.765,58.75,0.)); #451578=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451579=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451580=CARTESIAN_POINT('',(47.73,58.75,1.5)); #451581=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #451582=CARTESIAN_POINT('',(47.73,58.75,0.)); #451583=CARTESIAN_POINT('',(47.73,58.75,0.)); #451584=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451585=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #451586=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #451587=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451588=CARTESIAN_POINT('',(11.86,21.75,1.5)); #451589=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #451590=CARTESIAN_POINT('',(11.86,21.75,0.)); #451591=CARTESIAN_POINT('',(11.86,21.75,0.)); #451592=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451593=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451594=CARTESIAN_POINT('',(11.825,21.75,1.5)); #451595=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #451596=CARTESIAN_POINT('',(11.825,21.75,0.)); #451597=CARTESIAN_POINT('',(11.825,21.75,0.)); #451598=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451599=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #451600=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #451601=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451602=CARTESIAN_POINT('',(23.765,49.75,1.5)); #451603=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #451604=CARTESIAN_POINT('',(23.765,49.75,0.)); #451605=CARTESIAN_POINT('',(23.765,49.75,0.)); #451606=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451607=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451608=CARTESIAN_POINT('',(23.73,49.75,1.5)); #451609=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #451610=CARTESIAN_POINT('',(23.73,49.75,0.)); #451611=CARTESIAN_POINT('',(23.73,49.75,0.)); #451612=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451613=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #451614=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #451615=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451616=CARTESIAN_POINT('',(36.36,8.75,1.5)); #451617=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #451618=CARTESIAN_POINT('',(36.36,8.75,0.)); #451619=CARTESIAN_POINT('',(36.36,8.75,0.)); #451620=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451621=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451622=CARTESIAN_POINT('',(36.325,8.75,1.5)); #451623=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #451624=CARTESIAN_POINT('',(36.325,8.75,0.)); #451625=CARTESIAN_POINT('',(36.325,8.75,0.)); #451626=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451627=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #451628=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #451629=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451630=CARTESIAN_POINT('',(27.848,52.5,1.5)); #451631=CARTESIAN_POINT('Origin',(27.988,52.5,1.5)); #451632=CARTESIAN_POINT('',(27.848,52.5,0.)); #451633=CARTESIAN_POINT('',(27.848,52.5,0.)); #451634=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451635=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451636=CARTESIAN_POINT('',(27.813,52.5,1.5)); #451637=CARTESIAN_POINT('Origin',(27.988,52.5,1.5)); #451638=CARTESIAN_POINT('',(27.813,52.5,0.)); #451639=CARTESIAN_POINT('',(27.813,52.5,0.)); #451640=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451641=CARTESIAN_POINT('Origin',(27.988,52.5,1.5)); #451642=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #451643=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451644=CARTESIAN_POINT('',(11.86,20.25,1.5)); #451645=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #451646=CARTESIAN_POINT('',(11.86,20.25,0.)); #451647=CARTESIAN_POINT('',(11.86,20.25,0.)); #451648=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451649=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451650=CARTESIAN_POINT('',(11.825,20.25,1.5)); #451651=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #451652=CARTESIAN_POINT('',(11.825,20.25,0.)); #451653=CARTESIAN_POINT('',(11.825,20.25,0.)); #451654=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451655=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #451656=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #451657=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451658=CARTESIAN_POINT('',(20.985,56.75,1.5)); #451659=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #451660=CARTESIAN_POINT('',(20.985,56.75,0.)); #451661=CARTESIAN_POINT('',(20.985,56.75,0.)); #451662=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451663=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451664=CARTESIAN_POINT('',(20.95,56.75,1.5)); #451665=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #451666=CARTESIAN_POINT('',(20.95,56.75,0.)); #451667=CARTESIAN_POINT('',(20.95,56.75,0.)); #451668=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451669=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #451670=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #451671=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451672=CARTESIAN_POINT('',(33.86,19.25,1.5)); #451673=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #451674=CARTESIAN_POINT('',(33.86,19.25,0.)); #451675=CARTESIAN_POINT('',(33.86,19.25,0.)); #451676=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451677=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451678=CARTESIAN_POINT('',(33.825,19.25,1.5)); #451679=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #451680=CARTESIAN_POINT('',(33.825,19.25,0.)); #451681=CARTESIAN_POINT('',(33.825,19.25,0.)); #451682=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451683=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #451684=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #451685=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451686=CARTESIAN_POINT('',(29.11,36.75,1.5)); #451687=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #451688=CARTESIAN_POINT('',(29.11,36.75,0.)); #451689=CARTESIAN_POINT('',(29.11,36.75,0.)); #451690=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451691=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451692=CARTESIAN_POINT('',(29.075,36.75,1.5)); #451693=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #451694=CARTESIAN_POINT('',(29.075,36.75,0.)); #451695=CARTESIAN_POINT('',(29.075,36.75,0.)); #451696=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451697=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #451698=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #451699=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451700=CARTESIAN_POINT('',(11.86,21.,1.5)); #451701=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #451702=CARTESIAN_POINT('',(11.86,21.,0.)); #451703=CARTESIAN_POINT('',(11.86,21.,0.)); #451704=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451705=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451706=CARTESIAN_POINT('',(11.825,21.,1.5)); #451707=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #451708=CARTESIAN_POINT('',(11.825,21.,0.)); #451709=CARTESIAN_POINT('',(11.825,21.,0.)); #451710=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451711=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #451712=CARTESIAN_POINT('Origin',(12.,21.,0.)); #451713=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451714=CARTESIAN_POINT('',(30.6725,36.75,1.5)); #451715=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #451716=CARTESIAN_POINT('',(30.6725,36.75,0.)); #451717=CARTESIAN_POINT('',(30.6725,36.75,0.)); #451718=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451719=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451720=CARTESIAN_POINT('',(30.6375,36.75,1.5)); #451721=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #451722=CARTESIAN_POINT('',(30.6375,36.75,0.)); #451723=CARTESIAN_POINT('',(30.6375,36.75,0.)); #451724=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451725=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #451726=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #451727=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451728=CARTESIAN_POINT('',(33.535,25.25,1.5)); #451729=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #451730=CARTESIAN_POINT('',(33.535,25.25,0.)); #451731=CARTESIAN_POINT('',(33.535,25.25,0.)); #451732=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451733=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451734=CARTESIAN_POINT('',(33.5,25.25,1.5)); #451735=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #451736=CARTESIAN_POINT('',(33.5,25.25,0.)); #451737=CARTESIAN_POINT('',(33.5,25.25,0.)); #451738=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451739=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #451740=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #451741=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451742=CARTESIAN_POINT('',(22.735,56.75,1.5)); #451743=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #451744=CARTESIAN_POINT('',(22.735,56.75,0.)); #451745=CARTESIAN_POINT('',(22.735,56.75,0.)); #451746=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451747=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451748=CARTESIAN_POINT('',(22.7,56.75,1.5)); #451749=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #451750=CARTESIAN_POINT('',(22.7,56.75,0.)); #451751=CARTESIAN_POINT('',(22.7,56.75,0.)); #451752=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451753=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #451754=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #451755=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451756=CARTESIAN_POINT('',(12.61,21.,1.5)); #451757=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #451758=CARTESIAN_POINT('',(12.61,21.,0.)); #451759=CARTESIAN_POINT('',(12.61,21.,0.)); #451760=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451761=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451762=CARTESIAN_POINT('',(12.575,21.,1.5)); #451763=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #451764=CARTESIAN_POINT('',(12.575,21.,0.)); #451765=CARTESIAN_POINT('',(12.575,21.,0.)); #451766=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451767=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #451768=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #451769=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451770=CARTESIAN_POINT('',(23.61,56.75,1.5)); #451771=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #451772=CARTESIAN_POINT('',(23.61,56.75,0.)); #451773=CARTESIAN_POINT('',(23.61,56.75,0.)); #451774=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451775=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451776=CARTESIAN_POINT('',(23.575,56.75,1.5)); #451777=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #451778=CARTESIAN_POINT('',(23.575,56.75,0.)); #451779=CARTESIAN_POINT('',(23.575,56.75,0.)); #451780=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451781=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #451782=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #451783=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451784=CARTESIAN_POINT('',(32.36,19.25,1.5)); #451785=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #451786=CARTESIAN_POINT('',(32.36,19.25,0.)); #451787=CARTESIAN_POINT('',(32.36,19.25,0.)); #451788=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451789=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451790=CARTESIAN_POINT('',(32.325,19.25,1.5)); #451791=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #451792=CARTESIAN_POINT('',(32.325,19.25,0.)); #451793=CARTESIAN_POINT('',(32.325,19.25,0.)); #451794=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451795=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #451796=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #451797=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451798=CARTESIAN_POINT('',(23.61,55.25,1.5)); #451799=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #451800=CARTESIAN_POINT('',(23.61,55.25,0.)); #451801=CARTESIAN_POINT('',(23.61,55.25,0.)); #451802=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451803=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451804=CARTESIAN_POINT('',(23.575,55.25,1.5)); #451805=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #451806=CARTESIAN_POINT('',(23.575,55.25,0.)); #451807=CARTESIAN_POINT('',(23.575,55.25,0.)); #451808=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451809=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #451810=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #451811=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451812=CARTESIAN_POINT('',(55.86,20.25,1.5)); #451813=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #451814=CARTESIAN_POINT('',(55.86,20.25,0.)); #451815=CARTESIAN_POINT('',(55.86,20.25,0.)); #451816=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451817=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451818=CARTESIAN_POINT('',(55.825,20.25,1.5)); #451819=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #451820=CARTESIAN_POINT('',(55.825,20.25,0.)); #451821=CARTESIAN_POINT('',(55.825,20.25,0.)); #451822=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451823=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #451824=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #451825=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451826=CARTESIAN_POINT('',(23.61,56.,1.5)); #451827=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #451828=CARTESIAN_POINT('',(23.61,56.,0.)); #451829=CARTESIAN_POINT('',(23.61,56.,0.)); #451830=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451831=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451832=CARTESIAN_POINT('',(23.575,56.,1.5)); #451833=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #451834=CARTESIAN_POINT('',(23.575,56.,0.)); #451835=CARTESIAN_POINT('',(23.575,56.,0.)); #451836=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451837=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #451838=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #451839=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451840=CARTESIAN_POINT('',(31.36,9.5,1.5)); #451841=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #451842=CARTESIAN_POINT('',(31.36,9.5,0.)); #451843=CARTESIAN_POINT('',(31.36,9.5,0.)); #451844=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451845=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451846=CARTESIAN_POINT('',(31.325,9.5,1.5)); #451847=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #451848=CARTESIAN_POINT('',(31.325,9.5,0.)); #451849=CARTESIAN_POINT('',(31.325,9.5,0.)); #451850=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451851=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #451852=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #451853=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451854=CARTESIAN_POINT('',(22.735,55.25,1.5)); #451855=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #451856=CARTESIAN_POINT('',(22.735,55.25,0.)); #451857=CARTESIAN_POINT('',(22.735,55.25,0.)); #451858=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451859=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451860=CARTESIAN_POINT('',(22.7,55.25,1.5)); #451861=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #451862=CARTESIAN_POINT('',(22.7,55.25,0.)); #451863=CARTESIAN_POINT('',(22.7,55.25,0.)); #451864=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451865=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #451866=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #451867=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451868=CARTESIAN_POINT('',(12.61,21.75,1.5)); #451869=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #451870=CARTESIAN_POINT('',(12.61,21.75,0.)); #451871=CARTESIAN_POINT('',(12.61,21.75,0.)); #451872=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451873=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451874=CARTESIAN_POINT('',(12.575,21.75,1.5)); #451875=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #451876=CARTESIAN_POINT('',(12.575,21.75,0.)); #451877=CARTESIAN_POINT('',(12.575,21.75,0.)); #451878=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451879=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #451880=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #451881=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451882=CARTESIAN_POINT('',(22.735,56.,1.5)); #451883=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #451884=CARTESIAN_POINT('',(22.735,56.,0.)); #451885=CARTESIAN_POINT('',(22.735,56.,0.)); #451886=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451887=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451888=CARTESIAN_POINT('',(22.7,56.,1.5)); #451889=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #451890=CARTESIAN_POINT('',(22.7,56.,0.)); #451891=CARTESIAN_POINT('',(22.7,56.,0.)); #451892=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451893=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #451894=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #451895=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451896=CARTESIAN_POINT('',(33.11,19.25,1.5)); #451897=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #451898=CARTESIAN_POINT('',(33.11,19.25,0.)); #451899=CARTESIAN_POINT('',(33.11,19.25,0.)); #451900=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451901=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451902=CARTESIAN_POINT('',(33.075,19.25,1.5)); #451903=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #451904=CARTESIAN_POINT('',(33.075,19.25,0.)); #451905=CARTESIAN_POINT('',(33.075,19.25,0.)); #451906=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451907=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #451908=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #451909=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451910=CARTESIAN_POINT('',(20.985,55.25,1.5)); #451911=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #451912=CARTESIAN_POINT('',(20.985,55.25,0.)); #451913=CARTESIAN_POINT('',(20.985,55.25,0.)); #451914=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451915=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451916=CARTESIAN_POINT('',(20.95,55.25,1.5)); #451917=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #451918=CARTESIAN_POINT('',(20.95,55.25,0.)); #451919=CARTESIAN_POINT('',(20.95,55.25,0.)); #451920=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451921=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #451922=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #451923=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451924=CARTESIAN_POINT('',(12.61,20.25,1.5)); #451925=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #451926=CARTESIAN_POINT('',(12.61,20.25,0.)); #451927=CARTESIAN_POINT('',(12.61,20.25,0.)); #451928=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451929=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451930=CARTESIAN_POINT('',(12.575,20.25,1.5)); #451931=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #451932=CARTESIAN_POINT('',(12.575,20.25,0.)); #451933=CARTESIAN_POINT('',(12.575,20.25,0.)); #451934=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451935=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #451936=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #451937=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451938=CARTESIAN_POINT('',(20.985,56.,1.5)); #451939=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #451940=CARTESIAN_POINT('',(20.985,56.,0.)); #451941=CARTESIAN_POINT('',(20.985,56.,0.)); #451942=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451943=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451944=CARTESIAN_POINT('',(20.95,56.,1.5)); #451945=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #451946=CARTESIAN_POINT('',(20.95,56.,0.)); #451947=CARTESIAN_POINT('',(20.95,56.,0.)); #451948=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451949=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #451950=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #451951=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451952=CARTESIAN_POINT('',(43.257,35.27,1.5)); #451953=CARTESIAN_POINT('Origin',(43.73,35.27,1.5)); #451954=CARTESIAN_POINT('',(43.257,35.27,0.)); #451955=CARTESIAN_POINT('',(43.257,35.27,0.)); #451956=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451957=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451958=CARTESIAN_POINT('',(43.222,35.27,1.5)); #451959=CARTESIAN_POINT('Origin',(43.73,35.27,1.5)); #451960=CARTESIAN_POINT('',(43.222,35.27,0.)); #451961=CARTESIAN_POINT('',(43.222,35.27,0.)); #451962=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451963=CARTESIAN_POINT('Origin',(43.73,35.27,1.5)); #451964=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #451965=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451966=CARTESIAN_POINT('',(39.872,52.5,1.5)); #451967=CARTESIAN_POINT('Origin',(40.012,52.5,1.5)); #451968=CARTESIAN_POINT('',(39.872,52.5,0.)); #451969=CARTESIAN_POINT('',(39.872,52.5,0.)); #451970=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451971=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451972=CARTESIAN_POINT('',(39.837,52.5,1.5)); #451973=CARTESIAN_POINT('Origin',(40.012,52.5,1.5)); #451974=CARTESIAN_POINT('',(39.837,52.5,0.)); #451975=CARTESIAN_POINT('',(39.837,52.5,0.)); #451976=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451977=CARTESIAN_POINT('Origin',(40.012,52.5,1.5)); #451978=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #451979=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451980=CARTESIAN_POINT('',(54.36,20.25,1.5)); #451981=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #451982=CARTESIAN_POINT('',(54.36,20.25,0.)); #451983=CARTESIAN_POINT('',(54.36,20.25,0.)); #451984=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451985=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451986=CARTESIAN_POINT('',(54.325,20.25,1.5)); #451987=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #451988=CARTESIAN_POINT('',(54.325,20.25,0.)); #451989=CARTESIAN_POINT('',(54.325,20.25,0.)); #451990=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451991=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #451992=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #451993=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #451994=CARTESIAN_POINT('',(46.735,56.75,1.5)); #451995=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #451996=CARTESIAN_POINT('',(46.735,56.75,0.)); #451997=CARTESIAN_POINT('',(46.735,56.75,0.)); #451998=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #451999=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #452000=CARTESIAN_POINT('',(46.7,56.75,1.5)); #452001=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #452002=CARTESIAN_POINT('',(46.7,56.75,0.)); #452003=CARTESIAN_POINT('',(46.7,56.75,0.)); #452004=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #452005=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #452006=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #452007=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #452008=CARTESIAN_POINT('',(35.36,15.5,1.5)); #452009=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #452010=CARTESIAN_POINT('',(35.36,15.5,0.)); #452011=CARTESIAN_POINT('',(35.36,15.5,0.)); #452012=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #452013=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #452014=CARTESIAN_POINT('',(35.325,15.5,1.5)); #452015=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #452016=CARTESIAN_POINT('',(35.325,15.5,0.)); #452017=CARTESIAN_POINT('',(35.325,15.5,0.)); #452018=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #452019=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #452020=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #452021=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #452022=CARTESIAN_POINT('',(46.735,55.25,1.5)); #452023=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #452024=CARTESIAN_POINT('',(46.735,55.25,0.)); #452025=CARTESIAN_POINT('',(46.735,55.25,0.)); #452026=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #452027=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #452028=CARTESIAN_POINT('',(46.7,55.25,1.5)); #452029=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #452030=CARTESIAN_POINT('',(46.7,55.25,0.)); #452031=CARTESIAN_POINT('',(46.7,55.25,0.)); #452032=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #452033=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #452034=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #452035=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #452036=CARTESIAN_POINT('',(55.11,20.25,1.5)); #452037=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #452038=CARTESIAN_POINT('',(55.11,20.25,0.)); #452039=CARTESIAN_POINT('',(55.11,20.25,0.)); #452040=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #452041=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #452042=CARTESIAN_POINT('',(55.075,20.25,1.5)); #452043=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #452044=CARTESIAN_POINT('',(55.075,20.25,0.)); #452045=CARTESIAN_POINT('',(55.075,20.25,0.)); #452046=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #452047=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #452048=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #452049=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #452050=CARTESIAN_POINT('',(46.735,56.,1.5)); #452051=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #452052=CARTESIAN_POINT('',(46.735,56.,0.)); #452053=CARTESIAN_POINT('',(46.735,56.,0.)); #452054=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #452055=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #452056=CARTESIAN_POINT('',(46.7,56.,1.5)); #452057=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #452058=CARTESIAN_POINT('',(46.7,56.,0.)); #452059=CARTESIAN_POINT('',(46.7,56.,0.)); #452060=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #452061=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #452062=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #452063=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #452064=CARTESIAN_POINT('',(30.61,8.75,1.5)); #452065=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #452066=CARTESIAN_POINT('',(30.61,8.75,0.)); #452067=CARTESIAN_POINT('',(30.61,8.75,0.)); #452068=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #452069=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #452070=CARTESIAN_POINT('',(30.575,8.75,1.5)); #452071=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #452072=CARTESIAN_POINT('',(30.575,8.75,0.)); #452073=CARTESIAN_POINT('',(30.575,8.75,0.)); #452074=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #452075=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #452076=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #452077=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #452078=CARTESIAN_POINT('',(44.985,56.75,1.5)); #452079=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #452080=CARTESIAN_POINT('',(44.985,56.75,0.)); #452081=CARTESIAN_POINT('',(44.985,56.75,0.)); #452082=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #452083=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #452084=CARTESIAN_POINT('',(44.95,56.75,1.5)); #452085=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #452086=CARTESIAN_POINT('',(44.95,56.75,0.)); #452087=CARTESIAN_POINT('',(44.95,56.75,0.)); #452088=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #452089=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #452090=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #452091=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #452092=CARTESIAN_POINT('',(55.11,21.,1.5)); #452093=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #452094=CARTESIAN_POINT('',(55.11,21.,0.)); #452095=CARTESIAN_POINT('',(55.11,21.,0.)); #452096=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #452097=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #452098=CARTESIAN_POINT('',(55.075,21.,1.5)); #452099=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #452100=CARTESIAN_POINT('',(55.075,21.,0.)); #452101=CARTESIAN_POINT('',(55.075,21.,0.)); #452102=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #452103=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #452104=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #452105=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #452106=CARTESIAN_POINT('',(47.61,56.75,1.5)); #452107=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #452108=CARTESIAN_POINT('',(47.61,56.75,0.)); #452109=CARTESIAN_POINT('',(47.61,56.75,0.)); #452110=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #452111=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #452112=CARTESIAN_POINT('',(47.575,56.75,1.5)); #452113=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #452114=CARTESIAN_POINT('',(47.575,56.75,0.)); #452115=CARTESIAN_POINT('',(47.575,56.75,0.)); #452116=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #452117=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #452118=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #452119=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #452120=CARTESIAN_POINT('',(34.61,15.5,1.5)); #452121=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #452122=CARTESIAN_POINT('',(34.61,15.5,0.)); #452123=CARTESIAN_POINT('',(34.61,15.5,0.)); #452124=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #452125=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #452126=CARTESIAN_POINT('',(34.575,15.5,1.5)); #452127=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #452128=CARTESIAN_POINT('',(34.575,15.5,0.)); #452129=CARTESIAN_POINT('',(34.575,15.5,0.)); #452130=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #452131=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #452132=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #452133=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #452134=CARTESIAN_POINT('',(44.985,56.,1.5)); #452135=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #452136=CARTESIAN_POINT('',(44.985,56.,0.)); #452137=CARTESIAN_POINT('',(44.985,56.,0.)); #452138=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #452139=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #452140=CARTESIAN_POINT('',(44.95,56.,1.5)); #452141=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #452142=CARTESIAN_POINT('',(44.95,56.,0.)); #452143=CARTESIAN_POINT('',(44.95,56.,0.)); #452144=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #452145=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #452146=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #452147=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #452148=CARTESIAN_POINT('',(55.11,21.75,1.5)); #452149=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #452150=CARTESIAN_POINT('',(55.11,21.75,0.)); #452151=CARTESIAN_POINT('',(55.11,21.75,0.)); #452152=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #452153=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #452154=CARTESIAN_POINT('',(55.075,21.75,1.5)); #452155=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #452156=CARTESIAN_POINT('',(55.075,21.75,0.)); #452157=CARTESIAN_POINT('',(55.075,21.75,0.)); #452158=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #452159=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #452160=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #452161=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #452162=CARTESIAN_POINT('',(44.985,55.25,1.5)); #452163=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #452164=CARTESIAN_POINT('',(44.985,55.25,0.)); #452165=CARTESIAN_POINT('',(44.985,55.25,0.)); #452166=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #452167=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #452168=CARTESIAN_POINT('',(44.95,55.25,1.5)); #452169=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #452170=CARTESIAN_POINT('',(44.95,55.25,0.)); #452171=CARTESIAN_POINT('',(44.95,55.25,0.)); #452172=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #452173=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #452174=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #452175=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #452176=CARTESIAN_POINT('',(36.035,25.25,1.5)); #452177=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #452178=CARTESIAN_POINT('',(36.035,25.25,0.)); #452179=CARTESIAN_POINT('',(36.035,25.25,0.)); #452180=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #452181=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #452182=CARTESIAN_POINT('',(36.,25.25,1.5)); #452183=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #452184=CARTESIAN_POINT('',(36.,25.25,0.)); #452185=CARTESIAN_POINT('',(36.,25.25,0.)); #452186=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #452187=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #452188=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #452189=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #452190=CARTESIAN_POINT('',(20.11,55.25,1.5)); #452191=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #452192=CARTESIAN_POINT('',(20.11,55.25,0.)); #452193=CARTESIAN_POINT('',(20.11,55.25,0.)); #452194=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #452195=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #452196=CARTESIAN_POINT('',(20.075,55.25,1.5)); #452197=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #452198=CARTESIAN_POINT('',(20.075,55.25,0.)); #452199=CARTESIAN_POINT('',(20.075,55.25,0.)); #452200=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #452201=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #452202=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #452203=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #452204=CARTESIAN_POINT('',(54.36,21.75,1.5)); #452205=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #452206=CARTESIAN_POINT('',(54.36,21.75,0.)); #452207=CARTESIAN_POINT('',(54.36,21.75,0.)); #452208=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #452209=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #452210=CARTESIAN_POINT('',(54.325,21.75,1.5)); #452211=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #452212=CARTESIAN_POINT('',(54.325,21.75,0.)); #452213=CARTESIAN_POINT('',(54.325,21.75,0.)); #452214=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #452215=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #452216=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #452217=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #452218=CARTESIAN_POINT('',(20.11,56.75,1.5)); #452219=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #452220=CARTESIAN_POINT('',(20.11,56.75,0.)); #452221=CARTESIAN_POINT('',(20.11,56.75,0.)); #452222=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #452223=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #452224=CARTESIAN_POINT('',(20.075,56.75,1.5)); #452225=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #452226=CARTESIAN_POINT('',(20.075,56.75,0.)); #452227=CARTESIAN_POINT('',(20.075,56.75,0.)); #452228=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #452229=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #452230=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #452231=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #452232=CARTESIAN_POINT('',(35.36,16.25,1.5)); #452233=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #452234=CARTESIAN_POINT('',(35.36,16.25,0.)); #452235=CARTESIAN_POINT('',(35.36,16.25,0.)); #452236=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #452237=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #452238=CARTESIAN_POINT('',(35.325,16.25,1.5)); #452239=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #452240=CARTESIAN_POINT('',(35.325,16.25,0.)); #452241=CARTESIAN_POINT('',(35.325,16.25,0.)); #452242=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #452243=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #452244=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #452245=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #452246=CARTESIAN_POINT('',(38.61,36.75,1.5)); #452247=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #452248=CARTESIAN_POINT('',(38.61,36.75,0.)); #452249=CARTESIAN_POINT('',(38.61,36.75,0.)); #452250=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #452251=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #452252=CARTESIAN_POINT('',(38.575,36.75,1.5)); #452253=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #452254=CARTESIAN_POINT('',(38.575,36.75,0.)); #452255=CARTESIAN_POINT('',(38.575,36.75,0.)); #452256=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #452257=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #452258=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #452259=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #452260=CARTESIAN_POINT('',(54.36,21.,1.5)); #452261=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #452262=CARTESIAN_POINT('',(54.36,21.,0.)); #452263=CARTESIAN_POINT('',(54.36,21.,0.)); #452264=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #452265=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #452266=CARTESIAN_POINT('',(54.325,21.,1.5)); #452267=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #452268=CARTESIAN_POINT('',(54.325,21.,0.)); #452269=CARTESIAN_POINT('',(54.325,21.,0.)); #452270=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #452271=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #452272=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #452273=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #452274=CARTESIAN_POINT('',(37.0475,36.75,1.5)); #452275=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #452276=CARTESIAN_POINT('',(37.0475,36.75,0.)); #452277=CARTESIAN_POINT('',(37.0475,36.75,0.)); #452278=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #452279=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #452280=CARTESIAN_POINT('',(37.0125,36.75,1.5)); #452281=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #452282=CARTESIAN_POINT('',(37.0125,36.75,0.)); #452283=CARTESIAN_POINT('',(37.0125,36.75,0.)); #452284=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #452285=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #452286=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #452287=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #452288=CARTESIAN_POINT('',(30.61,9.5,1.5)); #452289=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #452290=CARTESIAN_POINT('',(30.61,9.5,0.)); #452291=CARTESIAN_POINT('',(30.61,9.5,0.)); #452292=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #452293=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #452294=CARTESIAN_POINT('',(30.575,9.5,1.5)); #452295=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #452296=CARTESIAN_POINT('',(30.575,9.5,0.)); #452297=CARTESIAN_POINT('',(30.575,9.5,0.)); #452298=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #452299=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #452300=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #452301=CARTESIAN_POINT('Origin',(22.,56.,0.)); #452302=CARTESIAN_POINT('',(21.86,56.,1.5)); #452303=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #452304=CARTESIAN_POINT('',(21.86,56.,0.)); #452305=CARTESIAN_POINT('',(21.86,56.,0.)); #452306=CARTESIAN_POINT('Origin',(22.,56.,0.)); #452307=CARTESIAN_POINT('Origin',(22.,56.,0.)); #452308=CARTESIAN_POINT('',(21.825,56.,1.5)); #452309=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #452310=CARTESIAN_POINT('',(21.825,56.,0.)); #452311=CARTESIAN_POINT('',(21.825,56.,0.)); #452312=CARTESIAN_POINT('Origin',(22.,56.,0.)); #452313=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #452314=CARTESIAN_POINT('Origin',(22.,56.,0.)); #452315=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #452316=CARTESIAN_POINT('',(55.86,21.75,1.5)); #452317=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #452318=CARTESIAN_POINT('',(55.86,21.75,0.)); #452319=CARTESIAN_POINT('',(55.86,21.75,0.)); #452320=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #452321=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #452322=CARTESIAN_POINT('',(55.825,21.75,1.5)); #452323=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #452324=CARTESIAN_POINT('',(55.825,21.75,0.)); #452325=CARTESIAN_POINT('',(55.825,21.75,0.)); #452326=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #452327=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #452328=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #452329=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #452330=CARTESIAN_POINT('',(20.11,56.,1.5)); #452331=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #452332=CARTESIAN_POINT('',(20.11,56.,0.)); #452333=CARTESIAN_POINT('',(20.11,56.,0.)); #452334=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #452335=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #452336=CARTESIAN_POINT('',(20.075,56.,1.5)); #452337=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #452338=CARTESIAN_POINT('',(20.075,56.,0.)); #452339=CARTESIAN_POINT('',(20.075,56.,0.)); #452340=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #452341=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #452342=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #452343=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #452344=CARTESIAN_POINT('',(35.36,17.,1.5)); #452345=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #452346=CARTESIAN_POINT('',(35.36,17.,0.)); #452347=CARTESIAN_POINT('',(35.36,17.,0.)); #452348=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #452349=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #452350=CARTESIAN_POINT('',(35.325,17.,1.5)); #452351=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #452352=CARTESIAN_POINT('',(35.325,17.,0.)); #452353=CARTESIAN_POINT('',(35.325,17.,0.)); #452354=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #452355=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #452356=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #452357=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #452358=CARTESIAN_POINT('',(21.86,56.75,1.5)); #452359=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #452360=CARTESIAN_POINT('',(21.86,56.75,0.)); #452361=CARTESIAN_POINT('',(21.86,56.75,0.)); #452362=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #452363=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #452364=CARTESIAN_POINT('',(21.825,56.75,1.5)); #452365=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #452366=CARTESIAN_POINT('',(21.825,56.75,0.)); #452367=CARTESIAN_POINT('',(21.825,56.75,0.)); #452368=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #452369=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #452370=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #452371=CARTESIAN_POINT('Origin',(56.,21.,0.)); #452372=CARTESIAN_POINT('',(55.86,21.,1.5)); #452373=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #452374=CARTESIAN_POINT('',(55.86,21.,0.)); #452375=CARTESIAN_POINT('',(55.86,21.,0.)); #452376=CARTESIAN_POINT('Origin',(56.,21.,0.)); #452377=CARTESIAN_POINT('Origin',(56.,21.,0.)); #452378=CARTESIAN_POINT('',(55.825,21.,1.5)); #452379=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #452380=CARTESIAN_POINT('',(55.825,21.,0.)); #452381=CARTESIAN_POINT('',(55.825,21.,0.)); #452382=CARTESIAN_POINT('Origin',(56.,21.,0.)); #452383=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #452384=CARTESIAN_POINT('Origin',(56.,21.,0.)); #452385=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #452386=CARTESIAN_POINT('',(21.86,55.25,1.5)); #452387=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #452388=CARTESIAN_POINT('',(21.86,55.25,0.)); #452389=CARTESIAN_POINT('',(21.86,55.25,0.)); #452390=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #452391=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #452392=CARTESIAN_POINT('',(21.825,55.25,1.5)); #452393=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #452394=CARTESIAN_POINT('',(21.825,55.25,0.)); #452395=CARTESIAN_POINT('',(21.825,55.25,0.)); #452396=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #452397=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #452398=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #452399=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #452400=CARTESIAN_POINT('',(37.245,45.,1.5)); #452401=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #452402=CARTESIAN_POINT('',(37.245,45.,0.)); #452403=CARTESIAN_POINT('',(37.245,45.,0.)); #452404=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #452405=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #452406=CARTESIAN_POINT('',(37.21,45.,1.5)); #452407=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #452408=CARTESIAN_POINT('',(37.21,45.,0.)); #452409=CARTESIAN_POINT('',(37.21,45.,0.)); #452410=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #452411=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #452412=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #452413=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #452414=CARTESIAN_POINT('',(50.235,47.75,1.5)); #452415=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #452416=CARTESIAN_POINT('',(50.235,47.75,0.)); #452417=CARTESIAN_POINT('',(50.235,47.75,0.)); #452418=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #452419=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #452420=CARTESIAN_POINT('',(50.2,47.75,1.5)); #452421=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #452422=CARTESIAN_POINT('',(50.2,47.75,0.)); #452423=CARTESIAN_POINT('',(50.2,47.75,0.)); #452424=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #452425=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #452426=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #452427=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #452428=CARTESIAN_POINT('',(42.36,24.5,1.5)); #452429=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #452430=CARTESIAN_POINT('',(42.36,24.5,0.)); #452431=CARTESIAN_POINT('',(42.36,24.5,0.)); #452432=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #452433=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #452434=CARTESIAN_POINT('',(42.325,24.5,1.5)); #452435=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #452436=CARTESIAN_POINT('',(42.325,24.5,0.)); #452437=CARTESIAN_POINT('',(42.325,24.5,0.)); #452438=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #452439=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #452440=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #452441=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #452442=CARTESIAN_POINT('',(50.235,47.,1.5)); #452443=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #452444=CARTESIAN_POINT('',(50.235,47.,0.)); #452445=CARTESIAN_POINT('',(50.235,47.,0.)); #452446=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #452447=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #452448=CARTESIAN_POINT('',(50.2,47.,1.5)); #452449=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #452450=CARTESIAN_POINT('',(50.2,47.,0.)); #452451=CARTESIAN_POINT('',(50.2,47.,0.)); #452452=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #452453=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #452454=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #452455=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #452456=CARTESIAN_POINT('',(32.36,17.,1.5)); #452457=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #452458=CARTESIAN_POINT('',(32.36,17.,0.)); #452459=CARTESIAN_POINT('',(32.36,17.,0.)); #452460=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #452461=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #452462=CARTESIAN_POINT('',(32.325,17.,1.5)); #452463=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #452464=CARTESIAN_POINT('',(32.325,17.,0.)); #452465=CARTESIAN_POINT('',(32.325,17.,0.)); #452466=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #452467=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #452468=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #452469=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #452470=CARTESIAN_POINT('',(49.36,46.25,1.5)); #452471=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #452472=CARTESIAN_POINT('',(49.36,46.25,0.)); #452473=CARTESIAN_POINT('',(49.36,46.25,0.)); #452474=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #452475=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #452476=CARTESIAN_POINT('',(49.325,46.25,1.5)); #452477=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #452478=CARTESIAN_POINT('',(49.325,46.25,0.)); #452479=CARTESIAN_POINT('',(49.325,46.25,0.)); #452480=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #452481=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #452482=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #452483=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #452484=CARTESIAN_POINT('',(41.61,24.5,1.5)); #452485=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #452486=CARTESIAN_POINT('',(41.61,24.5,0.)); #452487=CARTESIAN_POINT('',(41.61,24.5,0.)); #452488=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #452489=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #452490=CARTESIAN_POINT('',(41.575,24.5,1.5)); #452491=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #452492=CARTESIAN_POINT('',(41.575,24.5,0.)); #452493=CARTESIAN_POINT('',(41.575,24.5,0.)); #452494=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #452495=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #452496=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #452497=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #452498=CARTESIAN_POINT('',(50.235,46.25,1.5)); #452499=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #452500=CARTESIAN_POINT('',(50.235,46.25,0.)); #452501=CARTESIAN_POINT('',(50.235,46.25,0.)); #452502=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #452503=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #452504=CARTESIAN_POINT('',(50.2,46.25,1.5)); #452505=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #452506=CARTESIAN_POINT('',(50.2,46.25,0.)); #452507=CARTESIAN_POINT('',(50.2,46.25,0.)); #452508=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #452509=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #452510=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #452511=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #452512=CARTESIAN_POINT('',(33.11,5.5,1.5)); #452513=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #452514=CARTESIAN_POINT('',(33.11,5.5,0.)); #452515=CARTESIAN_POINT('',(33.11,5.5,0.)); #452516=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #452517=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #452518=CARTESIAN_POINT('',(33.075,5.5,1.5)); #452519=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #452520=CARTESIAN_POINT('',(33.075,5.5,0.)); #452521=CARTESIAN_POINT('',(33.075,5.5,0.)); #452522=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #452523=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #452524=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #452525=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #452526=CARTESIAN_POINT('',(48.485,47.75,1.5)); #452527=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #452528=CARTESIAN_POINT('',(48.485,47.75,0.)); #452529=CARTESIAN_POINT('',(48.485,47.75,0.)); #452530=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #452531=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #452532=CARTESIAN_POINT('',(48.45,47.75,1.5)); #452533=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #452534=CARTESIAN_POINT('',(48.45,47.75,0.)); #452535=CARTESIAN_POINT('',(48.45,47.75,0.)); #452536=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #452537=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #452538=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #452539=CARTESIAN_POINT('Origin',(41.,26.,0.)); #452540=CARTESIAN_POINT('',(40.86,26.,1.5)); #452541=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #452542=CARTESIAN_POINT('',(40.86,26.,0.)); #452543=CARTESIAN_POINT('',(40.86,26.,0.)); #452544=CARTESIAN_POINT('Origin',(41.,26.,0.)); #452545=CARTESIAN_POINT('Origin',(41.,26.,0.)); #452546=CARTESIAN_POINT('',(40.825,26.,1.5)); #452547=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #452548=CARTESIAN_POINT('',(40.825,26.,0.)); #452549=CARTESIAN_POINT('',(40.825,26.,0.)); #452550=CARTESIAN_POINT('Origin',(41.,26.,0.)); #452551=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #452552=CARTESIAN_POINT('Origin',(41.,26.,0.)); #452553=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #452554=CARTESIAN_POINT('',(48.485,47.,1.5)); #452555=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #452556=CARTESIAN_POINT('',(48.485,47.,0.)); #452557=CARTESIAN_POINT('',(48.485,47.,0.)); #452558=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #452559=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #452560=CARTESIAN_POINT('',(48.45,47.,1.5)); #452561=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #452562=CARTESIAN_POINT('',(48.45,47.,0.)); #452563=CARTESIAN_POINT('',(48.45,47.,0.)); #452564=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #452565=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #452566=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #452567=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #452568=CARTESIAN_POINT('',(32.36,17.75,1.5)); #452569=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #452570=CARTESIAN_POINT('',(32.36,17.75,0.)); #452571=CARTESIAN_POINT('',(32.36,17.75,0.)); #452572=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #452573=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #452574=CARTESIAN_POINT('',(32.325,17.75,1.5)); #452575=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #452576=CARTESIAN_POINT('',(32.325,17.75,0.)); #452577=CARTESIAN_POINT('',(32.325,17.75,0.)); #452578=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #452579=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #452580=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #452581=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #452582=CARTESIAN_POINT('',(49.36,47.75,1.5)); #452583=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #452584=CARTESIAN_POINT('',(49.36,47.75,0.)); #452585=CARTESIAN_POINT('',(49.36,47.75,0.)); #452586=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #452587=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #452588=CARTESIAN_POINT('',(49.325,47.75,1.5)); #452589=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #452590=CARTESIAN_POINT('',(49.325,47.75,0.)); #452591=CARTESIAN_POINT('',(49.325,47.75,0.)); #452592=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #452593=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #452594=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #452595=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #452596=CARTESIAN_POINT('',(40.86,24.5,1.5)); #452597=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #452598=CARTESIAN_POINT('',(40.86,24.5,0.)); #452599=CARTESIAN_POINT('',(40.86,24.5,0.)); #452600=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #452601=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #452602=CARTESIAN_POINT('',(40.825,24.5,1.5)); #452603=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #452604=CARTESIAN_POINT('',(40.825,24.5,0.)); #452605=CARTESIAN_POINT('',(40.825,24.5,0.)); #452606=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #452607=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #452608=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #452609=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #452610=CARTESIAN_POINT('',(49.36,47.,1.5)); #452611=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #452612=CARTESIAN_POINT('',(49.36,47.,0.)); #452613=CARTESIAN_POINT('',(49.36,47.,0.)); #452614=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #452615=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #452616=CARTESIAN_POINT('',(49.325,47.,1.5)); #452617=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #452618=CARTESIAN_POINT('',(49.325,47.,0.)); #452619=CARTESIAN_POINT('',(49.325,47.,0.)); #452620=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #452621=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #452622=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #452623=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #452624=CARTESIAN_POINT('',(15.285,34.,1.5)); #452625=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #452626=CARTESIAN_POINT('',(15.285,34.,0.)); #452627=CARTESIAN_POINT('',(15.285,34.,0.)); #452628=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #452629=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #452630=CARTESIAN_POINT('',(15.25,34.,1.5)); #452631=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #452632=CARTESIAN_POINT('',(15.25,34.,0.)); #452633=CARTESIAN_POINT('',(15.25,34.,0.)); #452634=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #452635=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #452636=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #452637=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #452638=CARTESIAN_POINT('',(44.985,46.25,1.5)); #452639=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #452640=CARTESIAN_POINT('',(44.985,46.25,0.)); #452641=CARTESIAN_POINT('',(44.985,46.25,0.)); #452642=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #452643=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #452644=CARTESIAN_POINT('',(44.95,46.25,1.5)); #452645=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #452646=CARTESIAN_POINT('',(44.95,46.25,0.)); #452647=CARTESIAN_POINT('',(44.95,46.25,0.)); #452648=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #452649=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #452650=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #452651=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #452652=CARTESIAN_POINT('',(40.86,25.25,1.5)); #452653=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #452654=CARTESIAN_POINT('',(40.86,25.25,0.)); #452655=CARTESIAN_POINT('',(40.86,25.25,0.)); #452656=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #452657=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #452658=CARTESIAN_POINT('',(40.825,25.25,1.5)); #452659=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #452660=CARTESIAN_POINT('',(40.825,25.25,0.)); #452661=CARTESIAN_POINT('',(40.825,25.25,0.)); #452662=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #452663=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #452664=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #452665=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #452666=CARTESIAN_POINT('',(44.985,47.,1.5)); #452667=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #452668=CARTESIAN_POINT('',(44.985,47.,0.)); #452669=CARTESIAN_POINT('',(44.985,47.,0.)); #452670=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #452671=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #452672=CARTESIAN_POINT('',(44.95,47.,1.5)); #452673=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #452674=CARTESIAN_POINT('',(44.95,47.,0.)); #452675=CARTESIAN_POINT('',(44.95,47.,0.)); #452676=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #452677=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #452678=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #452679=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #452680=CARTESIAN_POINT('',(33.11,18.5,1.5)); #452681=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #452682=CARTESIAN_POINT('',(33.11,18.5,0.)); #452683=CARTESIAN_POINT('',(33.11,18.5,0.)); #452684=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #452685=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #452686=CARTESIAN_POINT('',(33.075,18.5,1.5)); #452687=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #452688=CARTESIAN_POINT('',(33.075,18.5,0.)); #452689=CARTESIAN_POINT('',(33.075,18.5,0.)); #452690=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #452691=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #452692=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #452693=CARTESIAN_POINT('Origin',(46.,47.,0.)); #452694=CARTESIAN_POINT('',(45.86,47.,1.5)); #452695=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #452696=CARTESIAN_POINT('',(45.86,47.,0.)); #452697=CARTESIAN_POINT('',(45.86,47.,0.)); #452698=CARTESIAN_POINT('Origin',(46.,47.,0.)); #452699=CARTESIAN_POINT('Origin',(46.,47.,0.)); #452700=CARTESIAN_POINT('',(45.825,47.,1.5)); #452701=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #452702=CARTESIAN_POINT('',(45.825,47.,0.)); #452703=CARTESIAN_POINT('',(45.825,47.,0.)); #452704=CARTESIAN_POINT('Origin',(46.,47.,0.)); #452705=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #452706=CARTESIAN_POINT('Origin',(46.,47.,0.)); #452707=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #452708=CARTESIAN_POINT('',(33.11,48.5,1.5)); #452709=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #452710=CARTESIAN_POINT('',(33.11,48.5,0.)); #452711=CARTESIAN_POINT('',(33.11,48.5,0.)); #452712=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #452713=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #452714=CARTESIAN_POINT('',(33.075,48.5,1.5)); #452715=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #452716=CARTESIAN_POINT('',(33.075,48.5,0.)); #452717=CARTESIAN_POINT('',(33.075,48.5,0.)); #452718=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #452719=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #452720=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #452721=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #452722=CARTESIAN_POINT('',(44.985,47.75,1.5)); #452723=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #452724=CARTESIAN_POINT('',(44.985,47.75,0.)); #452725=CARTESIAN_POINT('',(44.985,47.75,0.)); #452726=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #452727=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #452728=CARTESIAN_POINT('',(44.95,47.75,1.5)); #452729=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #452730=CARTESIAN_POINT('',(44.95,47.75,0.)); #452731=CARTESIAN_POINT('',(44.95,47.75,0.)); #452732=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #452733=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #452734=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #452735=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #452736=CARTESIAN_POINT('',(33.86,5.5,1.5)); #452737=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #452738=CARTESIAN_POINT('',(33.86,5.5,0.)); #452739=CARTESIAN_POINT('',(33.86,5.5,0.)); #452740=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #452741=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #452742=CARTESIAN_POINT('',(33.825,5.5,1.5)); #452743=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #452744=CARTESIAN_POINT('',(33.825,5.5,0.)); #452745=CARTESIAN_POINT('',(33.825,5.5,0.)); #452746=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #452747=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #452748=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #452749=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #452750=CARTESIAN_POINT('',(46.735,47.,1.5)); #452751=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #452752=CARTESIAN_POINT('',(46.735,47.,0.)); #452753=CARTESIAN_POINT('',(46.735,47.,0.)); #452754=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #452755=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #452756=CARTESIAN_POINT('',(46.7,47.,1.5)); #452757=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #452758=CARTESIAN_POINT('',(46.7,47.,0.)); #452759=CARTESIAN_POINT('',(46.7,47.,0.)); #452760=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #452761=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #452762=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #452763=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #452764=CARTESIAN_POINT('',(41.61,25.25,1.5)); #452765=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #452766=CARTESIAN_POINT('',(41.61,25.25,0.)); #452767=CARTESIAN_POINT('',(41.61,25.25,0.)); #452768=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #452769=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #452770=CARTESIAN_POINT('',(41.575,25.25,1.5)); #452771=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #452772=CARTESIAN_POINT('',(41.575,25.25,0.)); #452773=CARTESIAN_POINT('',(41.575,25.25,0.)); #452774=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #452775=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #452776=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #452777=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #452778=CARTESIAN_POINT('',(46.735,46.25,1.5)); #452779=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #452780=CARTESIAN_POINT('',(46.735,46.25,0.)); #452781=CARTESIAN_POINT('',(46.735,46.25,0.)); #452782=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #452783=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #452784=CARTESIAN_POINT('',(46.7,46.25,1.5)); #452785=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #452786=CARTESIAN_POINT('',(46.7,46.25,0.)); #452787=CARTESIAN_POINT('',(46.7,46.25,0.)); #452788=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #452789=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #452790=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #452791=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #452792=CARTESIAN_POINT('',(32.36,18.5,1.5)); #452793=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #452794=CARTESIAN_POINT('',(32.36,18.5,0.)); #452795=CARTESIAN_POINT('',(32.36,18.5,0.)); #452796=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #452797=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #452798=CARTESIAN_POINT('',(32.325,18.5,1.5)); #452799=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #452800=CARTESIAN_POINT('',(32.325,18.5,0.)); #452801=CARTESIAN_POINT('',(32.325,18.5,0.)); #452802=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #452803=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #452804=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #452805=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #452806=CARTESIAN_POINT('',(46.735,47.75,1.5)); #452807=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #452808=CARTESIAN_POINT('',(46.735,47.75,0.)); #452809=CARTESIAN_POINT('',(46.735,47.75,0.)); #452810=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #452811=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #452812=CARTESIAN_POINT('',(46.7,47.75,1.5)); #452813=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #452814=CARTESIAN_POINT('',(46.7,47.75,0.)); #452815=CARTESIAN_POINT('',(46.7,47.75,0.)); #452816=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #452817=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #452818=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #452819=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #452820=CARTESIAN_POINT('',(41.61,26.,1.5)); #452821=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #452822=CARTESIAN_POINT('',(41.61,26.,0.)); #452823=CARTESIAN_POINT('',(41.61,26.,0.)); #452824=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #452825=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #452826=CARTESIAN_POINT('',(41.575,26.,1.5)); #452827=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #452828=CARTESIAN_POINT('',(41.575,26.,0.)); #452829=CARTESIAN_POINT('',(41.575,26.,0.)); #452830=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #452831=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #452832=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #452833=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #452834=CARTESIAN_POINT('',(48.485,46.25,1.5)); #452835=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #452836=CARTESIAN_POINT('',(48.485,46.25,0.)); #452837=CARTESIAN_POINT('',(48.485,46.25,0.)); #452838=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #452839=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #452840=CARTESIAN_POINT('',(48.45,46.25,1.5)); #452841=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #452842=CARTESIAN_POINT('',(48.45,46.25,0.)); #452843=CARTESIAN_POINT('',(48.45,46.25,0.)); #452844=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #452845=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #452846=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #452847=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #452848=CARTESIAN_POINT('',(43.257,32.73,1.5)); #452849=CARTESIAN_POINT('Origin',(43.73,32.73,1.5)); #452850=CARTESIAN_POINT('',(43.257,32.73,0.)); #452851=CARTESIAN_POINT('',(43.257,32.73,0.)); #452852=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #452853=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #452854=CARTESIAN_POINT('',(43.222,32.73,1.5)); #452855=CARTESIAN_POINT('Origin',(43.73,32.73,1.5)); #452856=CARTESIAN_POINT('',(43.222,32.73,0.)); #452857=CARTESIAN_POINT('',(43.222,32.73,0.)); #452858=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #452859=CARTESIAN_POINT('Origin',(43.73,32.73,1.5)); #452860=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #452861=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #452862=CARTESIAN_POINT('',(41.11,31.,1.5)); #452863=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #452864=CARTESIAN_POINT('',(41.11,31.,0.)); #452865=CARTESIAN_POINT('',(41.11,31.,0.)); #452866=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #452867=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #452868=CARTESIAN_POINT('',(41.075,31.,1.5)); #452869=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #452870=CARTESIAN_POINT('',(41.075,31.,0.)); #452871=CARTESIAN_POINT('',(41.075,31.,0.)); #452872=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #452873=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #452874=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #452875=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #452876=CARTESIAN_POINT('',(26.985,39.625,1.5)); #452877=CARTESIAN_POINT('Origin',(27.125,39.625,1.5)); #452878=CARTESIAN_POINT('',(26.985,39.625,0.)); #452879=CARTESIAN_POINT('',(26.985,39.625,0.)); #452880=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #452881=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #452882=CARTESIAN_POINT('',(26.95,39.625,1.5)); #452883=CARTESIAN_POINT('Origin',(27.125,39.625,1.5)); #452884=CARTESIAN_POINT('',(26.95,39.625,0.)); #452885=CARTESIAN_POINT('',(26.95,39.625,0.)); #452886=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #452887=CARTESIAN_POINT('Origin',(27.125,39.625,1.5)); #452888=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #452889=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #452890=CARTESIAN_POINT('',(41.11,36.,1.5)); #452891=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #452892=CARTESIAN_POINT('',(41.11,36.,0.)); #452893=CARTESIAN_POINT('',(41.11,36.,0.)); #452894=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #452895=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #452896=CARTESIAN_POINT('',(41.075,36.,1.5)); #452897=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #452898=CARTESIAN_POINT('',(41.075,36.,0.)); #452899=CARTESIAN_POINT('',(41.075,36.,0.)); #452900=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #452901=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #452902=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #452903=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #452904=CARTESIAN_POINT('',(32.36,15.5,1.5)); #452905=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #452906=CARTESIAN_POINT('',(32.36,15.5,0.)); #452907=CARTESIAN_POINT('',(32.36,15.5,0.)); #452908=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #452909=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #452910=CARTESIAN_POINT('',(32.325,15.5,1.5)); #452911=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #452912=CARTESIAN_POINT('',(32.325,15.5,0.)); #452913=CARTESIAN_POINT('',(32.325,15.5,0.)); #452914=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #452915=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #452916=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #452917=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #452918=CARTESIAN_POINT('',(51.985,47.,1.5)); #452919=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #452920=CARTESIAN_POINT('',(51.985,47.,0.)); #452921=CARTESIAN_POINT('',(51.985,47.,0.)); #452922=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #452923=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #452924=CARTESIAN_POINT('',(51.95,47.,1.5)); #452925=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #452926=CARTESIAN_POINT('',(51.95,47.,0.)); #452927=CARTESIAN_POINT('',(51.95,47.,0.)); #452928=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #452929=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #452930=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #452931=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #452932=CARTESIAN_POINT('',(26.235,39.625,1.5)); #452933=CARTESIAN_POINT('Origin',(26.375,39.625,1.5)); #452934=CARTESIAN_POINT('',(26.235,39.625,0.)); #452935=CARTESIAN_POINT('',(26.235,39.625,0.)); #452936=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #452937=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #452938=CARTESIAN_POINT('',(26.2,39.625,1.5)); #452939=CARTESIAN_POINT('Origin',(26.375,39.625,1.5)); #452940=CARTESIAN_POINT('',(26.2,39.625,0.)); #452941=CARTESIAN_POINT('',(26.2,39.625,0.)); #452942=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #452943=CARTESIAN_POINT('Origin',(26.375,39.625,1.5)); #452944=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #452945=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #452946=CARTESIAN_POINT('',(51.985,47.75,1.5)); #452947=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #452948=CARTESIAN_POINT('',(51.985,47.75,0.)); #452949=CARTESIAN_POINT('',(51.985,47.75,0.)); #452950=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #452951=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #452952=CARTESIAN_POINT('',(51.95,47.75,1.5)); #452953=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #452954=CARTESIAN_POINT('',(51.95,47.75,0.)); #452955=CARTESIAN_POINT('',(51.95,47.75,0.)); #452956=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #452957=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #452958=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #452959=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #452960=CARTESIAN_POINT('',(34.61,5.5,1.5)); #452961=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #452962=CARTESIAN_POINT('',(34.61,5.5,0.)); #452963=CARTESIAN_POINT('',(34.61,5.5,0.)); #452964=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #452965=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #452966=CARTESIAN_POINT('',(34.575,5.5,1.5)); #452967=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #452968=CARTESIAN_POINT('',(34.575,5.5,0.)); #452969=CARTESIAN_POINT('',(34.575,5.5,0.)); #452970=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #452971=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #452972=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #452973=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #452974=CARTESIAN_POINT('',(51.11,47.,1.5)); #452975=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #452976=CARTESIAN_POINT('',(51.11,47.,0.)); #452977=CARTESIAN_POINT('',(51.11,47.,0.)); #452978=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #452979=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #452980=CARTESIAN_POINT('',(51.075,47.,1.5)); #452981=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #452982=CARTESIAN_POINT('',(51.075,47.,0.)); #452983=CARTESIAN_POINT('',(51.075,47.,0.)); #452984=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #452985=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #452986=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #452987=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #452988=CARTESIAN_POINT('',(42.36,25.25,1.5)); #452989=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #452990=CARTESIAN_POINT('',(42.36,25.25,0.)); #452991=CARTESIAN_POINT('',(42.36,25.25,0.)); #452992=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #452993=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #452994=CARTESIAN_POINT('',(42.325,25.25,1.5)); #452995=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #452996=CARTESIAN_POINT('',(42.325,25.25,0.)); #452997=CARTESIAN_POINT('',(42.325,25.25,0.)); #452998=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #452999=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #453000=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #453001=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #453002=CARTESIAN_POINT('',(51.11,47.75,1.5)); #453003=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #453004=CARTESIAN_POINT('',(51.11,47.75,0.)); #453005=CARTESIAN_POINT('',(51.11,47.75,0.)); #453006=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #453007=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #453008=CARTESIAN_POINT('',(51.075,47.75,1.5)); #453009=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #453010=CARTESIAN_POINT('',(51.075,47.75,0.)); #453011=CARTESIAN_POINT('',(51.075,47.75,0.)); #453012=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #453013=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #453014=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #453015=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #453016=CARTESIAN_POINT('',(32.36,16.25,1.5)); #453017=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #453018=CARTESIAN_POINT('',(32.36,16.25,0.)); #453019=CARTESIAN_POINT('',(32.36,16.25,0.)); #453020=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #453021=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #453022=CARTESIAN_POINT('',(32.325,16.25,1.5)); #453023=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #453024=CARTESIAN_POINT('',(32.325,16.25,0.)); #453025=CARTESIAN_POINT('',(32.325,16.25,0.)); #453026=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #453027=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #453028=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #453029=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #453030=CARTESIAN_POINT('',(51.11,46.25,1.5)); #453031=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #453032=CARTESIAN_POINT('',(51.11,46.25,0.)); #453033=CARTESIAN_POINT('',(51.11,46.25,0.)); #453034=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #453035=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #453036=CARTESIAN_POINT('',(51.075,46.25,1.5)); #453037=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #453038=CARTESIAN_POINT('',(51.075,46.25,0.)); #453039=CARTESIAN_POINT('',(51.075,46.25,0.)); #453040=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #453041=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #453042=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #453043=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453044=CARTESIAN_POINT('',(42.36,26.,1.5)); #453045=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #453046=CARTESIAN_POINT('',(42.36,26.,0.)); #453047=CARTESIAN_POINT('',(42.36,26.,0.)); #453048=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453049=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453050=CARTESIAN_POINT('',(42.325,26.,1.5)); #453051=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #453052=CARTESIAN_POINT('',(42.325,26.,0.)); #453053=CARTESIAN_POINT('',(42.325,26.,0.)); #453054=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453055=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #453056=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453057=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #453058=CARTESIAN_POINT('',(51.985,46.25,1.5)); #453059=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #453060=CARTESIAN_POINT('',(51.985,46.25,0.)); #453061=CARTESIAN_POINT('',(51.985,46.25,0.)); #453062=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #453063=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #453064=CARTESIAN_POINT('',(51.95,46.25,1.5)); #453065=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #453066=CARTESIAN_POINT('',(51.95,46.25,0.)); #453067=CARTESIAN_POINT('',(51.95,46.25,0.)); #453068=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #453069=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #453070=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #453071=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #453072=CARTESIAN_POINT('',(11.785,34.,1.5)); #453073=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #453074=CARTESIAN_POINT('',(11.785,34.,0.)); #453075=CARTESIAN_POINT('',(11.785,34.,0.)); #453076=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #453077=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #453078=CARTESIAN_POINT('',(11.75,34.,1.5)); #453079=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #453080=CARTESIAN_POINT('',(11.75,34.,0.)); #453081=CARTESIAN_POINT('',(11.75,34.,0.)); #453082=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #453083=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #453084=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #453085=CARTESIAN_POINT('Origin',(46.,56.,0.)); #453086=CARTESIAN_POINT('',(45.86,56.,1.5)); #453087=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #453088=CARTESIAN_POINT('',(45.86,56.,0.)); #453089=CARTESIAN_POINT('',(45.86,56.,0.)); #453090=CARTESIAN_POINT('Origin',(46.,56.,0.)); #453091=CARTESIAN_POINT('Origin',(46.,56.,0.)); #453092=CARTESIAN_POINT('',(45.825,56.,1.5)); #453093=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #453094=CARTESIAN_POINT('',(45.825,56.,0.)); #453095=CARTESIAN_POINT('',(45.825,56.,0.)); #453096=CARTESIAN_POINT('Origin',(46.,56.,0.)); #453097=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #453098=CARTESIAN_POINT('Origin',(46.,56.,0.)); #453099=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #453100=CARTESIAN_POINT('',(41.485,39.625,1.5)); #453101=CARTESIAN_POINT('Origin',(41.625,39.625,1.5)); #453102=CARTESIAN_POINT('',(41.485,39.625,0.)); #453103=CARTESIAN_POINT('',(41.485,39.625,0.)); #453104=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #453105=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #453106=CARTESIAN_POINT('',(41.45,39.625,1.5)); #453107=CARTESIAN_POINT('Origin',(41.625,39.625,1.5)); #453108=CARTESIAN_POINT('',(41.45,39.625,0.)); #453109=CARTESIAN_POINT('',(41.45,39.625,0.)); #453110=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #453111=CARTESIAN_POINT('Origin',(41.625,39.625,1.5)); #453112=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #453113=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #453114=CARTESIAN_POINT('',(44.11,56.,1.5)); #453115=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #453116=CARTESIAN_POINT('',(44.11,56.,0.)); #453117=CARTESIAN_POINT('',(44.11,56.,0.)); #453118=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #453119=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #453120=CARTESIAN_POINT('',(44.075,56.,1.5)); #453121=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #453122=CARTESIAN_POINT('',(44.075,56.,0.)); #453123=CARTESIAN_POINT('',(44.075,56.,0.)); #453124=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #453125=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #453126=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #453127=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #453128=CARTESIAN_POINT('',(33.11,15.5,1.5)); #453129=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #453130=CARTESIAN_POINT('',(33.11,15.5,0.)); #453131=CARTESIAN_POINT('',(33.11,15.5,0.)); #453132=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #453133=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #453134=CARTESIAN_POINT('',(33.075,15.5,1.5)); #453135=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #453136=CARTESIAN_POINT('',(33.075,15.5,0.)); #453137=CARTESIAN_POINT('',(33.075,15.5,0.)); #453138=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #453139=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #453140=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #453141=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #453142=CARTESIAN_POINT('',(44.11,56.75,1.5)); #453143=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #453144=CARTESIAN_POINT('',(44.11,56.75,0.)); #453145=CARTESIAN_POINT('',(44.11,56.75,0.)); #453146=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #453147=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #453148=CARTESIAN_POINT('',(44.075,56.75,1.5)); #453149=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #453150=CARTESIAN_POINT('',(44.075,56.75,0.)); #453151=CARTESIAN_POINT('',(44.075,56.75,0.)); #453152=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #453153=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #453154=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #453155=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #453156=CARTESIAN_POINT('',(27.735,39.625,1.5)); #453157=CARTESIAN_POINT('Origin',(27.875,39.625,1.5)); #453158=CARTESIAN_POINT('',(27.735,39.625,0.)); #453159=CARTESIAN_POINT('',(27.735,39.625,0.)); #453160=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #453161=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #453162=CARTESIAN_POINT('',(27.7,39.625,1.5)); #453163=CARTESIAN_POINT('Origin',(27.875,39.625,1.5)); #453164=CARTESIAN_POINT('',(27.7,39.625,0.)); #453165=CARTESIAN_POINT('',(27.7,39.625,0.)); #453166=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #453167=CARTESIAN_POINT('Origin',(27.875,39.625,1.5)); #453168=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #453169=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #453170=CARTESIAN_POINT('',(44.11,55.25,1.5)); #453171=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #453172=CARTESIAN_POINT('',(44.11,55.25,0.)); #453173=CARTESIAN_POINT('',(44.11,55.25,0.)); #453174=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #453175=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #453176=CARTESIAN_POINT('',(44.075,55.25,1.5)); #453177=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #453178=CARTESIAN_POINT('',(44.075,55.25,0.)); #453179=CARTESIAN_POINT('',(44.075,55.25,0.)); #453180=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #453181=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #453182=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #453183=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #453184=CARTESIAN_POINT('',(31.36,8.75,1.5)); #453185=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #453186=CARTESIAN_POINT('',(31.36,8.75,0.)); #453187=CARTESIAN_POINT('',(31.36,8.75,0.)); #453188=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #453189=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #453190=CARTESIAN_POINT('',(31.325,8.75,1.5)); #453191=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #453192=CARTESIAN_POINT('',(31.325,8.75,0.)); #453193=CARTESIAN_POINT('',(31.325,8.75,0.)); #453194=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #453195=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #453196=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #453197=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #453198=CARTESIAN_POINT('',(45.86,55.25,1.5)); #453199=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #453200=CARTESIAN_POINT('',(45.86,55.25,0.)); #453201=CARTESIAN_POINT('',(45.86,55.25,0.)); #453202=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #453203=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #453204=CARTESIAN_POINT('',(45.825,55.25,1.5)); #453205=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #453206=CARTESIAN_POINT('',(45.825,55.25,0.)); #453207=CARTESIAN_POINT('',(45.825,55.25,0.)); #453208=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #453209=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #453210=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #453211=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #453212=CARTESIAN_POINT('',(40.735,39.625,1.5)); #453213=CARTESIAN_POINT('Origin',(40.875,39.625,1.5)); #453214=CARTESIAN_POINT('',(40.735,39.625,0.)); #453215=CARTESIAN_POINT('',(40.735,39.625,0.)); #453216=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #453217=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #453218=CARTESIAN_POINT('',(40.7,39.625,1.5)); #453219=CARTESIAN_POINT('Origin',(40.875,39.625,1.5)); #453220=CARTESIAN_POINT('',(40.7,39.625,0.)); #453221=CARTESIAN_POINT('',(40.7,39.625,0.)); #453222=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #453223=CARTESIAN_POINT('Origin',(40.875,39.625,1.5)); #453224=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #453225=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #453226=CARTESIAN_POINT('',(45.86,56.75,1.5)); #453227=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #453228=CARTESIAN_POINT('',(45.86,56.75,0.)); #453229=CARTESIAN_POINT('',(45.86,56.75,0.)); #453230=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #453231=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #453232=CARTESIAN_POINT('',(45.825,56.75,1.5)); #453233=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #453234=CARTESIAN_POINT('',(45.825,56.75,0.)); #453235=CARTESIAN_POINT('',(45.825,56.75,0.)); #453236=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #453237=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #453238=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #453239=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #453240=CARTESIAN_POINT('',(33.86,15.5,1.5)); #453241=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #453242=CARTESIAN_POINT('',(33.86,15.5,0.)); #453243=CARTESIAN_POINT('',(33.86,15.5,0.)); #453244=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #453245=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #453246=CARTESIAN_POINT('',(33.825,15.5,1.5)); #453247=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #453248=CARTESIAN_POINT('',(33.825,15.5,0.)); #453249=CARTESIAN_POINT('',(33.825,15.5,0.)); #453250=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #453251=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #453252=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #453253=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #453254=CARTESIAN_POINT('',(47.61,55.25,1.5)); #453255=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #453256=CARTESIAN_POINT('',(47.61,55.25,0.)); #453257=CARTESIAN_POINT('',(47.61,55.25,0.)); #453258=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #453259=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #453260=CARTESIAN_POINT('',(47.575,55.25,1.5)); #453261=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #453262=CARTESIAN_POINT('',(47.575,55.25,0.)); #453263=CARTESIAN_POINT('',(47.575,55.25,0.)); #453264=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #453265=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #453266=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #453267=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #453268=CARTESIAN_POINT('',(39.985,39.625,1.5)); #453269=CARTESIAN_POINT('Origin',(40.125,39.625,1.5)); #453270=CARTESIAN_POINT('',(39.985,39.625,0.)); #453271=CARTESIAN_POINT('',(39.985,39.625,0.)); #453272=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #453273=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #453274=CARTESIAN_POINT('',(39.95,39.625,1.5)); #453275=CARTESIAN_POINT('Origin',(40.125,39.625,1.5)); #453276=CARTESIAN_POINT('',(39.95,39.625,0.)); #453277=CARTESIAN_POINT('',(39.95,39.625,0.)); #453278=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #453279=CARTESIAN_POINT('Origin',(40.125,39.625,1.5)); #453280=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #453281=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #453282=CARTESIAN_POINT('',(47.61,56.,1.5)); #453283=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #453284=CARTESIAN_POINT('',(47.61,56.,0.)); #453285=CARTESIAN_POINT('',(47.61,56.,0.)); #453286=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #453287=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #453288=CARTESIAN_POINT('',(47.575,56.,1.5)); #453289=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #453290=CARTESIAN_POINT('',(47.575,56.,0.)); #453291=CARTESIAN_POINT('',(47.575,56.,0.)); #453292=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #453293=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #453294=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #453295=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #453296=CARTESIAN_POINT('',(32.165,45.,1.5)); #453297=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #453298=CARTESIAN_POINT('',(32.165,45.,0.)); #453299=CARTESIAN_POINT('',(32.165,45.,0.)); #453300=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #453301=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #453302=CARTESIAN_POINT('',(32.13,45.,1.5)); #453303=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #453304=CARTESIAN_POINT('',(32.13,45.,0.)); #453305=CARTESIAN_POINT('',(32.13,45.,0.)); #453306=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #453307=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #453308=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #453309=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #453310=CARTESIAN_POINT('',(31.485,7.1,1.5)); #453311=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #453312=CARTESIAN_POINT('',(31.485,7.1,0.)); #453313=CARTESIAN_POINT('',(31.485,7.1,0.)); #453314=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #453315=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #453316=CARTESIAN_POINT('',(31.45,7.1,1.5)); #453317=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #453318=CARTESIAN_POINT('',(31.45,7.1,0.)); #453319=CARTESIAN_POINT('',(31.45,7.1,0.)); #453320=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #453321=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #453322=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #453323=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #453324=CARTESIAN_POINT('',(34.235,26.625,1.5)); #453325=CARTESIAN_POINT('Origin',(34.375,26.625,1.5)); #453326=CARTESIAN_POINT('',(34.235,26.625,0.)); #453327=CARTESIAN_POINT('',(34.235,26.625,0.)); #453328=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #453329=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #453330=CARTESIAN_POINT('',(34.2,26.625,1.5)); #453331=CARTESIAN_POINT('Origin',(34.375,26.625,1.5)); #453332=CARTESIAN_POINT('',(34.2,26.625,0.)); #453333=CARTESIAN_POINT('',(34.2,26.625,0.)); #453334=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #453335=CARTESIAN_POINT('Origin',(34.375,26.625,1.5)); #453336=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #453337=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #453338=CARTESIAN_POINT('',(31.485,7.6,1.5)); #453339=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #453340=CARTESIAN_POINT('',(31.485,7.6,0.)); #453341=CARTESIAN_POINT('',(31.485,7.6,0.)); #453342=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #453343=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #453344=CARTESIAN_POINT('',(31.45,7.6,1.5)); #453345=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #453346=CARTESIAN_POINT('',(31.45,7.6,0.)); #453347=CARTESIAN_POINT('',(31.45,7.6,0.)); #453348=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #453349=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #453350=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #453351=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #453352=CARTESIAN_POINT('',(31.61,16.25,1.5)); #453353=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #453354=CARTESIAN_POINT('',(31.61,16.25,0.)); #453355=CARTESIAN_POINT('',(31.61,16.25,0.)); #453356=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #453357=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #453358=CARTESIAN_POINT('',(31.575,16.25,1.5)); #453359=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #453360=CARTESIAN_POINT('',(31.575,16.25,0.)); #453361=CARTESIAN_POINT('',(31.575,16.25,0.)); #453362=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #453363=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #453364=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #453365=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #453366=CARTESIAN_POINT('',(36.285,7.6,1.5)); #453367=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #453368=CARTESIAN_POINT('',(36.285,7.6,0.)); #453369=CARTESIAN_POINT('',(36.285,7.6,0.)); #453370=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #453371=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #453372=CARTESIAN_POINT('',(36.25,7.6,1.5)); #453373=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #453374=CARTESIAN_POINT('',(36.25,7.6,0.)); #453375=CARTESIAN_POINT('',(36.25,7.6,0.)); #453376=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #453377=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #453378=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #453379=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #453380=CARTESIAN_POINT('',(33.86,23.125,1.5)); #453381=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #453382=CARTESIAN_POINT('',(33.86,23.125,0.)); #453383=CARTESIAN_POINT('',(33.86,23.125,0.)); #453384=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #453385=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #453386=CARTESIAN_POINT('',(33.825,23.125,1.5)); #453387=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #453388=CARTESIAN_POINT('',(33.825,23.125,0.)); #453389=CARTESIAN_POINT('',(33.825,23.125,0.)); #453390=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #453391=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #453392=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #453393=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #453394=CARTESIAN_POINT('',(36.285,7.1,1.5)); #453395=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #453396=CARTESIAN_POINT('',(36.285,7.1,0.)); #453397=CARTESIAN_POINT('',(36.285,7.1,0.)); #453398=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #453399=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #453400=CARTESIAN_POINT('',(36.25,7.1,1.5)); #453401=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #453402=CARTESIAN_POINT('',(36.25,7.1,0.)); #453403=CARTESIAN_POINT('',(36.25,7.1,0.)); #453404=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #453405=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #453406=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #453407=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #453408=CARTESIAN_POINT('',(33.11,17.,1.5)); #453409=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #453410=CARTESIAN_POINT('',(33.11,17.,0.)); #453411=CARTESIAN_POINT('',(33.11,17.,0.)); #453412=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #453413=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #453414=CARTESIAN_POINT('',(33.075,17.,1.5)); #453415=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #453416=CARTESIAN_POINT('',(33.075,17.,0.)); #453417=CARTESIAN_POINT('',(33.075,17.,0.)); #453418=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #453419=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #453420=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #453421=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #453422=CARTESIAN_POINT('',(53.36,21.75,1.5)); #453423=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #453424=CARTESIAN_POINT('',(53.36,21.75,0.)); #453425=CARTESIAN_POINT('',(53.36,21.75,0.)); #453426=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #453427=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #453428=CARTESIAN_POINT('',(53.325,21.75,1.5)); #453429=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #453430=CARTESIAN_POINT('',(53.325,21.75,0.)); #453431=CARTESIAN_POINT('',(53.325,21.75,0.)); #453432=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #453433=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #453434=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #453435=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #453436=CARTESIAN_POINT('',(34.11,8.25,1.5)); #453437=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #453438=CARTESIAN_POINT('',(34.11,8.25,0.)); #453439=CARTESIAN_POINT('',(34.11,8.25,0.)); #453440=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #453441=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #453442=CARTESIAN_POINT('',(34.075,8.25,1.5)); #453443=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #453444=CARTESIAN_POINT('',(34.075,8.25,0.)); #453445=CARTESIAN_POINT('',(34.075,8.25,0.)); #453446=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #453447=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #453448=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #453449=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #453450=CARTESIAN_POINT('',(53.36,21.,1.5)); #453451=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #453452=CARTESIAN_POINT('',(53.36,21.,0.)); #453453=CARTESIAN_POINT('',(53.36,21.,0.)); #453454=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #453455=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #453456=CARTESIAN_POINT('',(53.325,21.,1.5)); #453457=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #453458=CARTESIAN_POINT('',(53.325,21.,0.)); #453459=CARTESIAN_POINT('',(53.325,21.,0.)); #453460=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #453461=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #453462=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #453463=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #453464=CARTESIAN_POINT('',(31.61,15.5,1.5)); #453465=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #453466=CARTESIAN_POINT('',(31.61,15.5,0.)); #453467=CARTESIAN_POINT('',(31.61,15.5,0.)); #453468=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #453469=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #453470=CARTESIAN_POINT('',(31.575,15.5,1.5)); #453471=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #453472=CARTESIAN_POINT('',(31.575,15.5,0.)); #453473=CARTESIAN_POINT('',(31.575,15.5,0.)); #453474=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #453475=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #453476=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #453477=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #453478=CARTESIAN_POINT('',(52.61,21.75,1.5)); #453479=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #453480=CARTESIAN_POINT('',(52.61,21.75,0.)); #453481=CARTESIAN_POINT('',(52.61,21.75,0.)); #453482=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #453483=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #453484=CARTESIAN_POINT('',(52.575,21.75,1.5)); #453485=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #453486=CARTESIAN_POINT('',(52.575,21.75,0.)); #453487=CARTESIAN_POINT('',(52.575,21.75,0.)); #453488=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #453489=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #453490=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #453491=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #453492=CARTESIAN_POINT('',(35.11,6.25,1.5)); #453493=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #453494=CARTESIAN_POINT('',(35.11,6.25,0.)); #453495=CARTESIAN_POINT('',(35.11,6.25,0.)); #453496=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #453497=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #453498=CARTESIAN_POINT('',(35.075,6.25,1.5)); #453499=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #453500=CARTESIAN_POINT('',(35.075,6.25,0.)); #453501=CARTESIAN_POINT('',(35.075,6.25,0.)); #453502=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #453503=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #453504=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #453505=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #453506=CARTESIAN_POINT('',(51.86,21.75,1.5)); #453507=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #453508=CARTESIAN_POINT('',(51.86,21.75,0.)); #453509=CARTESIAN_POINT('',(51.86,21.75,0.)); #453510=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #453511=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #453512=CARTESIAN_POINT('',(51.825,21.75,1.5)); #453513=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #453514=CARTESIAN_POINT('',(51.825,21.75,0.)); #453515=CARTESIAN_POINT('',(51.825,21.75,0.)); #453516=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #453517=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #453518=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #453519=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #453520=CARTESIAN_POINT('',(39.11,17.5,1.5)); #453521=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #453522=CARTESIAN_POINT('',(39.11,17.5,0.)); #453523=CARTESIAN_POINT('',(39.11,17.5,0.)); #453524=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #453525=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #453526=CARTESIAN_POINT('',(39.075,17.5,1.5)); #453527=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #453528=CARTESIAN_POINT('',(39.075,17.5,0.)); #453529=CARTESIAN_POINT('',(39.075,17.5,0.)); #453530=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #453531=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #453532=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #453533=CARTESIAN_POINT('Origin',(31.,13.,0.)); #453534=CARTESIAN_POINT('',(30.86,13.,1.5)); #453535=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #453536=CARTESIAN_POINT('',(30.86,13.,0.)); #453537=CARTESIAN_POINT('',(30.86,13.,0.)); #453538=CARTESIAN_POINT('Origin',(31.,13.,0.)); #453539=CARTESIAN_POINT('Origin',(31.,13.,0.)); #453540=CARTESIAN_POINT('',(30.825,13.,1.5)); #453541=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #453542=CARTESIAN_POINT('',(30.825,13.,0.)); #453543=CARTESIAN_POINT('',(30.825,13.,0.)); #453544=CARTESIAN_POINT('Origin',(31.,13.,0.)); #453545=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #453546=CARTESIAN_POINT('Origin',(31.,13.,0.)); #453547=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #453548=CARTESIAN_POINT('',(6.36,34.75,1.5)); #453549=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #453550=CARTESIAN_POINT('',(6.36,34.75,0.)); #453551=CARTESIAN_POINT('',(6.36,34.75,0.)); #453552=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #453553=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #453554=CARTESIAN_POINT('',(6.325,34.75,1.5)); #453555=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #453556=CARTESIAN_POINT('',(6.325,34.75,0.)); #453557=CARTESIAN_POINT('',(6.325,34.75,0.)); #453558=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #453559=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #453560=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #453561=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #453562=CARTESIAN_POINT('',(24.86,26.625,1.5)); #453563=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #453564=CARTESIAN_POINT('',(24.86,26.625,0.)); #453565=CARTESIAN_POINT('',(24.86,26.625,0.)); #453566=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #453567=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #453568=CARTESIAN_POINT('',(24.825,26.625,1.5)); #453569=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #453570=CARTESIAN_POINT('',(24.825,26.625,0.)); #453571=CARTESIAN_POINT('',(24.825,26.625,0.)); #453572=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #453573=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #453574=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #453575=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #453576=CARTESIAN_POINT('',(32.36,14.75,1.5)); #453577=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #453578=CARTESIAN_POINT('',(32.36,14.75,0.)); #453579=CARTESIAN_POINT('',(32.36,14.75,0.)); #453580=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #453581=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #453582=CARTESIAN_POINT('',(32.325,14.75,1.5)); #453583=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #453584=CARTESIAN_POINT('',(32.325,14.75,0.)); #453585=CARTESIAN_POINT('',(32.325,14.75,0.)); #453586=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #453587=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #453588=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #453589=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #453590=CARTESIAN_POINT('',(27.622,19.,1.5)); #453591=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #453592=CARTESIAN_POINT('',(27.622,19.,0.)); #453593=CARTESIAN_POINT('',(27.622,19.,0.)); #453594=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #453595=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #453596=CARTESIAN_POINT('',(27.587,19.,1.5)); #453597=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #453598=CARTESIAN_POINT('',(27.587,19.,0.)); #453599=CARTESIAN_POINT('',(27.587,19.,0.)); #453600=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #453601=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #453602=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #453603=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #453604=CARTESIAN_POINT('',(6.36,34.,1.5)); #453605=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #453606=CARTESIAN_POINT('',(6.36,34.,0.)); #453607=CARTESIAN_POINT('',(6.36,34.,0.)); #453608=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #453609=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #453610=CARTESIAN_POINT('',(6.325,34.,1.5)); #453611=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #453612=CARTESIAN_POINT('',(6.325,34.,0.)); #453613=CARTESIAN_POINT('',(6.325,34.,0.)); #453614=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #453615=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #453616=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #453617=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #453618=CARTESIAN_POINT('',(27.11,24.375,1.5)); #453619=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #453620=CARTESIAN_POINT('',(27.11,24.375,0.)); #453621=CARTESIAN_POINT('',(27.11,24.375,0.)); #453622=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #453623=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #453624=CARTESIAN_POINT('',(27.075,24.375,1.5)); #453625=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #453626=CARTESIAN_POINT('',(27.075,24.375,0.)); #453627=CARTESIAN_POINT('',(27.075,24.375,0.)); #453628=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #453629=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #453630=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #453631=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #453632=CARTESIAN_POINT('',(33.86,16.25,1.5)); #453633=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #453634=CARTESIAN_POINT('',(33.86,16.25,0.)); #453635=CARTESIAN_POINT('',(33.86,16.25,0.)); #453636=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #453637=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #453638=CARTESIAN_POINT('',(33.825,16.25,1.5)); #453639=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #453640=CARTESIAN_POINT('',(33.825,16.25,0.)); #453641=CARTESIAN_POINT('',(33.825,16.25,0.)); #453642=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #453643=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #453644=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #453645=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #453646=CARTESIAN_POINT('',(33.11,56.5,1.5)); #453647=CARTESIAN_POINT('Origin',(33.25,56.5,1.5)); #453648=CARTESIAN_POINT('',(33.11,56.5,0.)); #453649=CARTESIAN_POINT('',(33.11,56.5,0.)); #453650=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #453651=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #453652=CARTESIAN_POINT('',(33.075,56.5,1.5)); #453653=CARTESIAN_POINT('Origin',(33.25,56.5,1.5)); #453654=CARTESIAN_POINT('',(33.075,56.5,0.)); #453655=CARTESIAN_POINT('',(33.075,56.5,0.)); #453656=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #453657=CARTESIAN_POINT('Origin',(33.25,56.5,1.5)); #453658=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #453659=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #453660=CARTESIAN_POINT('',(32.61,8.25,1.5)); #453661=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #453662=CARTESIAN_POINT('',(32.61,8.25,0.)); #453663=CARTESIAN_POINT('',(32.61,8.25,0.)); #453664=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #453665=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #453666=CARTESIAN_POINT('',(32.575,8.25,1.5)); #453667=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #453668=CARTESIAN_POINT('',(32.575,8.25,0.)); #453669=CARTESIAN_POINT('',(32.575,8.25,0.)); #453670=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #453671=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #453672=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #453673=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #453674=CARTESIAN_POINT('',(37.36,21.25,1.5)); #453675=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #453676=CARTESIAN_POINT('',(37.36,21.25,0.)); #453677=CARTESIAN_POINT('',(37.36,21.25,0.)); #453678=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #453679=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #453680=CARTESIAN_POINT('',(37.325,21.25,1.5)); #453681=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #453682=CARTESIAN_POINT('',(37.325,21.25,0.)); #453683=CARTESIAN_POINT('',(37.325,21.25,0.)); #453684=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #453685=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #453686=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #453687=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #453688=CARTESIAN_POINT('',(31.61,14.75,1.5)); #453689=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #453690=CARTESIAN_POINT('',(31.61,14.75,0.)); #453691=CARTESIAN_POINT('',(31.61,14.75,0.)); #453692=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #453693=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #453694=CARTESIAN_POINT('',(31.575,14.75,1.5)); #453695=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #453696=CARTESIAN_POINT('',(31.575,14.75,0.)); #453697=CARTESIAN_POINT('',(31.575,14.75,0.)); #453698=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #453699=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #453700=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #453701=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #453702=CARTESIAN_POINT('',(52.61,21.,1.5)); #453703=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #453704=CARTESIAN_POINT('',(52.61,21.,0.)); #453705=CARTESIAN_POINT('',(52.61,21.,0.)); #453706=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #453707=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #453708=CARTESIAN_POINT('',(52.575,21.,1.5)); #453709=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #453710=CARTESIAN_POINT('',(52.575,21.,0.)); #453711=CARTESIAN_POINT('',(52.575,21.,0.)); #453712=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #453713=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #453714=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #453715=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #453716=CARTESIAN_POINT('',(33.61,6.2426,1.5)); #453717=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #453718=CARTESIAN_POINT('',(33.61,6.2426,0.)); #453719=CARTESIAN_POINT('',(33.61,6.2426,0.)); #453720=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #453721=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #453722=CARTESIAN_POINT('',(33.575,6.2426,1.5)); #453723=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #453724=CARTESIAN_POINT('',(33.575,6.2426,0.)); #453725=CARTESIAN_POINT('',(33.575,6.2426,0.)); #453726=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #453727=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #453728=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #453729=CARTESIAN_POINT('Origin',(52.,21.,0.)); #453730=CARTESIAN_POINT('',(51.86,21.,1.5)); #453731=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #453732=CARTESIAN_POINT('',(51.86,21.,0.)); #453733=CARTESIAN_POINT('',(51.86,21.,0.)); #453734=CARTESIAN_POINT('Origin',(52.,21.,0.)); #453735=CARTESIAN_POINT('Origin',(52.,21.,0.)); #453736=CARTESIAN_POINT('',(51.825,21.,1.5)); #453737=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #453738=CARTESIAN_POINT('',(51.825,21.,0.)); #453739=CARTESIAN_POINT('',(51.825,21.,0.)); #453740=CARTESIAN_POINT('Origin',(52.,21.,0.)); #453741=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #453742=CARTESIAN_POINT('Origin',(52.,21.,0.)); #453743=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #453744=CARTESIAN_POINT('',(45.797,35.27,1.5)); #453745=CARTESIAN_POINT('Origin',(46.27,35.27,1.5)); #453746=CARTESIAN_POINT('',(45.797,35.27,0.)); #453747=CARTESIAN_POINT('',(45.797,35.27,0.)); #453748=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #453749=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #453750=CARTESIAN_POINT('',(45.762,35.27,1.5)); #453751=CARTESIAN_POINT('Origin',(46.27,35.27,1.5)); #453752=CARTESIAN_POINT('',(45.762,35.27,0.)); #453753=CARTESIAN_POINT('',(45.762,35.27,0.)); #453754=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #453755=CARTESIAN_POINT('Origin',(46.27,35.27,1.5)); #453756=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #453757=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #453758=CARTESIAN_POINT('',(34.622,60.25,1.5)); #453759=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #453760=CARTESIAN_POINT('',(34.622,60.25,0.)); #453761=CARTESIAN_POINT('',(34.622,60.25,0.)); #453762=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #453763=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #453764=CARTESIAN_POINT('',(34.587,60.25,1.5)); #453765=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #453766=CARTESIAN_POINT('',(34.587,60.25,0.)); #453767=CARTESIAN_POINT('',(34.587,60.25,0.)); #453768=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #453769=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #453770=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #453771=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #453772=CARTESIAN_POINT('',(9.36,34.75,1.5)); #453773=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #453774=CARTESIAN_POINT('',(9.36,34.75,0.)); #453775=CARTESIAN_POINT('',(9.36,34.75,0.)); #453776=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #453777=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #453778=CARTESIAN_POINT('',(9.325,34.75,1.5)); #453779=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #453780=CARTESIAN_POINT('',(9.325,34.75,0.)); #453781=CARTESIAN_POINT('',(9.325,34.75,0.)); #453782=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #453783=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #453784=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #453785=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #453786=CARTESIAN_POINT('',(30.622,60.25,1.5)); #453787=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #453788=CARTESIAN_POINT('',(30.622,60.25,0.)); #453789=CARTESIAN_POINT('',(30.622,60.25,0.)); #453790=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #453791=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #453792=CARTESIAN_POINT('',(30.587,60.25,1.5)); #453793=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #453794=CARTESIAN_POINT('',(30.587,60.25,0.)); #453795=CARTESIAN_POINT('',(30.587,60.25,0.)); #453796=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #453797=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #453798=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #453799=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #453800=CARTESIAN_POINT('',(34.61,14.75,1.5)); #453801=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #453802=CARTESIAN_POINT('',(34.61,14.75,0.)); #453803=CARTESIAN_POINT('',(34.61,14.75,0.)); #453804=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #453805=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #453806=CARTESIAN_POINT('',(34.575,14.75,1.5)); #453807=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #453808=CARTESIAN_POINT('',(34.575,14.75,0.)); #453809=CARTESIAN_POINT('',(34.575,14.75,0.)); #453810=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #453811=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #453812=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #453813=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #453814=CARTESIAN_POINT('',(36.36,10.5,1.5)); #453815=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #453816=CARTESIAN_POINT('',(36.36,10.5,0.)); #453817=CARTESIAN_POINT('',(36.36,10.5,0.)); #453818=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #453819=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #453820=CARTESIAN_POINT('',(36.325,10.5,1.5)); #453821=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #453822=CARTESIAN_POINT('',(36.325,10.5,0.)); #453823=CARTESIAN_POINT('',(36.325,10.5,0.)); #453824=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #453825=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #453826=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #453827=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #453828=CARTESIAN_POINT('',(10.11,34.75,1.5)); #453829=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #453830=CARTESIAN_POINT('',(10.11,34.75,0.)); #453831=CARTESIAN_POINT('',(10.11,34.75,0.)); #453832=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #453833=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #453834=CARTESIAN_POINT('',(10.075,34.75,1.5)); #453835=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #453836=CARTESIAN_POINT('',(10.075,34.75,0.)); #453837=CARTESIAN_POINT('',(10.075,34.75,0.)); #453838=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #453839=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #453840=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #453841=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #453842=CARTESIAN_POINT('',(30.36,10.5,1.5)); #453843=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #453844=CARTESIAN_POINT('',(30.36,10.5,0.)); #453845=CARTESIAN_POINT('',(30.36,10.5,0.)); #453846=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #453847=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #453848=CARTESIAN_POINT('',(30.325,10.5,1.5)); #453849=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #453850=CARTESIAN_POINT('',(30.325,10.5,0.)); #453851=CARTESIAN_POINT('',(30.325,10.5,0.)); #453852=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #453853=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #453854=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #453855=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #453856=CARTESIAN_POINT('',(33.86,17.75,1.5)); #453857=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #453858=CARTESIAN_POINT('',(33.86,17.75,0.)); #453859=CARTESIAN_POINT('',(33.86,17.75,0.)); #453860=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #453861=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #453862=CARTESIAN_POINT('',(33.825,17.75,1.5)); #453863=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #453864=CARTESIAN_POINT('',(33.825,17.75,0.)); #453865=CARTESIAN_POINT('',(33.825,17.75,0.)); #453866=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #453867=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #453868=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #453869=CARTESIAN_POINT('Origin',(26.,31.,0.)); #453870=CARTESIAN_POINT('',(25.86,31.,1.5)); #453871=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #453872=CARTESIAN_POINT('',(25.86,31.,0.)); #453873=CARTESIAN_POINT('',(25.86,31.,0.)); #453874=CARTESIAN_POINT('Origin',(26.,31.,0.)); #453875=CARTESIAN_POINT('Origin',(26.,31.,0.)); #453876=CARTESIAN_POINT('',(25.825,31.,1.5)); #453877=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #453878=CARTESIAN_POINT('',(25.825,31.,0.)); #453879=CARTESIAN_POINT('',(25.825,31.,0.)); #453880=CARTESIAN_POINT('Origin',(26.,31.,0.)); #453881=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #453882=CARTESIAN_POINT('Origin',(26.,31.,0.)); #453883=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #453884=CARTESIAN_POINT('',(9.36,33.25,1.5)); #453885=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #453886=CARTESIAN_POINT('',(9.36,33.25,0.)); #453887=CARTESIAN_POINT('',(9.36,33.25,0.)); #453888=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #453889=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #453890=CARTESIAN_POINT('',(9.325,33.25,1.5)); #453891=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #453892=CARTESIAN_POINT('',(9.325,33.25,0.)); #453893=CARTESIAN_POINT('',(9.325,33.25,0.)); #453894=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #453895=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #453896=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #453897=CARTESIAN_POINT('Origin',(26.,36.,0.)); #453898=CARTESIAN_POINT('',(25.86,36.,1.5)); #453899=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #453900=CARTESIAN_POINT('',(25.86,36.,0.)); #453901=CARTESIAN_POINT('',(25.86,36.,0.)); #453902=CARTESIAN_POINT('Origin',(26.,36.,0.)); #453903=CARTESIAN_POINT('Origin',(26.,36.,0.)); #453904=CARTESIAN_POINT('',(25.825,36.,1.5)); #453905=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #453906=CARTESIAN_POINT('',(25.825,36.,0.)); #453907=CARTESIAN_POINT('',(25.825,36.,0.)); #453908=CARTESIAN_POINT('Origin',(26.,36.,0.)); #453909=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #453910=CARTESIAN_POINT('Origin',(26.,36.,0.)); #453911=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #453912=CARTESIAN_POINT('',(35.36,14.75,1.5)); #453913=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #453914=CARTESIAN_POINT('',(35.36,14.75,0.)); #453915=CARTESIAN_POINT('',(35.36,14.75,0.)); #453916=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #453917=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #453918=CARTESIAN_POINT('',(35.325,14.75,1.5)); #453919=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #453920=CARTESIAN_POINT('',(35.325,14.75,0.)); #453921=CARTESIAN_POINT('',(35.325,14.75,0.)); #453922=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #453923=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #453924=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #453925=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #453926=CARTESIAN_POINT('',(35.61,11.25,1.5)); #453927=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #453928=CARTESIAN_POINT('',(35.61,11.25,0.)); #453929=CARTESIAN_POINT('',(35.61,11.25,0.)); #453930=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #453931=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #453932=CARTESIAN_POINT('',(35.575,11.25,1.5)); #453933=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #453934=CARTESIAN_POINT('',(35.575,11.25,0.)); #453935=CARTESIAN_POINT('',(35.575,11.25,0.)); #453936=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #453937=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #453938=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #453939=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #453940=CARTESIAN_POINT('',(10.11,33.25,1.5)); #453941=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #453942=CARTESIAN_POINT('',(10.11,33.25,0.)); #453943=CARTESIAN_POINT('',(10.11,33.25,0.)); #453944=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #453945=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #453946=CARTESIAN_POINT('',(10.075,33.25,1.5)); #453947=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #453948=CARTESIAN_POINT('',(10.075,33.25,0.)); #453949=CARTESIAN_POINT('',(10.075,33.25,0.)); #453950=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #453951=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #453952=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #453953=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #453954=CARTESIAN_POINT('',(30.36,11.25,1.5)); #453955=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #453956=CARTESIAN_POINT('',(30.36,11.25,0.)); #453957=CARTESIAN_POINT('',(30.36,11.25,0.)); #453958=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #453959=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #453960=CARTESIAN_POINT('',(30.325,11.25,1.5)); #453961=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #453962=CARTESIAN_POINT('',(30.325,11.25,0.)); #453963=CARTESIAN_POINT('',(30.325,11.25,0.)); #453964=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #453965=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #453966=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #453967=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #453968=CARTESIAN_POINT('',(31.035,27.75,1.5)); #453969=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #453970=CARTESIAN_POINT('',(31.035,27.75,0.)); #453971=CARTESIAN_POINT('',(31.035,27.75,0.)); #453972=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #453973=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #453974=CARTESIAN_POINT('',(31.,27.75,1.5)); #453975=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #453976=CARTESIAN_POINT('',(31.,27.75,0.)); #453977=CARTESIAN_POINT('',(31.,27.75,0.)); #453978=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #453979=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #453980=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #453981=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #453982=CARTESIAN_POINT('',(42.36,14.25,1.5)); #453983=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #453984=CARTESIAN_POINT('',(42.36,14.25,0.)); #453985=CARTESIAN_POINT('',(42.36,14.25,0.)); #453986=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #453987=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #453988=CARTESIAN_POINT('',(42.325,14.25,1.5)); #453989=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #453990=CARTESIAN_POINT('',(42.325,14.25,0.)); #453991=CARTESIAN_POINT('',(42.325,14.25,0.)); #453992=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #453993=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #453994=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #453995=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #453996=CARTESIAN_POINT('',(7.11,34.,1.5)); #453997=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #453998=CARTESIAN_POINT('',(7.11,34.,0.)); #453999=CARTESIAN_POINT('',(7.11,34.,0.)); #454000=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #454001=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #454002=CARTESIAN_POINT('',(7.075,34.,1.5)); #454003=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #454004=CARTESIAN_POINT('',(7.075,34.,0.)); #454005=CARTESIAN_POINT('',(7.075,34.,0.)); #454006=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #454007=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #454008=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #454009=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #454010=CARTESIAN_POINT('',(33.86,56.5,1.5)); #454011=CARTESIAN_POINT('Origin',(34.,56.5,1.5)); #454012=CARTESIAN_POINT('',(33.86,56.5,0.)); #454013=CARTESIAN_POINT('',(33.86,56.5,0.)); #454014=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #454015=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #454016=CARTESIAN_POINT('',(33.825,56.5,1.5)); #454017=CARTESIAN_POINT('Origin',(34.,56.5,1.5)); #454018=CARTESIAN_POINT('',(33.825,56.5,0.)); #454019=CARTESIAN_POINT('',(33.825,56.5,0.)); #454020=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #454021=CARTESIAN_POINT('Origin',(34.,56.5,1.5)); #454022=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #454023=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #454024=CARTESIAN_POINT('',(33.86,14.75,1.5)); #454025=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #454026=CARTESIAN_POINT('',(33.86,14.75,0.)); #454027=CARTESIAN_POINT('',(33.86,14.75,0.)); #454028=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #454029=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #454030=CARTESIAN_POINT('',(33.825,14.75,1.5)); #454031=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #454032=CARTESIAN_POINT('',(33.825,14.75,0.)); #454033=CARTESIAN_POINT('',(33.825,14.75,0.)); #454034=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #454035=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #454036=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #454037=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #454038=CARTESIAN_POINT('',(38.622,60.25,1.5)); #454039=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #454040=CARTESIAN_POINT('',(38.622,60.25,0.)); #454041=CARTESIAN_POINT('',(38.622,60.25,0.)); #454042=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #454043=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #454044=CARTESIAN_POINT('',(38.587,60.25,1.5)); #454045=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #454046=CARTESIAN_POINT('',(38.587,60.25,0.)); #454047=CARTESIAN_POINT('',(38.587,60.25,0.)); #454048=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #454049=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #454050=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #454051=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #454052=CARTESIAN_POINT('',(7.11,34.75,1.5)); #454053=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #454054=CARTESIAN_POINT('',(7.11,34.75,0.)); #454055=CARTESIAN_POINT('',(7.11,34.75,0.)); #454056=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #454057=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #454058=CARTESIAN_POINT('',(7.075,34.75,1.5)); #454059=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #454060=CARTESIAN_POINT('',(7.075,34.75,0.)); #454061=CARTESIAN_POINT('',(7.075,34.75,0.)); #454062=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #454063=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #454064=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #454065=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #454066=CARTESIAN_POINT('',(34.7975,12.25,1.5)); #454067=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #454068=CARTESIAN_POINT('',(34.7975,12.25,0.)); #454069=CARTESIAN_POINT('',(34.7975,12.25,0.)); #454070=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #454071=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #454072=CARTESIAN_POINT('',(34.7625,12.25,1.5)); #454073=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #454074=CARTESIAN_POINT('',(34.7625,12.25,0.)); #454075=CARTESIAN_POINT('',(34.7625,12.25,0.)); #454076=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #454077=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #454078=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #454079=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #454080=CARTESIAN_POINT('',(34.61,17.,1.5)); #454081=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #454082=CARTESIAN_POINT('',(34.61,17.,0.)); #454083=CARTESIAN_POINT('',(34.61,17.,0.)); #454084=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #454085=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #454086=CARTESIAN_POINT('',(34.575,17.,1.5)); #454087=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #454088=CARTESIAN_POINT('',(34.575,17.,0.)); #454089=CARTESIAN_POINT('',(34.575,17.,0.)); #454090=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #454091=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #454092=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #454093=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #454094=CARTESIAN_POINT('',(39.11,15.75,1.5)); #454095=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #454096=CARTESIAN_POINT('',(39.11,15.75,0.)); #454097=CARTESIAN_POINT('',(39.11,15.75,0.)); #454098=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #454099=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #454100=CARTESIAN_POINT('',(39.075,15.75,1.5)); #454101=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #454102=CARTESIAN_POINT('',(39.075,15.75,0.)); #454103=CARTESIAN_POINT('',(39.075,15.75,0.)); #454104=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #454105=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #454106=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #454107=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #454108=CARTESIAN_POINT('',(7.11,33.25,1.5)); #454109=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #454110=CARTESIAN_POINT('',(7.11,33.25,0.)); #454111=CARTESIAN_POINT('',(7.11,33.25,0.)); #454112=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #454113=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #454114=CARTESIAN_POINT('',(7.075,33.25,1.5)); #454115=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #454116=CARTESIAN_POINT('',(7.075,33.25,0.)); #454117=CARTESIAN_POINT('',(7.075,33.25,0.)); #454118=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #454119=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #454120=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #454121=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #454122=CARTESIAN_POINT('',(41.11,13.0029,1.5)); #454123=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #454124=CARTESIAN_POINT('',(41.11,13.0029,0.)); #454125=CARTESIAN_POINT('',(41.11,13.0029,0.)); #454126=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #454127=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #454128=CARTESIAN_POINT('',(41.075,13.0029,1.5)); #454129=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #454130=CARTESIAN_POINT('',(41.075,13.0029,0.)); #454131=CARTESIAN_POINT('',(41.075,13.0029,0.)); #454132=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #454133=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #454134=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #454135=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #454136=CARTESIAN_POINT('',(33.11,14.75,1.5)); #454137=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #454138=CARTESIAN_POINT('',(33.11,14.75,0.)); #454139=CARTESIAN_POINT('',(33.11,14.75,0.)); #454140=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #454141=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #454142=CARTESIAN_POINT('',(33.075,14.75,1.5)); #454143=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #454144=CARTESIAN_POINT('',(33.075,14.75,0.)); #454145=CARTESIAN_POINT('',(33.075,14.75,0.)); #454146=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #454147=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #454148=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #454149=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #454150=CARTESIAN_POINT('',(34.61,56.5,1.5)); #454151=CARTESIAN_POINT('Origin',(34.75,56.5,1.5)); #454152=CARTESIAN_POINT('',(34.61,56.5,0.)); #454153=CARTESIAN_POINT('',(34.61,56.5,0.)); #454154=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #454155=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #454156=CARTESIAN_POINT('',(34.575,56.5,1.5)); #454157=CARTESIAN_POINT('Origin',(34.75,56.5,1.5)); #454158=CARTESIAN_POINT('',(34.575,56.5,0.)); #454159=CARTESIAN_POINT('',(34.575,56.5,0.)); #454160=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #454161=CARTESIAN_POINT('Origin',(34.75,56.5,1.5)); #454162=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #454163=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #454164=CARTESIAN_POINT('',(6.36,33.25,1.5)); #454165=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #454166=CARTESIAN_POINT('',(6.36,33.25,0.)); #454167=CARTESIAN_POINT('',(6.36,33.25,0.)); #454168=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #454169=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #454170=CARTESIAN_POINT('',(6.325,33.25,1.5)); #454171=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #454172=CARTESIAN_POINT('',(6.325,33.25,0.)); #454173=CARTESIAN_POINT('',(6.325,33.25,0.)); #454174=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #454175=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #454176=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #454177=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #454178=CARTESIAN_POINT('',(33.9225,12.25,1.5)); #454179=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #454180=CARTESIAN_POINT('',(33.9225,12.25,0.)); #454181=CARTESIAN_POINT('',(33.9225,12.25,0.)); #454182=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #454183=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #454184=CARTESIAN_POINT('',(33.8875,12.25,1.5)); #454185=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #454186=CARTESIAN_POINT('',(33.8875,12.25,0.)); #454187=CARTESIAN_POINT('',(33.8875,12.25,0.)); #454188=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #454189=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #454190=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #454191=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #454192=CARTESIAN_POINT('',(21.235,34.,1.5)); #454193=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #454194=CARTESIAN_POINT('',(21.235,34.,0.)); #454195=CARTESIAN_POINT('',(21.235,34.,0.)); #454196=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #454197=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #454198=CARTESIAN_POINT('',(21.2,34.,1.5)); #454199=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #454200=CARTESIAN_POINT('',(21.2,34.,0.)); #454201=CARTESIAN_POINT('',(21.2,34.,0.)); #454202=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #454203=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #454204=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #454205=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #454206=CARTESIAN_POINT('',(22.735,46.25,1.5)); #454207=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #454208=CARTESIAN_POINT('',(22.735,46.25,0.)); #454209=CARTESIAN_POINT('',(22.735,46.25,0.)); #454210=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #454211=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #454212=CARTESIAN_POINT('',(22.7,46.25,1.5)); #454213=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #454214=CARTESIAN_POINT('',(22.7,46.25,0.)); #454215=CARTESIAN_POINT('',(22.7,46.25,0.)); #454216=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #454217=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #454218=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #454219=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #454220=CARTESIAN_POINT('',(61.11,34.75,1.5)); #454221=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #454222=CARTESIAN_POINT('',(61.11,34.75,0.)); #454223=CARTESIAN_POINT('',(61.11,34.75,0.)); #454224=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #454225=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #454226=CARTESIAN_POINT('',(61.075,34.75,1.5)); #454227=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #454228=CARTESIAN_POINT('',(61.075,34.75,0.)); #454229=CARTESIAN_POINT('',(61.075,34.75,0.)); #454230=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #454231=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #454232=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #454233=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #454234=CARTESIAN_POINT('',(20.985,46.25,1.5)); #454235=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #454236=CARTESIAN_POINT('',(20.985,46.25,0.)); #454237=CARTESIAN_POINT('',(20.985,46.25,0.)); #454238=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #454239=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #454240=CARTESIAN_POINT('',(20.95,46.25,1.5)); #454241=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #454242=CARTESIAN_POINT('',(20.95,46.25,0.)); #454243=CARTESIAN_POINT('',(20.95,46.25,0.)); #454244=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #454245=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #454246=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #454247=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #454248=CARTESIAN_POINT('',(36.11,17.75,1.5)); #454249=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #454250=CARTESIAN_POINT('',(36.11,17.75,0.)); #454251=CARTESIAN_POINT('',(36.11,17.75,0.)); #454252=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #454253=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #454254=CARTESIAN_POINT('',(36.075,17.75,1.5)); #454255=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #454256=CARTESIAN_POINT('',(36.075,17.75,0.)); #454257=CARTESIAN_POINT('',(36.075,17.75,0.)); #454258=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #454259=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #454260=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #454261=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #454262=CARTESIAN_POINT('',(20.985,47.75,1.5)); #454263=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #454264=CARTESIAN_POINT('',(20.985,47.75,0.)); #454265=CARTESIAN_POINT('',(20.985,47.75,0.)); #454266=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #454267=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #454268=CARTESIAN_POINT('',(20.95,47.75,1.5)); #454269=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #454270=CARTESIAN_POINT('',(20.95,47.75,0.)); #454271=CARTESIAN_POINT('',(20.95,47.75,0.)); #454272=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #454273=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #454274=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #454275=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #454276=CARTESIAN_POINT('',(61.11,34.,1.5)); #454277=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #454278=CARTESIAN_POINT('',(61.11,34.,0.)); #454279=CARTESIAN_POINT('',(61.11,34.,0.)); #454280=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #454281=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #454282=CARTESIAN_POINT('',(61.075,34.,1.5)); #454283=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #454284=CARTESIAN_POINT('',(61.075,34.,0.)); #454285=CARTESIAN_POINT('',(61.075,34.,0.)); #454286=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #454287=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #454288=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #454289=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #454290=CARTESIAN_POINT('',(20.985,47.,1.5)); #454291=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #454292=CARTESIAN_POINT('',(20.985,47.,0.)); #454293=CARTESIAN_POINT('',(20.985,47.,0.)); #454294=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #454295=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #454296=CARTESIAN_POINT('',(20.95,47.,1.5)); #454297=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #454298=CARTESIAN_POINT('',(20.95,47.,0.)); #454299=CARTESIAN_POINT('',(20.95,47.,0.)); #454300=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #454301=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #454302=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #454303=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #454304=CARTESIAN_POINT('',(37.11,9.5,1.5)); #454305=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #454306=CARTESIAN_POINT('',(37.11,9.5,0.)); #454307=CARTESIAN_POINT('',(37.11,9.5,0.)); #454308=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #454309=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #454310=CARTESIAN_POINT('',(37.075,9.5,1.5)); #454311=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #454312=CARTESIAN_POINT('',(37.075,9.5,0.)); #454313=CARTESIAN_POINT('',(37.075,9.5,0.)); #454314=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #454315=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #454316=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #454317=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #454318=CARTESIAN_POINT('',(23.61,47.,1.5)); #454319=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #454320=CARTESIAN_POINT('',(23.61,47.,0.)); #454321=CARTESIAN_POINT('',(23.61,47.,0.)); #454322=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #454323=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #454324=CARTESIAN_POINT('',(23.575,47.,1.5)); #454325=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #454326=CARTESIAN_POINT('',(23.575,47.,0.)); #454327=CARTESIAN_POINT('',(23.575,47.,0.)); #454328=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #454329=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #454330=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #454331=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #454332=CARTESIAN_POINT('',(58.36,33.25,1.5)); #454333=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #454334=CARTESIAN_POINT('',(58.36,33.25,0.)); #454335=CARTESIAN_POINT('',(58.36,33.25,0.)); #454336=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #454337=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #454338=CARTESIAN_POINT('',(58.325,33.25,1.5)); #454339=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #454340=CARTESIAN_POINT('',(58.325,33.25,0.)); #454341=CARTESIAN_POINT('',(58.325,33.25,0.)); #454342=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #454343=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #454344=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #454345=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #454346=CARTESIAN_POINT('',(20.11,47.,1.5)); #454347=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #454348=CARTESIAN_POINT('',(20.11,47.,0.)); #454349=CARTESIAN_POINT('',(20.11,47.,0.)); #454350=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #454351=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #454352=CARTESIAN_POINT('',(20.075,47.,1.5)); #454353=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #454354=CARTESIAN_POINT('',(20.075,47.,0.)); #454355=CARTESIAN_POINT('',(20.075,47.,0.)); #454356=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #454357=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #454358=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #454359=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #454360=CARTESIAN_POINT('',(36.11,18.5,1.5)); #454361=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #454362=CARTESIAN_POINT('',(36.11,18.5,0.)); #454363=CARTESIAN_POINT('',(36.11,18.5,0.)); #454364=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #454365=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #454366=CARTESIAN_POINT('',(36.075,18.5,1.5)); #454367=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #454368=CARTESIAN_POINT('',(36.075,18.5,0.)); #454369=CARTESIAN_POINT('',(36.075,18.5,0.)); #454370=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #454371=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #454372=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #454373=CARTESIAN_POINT('Origin',(22.,47.,0.)); #454374=CARTESIAN_POINT('',(21.86,47.,1.5)); #454375=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #454376=CARTESIAN_POINT('',(21.86,47.,0.)); #454377=CARTESIAN_POINT('',(21.86,47.,0.)); #454378=CARTESIAN_POINT('Origin',(22.,47.,0.)); #454379=CARTESIAN_POINT('Origin',(22.,47.,0.)); #454380=CARTESIAN_POINT('',(21.825,47.,1.5)); #454381=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #454382=CARTESIAN_POINT('',(21.825,47.,0.)); #454383=CARTESIAN_POINT('',(21.825,47.,0.)); #454384=CARTESIAN_POINT('Origin',(22.,47.,0.)); #454385=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #454386=CARTESIAN_POINT('Origin',(22.,47.,0.)); #454387=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #454388=CARTESIAN_POINT('',(61.11,33.25,1.5)); #454389=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #454390=CARTESIAN_POINT('',(61.11,33.25,0.)); #454391=CARTESIAN_POINT('',(61.11,33.25,0.)); #454392=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #454393=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #454394=CARTESIAN_POINT('',(61.075,33.25,1.5)); #454395=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #454396=CARTESIAN_POINT('',(61.075,33.25,0.)); #454397=CARTESIAN_POINT('',(61.075,33.25,0.)); #454398=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #454399=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #454400=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #454401=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #454402=CARTESIAN_POINT('',(21.86,47.75,1.5)); #454403=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #454404=CARTESIAN_POINT('',(21.86,47.75,0.)); #454405=CARTESIAN_POINT('',(21.86,47.75,0.)); #454406=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #454407=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #454408=CARTESIAN_POINT('',(21.825,47.75,1.5)); #454409=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #454410=CARTESIAN_POINT('',(21.825,47.75,0.)); #454411=CARTESIAN_POINT('',(21.825,47.75,0.)); #454412=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #454413=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #454414=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #454415=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #454416=CARTESIAN_POINT('',(31.035,25.25,1.5)); #454417=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #454418=CARTESIAN_POINT('',(31.035,25.25,0.)); #454419=CARTESIAN_POINT('',(31.035,25.25,0.)); #454420=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #454421=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #454422=CARTESIAN_POINT('',(31.,25.25,1.5)); #454423=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #454424=CARTESIAN_POINT('',(31.,25.25,0.)); #454425=CARTESIAN_POINT('',(31.,25.25,0.)); #454426=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #454427=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #454428=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #454429=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #454430=CARTESIAN_POINT('',(20.11,46.25,1.5)); #454431=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #454432=CARTESIAN_POINT('',(20.11,46.25,0.)); #454433=CARTESIAN_POINT('',(20.11,46.25,0.)); #454434=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #454435=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #454436=CARTESIAN_POINT('',(20.075,46.25,1.5)); #454437=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #454438=CARTESIAN_POINT('',(20.075,46.25,0.)); #454439=CARTESIAN_POINT('',(20.075,46.25,0.)); #454440=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #454441=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #454442=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #454443=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #454444=CARTESIAN_POINT('',(13.36,20.25,1.5)); #454445=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #454446=CARTESIAN_POINT('',(13.36,20.25,0.)); #454447=CARTESIAN_POINT('',(13.36,20.25,0.)); #454448=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #454449=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #454450=CARTESIAN_POINT('',(13.325,20.25,1.5)); #454451=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #454452=CARTESIAN_POINT('',(13.325,20.25,0.)); #454453=CARTESIAN_POINT('',(13.325,20.25,0.)); #454454=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #454455=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #454456=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #454457=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #454458=CARTESIAN_POINT('',(18.36,47.75,1.5)); #454459=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #454460=CARTESIAN_POINT('',(18.36,47.75,0.)); #454461=CARTESIAN_POINT('',(18.36,47.75,0.)); #454462=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #454463=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #454464=CARTESIAN_POINT('',(18.325,47.75,1.5)); #454465=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #454466=CARTESIAN_POINT('',(18.325,47.75,0.)); #454467=CARTESIAN_POINT('',(18.325,47.75,0.)); #454468=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #454469=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #454470=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #454471=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #454472=CARTESIAN_POINT('',(35.36,19.25,1.5)); #454473=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #454474=CARTESIAN_POINT('',(35.36,19.25,0.)); #454475=CARTESIAN_POINT('',(35.36,19.25,0.)); #454476=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #454477=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #454478=CARTESIAN_POINT('',(35.325,19.25,1.5)); #454479=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #454480=CARTESIAN_POINT('',(35.325,19.25,0.)); #454481=CARTESIAN_POINT('',(35.325,19.25,0.)); #454482=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #454483=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #454484=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #454485=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #454486=CARTESIAN_POINT('',(18.36,47.,1.5)); #454487=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #454488=CARTESIAN_POINT('',(18.36,47.,0.)); #454489=CARTESIAN_POINT('',(18.36,47.,0.)); #454490=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #454491=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #454492=CARTESIAN_POINT('',(18.325,47.,1.5)); #454493=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #454494=CARTESIAN_POINT('',(18.325,47.,0.)); #454495=CARTESIAN_POINT('',(18.325,47.,0.)); #454496=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #454497=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #454498=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #454499=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #454500=CARTESIAN_POINT('',(13.36,21.,1.5)); #454501=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #454502=CARTESIAN_POINT('',(13.36,21.,0.)); #454503=CARTESIAN_POINT('',(13.36,21.,0.)); #454504=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #454505=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #454506=CARTESIAN_POINT('',(13.325,21.,1.5)); #454507=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #454508=CARTESIAN_POINT('',(13.325,21.,0.)); #454509=CARTESIAN_POINT('',(13.325,21.,0.)); #454510=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #454511=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #454512=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #454513=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #454514=CARTESIAN_POINT('',(18.36,46.25,1.5)); #454515=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #454516=CARTESIAN_POINT('',(18.36,46.25,0.)); #454517=CARTESIAN_POINT('',(18.36,46.25,0.)); #454518=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #454519=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #454520=CARTESIAN_POINT('',(18.325,46.25,1.5)); #454521=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #454522=CARTESIAN_POINT('',(18.325,46.25,0.)); #454523=CARTESIAN_POINT('',(18.325,46.25,0.)); #454524=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #454525=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #454526=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #454527=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #454528=CARTESIAN_POINT('',(36.36,9.5,1.5)); #454529=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #454530=CARTESIAN_POINT('',(36.36,9.5,0.)); #454531=CARTESIAN_POINT('',(36.36,9.5,0.)); #454532=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #454533=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #454534=CARTESIAN_POINT('',(36.325,9.5,1.5)); #454535=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #454536=CARTESIAN_POINT('',(36.325,9.5,0.)); #454537=CARTESIAN_POINT('',(36.325,9.5,0.)); #454538=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #454539=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #454540=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #454541=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #454542=CARTESIAN_POINT('',(23.61,47.75,1.5)); #454543=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #454544=CARTESIAN_POINT('',(23.61,47.75,0.)); #454545=CARTESIAN_POINT('',(23.61,47.75,0.)); #454546=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #454547=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #454548=CARTESIAN_POINT('',(23.575,47.75,1.5)); #454549=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #454550=CARTESIAN_POINT('',(23.575,47.75,0.)); #454551=CARTESIAN_POINT('',(23.575,47.75,0.)); #454552=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #454553=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #454554=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #454555=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #454556=CARTESIAN_POINT('',(58.36,34.,1.5)); #454557=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #454558=CARTESIAN_POINT('',(58.36,34.,0.)); #454559=CARTESIAN_POINT('',(58.36,34.,0.)); #454560=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #454561=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #454562=CARTESIAN_POINT('',(58.325,34.,1.5)); #454563=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #454564=CARTESIAN_POINT('',(58.325,34.,0.)); #454565=CARTESIAN_POINT('',(58.325,34.,0.)); #454566=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #454567=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #454568=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #454569=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #454570=CARTESIAN_POINT('',(20.11,47.75,1.5)); #454571=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #454572=CARTESIAN_POINT('',(20.11,47.75,0.)); #454573=CARTESIAN_POINT('',(20.11,47.75,0.)); #454574=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #454575=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #454576=CARTESIAN_POINT('',(20.075,47.75,1.5)); #454577=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #454578=CARTESIAN_POINT('',(20.075,47.75,0.)); #454579=CARTESIAN_POINT('',(20.075,47.75,0.)); #454580=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #454581=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #454582=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #454583=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #454584=CARTESIAN_POINT('',(36.11,19.25,1.5)); #454585=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #454586=CARTESIAN_POINT('',(36.11,19.25,0.)); #454587=CARTESIAN_POINT('',(36.11,19.25,0.)); #454588=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #454589=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #454590=CARTESIAN_POINT('',(36.075,19.25,1.5)); #454591=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #454592=CARTESIAN_POINT('',(36.075,19.25,0.)); #454593=CARTESIAN_POINT('',(36.075,19.25,0.)); #454594=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #454595=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #454596=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #454597=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #454598=CARTESIAN_POINT('',(23.61,46.25,1.5)); #454599=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #454600=CARTESIAN_POINT('',(23.61,46.25,0.)); #454601=CARTESIAN_POINT('',(23.61,46.25,0.)); #454602=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #454603=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #454604=CARTESIAN_POINT('',(23.575,46.25,1.5)); #454605=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #454606=CARTESIAN_POINT('',(23.575,46.25,0.)); #454607=CARTESIAN_POINT('',(23.575,46.25,0.)); #454608=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #454609=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #454610=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #454611=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #454612=CARTESIAN_POINT('',(58.36,34.75,1.5)); #454613=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #454614=CARTESIAN_POINT('',(58.36,34.75,0.)); #454615=CARTESIAN_POINT('',(58.36,34.75,0.)); #454616=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #454617=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #454618=CARTESIAN_POINT('',(58.325,34.75,1.5)); #454619=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #454620=CARTESIAN_POINT('',(58.325,34.75,0.)); #454621=CARTESIAN_POINT('',(58.325,34.75,0.)); #454622=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #454623=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #454624=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #454625=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #454626=CARTESIAN_POINT('',(21.86,46.25,1.5)); #454627=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #454628=CARTESIAN_POINT('',(21.86,46.25,0.)); #454629=CARTESIAN_POINT('',(21.86,46.25,0.)); #454630=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #454631=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #454632=CARTESIAN_POINT('',(21.825,46.25,1.5)); #454633=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #454634=CARTESIAN_POINT('',(21.825,46.25,0.)); #454635=CARTESIAN_POINT('',(21.825,46.25,0.)); #454636=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #454637=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #454638=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #454639=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #454640=CARTESIAN_POINT('',(45.797,32.73,1.5)); #454641=CARTESIAN_POINT('Origin',(46.27,32.73,1.5)); #454642=CARTESIAN_POINT('',(45.797,32.73,0.)); #454643=CARTESIAN_POINT('',(45.797,32.73,0.)); #454644=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #454645=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #454646=CARTESIAN_POINT('',(45.762,32.73,1.5)); #454647=CARTESIAN_POINT('Origin',(46.27,32.73,1.5)); #454648=CARTESIAN_POINT('',(45.762,32.73,0.)); #454649=CARTESIAN_POINT('',(45.762,32.73,0.)); #454650=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #454651=CARTESIAN_POINT('Origin',(46.27,32.73,1.5)); #454652=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #454653=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #454654=CARTESIAN_POINT('',(17.36,46.25,1.5)); #454655=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #454656=CARTESIAN_POINT('',(17.36,46.25,0.)); #454657=CARTESIAN_POINT('',(17.36,46.25,0.)); #454658=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #454659=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #454660=CARTESIAN_POINT('',(17.325,46.25,1.5)); #454661=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #454662=CARTESIAN_POINT('',(17.325,46.25,0.)); #454663=CARTESIAN_POINT('',(17.325,46.25,0.)); #454664=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #454665=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #454666=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #454667=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #454668=CARTESIAN_POINT('',(57.61,33.25,1.5)); #454669=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #454670=CARTESIAN_POINT('',(57.61,33.25,0.)); #454671=CARTESIAN_POINT('',(57.61,33.25,0.)); #454672=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #454673=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #454674=CARTESIAN_POINT('',(57.575,33.25,1.5)); #454675=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #454676=CARTESIAN_POINT('',(57.575,33.25,0.)); #454677=CARTESIAN_POINT('',(57.575,33.25,0.)); #454678=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #454679=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #454680=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #454681=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #454682=CARTESIAN_POINT('',(17.36,47.,1.5)); #454683=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #454684=CARTESIAN_POINT('',(17.36,47.,0.)); #454685=CARTESIAN_POINT('',(17.36,47.,0.)); #454686=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #454687=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #454688=CARTESIAN_POINT('',(17.325,47.,1.5)); #454689=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #454690=CARTESIAN_POINT('',(17.325,47.,0.)); #454691=CARTESIAN_POINT('',(17.325,47.,0.)); #454692=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #454693=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #454694=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #454695=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #454696=CARTESIAN_POINT('',(36.11,16.25,1.5)); #454697=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #454698=CARTESIAN_POINT('',(36.11,16.25,0.)); #454699=CARTESIAN_POINT('',(36.11,16.25,0.)); #454700=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #454701=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #454702=CARTESIAN_POINT('',(36.075,16.25,1.5)); #454703=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #454704=CARTESIAN_POINT('',(36.075,16.25,0.)); #454705=CARTESIAN_POINT('',(36.075,16.25,0.)); #454706=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #454707=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #454708=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #454709=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #454710=CARTESIAN_POINT('',(19.235,46.25,1.5)); #454711=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #454712=CARTESIAN_POINT('',(19.235,46.25,0.)); #454713=CARTESIAN_POINT('',(19.235,46.25,0.)); #454714=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #454715=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #454716=CARTESIAN_POINT('',(19.2,46.25,1.5)); #454717=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #454718=CARTESIAN_POINT('',(19.2,46.25,0.)); #454719=CARTESIAN_POINT('',(19.2,46.25,0.)); #454720=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #454721=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #454722=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #454723=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #454724=CARTESIAN_POINT('',(61.86,33.25,1.5)); #454725=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #454726=CARTESIAN_POINT('',(61.86,33.25,0.)); #454727=CARTESIAN_POINT('',(61.86,33.25,0.)); #454728=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #454729=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #454730=CARTESIAN_POINT('',(61.825,33.25,1.5)); #454731=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #454732=CARTESIAN_POINT('',(61.825,33.25,0.)); #454733=CARTESIAN_POINT('',(61.825,33.25,0.)); #454734=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #454735=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #454736=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #454737=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #454738=CARTESIAN_POINT('',(17.36,47.75,1.5)); #454739=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #454740=CARTESIAN_POINT('',(17.36,47.75,0.)); #454741=CARTESIAN_POINT('',(17.36,47.75,0.)); #454742=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #454743=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #454744=CARTESIAN_POINT('',(17.325,47.75,1.5)); #454745=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #454746=CARTESIAN_POINT('',(17.325,47.75,0.)); #454747=CARTESIAN_POINT('',(17.325,47.75,0.)); #454748=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #454749=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #454750=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #454751=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #454752=CARTESIAN_POINT('',(37.11,8.75,1.5)); #454753=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #454754=CARTESIAN_POINT('',(37.11,8.75,0.)); #454755=CARTESIAN_POINT('',(37.11,8.75,0.)); #454756=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #454757=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #454758=CARTESIAN_POINT('',(37.075,8.75,1.5)); #454759=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #454760=CARTESIAN_POINT('',(37.075,8.75,0.)); #454761=CARTESIAN_POINT('',(37.075,8.75,0.)); #454762=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #454763=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #454764=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #454765=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #454766=CARTESIAN_POINT('',(22.735,47.75,1.5)); #454767=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #454768=CARTESIAN_POINT('',(22.735,47.75,0.)); #454769=CARTESIAN_POINT('',(22.735,47.75,0.)); #454770=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #454771=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #454772=CARTESIAN_POINT('',(22.7,47.75,1.5)); #454773=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #454774=CARTESIAN_POINT('',(22.7,47.75,0.)); #454775=CARTESIAN_POINT('',(22.7,47.75,0.)); #454776=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #454777=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #454778=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #454779=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #454780=CARTESIAN_POINT('',(61.86,34.75,1.5)); #454781=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #454782=CARTESIAN_POINT('',(61.86,34.75,0.)); #454783=CARTESIAN_POINT('',(61.86,34.75,0.)); #454784=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #454785=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #454786=CARTESIAN_POINT('',(61.825,34.75,1.5)); #454787=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #454788=CARTESIAN_POINT('',(61.825,34.75,0.)); #454789=CARTESIAN_POINT('',(61.825,34.75,0.)); #454790=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #454791=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #454792=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #454793=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #454794=CARTESIAN_POINT('',(22.735,47.,1.5)); #454795=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #454796=CARTESIAN_POINT('',(22.735,47.,0.)); #454797=CARTESIAN_POINT('',(22.735,47.,0.)); #454798=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #454799=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #454800=CARTESIAN_POINT('',(22.7,47.,1.5)); #454801=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #454802=CARTESIAN_POINT('',(22.7,47.,0.)); #454803=CARTESIAN_POINT('',(22.7,47.,0.)); #454804=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #454805=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #454806=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #454807=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #454808=CARTESIAN_POINT('',(36.11,17.,1.5)); #454809=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #454810=CARTESIAN_POINT('',(36.11,17.,0.)); #454811=CARTESIAN_POINT('',(36.11,17.,0.)); #454812=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #454813=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #454814=CARTESIAN_POINT('',(36.075,17.,1.5)); #454815=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #454816=CARTESIAN_POINT('',(36.075,17.,0.)); #454817=CARTESIAN_POINT('',(36.075,17.,0.)); #454818=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #454819=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #454820=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #454821=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #454822=CARTESIAN_POINT('',(19.235,47.75,1.5)); #454823=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #454824=CARTESIAN_POINT('',(19.235,47.75,0.)); #454825=CARTESIAN_POINT('',(19.235,47.75,0.)); #454826=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #454827=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #454828=CARTESIAN_POINT('',(19.2,47.75,1.5)); #454829=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #454830=CARTESIAN_POINT('',(19.2,47.75,0.)); #454831=CARTESIAN_POINT('',(19.2,47.75,0.)); #454832=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #454833=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #454834=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #454835=CARTESIAN_POINT('Origin',(62.,34.,0.)); #454836=CARTESIAN_POINT('',(61.86,34.,1.5)); #454837=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #454838=CARTESIAN_POINT('',(61.86,34.,0.)); #454839=CARTESIAN_POINT('',(61.86,34.,0.)); #454840=CARTESIAN_POINT('Origin',(62.,34.,0.)); #454841=CARTESIAN_POINT('Origin',(62.,34.,0.)); #454842=CARTESIAN_POINT('',(61.825,34.,1.5)); #454843=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #454844=CARTESIAN_POINT('',(61.825,34.,0.)); #454845=CARTESIAN_POINT('',(61.825,34.,0.)); #454846=CARTESIAN_POINT('Origin',(62.,34.,0.)); #454847=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #454848=CARTESIAN_POINT('Origin',(62.,34.,0.)); #454849=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #454850=CARTESIAN_POINT('',(19.235,47.,1.5)); #454851=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #454852=CARTESIAN_POINT('',(19.235,47.,0.)); #454853=CARTESIAN_POINT('',(19.235,47.,0.)); #454854=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #454855=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #454856=CARTESIAN_POINT('',(19.2,47.,1.5)); #454857=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #454858=CARTESIAN_POINT('',(19.2,47.,0.)); #454859=CARTESIAN_POINT('',(19.2,47.,0.)); #454860=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #454861=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #454862=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #454863=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #454864=CARTESIAN_POINT('',(36.035,27.75,1.5)); #454865=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #454866=CARTESIAN_POINT('',(36.035,27.75,0.)); #454867=CARTESIAN_POINT('',(36.035,27.75,0.)); #454868=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #454869=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #454870=CARTESIAN_POINT('',(36.,27.75,1.5)); #454871=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #454872=CARTESIAN_POINT('',(36.,27.75,0.)); #454873=CARTESIAN_POINT('',(36.,27.75,0.)); #454874=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #454875=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #454876=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #454877=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #454878=CARTESIAN_POINT('',(16.485,47.75,1.5)); #454879=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #454880=CARTESIAN_POINT('',(16.485,47.75,0.)); #454881=CARTESIAN_POINT('',(16.485,47.75,0.)); #454882=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #454883=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #454884=CARTESIAN_POINT('',(16.45,47.75,1.5)); #454885=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #454886=CARTESIAN_POINT('',(16.45,47.75,0.)); #454887=CARTESIAN_POINT('',(16.45,47.75,0.)); #454888=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #454889=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #454890=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #454891=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #454892=CARTESIAN_POINT('',(57.61,34.75,1.5)); #454893=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #454894=CARTESIAN_POINT('',(57.61,34.75,0.)); #454895=CARTESIAN_POINT('',(57.61,34.75,0.)); #454896=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #454897=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #454898=CARTESIAN_POINT('',(57.575,34.75,1.5)); #454899=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #454900=CARTESIAN_POINT('',(57.575,34.75,0.)); #454901=CARTESIAN_POINT('',(57.575,34.75,0.)); #454902=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #454903=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #454904=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #454905=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #454906=CARTESIAN_POINT('',(15.61,47.75,1.5)); #454907=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #454908=CARTESIAN_POINT('',(15.61,47.75,0.)); #454909=CARTESIAN_POINT('',(15.61,47.75,0.)); #454910=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #454911=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #454912=CARTESIAN_POINT('',(15.575,47.75,1.5)); #454913=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #454914=CARTESIAN_POINT('',(15.575,47.75,0.)); #454915=CARTESIAN_POINT('',(15.575,47.75,0.)); #454916=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #454917=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #454918=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #454919=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #454920=CARTESIAN_POINT('',(36.11,15.5,1.5)); #454921=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #454922=CARTESIAN_POINT('',(36.11,15.5,0.)); #454923=CARTESIAN_POINT('',(36.11,15.5,0.)); #454924=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #454925=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #454926=CARTESIAN_POINT('',(36.075,15.5,1.5)); #454927=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #454928=CARTESIAN_POINT('',(36.075,15.5,0.)); #454929=CARTESIAN_POINT('',(36.075,15.5,0.)); #454930=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #454931=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #454932=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #454933=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #454934=CARTESIAN_POINT('',(15.61,46.25,1.5)); #454935=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #454936=CARTESIAN_POINT('',(15.61,46.25,0.)); #454937=CARTESIAN_POINT('',(15.61,46.25,0.)); #454938=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #454939=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #454940=CARTESIAN_POINT('',(15.575,46.25,1.5)); #454941=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #454942=CARTESIAN_POINT('',(15.575,46.25,0.)); #454943=CARTESIAN_POINT('',(15.575,46.25,0.)); #454944=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #454945=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #454946=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #454947=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #454948=CARTESIAN_POINT('',(57.61,34.,1.5)); #454949=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #454950=CARTESIAN_POINT('',(57.61,34.,0.)); #454951=CARTESIAN_POINT('',(57.61,34.,0.)); #454952=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #454953=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #454954=CARTESIAN_POINT('',(57.575,34.,1.5)); #454955=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #454956=CARTESIAN_POINT('',(57.575,34.,0.)); #454957=CARTESIAN_POINT('',(57.575,34.,0.)); #454958=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #454959=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #454960=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #454961=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #454962=CARTESIAN_POINT('',(15.61,47.,1.5)); #454963=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #454964=CARTESIAN_POINT('',(15.61,47.,0.)); #454965=CARTESIAN_POINT('',(15.61,47.,0.)); #454966=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #454967=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #454968=CARTESIAN_POINT('',(15.575,47.,1.5)); #454969=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #454970=CARTESIAN_POINT('',(15.575,47.,0.)); #454971=CARTESIAN_POINT('',(15.575,47.,0.)); #454972=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #454973=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #454974=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #454975=CARTESIAN_POINT('Origin',(34.,17.,0.)); #454976=CARTESIAN_POINT('',(33.86,17.,1.5)); #454977=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #454978=CARTESIAN_POINT('',(33.86,17.,0.)); #454979=CARTESIAN_POINT('',(33.86,17.,0.)); #454980=CARTESIAN_POINT('Origin',(34.,17.,0.)); #454981=CARTESIAN_POINT('Origin',(34.,17.,0.)); #454982=CARTESIAN_POINT('',(33.825,17.,1.5)); #454983=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #454984=CARTESIAN_POINT('',(33.825,17.,0.)); #454985=CARTESIAN_POINT('',(33.825,17.,0.)); #454986=CARTESIAN_POINT('Origin',(34.,17.,0.)); #454987=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #454988=CARTESIAN_POINT('Origin',(34.,17.,0.)); #454989=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #454990=CARTESIAN_POINT('',(16.485,46.25,1.5)); #454991=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #454992=CARTESIAN_POINT('',(16.485,46.25,0.)); #454993=CARTESIAN_POINT('',(16.485,46.25,0.)); #454994=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #454995=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #454996=CARTESIAN_POINT('',(16.45,46.25,1.5)); #454997=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #454998=CARTESIAN_POINT('',(16.45,46.25,0.)); #454999=CARTESIAN_POINT('',(16.45,46.25,0.)); #455000=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #455001=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #455002=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #455003=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455004=CARTESIAN_POINT('',(10.11,34.,1.5)); #455005=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #455006=CARTESIAN_POINT('',(10.11,34.,0.)); #455007=CARTESIAN_POINT('',(10.11,34.,0.)); #455008=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455009=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455010=CARTESIAN_POINT('',(10.075,34.,1.5)); #455011=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #455012=CARTESIAN_POINT('',(10.075,34.,0.)); #455013=CARTESIAN_POINT('',(10.075,34.,0.)); #455014=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455015=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #455016=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455017=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #455018=CARTESIAN_POINT('',(16.485,47.,1.5)); #455019=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #455020=CARTESIAN_POINT('',(16.485,47.,0.)); #455021=CARTESIAN_POINT('',(16.485,47.,0.)); #455022=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #455023=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #455024=CARTESIAN_POINT('',(16.45,47.,1.5)); #455025=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #455026=CARTESIAN_POINT('',(16.45,47.,0.)); #455027=CARTESIAN_POINT('',(16.45,47.,0.)); #455028=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #455029=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #455030=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #455031=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #455032=CARTESIAN_POINT('',(36.11,14.75,1.5)); #455033=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #455034=CARTESIAN_POINT('',(36.11,14.75,0.)); #455035=CARTESIAN_POINT('',(36.11,14.75,0.)); #455036=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #455037=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #455038=CARTESIAN_POINT('',(36.075,14.75,1.5)); #455039=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #455040=CARTESIAN_POINT('',(36.075,14.75,0.)); #455041=CARTESIAN_POINT('',(36.075,14.75,0.)); #455042=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #455043=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #455044=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #455045=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #455046=CARTESIAN_POINT('',(26.61,36.,1.5)); #455047=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #455048=CARTESIAN_POINT('',(26.61,36.,0.)); #455049=CARTESIAN_POINT('',(26.61,36.,0.)); #455050=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #455051=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #455052=CARTESIAN_POINT('',(26.575,36.,1.5)); #455053=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #455054=CARTESIAN_POINT('',(26.575,36.,0.)); #455055=CARTESIAN_POINT('',(26.575,36.,0.)); #455056=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #455057=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #455058=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #455059=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455060=CARTESIAN_POINT('',(9.36,34.,1.5)); #455061=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #455062=CARTESIAN_POINT('',(9.36,34.,0.)); #455063=CARTESIAN_POINT('',(9.36,34.,0.)); #455064=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455065=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455066=CARTESIAN_POINT('',(9.325,34.,1.5)); #455067=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #455068=CARTESIAN_POINT('',(9.325,34.,0.)); #455069=CARTESIAN_POINT('',(9.325,34.,0.)); #455070=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455071=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #455072=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455073=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #455074=CARTESIAN_POINT('',(26.61,31.,1.5)); #455075=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #455076=CARTESIAN_POINT('',(26.61,31.,0.)); #455077=CARTESIAN_POINT('',(26.61,31.,0.)); #455078=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #455079=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #455080=CARTESIAN_POINT('',(26.575,31.,1.5)); #455081=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #455082=CARTESIAN_POINT('',(26.575,31.,0.)); #455083=CARTESIAN_POINT('',(26.575,31.,0.)); #455084=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #455085=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #455086=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #455087=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #455088=CARTESIAN_POINT('',(34.705,45.,1.5)); #455089=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #455090=CARTESIAN_POINT('',(34.705,45.,0.)); #455091=CARTESIAN_POINT('',(34.705,45.,0.)); #455092=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #455093=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #455094=CARTESIAN_POINT('',(34.67,45.,1.5)); #455095=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #455096=CARTESIAN_POINT('',(34.67,45.,0.)); #455097=CARTESIAN_POINT('',(34.67,45.,0.)); #455098=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #455099=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #455100=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #455101=CARTESIAN_POINT('Origin',(16.,21.,0.)); #455102=CARTESIAN_POINT('',(15.86,21.,1.5)); #455103=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #455104=CARTESIAN_POINT('',(15.86,21.,0.)); #455105=CARTESIAN_POINT('',(15.86,21.,0.)); #455106=CARTESIAN_POINT('Origin',(16.,21.,0.)); #455107=CARTESIAN_POINT('Origin',(16.,21.,0.)); #455108=CARTESIAN_POINT('',(15.825,21.,1.5)); #455109=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #455110=CARTESIAN_POINT('',(15.825,21.,0.)); #455111=CARTESIAN_POINT('',(15.825,21.,0.)); #455112=CARTESIAN_POINT('Origin',(16.,21.,0.)); #455113=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #455114=CARTESIAN_POINT('Origin',(16.,21.,0.)); #455115=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #455116=CARTESIAN_POINT('',(32.209,54.5,1.5)); #455117=CARTESIAN_POINT('Origin',(32.349,54.5,1.5)); #455118=CARTESIAN_POINT('',(32.209,54.5,0.)); #455119=CARTESIAN_POINT('',(32.209,54.5,0.)); #455120=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #455121=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #455122=CARTESIAN_POINT('',(32.174,54.5,1.5)); #455123=CARTESIAN_POINT('Origin',(32.349,54.5,1.5)); #455124=CARTESIAN_POINT('',(32.174,54.5,0.)); #455125=CARTESIAN_POINT('',(32.174,54.5,0.)); #455126=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #455127=CARTESIAN_POINT('Origin',(32.349,54.5,1.5)); #455128=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #455129=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #455130=CARTESIAN_POINT('',(15.86,21.75,1.5)); #455131=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #455132=CARTESIAN_POINT('',(15.86,21.75,0.)); #455133=CARTESIAN_POINT('',(15.86,21.75,0.)); #455134=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #455135=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #455136=CARTESIAN_POINT('',(15.825,21.75,1.5)); #455137=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #455138=CARTESIAN_POINT('',(15.825,21.75,0.)); #455139=CARTESIAN_POINT('',(15.825,21.75,0.)); #455140=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #455141=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #455142=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #455143=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #455144=CARTESIAN_POINT('',(34.61,16.25,1.5)); #455145=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #455146=CARTESIAN_POINT('',(34.61,16.25,0.)); #455147=CARTESIAN_POINT('',(34.61,16.25,0.)); #455148=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #455149=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #455150=CARTESIAN_POINT('',(34.575,16.25,1.5)); #455151=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #455152=CARTESIAN_POINT('',(34.575,16.25,0.)); #455153=CARTESIAN_POINT('',(34.575,16.25,0.)); #455154=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #455155=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #455156=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #455157=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #455158=CARTESIAN_POINT('',(15.11,21.75,1.5)); #455159=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #455160=CARTESIAN_POINT('',(15.11,21.75,0.)); #455161=CARTESIAN_POINT('',(15.11,21.75,0.)); #455162=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #455163=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #455164=CARTESIAN_POINT('',(15.075,21.75,1.5)); #455165=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #455166=CARTESIAN_POINT('',(15.075,21.75,0.)); #455167=CARTESIAN_POINT('',(15.075,21.75,0.)); #455168=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #455169=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #455170=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #455171=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #455172=CARTESIAN_POINT('',(32.209,63.5,1.5)); #455173=CARTESIAN_POINT('Origin',(32.349,63.5,1.5)); #455174=CARTESIAN_POINT('',(32.209,63.5,0.)); #455175=CARTESIAN_POINT('',(32.209,63.5,0.)); #455176=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #455177=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #455178=CARTESIAN_POINT('',(32.174,63.5,1.5)); #455179=CARTESIAN_POINT('Origin',(32.349,63.5,1.5)); #455180=CARTESIAN_POINT('',(32.174,63.5,0.)); #455181=CARTESIAN_POINT('',(32.174,63.5,0.)); #455182=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #455183=CARTESIAN_POINT('Origin',(32.349,63.5,1.5)); #455184=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #455185=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #455186=CARTESIAN_POINT('',(15.11,20.25,1.5)); #455187=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #455188=CARTESIAN_POINT('',(15.11,20.25,0.)); #455189=CARTESIAN_POINT('',(15.11,20.25,0.)); #455190=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #455191=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #455192=CARTESIAN_POINT('',(15.075,20.25,1.5)); #455193=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #455194=CARTESIAN_POINT('',(15.075,20.25,0.)); #455195=CARTESIAN_POINT('',(15.075,20.25,0.)); #455196=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #455197=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #455198=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #455199=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #455200=CARTESIAN_POINT('',(52.61,20.25,1.5)); #455201=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #455202=CARTESIAN_POINT('',(52.61,20.25,0.)); #455203=CARTESIAN_POINT('',(52.61,20.25,0.)); #455204=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #455205=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #455206=CARTESIAN_POINT('',(52.575,20.25,1.5)); #455207=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #455208=CARTESIAN_POINT('',(52.575,20.25,0.)); #455209=CARTESIAN_POINT('',(52.575,20.25,0.)); #455210=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #455211=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #455212=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #455213=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #455214=CARTESIAN_POINT('',(33.485,30.375,1.5)); #455215=CARTESIAN_POINT('Origin',(33.625,30.375,1.5)); #455216=CARTESIAN_POINT('',(33.485,30.375,0.)); #455217=CARTESIAN_POINT('',(33.485,30.375,0.)); #455218=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #455219=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #455220=CARTESIAN_POINT('',(33.45,30.375,1.5)); #455221=CARTESIAN_POINT('Origin',(33.625,30.375,1.5)); #455222=CARTESIAN_POINT('',(33.45,30.375,0.)); #455223=CARTESIAN_POINT('',(33.45,30.375,0.)); #455224=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #455225=CARTESIAN_POINT('Origin',(33.625,30.375,1.5)); #455226=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #455227=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #455228=CARTESIAN_POINT('',(49.735,39.625,1.5)); #455229=CARTESIAN_POINT('Origin',(49.875,39.625,1.5)); #455230=CARTESIAN_POINT('',(49.735,39.625,0.)); #455231=CARTESIAN_POINT('',(49.735,39.625,0.)); #455232=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #455233=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #455234=CARTESIAN_POINT('',(49.7,39.625,1.5)); #455235=CARTESIAN_POINT('Origin',(49.875,39.625,1.5)); #455236=CARTESIAN_POINT('',(49.7,39.625,0.)); #455237=CARTESIAN_POINT('',(49.7,39.625,0.)); #455238=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #455239=CARTESIAN_POINT('Origin',(49.875,39.625,1.5)); #455240=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #455241=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #455242=CARTESIAN_POINT('',(34.235,30.375,1.5)); #455243=CARTESIAN_POINT('Origin',(34.375,30.375,1.5)); #455244=CARTESIAN_POINT('',(34.235,30.375,0.)); #455245=CARTESIAN_POINT('',(34.235,30.375,0.)); #455246=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #455247=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #455248=CARTESIAN_POINT('',(34.2,30.375,1.5)); #455249=CARTESIAN_POINT('Origin',(34.375,30.375,1.5)); #455250=CARTESIAN_POINT('',(34.2,30.375,0.)); #455251=CARTESIAN_POINT('',(34.2,30.375,0.)); #455252=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #455253=CARTESIAN_POINT('Origin',(34.375,30.375,1.5)); #455254=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #455255=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #455256=CARTESIAN_POINT('',(33.11,16.25,1.5)); #455257=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #455258=CARTESIAN_POINT('',(33.11,16.25,0.)); #455259=CARTESIAN_POINT('',(33.11,16.25,0.)); #455260=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #455261=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #455262=CARTESIAN_POINT('',(33.075,16.25,1.5)); #455263=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #455264=CARTESIAN_POINT('',(33.075,16.25,0.)); #455265=CARTESIAN_POINT('',(33.075,16.25,0.)); #455266=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #455267=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #455268=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #455269=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #455270=CARTESIAN_POINT('',(33.485,26.625,1.5)); #455271=CARTESIAN_POINT('Origin',(33.625,26.625,1.5)); #455272=CARTESIAN_POINT('',(33.485,26.625,0.)); #455273=CARTESIAN_POINT('',(33.485,26.625,0.)); #455274=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #455275=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #455276=CARTESIAN_POINT('',(33.45,26.625,1.5)); #455277=CARTESIAN_POINT('Origin',(33.625,26.625,1.5)); #455278=CARTESIAN_POINT('',(33.45,26.625,0.)); #455279=CARTESIAN_POINT('',(33.45,26.625,0.)); #455280=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #455281=CARTESIAN_POINT('Origin',(33.625,26.625,1.5)); #455282=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #455283=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #455284=CARTESIAN_POINT('',(29.098,63.5,1.5)); #455285=CARTESIAN_POINT('Origin',(29.238,63.5,1.5)); #455286=CARTESIAN_POINT('',(29.098,63.5,0.)); #455287=CARTESIAN_POINT('',(29.098,63.5,0.)); #455288=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #455289=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #455290=CARTESIAN_POINT('',(29.063,63.5,1.5)); #455291=CARTESIAN_POINT('Origin',(29.238,63.5,1.5)); #455292=CARTESIAN_POINT('',(29.063,63.5,0.)); #455293=CARTESIAN_POINT('',(29.063,63.5,0.)); #455294=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #455295=CARTESIAN_POINT('Origin',(29.238,63.5,1.5)); #455296=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #455297=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #455298=CARTESIAN_POINT('',(15.11,21.,1.5)); #455299=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #455300=CARTESIAN_POINT('',(15.11,21.,0.)); #455301=CARTESIAN_POINT('',(15.11,21.,0.)); #455302=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #455303=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #455304=CARTESIAN_POINT('',(15.075,21.,1.5)); #455305=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #455306=CARTESIAN_POINT('',(15.075,21.,0.)); #455307=CARTESIAN_POINT('',(15.075,21.,0.)); #455308=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #455309=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #455310=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #455311=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #455312=CARTESIAN_POINT('',(51.785,34.,1.5)); #455313=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #455314=CARTESIAN_POINT('',(51.785,34.,0.)); #455315=CARTESIAN_POINT('',(51.785,34.,0.)); #455316=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #455317=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #455318=CARTESIAN_POINT('',(51.75,34.,1.5)); #455319=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #455320=CARTESIAN_POINT('',(51.75,34.,0.)); #455321=CARTESIAN_POINT('',(51.75,34.,0.)); #455322=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #455323=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #455324=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #455325=CARTESIAN_POINT('Origin',(38.635,2.89999999155961,0.)); #455326=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #455327=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #455328=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #455329=CARTESIAN_POINT('',(38.635,2.09999997467882,1.5)); #455330=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #455331=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #455332=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #455333=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #455334=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #455335=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #455336=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #455337=CARTESIAN_POINT('',(38.005,2.90000002532118,1.5)); #455338=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #455339=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #455340=CARTESIAN_POINT('Origin',(38.005,2.10000000844039,0.)); #455341=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #455342=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #455343=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #455344=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #455345=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #455346=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #455347=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #455348=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #455349=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,0.)); #455350=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #455351=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #455352=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #455353=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #455354=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #455355=CARTESIAN_POINT('',(37.97,2.90000002813464,1.5)); #455356=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #455357=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #455358=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #455359=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #455360=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #455361=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #455362=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #455363=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #455364=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,0.)); #455365=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #455366=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #455367=CARTESIAN_POINT('',(38.67,2.09999997186536,1.5)); #455368=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #455369=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #455370=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #455371=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #455372=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #455373=CARTESIAN_POINT('Origin',(38.32,2.5,1.5)); #455374=CARTESIAN_POINT('Origin',(38.32,2.5,0.)); #455375=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #455376=CARTESIAN_POINT('',(34.235,28.125,1.5)); #455377=CARTESIAN_POINT('Origin',(34.375,28.125,1.5)); #455378=CARTESIAN_POINT('',(34.235,28.125,0.)); #455379=CARTESIAN_POINT('',(34.235,28.125,0.)); #455380=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #455381=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #455382=CARTESIAN_POINT('',(34.2,28.125,1.5)); #455383=CARTESIAN_POINT('Origin',(34.375,28.125,1.5)); #455384=CARTESIAN_POINT('',(34.2,28.125,0.)); #455385=CARTESIAN_POINT('',(34.2,28.125,0.)); #455386=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #455387=CARTESIAN_POINT('Origin',(34.375,28.125,1.5)); #455388=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #455389=CARTESIAN_POINT('Origin',(29.995,2.89999999155961,0.)); #455390=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #455391=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #455392=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #455393=CARTESIAN_POINT('',(29.995,2.09999997467882,1.5)); #455394=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #455395=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #455396=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #455397=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #455398=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #455399=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #455400=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #455401=CARTESIAN_POINT('',(29.365,2.90000002532118,1.5)); #455402=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #455403=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #455404=CARTESIAN_POINT('Origin',(29.365,2.10000000844039,0.)); #455405=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #455406=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #455407=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #455408=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #455409=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #455410=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #455411=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #455412=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #455413=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,0.)); #455414=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #455415=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #455416=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #455417=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #455418=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #455419=CARTESIAN_POINT('',(29.33,2.90000002813464,1.5)); #455420=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #455421=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #455422=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #455423=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #455424=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #455425=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #455426=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #455427=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #455428=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,0.)); #455429=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #455430=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #455431=CARTESIAN_POINT('',(30.03,2.09999997186536,1.5)); #455432=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #455433=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #455434=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #455435=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #455436=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #455437=CARTESIAN_POINT('Origin',(29.68,2.5,1.5)); #455438=CARTESIAN_POINT('Origin',(29.68,2.5,0.)); #455439=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #455440=CARTESIAN_POINT('',(31.61,17.75,1.5)); #455441=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #455442=CARTESIAN_POINT('',(31.61,17.75,0.)); #455443=CARTESIAN_POINT('',(31.61,17.75,0.)); #455444=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #455445=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #455446=CARTESIAN_POINT('',(31.575,17.75,1.5)); #455447=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #455448=CARTESIAN_POINT('',(31.575,17.75,0.)); #455449=CARTESIAN_POINT('',(31.575,17.75,0.)); #455450=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #455451=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #455452=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #455453=CARTESIAN_POINT('Origin',(38.5500000151391,34.565,0.)); #455454=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #455455=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #455456=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #455457=CARTESIAN_POINT('',(39.1500000454174,34.565,1.5)); #455458=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #455459=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #455460=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #455461=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #455462=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #455463=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #455464=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #455465=CARTESIAN_POINT('',(38.5499999545827,33.435,1.5)); #455466=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #455467=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #455468=CARTESIAN_POINT('Origin',(39.1499999848609,33.435,0.)); #455469=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #455470=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #455471=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #455472=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #455473=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #455474=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #455475=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #455476=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #455477=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,0.)); #455478=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #455479=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #455480=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #455481=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #455482=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #455483=CARTESIAN_POINT('',(38.5499999517692,33.4,1.5)); #455484=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #455485=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #455486=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #455487=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #455488=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #455489=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #455490=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #455491=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #455492=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,0.)); #455493=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #455494=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #455495=CARTESIAN_POINT('',(39.1500000482308,34.6,1.5)); #455496=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #455497=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #455498=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #455499=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #455500=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #455501=CARTESIAN_POINT('Origin',(38.85,34.,1.5)); #455502=CARTESIAN_POINT('Origin',(38.85,34.,0.)); #455503=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #455504=CARTESIAN_POINT('',(33.485,28.125,1.5)); #455505=CARTESIAN_POINT('Origin',(33.625,28.125,1.5)); #455506=CARTESIAN_POINT('',(33.485,28.125,0.)); #455507=CARTESIAN_POINT('',(33.485,28.125,0.)); #455508=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #455509=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #455510=CARTESIAN_POINT('',(33.45,28.125,1.5)); #455511=CARTESIAN_POINT('Origin',(33.625,28.125,1.5)); #455512=CARTESIAN_POINT('',(33.45,28.125,0.)); #455513=CARTESIAN_POINT('',(33.45,28.125,0.)); #455514=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #455515=CARTESIAN_POINT('Origin',(33.625,28.125,1.5)); #455516=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #455517=CARTESIAN_POINT('Origin',(28.8500000151391,34.565,0.)); #455518=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #455519=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #455520=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #455521=CARTESIAN_POINT('',(29.4500000454174,34.565,1.5)); #455522=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #455523=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #455524=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #455525=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #455526=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #455527=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #455528=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #455529=CARTESIAN_POINT('',(28.8499999545827,33.435,1.5)); #455530=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #455531=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #455532=CARTESIAN_POINT('Origin',(29.4499999848609,33.435,0.)); #455533=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #455534=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #455535=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #455536=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #455537=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #455538=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #455539=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #455540=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #455541=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,0.)); #455542=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #455543=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #455544=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #455545=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #455546=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #455547=CARTESIAN_POINT('',(28.8499999517692,33.4,1.5)); #455548=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #455549=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #455550=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #455551=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #455552=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #455553=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #455554=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #455555=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #455556=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,0.)); #455557=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #455558=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #455559=CARTESIAN_POINT('',(29.4500000482308,34.6,1.5)); #455560=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #455561=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #455562=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #455563=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #455564=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #455565=CARTESIAN_POINT('Origin',(29.15,34.,1.5)); #455566=CARTESIAN_POINT('Origin',(29.15,34.,0.)); #455567=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #455568=CARTESIAN_POINT('',(34.61,17.75,1.5)); #455569=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #455570=CARTESIAN_POINT('',(34.61,17.75,0.)); #455571=CARTESIAN_POINT('',(34.61,17.75,0.)); #455572=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #455573=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #455574=CARTESIAN_POINT('',(34.575,17.75,1.5)); #455575=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #455576=CARTESIAN_POINT('',(34.575,17.75,0.)); #455577=CARTESIAN_POINT('',(34.575,17.75,0.)); #455578=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #455579=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #455580=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #455581=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455582=CARTESIAN_POINT('',(37.085,7.6,1.5)); #455583=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #455584=CARTESIAN_POINT('',(37.085,7.6,0.)); #455585=CARTESIAN_POINT('',(37.085,7.6,0.)); #455586=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455587=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455588=CARTESIAN_POINT('',(37.05,7.6,1.5)); #455589=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #455590=CARTESIAN_POINT('',(37.05,7.6,0.)); #455591=CARTESIAN_POINT('',(37.05,7.6,0.)); #455592=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455593=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #455594=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455595=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #455596=CARTESIAN_POINT('',(34.235,27.375,1.5)); #455597=CARTESIAN_POINT('Origin',(34.375,27.375,1.5)); #455598=CARTESIAN_POINT('',(34.235,27.375,0.)); #455599=CARTESIAN_POINT('',(34.235,27.375,0.)); #455600=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #455601=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #455602=CARTESIAN_POINT('',(34.2,27.375,1.5)); #455603=CARTESIAN_POINT('Origin',(34.375,27.375,1.5)); #455604=CARTESIAN_POINT('',(34.2,27.375,0.)); #455605=CARTESIAN_POINT('',(34.2,27.375,0.)); #455606=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #455607=CARTESIAN_POINT('Origin',(34.375,27.375,1.5)); #455608=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #455609=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455610=CARTESIAN_POINT('',(37.085,7.1,1.5)); #455611=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #455612=CARTESIAN_POINT('',(37.085,7.1,0.)); #455613=CARTESIAN_POINT('',(37.085,7.1,0.)); #455614=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455615=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455616=CARTESIAN_POINT('',(37.05,7.1,1.5)); #455617=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #455618=CARTESIAN_POINT('',(37.05,7.1,0.)); #455619=CARTESIAN_POINT('',(37.05,7.1,0.)); #455620=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455621=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #455622=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455623=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #455624=CARTESIAN_POINT('',(31.61,17.,1.5)); #455625=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #455626=CARTESIAN_POINT('',(31.61,17.,0.)); #455627=CARTESIAN_POINT('',(31.61,17.,0.)); #455628=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #455629=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #455630=CARTESIAN_POINT('',(31.575,17.,1.5)); #455631=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #455632=CARTESIAN_POINT('',(31.575,17.,0.)); #455633=CARTESIAN_POINT('',(31.575,17.,0.)); #455634=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #455635=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #455636=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #455637=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455638=CARTESIAN_POINT('',(30.685,7.1,1.5)); #455639=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #455640=CARTESIAN_POINT('',(30.685,7.1,0.)); #455641=CARTESIAN_POINT('',(30.685,7.1,0.)); #455642=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455643=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455644=CARTESIAN_POINT('',(30.65,7.1,1.5)); #455645=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #455646=CARTESIAN_POINT('',(30.65,7.1,0.)); #455647=CARTESIAN_POINT('',(30.65,7.1,0.)); #455648=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455649=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #455650=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455651=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #455652=CARTESIAN_POINT('',(33.485,27.375,1.5)); #455653=CARTESIAN_POINT('Origin',(33.625,27.375,1.5)); #455654=CARTESIAN_POINT('',(33.485,27.375,0.)); #455655=CARTESIAN_POINT('',(33.485,27.375,0.)); #455656=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #455657=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #455658=CARTESIAN_POINT('',(33.45,27.375,1.5)); #455659=CARTESIAN_POINT('Origin',(33.625,27.375,1.5)); #455660=CARTESIAN_POINT('',(33.45,27.375,0.)); #455661=CARTESIAN_POINT('',(33.45,27.375,0.)); #455662=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #455663=CARTESIAN_POINT('Origin',(33.625,27.375,1.5)); #455664=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #455665=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455666=CARTESIAN_POINT('',(30.685,7.6,1.5)); #455667=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #455668=CARTESIAN_POINT('',(30.685,7.6,0.)); #455669=CARTESIAN_POINT('',(30.685,7.6,0.)); #455670=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455671=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455672=CARTESIAN_POINT('',(30.65,7.6,1.5)); #455673=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #455674=CARTESIAN_POINT('',(30.65,7.6,0.)); #455675=CARTESIAN_POINT('',(30.65,7.6,0.)); #455676=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455677=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #455678=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455679=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #455680=CARTESIAN_POINT('',(51.86,20.25,1.5)); #455681=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #455682=CARTESIAN_POINT('',(51.86,20.25,0.)); #455683=CARTESIAN_POINT('',(51.86,20.25,0.)); #455684=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #455685=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #455686=CARTESIAN_POINT('',(51.825,20.25,1.5)); #455687=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #455688=CARTESIAN_POINT('',(51.825,20.25,0.)); #455689=CARTESIAN_POINT('',(51.825,20.25,0.)); #455690=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #455691=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #455692=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #455693=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #455694=CARTESIAN_POINT('',(33.485,28.875,1.5)); #455695=CARTESIAN_POINT('Origin',(33.625,28.875,1.5)); #455696=CARTESIAN_POINT('',(33.485,28.875,0.)); #455697=CARTESIAN_POINT('',(33.485,28.875,0.)); #455698=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #455699=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #455700=CARTESIAN_POINT('',(33.45,28.875,1.5)); #455701=CARTESIAN_POINT('Origin',(33.625,28.875,1.5)); #455702=CARTESIAN_POINT('',(33.45,28.875,0.)); #455703=CARTESIAN_POINT('',(33.45,28.875,0.)); #455704=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #455705=CARTESIAN_POINT('Origin',(33.625,28.875,1.5)); #455706=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #455707=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #455708=CARTESIAN_POINT('',(31.61,18.5,1.5)); #455709=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #455710=CARTESIAN_POINT('',(31.61,18.5,0.)); #455711=CARTESIAN_POINT('',(31.61,18.5,0.)); #455712=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #455713=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #455714=CARTESIAN_POINT('',(31.575,18.5,1.5)); #455715=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #455716=CARTESIAN_POINT('',(31.575,18.5,0.)); #455717=CARTESIAN_POINT('',(31.575,18.5,0.)); #455718=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #455719=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #455720=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #455721=CARTESIAN_POINT('Origin',(38.635,7.07999999155961,0.)); #455722=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #455723=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #455724=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #455725=CARTESIAN_POINT('',(38.635,6.27999997467882,1.5)); #455726=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #455727=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #455728=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #455729=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #455730=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #455731=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #455732=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #455733=CARTESIAN_POINT('',(38.005,7.08000002532118,1.5)); #455734=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #455735=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #455736=CARTESIAN_POINT('Origin',(38.005,6.28000000844039,0.)); #455737=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #455738=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #455739=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #455740=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #455741=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #455742=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #455743=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #455744=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #455745=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,0.)); #455746=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #455747=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #455748=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #455749=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #455750=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #455751=CARTESIAN_POINT('',(37.97,7.08000002813464,1.5)); #455752=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #455753=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #455754=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #455755=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #455756=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #455757=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #455758=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #455759=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #455760=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,0.)); #455761=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #455762=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #455763=CARTESIAN_POINT('',(38.67,6.27999997186536,1.5)); #455764=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #455765=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #455766=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #455767=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #455768=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #455769=CARTESIAN_POINT('Origin',(38.32,6.68,1.5)); #455770=CARTESIAN_POINT('Origin',(38.32,6.68,0.)); #455771=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #455772=CARTESIAN_POINT('',(34.235,28.875,1.5)); #455773=CARTESIAN_POINT('Origin',(34.375,28.875,1.5)); #455774=CARTESIAN_POINT('',(34.235,28.875,0.)); #455775=CARTESIAN_POINT('',(34.235,28.875,0.)); #455776=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #455777=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #455778=CARTESIAN_POINT('',(34.2,28.875,1.5)); #455779=CARTESIAN_POINT('Origin',(34.375,28.875,1.5)); #455780=CARTESIAN_POINT('',(34.2,28.875,0.)); #455781=CARTESIAN_POINT('',(34.2,28.875,0.)); #455782=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #455783=CARTESIAN_POINT('Origin',(34.375,28.875,1.5)); #455784=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #455785=CARTESIAN_POINT('Origin',(29.995,7.07999999155961,0.)); #455786=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #455787=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #455788=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #455789=CARTESIAN_POINT('',(29.995,6.27999997467882,1.5)); #455790=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #455791=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #455792=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #455793=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #455794=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #455795=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #455796=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #455797=CARTESIAN_POINT('',(29.365,7.08000002532118,1.5)); #455798=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #455799=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #455800=CARTESIAN_POINT('Origin',(29.365,6.28000000844039,0.)); #455801=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #455802=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #455803=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #455804=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #455805=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #455806=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #455807=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #455808=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #455809=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,0.)); #455810=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #455811=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #455812=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #455813=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #455814=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #455815=CARTESIAN_POINT('',(29.33,7.08000002813464,1.5)); #455816=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #455817=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #455818=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #455819=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #455820=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #455821=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #455822=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #455823=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #455824=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,0.)); #455825=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #455826=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #455827=CARTESIAN_POINT('',(30.03,6.27999997186536,1.5)); #455828=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #455829=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #455830=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #455831=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #455832=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #455833=CARTESIAN_POINT('Origin',(29.68,6.68,1.5)); #455834=CARTESIAN_POINT('Origin',(29.68,6.68,0.)); #455835=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #455836=CARTESIAN_POINT('',(33.11,17.75,1.5)); #455837=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #455838=CARTESIAN_POINT('',(33.11,17.75,0.)); #455839=CARTESIAN_POINT('',(33.11,17.75,0.)); #455840=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #455841=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #455842=CARTESIAN_POINT('',(33.075,17.75,1.5)); #455843=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #455844=CARTESIAN_POINT('',(33.075,17.75,0.)); #455845=CARTESIAN_POINT('',(33.075,17.75,0.)); #455846=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #455847=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #455848=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #455849=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #455850=CARTESIAN_POINT('',(33.485,29.625,1.5)); #455851=CARTESIAN_POINT('Origin',(33.625,29.625,1.5)); #455852=CARTESIAN_POINT('',(33.485,29.625,0.)); #455853=CARTESIAN_POINT('',(33.485,29.625,0.)); #455854=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #455855=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #455856=CARTESIAN_POINT('',(33.45,29.625,1.5)); #455857=CARTESIAN_POINT('Origin',(33.625,29.625,1.5)); #455858=CARTESIAN_POINT('',(33.45,29.625,0.)); #455859=CARTESIAN_POINT('',(33.45,29.625,0.)); #455860=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #455861=CARTESIAN_POINT('Origin',(33.625,29.625,1.5)); #455862=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #455863=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #455864=CARTESIAN_POINT('',(31.61,19.25,1.5)); #455865=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #455866=CARTESIAN_POINT('',(31.61,19.25,0.)); #455867=CARTESIAN_POINT('',(31.61,19.25,0.)); #455868=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #455869=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #455870=CARTESIAN_POINT('',(31.575,19.25,1.5)); #455871=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #455872=CARTESIAN_POINT('',(31.575,19.25,0.)); #455873=CARTESIAN_POINT('',(31.575,19.25,0.)); #455874=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #455875=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #455876=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #455877=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #455878=CARTESIAN_POINT('',(34.235,29.625,1.5)); #455879=CARTESIAN_POINT('Origin',(34.375,29.625,1.5)); #455880=CARTESIAN_POINT('',(34.235,29.625,0.)); #455881=CARTESIAN_POINT('',(34.235,29.625,0.)); #455882=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #455883=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #455884=CARTESIAN_POINT('',(34.2,29.625,1.5)); #455885=CARTESIAN_POINT('Origin',(34.375,29.625,1.5)); #455886=CARTESIAN_POINT('',(34.2,29.625,0.)); #455887=CARTESIAN_POINT('',(34.2,29.625,0.)); #455888=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #455889=CARTESIAN_POINT('Origin',(34.375,29.625,1.5)); #455890=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #455891=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #455892=CARTESIAN_POINT('',(23.735,34.,1.5)); #455893=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #455894=CARTESIAN_POINT('',(23.735,34.,0.)); #455895=CARTESIAN_POINT('',(23.735,34.,0.)); #455896=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #455897=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #455898=CARTESIAN_POINT('',(23.7,34.,1.5)); #455899=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #455900=CARTESIAN_POINT('',(23.7,34.,0.)); #455901=CARTESIAN_POINT('',(23.7,34.,0.)); #455902=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #455903=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #455904=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #455905=CARTESIAN_POINT('Origin',(42.,31.,0.)); #455906=CARTESIAN_POINT('',(41.86,31.,1.5)); #455907=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #455908=CARTESIAN_POINT('',(41.86,31.,0.)); #455909=CARTESIAN_POINT('',(41.86,31.,0.)); #455910=CARTESIAN_POINT('Origin',(42.,31.,0.)); #455911=CARTESIAN_POINT('Origin',(42.,31.,0.)); #455912=CARTESIAN_POINT('',(41.825,31.,1.5)); #455913=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #455914=CARTESIAN_POINT('',(41.825,31.,0.)); #455915=CARTESIAN_POINT('',(41.825,31.,0.)); #455916=CARTESIAN_POINT('Origin',(42.,31.,0.)); #455917=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #455918=CARTESIAN_POINT('Origin',(42.,31.,0.)); #455919=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455920=CARTESIAN_POINT('',(34.61,48.5,1.5)); #455921=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #455922=CARTESIAN_POINT('',(34.61,48.5,0.)); #455923=CARTESIAN_POINT('',(34.61,48.5,0.)); #455924=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455925=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455926=CARTESIAN_POINT('',(34.575,48.5,1.5)); #455927=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #455928=CARTESIAN_POINT('',(34.575,48.5,0.)); #455929=CARTESIAN_POINT('',(34.575,48.5,0.)); #455930=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455931=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #455932=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455933=CARTESIAN_POINT('Origin',(42.,36.,0.)); #455934=CARTESIAN_POINT('',(41.86,36.,1.5)); #455935=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #455936=CARTESIAN_POINT('',(41.86,36.,0.)); #455937=CARTESIAN_POINT('',(41.86,36.,0.)); #455938=CARTESIAN_POINT('Origin',(42.,36.,0.)); #455939=CARTESIAN_POINT('Origin',(42.,36.,0.)); #455940=CARTESIAN_POINT('',(41.825,36.,1.5)); #455941=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #455942=CARTESIAN_POINT('',(41.825,36.,0.)); #455943=CARTESIAN_POINT('',(41.825,36.,0.)); #455944=CARTESIAN_POINT('Origin',(42.,36.,0.)); #455945=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #455946=CARTESIAN_POINT('Origin',(42.,36.,0.)); #455947=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #455948=CARTESIAN_POINT('',(35.36,18.5,1.5)); #455949=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #455950=CARTESIAN_POINT('',(35.36,18.5,0.)); #455951=CARTESIAN_POINT('',(35.36,18.5,0.)); #455952=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #455953=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #455954=CARTESIAN_POINT('',(35.325,18.5,1.5)); #455955=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #455956=CARTESIAN_POINT('',(35.325,18.5,0.)); #455957=CARTESIAN_POINT('',(35.325,18.5,0.)); #455958=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #455959=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #455960=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #455961=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #455962=CARTESIAN_POINT('',(39.11,18.25,1.5)); #455963=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #455964=CARTESIAN_POINT('',(39.11,18.25,0.)); #455965=CARTESIAN_POINT('',(39.11,18.25,0.)); #455966=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #455967=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #455968=CARTESIAN_POINT('',(39.075,18.25,1.5)); #455969=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #455970=CARTESIAN_POINT('',(39.075,18.25,0.)); #455971=CARTESIAN_POINT('',(39.075,18.25,0.)); #455972=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #455973=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #455974=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #455975=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455976=CARTESIAN_POINT('',(33.86,48.5,1.5)); #455977=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #455978=CARTESIAN_POINT('',(33.86,48.5,0.)); #455979=CARTESIAN_POINT('',(33.86,48.5,0.)); #455980=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455981=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455982=CARTESIAN_POINT('',(33.825,48.5,1.5)); #455983=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #455984=CARTESIAN_POINT('',(33.825,48.5,0.)); #455985=CARTESIAN_POINT('',(33.825,48.5,0.)); #455986=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455987=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #455988=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455989=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #455990=CARTESIAN_POINT('',(42.36,16.,1.5)); #455991=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #455992=CARTESIAN_POINT('',(42.36,16.,0.)); #455993=CARTESIAN_POINT('',(42.36,16.,0.)); #455994=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #455995=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #455996=CARTESIAN_POINT('',(42.325,16.,1.5)); #455997=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #455998=CARTESIAN_POINT('',(42.325,16.,0.)); #455999=CARTESIAN_POINT('',(42.325,16.,0.)); #456000=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #456001=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #456002=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #456003=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #456004=CARTESIAN_POINT('',(53.36,20.25,1.5)); #456005=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #456006=CARTESIAN_POINT('',(53.36,20.25,0.)); #456007=CARTESIAN_POINT('',(53.36,20.25,0.)); #456008=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #456009=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #456010=CARTESIAN_POINT('',(53.325,20.25,1.5)); #456011=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #456012=CARTESIAN_POINT('',(53.325,20.25,0.)); #456013=CARTESIAN_POINT('',(53.325,20.25,0.)); #456014=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #456015=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #456016=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #456017=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #456018=CARTESIAN_POINT('',(14.36,20.25,1.5)); #456019=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #456020=CARTESIAN_POINT('',(14.36,20.25,0.)); #456021=CARTESIAN_POINT('',(14.36,20.25,0.)); #456022=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #456023=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #456024=CARTESIAN_POINT('',(14.325,20.25,1.5)); #456025=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #456026=CARTESIAN_POINT('',(14.325,20.25,0.)); #456027=CARTESIAN_POINT('',(14.325,20.25,0.)); #456028=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #456029=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #456030=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #456031=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #456032=CARTESIAN_POINT('',(34.61,49.25,1.5)); #456033=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #456034=CARTESIAN_POINT('',(34.61,49.25,0.)); #456035=CARTESIAN_POINT('',(34.61,49.25,0.)); #456036=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #456037=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #456038=CARTESIAN_POINT('',(34.575,49.25,1.5)); #456039=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #456040=CARTESIAN_POINT('',(34.575,49.25,0.)); #456041=CARTESIAN_POINT('',(34.575,49.25,0.)); #456042=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #456043=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #456044=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #456045=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #456046=CARTESIAN_POINT('',(15.86,20.25,1.5)); #456047=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #456048=CARTESIAN_POINT('',(15.86,20.25,0.)); #456049=CARTESIAN_POINT('',(15.86,20.25,0.)); #456050=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #456051=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #456052=CARTESIAN_POINT('',(15.825,20.25,1.5)); #456053=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #456054=CARTESIAN_POINT('',(15.825,20.25,0.)); #456055=CARTESIAN_POINT('',(15.825,20.25,0.)); #456056=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #456057=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #456058=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #456059=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #456060=CARTESIAN_POINT('',(35.36,17.75,1.5)); #456061=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #456062=CARTESIAN_POINT('',(35.36,17.75,0.)); #456063=CARTESIAN_POINT('',(35.36,17.75,0.)); #456064=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #456065=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #456066=CARTESIAN_POINT('',(35.325,17.75,1.5)); #456067=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #456068=CARTESIAN_POINT('',(35.325,17.75,0.)); #456069=CARTESIAN_POINT('',(35.325,17.75,0.)); #456070=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #456071=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #456072=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #456073=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #456074=CARTESIAN_POINT('',(14.36,21.,1.5)); #456075=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #456076=CARTESIAN_POINT('',(14.36,21.,0.)); #456077=CARTESIAN_POINT('',(14.36,21.,0.)); #456078=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #456079=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #456080=CARTESIAN_POINT('',(14.325,21.,1.5)); #456081=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #456082=CARTESIAN_POINT('',(14.325,21.,0.)); #456083=CARTESIAN_POINT('',(14.325,21.,0.)); #456084=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #456085=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #456086=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #456087=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #456088=CARTESIAN_POINT('',(33.86,49.25,1.5)); #456089=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #456090=CARTESIAN_POINT('',(33.86,49.25,0.)); #456091=CARTESIAN_POINT('',(33.86,49.25,0.)); #456092=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #456093=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #456094=CARTESIAN_POINT('',(33.825,49.25,1.5)); #456095=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #456096=CARTESIAN_POINT('',(33.825,49.25,0.)); #456097=CARTESIAN_POINT('',(33.825,49.25,0.)); #456098=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #456099=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #456100=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #456101=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #456102=CARTESIAN_POINT('',(14.36,21.75,1.5)); #456103=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #456104=CARTESIAN_POINT('',(14.36,21.75,0.)); #456105=CARTESIAN_POINT('',(14.36,21.75,0.)); #456106=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #456107=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #456108=CARTESIAN_POINT('',(14.325,21.75,1.5)); #456109=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #456110=CARTESIAN_POINT('',(14.325,21.75,0.)); #456111=CARTESIAN_POINT('',(14.325,21.75,0.)); #456112=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #456113=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #456114=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #456115=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #456116=CARTESIAN_POINT('',(55.285,34.,1.5)); #456117=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #456118=CARTESIAN_POINT('',(55.285,34.,0.)); #456119=CARTESIAN_POINT('',(55.285,34.,0.)); #456120=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #456121=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #456122=CARTESIAN_POINT('',(55.25,34.,1.5)); #456123=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #456124=CARTESIAN_POINT('',(55.25,34.,0.)); #456125=CARTESIAN_POINT('',(55.25,34.,0.)); #456126=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #456127=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #456128=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #456129=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #456130=CARTESIAN_POINT('',(47.61,46.25,1.5)); #456131=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #456132=CARTESIAN_POINT('',(47.61,46.25,0.)); #456133=CARTESIAN_POINT('',(47.61,46.25,0.)); #456134=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #456135=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #456136=CARTESIAN_POINT('',(47.575,46.25,1.5)); #456137=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #456138=CARTESIAN_POINT('',(47.575,46.25,0.)); #456139=CARTESIAN_POINT('',(47.575,46.25,0.)); #456140=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #456141=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #456142=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #456143=CARTESIAN_POINT('Origin',(34.,50.,0.)); #456144=CARTESIAN_POINT('',(33.86,50.,1.5)); #456145=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #456146=CARTESIAN_POINT('',(33.86,50.,0.)); #456147=CARTESIAN_POINT('',(33.86,50.,0.)); #456148=CARTESIAN_POINT('Origin',(34.,50.,0.)); #456149=CARTESIAN_POINT('Origin',(34.,50.,0.)); #456150=CARTESIAN_POINT('',(33.825,50.,1.5)); #456151=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #456152=CARTESIAN_POINT('',(33.825,50.,0.)); #456153=CARTESIAN_POINT('',(33.825,50.,0.)); #456154=CARTESIAN_POINT('Origin',(34.,50.,0.)); #456155=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #456156=CARTESIAN_POINT('Origin',(34.,50.,0.)); #456157=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #456158=CARTESIAN_POINT('',(45.86,46.25,1.5)); #456159=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #456160=CARTESIAN_POINT('',(45.86,46.25,0.)); #456161=CARTESIAN_POINT('',(45.86,46.25,0.)); #456162=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #456163=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #456164=CARTESIAN_POINT('',(45.825,46.25,1.5)); #456165=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #456166=CARTESIAN_POINT('',(45.825,46.25,0.)); #456167=CARTESIAN_POINT('',(45.825,46.25,0.)); #456168=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #456169=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #456170=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #456171=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #456172=CARTESIAN_POINT('',(34.61,18.5,1.5)); #456173=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #456174=CARTESIAN_POINT('',(34.61,18.5,0.)); #456175=CARTESIAN_POINT('',(34.61,18.5,0.)); #456176=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #456177=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #456178=CARTESIAN_POINT('',(34.575,18.5,1.5)); #456179=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #456180=CARTESIAN_POINT('',(34.575,18.5,0.)); #456181=CARTESIAN_POINT('',(34.575,18.5,0.)); #456182=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #456183=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #456184=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #456185=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #456186=CARTESIAN_POINT('',(44.11,47.75,1.5)); #456187=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #456188=CARTESIAN_POINT('',(44.11,47.75,0.)); #456189=CARTESIAN_POINT('',(44.11,47.75,0.)); #456190=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #456191=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #456192=CARTESIAN_POINT('',(44.075,47.75,1.5)); #456193=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #456194=CARTESIAN_POINT('',(44.075,47.75,0.)); #456195=CARTESIAN_POINT('',(44.075,47.75,0.)); #456196=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #456197=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #456198=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #456199=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #456200=CARTESIAN_POINT('',(34.61,50.,1.5)); #456201=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #456202=CARTESIAN_POINT('',(34.61,50.,0.)); #456203=CARTESIAN_POINT('',(34.61,50.,0.)); #456204=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #456205=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #456206=CARTESIAN_POINT('',(34.575,50.,1.5)); #456207=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #456208=CARTESIAN_POINT('',(34.575,50.,0.)); #456209=CARTESIAN_POINT('',(34.575,50.,0.)); #456210=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #456211=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #456212=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #456213=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #456214=CARTESIAN_POINT('',(44.11,46.25,1.5)); #456215=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #456216=CARTESIAN_POINT('',(44.11,46.25,0.)); #456217=CARTESIAN_POINT('',(44.11,46.25,0.)); #456218=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #456219=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #456220=CARTESIAN_POINT('',(44.075,46.25,1.5)); #456221=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #456222=CARTESIAN_POINT('',(44.075,46.25,0.)); #456223=CARTESIAN_POINT('',(44.075,46.25,0.)); #456224=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #456225=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #456226=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #456227=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #456228=CARTESIAN_POINT('',(39.11,19.,1.5)); #456229=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #456230=CARTESIAN_POINT('',(39.11,19.,0.)); #456231=CARTESIAN_POINT('',(39.11,19.,0.)); #456232=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #456233=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #456234=CARTESIAN_POINT('',(39.075,19.,1.5)); #456235=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #456236=CARTESIAN_POINT('',(39.075,19.,0.)); #456237=CARTESIAN_POINT('',(39.075,19.,0.)); #456238=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #456239=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #456240=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #456241=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #456242=CARTESIAN_POINT('',(44.11,47.,1.5)); #456243=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #456244=CARTESIAN_POINT('',(44.11,47.,0.)); #456245=CARTESIAN_POINT('',(44.11,47.,0.)); #456246=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #456247=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #456248=CARTESIAN_POINT('',(44.075,47.,1.5)); #456249=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #456250=CARTESIAN_POINT('',(44.075,47.,0.)); #456251=CARTESIAN_POINT('',(44.075,47.,0.)); #456252=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #456253=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #456254=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #456255=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #456256=CARTESIAN_POINT('',(33.11,50.,1.5)); #456257=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #456258=CARTESIAN_POINT('',(33.11,50.,0.)); #456259=CARTESIAN_POINT('',(33.11,50.,0.)); #456260=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #456261=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #456262=CARTESIAN_POINT('',(33.075,50.,1.5)); #456263=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #456264=CARTESIAN_POINT('',(33.075,50.,0.)); #456265=CARTESIAN_POINT('',(33.075,50.,0.)); #456266=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #456267=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #456268=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #456269=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #456270=CARTESIAN_POINT('',(47.61,47.,1.5)); #456271=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #456272=CARTESIAN_POINT('',(47.61,47.,0.)); #456273=CARTESIAN_POINT('',(47.61,47.,0.)); #456274=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #456275=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #456276=CARTESIAN_POINT('',(47.575,47.,1.5)); #456277=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #456278=CARTESIAN_POINT('',(47.575,47.,0.)); #456279=CARTESIAN_POINT('',(47.575,47.,0.)); #456280=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #456281=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #456282=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #456283=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #456284=CARTESIAN_POINT('',(33.86,18.5,1.5)); #456285=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #456286=CARTESIAN_POINT('',(33.86,18.5,0.)); #456287=CARTESIAN_POINT('',(33.86,18.5,0.)); #456288=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #456289=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #456290=CARTESIAN_POINT('',(33.825,18.5,1.5)); #456291=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #456292=CARTESIAN_POINT('',(33.825,18.5,0.)); #456293=CARTESIAN_POINT('',(33.825,18.5,0.)); #456294=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #456295=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #456296=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #456297=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #456298=CARTESIAN_POINT('',(45.86,47.75,1.5)); #456299=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #456300=CARTESIAN_POINT('',(45.86,47.75,0.)); #456301=CARTESIAN_POINT('',(45.86,47.75,0.)); #456302=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #456303=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #456304=CARTESIAN_POINT('',(45.825,47.75,1.5)); #456305=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #456306=CARTESIAN_POINT('',(45.825,47.75,0.)); #456307=CARTESIAN_POINT('',(45.825,47.75,0.)); #456308=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #456309=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #456310=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #456311=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #456312=CARTESIAN_POINT('',(33.11,49.25,1.5)); #456313=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #456314=CARTESIAN_POINT('',(33.11,49.25,0.)); #456315=CARTESIAN_POINT('',(33.11,49.25,0.)); #456316=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #456317=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #456318=CARTESIAN_POINT('',(33.075,49.25,1.5)); #456319=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #456320=CARTESIAN_POINT('',(33.075,49.25,0.)); #456321=CARTESIAN_POINT('',(33.075,49.25,0.)); #456322=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #456323=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #456324=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #456325=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #456326=CARTESIAN_POINT('',(47.61,47.75,1.5)); #456327=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #456328=CARTESIAN_POINT('',(47.61,47.75,0.)); #456329=CARTESIAN_POINT('',(47.61,47.75,0.)); #456330=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #456331=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #456332=CARTESIAN_POINT('',(47.575,47.75,1.5)); #456333=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #456334=CARTESIAN_POINT('',(47.575,47.75,0.)); #456335=CARTESIAN_POINT('',(47.575,47.75,0.)); #456336=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #456337=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #456338=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #456339=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #456340=CARTESIAN_POINT('',(29.625,45.,1.5)); #456341=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #456342=CARTESIAN_POINT('',(29.625,45.,0.)); #456343=CARTESIAN_POINT('',(29.625,45.,0.)); #456344=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #456345=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #456346=CARTESIAN_POINT('',(29.59,45.,1.5)); #456347=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #456348=CARTESIAN_POINT('',(29.59,45.,0.)); #456349=CARTESIAN_POINT('',(29.59,45.,0.)); #456350=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #456351=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #456352=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #456353=CARTESIAN_POINT('',(0.,0.,0.)); #456354=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #456355=CARTESIAN_POINT('',(32.165,45.,0.)); #456356=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #456357=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #456358=CARTESIAN_POINT('',(32.165,45.,-200.)); #456359=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #456360=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #456361=CARTESIAN_POINT('',(32.769294,44.1,0.)); #456362=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #456363=CARTESIAN_POINT('',(32.769294,44.1,0.)); #456364=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #456365=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #456366=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #456367=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #456368=CARTESIAN_POINT('',(32.769294,44.1,0.)); #456369=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #456370=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #456371=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #456372=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #456373=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #456374=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #456375=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #456376=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #456377=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #456378=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #456379=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #456380=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.)); #456381=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #456382=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #456383=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #456384=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #456385=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #456386=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #456387=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #456388=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #456389=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.)); #456390=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #456391=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #456392=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #456393=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #456394=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #456395=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.)); #456396=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #456397=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #456398=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #456399=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #456400=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #456401=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.)); #456402=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #456403=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #456404=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #456405=CARTESIAN_POINT('Origin',(36.75,13.6,0.)); #456406=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.)); #456407=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #456408=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #456409=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #456410=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #456411=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #456412=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #456413=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #456414=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #456415=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.)); #456416=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #456417=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #456418=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #456419=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #456420=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #456421=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.)); #456422=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #456423=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #456424=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #456425=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #456426=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #456427=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.)); #456428=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #456429=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #456430=CARTESIAN_POINT('Origin',(37.4,16.25,0.035)); #456431=CARTESIAN_POINT('Origin',(37.4,16.25,0.)); #456432=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.)); #456433=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #456434=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #456435=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #456436=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #456437=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #456438=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #456439=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #456440=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #456441=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.)); #456442=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #456443=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #456444=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #456445=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #456446=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #456447=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.)); #456448=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #456449=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #456450=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #456451=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #456452=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #456453=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.)); #456454=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #456455=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #456456=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #456457=CARTESIAN_POINT('Origin',(35.25,13.6,0.)); #456458=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.)); #456459=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #456460=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #456461=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #456462=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #456463=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #456464=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #456465=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #456466=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #456467=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.)); #456468=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #456469=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #456470=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #456471=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #456472=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #456473=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.)); #456474=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #456475=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #456476=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #456477=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #456478=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #456479=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.)); #456480=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #456481=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #456482=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #456483=CARTESIAN_POINT('Origin',(37.4,17.25,0.)); #456484=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.)); #456485=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #456486=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #456487=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #456488=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #456489=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #456490=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #456491=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #456492=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #456493=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.)); #456494=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #456495=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #456496=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #456497=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #456498=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #456499=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.)); #456500=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #456501=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #456502=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #456503=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #456504=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #456505=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.)); #456506=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #456507=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #456508=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #456509=CARTESIAN_POINT('Origin',(37.4,14.25,0.)); #456510=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.)); #456511=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #456512=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #456513=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #456514=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #456515=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #456516=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #456517=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #456518=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #456519=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.)); #456520=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #456521=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #456522=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #456523=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #456524=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #456525=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.)); #456526=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #456527=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #456528=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #456529=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #456530=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #456531=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.)); #456532=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #456533=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #456534=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #456535=CARTESIAN_POINT('Origin',(30.6,16.75,0.)); #456536=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.)); #456537=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #456538=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #456539=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #456540=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #456541=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #456542=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #456543=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #456544=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #456545=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.)); #456546=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #456547=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #456548=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #456549=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #456550=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #456551=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.)); #456552=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #456553=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #456554=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #456555=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #456556=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #456557=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.)); #456558=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #456559=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #456560=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #456561=CARTESIAN_POINT('Origin',(34.75,13.6,0.)); #456562=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.)); #456563=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #456564=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #456565=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #456566=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #456567=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #456568=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #456569=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #456570=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #456571=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.)); #456572=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #456573=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #456574=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #456575=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #456576=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #456577=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.)); #456578=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #456579=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #456580=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #456581=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #456582=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #456583=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.)); #456584=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #456585=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #456586=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #456587=CARTESIAN_POINT('Origin',(30.6,18.25,0.)); #456588=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.)); #456589=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #456590=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #456591=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #456592=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #456593=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #456594=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #456595=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #456596=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #456597=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.)); #456598=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #456599=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #456600=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #456601=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #456602=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #456603=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.)); #456604=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #456605=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #456606=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #456607=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #456608=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #456609=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.)); #456610=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #456611=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #456612=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #456613=CARTESIAN_POINT('Origin',(37.4,15.25,0.)); #456614=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.)); #456615=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #456616=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #456617=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #456618=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #456619=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #456620=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #456621=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #456622=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #456623=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.)); #456624=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #456625=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #456626=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #456627=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #456628=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #456629=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.)); #456630=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #456631=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #456632=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #456633=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #456634=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #456635=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.)); #456636=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #456637=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #456638=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #456639=CARTESIAN_POINT('Origin',(37.4,16.75,0.)); #456640=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.)); #456641=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #456642=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #456643=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #456644=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #456645=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #456646=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #456647=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #456648=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #456649=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.)); #456650=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #456651=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #456652=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #456653=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #456654=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #456655=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.)); #456656=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #456657=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #456658=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #456659=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #456660=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #456661=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.)); #456662=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #456663=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #456664=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #456665=CARTESIAN_POINT('Origin',(37.4,14.75,0.)); #456666=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #456667=CARTESIAN_POINT('',(30.36,11.25,0.)); #456668=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #456669=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #456670=CARTESIAN_POINT('',(30.36,11.25,-200.)); #456671=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #456672=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #456673=CARTESIAN_POINT('',(30.521644,10.975,0.)); #456674=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #456675=CARTESIAN_POINT('',(30.521644,10.975,0.)); #456676=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #456677=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #456678=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #456679=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #456680=CARTESIAN_POINT('',(30.521644,10.975,0.)); #456681=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #456682=CARTESIAN_POINT('',(30.256072,11.123,0.)); #456683=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #456684=CARTESIAN_POINT('',(30.256072,11.123,0.035)); #456685=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.035)); #456686=CARTESIAN_POINT('',(30.256072,11.123,0.)); #456687=CARTESIAN_POINT('Origin',(29.533988,11.123,0.)); #456688=CARTESIAN_POINT('',(29.533988,11.123,0.)); #456689=CARTESIAN_POINT('',(29.533988,11.123,0.)); #456690=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #456691=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #456692=CARTESIAN_POINT('',(29.533988,11.123,0.)); #456693=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #456694=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #456695=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #456696=CARTESIAN_POINT('',(29.444184,11.160197,0.035)); #456697=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.035)); #456698=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #456699=CARTESIAN_POINT('Origin',(26.048881,14.5555,0.)); #456700=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #456701=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #456702=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #456703=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #456704=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #456705=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.)); #456706=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #456707=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #456708=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #456709=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #456710=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #456711=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.)); #456712=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #456713=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #456714=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #456715=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #456716=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #456717=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.)); #456718=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #456719=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #456720=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #456721=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #456722=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #456723=CARTESIAN_POINT('Origin',(26.1811,14.782494,0.)); #456724=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #456725=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #456726=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #456727=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #456728=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #456729=CARTESIAN_POINT('Origin',(29.586594,11.377,0.)); #456730=CARTESIAN_POINT('',(29.586594,11.377,0.)); #456731=CARTESIAN_POINT('',(29.586594,11.377,0.)); #456732=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #456733=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #456734=CARTESIAN_POINT('',(29.586594,11.377,0.)); #456735=CARTESIAN_POINT('Origin',(30.256072,11.377,0.)); #456736=CARTESIAN_POINT('',(30.256072,11.377,0.)); #456737=CARTESIAN_POINT('',(30.256072,11.377,0.)); #456738=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #456739=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #456740=CARTESIAN_POINT('',(30.256072,11.377,0.)); #456741=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #456742=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #456743=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #456744=CARTESIAN_POINT('',(30.435603,11.518228,0.035)); #456745=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.035)); #456746=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #456747=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #456748=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #456749=CARTESIAN_POINT('Origin',(30.5,11.25,0.035)); #456750=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.035)); #456751=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.)); #456752=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #456753=CARTESIAN_POINT('',(35.61,11.25,0.)); #456754=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #456755=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #456756=CARTESIAN_POINT('',(35.61,11.25,-200.)); #456757=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #456758=CARTESIAN_POINT('Origin',(35.771644,10.975,0.)); #456759=CARTESIAN_POINT('',(35.771644,10.975,0.)); #456760=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #456761=CARTESIAN_POINT('',(35.771644,10.975,0.)); #456762=CARTESIAN_POINT('',(35.814397,10.981772,0.035)); #456763=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #456764=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #456765=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #456766=CARTESIAN_POINT('',(35.771644,10.975,0.)); #456767=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #456768=CARTESIAN_POINT('',(35.623,11.493928,0.)); #456769=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #456770=CARTESIAN_POINT('',(35.623,11.493928,0.035)); #456771=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.035)); #456772=CARTESIAN_POINT('',(35.623,11.493928,0.)); #456773=CARTESIAN_POINT('Origin',(35.623,13.2875,0.)); #456774=CARTESIAN_POINT('',(35.623,13.2875,0.)); #456775=CARTESIAN_POINT('',(35.623,13.2875,0.)); #456776=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #456777=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #456778=CARTESIAN_POINT('',(35.623,13.2875,0.)); #456779=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.)); #456780=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #456781=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #456782=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #456783=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #456784=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #456785=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.)); #456786=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #456787=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #456788=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #456789=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #456790=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #456791=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.)); #456792=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #456793=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #456794=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #456795=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #456796=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #456797=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.)); #456798=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #456799=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #456800=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #456801=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #456802=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #456803=CARTESIAN_POINT('Origin',(35.877,13.2875,0.)); #456804=CARTESIAN_POINT('',(35.877,13.2875,0.)); #456805=CARTESIAN_POINT('',(35.877,13.2875,0.)); #456806=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #456807=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #456808=CARTESIAN_POINT('',(35.877,13.2875,0.)); #456809=CARTESIAN_POINT('Origin',(35.877,11.493928,0.)); #456810=CARTESIAN_POINT('',(35.877,11.493928,0.)); #456811=CARTESIAN_POINT('',(35.877,11.493928,0.)); #456812=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #456813=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #456814=CARTESIAN_POINT('',(35.877,11.493928,0.)); #456815=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #456816=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #456817=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.035)); #456818=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.035)); #456819=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.)); #456820=CARTESIAN_POINT('Origin',(29.147269,15.877,0.)); #456821=CARTESIAN_POINT('',(29.147269,15.877,0.)); #456822=CARTESIAN_POINT('',(27.002,18.022269,0.)); #456823=CARTESIAN_POINT('',(29.147269,15.877,0.)); #456824=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #456825=CARTESIAN_POINT('',(27.002,18.022269,0.)); #456826=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #456827=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #456828=CARTESIAN_POINT('',(29.147269,15.877,0.)); #456829=CARTESIAN_POINT('Origin',(30.2875,15.877,0.)); #456830=CARTESIAN_POINT('',(30.2875,15.877,0.)); #456831=CARTESIAN_POINT('',(30.2875,15.877,0.)); #456832=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #456833=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #456834=CARTESIAN_POINT('',(30.2875,15.877,0.)); #456835=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.)); #456836=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #456837=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #456838=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #456839=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #456840=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #456841=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.)); #456842=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #456843=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #456844=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #456845=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #456846=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #456847=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.)); #456848=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #456849=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #456850=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #456851=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #456852=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #456853=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.)); #456854=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #456855=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #456856=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #456857=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #456858=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #456859=CARTESIAN_POINT('Origin',(30.2875,15.623,0.)); #456860=CARTESIAN_POINT('',(30.2875,15.623,0.)); #456861=CARTESIAN_POINT('',(30.2875,15.623,0.)); #456862=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #456863=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #456864=CARTESIAN_POINT('',(30.2875,15.623,0.)); #456865=CARTESIAN_POINT('Origin',(29.094663,15.623,0.)); #456866=CARTESIAN_POINT('',(29.094663,15.623,0.)); #456867=CARTESIAN_POINT('',(29.094663,15.623,0.)); #456868=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #456869=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #456870=CARTESIAN_POINT('',(29.094663,15.623,0.)); #456871=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #456872=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #456873=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #456874=CARTESIAN_POINT('',(29.004859,15.660197,0.035)); #456875=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.035)); #456876=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #456877=CARTESIAN_POINT('Origin',(26.785197,17.879859,0.)); #456878=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #456879=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #456880=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #456881=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #456882=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #456883=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #456884=CARTESIAN_POINT('',(26.748,17.969663,0.)); #456885=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #456886=CARTESIAN_POINT('',(26.748,17.969663,0.035)); #456887=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.035)); #456888=CARTESIAN_POINT('',(26.748,17.969663,0.)); #456889=CARTESIAN_POINT('Origin',(26.748,19.808994,0.)); #456890=CARTESIAN_POINT('',(26.748,19.808994,0.)); #456891=CARTESIAN_POINT('',(26.748,19.808994,0.)); #456892=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #456893=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #456894=CARTESIAN_POINT('',(26.748,19.808994,0.)); #456895=CARTESIAN_POINT('Origin',(26.001494,20.5555,0.)); #456896=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #456897=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #456898=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #456899=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #456900=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #456901=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.)); #456902=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #456903=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #456904=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #456905=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #456906=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #456907=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.)); #456908=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #456909=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #456910=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #456911=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #456912=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #456913=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.)); #456914=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #456915=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #456916=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #456917=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #456918=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #456919=CARTESIAN_POINT('Origin',(26.1811,20.735106,0.)); #456920=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #456921=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #456922=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #456923=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #456924=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #456925=CARTESIAN_POINT('Origin',(26.964803,19.951403,0.)); #456926=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #456927=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #456928=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #456929=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #456930=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #456931=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #456932=CARTESIAN_POINT('',(27.002,19.8616,0.)); #456933=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #456934=CARTESIAN_POINT('',(27.002,19.8616,0.035)); #456935=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.035)); #456936=CARTESIAN_POINT('',(27.002,19.8616,0.)); #456937=CARTESIAN_POINT('Origin',(27.002,18.022269,0.)); #456938=CARTESIAN_POINT('',(27.002,18.022269,0.)); #456939=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #456940=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.035)); #456941=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.)); #456942=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.)); #456943=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #456944=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #456945=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #456946=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #456947=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #456948=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #456949=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #456950=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #456951=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.)); #456952=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #456953=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #456954=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #456955=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #456956=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #456957=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.)); #456958=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #456959=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #456960=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #456961=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #456962=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #456963=CARTESIAN_POINT('Origin',(34.623,20.7125,0.)); #456964=CARTESIAN_POINT('',(34.623,20.7125,0.)); #456965=CARTESIAN_POINT('',(34.623,20.7125,0.)); #456966=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #456967=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #456968=CARTESIAN_POINT('',(34.623,20.7125,0.)); #456969=CARTESIAN_POINT('Origin',(34.623,21.939325,0.)); #456970=CARTESIAN_POINT('',(34.623,21.939325,0.)); #456971=CARTESIAN_POINT('',(34.623,21.939325,0.)); #456972=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #456973=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #456974=CARTESIAN_POINT('',(34.623,21.939325,0.)); #456975=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #456976=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #456977=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #456978=CARTESIAN_POINT('',(34.660197,22.029128,0.035)); #456979=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.035)); #456980=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #456981=CARTESIAN_POINT('Origin',(37.623,24.991931,0.)); #456982=CARTESIAN_POINT('',(37.623,24.991931,0.)); #456983=CARTESIAN_POINT('',(37.623,24.991931,0.)); #456984=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #456985=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #456986=CARTESIAN_POINT('',(37.623,24.991931,0.)); #456987=CARTESIAN_POINT('Origin',(37.623,29.597194,0.)); #456988=CARTESIAN_POINT('',(37.623,29.597194,0.)); #456989=CARTESIAN_POINT('',(37.623,29.597194,0.)); #456990=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #456991=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #456992=CARTESIAN_POINT('',(37.623,29.597194,0.)); #456993=CARTESIAN_POINT('Origin',(36.817094,30.4031,0.)); #456994=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #456995=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #456996=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #456997=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #456998=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #456999=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.)); #457000=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #457001=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #457002=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #457003=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #457004=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #457005=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.)); #457006=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #457007=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #457008=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #457009=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #457010=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #457011=CARTESIAN_POINT('Origin',(36.2728,31.5969,0.)); #457012=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #457013=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #457014=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #457015=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #457016=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #457017=CARTESIAN_POINT('Origin',(36.2728,34.619463,0.)); #457018=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #457019=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #457020=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #457021=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #457022=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #457023=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #457024=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #457025=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #457026=CARTESIAN_POINT('',(36.309997,34.709266,0.035)); #457027=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.035)); #457028=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #457029=CARTESIAN_POINT('Origin',(38.0686,36.467869,0.)); #457030=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #457031=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #457032=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #457033=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #457034=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #457035=CARTESIAN_POINT('Origin',(38.0686,37.4336,0.)); #457036=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #457037=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #457038=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #457039=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #457040=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #457041=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #457042=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #457043=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #457044=CARTESIAN_POINT('',(38.105797,37.523403,0.035)); #457045=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.035)); #457046=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #457047=CARTESIAN_POINT('Origin',(38.3429,37.760506,0.)); #457048=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #457049=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #457050=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #457051=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #457052=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #457053=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.)); #457054=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #457055=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #457056=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #457057=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #457058=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #457059=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.)); #457060=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #457061=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #457062=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #457063=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #457064=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #457065=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.)); #457066=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #457067=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #457068=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #457069=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #457070=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #457071=CARTESIAN_POINT('Origin',(38.497106,37.5555,0.)); #457072=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #457073=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #457074=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #457075=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #457076=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #457077=CARTESIAN_POINT('Origin',(38.3226,37.380994,0.)); #457078=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #457079=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #457080=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #457081=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #457082=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #457083=CARTESIAN_POINT('Origin',(38.3226,36.415263,0.)); #457084=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #457085=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #457086=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #457087=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #457088=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #457089=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #457090=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #457091=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #457092=CARTESIAN_POINT('',(38.285403,36.325459,0.035)); #457093=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.035)); #457094=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #457095=CARTESIAN_POINT('Origin',(36.5268,34.566856,0.)); #457096=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #457097=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #457098=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #457099=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #457100=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #457101=CARTESIAN_POINT('Origin',(36.5268,31.5969,0.)); #457102=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #457103=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #457104=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #457105=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #457106=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #457107=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.)); #457108=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #457109=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #457110=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #457111=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #457112=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #457113=CARTESIAN_POINT('Origin',(36.9967,30.582706,0.)); #457114=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #457115=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #457116=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #457117=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #457118=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #457119=CARTESIAN_POINT('Origin',(37.839803,29.739603,0.)); #457120=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #457121=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #457122=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #457123=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #457124=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #457125=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #457126=CARTESIAN_POINT('',(37.877,29.6498,0.)); #457127=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #457128=CARTESIAN_POINT('',(37.877,29.6498,0.035)); #457129=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.035)); #457130=CARTESIAN_POINT('',(37.877,29.6498,0.)); #457131=CARTESIAN_POINT('Origin',(37.877,24.939325,0.)); #457132=CARTESIAN_POINT('',(37.877,24.939325,0.)); #457133=CARTESIAN_POINT('',(37.877,24.939325,0.)); #457134=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #457135=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #457136=CARTESIAN_POINT('',(37.877,24.939325,0.)); #457137=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #457138=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #457139=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #457140=CARTESIAN_POINT('',(37.839803,24.849522,0.035)); #457141=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.035)); #457142=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #457143=CARTESIAN_POINT('Origin',(34.877,21.886719,0.)); #457144=CARTESIAN_POINT('',(34.877,21.886719,0.)); #457145=CARTESIAN_POINT('',(34.877,21.886719,0.)); #457146=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #457147=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #457148=CARTESIAN_POINT('',(34.877,21.886719,0.)); #457149=CARTESIAN_POINT('Origin',(34.877,20.7125,0.)); #457150=CARTESIAN_POINT('',(34.877,20.7125,0.)); #457151=CARTESIAN_POINT('',(34.877,20.7125,0.)); #457152=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #457153=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #457154=CARTESIAN_POINT('',(34.877,20.7125,0.)); #457155=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.)); #457156=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #457157=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #457158=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.035)); #457159=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.)); #457160=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.)); #457161=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #457162=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #457163=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #457164=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #457165=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #457166=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #457167=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #457168=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #457169=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.)); #457170=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #457171=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #457172=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #457173=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #457174=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #457175=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.)); #457176=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #457177=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #457178=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #457179=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #457180=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #457181=CARTESIAN_POINT('Origin',(32.123,20.7125,0.)); #457182=CARTESIAN_POINT('',(32.123,20.7125,0.)); #457183=CARTESIAN_POINT('',(32.123,20.7125,0.)); #457184=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #457185=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #457186=CARTESIAN_POINT('',(32.123,20.7125,0.)); #457187=CARTESIAN_POINT('Origin',(32.123,21.886719,0.)); #457188=CARTESIAN_POINT('',(32.123,21.886719,0.)); #457189=CARTESIAN_POINT('',(32.123,21.886719,0.)); #457190=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #457191=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #457192=CARTESIAN_POINT('',(32.123,21.886719,0.)); #457193=CARTESIAN_POINT('Origin',(30.160197,23.849522,0.)); #457194=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #457195=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #457196=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #457197=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #457198=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #457199=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #457200=CARTESIAN_POINT('',(30.123,23.939325,0.)); #457201=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #457202=CARTESIAN_POINT('',(30.123,23.939325,0.035)); #457203=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.035)); #457204=CARTESIAN_POINT('',(30.123,23.939325,0.)); #457205=CARTESIAN_POINT('Origin',(30.123,29.6498,0.)); #457206=CARTESIAN_POINT('',(30.123,29.6498,0.)); #457207=CARTESIAN_POINT('',(30.123,29.6498,0.)); #457208=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #457209=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #457210=CARTESIAN_POINT('',(30.123,29.6498,0.)); #457211=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #457212=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #457213=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #457214=CARTESIAN_POINT('',(30.160197,29.739603,0.035)); #457215=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.035)); #457216=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #457217=CARTESIAN_POINT('Origin',(31.0033,30.582706,0.)); #457218=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #457219=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #457220=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #457221=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #457222=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #457223=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.)); #457224=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #457225=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #457226=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #457227=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #457228=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #457229=CARTESIAN_POINT('Origin',(31.4732,31.5969,0.)); #457230=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #457231=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #457232=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #457233=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #457234=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #457235=CARTESIAN_POINT('Origin',(31.4732,34.566856,0.)); #457236=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #457237=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #457238=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #457239=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #457240=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #457241=CARTESIAN_POINT('Origin',(29.722697,36.317359,0.)); #457242=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #457243=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #457244=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #457245=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #457246=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #457247=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #457248=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #457249=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #457250=CARTESIAN_POINT('',(29.6855,36.407162,0.035)); #457251=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.035)); #457252=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #457253=CARTESIAN_POINT('Origin',(29.6855,37.372894,0.)); #457254=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #457255=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #457256=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #457257=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #457258=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #457259=CARTESIAN_POINT('Origin',(29.502894,37.5555,0.)); #457260=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #457261=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #457262=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #457263=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #457264=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #457265=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.)); #457266=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #457267=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #457268=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #457269=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #457270=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #457271=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.)); #457272=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #457273=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #457274=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #457275=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #457276=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #457277=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.)); #457278=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #457279=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #457280=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #457281=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #457282=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #457283=CARTESIAN_POINT('Origin',(29.6571,37.760506,0.)); #457284=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #457285=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #457286=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #457287=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #457288=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #457289=CARTESIAN_POINT('Origin',(29.902303,37.515303,0.)); #457290=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #457291=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #457292=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #457293=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #457294=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #457295=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #457296=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #457297=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #457298=CARTESIAN_POINT('',(29.9395,37.4255,0.035)); #457299=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.035)); #457300=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #457301=CARTESIAN_POINT('Origin',(29.9395,36.459769,0.)); #457302=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #457303=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #457304=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #457305=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #457306=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #457307=CARTESIAN_POINT('Origin',(31.690003,34.709266,0.)); #457308=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #457309=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #457310=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #457311=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #457312=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #457313=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #457314=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #457315=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #457316=CARTESIAN_POINT('',(31.7272,34.619463,0.035)); #457317=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.035)); #457318=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #457319=CARTESIAN_POINT('Origin',(31.7272,31.5969,0.)); #457320=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #457321=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #457322=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #457323=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #457324=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #457325=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.)); #457326=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #457327=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #457328=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #457329=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #457330=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #457331=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.)); #457332=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #457333=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #457334=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #457335=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #457336=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #457337=CARTESIAN_POINT('Origin',(31.182906,30.4031,0.)); #457338=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #457339=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #457340=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #457341=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #457342=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #457343=CARTESIAN_POINT('Origin',(30.377,29.597194,0.)); #457344=CARTESIAN_POINT('',(30.377,29.597194,0.)); #457345=CARTESIAN_POINT('',(30.377,29.597194,0.)); #457346=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #457347=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #457348=CARTESIAN_POINT('',(30.377,29.597194,0.)); #457349=CARTESIAN_POINT('Origin',(30.377,23.991931,0.)); #457350=CARTESIAN_POINT('',(30.377,23.991931,0.)); #457351=CARTESIAN_POINT('',(30.377,23.991931,0.)); #457352=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #457353=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #457354=CARTESIAN_POINT('',(30.377,23.991931,0.)); #457355=CARTESIAN_POINT('Origin',(32.339803,22.029128,0.)); #457356=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #457357=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #457358=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #457359=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #457360=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #457361=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #457362=CARTESIAN_POINT('',(32.377,21.939325,0.)); #457363=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #457364=CARTESIAN_POINT('',(32.377,21.939325,0.035)); #457365=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.035)); #457366=CARTESIAN_POINT('',(32.377,21.939325,0.)); #457367=CARTESIAN_POINT('Origin',(32.377,20.7125,0.)); #457368=CARTESIAN_POINT('',(32.377,20.7125,0.)); #457369=CARTESIAN_POINT('',(32.377,20.7125,0.)); #457370=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #457371=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #457372=CARTESIAN_POINT('',(32.377,20.7125,0.)); #457373=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.)); #457374=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #457375=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #457376=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.035)); #457377=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.)); #457378=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #457379=CARTESIAN_POINT('',(35.11,6.25,0.)); #457380=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #457381=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #457382=CARTESIAN_POINT('',(35.11,6.25,-200.)); #457383=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #457384=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #457385=CARTESIAN_POINT('',(35.271644,5.975,0.)); #457386=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #457387=CARTESIAN_POINT('',(35.271644,5.975,0.)); #457388=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #457389=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #457390=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #457391=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #457392=CARTESIAN_POINT('',(35.271644,5.975,0.)); #457393=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #457394=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #457395=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #457396=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #457397=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #457398=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #457399=CARTESIAN_POINT('Origin',(35.123,7.6973938,0.)); #457400=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #457401=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #457402=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #457403=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #457404=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #457405=CARTESIAN_POINT('Origin',(34.910197,7.9101969,0.)); #457406=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #457407=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #457408=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #457409=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #457410=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #457411=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #457412=CARTESIAN_POINT('',(34.873,8.,0.)); #457413=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #457414=CARTESIAN_POINT('',(34.873,8.,0.035)); #457415=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.035)); #457416=CARTESIAN_POINT('',(34.873,8.,0.)); #457417=CARTESIAN_POINT('Origin',(34.873,10.197394,0.)); #457418=CARTESIAN_POINT('',(34.873,10.197394,0.)); #457419=CARTESIAN_POINT('',(34.873,10.197394,0.)); #457420=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #457421=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #457422=CARTESIAN_POINT('',(34.873,10.197394,0.)); #457423=CARTESIAN_POINT('Origin',(33.160197,11.910197,0.)); #457424=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #457425=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #457426=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #457427=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #457428=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #457429=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #457430=CARTESIAN_POINT('',(33.123,12.,0.)); #457431=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #457432=CARTESIAN_POINT('',(33.123,12.,0.035)); #457433=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.035)); #457434=CARTESIAN_POINT('',(33.123,12.,0.)); #457435=CARTESIAN_POINT('Origin',(33.123,13.2875,0.)); #457436=CARTESIAN_POINT('',(33.123,13.2875,0.)); #457437=CARTESIAN_POINT('',(33.123,13.2875,0.)); #457438=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #457439=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #457440=CARTESIAN_POINT('',(33.123,13.2875,0.)); #457441=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.)); #457442=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #457443=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #457444=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #457445=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #457446=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #457447=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.)); #457448=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #457449=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #457450=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #457451=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #457452=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #457453=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.)); #457454=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #457455=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #457456=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #457457=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #457458=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #457459=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.)); #457460=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #457461=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #457462=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #457463=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #457464=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #457465=CARTESIAN_POINT('Origin',(33.377,13.2875,0.)); #457466=CARTESIAN_POINT('',(33.377,13.2875,0.)); #457467=CARTESIAN_POINT('',(33.377,13.2875,0.)); #457468=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #457469=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #457470=CARTESIAN_POINT('',(33.377,13.2875,0.)); #457471=CARTESIAN_POINT('Origin',(33.377,12.052606,0.)); #457472=CARTESIAN_POINT('',(33.377,12.052606,0.)); #457473=CARTESIAN_POINT('',(33.377,12.052606,0.)); #457474=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #457475=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #457476=CARTESIAN_POINT('',(33.377,12.052606,0.)); #457477=CARTESIAN_POINT('Origin',(35.089803,10.339803,0.)); #457478=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #457479=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #457480=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #457481=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #457482=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #457483=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #457484=CARTESIAN_POINT('',(35.127,10.25,0.)); #457485=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #457486=CARTESIAN_POINT('',(35.127,10.25,0.035)); #457487=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.035)); #457488=CARTESIAN_POINT('',(35.127,10.25,0.)); #457489=CARTESIAN_POINT('Origin',(35.127,8.0526063,0.)); #457490=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #457491=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #457492=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #457493=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #457494=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #457495=CARTESIAN_POINT('Origin',(35.339803,7.8398031,0.)); #457496=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #457497=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #457498=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #457499=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #457500=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #457501=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #457502=CARTESIAN_POINT('',(35.377,7.75,0.)); #457503=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #457504=CARTESIAN_POINT('',(35.377,7.75,0.035)); #457505=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.035)); #457506=CARTESIAN_POINT('',(35.377,7.75,0.)); #457507=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #457508=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #457509=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #457510=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #457511=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #457512=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #457513=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #457514=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #457515=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #457516=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.035)); #457517=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.)); #457518=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #457519=CARTESIAN_POINT('',(32.61,8.25,0.)); #457520=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #457521=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #457522=CARTESIAN_POINT('',(32.61,8.25,-200.)); #457523=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #457524=CARTESIAN_POINT('Origin',(32.771644,7.975,0.)); #457525=CARTESIAN_POINT('',(32.771644,7.975,0.)); #457526=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #457527=CARTESIAN_POINT('',(32.771644,7.975,0.)); #457528=CARTESIAN_POINT('',(32.814397,7.9817719,0.035)); #457529=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #457530=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #457531=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #457532=CARTESIAN_POINT('',(32.771644,7.975,0.)); #457533=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #457534=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #457535=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #457536=CARTESIAN_POINT('',(32.623,8.4939281,0.035)); #457537=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.035)); #457538=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #457539=CARTESIAN_POINT('Origin',(32.623,10.326056,0.)); #457540=CARTESIAN_POINT('',(32.623,10.326056,0.)); #457541=CARTESIAN_POINT('',(32.623,10.326056,0.)); #457542=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #457543=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #457544=CARTESIAN_POINT('',(32.623,10.326056,0.)); #457545=CARTESIAN_POINT('Origin',(31.660197,11.288859,0.)); #457546=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #457547=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #457548=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #457549=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #457550=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #457551=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #457552=CARTESIAN_POINT('',(31.623,11.378663,0.)); #457553=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #457554=CARTESIAN_POINT('',(31.623,11.378663,0.035)); #457555=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.035)); #457556=CARTESIAN_POINT('',(31.623,11.378663,0.)); #457557=CARTESIAN_POINT('Origin',(31.623,13.2875,0.)); #457558=CARTESIAN_POINT('',(31.623,13.2875,0.)); #457559=CARTESIAN_POINT('',(31.623,13.2875,0.)); #457560=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #457561=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #457562=CARTESIAN_POINT('',(31.623,13.2875,0.)); #457563=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.)); #457564=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #457565=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #457566=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #457567=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #457568=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #457569=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.)); #457570=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #457571=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #457572=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #457573=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #457574=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #457575=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.)); #457576=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #457577=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #457578=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #457579=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #457580=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #457581=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.)); #457582=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #457583=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #457584=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #457585=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #457586=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #457587=CARTESIAN_POINT('Origin',(31.877,13.2875,0.)); #457588=CARTESIAN_POINT('',(31.877,13.2875,0.)); #457589=CARTESIAN_POINT('',(31.877,13.2875,0.)); #457590=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #457591=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #457592=CARTESIAN_POINT('',(31.877,13.2875,0.)); #457593=CARTESIAN_POINT('Origin',(31.877,11.431269,0.)); #457594=CARTESIAN_POINT('',(31.877,11.431269,0.)); #457595=CARTESIAN_POINT('',(31.877,11.431269,0.)); #457596=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #457597=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #457598=CARTESIAN_POINT('',(31.877,11.431269,0.)); #457599=CARTESIAN_POINT('Origin',(32.839803,10.468466,0.)); #457600=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #457601=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #457602=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #457603=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #457604=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #457605=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #457606=CARTESIAN_POINT('',(32.877,10.378663,0.)); #457607=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #457608=CARTESIAN_POINT('',(32.877,10.378663,0.035)); #457609=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.035)); #457610=CARTESIAN_POINT('',(32.877,10.378663,0.)); #457611=CARTESIAN_POINT('Origin',(32.877,8.4939281,0.)); #457612=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #457613=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #457614=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #457615=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #457616=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #457617=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #457618=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #457619=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.035)); #457620=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.035)); #457621=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.)); #457622=CARTESIAN_POINT('Origin',(34.,56.5,-200.)); #457623=CARTESIAN_POINT('',(33.86,56.5,0.)); #457624=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #457625=CARTESIAN_POINT('',(33.86,56.5,0.0349999999999895)); #457626=CARTESIAN_POINT('',(33.86,56.5,-200.)); #457627=CARTESIAN_POINT('Origin',(34.,56.5,0.0349999999999895)); #457628=CARTESIAN_POINT('Origin',(34.021644,56.225,0.)); #457629=CARTESIAN_POINT('',(34.021644,56.225,0.)); #457630=CARTESIAN_POINT('',(34.064397,56.231772,0.)); #457631=CARTESIAN_POINT('',(34.021644,56.225,0.)); #457632=CARTESIAN_POINT('',(34.064397,56.231772,0.035)); #457633=CARTESIAN_POINT('',(34.064397,56.231772,0.)); #457634=CARTESIAN_POINT('',(34.021644,56.225,0.035)); #457635=CARTESIAN_POINT('',(34.021644,56.225,0.035)); #457636=CARTESIAN_POINT('',(34.021644,56.225,0.)); #457637=CARTESIAN_POINT('Origin',(34.000118471131,56.4995872515008,0.)); #457638=CARTESIAN_POINT('',(33.873,56.743928,0.)); #457639=CARTESIAN_POINT('Origin',(34.000118471131,56.4995872515008,0.)); #457640=CARTESIAN_POINT('',(33.873,56.743928,0.035)); #457641=CARTESIAN_POINT('Origin',(34.000118471131,56.4995872515008,0.035)); #457642=CARTESIAN_POINT('',(33.873,56.743928,0.)); #457643=CARTESIAN_POINT('Origin',(33.873,59.435394,0.)); #457644=CARTESIAN_POINT('',(33.873,59.435394,0.)); #457645=CARTESIAN_POINT('',(33.873,59.435394,0.)); #457646=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #457647=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #457648=CARTESIAN_POINT('',(33.873,59.435394,0.)); #457649=CARTESIAN_POINT('Origin',(33.502894,59.8055,0.)); #457650=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #457651=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #457652=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #457653=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #457654=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #457655=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.)); #457656=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #457657=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #457658=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #457659=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #457660=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #457661=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.)); #457662=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #457663=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #457664=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #457665=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #457666=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #457667=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.)); #457668=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #457669=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #457670=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #457671=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #457672=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #457673=CARTESIAN_POINT('Origin',(33.6571,60.010506,0.)); #457674=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #457675=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #457676=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #457677=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #457678=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #457679=CARTESIAN_POINT('Origin',(34.089803,59.577803,0.)); #457680=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #457681=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #457682=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #457683=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #457684=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #457685=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #457686=CARTESIAN_POINT('',(34.127,59.488,0.)); #457687=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #457688=CARTESIAN_POINT('',(34.127,59.488,0.035)); #457689=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.035)); #457690=CARTESIAN_POINT('',(34.127,59.488,0.)); #457691=CARTESIAN_POINT('Origin',(34.127,56.743928,0.)); #457692=CARTESIAN_POINT('',(34.127,56.743928,0.)); #457693=CARTESIAN_POINT('',(34.127,56.743928,0.)); #457694=CARTESIAN_POINT('',(34.127,56.743928,0.035)); #457695=CARTESIAN_POINT('',(34.127,56.743928,0.035)); #457696=CARTESIAN_POINT('',(34.127,56.743928,0.)); #457697=CARTESIAN_POINT('Origin',(33.9999453752955,56.4995543105341,0.)); #457698=CARTESIAN_POINT('Origin',(33.9999453752955,56.4995543105341,0.)); #457699=CARTESIAN_POINT('Origin',(33.9999453752955,56.4995543105341,0.035)); #457700=CARTESIAN_POINT('Origin',(33.7580716532214,58.6673435170816,0.035)); #457701=CARTESIAN_POINT('Origin',(33.7580716532214,58.6673435170816,0.)); #457702=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #457703=CARTESIAN_POINT('',(34.7975,12.25,0.)); #457704=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #457705=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #457706=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #457707=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #457708=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #457709=CARTESIAN_POINT('',(34.959144,11.975,0.)); #457710=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #457711=CARTESIAN_POINT('',(34.959144,11.975,0.)); #457712=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #457713=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #457714=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #457715=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #457716=CARTESIAN_POINT('',(34.959144,11.975,0.)); #457717=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #457718=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #457719=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #457720=CARTESIAN_POINT('',(34.675213,12.332681,0.035)); #457721=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.035)); #457722=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #457723=CARTESIAN_POINT('Origin',(34.160197,12.847697,0.)); #457724=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #457725=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #457726=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #457727=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #457728=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #457729=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #457730=CARTESIAN_POINT('',(34.123,12.9375,0.)); #457731=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #457732=CARTESIAN_POINT('',(34.123,12.9375,0.035)); #457733=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.035)); #457734=CARTESIAN_POINT('',(34.123,12.9375,0.)); #457735=CARTESIAN_POINT('Origin',(34.123,13.2875,0.)); #457736=CARTESIAN_POINT('',(34.123,13.2875,0.)); #457737=CARTESIAN_POINT('',(34.123,13.2875,0.)); #457738=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #457739=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #457740=CARTESIAN_POINT('',(34.123,13.2875,0.)); #457741=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.)); #457742=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #457743=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #457744=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #457745=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #457746=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #457747=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.)); #457748=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #457749=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #457750=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #457751=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #457752=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #457753=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.)); #457754=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #457755=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #457756=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #457757=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #457758=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #457759=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.)); #457760=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #457761=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #457762=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #457763=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #457764=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #457765=CARTESIAN_POINT('Origin',(34.377,13.2875,0.)); #457766=CARTESIAN_POINT('',(34.377,13.2875,0.)); #457767=CARTESIAN_POINT('',(34.377,13.2875,0.)); #457768=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #457769=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #457770=CARTESIAN_POINT('',(34.377,13.2875,0.)); #457771=CARTESIAN_POINT('Origin',(34.377,12.990106,0.)); #457772=CARTESIAN_POINT('',(34.377,12.990106,0.)); #457773=CARTESIAN_POINT('',(34.377,12.990106,0.)); #457774=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #457775=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #457776=CARTESIAN_POINT('',(34.377,12.990106,0.)); #457777=CARTESIAN_POINT('Origin',(34.854819,12.512288,0.)); #457778=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #457779=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #457780=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #457781=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #457782=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #457783=CARTESIAN_POINT('Origin',(34.873103,12.518228,0.)); #457784=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #457785=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #457786=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #457787=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #457788=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #457789=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #457790=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #457791=CARTESIAN_POINT('Origin',(34.9375,12.25,0.035)); #457792=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.035)); #457793=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.)); #457794=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #457795=CARTESIAN_POINT('',(41.11,13.0029,0.)); #457796=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #457797=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #457798=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #457799=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #457800=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #457801=CARTESIAN_POINT('',(42.36,14.25,0.)); #457802=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #457803=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #457804=CARTESIAN_POINT('',(42.36,14.25,-200.)); #457805=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #457806=CARTESIAN_POINT('Origin',(41.271644,12.7279,0.)); #457807=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #457808=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #457809=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #457810=CARTESIAN_POINT('',(41.314397,12.734672,0.035)); #457811=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #457812=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #457813=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #457814=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #457815=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #457816=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #457817=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #457818=CARTESIAN_POINT('',(41.144438,12.748047,0.035)); #457819=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.035)); #457820=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #457821=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #457822=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #457823=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #457824=CARTESIAN_POINT('',(41.332681,13.265188,0.035)); #457825=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.035)); #457826=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #457827=CARTESIAN_POINT('Origin',(42.237,14.169506,0.)); #457828=CARTESIAN_POINT('',(42.237,14.169506,0.)); #457829=CARTESIAN_POINT('',(42.237,14.169506,0.)); #457830=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #457831=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #457832=CARTESIAN_POINT('',(42.237,14.169506,0.)); #457833=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #457834=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #457835=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #457836=CARTESIAN_POINT('',(42.605563,14.504853,0.035)); #457837=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.035)); #457838=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #457839=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #457840=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #457841=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #457842=CARTESIAN_POINT('',(42.415131,13.988425,0.035)); #457843=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.035)); #457844=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #457845=CARTESIAN_POINT('Origin',(41.512287,13.085581,0.)); #457846=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #457847=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #457848=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #457849=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #457850=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #457851=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #457852=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #457853=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.035)); #457854=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.035)); #457855=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.)); #457856=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #457857=CARTESIAN_POINT('',(34.11,8.25,0.)); #457858=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #457859=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #457860=CARTESIAN_POINT('',(34.11,8.25,-200.)); #457861=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #457862=CARTESIAN_POINT('Origin',(34.271644,7.975,0.)); #457863=CARTESIAN_POINT('',(34.271644,7.975,0.)); #457864=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #457865=CARTESIAN_POINT('',(34.271644,7.975,0.)); #457866=CARTESIAN_POINT('',(34.314397,7.9817719,0.035)); #457867=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #457868=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #457869=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #457870=CARTESIAN_POINT('',(34.271644,7.975,0.)); #457871=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #457872=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #457873=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #457874=CARTESIAN_POINT('',(34.123,8.4939281,0.035)); #457875=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.035)); #457876=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #457877=CARTESIAN_POINT('Origin',(34.123,10.240281,0.)); #457878=CARTESIAN_POINT('',(34.123,10.240281,0.)); #457879=CARTESIAN_POINT('',(34.123,10.240281,0.)); #457880=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #457881=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #457882=CARTESIAN_POINT('',(34.123,10.240281,0.)); #457883=CARTESIAN_POINT('Origin',(32.660197,11.703084,0.)); #457884=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #457885=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #457886=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #457887=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #457888=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #457889=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #457890=CARTESIAN_POINT('',(32.623,11.792888,0.)); #457891=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #457892=CARTESIAN_POINT('',(32.623,11.792888,0.035)); #457893=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.035)); #457894=CARTESIAN_POINT('',(32.623,11.792888,0.)); #457895=CARTESIAN_POINT('Origin',(32.623,13.2875,0.)); #457896=CARTESIAN_POINT('',(32.623,13.2875,0.)); #457897=CARTESIAN_POINT('',(32.623,13.2875,0.)); #457898=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #457899=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #457900=CARTESIAN_POINT('',(32.623,13.2875,0.)); #457901=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.)); #457902=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #457903=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #457904=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #457905=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #457906=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #457907=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.)); #457908=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #457909=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #457910=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #457911=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #457912=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #457913=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.)); #457914=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #457915=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #457916=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #457917=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #457918=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #457919=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.)); #457920=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #457921=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #457922=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #457923=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #457924=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #457925=CARTESIAN_POINT('Origin',(32.877,13.2875,0.)); #457926=CARTESIAN_POINT('',(32.877,13.2875,0.)); #457927=CARTESIAN_POINT('',(32.877,13.2875,0.)); #457928=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #457929=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #457930=CARTESIAN_POINT('',(32.877,13.2875,0.)); #457931=CARTESIAN_POINT('Origin',(32.877,11.845494,0.)); #457932=CARTESIAN_POINT('',(32.877,11.845494,0.)); #457933=CARTESIAN_POINT('',(32.877,11.845494,0.)); #457934=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #457935=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #457936=CARTESIAN_POINT('',(32.877,11.845494,0.)); #457937=CARTESIAN_POINT('Origin',(34.339803,10.382691,0.)); #457938=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #457939=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #457940=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #457941=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #457942=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #457943=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #457944=CARTESIAN_POINT('',(34.377,10.292888,0.)); #457945=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #457946=CARTESIAN_POINT('',(34.377,10.292888,0.035)); #457947=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.035)); #457948=CARTESIAN_POINT('',(34.377,10.292888,0.)); #457949=CARTESIAN_POINT('Origin',(34.377,8.4939281,0.)); #457950=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #457951=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #457952=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #457953=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #457954=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #457955=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #457956=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #457957=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.035)); #457958=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.035)); #457959=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.)); #457960=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #457961=CARTESIAN_POINT('',(33.61,6.2426,0.)); #457962=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #457963=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #457964=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #457965=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #457966=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #457967=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #457968=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #457969=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #457970=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #457971=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #457972=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #457973=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #457974=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #457975=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #457976=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #457977=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #457978=CARTESIAN_POINT('',(33.623,6.4865281,0.035)); #457979=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.035)); #457980=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #457981=CARTESIAN_POINT('Origin',(33.623,7.6899938,0.)); #457982=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #457983=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #457984=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #457985=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #457986=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #457987=CARTESIAN_POINT('Origin',(33.410197,7.9027969,0.)); #457988=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #457989=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #457990=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #457991=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #457992=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #457993=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #457994=CARTESIAN_POINT('',(33.373,7.9926,0.)); #457995=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #457996=CARTESIAN_POINT('',(33.373,7.9926,0.035)); #457997=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.035)); #457998=CARTESIAN_POINT('',(33.373,7.9926,0.)); #457999=CARTESIAN_POINT('Origin',(33.373,10.283169,0.)); #458000=CARTESIAN_POINT('',(33.373,10.283169,0.)); #458001=CARTESIAN_POINT('',(33.373,10.283169,0.)); #458002=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #458003=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #458004=CARTESIAN_POINT('',(33.373,10.283169,0.)); #458005=CARTESIAN_POINT('Origin',(32.160197,11.495972,0.)); #458006=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #458007=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #458008=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #458009=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #458010=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #458011=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #458012=CARTESIAN_POINT('',(32.123,11.585775,0.)); #458013=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #458014=CARTESIAN_POINT('',(32.123,11.585775,0.035)); #458015=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.035)); #458016=CARTESIAN_POINT('',(32.123,11.585775,0.)); #458017=CARTESIAN_POINT('Origin',(32.123,13.2875,0.)); #458018=CARTESIAN_POINT('',(32.123,13.2875,0.)); #458019=CARTESIAN_POINT('',(32.123,13.2875,0.)); #458020=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #458021=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #458022=CARTESIAN_POINT('',(32.123,13.2875,0.)); #458023=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.)); #458024=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #458025=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #458026=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #458027=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #458028=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #458029=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.)); #458030=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #458031=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #458032=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #458033=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #458034=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #458035=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.)); #458036=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #458037=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #458038=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #458039=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #458040=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #458041=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.)); #458042=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #458043=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #458044=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #458045=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #458046=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #458047=CARTESIAN_POINT('Origin',(32.377,13.2875,0.)); #458048=CARTESIAN_POINT('',(32.377,13.2875,0.)); #458049=CARTESIAN_POINT('',(32.377,13.2875,0.)); #458050=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #458051=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #458052=CARTESIAN_POINT('',(32.377,13.2875,0.)); #458053=CARTESIAN_POINT('Origin',(32.377,11.638381,0.)); #458054=CARTESIAN_POINT('',(32.377,11.638381,0.)); #458055=CARTESIAN_POINT('',(32.377,11.638381,0.)); #458056=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #458057=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #458058=CARTESIAN_POINT('',(32.377,11.638381,0.)); #458059=CARTESIAN_POINT('Origin',(33.589803,10.425578,0.)); #458060=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #458061=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #458062=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #458063=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #458064=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #458065=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #458066=CARTESIAN_POINT('',(33.627,10.335775,0.)); #458067=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #458068=CARTESIAN_POINT('',(33.627,10.335775,0.035)); #458069=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.035)); #458070=CARTESIAN_POINT('',(33.627,10.335775,0.)); #458071=CARTESIAN_POINT('Origin',(33.627,8.0452062,0.)); #458072=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #458073=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #458074=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #458075=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #458076=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #458077=CARTESIAN_POINT('Origin',(33.839803,7.8324031,0.)); #458078=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #458079=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #458080=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #458081=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #458082=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #458083=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #458084=CARTESIAN_POINT('',(33.877,7.7426,0.)); #458085=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #458086=CARTESIAN_POINT('',(33.877,7.7426,0.035)); #458087=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.035)); #458088=CARTESIAN_POINT('',(33.877,7.7426,0.)); #458089=CARTESIAN_POINT('Origin',(33.877,6.4865281,0.)); #458090=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #458091=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #458092=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #458093=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #458094=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #458095=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #458096=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #458097=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.035)); #458098=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.035)); #458099=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.)); #458100=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.)); #458101=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #458102=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #458103=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #458104=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #458105=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #458106=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #458107=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #458108=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #458109=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.)); #458110=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #458111=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #458112=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #458113=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #458114=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #458115=CARTESIAN_POINT('Origin',(30.2875,15.123,0.)); #458116=CARTESIAN_POINT('',(30.2875,15.123,0.)); #458117=CARTESIAN_POINT('',(30.2875,15.123,0.)); #458118=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #458119=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #458120=CARTESIAN_POINT('',(30.2875,15.123,0.)); #458121=CARTESIAN_POINT('Origin',(29.064325,15.123,0.)); #458122=CARTESIAN_POINT('',(29.064325,15.123,0.)); #458123=CARTESIAN_POINT('',(29.064325,15.123,0.)); #458124=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #458125=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #458126=CARTESIAN_POINT('',(29.064325,15.123,0.)); #458127=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #458128=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #458129=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #458130=CARTESIAN_POINT('',(28.974522,15.160197,0.035)); #458131=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.035)); #458132=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #458133=CARTESIAN_POINT('Origin',(26.410197,17.724522,0.)); #458134=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #458135=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #458136=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #458137=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #458138=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #458139=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #458140=CARTESIAN_POINT('',(26.373,17.814325,0.)); #458141=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #458142=CARTESIAN_POINT('',(26.373,17.814325,0.035)); #458143=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.035)); #458144=CARTESIAN_POINT('',(26.373,17.814325,0.)); #458145=CARTESIAN_POINT('Origin',(26.373,19.572394,0.)); #458146=CARTESIAN_POINT('',(26.373,19.572394,0.)); #458147=CARTESIAN_POINT('',(26.373,19.572394,0.)); #458148=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #458149=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #458150=CARTESIAN_POINT('',(26.373,19.572394,0.)); #458151=CARTESIAN_POINT('Origin',(25.822394,20.123,0.)); #458152=CARTESIAN_POINT('',(25.822394,20.123,0.)); #458153=CARTESIAN_POINT('',(25.822394,20.123,0.)); #458154=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #458155=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #458156=CARTESIAN_POINT('',(25.822394,20.123,0.)); #458157=CARTESIAN_POINT('Origin',(22.512,20.123,0.)); #458158=CARTESIAN_POINT('',(22.512,20.123,0.)); #458159=CARTESIAN_POINT('',(22.512,20.123,0.)); #458160=CARTESIAN_POINT('',(22.512,20.123,0.035)); #458161=CARTESIAN_POINT('',(22.512,20.123,0.035)); #458162=CARTESIAN_POINT('',(22.512,20.123,0.)); #458163=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #458164=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #458165=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #458166=CARTESIAN_POINT('',(22.422197,20.160197,0.035)); #458167=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.035)); #458168=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #458169=CARTESIAN_POINT('Origin',(22.026894,20.5555,0.)); #458170=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #458171=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #458172=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #458173=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #458174=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #458175=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.)); #458176=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #458177=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #458178=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #458179=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #458180=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #458181=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.)); #458182=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #458183=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #458184=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #458185=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #458186=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #458187=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.)); #458188=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #458189=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #458190=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #458191=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #458192=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #458193=CARTESIAN_POINT('Origin',(22.1811,20.760506,0.)); #458194=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #458195=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #458196=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #458197=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #458198=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #458199=CARTESIAN_POINT('Origin',(22.564606,20.377,0.)); #458200=CARTESIAN_POINT('',(22.564606,20.377,0.)); #458201=CARTESIAN_POINT('',(22.564606,20.377,0.)); #458202=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #458203=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #458204=CARTESIAN_POINT('',(22.564606,20.377,0.)); #458205=CARTESIAN_POINT('Origin',(25.875,20.377,0.)); #458206=CARTESIAN_POINT('',(25.875,20.377,0.)); #458207=CARTESIAN_POINT('',(25.875,20.377,0.)); #458208=CARTESIAN_POINT('',(25.875,20.377,0.035)); #458209=CARTESIAN_POINT('',(25.875,20.377,0.035)); #458210=CARTESIAN_POINT('',(25.875,20.377,0.)); #458211=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #458212=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #458213=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #458214=CARTESIAN_POINT('',(25.964803,20.339803,0.035)); #458215=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.035)); #458216=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #458217=CARTESIAN_POINT('Origin',(26.589803,19.714803,0.)); #458218=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #458219=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #458220=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #458221=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #458222=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #458223=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #458224=CARTESIAN_POINT('',(26.627,19.625,0.)); #458225=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #458226=CARTESIAN_POINT('',(26.627,19.625,0.035)); #458227=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.035)); #458228=CARTESIAN_POINT('',(26.627,19.625,0.)); #458229=CARTESIAN_POINT('Origin',(26.627,17.866931,0.)); #458230=CARTESIAN_POINT('',(26.627,17.866931,0.)); #458231=CARTESIAN_POINT('',(26.627,17.866931,0.)); #458232=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #458233=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #458234=CARTESIAN_POINT('',(26.627,17.866931,0.)); #458235=CARTESIAN_POINT('Origin',(29.116931,15.377,0.)); #458236=CARTESIAN_POINT('',(29.116931,15.377,0.)); #458237=CARTESIAN_POINT('',(29.116931,15.377,0.)); #458238=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #458239=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #458240=CARTESIAN_POINT('',(29.116931,15.377,0.)); #458241=CARTESIAN_POINT('Origin',(30.2875,15.377,0.)); #458242=CARTESIAN_POINT('',(30.2875,15.377,0.)); #458243=CARTESIAN_POINT('',(30.2875,15.377,0.)); #458244=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #458245=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #458246=CARTESIAN_POINT('',(30.2875,15.377,0.)); #458247=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.)); #458248=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #458249=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #458250=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #458251=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #458252=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #458253=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.)); #458254=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #458255=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #458256=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.035)); #458257=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.)); #458258=CARTESIAN_POINT('Origin',(34.75,56.5,-200.)); #458259=CARTESIAN_POINT('',(34.61,56.5,0.)); #458260=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #458261=CARTESIAN_POINT('',(34.61,56.5,0.0349999999999895)); #458262=CARTESIAN_POINT('',(34.61,56.5,-200.)); #458263=CARTESIAN_POINT('Origin',(34.75,56.5,0.0349999999999895)); #458264=CARTESIAN_POINT('Origin',(34.771644,56.225,0.)); #458265=CARTESIAN_POINT('',(34.771644,56.225,0.)); #458266=CARTESIAN_POINT('',(34.814397,56.231772,0.)); #458267=CARTESIAN_POINT('',(34.771644,56.225,0.)); #458268=CARTESIAN_POINT('',(34.814397,56.231772,0.035)); #458269=CARTESIAN_POINT('',(34.814397,56.231772,0.)); #458270=CARTESIAN_POINT('',(34.771644,56.225,0.035)); #458271=CARTESIAN_POINT('',(34.771644,56.225,0.035)); #458272=CARTESIAN_POINT('',(34.771644,56.225,0.)); #458273=CARTESIAN_POINT('Origin',(34.750118471131,56.4995872515008,0.)); #458274=CARTESIAN_POINT('',(34.623,56.743928,0.)); #458275=CARTESIAN_POINT('Origin',(34.750118471131,56.4995872515008,0.)); #458276=CARTESIAN_POINT('',(34.623,56.743928,0.035)); #458277=CARTESIAN_POINT('Origin',(34.750118471131,56.4995872515008,0.035)); #458278=CARTESIAN_POINT('',(34.623,56.743928,0.)); #458279=CARTESIAN_POINT('Origin',(34.623,57.072394,0.)); #458280=CARTESIAN_POINT('',(34.623,57.072394,0.)); #458281=CARTESIAN_POINT('',(34.623,57.072394,0.)); #458282=CARTESIAN_POINT('',(34.623,57.072394,0.035)); #458283=CARTESIAN_POINT('',(34.623,57.072394,0.035)); #458284=CARTESIAN_POINT('',(34.623,57.072394,0.)); #458285=CARTESIAN_POINT('Origin',(34.285197,57.410197,0.)); #458286=CARTESIAN_POINT('',(34.285197,57.410197,0.)); #458287=CARTESIAN_POINT('',(34.285197,57.410197,0.)); #458288=CARTESIAN_POINT('',(34.285197,57.410197,0.035)); #458289=CARTESIAN_POINT('',(34.285197,57.410197,0.035)); #458290=CARTESIAN_POINT('',(34.285197,57.410197,0.)); #458291=CARTESIAN_POINT('Origin',(34.3750003087252,57.4999992502996,0.)); #458292=CARTESIAN_POINT('',(34.248,57.5,0.)); #458293=CARTESIAN_POINT('Origin',(34.3750003087252,57.4999992502996,0.)); #458294=CARTESIAN_POINT('',(34.248,57.5,0.035)); #458295=CARTESIAN_POINT('Origin',(34.3750003087252,57.4999992502996,0.035)); #458296=CARTESIAN_POINT('',(34.248,57.5,0.)); #458297=CARTESIAN_POINT('Origin',(34.248,58.625,0.)); #458298=CARTESIAN_POINT('',(34.248,58.625,0.)); #458299=CARTESIAN_POINT('',(34.248,58.625,0.)); #458300=CARTESIAN_POINT('',(34.248,58.625,0.035)); #458301=CARTESIAN_POINT('',(34.248,58.625,0.035)); #458302=CARTESIAN_POINT('',(34.248,58.625,0.)); #458303=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #458304=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #458305=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #458306=CARTESIAN_POINT('',(34.285197,58.714803,0.035)); #458307=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.035)); #458308=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #458309=CARTESIAN_POINT('Origin',(35.160197,59.589803,0.)); #458310=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #458311=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #458312=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #458313=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #458314=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #458315=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #458316=CARTESIAN_POINT('',(35.25,59.627,0.)); #458317=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #458318=CARTESIAN_POINT('',(35.25,59.627,0.035)); #458319=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.035)); #458320=CARTESIAN_POINT('',(35.25,59.627,0.)); #458321=CARTESIAN_POINT('Origin',(36.435394,59.627,0.)); #458322=CARTESIAN_POINT('',(36.435394,59.627,0.)); #458323=CARTESIAN_POINT('',(36.435394,59.627,0.)); #458324=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #458325=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #458326=CARTESIAN_POINT('',(36.435394,59.627,0.)); #458327=CARTESIAN_POINT('Origin',(36.8189,60.010506,0.)); #458328=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #458329=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #458330=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #458331=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #458332=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #458333=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.)); #458334=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #458335=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #458336=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #458337=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #458338=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #458339=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.)); #458340=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #458341=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #458342=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #458343=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #458344=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #458345=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.)); #458346=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #458347=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #458348=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #458349=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #458350=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #458351=CARTESIAN_POINT('Origin',(36.973106,59.8055,0.)); #458352=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #458353=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #458354=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #458355=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #458356=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #458357=CARTESIAN_POINT('Origin',(36.577803,59.410197,0.)); #458358=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #458359=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #458360=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #458361=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #458362=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #458363=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #458364=CARTESIAN_POINT('',(36.488,59.373,0.)); #458365=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #458366=CARTESIAN_POINT('',(36.488,59.373,0.035)); #458367=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.035)); #458368=CARTESIAN_POINT('',(36.488,59.373,0.)); #458369=CARTESIAN_POINT('Origin',(35.302606,59.373,0.)); #458370=CARTESIAN_POINT('',(35.302606,59.373,0.)); #458371=CARTESIAN_POINT('',(35.302606,59.373,0.)); #458372=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #458373=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #458374=CARTESIAN_POINT('',(35.302606,59.373,0.)); #458375=CARTESIAN_POINT('Origin',(34.502,58.572394,0.)); #458376=CARTESIAN_POINT('',(34.502,58.572394,0.)); #458377=CARTESIAN_POINT('',(34.502,58.572394,0.)); #458378=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #458379=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #458380=CARTESIAN_POINT('',(34.502,58.572394,0.)); #458381=CARTESIAN_POINT('Origin',(34.502,57.552606,0.)); #458382=CARTESIAN_POINT('',(34.502,57.552606,0.)); #458383=CARTESIAN_POINT('',(34.502,57.552606,0.)); #458384=CARTESIAN_POINT('',(34.502,57.552606,0.035)); #458385=CARTESIAN_POINT('',(34.502,57.552606,0.035)); #458386=CARTESIAN_POINT('',(34.502,57.552606,0.)); #458387=CARTESIAN_POINT('Origin',(34.839803,57.214803,0.)); #458388=CARTESIAN_POINT('',(34.839803,57.214803,0.)); #458389=CARTESIAN_POINT('',(34.839803,57.214803,0.)); #458390=CARTESIAN_POINT('',(34.839803,57.214803,0.035)); #458391=CARTESIAN_POINT('',(34.839803,57.214803,0.035)); #458392=CARTESIAN_POINT('',(34.839803,57.214803,0.)); #458393=CARTESIAN_POINT('Origin',(34.7499996912748,57.1250007497004,0.)); #458394=CARTESIAN_POINT('',(34.877,57.125,0.)); #458395=CARTESIAN_POINT('Origin',(34.7499996912748,57.1250007497004,0.)); #458396=CARTESIAN_POINT('',(34.877,57.125,0.035)); #458397=CARTESIAN_POINT('Origin',(34.7499996912748,57.1250007497004,0.035)); #458398=CARTESIAN_POINT('',(34.877,57.125,0.)); #458399=CARTESIAN_POINT('Origin',(34.877,56.743928,0.)); #458400=CARTESIAN_POINT('',(34.877,56.743928,0.)); #458401=CARTESIAN_POINT('',(34.877,56.743928,0.)); #458402=CARTESIAN_POINT('',(34.877,56.743928,0.035)); #458403=CARTESIAN_POINT('',(34.877,56.743928,0.035)); #458404=CARTESIAN_POINT('',(34.877,56.743928,0.)); #458405=CARTESIAN_POINT('Origin',(34.7499453752955,56.4995543105341,0.)); #458406=CARTESIAN_POINT('Origin',(34.7499453752955,56.4995543105341,0.)); #458407=CARTESIAN_POINT('Origin',(34.7499453752955,56.4995543105341,0.035)); #458408=CARTESIAN_POINT('Origin',(35.560986559323,58.7984910384459,0.035)); #458409=CARTESIAN_POINT('Origin',(35.560986559323,58.7984910384459,0.)); #458410=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #458411=CARTESIAN_POINT('',(39.11,15.75,0.)); #458412=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #458413=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #458414=CARTESIAN_POINT('',(39.11,15.75,-200.)); #458415=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #458416=CARTESIAN_POINT('Origin',(39.271644,15.475,0.)); #458417=CARTESIAN_POINT('',(39.271644,15.475,0.)); #458418=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #458419=CARTESIAN_POINT('',(39.271644,15.475,0.)); #458420=CARTESIAN_POINT('',(39.314397,15.481772,0.035)); #458421=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #458422=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #458423=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #458424=CARTESIAN_POINT('',(39.271644,15.475,0.)); #458425=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #458426=CARTESIAN_POINT('',(39.006072,15.623,0.)); #458427=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #458428=CARTESIAN_POINT('',(39.006072,15.623,0.035)); #458429=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.035)); #458430=CARTESIAN_POINT('',(39.006072,15.623,0.)); #458431=CARTESIAN_POINT('Origin',(37.7125,15.623,0.)); #458432=CARTESIAN_POINT('',(37.7125,15.623,0.)); #458433=CARTESIAN_POINT('',(37.7125,15.623,0.)); #458434=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #458435=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #458436=CARTESIAN_POINT('',(37.7125,15.623,0.)); #458437=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.)); #458438=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #458439=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #458440=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #458441=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #458442=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #458443=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.)); #458444=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #458445=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #458446=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #458447=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #458448=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #458449=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.)); #458450=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #458451=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #458452=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #458453=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #458454=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #458455=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.)); #458456=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #458457=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #458458=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #458459=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #458460=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #458461=CARTESIAN_POINT('Origin',(37.7125,15.877,0.)); #458462=CARTESIAN_POINT('',(37.7125,15.877,0.)); #458463=CARTESIAN_POINT('',(37.7125,15.877,0.)); #458464=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #458465=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #458466=CARTESIAN_POINT('',(37.7125,15.877,0.)); #458467=CARTESIAN_POINT('Origin',(39.006072,15.877,0.)); #458468=CARTESIAN_POINT('',(39.006072,15.877,0.)); #458469=CARTESIAN_POINT('',(39.006072,15.877,0.)); #458470=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #458471=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #458472=CARTESIAN_POINT('',(39.006072,15.877,0.)); #458473=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #458474=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #458475=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #458476=CARTESIAN_POINT('',(39.185603,16.018228,0.035)); #458477=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.035)); #458478=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #458479=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #458480=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #458481=CARTESIAN_POINT('Origin',(39.25,15.75,0.035)); #458482=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.035)); #458483=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.)); #458484=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.)); #458485=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #458486=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #458487=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #458488=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #458489=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #458490=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #458491=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #458492=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #458493=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.)); #458494=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #458495=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #458496=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #458497=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #458498=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #458499=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.)); #458500=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #458501=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #458502=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #458503=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #458504=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #458505=CARTESIAN_POINT('Origin',(35.123,20.7125,0.)); #458506=CARTESIAN_POINT('',(35.123,20.7125,0.)); #458507=CARTESIAN_POINT('',(35.123,20.7125,0.)); #458508=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #458509=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #458510=CARTESIAN_POINT('',(35.123,20.7125,0.)); #458511=CARTESIAN_POINT('Origin',(35.123,21.908988,0.)); #458512=CARTESIAN_POINT('',(35.123,21.908988,0.)); #458513=CARTESIAN_POINT('',(35.123,21.908988,0.)); #458514=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #458515=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #458516=CARTESIAN_POINT('',(35.123,21.908988,0.)); #458517=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #458518=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #458519=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #458520=CARTESIAN_POINT('',(35.160197,21.998791,0.035)); #458521=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.035)); #458522=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #458523=CARTESIAN_POINT('Origin',(37.998,24.836594,0.)); #458524=CARTESIAN_POINT('',(37.998,24.836594,0.)); #458525=CARTESIAN_POINT('',(37.998,24.836594,0.)); #458526=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #458527=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #458528=CARTESIAN_POINT('',(37.998,24.836594,0.)); #458529=CARTESIAN_POINT('Origin',(37.998,30.811125,0.)); #458530=CARTESIAN_POINT('',(37.998,30.811125,0.)); #458531=CARTESIAN_POINT('',(37.998,30.811125,0.)); #458532=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #458533=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #458534=CARTESIAN_POINT('',(37.998,30.811125,0.)); #458535=CARTESIAN_POINT('Origin',(36.722697,32.086428,0.)); #458536=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #458537=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #458538=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #458539=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #458540=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #458541=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #458542=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #458543=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #458544=CARTESIAN_POINT('',(36.6855,32.176231,0.035)); #458545=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.035)); #458546=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #458547=CARTESIAN_POINT('Origin',(36.6855,34.501825,0.)); #458548=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #458549=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #458550=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #458551=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #458552=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #458553=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #458554=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #458555=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #458556=CARTESIAN_POINT('',(36.722697,34.591628,0.035)); #458557=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.035)); #458558=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #458559=CARTESIAN_POINT('Origin',(38.220872,36.089803,0.)); #458560=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #458561=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #458562=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #458563=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #458564=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #458565=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #458566=CARTESIAN_POINT('',(38.310675,36.127,0.)); #458567=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #458568=CARTESIAN_POINT('',(38.310675,36.127,0.035)); #458569=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.035)); #458570=CARTESIAN_POINT('',(38.310675,36.127,0.)); #458571=CARTESIAN_POINT('Origin',(40.258069,36.127,0.)); #458572=CARTESIAN_POINT('',(40.258069,36.127,0.)); #458573=CARTESIAN_POINT('',(40.258069,36.127,0.)); #458574=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #458575=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #458576=CARTESIAN_POINT('',(40.258069,36.127,0.)); #458577=CARTESIAN_POINT('Origin',(43.708872,39.577803,0.)); #458578=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #458579=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #458580=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #458581=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #458582=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #458583=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #458584=CARTESIAN_POINT('',(43.798675,39.615,0.)); #458585=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #458586=CARTESIAN_POINT('',(43.798675,39.615,0.035)); #458587=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.035)); #458588=CARTESIAN_POINT('',(43.798675,39.615,0.)); #458589=CARTESIAN_POINT('Origin',(47.197394,39.615,0.)); #458590=CARTESIAN_POINT('',(47.197394,39.615,0.)); #458591=CARTESIAN_POINT('',(47.197394,39.615,0.)); #458592=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #458593=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #458594=CARTESIAN_POINT('',(47.197394,39.615,0.)); #458595=CARTESIAN_POINT('Origin',(47.5555,39.973106,0.)); #458596=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #458597=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #458598=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #458599=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #458600=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #458601=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.)); #458602=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #458603=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #458604=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #458605=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #458606=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #458607=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.)); #458608=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #458609=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #458610=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #458611=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #458612=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #458613=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.)); #458614=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #458615=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #458616=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #458617=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #458618=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #458619=CARTESIAN_POINT('Origin',(47.760506,39.8189,0.)); #458620=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #458621=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #458622=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #458623=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #458624=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #458625=CARTESIAN_POINT('Origin',(47.339803,39.398197,0.)); #458626=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #458627=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #458628=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #458629=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #458630=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #458631=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #458632=CARTESIAN_POINT('',(47.25,39.361,0.)); #458633=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #458634=CARTESIAN_POINT('',(47.25,39.361,0.035)); #458635=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.035)); #458636=CARTESIAN_POINT('',(47.25,39.361,0.)); #458637=CARTESIAN_POINT('Origin',(43.851281,39.361,0.)); #458638=CARTESIAN_POINT('',(43.851281,39.361,0.)); #458639=CARTESIAN_POINT('',(43.851281,39.361,0.)); #458640=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #458641=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #458642=CARTESIAN_POINT('',(43.851281,39.361,0.)); #458643=CARTESIAN_POINT('Origin',(40.400478,35.910197,0.)); #458644=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #458645=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #458646=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #458647=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #458648=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #458649=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #458650=CARTESIAN_POINT('',(40.310675,35.873,0.)); #458651=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #458652=CARTESIAN_POINT('',(40.310675,35.873,0.035)); #458653=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.035)); #458654=CARTESIAN_POINT('',(40.310675,35.873,0.)); #458655=CARTESIAN_POINT('Origin',(38.363281,35.873,0.)); #458656=CARTESIAN_POINT('',(38.363281,35.873,0.)); #458657=CARTESIAN_POINT('',(38.363281,35.873,0.)); #458658=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #458659=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #458660=CARTESIAN_POINT('',(38.363281,35.873,0.)); #458661=CARTESIAN_POINT('Origin',(36.9395,34.449219,0.)); #458662=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #458663=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #458664=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #458665=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #458666=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #458667=CARTESIAN_POINT('Origin',(36.9395,32.228838,0.)); #458668=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #458669=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #458670=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #458671=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #458672=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #458673=CARTESIAN_POINT('Origin',(38.214803,30.953534,0.)); #458674=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #458675=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #458676=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #458677=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #458678=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #458679=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #458680=CARTESIAN_POINT('',(38.252,30.863731,0.)); #458681=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #458682=CARTESIAN_POINT('',(38.252,30.863731,0.035)); #458683=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.035)); #458684=CARTESIAN_POINT('',(38.252,30.863731,0.)); #458685=CARTESIAN_POINT('Origin',(38.252,24.783988,0.)); #458686=CARTESIAN_POINT('',(38.252,24.783988,0.)); #458687=CARTESIAN_POINT('',(38.252,24.783988,0.)); #458688=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #458689=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #458690=CARTESIAN_POINT('',(38.252,24.783988,0.)); #458691=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #458692=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #458693=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #458694=CARTESIAN_POINT('',(38.214803,24.694184,0.035)); #458695=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.035)); #458696=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #458697=CARTESIAN_POINT('Origin',(35.377,21.856381,0.)); #458698=CARTESIAN_POINT('',(35.377,21.856381,0.)); #458699=CARTESIAN_POINT('',(35.377,21.856381,0.)); #458700=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #458701=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #458702=CARTESIAN_POINT('',(35.377,21.856381,0.)); #458703=CARTESIAN_POINT('Origin',(35.377,20.7125,0.)); #458704=CARTESIAN_POINT('',(35.377,20.7125,0.)); #458705=CARTESIAN_POINT('',(35.377,20.7125,0.)); #458706=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #458707=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #458708=CARTESIAN_POINT('',(35.377,20.7125,0.)); #458709=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.)); #458710=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #458711=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #458712=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.035)); #458713=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.)); #458714=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.)); #458715=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #458716=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #458717=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #458718=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #458719=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #458720=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #458721=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #458722=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #458723=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.)); #458724=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #458725=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #458726=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #458727=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #458728=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #458729=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.)); #458730=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #458731=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #458732=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #458733=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #458734=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #458735=CARTESIAN_POINT('Origin',(31.623,20.7125,0.)); #458736=CARTESIAN_POINT('',(31.623,20.7125,0.)); #458737=CARTESIAN_POINT('',(31.623,20.7125,0.)); #458738=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #458739=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #458740=CARTESIAN_POINT('',(31.623,20.7125,0.)); #458741=CARTESIAN_POINT('Origin',(31.623,21.856381,0.)); #458742=CARTESIAN_POINT('',(31.623,21.856381,0.)); #458743=CARTESIAN_POINT('',(31.623,21.856381,0.)); #458744=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #458745=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #458746=CARTESIAN_POINT('',(31.623,21.856381,0.)); #458747=CARTESIAN_POINT('Origin',(29.785197,23.694184,0.)); #458748=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #458749=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #458750=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #458751=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #458752=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #458753=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #458754=CARTESIAN_POINT('',(29.748,23.783988,0.)); #458755=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #458756=CARTESIAN_POINT('',(29.748,23.783988,0.035)); #458757=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.035)); #458758=CARTESIAN_POINT('',(29.748,23.783988,0.)); #458759=CARTESIAN_POINT('Origin',(29.748,31.125,0.)); #458760=CARTESIAN_POINT('',(29.748,31.125,0.)); #458761=CARTESIAN_POINT('',(29.748,31.125,0.)); #458762=CARTESIAN_POINT('',(29.748,31.125,0.035)); #458763=CARTESIAN_POINT('',(29.748,31.125,0.035)); #458764=CARTESIAN_POINT('',(29.748,31.125,0.)); #458765=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #458766=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #458767=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #458768=CARTESIAN_POINT('',(29.785197,31.214803,0.035)); #458769=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.035)); #458770=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #458771=CARTESIAN_POINT('Origin',(31.0605,32.490106,0.)); #458772=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #458773=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #458774=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #458775=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #458776=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #458777=CARTESIAN_POINT('Origin',(31.0605,34.449219,0.)); #458778=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #458779=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #458780=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #458781=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #458782=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #458783=CARTESIAN_POINT('Origin',(29.636719,35.873,0.)); #458784=CARTESIAN_POINT('',(29.636719,35.873,0.)); #458785=CARTESIAN_POINT('',(29.636719,35.873,0.)); #458786=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #458787=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #458788=CARTESIAN_POINT('',(29.636719,35.873,0.)); #458789=CARTESIAN_POINT('Origin',(27.689325,35.873,0.)); #458790=CARTESIAN_POINT('',(27.689325,35.873,0.)); #458791=CARTESIAN_POINT('',(27.689325,35.873,0.)); #458792=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #458793=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #458794=CARTESIAN_POINT('',(27.689325,35.873,0.)); #458795=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #458796=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #458797=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #458798=CARTESIAN_POINT('',(27.599522,35.910197,0.035)); #458799=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.035)); #458800=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #458801=CARTESIAN_POINT('Origin',(24.148719,39.361,0.)); #458802=CARTESIAN_POINT('',(24.148719,39.361,0.)); #458803=CARTESIAN_POINT('',(24.148719,39.361,0.)); #458804=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #458805=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #458806=CARTESIAN_POINT('',(24.148719,39.361,0.)); #458807=CARTESIAN_POINT('Origin',(20.75,39.361,0.)); #458808=CARTESIAN_POINT('',(20.75,39.361,0.)); #458809=CARTESIAN_POINT('',(20.75,39.361,0.)); #458810=CARTESIAN_POINT('',(20.75,39.361,0.035)); #458811=CARTESIAN_POINT('',(20.75,39.361,0.035)); #458812=CARTESIAN_POINT('',(20.75,39.361,0.)); #458813=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #458814=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #458815=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #458816=CARTESIAN_POINT('',(20.660197,39.398197,0.035)); #458817=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.035)); #458818=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #458819=CARTESIAN_POINT('Origin',(20.239494,39.8189,0.)); #458820=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #458821=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #458822=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #458823=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #458824=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #458825=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.)); #458826=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #458827=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #458828=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #458829=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #458830=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #458831=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.)); #458832=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #458833=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #458834=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #458835=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #458836=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #458837=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.)); #458838=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #458839=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #458840=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #458841=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #458842=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #458843=CARTESIAN_POINT('Origin',(20.4445,39.973106,0.)); #458844=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #458845=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #458846=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #458847=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #458848=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #458849=CARTESIAN_POINT('Origin',(20.802606,39.615,0.)); #458850=CARTESIAN_POINT('',(20.802606,39.615,0.)); #458851=CARTESIAN_POINT('',(20.802606,39.615,0.)); #458852=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #458853=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #458854=CARTESIAN_POINT('',(20.802606,39.615,0.)); #458855=CARTESIAN_POINT('Origin',(24.201325,39.615,0.)); #458856=CARTESIAN_POINT('',(24.201325,39.615,0.)); #458857=CARTESIAN_POINT('',(24.201325,39.615,0.)); #458858=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #458859=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #458860=CARTESIAN_POINT('',(24.201325,39.615,0.)); #458861=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #458862=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #458863=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #458864=CARTESIAN_POINT('',(24.291128,39.577803,0.035)); #458865=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.035)); #458866=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #458867=CARTESIAN_POINT('Origin',(27.741931,36.127,0.)); #458868=CARTESIAN_POINT('',(27.741931,36.127,0.)); #458869=CARTESIAN_POINT('',(27.741931,36.127,0.)); #458870=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #458871=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #458872=CARTESIAN_POINT('',(27.741931,36.127,0.)); #458873=CARTESIAN_POINT('Origin',(29.689325,36.127,0.)); #458874=CARTESIAN_POINT('',(29.689325,36.127,0.)); #458875=CARTESIAN_POINT('',(29.689325,36.127,0.)); #458876=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #458877=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #458878=CARTESIAN_POINT('',(29.689325,36.127,0.)); #458879=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #458880=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #458881=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #458882=CARTESIAN_POINT('',(29.779128,36.089803,0.035)); #458883=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.035)); #458884=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #458885=CARTESIAN_POINT('Origin',(31.277303,34.591628,0.)); #458886=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #458887=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #458888=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #458889=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #458890=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #458891=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #458892=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #458893=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #458894=CARTESIAN_POINT('',(31.3145,34.501825,0.035)); #458895=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.035)); #458896=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #458897=CARTESIAN_POINT('Origin',(31.3145,32.4375,0.)); #458898=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #458899=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #458900=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #458901=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #458902=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #458903=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #458904=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #458905=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #458906=CARTESIAN_POINT('',(31.277303,32.347697,0.035)); #458907=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.035)); #458908=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #458909=CARTESIAN_POINT('Origin',(30.002,31.072394,0.)); #458910=CARTESIAN_POINT('',(30.002,31.072394,0.)); #458911=CARTESIAN_POINT('',(30.002,31.072394,0.)); #458912=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #458913=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #458914=CARTESIAN_POINT('',(30.002,31.072394,0.)); #458915=CARTESIAN_POINT('Origin',(30.002,23.836594,0.)); #458916=CARTESIAN_POINT('',(30.002,23.836594,0.)); #458917=CARTESIAN_POINT('',(30.002,23.836594,0.)); #458918=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #458919=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #458920=CARTESIAN_POINT('',(30.002,23.836594,0.)); #458921=CARTESIAN_POINT('Origin',(31.839803,21.998791,0.)); #458922=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #458923=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #458924=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #458925=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #458926=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #458927=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #458928=CARTESIAN_POINT('',(31.877,21.908988,0.)); #458929=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #458930=CARTESIAN_POINT('',(31.877,21.908988,0.035)); #458931=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.035)); #458932=CARTESIAN_POINT('',(31.877,21.908988,0.)); #458933=CARTESIAN_POINT('Origin',(31.877,20.7125,0.)); #458934=CARTESIAN_POINT('',(31.877,20.7125,0.)); #458935=CARTESIAN_POINT('',(31.877,20.7125,0.)); #458936=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #458937=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #458938=CARTESIAN_POINT('',(31.877,20.7125,0.)); #458939=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.)); #458940=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #458941=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #458942=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.035)); #458943=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.)); #458944=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.)); #458945=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #458946=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #458947=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #458948=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #458949=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #458950=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #458951=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #458952=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #458953=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.)); #458954=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #458955=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #458956=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #458957=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #458958=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #458959=CARTESIAN_POINT('Origin',(30.2875,14.123,0.)); #458960=CARTESIAN_POINT('',(30.2875,14.123,0.)); #458961=CARTESIAN_POINT('',(30.2875,14.123,0.)); #458962=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #458963=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #458964=CARTESIAN_POINT('',(30.2875,14.123,0.)); #458965=CARTESIAN_POINT('Origin',(29.00365,14.123,0.)); #458966=CARTESIAN_POINT('',(29.00365,14.123,0.)); #458967=CARTESIAN_POINT('',(29.00365,14.123,0.)); #458968=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #458969=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #458970=CARTESIAN_POINT('',(29.00365,14.123,0.)); #458971=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #458972=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #458973=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #458974=CARTESIAN_POINT('',(28.913847,14.160197,0.035)); #458975=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.035)); #458976=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #458977=CARTESIAN_POINT('Origin',(25.951044,17.123,0.)); #458978=CARTESIAN_POINT('',(25.951044,17.123,0.)); #458979=CARTESIAN_POINT('',(25.951044,17.123,0.)); #458980=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #458981=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #458982=CARTESIAN_POINT('',(25.951044,17.123,0.)); #458983=CARTESIAN_POINT('Origin',(22.512,17.123,0.)); #458984=CARTESIAN_POINT('',(22.512,17.123,0.)); #458985=CARTESIAN_POINT('',(22.512,17.123,0.)); #458986=CARTESIAN_POINT('',(22.512,17.123,0.035)); #458987=CARTESIAN_POINT('',(22.512,17.123,0.035)); #458988=CARTESIAN_POINT('',(22.512,17.123,0.)); #458989=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #458990=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #458991=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #458992=CARTESIAN_POINT('',(22.422197,17.160197,0.035)); #458993=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.035)); #458994=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #458995=CARTESIAN_POINT('Origin',(22.026894,17.5555,0.)); #458996=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #458997=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #458998=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #458999=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #459000=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #459001=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.)); #459002=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #459003=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #459004=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #459005=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #459006=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #459007=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.)); #459008=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #459009=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #459010=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #459011=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #459012=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #459013=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.)); #459014=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #459015=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #459016=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #459017=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #459018=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #459019=CARTESIAN_POINT('Origin',(22.1811,17.760506,0.)); #459020=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #459021=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #459022=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #459023=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #459024=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #459025=CARTESIAN_POINT('Origin',(22.564606,17.377,0.)); #459026=CARTESIAN_POINT('',(22.564606,17.377,0.)); #459027=CARTESIAN_POINT('',(22.564606,17.377,0.)); #459028=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #459029=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #459030=CARTESIAN_POINT('',(22.564606,17.377,0.)); #459031=CARTESIAN_POINT('Origin',(26.00365,17.377,0.)); #459032=CARTESIAN_POINT('',(26.00365,17.377,0.)); #459033=CARTESIAN_POINT('',(26.00365,17.377,0.)); #459034=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #459035=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #459036=CARTESIAN_POINT('',(26.00365,17.377,0.)); #459037=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #459038=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #459039=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #459040=CARTESIAN_POINT('',(26.093453,17.339803,0.035)); #459041=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.035)); #459042=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #459043=CARTESIAN_POINT('Origin',(29.056256,14.377,0.)); #459044=CARTESIAN_POINT('',(29.056256,14.377,0.)); #459045=CARTESIAN_POINT('',(29.056256,14.377,0.)); #459046=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #459047=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #459048=CARTESIAN_POINT('',(29.056256,14.377,0.)); #459049=CARTESIAN_POINT('Origin',(30.2875,14.377,0.)); #459050=CARTESIAN_POINT('',(30.2875,14.377,0.)); #459051=CARTESIAN_POINT('',(30.2875,14.377,0.)); #459052=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #459053=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #459054=CARTESIAN_POINT('',(30.2875,14.377,0.)); #459055=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.)); #459056=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #459057=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #459058=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #459059=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #459060=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #459061=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.)); #459062=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #459063=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #459064=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.035)); #459065=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.)); #459066=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #459067=CARTESIAN_POINT('',(30.36,10.5,0.)); #459068=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #459069=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #459070=CARTESIAN_POINT('',(30.36,10.5,-200.)); #459071=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #459072=CARTESIAN_POINT('Origin',(30.521644,10.225,0.)); #459073=CARTESIAN_POINT('',(30.521644,10.225,0.)); #459074=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #459075=CARTESIAN_POINT('',(30.521644,10.225,0.)); #459076=CARTESIAN_POINT('',(30.564397,10.231772,0.035)); #459077=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #459078=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #459079=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #459080=CARTESIAN_POINT('',(30.521644,10.225,0.)); #459081=CARTESIAN_POINT('Origin',(30.500666927461,10.4996305438086,0.)); #459082=CARTESIAN_POINT('',(30.256072,10.373,0.)); #459083=CARTESIAN_POINT('Origin',(30.500666927461,10.4996305438086,0.)); #459084=CARTESIAN_POINT('',(30.256072,10.373,0.035)); #459085=CARTESIAN_POINT('Origin',(30.500666927461,10.4996305438086,0.035)); #459086=CARTESIAN_POINT('',(30.256072,10.373,0.)); #459087=CARTESIAN_POINT('Origin',(29.75,10.373,0.)); #459088=CARTESIAN_POINT('',(29.75,10.373,0.)); #459089=CARTESIAN_POINT('',(29.75,10.373,0.)); #459090=CARTESIAN_POINT('',(29.75,10.373,0.035)); #459091=CARTESIAN_POINT('',(29.75,10.373,0.035)); #459092=CARTESIAN_POINT('',(29.75,10.373,0.)); #459093=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #459094=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #459095=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #459096=CARTESIAN_POINT('',(29.660197,10.410197,0.035)); #459097=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.035)); #459098=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #459099=CARTESIAN_POINT('Origin',(25.947394,14.123,0.)); #459100=CARTESIAN_POINT('',(25.947394,14.123,0.)); #459101=CARTESIAN_POINT('',(25.947394,14.123,0.)); #459102=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #459103=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #459104=CARTESIAN_POINT('',(25.947394,14.123,0.)); #459105=CARTESIAN_POINT('Origin',(22.512,14.123,0.)); #459106=CARTESIAN_POINT('',(22.512,14.123,0.)); #459107=CARTESIAN_POINT('',(22.512,14.123,0.)); #459108=CARTESIAN_POINT('',(22.512,14.123,0.035)); #459109=CARTESIAN_POINT('',(22.512,14.123,0.035)); #459110=CARTESIAN_POINT('',(22.512,14.123,0.)); #459111=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #459112=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #459113=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #459114=CARTESIAN_POINT('',(22.422197,14.160197,0.035)); #459115=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.035)); #459116=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #459117=CARTESIAN_POINT('Origin',(22.026894,14.5555,0.)); #459118=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #459119=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #459120=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #459121=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #459122=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #459123=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.)); #459124=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #459125=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #459126=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #459127=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #459128=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #459129=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.)); #459130=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #459131=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #459132=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #459133=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #459134=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #459135=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.)); #459136=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #459137=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #459138=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #459139=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #459140=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #459141=CARTESIAN_POINT('Origin',(22.1811,14.760506,0.)); #459142=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #459143=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #459144=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #459145=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #459146=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #459147=CARTESIAN_POINT('Origin',(22.564606,14.377,0.)); #459148=CARTESIAN_POINT('',(22.564606,14.377,0.)); #459149=CARTESIAN_POINT('',(22.564606,14.377,0.)); #459150=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #459151=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #459152=CARTESIAN_POINT('',(22.564606,14.377,0.)); #459153=CARTESIAN_POINT('Origin',(26.,14.377,0.)); #459154=CARTESIAN_POINT('',(26.,14.377,0.)); #459155=CARTESIAN_POINT('',(26.,14.377,0.)); #459156=CARTESIAN_POINT('',(26.,14.377,0.035)); #459157=CARTESIAN_POINT('',(26.,14.377,0.035)); #459158=CARTESIAN_POINT('',(26.,14.377,0.)); #459159=CARTESIAN_POINT('Origin',(26.0000007497004,14.2499996912748,0.)); #459160=CARTESIAN_POINT('',(26.089803,14.339803,0.)); #459161=CARTESIAN_POINT('Origin',(26.0000007497004,14.2499996912748,0.)); #459162=CARTESIAN_POINT('',(26.089803,14.339803,0.035)); #459163=CARTESIAN_POINT('Origin',(26.0000007497004,14.2499996912748,0.035)); #459164=CARTESIAN_POINT('',(26.089803,14.339803,0.)); #459165=CARTESIAN_POINT('Origin',(29.802606,10.627,0.)); #459166=CARTESIAN_POINT('',(29.802606,10.627,0.)); #459167=CARTESIAN_POINT('',(29.802606,10.627,0.)); #459168=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #459169=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #459170=CARTESIAN_POINT('',(29.802606,10.627,0.)); #459171=CARTESIAN_POINT('Origin',(30.256072,10.627,0.)); #459172=CARTESIAN_POINT('',(30.256072,10.627,0.)); #459173=CARTESIAN_POINT('',(30.256072,10.627,0.)); #459174=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #459175=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #459176=CARTESIAN_POINT('',(30.256072,10.627,0.)); #459177=CARTESIAN_POINT('Origin',(30.5007991253016,10.5006246242954,0.)); #459178=CARTESIAN_POINT('',(30.435603,10.768228,0.)); #459179=CARTESIAN_POINT('Origin',(30.5007991253016,10.5006246242954,0.)); #459180=CARTESIAN_POINT('',(30.435603,10.768228,0.035)); #459181=CARTESIAN_POINT('Origin',(30.5007991253016,10.5006246242954,0.035)); #459182=CARTESIAN_POINT('',(30.435603,10.768228,0.)); #459183=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #459184=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #459185=CARTESIAN_POINT('Origin',(30.5,10.5,0.035)); #459186=CARTESIAN_POINT('Origin',(26.0373909685137,13.1595230626373,0.035)); #459187=CARTESIAN_POINT('Origin',(26.0373909685137,13.1595230626373,0.)); #459188=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #459189=CARTESIAN_POINT('',(36.36,10.5,0.)); #459190=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #459191=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #459192=CARTESIAN_POINT('',(36.36,10.5,-200.)); #459193=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #459194=CARTESIAN_POINT('Origin',(36.521644,10.225,0.)); #459195=CARTESIAN_POINT('',(36.521644,10.225,0.)); #459196=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #459197=CARTESIAN_POINT('',(36.521644,10.225,0.)); #459198=CARTESIAN_POINT('',(36.564397,10.231772,0.035)); #459199=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #459200=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #459201=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #459202=CARTESIAN_POINT('',(36.521644,10.225,0.)); #459203=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #459204=CARTESIAN_POINT('',(36.373,10.743928,0.)); #459205=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #459206=CARTESIAN_POINT('',(36.373,10.743928,0.035)); #459207=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.035)); #459208=CARTESIAN_POINT('',(36.373,10.743928,0.)); #459209=CARTESIAN_POINT('Origin',(36.373,12.697394,0.)); #459210=CARTESIAN_POINT('',(36.373,12.697394,0.)); #459211=CARTESIAN_POINT('',(36.373,12.697394,0.)); #459212=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #459213=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #459214=CARTESIAN_POINT('',(36.373,12.697394,0.)); #459215=CARTESIAN_POINT('Origin',(36.160197,12.910197,0.)); #459216=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #459217=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #459218=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #459219=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #459220=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #459221=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #459222=CARTESIAN_POINT('',(36.123,13.,0.)); #459223=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #459224=CARTESIAN_POINT('',(36.123,13.,0.035)); #459225=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.035)); #459226=CARTESIAN_POINT('',(36.123,13.,0.)); #459227=CARTESIAN_POINT('Origin',(36.123,13.2875,0.)); #459228=CARTESIAN_POINT('',(36.123,13.2875,0.)); #459229=CARTESIAN_POINT('',(36.123,13.2875,0.)); #459230=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #459231=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #459232=CARTESIAN_POINT('',(36.123,13.2875,0.)); #459233=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.)); #459234=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #459235=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #459236=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #459237=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #459238=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #459239=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.)); #459240=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #459241=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #459242=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #459243=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #459244=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #459245=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.)); #459246=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #459247=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #459248=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #459249=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #459250=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #459251=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.)); #459252=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #459253=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #459254=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #459255=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #459256=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #459257=CARTESIAN_POINT('Origin',(36.377,13.2875,0.)); #459258=CARTESIAN_POINT('',(36.377,13.2875,0.)); #459259=CARTESIAN_POINT('',(36.377,13.2875,0.)); #459260=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #459261=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #459262=CARTESIAN_POINT('',(36.377,13.2875,0.)); #459263=CARTESIAN_POINT('Origin',(36.377,13.052606,0.)); #459264=CARTESIAN_POINT('',(36.377,13.052606,0.)); #459265=CARTESIAN_POINT('',(36.377,13.052606,0.)); #459266=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #459267=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #459268=CARTESIAN_POINT('',(36.377,13.052606,0.)); #459269=CARTESIAN_POINT('Origin',(36.589803,12.839803,0.)); #459270=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #459271=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #459272=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #459273=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #459274=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #459275=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #459276=CARTESIAN_POINT('',(36.627,12.75,0.)); #459277=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #459278=CARTESIAN_POINT('',(36.627,12.75,0.035)); #459279=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.035)); #459280=CARTESIAN_POINT('',(36.627,12.75,0.)); #459281=CARTESIAN_POINT('Origin',(36.627,10.743928,0.)); #459282=CARTESIAN_POINT('',(36.627,10.743928,0.)); #459283=CARTESIAN_POINT('',(36.627,10.743928,0.)); #459284=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #459285=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #459286=CARTESIAN_POINT('',(36.627,10.743928,0.)); #459287=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #459288=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #459289=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.035)); #459290=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.035)); #459291=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.)); #459292=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #459293=CARTESIAN_POINT('',(38.61,36.75,0.)); #459294=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #459295=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #459296=CARTESIAN_POINT('',(38.61,36.75,-200.)); #459297=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #459298=CARTESIAN_POINT('Origin',(38.771644,36.475,0.)); #459299=CARTESIAN_POINT('',(38.771644,36.475,0.)); #459300=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #459301=CARTESIAN_POINT('',(38.771644,36.475,0.)); #459302=CARTESIAN_POINT('',(38.814397,36.481772,0.035)); #459303=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #459304=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #459305=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #459306=CARTESIAN_POINT('',(38.771644,36.475,0.)); #459307=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #459308=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #459309=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #459310=CARTESIAN_POINT('',(38.495147,36.644438,0.035)); #459311=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.035)); #459312=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #459313=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #459314=CARTESIAN_POINT('',(38.993928,36.877,0.)); #459315=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #459316=CARTESIAN_POINT('',(38.993928,36.877,0.035)); #459317=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.035)); #459318=CARTESIAN_POINT('',(38.993928,36.877,0.)); #459319=CARTESIAN_POINT('Origin',(40.477731,36.877,0.)); #459320=CARTESIAN_POINT('',(40.477731,36.877,0.)); #459321=CARTESIAN_POINT('',(40.477731,36.877,0.)); #459322=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #459323=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #459324=CARTESIAN_POINT('',(40.477731,36.877,0.)); #459325=CARTESIAN_POINT('Origin',(43.5555,39.954769,0.)); #459326=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #459327=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #459328=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #459329=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #459330=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #459331=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.)); #459332=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #459333=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #459334=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #459335=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #459336=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #459337=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.)); #459338=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #459339=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #459340=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #459341=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #459342=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #459343=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.)); #459344=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #459345=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #459346=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #459347=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #459348=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #459349=CARTESIAN_POINT('Origin',(43.778844,39.8189,0.)); #459350=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #459351=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #459352=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #459353=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #459354=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #459355=CARTESIAN_POINT('Origin',(40.620141,36.660197,0.)); #459356=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #459357=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #459358=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #459359=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #459360=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #459361=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #459362=CARTESIAN_POINT('',(40.530338,36.623,0.)); #459363=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #459364=CARTESIAN_POINT('',(40.530338,36.623,0.035)); #459365=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.035)); #459366=CARTESIAN_POINT('',(40.530338,36.623,0.)); #459367=CARTESIAN_POINT('Origin',(38.993928,36.623,0.)); #459368=CARTESIAN_POINT('',(38.993928,36.623,0.)); #459369=CARTESIAN_POINT('',(38.993928,36.623,0.)); #459370=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #459371=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #459372=CARTESIAN_POINT('',(38.993928,36.623,0.)); #459373=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #459374=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #459375=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.035)); #459376=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.035)); #459377=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.)); #459378=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #459379=CARTESIAN_POINT('',(37.0475,36.75,0.)); #459380=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #459381=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #459382=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #459383=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #459384=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.)); #459385=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #459386=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #459387=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #459388=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #459389=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #459390=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #459391=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #459392=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #459393=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.)); #459394=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #459395=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #459396=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #459397=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #459398=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #459399=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.)); #459400=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #459401=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #459402=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #459403=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #459404=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #459405=CARTESIAN_POINT('Origin',(33.623,20.7125,0.)); #459406=CARTESIAN_POINT('',(33.623,20.7125,0.)); #459407=CARTESIAN_POINT('',(33.623,20.7125,0.)); #459408=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #459409=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #459410=CARTESIAN_POINT('',(33.623,20.7125,0.)); #459411=CARTESIAN_POINT('Origin',(33.623,22.,0.)); #459412=CARTESIAN_POINT('',(33.623,22.,0.)); #459413=CARTESIAN_POINT('',(33.623,22.,0.)); #459414=CARTESIAN_POINT('',(33.623,22.,0.035)); #459415=CARTESIAN_POINT('',(33.623,22.,0.035)); #459416=CARTESIAN_POINT('',(33.623,22.,0.)); #459417=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #459418=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #459419=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #459420=CARTESIAN_POINT('',(33.660197,22.089803,0.035)); #459421=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.035)); #459422=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #459423=CARTESIAN_POINT('Origin',(34.9355,23.365106,0.)); #459424=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #459425=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #459426=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #459427=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #459428=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #459429=CARTESIAN_POINT('Origin',(34.9355,34.625,0.)); #459430=CARTESIAN_POINT('',(34.9355,34.625,0.)); #459431=CARTESIAN_POINT('',(34.9355,34.625,0.)); #459432=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #459433=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #459434=CARTESIAN_POINT('',(34.9355,34.625,0.)); #459435=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #459436=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #459437=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #459438=CARTESIAN_POINT('',(34.972697,34.714803,0.035)); #459439=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.035)); #459440=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #459441=CARTESIAN_POINT('Origin',(36.925213,36.667319,0.)); #459442=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #459443=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #459444=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #459445=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #459446=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #459447=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #459448=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #459449=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #459450=CARTESIAN_POINT('',(37.293063,37.004853,0.035)); #459451=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.035)); #459452=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #459453=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #459454=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #459455=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #459456=CARTESIAN_POINT('',(37.104819,36.487713,0.035)); #459457=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.035)); #459458=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #459459=CARTESIAN_POINT('Origin',(35.1895,34.572394,0.)); #459460=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #459461=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #459462=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #459463=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #459464=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #459465=CARTESIAN_POINT('Origin',(35.1895,23.3125,0.)); #459466=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #459467=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #459468=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #459469=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #459470=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #459471=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #459472=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #459473=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #459474=CARTESIAN_POINT('',(35.152303,23.222697,0.035)); #459475=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.035)); #459476=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #459477=CARTESIAN_POINT('Origin',(33.877,21.947394,0.)); #459478=CARTESIAN_POINT('',(33.877,21.947394,0.)); #459479=CARTESIAN_POINT('',(33.877,21.947394,0.)); #459480=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #459481=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #459482=CARTESIAN_POINT('',(33.877,21.947394,0.)); #459483=CARTESIAN_POINT('Origin',(33.877,20.7125,0.)); #459484=CARTESIAN_POINT('',(33.877,20.7125,0.)); #459485=CARTESIAN_POINT('',(33.877,20.7125,0.)); #459486=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #459487=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #459488=CARTESIAN_POINT('',(33.877,20.7125,0.)); #459489=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.)); #459490=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #459491=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #459492=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.035)); #459493=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.)); #459494=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #459495=CARTESIAN_POINT('',(29.11,36.75,0.)); #459496=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #459497=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #459498=CARTESIAN_POINT('',(29.11,36.75,-200.)); #459499=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #459500=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #459501=CARTESIAN_POINT('',(29.271644,36.475,0.)); #459502=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #459503=CARTESIAN_POINT('',(29.271644,36.475,0.)); #459504=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #459505=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #459506=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #459507=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #459508=CARTESIAN_POINT('',(29.271644,36.475,0.)); #459509=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #459510=CARTESIAN_POINT('',(29.006072,36.623,0.)); #459511=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #459512=CARTESIAN_POINT('',(29.006072,36.623,0.035)); #459513=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.035)); #459514=CARTESIAN_POINT('',(29.006072,36.623,0.)); #459515=CARTESIAN_POINT('Origin',(27.469663,36.623,0.)); #459516=CARTESIAN_POINT('',(27.469663,36.623,0.)); #459517=CARTESIAN_POINT('',(27.469663,36.623,0.)); #459518=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #459519=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #459520=CARTESIAN_POINT('',(27.469663,36.623,0.)); #459521=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #459522=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #459523=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #459524=CARTESIAN_POINT('',(27.379859,36.660197,0.035)); #459525=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.035)); #459526=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #459527=CARTESIAN_POINT('Origin',(24.221156,39.8189,0.)); #459528=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #459529=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #459530=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #459531=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #459532=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #459533=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.)); #459534=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #459535=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #459536=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #459537=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #459538=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #459539=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.)); #459540=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #459541=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #459542=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #459543=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #459544=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #459545=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.)); #459546=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #459547=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #459548=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #459549=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #459550=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #459551=CARTESIAN_POINT('Origin',(24.4445,39.954769,0.)); #459552=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #459553=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #459554=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #459555=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #459556=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #459557=CARTESIAN_POINT('Origin',(27.522269,36.877,0.)); #459558=CARTESIAN_POINT('',(27.522269,36.877,0.)); #459559=CARTESIAN_POINT('',(27.522269,36.877,0.)); #459560=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #459561=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #459562=CARTESIAN_POINT('',(27.522269,36.877,0.)); #459563=CARTESIAN_POINT('Origin',(29.006072,36.877,0.)); #459564=CARTESIAN_POINT('',(29.006072,36.877,0.)); #459565=CARTESIAN_POINT('',(29.006072,36.877,0.)); #459566=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #459567=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #459568=CARTESIAN_POINT('',(29.006072,36.877,0.)); #459569=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #459570=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #459571=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #459572=CARTESIAN_POINT('',(29.185603,37.018228,0.035)); #459573=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.035)); #459574=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #459575=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #459576=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #459577=CARTESIAN_POINT('Origin',(29.25,36.75,0.035)); #459578=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.035)); #459579=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.)); #459580=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #459581=CARTESIAN_POINT('',(30.6725,36.75,0.)); #459582=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #459583=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #459584=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #459585=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #459586=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.)); #459587=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #459588=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #459589=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #459590=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #459591=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #459592=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #459593=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #459594=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #459595=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.)); #459596=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #459597=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #459598=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #459599=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #459600=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #459601=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.)); #459602=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #459603=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #459604=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #459605=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #459606=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #459607=CARTESIAN_POINT('Origin',(33.123,20.7125,0.)); #459608=CARTESIAN_POINT('',(33.123,20.7125,0.)); #459609=CARTESIAN_POINT('',(33.123,20.7125,0.)); #459610=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #459611=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #459612=CARTESIAN_POINT('',(33.123,20.7125,0.)); #459613=CARTESIAN_POINT('Origin',(33.123,21.947394,0.)); #459614=CARTESIAN_POINT('',(33.123,21.947394,0.)); #459615=CARTESIAN_POINT('',(33.123,21.947394,0.)); #459616=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #459617=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #459618=CARTESIAN_POINT('',(33.123,21.947394,0.)); #459619=CARTESIAN_POINT('Origin',(32.847697,22.222697,0.)); #459620=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #459621=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #459622=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #459623=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #459624=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #459625=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #459626=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #459627=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #459628=CARTESIAN_POINT('',(32.8105,22.3125,0.035)); #459629=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.035)); #459630=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #459631=CARTESIAN_POINT('Origin',(32.8105,34.572394,0.)); #459632=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #459633=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #459634=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #459635=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #459636=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #459637=CARTESIAN_POINT('Origin',(30.895181,36.487713,0.)); #459638=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #459639=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #459640=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #459641=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #459642=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #459643=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #459644=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #459645=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #459646=CARTESIAN_POINT('',(30.557647,36.855563,0.035)); #459647=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.035)); #459648=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #459649=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #459650=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #459651=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #459652=CARTESIAN_POINT('',(31.074788,36.667319,0.035)); #459653=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.035)); #459654=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #459655=CARTESIAN_POINT('Origin',(33.027303,34.714803,0.)); #459656=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #459657=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #459658=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #459659=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #459660=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #459661=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #459662=CARTESIAN_POINT('',(33.0645,34.625,0.)); #459663=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #459664=CARTESIAN_POINT('',(33.0645,34.625,0.035)); #459665=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.035)); #459666=CARTESIAN_POINT('',(33.0645,34.625,0.)); #459667=CARTESIAN_POINT('Origin',(33.0645,22.365106,0.)); #459668=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #459669=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #459670=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #459671=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #459672=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #459673=CARTESIAN_POINT('Origin',(33.339803,22.089803,0.)); #459674=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #459675=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #459676=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #459677=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #459678=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #459679=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #459680=CARTESIAN_POINT('',(33.377,22.,0.)); #459681=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #459682=CARTESIAN_POINT('',(33.377,22.,0.035)); #459683=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.035)); #459684=CARTESIAN_POINT('',(33.377,22.,0.)); #459685=CARTESIAN_POINT('Origin',(33.377,20.7125,0.)); #459686=CARTESIAN_POINT('',(33.377,20.7125,0.)); #459687=CARTESIAN_POINT('',(33.377,20.7125,0.)); #459688=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #459689=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #459690=CARTESIAN_POINT('',(33.377,20.7125,0.)); #459691=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.)); #459692=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #459693=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #459694=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.035)); #459695=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.)); #459696=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #459697=CARTESIAN_POINT('',(39.11,18.25,0.)); #459698=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #459699=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #459700=CARTESIAN_POINT('',(39.11,18.25,-200.)); #459701=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #459702=CARTESIAN_POINT('Origin',(39.271644,17.975,0.)); #459703=CARTESIAN_POINT('',(39.271644,17.975,0.)); #459704=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #459705=CARTESIAN_POINT('',(39.271644,17.975,0.)); #459706=CARTESIAN_POINT('',(39.314397,17.981772,0.035)); #459707=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #459708=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #459709=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #459710=CARTESIAN_POINT('',(39.271644,17.975,0.)); #459711=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #459712=CARTESIAN_POINT('',(39.006072,18.123,0.)); #459713=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #459714=CARTESIAN_POINT('',(39.006072,18.123,0.035)); #459715=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.035)); #459716=CARTESIAN_POINT('',(39.006072,18.123,0.)); #459717=CARTESIAN_POINT('Origin',(37.7125,18.123,0.)); #459718=CARTESIAN_POINT('',(37.7125,18.123,0.)); #459719=CARTESIAN_POINT('',(37.7125,18.123,0.)); #459720=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #459721=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #459722=CARTESIAN_POINT('',(37.7125,18.123,0.)); #459723=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.)); #459724=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #459725=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #459726=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #459727=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #459728=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #459729=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.)); #459730=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #459731=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #459732=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #459733=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #459734=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #459735=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.)); #459736=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #459737=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #459738=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #459739=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #459740=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #459741=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.)); #459742=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #459743=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #459744=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #459745=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #459746=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #459747=CARTESIAN_POINT('Origin',(37.7125,18.377,0.)); #459748=CARTESIAN_POINT('',(37.7125,18.377,0.)); #459749=CARTESIAN_POINT('',(37.7125,18.377,0.)); #459750=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #459751=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #459752=CARTESIAN_POINT('',(37.7125,18.377,0.)); #459753=CARTESIAN_POINT('Origin',(39.006072,18.377,0.)); #459754=CARTESIAN_POINT('',(39.006072,18.377,0.)); #459755=CARTESIAN_POINT('',(39.006072,18.377,0.)); #459756=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #459757=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #459758=CARTESIAN_POINT('',(39.006072,18.377,0.)); #459759=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #459760=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #459761=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #459762=CARTESIAN_POINT('',(39.185603,18.518228,0.035)); #459763=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.035)); #459764=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #459765=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #459766=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #459767=CARTESIAN_POINT('Origin',(39.25,18.25,0.035)); #459768=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.035)); #459769=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.)); #459770=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #459771=CARTESIAN_POINT('',(42.36,16.,0.)); #459772=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #459773=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #459774=CARTESIAN_POINT('',(42.36,16.,-200.)); #459775=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #459776=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #459777=CARTESIAN_POINT('',(42.521644,15.725,0.)); #459778=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #459779=CARTESIAN_POINT('',(42.521644,15.725,0.)); #459780=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #459781=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #459782=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #459783=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #459784=CARTESIAN_POINT('',(42.521644,15.725,0.)); #459785=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #459786=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #459787=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #459788=CARTESIAN_POINT('',(42.245147,15.894438,0.035)); #459789=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.035)); #459790=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #459791=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #459792=CARTESIAN_POINT('',(42.743928,16.127,0.)); #459793=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #459794=CARTESIAN_POINT('',(42.743928,16.127,0.035)); #459795=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.035)); #459796=CARTESIAN_POINT('',(42.743928,16.127,0.)); #459797=CARTESIAN_POINT('Origin',(43.1,16.127,0.)); #459798=CARTESIAN_POINT('',(43.1,16.127,0.)); #459799=CARTESIAN_POINT('',(43.1,16.127,0.)); #459800=CARTESIAN_POINT('',(43.1,16.127,0.035)); #459801=CARTESIAN_POINT('',(43.1,16.127,0.035)); #459802=CARTESIAN_POINT('',(43.1,16.127,0.)); #459803=CARTESIAN_POINT('Origin',(43.1,16.225,0.)); #459804=CARTESIAN_POINT('',(43.1,16.225,0.)); #459805=CARTESIAN_POINT('',(43.1,16.225,0.)); #459806=CARTESIAN_POINT('',(43.1,16.225,0.035)); #459807=CARTESIAN_POINT('',(43.1,16.225,0.035)); #459808=CARTESIAN_POINT('',(43.1,16.225,0.)); #459809=CARTESIAN_POINT('Origin',(43.9,16.225,0.)); #459810=CARTESIAN_POINT('',(43.9,16.225,0.)); #459811=CARTESIAN_POINT('',(43.9,16.225,0.)); #459812=CARTESIAN_POINT('',(43.9,16.225,0.035)); #459813=CARTESIAN_POINT('',(43.9,16.225,0.035)); #459814=CARTESIAN_POINT('',(43.9,16.225,0.)); #459815=CARTESIAN_POINT('Origin',(43.9,15.825,0.)); #459816=CARTESIAN_POINT('',(43.9,15.825,0.)); #459817=CARTESIAN_POINT('',(43.9,15.825,0.)); #459818=CARTESIAN_POINT('',(43.9,15.825,0.035)); #459819=CARTESIAN_POINT('',(43.9,15.825,0.035)); #459820=CARTESIAN_POINT('',(43.9,15.825,0.)); #459821=CARTESIAN_POINT('Origin',(43.1,15.825,0.)); #459822=CARTESIAN_POINT('',(43.1,15.825,0.)); #459823=CARTESIAN_POINT('',(43.1,15.825,0.)); #459824=CARTESIAN_POINT('',(43.1,15.825,0.035)); #459825=CARTESIAN_POINT('',(43.1,15.825,0.035)); #459826=CARTESIAN_POINT('',(43.1,15.825,0.)); #459827=CARTESIAN_POINT('Origin',(43.1,15.873,0.)); #459828=CARTESIAN_POINT('',(43.1,15.873,0.)); #459829=CARTESIAN_POINT('',(43.1,15.873,0.)); #459830=CARTESIAN_POINT('',(43.1,15.873,0.035)); #459831=CARTESIAN_POINT('',(43.1,15.873,0.035)); #459832=CARTESIAN_POINT('',(43.1,15.873,0.)); #459833=CARTESIAN_POINT('Origin',(42.743928,15.873,0.)); #459834=CARTESIAN_POINT('',(42.743928,15.873,0.)); #459835=CARTESIAN_POINT('',(42.743928,15.873,0.)); #459836=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #459837=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #459838=CARTESIAN_POINT('',(42.743928,15.873,0.)); #459839=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #459840=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #459841=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.035)); #459842=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.035)); #459843=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.)); #459844=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #459845=CARTESIAN_POINT('',(23.765,49.75,0.)); #459846=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #459847=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #459848=CARTESIAN_POINT('',(23.765,49.75,-200.)); #459849=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #459850=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.)); #459851=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #459852=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #459853=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #459854=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #459855=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #459856=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #459857=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #459858=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #459859=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.)); #459860=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #459861=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #459862=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #459863=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #459864=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #459865=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.)); #459866=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #459867=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #459868=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #459869=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #459870=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #459871=CARTESIAN_POINT('Origin',(20.251494,42.1811,0.)); #459872=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #459873=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #459874=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #459875=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #459876=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #459877=CARTESIAN_POINT('Origin',(20.660197,42.589803,0.)); #459878=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #459879=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #459880=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #459881=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #459882=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #459883=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #459884=CARTESIAN_POINT('',(20.75,42.627,0.)); #459885=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #459886=CARTESIAN_POINT('',(20.75,42.627,0.035)); #459887=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.035)); #459888=CARTESIAN_POINT('',(20.75,42.627,0.)); #459889=CARTESIAN_POINT('Origin',(24.197394,42.627,0.)); #459890=CARTESIAN_POINT('',(24.197394,42.627,0.)); #459891=CARTESIAN_POINT('',(24.197394,42.627,0.)); #459892=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #459893=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #459894=CARTESIAN_POINT('',(24.197394,42.627,0.)); #459895=CARTESIAN_POINT('Origin',(24.873,43.302606,0.)); #459896=CARTESIAN_POINT('',(24.873,43.302606,0.)); #459897=CARTESIAN_POINT('',(24.873,43.302606,0.)); #459898=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #459899=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #459900=CARTESIAN_POINT('',(24.873,43.302606,0.)); #459901=CARTESIAN_POINT('Origin',(24.873,49.197394,0.)); #459902=CARTESIAN_POINT('',(24.873,49.197394,0.)); #459903=CARTESIAN_POINT('',(24.873,49.197394,0.)); #459904=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #459905=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #459906=CARTESIAN_POINT('',(24.873,49.197394,0.)); #459907=CARTESIAN_POINT('Origin',(24.447394,49.623,0.)); #459908=CARTESIAN_POINT('',(24.447394,49.623,0.)); #459909=CARTESIAN_POINT('',(24.447394,49.623,0.)); #459910=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #459911=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #459912=CARTESIAN_POINT('',(24.447394,49.623,0.)); #459913=CARTESIAN_POINT('Origin',(24.148928,49.623,0.)); #459914=CARTESIAN_POINT('',(24.148928,49.623,0.)); #459915=CARTESIAN_POINT('',(24.148928,49.623,0.)); #459916=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #459917=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #459918=CARTESIAN_POINT('',(24.148928,49.623,0.)); #459919=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #459920=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #459921=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #459922=CARTESIAN_POINT('',(23.650147,49.644438,0.035)); #459923=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.035)); #459924=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #459925=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #459926=CARTESIAN_POINT('',(24.148928,49.877,0.)); #459927=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #459928=CARTESIAN_POINT('',(24.148928,49.877,0.035)); #459929=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.035)); #459930=CARTESIAN_POINT('',(24.148928,49.877,0.)); #459931=CARTESIAN_POINT('Origin',(24.5,49.877,0.)); #459932=CARTESIAN_POINT('',(24.5,49.877,0.)); #459933=CARTESIAN_POINT('',(24.5,49.877,0.)); #459934=CARTESIAN_POINT('',(24.5,49.877,0.035)); #459935=CARTESIAN_POINT('',(24.5,49.877,0.035)); #459936=CARTESIAN_POINT('',(24.5,49.877,0.)); #459937=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #459938=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #459939=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #459940=CARTESIAN_POINT('',(24.589803,49.839803,0.035)); #459941=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.035)); #459942=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #459943=CARTESIAN_POINT('Origin',(25.089803,49.339803,0.)); #459944=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #459945=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #459946=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #459947=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #459948=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #459949=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #459950=CARTESIAN_POINT('',(25.127,49.25,0.)); #459951=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #459952=CARTESIAN_POINT('',(25.127,49.25,0.035)); #459953=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.035)); #459954=CARTESIAN_POINT('',(25.127,49.25,0.)); #459955=CARTESIAN_POINT('Origin',(25.127,43.25,0.)); #459956=CARTESIAN_POINT('',(25.127,43.25,0.)); #459957=CARTESIAN_POINT('',(25.127,43.25,0.)); #459958=CARTESIAN_POINT('',(25.127,43.25,0.035)); #459959=CARTESIAN_POINT('',(25.127,43.25,0.035)); #459960=CARTESIAN_POINT('',(25.127,43.25,0.)); #459961=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #459962=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #459963=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #459964=CARTESIAN_POINT('',(25.089803,43.160197,0.035)); #459965=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.035)); #459966=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #459967=CARTESIAN_POINT('Origin',(24.339803,42.410197,0.)); #459968=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #459969=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #459970=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #459971=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #459972=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #459973=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #459974=CARTESIAN_POINT('',(24.25,42.373,0.)); #459975=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #459976=CARTESIAN_POINT('',(24.25,42.373,0.035)); #459977=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.035)); #459978=CARTESIAN_POINT('',(24.25,42.373,0.)); #459979=CARTESIAN_POINT('Origin',(20.802606,42.373,0.)); #459980=CARTESIAN_POINT('',(20.802606,42.373,0.)); #459981=CARTESIAN_POINT('',(20.802606,42.373,0.)); #459982=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #459983=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #459984=CARTESIAN_POINT('',(20.802606,42.373,0.)); #459985=CARTESIAN_POINT('Origin',(20.4445,42.014894,0.)); #459986=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #459987=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #459988=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.035)); #459989=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.)); #459990=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #459991=CARTESIAN_POINT('',(23.765,58.75,0.)); #459992=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #459993=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #459994=CARTESIAN_POINT('',(23.765,58.75,-200.)); #459995=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #459996=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.)); #459997=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #459998=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #459999=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #460000=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #460001=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #460002=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #460003=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #460004=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #460005=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.)); #460006=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #460007=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #460008=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #460009=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #460010=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #460011=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.)); #460012=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #460013=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #460014=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #460015=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #460016=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #460017=CARTESIAN_POINT('Origin',(24.281831,42.1811,0.)); #460018=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #460019=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #460020=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #460021=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #460022=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #460023=CARTESIAN_POINT('Origin',(25.248,43.147269,0.)); #460024=CARTESIAN_POINT('',(25.248,43.147269,0.)); #460025=CARTESIAN_POINT('',(25.248,43.147269,0.)); #460026=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #460027=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #460028=CARTESIAN_POINT('',(25.248,43.147269,0.)); #460029=CARTESIAN_POINT('Origin',(25.248,57.822394,0.)); #460030=CARTESIAN_POINT('',(25.248,57.822394,0.)); #460031=CARTESIAN_POINT('',(25.248,57.822394,0.)); #460032=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #460033=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #460034=CARTESIAN_POINT('',(25.248,57.822394,0.)); #460035=CARTESIAN_POINT('Origin',(24.447394,58.623,0.)); #460036=CARTESIAN_POINT('',(24.447394,58.623,0.)); #460037=CARTESIAN_POINT('',(24.447394,58.623,0.)); #460038=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #460039=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #460040=CARTESIAN_POINT('',(24.447394,58.623,0.)); #460041=CARTESIAN_POINT('Origin',(24.148928,58.623,0.)); #460042=CARTESIAN_POINT('',(24.148928,58.623,0.)); #460043=CARTESIAN_POINT('',(24.148928,58.623,0.)); #460044=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #460045=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #460046=CARTESIAN_POINT('',(24.148928,58.623,0.)); #460047=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #460048=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #460049=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #460050=CARTESIAN_POINT('',(23.650147,58.644438,0.035)); #460051=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.035)); #460052=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #460053=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #460054=CARTESIAN_POINT('',(24.148928,58.877,0.)); #460055=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #460056=CARTESIAN_POINT('',(24.148928,58.877,0.035)); #460057=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.035)); #460058=CARTESIAN_POINT('',(24.148928,58.877,0.)); #460059=CARTESIAN_POINT('Origin',(24.5,58.877,0.)); #460060=CARTESIAN_POINT('',(24.5,58.877,0.)); #460061=CARTESIAN_POINT('',(24.5,58.877,0.)); #460062=CARTESIAN_POINT('',(24.5,58.877,0.035)); #460063=CARTESIAN_POINT('',(24.5,58.877,0.035)); #460064=CARTESIAN_POINT('',(24.5,58.877,0.)); #460065=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #460066=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #460067=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #460068=CARTESIAN_POINT('',(24.589803,58.839803,0.035)); #460069=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.035)); #460070=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #460071=CARTESIAN_POINT('Origin',(25.464803,57.964803,0.)); #460072=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #460073=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #460074=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #460075=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #460076=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #460077=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #460078=CARTESIAN_POINT('',(25.502,57.875,0.)); #460079=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #460080=CARTESIAN_POINT('',(25.502,57.875,0.035)); #460081=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.035)); #460082=CARTESIAN_POINT('',(25.502,57.875,0.)); #460083=CARTESIAN_POINT('Origin',(25.502,43.094663,0.)); #460084=CARTESIAN_POINT('',(25.502,43.094663,0.)); #460085=CARTESIAN_POINT('',(25.502,43.094663,0.)); #460086=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #460087=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #460088=CARTESIAN_POINT('',(25.502,43.094663,0.)); #460089=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #460090=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #460091=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #460092=CARTESIAN_POINT('',(25.464803,43.004859,0.035)); #460093=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.035)); #460094=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #460095=CARTESIAN_POINT('Origin',(24.4445,41.984556,0.)); #460096=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #460097=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #460098=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.035)); #460099=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.)); #460100=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #460101=CARTESIAN_POINT('',(47.765,49.75,0.)); #460102=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #460103=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #460104=CARTESIAN_POINT('',(47.765,49.75,-200.)); #460105=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #460106=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.)); #460107=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #460108=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #460109=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #460110=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #460111=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #460112=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #460113=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #460114=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #460115=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.)); #460116=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #460117=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #460118=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #460119=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #460120=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #460121=CARTESIAN_POINT('Origin',(47.5555,42.026894,0.)); #460122=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #460123=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #460124=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #460125=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #460126=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #460127=CARTESIAN_POINT('Origin',(47.209394,42.373,0.)); #460128=CARTESIAN_POINT('',(47.209394,42.373,0.)); #460129=CARTESIAN_POINT('',(47.209394,42.373,0.)); #460130=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #460131=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #460132=CARTESIAN_POINT('',(47.209394,42.373,0.)); #460133=CARTESIAN_POINT('Origin',(43.75,42.373,0.)); #460134=CARTESIAN_POINT('',(43.75,42.373,0.)); #460135=CARTESIAN_POINT('',(43.75,42.373,0.)); #460136=CARTESIAN_POINT('',(43.75,42.373,0.035)); #460137=CARTESIAN_POINT('',(43.75,42.373,0.035)); #460138=CARTESIAN_POINT('',(43.75,42.373,0.)); #460139=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #460140=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #460141=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #460142=CARTESIAN_POINT('',(43.660197,42.410197,0.035)); #460143=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.035)); #460144=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #460145=CARTESIAN_POINT('Origin',(42.910197,43.160197,0.)); #460146=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #460147=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #460148=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #460149=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #460150=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #460151=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #460152=CARTESIAN_POINT('',(42.873,43.25,0.)); #460153=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #460154=CARTESIAN_POINT('',(42.873,43.25,0.035)); #460155=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.035)); #460156=CARTESIAN_POINT('',(42.873,43.25,0.)); #460157=CARTESIAN_POINT('Origin',(42.873,49.25,0.)); #460158=CARTESIAN_POINT('',(42.873,49.25,0.)); #460159=CARTESIAN_POINT('',(42.873,49.25,0.)); #460160=CARTESIAN_POINT('',(42.873,49.25,0.035)); #460161=CARTESIAN_POINT('',(42.873,49.25,0.035)); #460162=CARTESIAN_POINT('',(42.873,49.25,0.)); #460163=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #460164=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #460165=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #460166=CARTESIAN_POINT('',(42.910197,49.339803,0.035)); #460167=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.035)); #460168=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #460169=CARTESIAN_POINT('Origin',(43.410197,49.839803,0.)); #460170=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #460171=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #460172=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #460173=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #460174=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #460175=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #460176=CARTESIAN_POINT('',(43.5,49.877,0.)); #460177=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #460178=CARTESIAN_POINT('',(43.5,49.877,0.035)); #460179=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.035)); #460180=CARTESIAN_POINT('',(43.5,49.877,0.)); #460181=CARTESIAN_POINT('Origin',(47.661072,49.877,0.)); #460182=CARTESIAN_POINT('',(47.661072,49.877,0.)); #460183=CARTESIAN_POINT('',(47.661072,49.877,0.)); #460184=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #460185=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #460186=CARTESIAN_POINT('',(47.661072,49.877,0.)); #460187=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #460188=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #460189=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #460190=CARTESIAN_POINT('',(48.159853,49.855563,0.035)); #460191=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.035)); #460192=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #460193=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #460194=CARTESIAN_POINT('',(47.661072,49.623,0.)); #460195=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #460196=CARTESIAN_POINT('',(47.661072,49.623,0.035)); #460197=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.035)); #460198=CARTESIAN_POINT('',(47.661072,49.623,0.)); #460199=CARTESIAN_POINT('Origin',(43.552606,49.623,0.)); #460200=CARTESIAN_POINT('',(43.552606,49.623,0.)); #460201=CARTESIAN_POINT('',(43.552606,49.623,0.)); #460202=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #460203=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #460204=CARTESIAN_POINT('',(43.552606,49.623,0.)); #460205=CARTESIAN_POINT('Origin',(43.127,49.197394,0.)); #460206=CARTESIAN_POINT('',(43.127,49.197394,0.)); #460207=CARTESIAN_POINT('',(43.127,49.197394,0.)); #460208=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #460209=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #460210=CARTESIAN_POINT('',(43.127,49.197394,0.)); #460211=CARTESIAN_POINT('Origin',(43.127,43.302606,0.)); #460212=CARTESIAN_POINT('',(43.127,43.302606,0.)); #460213=CARTESIAN_POINT('',(43.127,43.302606,0.)); #460214=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #460215=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #460216=CARTESIAN_POINT('',(43.127,43.302606,0.)); #460217=CARTESIAN_POINT('Origin',(43.802606,42.627,0.)); #460218=CARTESIAN_POINT('',(43.802606,42.627,0.)); #460219=CARTESIAN_POINT('',(43.802606,42.627,0.)); #460220=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #460221=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #460222=CARTESIAN_POINT('',(43.802606,42.627,0.)); #460223=CARTESIAN_POINT('Origin',(47.262,42.627,0.)); #460224=CARTESIAN_POINT('',(47.262,42.627,0.)); #460225=CARTESIAN_POINT('',(47.262,42.627,0.)); #460226=CARTESIAN_POINT('',(47.262,42.627,0.035)); #460227=CARTESIAN_POINT('',(47.262,42.627,0.035)); #460228=CARTESIAN_POINT('',(47.262,42.627,0.)); #460229=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #460230=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #460231=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #460232=CARTESIAN_POINT('',(47.351803,42.589803,0.035)); #460233=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.035)); #460234=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #460235=CARTESIAN_POINT('Origin',(47.760506,42.1811,0.)); #460236=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #460237=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #460238=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #460239=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #460240=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #460241=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.)); #460242=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #460243=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #460244=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.035)); #460245=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.)); #460246=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.)); #460247=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #460248=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #460249=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #460250=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #460251=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #460252=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #460253=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #460254=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #460255=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.)); #460256=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #460257=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #460258=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #460259=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #460260=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #460261=CARTESIAN_POINT('Origin',(30.2875,18.623,0.)); #460262=CARTESIAN_POINT('',(30.2875,18.623,0.)); #460263=CARTESIAN_POINT('',(30.2875,18.623,0.)); #460264=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #460265=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #460266=CARTESIAN_POINT('',(30.2875,18.623,0.)); #460267=CARTESIAN_POINT('Origin',(29.689325,18.623,0.)); #460268=CARTESIAN_POINT('',(29.689325,18.623,0.)); #460269=CARTESIAN_POINT('',(29.689325,18.623,0.)); #460270=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #460271=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #460272=CARTESIAN_POINT('',(29.689325,18.623,0.)); #460273=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #460274=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #460275=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #460276=CARTESIAN_POINT('',(29.599522,18.660197,0.035)); #460277=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.035)); #460278=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #460279=CARTESIAN_POINT('Origin',(28.285197,19.974522,0.)); #460280=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #460281=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #460282=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #460283=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #460284=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #460285=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #460286=CARTESIAN_POINT('',(28.248,20.064325,0.)); #460287=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #460288=CARTESIAN_POINT('',(28.248,20.064325,0.035)); #460289=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.035)); #460290=CARTESIAN_POINT('',(28.248,20.064325,0.)); #460291=CARTESIAN_POINT('Origin',(28.248,24.852731,0.)); #460292=CARTESIAN_POINT('',(28.248,24.852731,0.)); #460293=CARTESIAN_POINT('',(28.248,24.852731,0.)); #460294=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #460295=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #460296=CARTESIAN_POINT('',(28.248,24.852731,0.)); #460297=CARTESIAN_POINT('Origin',(27.227731,25.873,0.)); #460298=CARTESIAN_POINT('',(27.227731,25.873,0.)); #460299=CARTESIAN_POINT('',(27.227731,25.873,0.)); #460300=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #460301=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #460302=CARTESIAN_POINT('',(27.227731,25.873,0.)); #460303=CARTESIAN_POINT('Origin',(24.1811,25.873,0.)); #460304=CARTESIAN_POINT('',(24.1811,25.873,0.)); #460305=CARTESIAN_POINT('',(24.1811,25.873,0.)); #460306=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #460307=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #460308=CARTESIAN_POINT('',(24.1811,25.873,0.)); #460309=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.)); #460310=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #460311=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #460312=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #460313=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #460314=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #460315=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.)); #460316=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #460317=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #460318=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #460319=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #460320=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #460321=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.)); #460322=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #460323=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #460324=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #460325=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #460326=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #460327=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.)); #460328=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #460329=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #460330=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #460331=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #460332=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #460333=CARTESIAN_POINT('Origin',(24.1811,26.127,0.)); #460334=CARTESIAN_POINT('',(24.1811,26.127,0.)); #460335=CARTESIAN_POINT('',(24.1811,26.127,0.)); #460336=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #460337=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #460338=CARTESIAN_POINT('',(24.1811,26.127,0.)); #460339=CARTESIAN_POINT('Origin',(27.280338,26.127,0.)); #460340=CARTESIAN_POINT('',(27.280338,26.127,0.)); #460341=CARTESIAN_POINT('',(27.280338,26.127,0.)); #460342=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #460343=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #460344=CARTESIAN_POINT('',(27.280338,26.127,0.)); #460345=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #460346=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #460347=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #460348=CARTESIAN_POINT('',(27.370141,26.089803,0.035)); #460349=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.035)); #460350=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #460351=CARTESIAN_POINT('Origin',(28.464803,24.995141,0.)); #460352=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #460353=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #460354=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #460355=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #460356=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #460357=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #460358=CARTESIAN_POINT('',(28.502,24.905338,0.)); #460359=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #460360=CARTESIAN_POINT('',(28.502,24.905338,0.035)); #460361=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.035)); #460362=CARTESIAN_POINT('',(28.502,24.905338,0.)); #460363=CARTESIAN_POINT('Origin',(28.502,20.116931,0.)); #460364=CARTESIAN_POINT('',(28.502,20.116931,0.)); #460365=CARTESIAN_POINT('',(28.502,20.116931,0.)); #460366=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #460367=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #460368=CARTESIAN_POINT('',(28.502,20.116931,0.)); #460369=CARTESIAN_POINT('Origin',(29.741931,18.877,0.)); #460370=CARTESIAN_POINT('',(29.741931,18.877,0.)); #460371=CARTESIAN_POINT('',(29.741931,18.877,0.)); #460372=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #460373=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #460374=CARTESIAN_POINT('',(29.741931,18.877,0.)); #460375=CARTESIAN_POINT('Origin',(30.2875,18.877,0.)); #460376=CARTESIAN_POINT('',(30.2875,18.877,0.)); #460377=CARTESIAN_POINT('',(30.2875,18.877,0.)); #460378=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #460379=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #460380=CARTESIAN_POINT('',(30.2875,18.877,0.)); #460381=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.)); #460382=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #460383=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #460384=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #460385=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #460386=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #460387=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.)); #460388=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #460389=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #460390=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.035)); #460391=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.)); #460392=CARTESIAN_POINT('Origin',(29.238,63.5,-200.)); #460393=CARTESIAN_POINT('',(29.098,63.5,0.)); #460394=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #460395=CARTESIAN_POINT('',(29.098,63.5,0.0349999999999895)); #460396=CARTESIAN_POINT('',(29.098,63.5,-200.)); #460397=CARTESIAN_POINT('Origin',(29.238,63.5,0.0349999999999895)); #460398=CARTESIAN_POINT('Origin',(32.349,63.5,-200.)); #460399=CARTESIAN_POINT('',(32.209,63.5,0.)); #460400=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #460401=CARTESIAN_POINT('',(32.209,63.5,0.0349999999999895)); #460402=CARTESIAN_POINT('',(32.209,63.5,-200.)); #460403=CARTESIAN_POINT('Origin',(32.349,63.5,0.0349999999999895)); #460404=CARTESIAN_POINT('Origin',(29.259644,63.225,0.)); #460405=CARTESIAN_POINT('',(29.259644,63.225,0.)); #460406=CARTESIAN_POINT('',(29.302397,63.231772,0.)); #460407=CARTESIAN_POINT('',(29.259644,63.225,0.)); #460408=CARTESIAN_POINT('',(29.302397,63.231772,0.035)); #460409=CARTESIAN_POINT('',(29.302397,63.231772,0.)); #460410=CARTESIAN_POINT('',(29.259644,63.225,0.035)); #460411=CARTESIAN_POINT('',(29.259644,63.225,0.035)); #460412=CARTESIAN_POINT('',(29.259644,63.225,0.)); #460413=CARTESIAN_POINT('Origin',(29.2380003953475,63.5000004229918,0.)); #460414=CARTESIAN_POINT('',(28.983147,63.394438,0.)); #460415=CARTESIAN_POINT('Origin',(29.2380003953475,63.5000004229918,0.)); #460416=CARTESIAN_POINT('',(28.983147,63.394438,0.035)); #460417=CARTESIAN_POINT('Origin',(29.2380003953475,63.5000004229918,0.035)); #460418=CARTESIAN_POINT('',(28.983147,63.394438,0.)); #460419=CARTESIAN_POINT('Origin',(29.2376182367391,63.4998222285952,0.)); #460420=CARTESIAN_POINT('',(29.481928,63.627,0.)); #460421=CARTESIAN_POINT('Origin',(29.2376182367391,63.4998222285952,0.)); #460422=CARTESIAN_POINT('',(29.481928,63.627,0.035)); #460423=CARTESIAN_POINT('Origin',(29.2376182367391,63.4998222285952,0.035)); #460424=CARTESIAN_POINT('',(29.481928,63.627,0.)); #460425=CARTESIAN_POINT('Origin',(32.105072,63.627,0.)); #460426=CARTESIAN_POINT('',(32.105072,63.627,0.)); #460427=CARTESIAN_POINT('',(32.105072,63.627,0.)); #460428=CARTESIAN_POINT('',(32.105072,63.627,0.035)); #460429=CARTESIAN_POINT('',(32.105072,63.627,0.035)); #460430=CARTESIAN_POINT('',(32.105072,63.627,0.)); #460431=CARTESIAN_POINT('Origin',(32.3494640048651,63.4999800398209,0.)); #460432=CARTESIAN_POINT('',(32.603853,63.605563,0.)); #460433=CARTESIAN_POINT('Origin',(32.3494640048651,63.4999800398209,0.)); #460434=CARTESIAN_POINT('',(32.603853,63.605563,0.035)); #460435=CARTESIAN_POINT('Origin',(32.3494640048651,63.4999800398209,0.035)); #460436=CARTESIAN_POINT('',(32.603853,63.605563,0.)); #460437=CARTESIAN_POINT('Origin',(32.3493817414141,63.5001782714048,0.)); #460438=CARTESIAN_POINT('',(32.105072,63.373,0.)); #460439=CARTESIAN_POINT('Origin',(32.3493817414141,63.5001782714048,0.)); #460440=CARTESIAN_POINT('',(32.105072,63.373,0.035)); #460441=CARTESIAN_POINT('Origin',(32.3493817414141,63.5001782714048,0.035)); #460442=CARTESIAN_POINT('',(32.105072,63.373,0.)); #460443=CARTESIAN_POINT('Origin',(29.481928,63.373,0.)); #460444=CARTESIAN_POINT('',(29.481928,63.373,0.)); #460445=CARTESIAN_POINT('',(29.481928,63.373,0.)); #460446=CARTESIAN_POINT('',(29.481928,63.373,0.035)); #460447=CARTESIAN_POINT('',(29.481928,63.373,0.035)); #460448=CARTESIAN_POINT('',(29.481928,63.373,0.)); #460449=CARTESIAN_POINT('Origin',(29.2372008746984,63.4993753757046,0.)); #460450=CARTESIAN_POINT('Origin',(29.2372008746984,63.4993753757046,0.)); #460451=CARTESIAN_POINT('Origin',(29.2372008746984,63.4993753757046,0.035)); #460452=CARTESIAN_POINT('Origin',(30.7934126548324,63.4993468677268,0.035)); #460453=CARTESIAN_POINT('Origin',(30.7934126548324,63.4993468677268,0.)); #460454=CARTESIAN_POINT('Origin',(32.349,54.5,-200.)); #460455=CARTESIAN_POINT('',(32.209,54.5,0.)); #460456=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #460457=CARTESIAN_POINT('',(32.209,54.5,0.0349999999999895)); #460458=CARTESIAN_POINT('',(32.209,54.5,-200.)); #460459=CARTESIAN_POINT('Origin',(32.349,54.5,0.0349999999999895)); #460460=CARTESIAN_POINT('Origin',(32.857,53.6745,0.)); #460461=CARTESIAN_POINT('',(32.857,53.6745,0.)); #460462=CARTESIAN_POINT('',(32.857,55.3255,0.)); #460463=CARTESIAN_POINT('',(32.857,53.6745,0.)); #460464=CARTESIAN_POINT('',(32.857,55.3255,0.035)); #460465=CARTESIAN_POINT('',(32.857,55.3255,0.)); #460466=CARTESIAN_POINT('',(32.857,53.6745,0.035)); #460467=CARTESIAN_POINT('',(32.857,53.6745,0.035)); #460468=CARTESIAN_POINT('',(32.857,53.6745,0.)); #460469=CARTESIAN_POINT('Origin',(31.841,53.6745,0.)); #460470=CARTESIAN_POINT('',(31.841,53.6745,0.)); #460471=CARTESIAN_POINT('',(31.841,53.6745,0.)); #460472=CARTESIAN_POINT('',(31.841,53.6745,0.035)); #460473=CARTESIAN_POINT('',(31.841,53.6745,0.035)); #460474=CARTESIAN_POINT('',(31.841,53.6745,0.)); #460475=CARTESIAN_POINT('Origin',(31.841,55.3255,0.)); #460476=CARTESIAN_POINT('',(31.841,55.3255,0.)); #460477=CARTESIAN_POINT('',(31.841,55.3255,0.)); #460478=CARTESIAN_POINT('',(31.841,55.3255,0.035)); #460479=CARTESIAN_POINT('',(31.841,55.3255,0.035)); #460480=CARTESIAN_POINT('',(31.841,55.3255,0.)); #460481=CARTESIAN_POINT('Origin',(32.857,55.3255,0.)); #460482=CARTESIAN_POINT('',(32.857,55.3255,0.)); #460483=CARTESIAN_POINT('',(32.857,55.3255,0.035)); #460484=CARTESIAN_POINT('Origin',(32.349,54.5,0.035)); #460485=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #460486=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #460487=CARTESIAN_POINT('',(30.622,60.25,0.)); #460488=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #460489=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #460490=CARTESIAN_POINT('',(30.622,60.25,-200.)); #460491=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #460492=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.)); #460493=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #460494=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #460495=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #460496=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #460497=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #460498=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #460499=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #460500=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #460501=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.)); #460502=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #460503=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #460504=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #460505=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #460506=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #460507=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.)); #460508=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #460509=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #460510=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #460511=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #460512=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #460513=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.)); #460514=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #460515=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #460516=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #460517=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #460518=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #460519=CARTESIAN_POINT('',(41.86,36.,0.)); #460520=CARTESIAN_POINT('Origin',(42.,36.,0.)); #460521=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #460522=CARTESIAN_POINT('',(41.86,36.,-200.)); #460523=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #460524=CARTESIAN_POINT('Origin',(52.1811,50.627,0.)); #460525=CARTESIAN_POINT('',(52.1811,50.627,0.)); #460526=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #460527=CARTESIAN_POINT('',(52.1811,50.627,0.)); #460528=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #460529=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #460530=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #460531=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #460532=CARTESIAN_POINT('',(52.1811,50.627,0.)); #460533=CARTESIAN_POINT('Origin',(53.8189,50.627,0.)); #460534=CARTESIAN_POINT('',(53.8189,50.627,0.)); #460535=CARTESIAN_POINT('',(53.8189,50.627,0.)); #460536=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #460537=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #460538=CARTESIAN_POINT('',(53.8189,50.627,0.)); #460539=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.)); #460540=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #460541=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #460542=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #460543=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #460544=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #460545=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.)); #460546=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #460547=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #460548=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #460549=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #460550=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #460551=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.)); #460552=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #460553=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #460554=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #460555=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #460556=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #460557=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.)); #460558=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #460559=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #460560=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #460561=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #460562=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #460563=CARTESIAN_POINT('Origin',(53.8189,50.373,0.)); #460564=CARTESIAN_POINT('',(53.8189,50.373,0.)); #460565=CARTESIAN_POINT('',(53.8189,50.373,0.)); #460566=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #460567=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #460568=CARTESIAN_POINT('',(53.8189,50.373,0.)); #460569=CARTESIAN_POINT('Origin',(53.302606,50.373,0.)); #460570=CARTESIAN_POINT('',(53.302606,50.373,0.)); #460571=CARTESIAN_POINT('',(53.302606,50.373,0.)); #460572=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #460573=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #460574=CARTESIAN_POINT('',(53.302606,50.373,0.)); #460575=CARTESIAN_POINT('Origin',(53.127,50.197394,0.)); #460576=CARTESIAN_POINT('',(53.127,50.197394,0.)); #460577=CARTESIAN_POINT('',(53.127,50.197394,0.)); #460578=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #460579=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #460580=CARTESIAN_POINT('',(53.127,50.197394,0.)); #460581=CARTESIAN_POINT('Origin',(53.127,46.,0.)); #460582=CARTESIAN_POINT('',(53.127,46.,0.)); #460583=CARTESIAN_POINT('',(53.127,46.,0.)); #460584=CARTESIAN_POINT('',(53.127,46.,0.035)); #460585=CARTESIAN_POINT('',(53.127,46.,0.035)); #460586=CARTESIAN_POINT('',(53.127,46.,0.)); #460587=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #460588=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #460589=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #460590=CARTESIAN_POINT('',(53.089803,45.910197,0.035)); #460591=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.035)); #460592=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #460593=CARTESIAN_POINT('Origin',(52.589803,45.410197,0.)); #460594=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #460595=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #460596=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #460597=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #460598=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #460599=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #460600=CARTESIAN_POINT('',(52.5,45.373,0.)); #460601=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #460602=CARTESIAN_POINT('',(52.5,45.373,0.035)); #460603=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.035)); #460604=CARTESIAN_POINT('',(52.5,45.373,0.)); #460605=CARTESIAN_POINT('Origin',(50.052606,45.373,0.)); #460606=CARTESIAN_POINT('',(50.052606,45.373,0.)); #460607=CARTESIAN_POINT('',(50.052606,45.373,0.)); #460608=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #460609=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #460610=CARTESIAN_POINT('',(50.052606,45.373,0.)); #460611=CARTESIAN_POINT('Origin',(49.252,44.572394,0.)); #460612=CARTESIAN_POINT('',(49.252,44.572394,0.)); #460613=CARTESIAN_POINT('',(49.252,44.572394,0.)); #460614=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #460615=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #460616=CARTESIAN_POINT('',(49.252,44.572394,0.)); #460617=CARTESIAN_POINT('Origin',(49.252,39.344663,0.)); #460618=CARTESIAN_POINT('',(49.252,39.344663,0.)); #460619=CARTESIAN_POINT('',(49.252,39.344663,0.)); #460620=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #460621=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #460622=CARTESIAN_POINT('',(49.252,39.344663,0.)); #460623=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #460624=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #460625=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #460626=CARTESIAN_POINT('',(49.214803,39.254859,0.035)); #460627=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.035)); #460628=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #460629=CARTESIAN_POINT('Origin',(48.620141,38.660197,0.)); #460630=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #460631=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #460632=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #460633=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #460634=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #460635=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #460636=CARTESIAN_POINT('',(48.530338,38.623,0.)); #460637=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #460638=CARTESIAN_POINT('',(48.530338,38.623,0.035)); #460639=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.035)); #460640=CARTESIAN_POINT('',(48.530338,38.623,0.)); #460641=CARTESIAN_POINT('Origin',(44.173956,38.623,0.)); #460642=CARTESIAN_POINT('',(44.173956,38.623,0.)); #460643=CARTESIAN_POINT('',(44.173956,38.623,0.)); #460644=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #460645=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #460646=CARTESIAN_POINT('',(44.173956,38.623,0.)); #460647=CARTESIAN_POINT('Origin',(42.127,36.576044,0.)); #460648=CARTESIAN_POINT('',(42.127,36.576044,0.)); #460649=CARTESIAN_POINT('',(42.127,36.576044,0.)); #460650=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #460651=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #460652=CARTESIAN_POINT('',(42.127,36.576044,0.)); #460653=CARTESIAN_POINT('Origin',(42.127,36.243928,0.)); #460654=CARTESIAN_POINT('',(42.127,36.243928,0.)); #460655=CARTESIAN_POINT('',(42.127,36.243928,0.)); #460656=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #460657=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #460658=CARTESIAN_POINT('',(42.127,36.243928,0.)); #460659=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #460660=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #460661=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #460662=CARTESIAN_POINT('',(42.105563,35.745147,0.035)); #460663=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.035)); #460664=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #460665=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #460666=CARTESIAN_POINT('',(41.873,36.243928,0.)); #460667=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #460668=CARTESIAN_POINT('',(41.873,36.243928,0.035)); #460669=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.035)); #460670=CARTESIAN_POINT('',(41.873,36.243928,0.)); #460671=CARTESIAN_POINT('Origin',(41.873,36.62865,0.)); #460672=CARTESIAN_POINT('',(41.873,36.62865,0.)); #460673=CARTESIAN_POINT('',(41.873,36.62865,0.)); #460674=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #460675=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #460676=CARTESIAN_POINT('',(41.873,36.62865,0.)); #460677=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #460678=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #460679=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #460680=CARTESIAN_POINT('',(41.910197,36.718453,0.035)); #460681=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.035)); #460682=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #460683=CARTESIAN_POINT('Origin',(44.031547,38.839803,0.)); #460684=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #460685=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #460686=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #460687=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #460688=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #460689=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #460690=CARTESIAN_POINT('',(44.12135,38.877,0.)); #460691=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #460692=CARTESIAN_POINT('',(44.12135,38.877,0.035)); #460693=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.035)); #460694=CARTESIAN_POINT('',(44.12135,38.877,0.)); #460695=CARTESIAN_POINT('Origin',(48.477731,38.877,0.)); #460696=CARTESIAN_POINT('',(48.477731,38.877,0.)); #460697=CARTESIAN_POINT('',(48.477731,38.877,0.)); #460698=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #460699=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #460700=CARTESIAN_POINT('',(48.477731,38.877,0.)); #460701=CARTESIAN_POINT('Origin',(48.998,39.397269,0.)); #460702=CARTESIAN_POINT('',(48.998,39.397269,0.)); #460703=CARTESIAN_POINT('',(48.998,39.397269,0.)); #460704=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #460705=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #460706=CARTESIAN_POINT('',(48.998,39.397269,0.)); #460707=CARTESIAN_POINT('Origin',(48.998,44.625,0.)); #460708=CARTESIAN_POINT('',(48.998,44.625,0.)); #460709=CARTESIAN_POINT('',(48.998,44.625,0.)); #460710=CARTESIAN_POINT('',(48.998,44.625,0.035)); #460711=CARTESIAN_POINT('',(48.998,44.625,0.035)); #460712=CARTESIAN_POINT('',(48.998,44.625,0.)); #460713=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #460714=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #460715=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #460716=CARTESIAN_POINT('',(49.035197,44.714803,0.035)); #460717=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.035)); #460718=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #460719=CARTESIAN_POINT('Origin',(49.910197,45.589803,0.)); #460720=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #460721=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #460722=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #460723=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #460724=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #460725=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #460726=CARTESIAN_POINT('',(50.,45.627,0.)); #460727=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #460728=CARTESIAN_POINT('',(50.,45.627,0.035)); #460729=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.035)); #460730=CARTESIAN_POINT('',(50.,45.627,0.)); #460731=CARTESIAN_POINT('Origin',(52.447394,45.627,0.)); #460732=CARTESIAN_POINT('',(52.447394,45.627,0.)); #460733=CARTESIAN_POINT('',(52.447394,45.627,0.)); #460734=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #460735=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #460736=CARTESIAN_POINT('',(52.447394,45.627,0.)); #460737=CARTESIAN_POINT('Origin',(52.873,46.052606,0.)); #460738=CARTESIAN_POINT('',(52.873,46.052606,0.)); #460739=CARTESIAN_POINT('',(52.873,46.052606,0.)); #460740=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #460741=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #460742=CARTESIAN_POINT('',(52.873,46.052606,0.)); #460743=CARTESIAN_POINT('Origin',(52.873,50.197394,0.)); #460744=CARTESIAN_POINT('',(52.873,50.197394,0.)); #460745=CARTESIAN_POINT('',(52.873,50.197394,0.)); #460746=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #460747=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #460748=CARTESIAN_POINT('',(52.873,50.197394,0.)); #460749=CARTESIAN_POINT('Origin',(52.697394,50.373,0.)); #460750=CARTESIAN_POINT('',(52.697394,50.373,0.)); #460751=CARTESIAN_POINT('',(52.697394,50.373,0.)); #460752=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #460753=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #460754=CARTESIAN_POINT('',(52.697394,50.373,0.)); #460755=CARTESIAN_POINT('Origin',(52.1811,50.373,0.)); #460756=CARTESIAN_POINT('',(52.1811,50.373,0.)); #460757=CARTESIAN_POINT('',(52.1811,50.373,0.)); #460758=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #460759=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #460760=CARTESIAN_POINT('',(52.1811,50.373,0.)); #460761=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.)); #460762=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #460763=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #460764=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #460765=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #460766=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #460767=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.)); #460768=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #460769=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #460770=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #460771=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #460772=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #460773=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.)); #460774=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #460775=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #460776=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #460777=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #460778=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #460779=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.)); #460780=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #460781=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #460782=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.035)); #460783=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.)); #460784=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #460785=CARTESIAN_POINT('',(41.86,31.,0.)); #460786=CARTESIAN_POINT('Origin',(42.,31.,0.)); #460787=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #460788=CARTESIAN_POINT('',(41.86,31.,-200.)); #460789=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #460790=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.)); #460791=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #460792=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #460793=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #460794=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #460795=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #460796=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #460797=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #460798=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #460799=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.)); #460800=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #460801=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #460802=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #460803=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #460804=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #460805=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.)); #460806=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #460807=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #460808=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #460809=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #460810=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #460811=CARTESIAN_POINT('Origin',(36.123,20.7125,0.)); #460812=CARTESIAN_POINT('',(36.123,20.7125,0.)); #460813=CARTESIAN_POINT('',(36.123,20.7125,0.)); #460814=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #460815=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #460816=CARTESIAN_POINT('',(36.123,20.7125,0.)); #460817=CARTESIAN_POINT('Origin',(36.123,21.848313,0.)); #460818=CARTESIAN_POINT('',(36.123,21.848313,0.)); #460819=CARTESIAN_POINT('',(36.123,21.848313,0.)); #460820=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #460821=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #460822=CARTESIAN_POINT('',(36.123,21.848313,0.)); #460823=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #460824=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #460825=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #460826=CARTESIAN_POINT('',(36.160197,21.938116,0.035)); #460827=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.035)); #460828=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #460829=CARTESIAN_POINT('Origin',(38.748,24.525919,0.)); #460830=CARTESIAN_POINT('',(38.748,24.525919,0.)); #460831=CARTESIAN_POINT('',(38.748,24.525919,0.)); #460832=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #460833=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #460834=CARTESIAN_POINT('',(38.748,24.525919,0.)); #460835=CARTESIAN_POINT('Origin',(38.748,26.155338,0.)); #460836=CARTESIAN_POINT('',(38.748,26.155338,0.)); #460837=CARTESIAN_POINT('',(38.748,26.155338,0.)); #460838=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #460839=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #460840=CARTESIAN_POINT('',(38.748,26.155338,0.)); #460841=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #460842=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #460843=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #460844=CARTESIAN_POINT('',(38.785197,26.245141,0.035)); #460845=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.035)); #460846=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #460847=CARTESIAN_POINT('Origin',(41.873,29.332944,0.)); #460848=CARTESIAN_POINT('',(41.873,29.332944,0.)); #460849=CARTESIAN_POINT('',(41.873,29.332944,0.)); #460850=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #460851=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #460852=CARTESIAN_POINT('',(41.873,29.332944,0.)); #460853=CARTESIAN_POINT('Origin',(41.873,30.756072,0.)); #460854=CARTESIAN_POINT('',(41.873,30.756072,0.)); #460855=CARTESIAN_POINT('',(41.873,30.756072,0.)); #460856=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #460857=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #460858=CARTESIAN_POINT('',(41.873,30.756072,0.)); #460859=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #460860=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #460861=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #460862=CARTESIAN_POINT('',(41.894438,31.254853,0.035)); #460863=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.035)); #460864=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #460865=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #460866=CARTESIAN_POINT('',(42.127,30.756072,0.)); #460867=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #460868=CARTESIAN_POINT('',(42.127,30.756072,0.035)); #460869=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.035)); #460870=CARTESIAN_POINT('',(42.127,30.756072,0.)); #460871=CARTESIAN_POINT('Origin',(42.127,29.280338,0.)); #460872=CARTESIAN_POINT('',(42.127,29.280338,0.)); #460873=CARTESIAN_POINT('',(42.127,29.280338,0.)); #460874=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #460875=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #460876=CARTESIAN_POINT('',(42.127,29.280338,0.)); #460877=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #460878=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #460879=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #460880=CARTESIAN_POINT('',(42.089803,29.190534,0.035)); #460881=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.035)); #460882=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #460883=CARTESIAN_POINT('Origin',(39.002,26.102731,0.)); #460884=CARTESIAN_POINT('',(39.002,26.102731,0.)); #460885=CARTESIAN_POINT('',(39.002,26.102731,0.)); #460886=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #460887=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #460888=CARTESIAN_POINT('',(39.002,26.102731,0.)); #460889=CARTESIAN_POINT('Origin',(39.002,24.473313,0.)); #460890=CARTESIAN_POINT('',(39.002,24.473313,0.)); #460891=CARTESIAN_POINT('',(39.002,24.473313,0.)); #460892=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #460893=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #460894=CARTESIAN_POINT('',(39.002,24.473313,0.)); #460895=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #460896=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #460897=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #460898=CARTESIAN_POINT('',(38.964803,24.383509,0.035)); #460899=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.035)); #460900=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #460901=CARTESIAN_POINT('Origin',(36.377,21.795706,0.)); #460902=CARTESIAN_POINT('',(36.377,21.795706,0.)); #460903=CARTESIAN_POINT('',(36.377,21.795706,0.)); #460904=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #460905=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #460906=CARTESIAN_POINT('',(36.377,21.795706,0.)); #460907=CARTESIAN_POINT('Origin',(36.377,20.7125,0.)); #460908=CARTESIAN_POINT('',(36.377,20.7125,0.)); #460909=CARTESIAN_POINT('',(36.377,20.7125,0.)); #460910=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #460911=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #460912=CARTESIAN_POINT('',(36.377,20.7125,0.)); #460913=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.)); #460914=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #460915=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #460916=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.035)); #460917=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.)); #460918=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #460919=CARTESIAN_POINT('',(34.622,60.25,0.)); #460920=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #460921=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #460922=CARTESIAN_POINT('',(34.622,60.25,-200.)); #460923=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #460924=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.)); #460925=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #460926=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #460927=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #460928=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #460929=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #460930=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #460931=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #460932=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #460933=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.)); #460934=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #460935=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #460936=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #460937=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #460938=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #460939=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.)); #460940=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #460941=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #460942=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #460943=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #460944=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #460945=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.)); #460946=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #460947=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #460948=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #460949=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #460950=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #460951=CARTESIAN_POINT('',(38.622,60.25,0.)); #460952=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #460953=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #460954=CARTESIAN_POINT('',(38.622,60.25,-200.)); #460955=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #460956=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.)); #460957=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #460958=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #460959=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #460960=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #460961=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #460962=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #460963=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #460964=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #460965=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.)); #460966=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #460967=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #460968=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #460969=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #460970=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #460971=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.)); #460972=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #460973=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #460974=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #460975=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #460976=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #460977=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.)); #460978=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #460979=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #460980=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #460981=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #460982=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #460983=CARTESIAN_POINT('',(33.9225,12.25,0.)); #460984=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #460985=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #460986=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #460987=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #460988=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #460989=CARTESIAN_POINT('',(34.084144,11.975,0.)); #460990=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #460991=CARTESIAN_POINT('',(34.084144,11.975,0.)); #460992=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #460993=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #460994=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #460995=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #460996=CARTESIAN_POINT('',(34.084144,11.975,0.)); #460997=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #460998=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #460999=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #461000=CARTESIAN_POINT('',(33.800213,12.332681,0.035)); #461001=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.035)); #461002=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #461003=CARTESIAN_POINT('Origin',(33.660197,12.472697,0.)); #461004=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #461005=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #461006=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #461007=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #461008=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #461009=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #461010=CARTESIAN_POINT('',(33.623,12.5625,0.)); #461011=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #461012=CARTESIAN_POINT('',(33.623,12.5625,0.035)); #461013=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.035)); #461014=CARTESIAN_POINT('',(33.623,12.5625,0.)); #461015=CARTESIAN_POINT('Origin',(33.623,13.2875,0.)); #461016=CARTESIAN_POINT('',(33.623,13.2875,0.)); #461017=CARTESIAN_POINT('',(33.623,13.2875,0.)); #461018=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #461019=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #461020=CARTESIAN_POINT('',(33.623,13.2875,0.)); #461021=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.)); #461022=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #461023=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #461024=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #461025=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #461026=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #461027=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.)); #461028=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #461029=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #461030=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #461031=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #461032=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #461033=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.)); #461034=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #461035=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #461036=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #461037=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #461038=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #461039=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.)); #461040=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #461041=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #461042=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #461043=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #461044=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #461045=CARTESIAN_POINT('Origin',(33.877,13.2875,0.)); #461046=CARTESIAN_POINT('',(33.877,13.2875,0.)); #461047=CARTESIAN_POINT('',(33.877,13.2875,0.)); #461048=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #461049=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #461050=CARTESIAN_POINT('',(33.877,13.2875,0.)); #461051=CARTESIAN_POINT('Origin',(33.877,12.615106,0.)); #461052=CARTESIAN_POINT('',(33.877,12.615106,0.)); #461053=CARTESIAN_POINT('',(33.877,12.615106,0.)); #461054=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #461055=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #461056=CARTESIAN_POINT('',(33.877,12.615106,0.)); #461057=CARTESIAN_POINT('Origin',(33.979819,12.512288,0.)); #461058=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #461059=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #461060=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #461061=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #461062=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #461063=CARTESIAN_POINT('Origin',(33.998103,12.518228,0.)); #461064=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #461065=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #461066=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #461067=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #461068=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #461069=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #461070=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #461071=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #461072=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.035)); #461073=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.)); #461074=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #461075=CARTESIAN_POINT('',(31.035,25.25,0.)); #461076=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #461077=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #461078=CARTESIAN_POINT('',(31.035,25.25,-200.)); #461079=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #461080=CARTESIAN_POINT('Origin',(31.536844,24.5,0.)); #461081=CARTESIAN_POINT('',(31.536844,24.5,0.)); #461082=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #461083=CARTESIAN_POINT('',(31.536844,24.5,0.)); #461084=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #461085=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #461086=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #461087=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #461088=CARTESIAN_POINT('',(31.536844,24.5,0.)); #461089=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #461090=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #461091=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #461092=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #461093=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #461094=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #461095=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #461096=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #461097=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #461098=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #461099=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #461100=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #461101=CARTESIAN_POINT('',(33.86,23.125,0.)); #461102=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #461103=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #461104=CARTESIAN_POINT('',(33.86,23.125,-200.)); #461105=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #461106=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #461107=CARTESIAN_POINT('',(33.535,25.25,0.)); #461108=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #461109=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #461110=CARTESIAN_POINT('',(33.535,25.25,-200.)); #461111=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #461112=CARTESIAN_POINT('Origin',(34.021644,22.85,0.)); #461113=CARTESIAN_POINT('',(34.021644,22.85,0.)); #461114=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #461115=CARTESIAN_POINT('',(34.021644,22.85,0.)); #461116=CARTESIAN_POINT('',(34.064397,22.856772,0.035)); #461117=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #461118=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #461119=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #461120=CARTESIAN_POINT('',(34.021644,22.85,0.)); #461121=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #461122=CARTESIAN_POINT('',(33.873,23.368928,0.)); #461123=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #461124=CARTESIAN_POINT('',(33.873,23.368928,0.035)); #461125=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.035)); #461126=CARTESIAN_POINT('',(33.873,23.368928,0.)); #461127=CARTESIAN_POINT('Origin',(33.873,24.510569,0.)); #461128=CARTESIAN_POINT('',(33.873,24.510569,0.)); #461129=CARTESIAN_POINT('',(33.873,24.510569,0.)); #461130=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #461131=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #461132=CARTESIAN_POINT('',(33.873,24.510569,0.)); #461133=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #461134=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #461135=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #461136=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #461137=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.035)); #461138=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #461139=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #461140=CARTESIAN_POINT('',(34.127,24.510569,0.)); #461141=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #461142=CARTESIAN_POINT('',(34.127,24.510569,0.035)); #461143=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.035)); #461144=CARTESIAN_POINT('',(34.127,24.510569,0.)); #461145=CARTESIAN_POINT('Origin',(34.127,23.368928,0.)); #461146=CARTESIAN_POINT('',(34.127,23.368928,0.)); #461147=CARTESIAN_POINT('',(34.127,23.368928,0.)); #461148=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #461149=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #461150=CARTESIAN_POINT('',(34.127,23.368928,0.)); #461151=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #461152=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #461153=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.035)); #461154=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.035)); #461155=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.)); #461156=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #461157=CARTESIAN_POINT('',(36.035,25.25,0.)); #461158=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #461159=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #461160=CARTESIAN_POINT('',(36.035,25.25,-200.)); #461161=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #461162=CARTESIAN_POINT('Origin',(36.536844,24.5,0.)); #461163=CARTESIAN_POINT('',(36.536844,24.5,0.)); #461164=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #461165=CARTESIAN_POINT('',(36.536844,24.5,0.)); #461166=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #461167=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #461168=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #461169=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #461170=CARTESIAN_POINT('',(36.536844,24.5,0.)); #461171=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #461172=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #461173=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #461174=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #461175=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #461176=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #461177=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #461178=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #461179=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #461180=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #461181=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #461182=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #461183=CARTESIAN_POINT('',(29.625,45.,0.)); #461184=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #461185=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #461186=CARTESIAN_POINT('',(29.625,45.,-200.)); #461187=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #461188=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #461189=CARTESIAN_POINT('',(31.09,44.1,0.)); #461190=CARTESIAN_POINT('',(31.09,45.9,0.)); #461191=CARTESIAN_POINT('',(31.09,44.1,0.)); #461192=CARTESIAN_POINT('',(31.09,45.9,0.035)); #461193=CARTESIAN_POINT('',(31.09,45.9,0.)); #461194=CARTESIAN_POINT('',(31.09,44.1,0.035)); #461195=CARTESIAN_POINT('',(31.09,44.1,0.035)); #461196=CARTESIAN_POINT('',(31.09,44.1,0.)); #461197=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #461198=CARTESIAN_POINT('',(29.29,44.1,0.)); #461199=CARTESIAN_POINT('',(29.29,44.1,0.)); #461200=CARTESIAN_POINT('',(29.29,44.1,0.035)); #461201=CARTESIAN_POINT('',(29.29,44.1,0.035)); #461202=CARTESIAN_POINT('',(29.29,44.1,0.)); #461203=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #461204=CARTESIAN_POINT('',(29.29,45.9,0.)); #461205=CARTESIAN_POINT('',(29.29,45.9,0.)); #461206=CARTESIAN_POINT('',(29.29,45.9,0.035)); #461207=CARTESIAN_POINT('',(29.29,45.9,0.035)); #461208=CARTESIAN_POINT('',(29.29,45.9,0.)); #461209=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #461210=CARTESIAN_POINT('',(31.09,45.9,0.)); #461211=CARTESIAN_POINT('',(31.09,45.9,0.035)); #461212=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #461213=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #461214=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #461215=CARTESIAN_POINT('',(45.797,32.73,0.)); #461216=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #461217=CARTESIAN_POINT('',(45.797,32.73,0.0349999999999895)); #461218=CARTESIAN_POINT('',(45.797,32.73,-200.)); #461219=CARTESIAN_POINT('Origin',(46.27,32.73,0.0349999999999895)); #461220=CARTESIAN_POINT('Origin',(46.585628,31.968,0.)); #461221=CARTESIAN_POINT('',(46.585628,31.968,0.)); #461222=CARTESIAN_POINT('',(47.032,32.414372,0.)); #461223=CARTESIAN_POINT('',(46.585628,31.968,0.)); #461224=CARTESIAN_POINT('',(47.032,32.414372,0.035)); #461225=CARTESIAN_POINT('',(47.032,32.414372,0.)); #461226=CARTESIAN_POINT('',(46.585628,31.968,0.035)); #461227=CARTESIAN_POINT('',(46.585628,31.968,0.035)); #461228=CARTESIAN_POINT('',(46.585628,31.968,0.)); #461229=CARTESIAN_POINT('Origin',(45.954372,31.968,0.)); #461230=CARTESIAN_POINT('',(45.954372,31.968,0.)); #461231=CARTESIAN_POINT('',(45.954372,31.968,0.)); #461232=CARTESIAN_POINT('',(45.954372,31.968,0.035)); #461233=CARTESIAN_POINT('',(45.954372,31.968,0.035)); #461234=CARTESIAN_POINT('',(45.954372,31.968,0.)); #461235=CARTESIAN_POINT('Origin',(45.508,32.414372,0.)); #461236=CARTESIAN_POINT('',(45.508,32.414372,0.)); #461237=CARTESIAN_POINT('',(45.508,32.414372,0.)); #461238=CARTESIAN_POINT('',(45.508,32.414372,0.035)); #461239=CARTESIAN_POINT('',(45.508,32.414372,0.035)); #461240=CARTESIAN_POINT('',(45.508,32.414372,0.)); #461241=CARTESIAN_POINT('Origin',(45.508,33.045628,0.)); #461242=CARTESIAN_POINT('',(45.508,33.045628,0.)); #461243=CARTESIAN_POINT('',(45.508,33.045628,0.)); #461244=CARTESIAN_POINT('',(45.508,33.045628,0.035)); #461245=CARTESIAN_POINT('',(45.508,33.045628,0.035)); #461246=CARTESIAN_POINT('',(45.508,33.045628,0.)); #461247=CARTESIAN_POINT('Origin',(45.954372,33.492,0.)); #461248=CARTESIAN_POINT('',(45.954372,33.492,0.)); #461249=CARTESIAN_POINT('',(45.954372,33.492,0.)); #461250=CARTESIAN_POINT('',(45.954372,33.492,0.035)); #461251=CARTESIAN_POINT('',(45.954372,33.492,0.035)); #461252=CARTESIAN_POINT('',(45.954372,33.492,0.)); #461253=CARTESIAN_POINT('Origin',(46.585628,33.492,0.)); #461254=CARTESIAN_POINT('',(46.585628,33.492,0.)); #461255=CARTESIAN_POINT('',(46.585628,33.492,0.)); #461256=CARTESIAN_POINT('',(46.585628,33.492,0.035)); #461257=CARTESIAN_POINT('',(46.585628,33.492,0.035)); #461258=CARTESIAN_POINT('',(46.585628,33.492,0.)); #461259=CARTESIAN_POINT('Origin',(47.032,33.045628,0.)); #461260=CARTESIAN_POINT('',(47.032,33.045628,0.)); #461261=CARTESIAN_POINT('',(47.032,33.045628,0.)); #461262=CARTESIAN_POINT('',(47.032,33.045628,0.035)); #461263=CARTESIAN_POINT('',(47.032,33.045628,0.035)); #461264=CARTESIAN_POINT('',(47.032,33.045628,0.)); #461265=CARTESIAN_POINT('Origin',(47.032,32.414372,0.)); #461266=CARTESIAN_POINT('',(47.032,32.414372,0.)); #461267=CARTESIAN_POINT('',(47.032,32.414372,0.035)); #461268=CARTESIAN_POINT('Origin',(46.27,32.73,0.035)); #461269=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #461270=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #461271=CARTESIAN_POINT('',(36.035,27.75,0.)); #461272=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #461273=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #461274=CARTESIAN_POINT('',(36.035,27.75,-200.)); #461275=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #461276=CARTESIAN_POINT('Origin',(36.536844,27.,0.)); #461277=CARTESIAN_POINT('',(36.536844,27.,0.)); #461278=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #461279=CARTESIAN_POINT('',(36.536844,27.,0.)); #461280=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #461281=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #461282=CARTESIAN_POINT('',(36.536844,27.,0.035)); #461283=CARTESIAN_POINT('',(36.536844,27.,0.035)); #461284=CARTESIAN_POINT('',(36.536844,27.,0.)); #461285=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #461286=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #461287=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #461288=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #461289=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #461290=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #461291=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #461292=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #461293=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #461294=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #461295=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #461296=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #461297=CARTESIAN_POINT('',(25.86,36.,0.)); #461298=CARTESIAN_POINT('Origin',(26.,36.,0.)); #461299=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #461300=CARTESIAN_POINT('',(25.86,36.,-200.)); #461301=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #461302=CARTESIAN_POINT('Origin',(14.1811,50.627,0.)); #461303=CARTESIAN_POINT('',(14.1811,50.627,0.)); #461304=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #461305=CARTESIAN_POINT('',(14.1811,50.627,0.)); #461306=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #461307=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #461308=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #461309=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #461310=CARTESIAN_POINT('',(14.1811,50.627,0.)); #461311=CARTESIAN_POINT('Origin',(15.8189,50.627,0.)); #461312=CARTESIAN_POINT('',(15.8189,50.627,0.)); #461313=CARTESIAN_POINT('',(15.8189,50.627,0.)); #461314=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #461315=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #461316=CARTESIAN_POINT('',(15.8189,50.627,0.)); #461317=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.)); #461318=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #461319=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #461320=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #461321=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #461322=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #461323=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.)); #461324=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #461325=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #461326=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #461327=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #461328=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #461329=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.)); #461330=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #461331=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #461332=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #461333=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #461334=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #461335=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.)); #461336=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #461337=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #461338=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #461339=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #461340=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #461341=CARTESIAN_POINT('Origin',(15.8189,50.373,0.)); #461342=CARTESIAN_POINT('',(15.8189,50.373,0.)); #461343=CARTESIAN_POINT('',(15.8189,50.373,0.)); #461344=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #461345=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #461346=CARTESIAN_POINT('',(15.8189,50.373,0.)); #461347=CARTESIAN_POINT('Origin',(15.302606,50.373,0.)); #461348=CARTESIAN_POINT('',(15.302606,50.373,0.)); #461349=CARTESIAN_POINT('',(15.302606,50.373,0.)); #461350=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #461351=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #461352=CARTESIAN_POINT('',(15.302606,50.373,0.)); #461353=CARTESIAN_POINT('Origin',(15.127,50.197394,0.)); #461354=CARTESIAN_POINT('',(15.127,50.197394,0.)); #461355=CARTESIAN_POINT('',(15.127,50.197394,0.)); #461356=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #461357=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #461358=CARTESIAN_POINT('',(15.127,50.197394,0.)); #461359=CARTESIAN_POINT('Origin',(15.127,46.052606,0.)); #461360=CARTESIAN_POINT('',(15.127,46.052606,0.)); #461361=CARTESIAN_POINT('',(15.127,46.052606,0.)); #461362=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #461363=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #461364=CARTESIAN_POINT('',(15.127,46.052606,0.)); #461365=CARTESIAN_POINT('Origin',(15.552606,45.627,0.)); #461366=CARTESIAN_POINT('',(15.552606,45.627,0.)); #461367=CARTESIAN_POINT('',(15.552606,45.627,0.)); #461368=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #461369=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #461370=CARTESIAN_POINT('',(15.552606,45.627,0.)); #461371=CARTESIAN_POINT('Origin',(18.,45.627,0.)); #461372=CARTESIAN_POINT('',(18.,45.627,0.)); #461373=CARTESIAN_POINT('',(18.,45.627,0.)); #461374=CARTESIAN_POINT('',(18.,45.627,0.035)); #461375=CARTESIAN_POINT('',(18.,45.627,0.035)); #461376=CARTESIAN_POINT('',(18.,45.627,0.)); #461377=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #461378=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #461379=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #461380=CARTESIAN_POINT('',(18.089803,45.589803,0.035)); #461381=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.035)); #461382=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #461383=CARTESIAN_POINT('Origin',(18.964803,44.714803,0.)); #461384=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #461385=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #461386=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #461387=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #461388=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #461389=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #461390=CARTESIAN_POINT('',(19.002,44.625,0.)); #461391=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #461392=CARTESIAN_POINT('',(19.002,44.625,0.035)); #461393=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.035)); #461394=CARTESIAN_POINT('',(19.002,44.625,0.)); #461395=CARTESIAN_POINT('Origin',(19.002,39.397269,0.)); #461396=CARTESIAN_POINT('',(19.002,39.397269,0.)); #461397=CARTESIAN_POINT('',(19.002,39.397269,0.)); #461398=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #461399=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #461400=CARTESIAN_POINT('',(19.002,39.397269,0.)); #461401=CARTESIAN_POINT('Origin',(19.522269,38.877,0.)); #461402=CARTESIAN_POINT('',(19.522269,38.877,0.)); #461403=CARTESIAN_POINT('',(19.522269,38.877,0.)); #461404=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #461405=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #461406=CARTESIAN_POINT('',(19.522269,38.877,0.)); #461407=CARTESIAN_POINT('Origin',(23.87865,38.877,0.)); #461408=CARTESIAN_POINT('',(23.87865,38.877,0.)); #461409=CARTESIAN_POINT('',(23.87865,38.877,0.)); #461410=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #461411=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #461412=CARTESIAN_POINT('',(23.87865,38.877,0.)); #461413=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #461414=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #461415=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #461416=CARTESIAN_POINT('',(23.968453,38.839803,0.035)); #461417=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.035)); #461418=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #461419=CARTESIAN_POINT('Origin',(26.089803,36.718453,0.)); #461420=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #461421=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #461422=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #461423=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #461424=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #461425=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #461426=CARTESIAN_POINT('',(26.127,36.62865,0.)); #461427=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #461428=CARTESIAN_POINT('',(26.127,36.62865,0.035)); #461429=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.035)); #461430=CARTESIAN_POINT('',(26.127,36.62865,0.)); #461431=CARTESIAN_POINT('Origin',(26.127,36.243928,0.)); #461432=CARTESIAN_POINT('',(26.127,36.243928,0.)); #461433=CARTESIAN_POINT('',(26.127,36.243928,0.)); #461434=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #461435=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #461436=CARTESIAN_POINT('',(26.127,36.243928,0.)); #461437=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #461438=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #461439=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #461440=CARTESIAN_POINT('',(26.105563,35.745147,0.035)); #461441=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.035)); #461442=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #461443=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #461444=CARTESIAN_POINT('',(25.873,36.243928,0.)); #461445=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #461446=CARTESIAN_POINT('',(25.873,36.243928,0.035)); #461447=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.035)); #461448=CARTESIAN_POINT('',(25.873,36.243928,0.)); #461449=CARTESIAN_POINT('Origin',(25.873,36.576044,0.)); #461450=CARTESIAN_POINT('',(25.873,36.576044,0.)); #461451=CARTESIAN_POINT('',(25.873,36.576044,0.)); #461452=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #461453=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #461454=CARTESIAN_POINT('',(25.873,36.576044,0.)); #461455=CARTESIAN_POINT('Origin',(23.826044,38.623,0.)); #461456=CARTESIAN_POINT('',(23.826044,38.623,0.)); #461457=CARTESIAN_POINT('',(23.826044,38.623,0.)); #461458=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #461459=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #461460=CARTESIAN_POINT('',(23.826044,38.623,0.)); #461461=CARTESIAN_POINT('Origin',(19.469663,38.623,0.)); #461462=CARTESIAN_POINT('',(19.469663,38.623,0.)); #461463=CARTESIAN_POINT('',(19.469663,38.623,0.)); #461464=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #461465=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #461466=CARTESIAN_POINT('',(19.469663,38.623,0.)); #461467=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #461468=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #461469=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #461470=CARTESIAN_POINT('',(19.379859,38.660197,0.035)); #461471=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.035)); #461472=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #461473=CARTESIAN_POINT('Origin',(18.785197,39.254859,0.)); #461474=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #461475=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #461476=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #461477=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #461478=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #461479=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #461480=CARTESIAN_POINT('',(18.748,39.344663,0.)); #461481=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #461482=CARTESIAN_POINT('',(18.748,39.344663,0.035)); #461483=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.035)); #461484=CARTESIAN_POINT('',(18.748,39.344663,0.)); #461485=CARTESIAN_POINT('Origin',(18.748,44.572394,0.)); #461486=CARTESIAN_POINT('',(18.748,44.572394,0.)); #461487=CARTESIAN_POINT('',(18.748,44.572394,0.)); #461488=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #461489=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #461490=CARTESIAN_POINT('',(18.748,44.572394,0.)); #461491=CARTESIAN_POINT('Origin',(17.947394,45.373,0.)); #461492=CARTESIAN_POINT('',(17.947394,45.373,0.)); #461493=CARTESIAN_POINT('',(17.947394,45.373,0.)); #461494=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #461495=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #461496=CARTESIAN_POINT('',(17.947394,45.373,0.)); #461497=CARTESIAN_POINT('Origin',(15.5,45.373,0.)); #461498=CARTESIAN_POINT('',(15.5,45.373,0.)); #461499=CARTESIAN_POINT('',(15.5,45.373,0.)); #461500=CARTESIAN_POINT('',(15.5,45.373,0.035)); #461501=CARTESIAN_POINT('',(15.5,45.373,0.035)); #461502=CARTESIAN_POINT('',(15.5,45.373,0.)); #461503=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #461504=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #461505=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #461506=CARTESIAN_POINT('',(15.410197,45.410197,0.035)); #461507=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.035)); #461508=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #461509=CARTESIAN_POINT('Origin',(14.910197,45.910197,0.)); #461510=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #461511=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #461512=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #461513=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #461514=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #461515=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #461516=CARTESIAN_POINT('',(14.873,46.,0.)); #461517=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #461518=CARTESIAN_POINT('',(14.873,46.,0.035)); #461519=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.035)); #461520=CARTESIAN_POINT('',(14.873,46.,0.)); #461521=CARTESIAN_POINT('Origin',(14.873,50.197394,0.)); #461522=CARTESIAN_POINT('',(14.873,50.197394,0.)); #461523=CARTESIAN_POINT('',(14.873,50.197394,0.)); #461524=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #461525=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #461526=CARTESIAN_POINT('',(14.873,50.197394,0.)); #461527=CARTESIAN_POINT('Origin',(14.697394,50.373,0.)); #461528=CARTESIAN_POINT('',(14.697394,50.373,0.)); #461529=CARTESIAN_POINT('',(14.697394,50.373,0.)); #461530=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #461531=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #461532=CARTESIAN_POINT('',(14.697394,50.373,0.)); #461533=CARTESIAN_POINT('Origin',(14.1811,50.373,0.)); #461534=CARTESIAN_POINT('',(14.1811,50.373,0.)); #461535=CARTESIAN_POINT('',(14.1811,50.373,0.)); #461536=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #461537=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #461538=CARTESIAN_POINT('',(14.1811,50.373,0.)); #461539=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.)); #461540=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #461541=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #461542=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #461543=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #461544=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #461545=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.)); #461546=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #461547=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #461548=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #461549=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #461550=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #461551=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.)); #461552=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #461553=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #461554=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #461555=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #461556=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #461557=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.)); #461558=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #461559=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #461560=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.035)); #461561=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.)); #461562=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #461563=CARTESIAN_POINT('',(25.86,31.,0.)); #461564=CARTESIAN_POINT('Origin',(26.,31.,0.)); #461565=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #461566=CARTESIAN_POINT('',(25.86,31.,-200.)); #461567=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #461568=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.)); #461569=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #461570=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #461571=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #461572=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #461573=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #461574=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #461575=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #461576=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #461577=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.)); #461578=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #461579=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #461580=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #461581=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #461582=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #461583=CARTESIAN_POINT('Origin',(30.2875,19.623,0.)); #461584=CARTESIAN_POINT('',(30.2875,19.623,0.)); #461585=CARTESIAN_POINT('',(30.2875,19.623,0.)); #461586=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #461587=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #461588=CARTESIAN_POINT('',(30.2875,19.623,0.)); #461589=CARTESIAN_POINT('Origin',(29.75,19.623,0.)); #461590=CARTESIAN_POINT('',(29.75,19.623,0.)); #461591=CARTESIAN_POINT('',(29.75,19.623,0.)); #461592=CARTESIAN_POINT('',(29.75,19.623,0.035)); #461593=CARTESIAN_POINT('',(29.75,19.623,0.035)); #461594=CARTESIAN_POINT('',(29.75,19.623,0.)); #461595=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #461596=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #461597=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #461598=CARTESIAN_POINT('',(29.660197,19.660197,0.035)); #461599=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.035)); #461600=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #461601=CARTESIAN_POINT('Origin',(29.035197,20.285197,0.)); #461602=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #461603=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #461604=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #461605=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #461606=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #461607=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #461608=CARTESIAN_POINT('',(28.998,20.375,0.)); #461609=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #461610=CARTESIAN_POINT('',(28.998,20.375,0.035)); #461611=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.035)); #461612=CARTESIAN_POINT('',(28.998,20.375,0.)); #461613=CARTESIAN_POINT('Origin',(28.998,26.102731,0.)); #461614=CARTESIAN_POINT('',(28.998,26.102731,0.)); #461615=CARTESIAN_POINT('',(28.998,26.102731,0.)); #461616=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #461617=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #461618=CARTESIAN_POINT('',(28.998,26.102731,0.)); #461619=CARTESIAN_POINT('Origin',(25.910197,29.190534,0.)); #461620=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #461621=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #461622=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #461623=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #461624=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #461625=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #461626=CARTESIAN_POINT('',(25.873,29.280338,0.)); #461627=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #461628=CARTESIAN_POINT('',(25.873,29.280338,0.035)); #461629=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.035)); #461630=CARTESIAN_POINT('',(25.873,29.280338,0.)); #461631=CARTESIAN_POINT('Origin',(25.873,30.756072,0.)); #461632=CARTESIAN_POINT('',(25.873,30.756072,0.)); #461633=CARTESIAN_POINT('',(25.873,30.756072,0.)); #461634=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #461635=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #461636=CARTESIAN_POINT('',(25.873,30.756072,0.)); #461637=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #461638=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #461639=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #461640=CARTESIAN_POINT('',(25.894438,31.254853,0.035)); #461641=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.035)); #461642=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #461643=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #461644=CARTESIAN_POINT('',(26.127,30.756072,0.)); #461645=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #461646=CARTESIAN_POINT('',(26.127,30.756072,0.035)); #461647=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.035)); #461648=CARTESIAN_POINT('',(26.127,30.756072,0.)); #461649=CARTESIAN_POINT('Origin',(26.127,29.332944,0.)); #461650=CARTESIAN_POINT('',(26.127,29.332944,0.)); #461651=CARTESIAN_POINT('',(26.127,29.332944,0.)); #461652=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #461653=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #461654=CARTESIAN_POINT('',(26.127,29.332944,0.)); #461655=CARTESIAN_POINT('Origin',(29.214803,26.245141,0.)); #461656=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #461657=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #461658=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #461659=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #461660=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #461661=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #461662=CARTESIAN_POINT('',(29.252,26.155338,0.)); #461663=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #461664=CARTESIAN_POINT('',(29.252,26.155338,0.035)); #461665=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.035)); #461666=CARTESIAN_POINT('',(29.252,26.155338,0.)); #461667=CARTESIAN_POINT('Origin',(29.252,20.427606,0.)); #461668=CARTESIAN_POINT('',(29.252,20.427606,0.)); #461669=CARTESIAN_POINT('',(29.252,20.427606,0.)); #461670=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #461671=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #461672=CARTESIAN_POINT('',(29.252,20.427606,0.)); #461673=CARTESIAN_POINT('Origin',(29.802606,19.877,0.)); #461674=CARTESIAN_POINT('',(29.802606,19.877,0.)); #461675=CARTESIAN_POINT('',(29.802606,19.877,0.)); #461676=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #461677=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #461678=CARTESIAN_POINT('',(29.802606,19.877,0.)); #461679=CARTESIAN_POINT('Origin',(30.2875,19.877,0.)); #461680=CARTESIAN_POINT('',(30.2875,19.877,0.)); #461681=CARTESIAN_POINT('',(30.2875,19.877,0.)); #461682=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #461683=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #461684=CARTESIAN_POINT('',(30.2875,19.877,0.)); #461685=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.)); #461686=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #461687=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #461688=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #461689=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #461690=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #461691=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.)); #461692=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #461693=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #461694=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.035)); #461695=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.)); #461696=CARTESIAN_POINT('Origin',(29.9311,52.0555,0.)); #461697=CARTESIAN_POINT('',(29.9311,52.0555,0.)); #461698=CARTESIAN_POINT('',(29.9311,52.9445,0.)); #461699=CARTESIAN_POINT('',(29.9311,52.0555,0.)); #461700=CARTESIAN_POINT('',(29.9311,52.9445,0.035)); #461701=CARTESIAN_POINT('',(29.9311,52.9445,0.)); #461702=CARTESIAN_POINT('',(29.9311,52.0555,0.035)); #461703=CARTESIAN_POINT('',(29.9311,52.0555,0.035)); #461704=CARTESIAN_POINT('',(29.9311,52.0555,0.)); #461705=CARTESIAN_POINT('Origin',(29.0929,52.0555,0.)); #461706=CARTESIAN_POINT('',(29.0929,52.0555,0.)); #461707=CARTESIAN_POINT('',(29.0929,52.0555,0.)); #461708=CARTESIAN_POINT('',(29.0929,52.0555,0.035)); #461709=CARTESIAN_POINT('',(29.0929,52.0555,0.035)); #461710=CARTESIAN_POINT('',(29.0929,52.0555,0.)); #461711=CARTESIAN_POINT('Origin',(29.0929,52.9445,0.)); #461712=CARTESIAN_POINT('',(29.0929,52.9445,0.)); #461713=CARTESIAN_POINT('',(29.0929,52.9445,0.)); #461714=CARTESIAN_POINT('',(29.0929,52.9445,0.035)); #461715=CARTESIAN_POINT('',(29.0929,52.9445,0.035)); #461716=CARTESIAN_POINT('',(29.0929,52.9445,0.)); #461717=CARTESIAN_POINT('Origin',(29.385,52.9445,0.)); #461718=CARTESIAN_POINT('',(29.385,52.9445,0.)); #461719=CARTESIAN_POINT('',(29.385,52.9445,0.)); #461720=CARTESIAN_POINT('',(29.385,52.9445,0.035)); #461721=CARTESIAN_POINT('',(29.385,52.9445,0.035)); #461722=CARTESIAN_POINT('',(29.385,52.9445,0.)); #461723=CARTESIAN_POINT('Origin',(29.385,56.0555,0.)); #461724=CARTESIAN_POINT('',(29.385,56.0555,0.)); #461725=CARTESIAN_POINT('',(29.385,56.0555,0.)); #461726=CARTESIAN_POINT('',(29.385,56.0555,0.035)); #461727=CARTESIAN_POINT('',(29.385,56.0555,0.035)); #461728=CARTESIAN_POINT('',(29.385,56.0555,0.)); #461729=CARTESIAN_POINT('Origin',(29.0929,56.0555,0.)); #461730=CARTESIAN_POINT('',(29.0929,56.0555,0.)); #461731=CARTESIAN_POINT('',(29.0929,56.0555,0.)); #461732=CARTESIAN_POINT('',(29.0929,56.0555,0.035)); #461733=CARTESIAN_POINT('',(29.0929,56.0555,0.035)); #461734=CARTESIAN_POINT('',(29.0929,56.0555,0.)); #461735=CARTESIAN_POINT('Origin',(29.0929,56.9445,0.)); #461736=CARTESIAN_POINT('',(29.0929,56.9445,0.)); #461737=CARTESIAN_POINT('',(29.0929,56.9445,0.)); #461738=CARTESIAN_POINT('',(29.0929,56.9445,0.035)); #461739=CARTESIAN_POINT('',(29.0929,56.9445,0.035)); #461740=CARTESIAN_POINT('',(29.0929,56.9445,0.)); #461741=CARTESIAN_POINT('Origin',(29.9311,56.9445,0.)); #461742=CARTESIAN_POINT('',(29.9311,56.9445,0.)); #461743=CARTESIAN_POINT('',(29.9311,56.9445,0.)); #461744=CARTESIAN_POINT('',(29.9311,56.9445,0.035)); #461745=CARTESIAN_POINT('',(29.9311,56.9445,0.035)); #461746=CARTESIAN_POINT('',(29.9311,56.9445,0.)); #461747=CARTESIAN_POINT('Origin',(29.9311,56.0555,0.)); #461748=CARTESIAN_POINT('',(29.9311,56.0555,0.)); #461749=CARTESIAN_POINT('',(29.9311,56.0555,0.)); #461750=CARTESIAN_POINT('',(29.9311,56.0555,0.035)); #461751=CARTESIAN_POINT('',(29.9311,56.0555,0.035)); #461752=CARTESIAN_POINT('',(29.9311,56.0555,0.)); #461753=CARTESIAN_POINT('Origin',(29.639,56.0555,0.)); #461754=CARTESIAN_POINT('',(29.639,56.0555,0.)); #461755=CARTESIAN_POINT('',(29.639,56.0555,0.)); #461756=CARTESIAN_POINT('',(29.639,56.0555,0.035)); #461757=CARTESIAN_POINT('',(29.639,56.0555,0.035)); #461758=CARTESIAN_POINT('',(29.639,56.0555,0.)); #461759=CARTESIAN_POINT('Origin',(29.639,52.9445,0.)); #461760=CARTESIAN_POINT('',(29.639,52.9445,0.)); #461761=CARTESIAN_POINT('',(29.639,52.9445,0.)); #461762=CARTESIAN_POINT('',(29.639,52.9445,0.035)); #461763=CARTESIAN_POINT('',(29.639,52.9445,0.035)); #461764=CARTESIAN_POINT('',(29.639,52.9445,0.)); #461765=CARTESIAN_POINT('Origin',(29.9311,52.9445,0.)); #461766=CARTESIAN_POINT('',(29.9311,52.9445,0.)); #461767=CARTESIAN_POINT('',(29.9311,52.9445,0.035)); #461768=CARTESIAN_POINT('Origin',(29.512,54.5,0.035)); #461769=CARTESIAN_POINT('Origin',(29.512,54.5,0.)); #461770=CARTESIAN_POINT('Origin',(38.9071,52.0555,0.)); #461771=CARTESIAN_POINT('',(38.9071,52.0555,0.)); #461772=CARTESIAN_POINT('',(38.9071,52.9445,0.)); #461773=CARTESIAN_POINT('',(38.9071,52.0555,0.)); #461774=CARTESIAN_POINT('',(38.9071,52.9445,0.035)); #461775=CARTESIAN_POINT('',(38.9071,52.9445,0.)); #461776=CARTESIAN_POINT('',(38.9071,52.0555,0.035)); #461777=CARTESIAN_POINT('',(38.9071,52.0555,0.035)); #461778=CARTESIAN_POINT('',(38.9071,52.0555,0.)); #461779=CARTESIAN_POINT('Origin',(38.0689,52.0555,0.)); #461780=CARTESIAN_POINT('',(38.0689,52.0555,0.)); #461781=CARTESIAN_POINT('',(38.0689,52.0555,0.)); #461782=CARTESIAN_POINT('',(38.0689,52.0555,0.035)); #461783=CARTESIAN_POINT('',(38.0689,52.0555,0.035)); #461784=CARTESIAN_POINT('',(38.0689,52.0555,0.)); #461785=CARTESIAN_POINT('Origin',(38.0689,52.9445,0.)); #461786=CARTESIAN_POINT('',(38.0689,52.9445,0.)); #461787=CARTESIAN_POINT('',(38.0689,52.9445,0.)); #461788=CARTESIAN_POINT('',(38.0689,52.9445,0.035)); #461789=CARTESIAN_POINT('',(38.0689,52.9445,0.035)); #461790=CARTESIAN_POINT('',(38.0689,52.9445,0.)); #461791=CARTESIAN_POINT('Origin',(38.361,52.9445,0.)); #461792=CARTESIAN_POINT('',(38.361,52.9445,0.)); #461793=CARTESIAN_POINT('',(38.361,52.9445,0.)); #461794=CARTESIAN_POINT('',(38.361,52.9445,0.035)); #461795=CARTESIAN_POINT('',(38.361,52.9445,0.035)); #461796=CARTESIAN_POINT('',(38.361,52.9445,0.)); #461797=CARTESIAN_POINT('Origin',(38.361,56.0555,0.)); #461798=CARTESIAN_POINT('',(38.361,56.0555,0.)); #461799=CARTESIAN_POINT('',(38.361,56.0555,0.)); #461800=CARTESIAN_POINT('',(38.361,56.0555,0.035)); #461801=CARTESIAN_POINT('',(38.361,56.0555,0.035)); #461802=CARTESIAN_POINT('',(38.361,56.0555,0.)); #461803=CARTESIAN_POINT('Origin',(38.0689,56.0555,0.)); #461804=CARTESIAN_POINT('',(38.0689,56.0555,0.)); #461805=CARTESIAN_POINT('',(38.0689,56.0555,0.)); #461806=CARTESIAN_POINT('',(38.0689,56.0555,0.035)); #461807=CARTESIAN_POINT('',(38.0689,56.0555,0.035)); #461808=CARTESIAN_POINT('',(38.0689,56.0555,0.)); #461809=CARTESIAN_POINT('Origin',(38.0689,56.9445,0.)); #461810=CARTESIAN_POINT('',(38.0689,56.9445,0.)); #461811=CARTESIAN_POINT('',(38.0689,56.9445,0.)); #461812=CARTESIAN_POINT('',(38.0689,56.9445,0.035)); #461813=CARTESIAN_POINT('',(38.0689,56.9445,0.035)); #461814=CARTESIAN_POINT('',(38.0689,56.9445,0.)); #461815=CARTESIAN_POINT('Origin',(38.9071,56.9445,0.)); #461816=CARTESIAN_POINT('',(38.9071,56.9445,0.)); #461817=CARTESIAN_POINT('',(38.9071,56.9445,0.)); #461818=CARTESIAN_POINT('',(38.9071,56.9445,0.035)); #461819=CARTESIAN_POINT('',(38.9071,56.9445,0.035)); #461820=CARTESIAN_POINT('',(38.9071,56.9445,0.)); #461821=CARTESIAN_POINT('Origin',(38.9071,56.0555,0.)); #461822=CARTESIAN_POINT('',(38.9071,56.0555,0.)); #461823=CARTESIAN_POINT('',(38.9071,56.0555,0.)); #461824=CARTESIAN_POINT('',(38.9071,56.0555,0.035)); #461825=CARTESIAN_POINT('',(38.9071,56.0555,0.035)); #461826=CARTESIAN_POINT('',(38.9071,56.0555,0.)); #461827=CARTESIAN_POINT('Origin',(38.615,56.0555,0.)); #461828=CARTESIAN_POINT('',(38.615,56.0555,0.)); #461829=CARTESIAN_POINT('',(38.615,56.0555,0.)); #461830=CARTESIAN_POINT('',(38.615,56.0555,0.035)); #461831=CARTESIAN_POINT('',(38.615,56.0555,0.035)); #461832=CARTESIAN_POINT('',(38.615,56.0555,0.)); #461833=CARTESIAN_POINT('Origin',(38.615,52.9445,0.)); #461834=CARTESIAN_POINT('',(38.615,52.9445,0.)); #461835=CARTESIAN_POINT('',(38.615,52.9445,0.)); #461836=CARTESIAN_POINT('',(38.615,52.9445,0.035)); #461837=CARTESIAN_POINT('',(38.615,52.9445,0.035)); #461838=CARTESIAN_POINT('',(38.615,52.9445,0.)); #461839=CARTESIAN_POINT('Origin',(38.9071,52.9445,0.)); #461840=CARTESIAN_POINT('',(38.9071,52.9445,0.)); #461841=CARTESIAN_POINT('',(38.9071,52.9445,0.035)); #461842=CARTESIAN_POINT('Origin',(38.488,54.5,0.035)); #461843=CARTESIAN_POINT('Origin',(38.488,54.5,0.)); #461844=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #461845=CARTESIAN_POINT('',(47.765,58.75,0.)); #461846=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #461847=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #461848=CARTESIAN_POINT('',(47.765,58.75,-200.)); #461849=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #461850=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.)); #461851=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #461852=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #461853=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #461854=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #461855=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #461856=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #461857=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #461858=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #461859=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.)); #461860=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #461861=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #461862=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #461863=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #461864=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #461865=CARTESIAN_POINT('Origin',(43.5555,41.984556,0.)); #461866=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #461867=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #461868=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #461869=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #461870=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #461871=CARTESIAN_POINT('Origin',(42.535197,43.004859,0.)); #461872=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #461873=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #461874=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #461875=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #461876=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #461877=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #461878=CARTESIAN_POINT('',(42.498,43.094663,0.)); #461879=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #461880=CARTESIAN_POINT('',(42.498,43.094663,0.035)); #461881=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.035)); #461882=CARTESIAN_POINT('',(42.498,43.094663,0.)); #461883=CARTESIAN_POINT('Origin',(42.498,57.875,0.)); #461884=CARTESIAN_POINT('',(42.498,57.875,0.)); #461885=CARTESIAN_POINT('',(42.498,57.875,0.)); #461886=CARTESIAN_POINT('',(42.498,57.875,0.035)); #461887=CARTESIAN_POINT('',(42.498,57.875,0.035)); #461888=CARTESIAN_POINT('',(42.498,57.875,0.)); #461889=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #461890=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #461891=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #461892=CARTESIAN_POINT('',(42.535197,57.964803,0.035)); #461893=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.035)); #461894=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #461895=CARTESIAN_POINT('Origin',(43.410197,58.839803,0.)); #461896=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #461897=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #461898=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #461899=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #461900=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #461901=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #461902=CARTESIAN_POINT('',(43.5,58.877,0.)); #461903=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #461904=CARTESIAN_POINT('',(43.5,58.877,0.035)); #461905=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.035)); #461906=CARTESIAN_POINT('',(43.5,58.877,0.)); #461907=CARTESIAN_POINT('Origin',(47.661072,58.877,0.)); #461908=CARTESIAN_POINT('',(47.661072,58.877,0.)); #461909=CARTESIAN_POINT('',(47.661072,58.877,0.)); #461910=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #461911=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #461912=CARTESIAN_POINT('',(47.661072,58.877,0.)); #461913=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #461914=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #461915=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #461916=CARTESIAN_POINT('',(48.159853,58.855563,0.035)); #461917=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.035)); #461918=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #461919=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #461920=CARTESIAN_POINT('',(47.661072,58.623,0.)); #461921=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #461922=CARTESIAN_POINT('',(47.661072,58.623,0.035)); #461923=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.035)); #461924=CARTESIAN_POINT('',(47.661072,58.623,0.)); #461925=CARTESIAN_POINT('Origin',(43.552606,58.623,0.)); #461926=CARTESIAN_POINT('',(43.552606,58.623,0.)); #461927=CARTESIAN_POINT('',(43.552606,58.623,0.)); #461928=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #461929=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #461930=CARTESIAN_POINT('',(43.552606,58.623,0.)); #461931=CARTESIAN_POINT('Origin',(42.752,57.822394,0.)); #461932=CARTESIAN_POINT('',(42.752,57.822394,0.)); #461933=CARTESIAN_POINT('',(42.752,57.822394,0.)); #461934=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #461935=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #461936=CARTESIAN_POINT('',(42.752,57.822394,0.)); #461937=CARTESIAN_POINT('Origin',(42.752,43.147269,0.)); #461938=CARTESIAN_POINT('',(42.752,43.147269,0.)); #461939=CARTESIAN_POINT('',(42.752,43.147269,0.)); #461940=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #461941=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #461942=CARTESIAN_POINT('',(42.752,43.147269,0.)); #461943=CARTESIAN_POINT('Origin',(43.718169,42.1811,0.)); #461944=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #461945=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #461946=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #461947=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #461948=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #461949=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.)); #461950=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #461951=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #461952=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.035)); #461953=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.)); #461954=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #461955=CARTESIAN_POINT('',(37.36,21.25,0.)); #461956=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #461957=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #461958=CARTESIAN_POINT('',(37.36,21.25,-200.)); #461959=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #461960=CARTESIAN_POINT('Origin',(37.521644,20.975,0.)); #461961=CARTESIAN_POINT('',(37.521644,20.975,0.)); #461962=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #461963=CARTESIAN_POINT('',(37.521644,20.975,0.)); #461964=CARTESIAN_POINT('',(37.564397,20.981772,0.035)); #461965=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #461966=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #461967=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #461968=CARTESIAN_POINT('',(37.521644,20.975,0.)); #461969=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #461970=CARTESIAN_POINT('',(37.373,21.493928,0.)); #461971=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #461972=CARTESIAN_POINT('',(37.373,21.493928,0.035)); #461973=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.035)); #461974=CARTESIAN_POINT('',(37.373,21.493928,0.)); #461975=CARTESIAN_POINT('Origin',(37.373,22.567975,0.)); #461976=CARTESIAN_POINT('',(37.373,22.567975,0.)); #461977=CARTESIAN_POINT('',(37.373,22.567975,0.)); #461978=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #461979=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #461980=CARTESIAN_POINT('',(37.373,22.567975,0.)); #461981=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #461982=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #461983=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #461984=CARTESIAN_POINT('',(37.410197,22.657778,0.035)); #461985=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.035)); #461986=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #461987=CARTESIAN_POINT('Origin',(38.592222,23.839803,0.)); #461988=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #461989=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #461990=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #461991=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #461992=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #461993=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #461994=CARTESIAN_POINT('',(38.682025,23.877,0.)); #461995=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #461996=CARTESIAN_POINT('',(38.682025,23.877,0.035)); #461997=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.035)); #461998=CARTESIAN_POINT('',(38.682025,23.877,0.)); #461999=CARTESIAN_POINT('Origin',(44.959394,23.877,0.)); #462000=CARTESIAN_POINT('',(44.959394,23.877,0.)); #462001=CARTESIAN_POINT('',(44.959394,23.877,0.)); #462002=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #462003=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #462004=CARTESIAN_POINT('',(44.959394,23.877,0.)); #462005=CARTESIAN_POINT('Origin',(45.635,24.552606,0.)); #462006=CARTESIAN_POINT('',(45.635,24.552606,0.)); #462007=CARTESIAN_POINT('',(45.635,24.552606,0.)); #462008=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #462009=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #462010=CARTESIAN_POINT('',(45.635,24.552606,0.)); #462011=CARTESIAN_POINT('Origin',(45.635,25.5555,0.)); #462012=CARTESIAN_POINT('',(45.635,25.5555,0.)); #462013=CARTESIAN_POINT('',(45.635,25.5555,0.)); #462014=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #462015=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #462016=CARTESIAN_POINT('',(45.635,25.5555,0.)); #462017=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.)); #462018=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #462019=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #462020=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #462021=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #462022=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #462023=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.)); #462024=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #462025=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #462026=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #462027=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #462028=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #462029=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.)); #462030=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #462031=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #462032=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #462033=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #462034=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #462035=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.)); #462036=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #462037=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #462038=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #462039=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #462040=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #462041=CARTESIAN_POINT('Origin',(45.889,25.5555,0.)); #462042=CARTESIAN_POINT('',(45.889,25.5555,0.)); #462043=CARTESIAN_POINT('',(45.889,25.5555,0.)); #462044=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #462045=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #462046=CARTESIAN_POINT('',(45.889,25.5555,0.)); #462047=CARTESIAN_POINT('Origin',(45.889,24.5,0.)); #462048=CARTESIAN_POINT('',(45.889,24.5,0.)); #462049=CARTESIAN_POINT('',(45.889,24.5,0.)); #462050=CARTESIAN_POINT('',(45.889,24.5,0.035)); #462051=CARTESIAN_POINT('',(45.889,24.5,0.035)); #462052=CARTESIAN_POINT('',(45.889,24.5,0.)); #462053=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #462054=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #462055=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #462056=CARTESIAN_POINT('',(45.851803,24.410197,0.035)); #462057=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.035)); #462058=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #462059=CARTESIAN_POINT('Origin',(45.101803,23.660197,0.)); #462060=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #462061=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #462062=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #462063=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #462064=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #462065=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #462066=CARTESIAN_POINT('',(45.012,23.623,0.)); #462067=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #462068=CARTESIAN_POINT('',(45.012,23.623,0.035)); #462069=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.035)); #462070=CARTESIAN_POINT('',(45.012,23.623,0.)); #462071=CARTESIAN_POINT('Origin',(38.734631,23.623,0.)); #462072=CARTESIAN_POINT('',(38.734631,23.623,0.)); #462073=CARTESIAN_POINT('',(38.734631,23.623,0.)); #462074=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #462075=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #462076=CARTESIAN_POINT('',(38.734631,23.623,0.)); #462077=CARTESIAN_POINT('Origin',(37.627,22.515369,0.)); #462078=CARTESIAN_POINT('',(37.627,22.515369,0.)); #462079=CARTESIAN_POINT('',(37.627,22.515369,0.)); #462080=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #462081=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #462082=CARTESIAN_POINT('',(37.627,22.515369,0.)); #462083=CARTESIAN_POINT('Origin',(37.627,21.493928,0.)); #462084=CARTESIAN_POINT('',(37.627,21.493928,0.)); #462085=CARTESIAN_POINT('',(37.627,21.493928,0.)); #462086=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #462087=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #462088=CARTESIAN_POINT('',(37.627,21.493928,0.)); #462089=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #462090=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #462091=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.035)); #462092=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.035)); #462093=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.)); #462094=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #462095=CARTESIAN_POINT('',(30.86,13.,0.)); #462096=CARTESIAN_POINT('Origin',(31.,13.,0.)); #462097=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #462098=CARTESIAN_POINT('',(30.86,13.,-200.)); #462099=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #462100=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #462101=CARTESIAN_POINT('',(31.021644,12.725,0.)); #462102=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #462103=CARTESIAN_POINT('',(31.021644,12.725,0.)); #462104=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #462105=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #462106=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #462107=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #462108=CARTESIAN_POINT('',(31.021644,12.725,0.)); #462109=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #462110=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #462111=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #462112=CARTESIAN_POINT('',(30.894438,12.745147,0.035)); #462113=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.035)); #462114=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #462115=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #462116=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #462117=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #462118=CARTESIAN_POINT('',(31.082681,13.262288,0.035)); #462119=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.035)); #462120=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #462121=CARTESIAN_POINT('Origin',(31.1125,13.292106,0.)); #462122=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #462123=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #462124=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #462125=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #462126=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #462127=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.)); #462128=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #462129=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #462130=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #462131=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #462132=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #462133=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.)); #462134=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #462135=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #462136=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #462137=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #462138=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #462139=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.)); #462140=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #462141=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #462142=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #462143=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #462144=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #462145=CARTESIAN_POINT('Origin',(31.377,13.2875,0.)); #462146=CARTESIAN_POINT('',(31.377,13.2875,0.)); #462147=CARTESIAN_POINT('',(31.377,13.2875,0.)); #462148=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #462149=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #462150=CARTESIAN_POINT('',(31.377,13.2875,0.)); #462151=CARTESIAN_POINT('Origin',(31.377,13.25,0.)); #462152=CARTESIAN_POINT('',(31.377,13.25,0.)); #462153=CARTESIAN_POINT('',(31.377,13.25,0.)); #462154=CARTESIAN_POINT('',(31.377,13.25,0.035)); #462155=CARTESIAN_POINT('',(31.377,13.25,0.035)); #462156=CARTESIAN_POINT('',(31.377,13.25,0.)); #462157=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #462158=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #462159=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #462160=CARTESIAN_POINT('',(31.339803,13.160197,0.035)); #462161=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.035)); #462162=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #462163=CARTESIAN_POINT('Origin',(31.262288,13.082681,0.)); #462164=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #462165=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #462166=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #462167=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #462168=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #462169=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #462170=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #462171=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.035)); #462172=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.035)); #462173=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.)); #462174=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.)); #462175=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #462176=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #462177=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #462178=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #462179=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #462180=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #462181=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #462182=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #462183=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.)); #462184=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #462185=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #462186=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #462187=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #462188=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #462189=CARTESIAN_POINT('Origin',(30.2875,17.623,0.)); #462190=CARTESIAN_POINT('',(30.2875,17.623,0.)); #462191=CARTESIAN_POINT('',(30.2875,17.623,0.)); #462192=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #462193=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #462194=CARTESIAN_POINT('',(30.2875,17.623,0.)); #462195=CARTESIAN_POINT('Origin',(30.158988,17.623,0.)); #462196=CARTESIAN_POINT('',(30.158988,17.623,0.)); #462197=CARTESIAN_POINT('',(30.158988,17.623,0.)); #462198=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #462199=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #462200=CARTESIAN_POINT('',(30.158988,17.623,0.)); #462201=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #462202=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #462203=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #462204=CARTESIAN_POINT('',(30.069184,17.660197,0.035)); #462205=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.035)); #462206=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #462207=CARTESIAN_POINT('Origin',(27.910197,19.819184,0.)); #462208=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #462209=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #462210=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #462211=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #462212=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #462213=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #462214=CARTESIAN_POINT('',(27.873,19.908988,0.)); #462215=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #462216=CARTESIAN_POINT('',(27.873,19.908988,0.035)); #462217=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.035)); #462218=CARTESIAN_POINT('',(27.873,19.908988,0.)); #462219=CARTESIAN_POINT('Origin',(27.873,24.697394,0.)); #462220=CARTESIAN_POINT('',(27.873,24.697394,0.)); #462221=CARTESIAN_POINT('',(27.873,24.697394,0.)); #462222=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #462223=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #462224=CARTESIAN_POINT('',(27.873,24.697394,0.)); #462225=CARTESIAN_POINT('Origin',(27.447394,25.123,0.)); #462226=CARTESIAN_POINT('',(27.447394,25.123,0.)); #462227=CARTESIAN_POINT('',(27.447394,25.123,0.)); #462228=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #462229=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #462230=CARTESIAN_POINT('',(27.447394,25.123,0.)); #462231=CARTESIAN_POINT('Origin',(23.25,25.123,0.)); #462232=CARTESIAN_POINT('',(23.25,25.123,0.)); #462233=CARTESIAN_POINT('',(23.25,25.123,0.)); #462234=CARTESIAN_POINT('',(23.25,25.123,0.035)); #462235=CARTESIAN_POINT('',(23.25,25.123,0.035)); #462236=CARTESIAN_POINT('',(23.25,25.123,0.)); #462237=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #462238=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #462239=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #462240=CARTESIAN_POINT('',(23.160197,25.160197,0.035)); #462241=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.035)); #462242=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #462243=CARTESIAN_POINT('Origin',(22.910197,25.410197,0.)); #462244=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #462245=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #462246=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #462247=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #462248=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #462249=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #462250=CARTESIAN_POINT('',(22.873,25.5,0.)); #462251=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #462252=CARTESIAN_POINT('',(22.873,25.5,0.035)); #462253=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.035)); #462254=CARTESIAN_POINT('',(22.873,25.5,0.)); #462255=CARTESIAN_POINT('Origin',(22.873,27.447394,0.)); #462256=CARTESIAN_POINT('',(22.873,27.447394,0.)); #462257=CARTESIAN_POINT('',(22.873,27.447394,0.)); #462258=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #462259=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #462260=CARTESIAN_POINT('',(22.873,27.447394,0.)); #462261=CARTESIAN_POINT('Origin',(22.209394,28.111,0.)); #462262=CARTESIAN_POINT('',(22.209394,28.111,0.)); #462263=CARTESIAN_POINT('',(22.209394,28.111,0.)); #462264=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #462265=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #462266=CARTESIAN_POINT('',(22.209394,28.111,0.)); #462267=CARTESIAN_POINT('Origin',(21.4445,28.111,0.)); #462268=CARTESIAN_POINT('',(21.4445,28.111,0.)); #462269=CARTESIAN_POINT('',(21.4445,28.111,0.)); #462270=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #462271=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #462272=CARTESIAN_POINT('',(21.4445,28.111,0.)); #462273=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.)); #462274=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #462275=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #462276=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #462277=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #462278=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #462279=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.)); #462280=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #462281=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #462282=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #462283=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #462284=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #462285=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.)); #462286=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #462287=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #462288=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #462289=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #462290=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #462291=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.)); #462292=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #462293=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #462294=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #462295=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #462296=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #462297=CARTESIAN_POINT('Origin',(21.4445,28.365,0.)); #462298=CARTESIAN_POINT('',(21.4445,28.365,0.)); #462299=CARTESIAN_POINT('',(21.4445,28.365,0.)); #462300=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #462301=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #462302=CARTESIAN_POINT('',(21.4445,28.365,0.)); #462303=CARTESIAN_POINT('Origin',(22.262,28.365,0.)); #462304=CARTESIAN_POINT('',(22.262,28.365,0.)); #462305=CARTESIAN_POINT('',(22.262,28.365,0.)); #462306=CARTESIAN_POINT('',(22.262,28.365,0.035)); #462307=CARTESIAN_POINT('',(22.262,28.365,0.035)); #462308=CARTESIAN_POINT('',(22.262,28.365,0.)); #462309=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #462310=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #462311=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #462312=CARTESIAN_POINT('',(22.351803,28.327803,0.035)); #462313=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.035)); #462314=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #462315=CARTESIAN_POINT('Origin',(23.089803,27.589803,0.)); #462316=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #462317=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #462318=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #462319=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #462320=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #462321=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #462322=CARTESIAN_POINT('',(23.127,27.5,0.)); #462323=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #462324=CARTESIAN_POINT('',(23.127,27.5,0.035)); #462325=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.035)); #462326=CARTESIAN_POINT('',(23.127,27.5,0.)); #462327=CARTESIAN_POINT('Origin',(23.127,25.552606,0.)); #462328=CARTESIAN_POINT('',(23.127,25.552606,0.)); #462329=CARTESIAN_POINT('',(23.127,25.552606,0.)); #462330=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #462331=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #462332=CARTESIAN_POINT('',(23.127,25.552606,0.)); #462333=CARTESIAN_POINT('Origin',(23.302606,25.377,0.)); #462334=CARTESIAN_POINT('',(23.302606,25.377,0.)); #462335=CARTESIAN_POINT('',(23.302606,25.377,0.)); #462336=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #462337=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #462338=CARTESIAN_POINT('',(23.302606,25.377,0.)); #462339=CARTESIAN_POINT('Origin',(27.5,25.377,0.)); #462340=CARTESIAN_POINT('',(27.5,25.377,0.)); #462341=CARTESIAN_POINT('',(27.5,25.377,0.)); #462342=CARTESIAN_POINT('',(27.5,25.377,0.035)); #462343=CARTESIAN_POINT('',(27.5,25.377,0.035)); #462344=CARTESIAN_POINT('',(27.5,25.377,0.)); #462345=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #462346=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #462347=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #462348=CARTESIAN_POINT('',(27.589803,25.339803,0.035)); #462349=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.035)); #462350=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #462351=CARTESIAN_POINT('Origin',(28.089803,24.839803,0.)); #462352=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #462353=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #462354=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #462355=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #462356=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #462357=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #462358=CARTESIAN_POINT('',(28.127,24.75,0.)); #462359=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #462360=CARTESIAN_POINT('',(28.127,24.75,0.035)); #462361=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.035)); #462362=CARTESIAN_POINT('',(28.127,24.75,0.)); #462363=CARTESIAN_POINT('Origin',(28.127,19.961594,0.)); #462364=CARTESIAN_POINT('',(28.127,19.961594,0.)); #462365=CARTESIAN_POINT('',(28.127,19.961594,0.)); #462366=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #462367=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #462368=CARTESIAN_POINT('',(28.127,19.961594,0.)); #462369=CARTESIAN_POINT('Origin',(30.211594,17.877,0.)); #462370=CARTESIAN_POINT('',(30.211594,17.877,0.)); #462371=CARTESIAN_POINT('',(30.211594,17.877,0.)); #462372=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #462373=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #462374=CARTESIAN_POINT('',(30.211594,17.877,0.)); #462375=CARTESIAN_POINT('Origin',(30.2875,17.877,0.)); #462376=CARTESIAN_POINT('',(30.2875,17.877,0.)); #462377=CARTESIAN_POINT('',(30.2875,17.877,0.)); #462378=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #462379=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #462380=CARTESIAN_POINT('',(30.2875,17.877,0.)); #462381=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.)); #462382=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #462383=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #462384=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #462385=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #462386=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #462387=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.)); #462388=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #462389=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #462390=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.035)); #462391=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.)); #462392=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #462393=CARTESIAN_POINT('',(24.86,26.625,0.)); #462394=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #462395=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #462396=CARTESIAN_POINT('',(24.86,26.625,-200.)); #462397=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #462398=CARTESIAN_POINT('Origin',(25.021644,26.35,0.)); #462399=CARTESIAN_POINT('',(25.021644,26.35,0.)); #462400=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #462401=CARTESIAN_POINT('',(25.021644,26.35,0.)); #462402=CARTESIAN_POINT('',(25.064397,26.356772,0.035)); #462403=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #462404=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #462405=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #462406=CARTESIAN_POINT('',(25.021644,26.35,0.)); #462407=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #462408=CARTESIAN_POINT('',(24.873,26.868928,0.)); #462409=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #462410=CARTESIAN_POINT('',(24.873,26.868928,0.035)); #462411=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.035)); #462412=CARTESIAN_POINT('',(24.873,26.868928,0.)); #462413=CARTESIAN_POINT('Origin',(24.873,27.8189,0.)); #462414=CARTESIAN_POINT('',(24.873,27.8189,0.)); #462415=CARTESIAN_POINT('',(24.873,27.8189,0.)); #462416=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #462417=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #462418=CARTESIAN_POINT('',(24.873,27.8189,0.)); #462419=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.)); #462420=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #462421=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #462422=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #462423=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #462424=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #462425=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.)); #462426=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #462427=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #462428=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #462429=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #462430=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #462431=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.)); #462432=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #462433=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #462434=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #462435=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #462436=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #462437=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.)); #462438=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #462439=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #462440=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #462441=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #462442=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #462443=CARTESIAN_POINT('Origin',(25.127,27.8189,0.)); #462444=CARTESIAN_POINT('',(25.127,27.8189,0.)); #462445=CARTESIAN_POINT('',(25.127,27.8189,0.)); #462446=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #462447=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #462448=CARTESIAN_POINT('',(25.127,27.8189,0.)); #462449=CARTESIAN_POINT('Origin',(25.127,26.868928,0.)); #462450=CARTESIAN_POINT('',(25.127,26.868928,0.)); #462451=CARTESIAN_POINT('',(25.127,26.868928,0.)); #462452=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #462453=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #462454=CARTESIAN_POINT('',(25.127,26.868928,0.)); #462455=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #462456=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #462457=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.035)); #462458=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.035)); #462459=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.)); #462460=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #462461=CARTESIAN_POINT('',(27.11,24.375,0.)); #462462=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #462463=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #462464=CARTESIAN_POINT('',(27.11,24.375,-200.)); #462465=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #462466=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #462467=CARTESIAN_POINT('',(27.622,19.,0.)); #462468=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #462469=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #462470=CARTESIAN_POINT('',(27.622,19.,-200.)); #462471=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #462472=CARTESIAN_POINT('Origin',(27.123,18.125,0.)); #462473=CARTESIAN_POINT('',(27.123,18.125,0.)); #462474=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #462475=CARTESIAN_POINT('',(27.123,18.125,0.)); #462476=CARTESIAN_POINT('',(27.123603,18.1196,0.035)); #462477=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #462478=CARTESIAN_POINT('',(27.123,18.125,0.035)); #462479=CARTESIAN_POINT('',(27.123,18.125,0.035)); #462480=CARTESIAN_POINT('',(27.123,18.125,0.)); #462481=CARTESIAN_POINT('Origin',(27.123,24.131072,0.)); #462482=CARTESIAN_POINT('',(27.123,24.131072,0.)); #462483=CARTESIAN_POINT('',(27.123,24.131072,0.)); #462484=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #462485=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #462486=CARTESIAN_POINT('',(27.123,24.131072,0.)); #462487=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #462488=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #462489=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #462490=CARTESIAN_POINT('',(27.144438,24.629853,0.035)); #462491=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.035)); #462492=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #462493=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #462494=CARTESIAN_POINT('',(27.377,24.131072,0.)); #462495=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #462496=CARTESIAN_POINT('',(27.377,24.131072,0.035)); #462497=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.035)); #462498=CARTESIAN_POINT('',(27.377,24.131072,0.)); #462499=CARTESIAN_POINT('Origin',(27.377,19.302606,0.)); #462500=CARTESIAN_POINT('',(27.377,19.302606,0.)); #462501=CARTESIAN_POINT('',(27.377,19.302606,0.)); #462502=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #462503=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #462504=CARTESIAN_POINT('',(27.377,19.302606,0.)); #462505=CARTESIAN_POINT('Origin',(27.53045,19.149156,0.)); #462506=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #462507=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #462508=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #462509=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #462510=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #462511=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #462512=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #462513=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #462514=CARTESIAN_POINT('',(27.9972,19.144131,0.035)); #462515=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.035)); #462516=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #462517=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #462518=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #462519=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #462520=CARTESIAN_POINT('',(27.53045,18.850844,0.035)); #462521=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.035)); #462522=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #462523=CARTESIAN_POINT('Origin',(27.377,18.697394,0.)); #462524=CARTESIAN_POINT('',(27.377,18.697394,0.)); #462525=CARTESIAN_POINT('',(27.377,18.697394,0.)); #462526=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #462527=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #462528=CARTESIAN_POINT('',(27.377,18.697394,0.)); #462529=CARTESIAN_POINT('Origin',(27.377,18.177606,0.)); #462530=CARTESIAN_POINT('',(27.377,18.177606,0.)); #462531=CARTESIAN_POINT('',(27.377,18.177606,0.)); #462532=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #462533=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #462534=CARTESIAN_POINT('',(27.377,18.177606,0.)); #462535=CARTESIAN_POINT('Origin',(29.177606,16.377,0.)); #462536=CARTESIAN_POINT('',(29.177606,16.377,0.)); #462537=CARTESIAN_POINT('',(29.177606,16.377,0.)); #462538=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #462539=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #462540=CARTESIAN_POINT('',(29.177606,16.377,0.)); #462541=CARTESIAN_POINT('Origin',(30.2875,16.377,0.)); #462542=CARTESIAN_POINT('',(30.2875,16.377,0.)); #462543=CARTESIAN_POINT('',(30.2875,16.377,0.)); #462544=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #462545=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #462546=CARTESIAN_POINT('',(30.2875,16.377,0.)); #462547=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.)); #462548=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #462549=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #462550=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #462551=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #462552=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #462553=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.)); #462554=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #462555=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #462556=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #462557=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #462558=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #462559=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.)); #462560=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #462561=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #462562=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #462563=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #462564=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #462565=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.)); #462566=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #462567=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #462568=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #462569=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #462570=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #462571=CARTESIAN_POINT('Origin',(30.2875,16.123,0.)); #462572=CARTESIAN_POINT('',(30.2875,16.123,0.)); #462573=CARTESIAN_POINT('',(30.2875,16.123,0.)); #462574=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #462575=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #462576=CARTESIAN_POINT('',(30.2875,16.123,0.)); #462577=CARTESIAN_POINT('Origin',(29.125,16.123,0.)); #462578=CARTESIAN_POINT('',(29.125,16.123,0.)); #462579=CARTESIAN_POINT('',(29.125,16.123,0.)); #462580=CARTESIAN_POINT('',(29.125,16.123,0.035)); #462581=CARTESIAN_POINT('',(29.125,16.123,0.035)); #462582=CARTESIAN_POINT('',(29.125,16.123,0.)); #462583=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #462584=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #462585=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #462586=CARTESIAN_POINT('',(29.035197,16.160197,0.035)); #462587=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.035)); #462588=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #462589=CARTESIAN_POINT('Origin',(27.160197,18.035197,0.)); #462590=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #462591=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #462592=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #462593=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #462594=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #462595=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #462596=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #462597=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.035)); #462598=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.035)); #462599=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.)); #462600=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.)); #462601=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #462602=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #462603=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #462604=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #462605=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #462606=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #462607=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #462608=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #462609=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.)); #462610=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #462611=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #462612=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #462613=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #462614=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #462615=CARTESIAN_POINT('Origin',(30.2875,14.623,0.)); #462616=CARTESIAN_POINT('',(30.2875,14.623,0.)); #462617=CARTESIAN_POINT('',(30.2875,14.623,0.)); #462618=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #462619=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #462620=CARTESIAN_POINT('',(30.2875,14.623,0.)); #462621=CARTESIAN_POINT('Origin',(29.033988,14.623,0.)); #462622=CARTESIAN_POINT('',(29.033988,14.623,0.)); #462623=CARTESIAN_POINT('',(29.033988,14.623,0.)); #462624=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #462625=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #462626=CARTESIAN_POINT('',(29.033988,14.623,0.)); #462627=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #462628=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #462629=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #462630=CARTESIAN_POINT('',(28.944184,14.660197,0.035)); #462631=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.035)); #462632=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #462633=CARTESIAN_POINT('Origin',(26.048881,17.5555,0.)); #462634=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #462635=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #462636=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #462637=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #462638=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #462639=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.)); #462640=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #462641=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #462642=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #462643=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #462644=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #462645=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.)); #462646=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #462647=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #462648=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #462649=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #462650=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #462651=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.)); #462652=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #462653=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #462654=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #462655=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #462656=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #462657=CARTESIAN_POINT('Origin',(26.1811,17.782494,0.)); #462658=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #462659=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #462660=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #462661=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #462662=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #462663=CARTESIAN_POINT('Origin',(29.086594,14.877,0.)); #462664=CARTESIAN_POINT('',(29.086594,14.877,0.)); #462665=CARTESIAN_POINT('',(29.086594,14.877,0.)); #462666=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #462667=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #462668=CARTESIAN_POINT('',(29.086594,14.877,0.)); #462669=CARTESIAN_POINT('Origin',(30.2875,14.877,0.)); #462670=CARTESIAN_POINT('',(30.2875,14.877,0.)); #462671=CARTESIAN_POINT('',(30.2875,14.877,0.)); #462672=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #462673=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #462674=CARTESIAN_POINT('',(30.2875,14.877,0.)); #462675=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.)); #462676=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #462677=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #462678=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #462679=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #462680=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #462681=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.)); #462682=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #462683=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #462684=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.035)); #462685=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.)); #462686=CARTESIAN_POINT('Origin',(34.375,29.625,-200.)); #462687=CARTESIAN_POINT('',(34.235,29.625,0.)); #462688=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #462689=CARTESIAN_POINT('',(34.235,29.625,0.0349999999999895)); #462690=CARTESIAN_POINT('',(34.235,29.625,-200.)); #462691=CARTESIAN_POINT('Origin',(34.375,29.625,0.0349999999999895)); #462692=CARTESIAN_POINT('Origin',(33.625,29.625,-200.)); #462693=CARTESIAN_POINT('',(33.485,29.625,0.)); #462694=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #462695=CARTESIAN_POINT('',(33.485,29.625,0.0349999999999895)); #462696=CARTESIAN_POINT('',(33.485,29.625,-200.)); #462697=CARTESIAN_POINT('Origin',(33.625,29.625,0.0349999999999895)); #462698=CARTESIAN_POINT('Origin',(34.375,28.875,-200.)); #462699=CARTESIAN_POINT('',(34.235,28.875,0.)); #462700=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #462701=CARTESIAN_POINT('',(34.235,28.875,0.0349999999999895)); #462702=CARTESIAN_POINT('',(34.235,28.875,-200.)); #462703=CARTESIAN_POINT('Origin',(34.375,28.875,0.0349999999999895)); #462704=CARTESIAN_POINT('Origin',(33.625,28.875,-200.)); #462705=CARTESIAN_POINT('',(33.485,28.875,0.)); #462706=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #462707=CARTESIAN_POINT('',(33.485,28.875,0.0349999999999895)); #462708=CARTESIAN_POINT('',(33.485,28.875,-200.)); #462709=CARTESIAN_POINT('Origin',(33.625,28.875,0.0349999999999895)); #462710=CARTESIAN_POINT('Origin',(33.625,27.375,-200.)); #462711=CARTESIAN_POINT('',(33.485,27.375,0.)); #462712=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #462713=CARTESIAN_POINT('',(33.485,27.375,0.0349999999999895)); #462714=CARTESIAN_POINT('',(33.485,27.375,-200.)); #462715=CARTESIAN_POINT('Origin',(33.625,27.375,0.0349999999999895)); #462716=CARTESIAN_POINT('Origin',(34.375,27.375,-200.)); #462717=CARTESIAN_POINT('',(34.235,27.375,0.)); #462718=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #462719=CARTESIAN_POINT('',(34.235,27.375,0.0349999999999895)); #462720=CARTESIAN_POINT('',(34.235,27.375,-200.)); #462721=CARTESIAN_POINT('Origin',(34.375,27.375,0.0349999999999895)); #462722=CARTESIAN_POINT('Origin',(33.625,28.125,-200.)); #462723=CARTESIAN_POINT('',(33.485,28.125,0.)); #462724=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #462725=CARTESIAN_POINT('',(33.485,28.125,0.0349999999999895)); #462726=CARTESIAN_POINT('',(33.485,28.125,-200.)); #462727=CARTESIAN_POINT('Origin',(33.625,28.125,0.0349999999999895)); #462728=CARTESIAN_POINT('Origin',(34.375,28.125,-200.)); #462729=CARTESIAN_POINT('',(34.235,28.125,0.)); #462730=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #462731=CARTESIAN_POINT('',(34.235,28.125,0.0349999999999895)); #462732=CARTESIAN_POINT('',(34.235,28.125,-200.)); #462733=CARTESIAN_POINT('Origin',(34.375,28.125,0.0349999999999895)); #462734=CARTESIAN_POINT('Origin',(33.625,26.625,-200.)); #462735=CARTESIAN_POINT('',(33.485,26.625,0.)); #462736=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #462737=CARTESIAN_POINT('',(33.485,26.625,0.0349999999999895)); #462738=CARTESIAN_POINT('',(33.485,26.625,-200.)); #462739=CARTESIAN_POINT('Origin',(33.625,26.625,0.0349999999999895)); #462740=CARTESIAN_POINT('Origin',(34.375,30.375,-200.)); #462741=CARTESIAN_POINT('',(34.235,30.375,0.)); #462742=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #462743=CARTESIAN_POINT('',(34.235,30.375,0.0349999999999895)); #462744=CARTESIAN_POINT('',(34.235,30.375,-200.)); #462745=CARTESIAN_POINT('Origin',(34.375,30.375,0.0349999999999895)); #462746=CARTESIAN_POINT('Origin',(33.625,30.375,-200.)); #462747=CARTESIAN_POINT('',(33.485,30.375,0.)); #462748=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #462749=CARTESIAN_POINT('',(33.485,30.375,0.0349999999999895)); #462750=CARTESIAN_POINT('',(33.485,30.375,-200.)); #462751=CARTESIAN_POINT('Origin',(33.625,30.375,0.0349999999999895)); #462752=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #462753=CARTESIAN_POINT('',(34.705,45.,0.)); #462754=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #462755=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #462756=CARTESIAN_POINT('',(34.705,45.,-200.)); #462757=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #462758=CARTESIAN_POINT('Origin',(34.375,26.625,-200.)); #462759=CARTESIAN_POINT('',(34.235,26.625,0.)); #462760=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #462761=CARTESIAN_POINT('',(34.235,26.625,0.0349999999999895)); #462762=CARTESIAN_POINT('',(34.235,26.625,-200.)); #462763=CARTESIAN_POINT('Origin',(34.375,26.625,0.0349999999999895)); #462764=CARTESIAN_POINT('Origin',(32.939338,43.9476,0.)); #462765=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #462766=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #462767=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #462768=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #462769=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #462770=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #462771=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #462772=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #462773=CARTESIAN_POINT('Origin',(33.326138,44.107819,0.)); #462774=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #462775=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #462776=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #462777=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #462778=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #462779=CARTESIAN_POINT('Origin',(33.622181,44.403863,0.)); #462780=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #462781=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #462782=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #462783=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #462784=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #462785=CARTESIAN_POINT('Origin',(33.7824,44.790663,0.)); #462786=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #462787=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #462788=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #462789=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #462790=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #462791=CARTESIAN_POINT('Origin',(33.7824,45.209338,0.)); #462792=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #462793=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #462794=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #462795=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #462796=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #462797=CARTESIAN_POINT('Origin',(33.622181,45.596138,0.)); #462798=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #462799=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #462800=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #462801=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #462802=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #462803=CARTESIAN_POINT('Origin',(33.326138,45.892181,0.)); #462804=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #462805=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #462806=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #462807=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #462808=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #462809=CARTESIAN_POINT('Origin',(32.939338,46.0524,0.)); #462810=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #462811=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #462812=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #462813=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #462814=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #462815=CARTESIAN_POINT('Origin',(32.520663,46.0524,0.)); #462816=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #462817=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #462818=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #462819=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #462820=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #462821=CARTESIAN_POINT('Origin',(32.133862,45.892181,0.)); #462822=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #462823=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #462824=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #462825=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #462826=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #462827=CARTESIAN_POINT('Origin',(31.837819,45.596138,0.)); #462828=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #462829=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #462830=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #462831=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #462832=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #462833=CARTESIAN_POINT('Origin',(31.6776,45.209338,0.)); #462834=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #462835=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #462836=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #462837=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #462838=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #462839=CARTESIAN_POINT('Origin',(31.6776,44.790663,0.)); #462840=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #462841=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #462842=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #462843=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #462844=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #462845=CARTESIAN_POINT('Origin',(31.837819,44.403863,0.)); #462846=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #462847=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #462848=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #462849=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #462850=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #462851=CARTESIAN_POINT('Origin',(32.133862,44.107819,0.)); #462852=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #462853=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #462854=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #462855=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #462856=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #462857=CARTESIAN_POINT('Origin',(32.520663,43.9476,0.)); #462858=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #462859=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #462860=CARTESIAN_POINT('Origin',(34.758916,25.800056,0.)); #462861=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #462862=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #462863=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #462864=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #462865=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #462866=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #462867=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #462868=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #462869=CARTESIAN_POINT('Origin',(34.729103,25.805616,0.)); #462870=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #462871=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #462872=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #462873=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #462874=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #462875=CARTESIAN_POINT('Origin',(34.703819,25.822366,0.)); #462876=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #462877=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #462878=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #462879=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #462880=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #462881=CARTESIAN_POINT('Origin',(34.511169,26.015016,0.)); #462882=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #462883=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #462884=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #462885=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #462886=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #462887=CARTESIAN_POINT('Origin',(34.1795,26.1524,0.)); #462888=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #462889=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #462890=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #462891=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #462892=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #462893=CARTESIAN_POINT('Origin',(33.8205,26.1524,0.)); #462894=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #462895=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #462896=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #462897=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #462898=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #462899=CARTESIAN_POINT('Origin',(33.488831,26.015016,0.)); #462900=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #462901=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #462902=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #462903=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #462904=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #462905=CARTESIAN_POINT('Origin',(33.296181,25.822366,0.)); #462906=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #462907=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #462908=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #462909=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #462910=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #462911=CARTESIAN_POINT('Origin',(33.274244,25.807066,0.)); #462912=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #462913=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #462914=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #462915=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #462916=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #462917=CARTESIAN_POINT('Origin',(33.244728,25.800084,0.)); #462918=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #462919=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #462920=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #462921=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #462922=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #462923=CARTESIAN_POINT('Origin',(33.214831,25.805169,0.)); #462924=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #462925=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #462926=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #462927=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #462928=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #462929=CARTESIAN_POINT('Origin',(33.189284,25.821513,0.)); #462930=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #462931=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #462932=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #462933=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #462934=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #462935=CARTESIAN_POINT('Origin',(33.172134,25.846525,0.)); #462936=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #462937=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #462938=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #462939=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #462940=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #462941=CARTESIAN_POINT('Origin',(33.1661,25.876247,0.)); #462942=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #462943=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #462944=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #462945=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #462946=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #462947=CARTESIAN_POINT('Origin',(33.1661,34.719691,0.)); #462948=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #462949=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #462950=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #462951=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #462952=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #462953=CARTESIAN_POINT('Origin',(31.262219,36.623572,0.)); #462954=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #462955=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #462956=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #462957=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #462958=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #462959=CARTESIAN_POINT('Origin',(31.245934,36.647731,0.)); #462960=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #462961=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #462962=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #462963=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #462964=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #462965=CARTESIAN_POINT('Origin',(31.2399,36.677453,0.)); #462966=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #462967=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #462968=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #462969=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #462970=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #462971=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #462972=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #462973=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #462974=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #462975=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #462976=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #462977=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #462978=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #462979=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #462980=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #462981=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #462982=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #462983=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #462984=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #462985=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #462986=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #462987=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #462988=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #462989=CARTESIAN_POINT('Origin',(30.558181,37.100116,0.)); #462990=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #462991=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #462992=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #462993=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #462994=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #462995=CARTESIAN_POINT('Origin',(30.536244,37.084816,0.)); #462996=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #462997=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #462998=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #462999=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #463000=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #463001=CARTESIAN_POINT('Origin',(30.506728,37.077834,0.)); #463002=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #463003=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #463004=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #463005=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #463006=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #463007=CARTESIAN_POINT('Origin',(30.476831,37.082919,0.)); #463008=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #463009=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #463010=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #463011=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #463012=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #463013=CARTESIAN_POINT('Origin',(30.451284,37.099263,0.)); #463014=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #463015=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #463016=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #463017=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #463018=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #463019=CARTESIAN_POINT('Origin',(30.434134,37.124275,0.)); #463020=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #463021=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #463022=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #463023=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #463024=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #463025=CARTESIAN_POINT('Origin',(30.4281,37.153997,0.)); #463026=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #463027=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #463028=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #463029=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #463030=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #463031=CARTESIAN_POINT('Origin',(30.4281,37.311247,0.)); #463032=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #463033=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #463034=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #463035=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #463036=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #463037=CARTESIAN_POINT('Origin',(30.433669,37.339844,0.)); #463038=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #463039=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #463040=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #463041=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #463042=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #463043=CARTESIAN_POINT('Origin',(30.450419,37.365128,0.)); #463044=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #463045=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #463046=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #463047=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #463048=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #463049=CARTESIAN_POINT('Origin',(30.466072,37.380781,0.)); #463050=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #463051=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #463052=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #463053=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #463054=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #463055=CARTESIAN_POINT('Origin',(30.490231,37.397066,0.)); #463056=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #463057=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #463058=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #463059=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #463060=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #463061=CARTESIAN_POINT('Origin',(30.519953,37.4031,0.)); #463062=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #463063=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #463064=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #463065=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #463066=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #463067=CARTESIAN_POINT('Origin',(31.244228,37.4031,0.)); #463068=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #463069=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #463070=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #463071=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #463072=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #463073=CARTESIAN_POINT('Origin',(31.3335,37.492372,0.)); #463074=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #463075=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #463076=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #463077=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #463078=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #463079=CARTESIAN_POINT('Origin',(31.3335,38.507628,0.)); #463080=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #463081=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #463082=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #463083=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #463084=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #463085=CARTESIAN_POINT('Origin',(31.272319,38.568809,0.)); #463086=CARTESIAN_POINT('',(31.272319,38.568809,0.)); #463087=CARTESIAN_POINT('',(31.272319,38.568809,0.)); #463088=CARTESIAN_POINT('',(31.272319,38.568809,0.035)); #463089=CARTESIAN_POINT('',(31.272319,38.568809,0.035)); #463090=CARTESIAN_POINT('',(31.272319,38.568809,0.)); #463091=CARTESIAN_POINT('Origin',(31.256034,38.592969,0.)); #463092=CARTESIAN_POINT('',(31.256034,38.592969,0.)); #463093=CARTESIAN_POINT('',(31.256034,38.592969,0.)); #463094=CARTESIAN_POINT('',(31.256034,38.592969,0.035)); #463095=CARTESIAN_POINT('',(31.256034,38.592969,0.035)); #463096=CARTESIAN_POINT('',(31.256034,38.592969,0.)); #463097=CARTESIAN_POINT('Origin',(31.25,38.622691,0.)); #463098=CARTESIAN_POINT('',(31.25,38.622691,0.)); #463099=CARTESIAN_POINT('',(31.25,38.622691,0.)); #463100=CARTESIAN_POINT('',(31.25,38.622691,0.035)); #463101=CARTESIAN_POINT('',(31.25,38.622691,0.035)); #463102=CARTESIAN_POINT('',(31.25,38.622691,0.)); #463103=CARTESIAN_POINT('Origin',(31.25,45.968438,0.)); #463104=CARTESIAN_POINT('',(31.25,45.968438,0.)); #463105=CARTESIAN_POINT('',(31.25,45.968438,0.)); #463106=CARTESIAN_POINT('',(31.25,45.968438,0.035)); #463107=CARTESIAN_POINT('',(31.25,45.968438,0.035)); #463108=CARTESIAN_POINT('',(31.25,45.968438,0.)); #463109=CARTESIAN_POINT('Origin',(31.255569,45.997034,0.)); #463110=CARTESIAN_POINT('',(31.255569,45.997034,0.)); #463111=CARTESIAN_POINT('',(31.255569,45.997034,0.)); #463112=CARTESIAN_POINT('',(31.255569,45.997034,0.035)); #463113=CARTESIAN_POINT('',(31.255569,45.997034,0.035)); #463114=CARTESIAN_POINT('',(31.255569,45.997034,0.)); #463115=CARTESIAN_POINT('Origin',(31.272319,46.022319,0.)); #463116=CARTESIAN_POINT('',(31.272319,46.022319,0.)); #463117=CARTESIAN_POINT('',(31.272319,46.022319,0.)); #463118=CARTESIAN_POINT('',(31.272319,46.022319,0.035)); #463119=CARTESIAN_POINT('',(31.272319,46.022319,0.035)); #463120=CARTESIAN_POINT('',(31.272319,46.022319,0.)); #463121=CARTESIAN_POINT('Origin',(31.977681,46.727681,0.)); #463122=CARTESIAN_POINT('',(31.977681,46.727681,0.)); #463123=CARTESIAN_POINT('',(31.977681,46.727681,0.)); #463124=CARTESIAN_POINT('',(31.977681,46.727681,0.035)); #463125=CARTESIAN_POINT('',(31.977681,46.727681,0.035)); #463126=CARTESIAN_POINT('',(31.977681,46.727681,0.)); #463127=CARTESIAN_POINT('Origin',(32.001841,46.743966,0.)); #463128=CARTESIAN_POINT('',(32.001841,46.743966,0.)); #463129=CARTESIAN_POINT('',(32.001841,46.743966,0.)); #463130=CARTESIAN_POINT('',(32.001841,46.743966,0.035)); #463131=CARTESIAN_POINT('',(32.001841,46.743966,0.035)); #463132=CARTESIAN_POINT('',(32.001841,46.743966,0.)); #463133=CARTESIAN_POINT('Origin',(32.031562,46.75,0.)); #463134=CARTESIAN_POINT('',(32.031562,46.75,0.)); #463135=CARTESIAN_POINT('',(32.031562,46.75,0.)); #463136=CARTESIAN_POINT('',(32.031562,46.75,0.035)); #463137=CARTESIAN_POINT('',(32.031562,46.75,0.035)); #463138=CARTESIAN_POINT('',(32.031562,46.75,0.)); #463139=CARTESIAN_POINT('Origin',(35.968438,46.75,0.)); #463140=CARTESIAN_POINT('',(35.968438,46.75,0.)); #463141=CARTESIAN_POINT('',(35.968438,46.75,0.)); #463142=CARTESIAN_POINT('',(35.968438,46.75,0.035)); #463143=CARTESIAN_POINT('',(35.968438,46.75,0.035)); #463144=CARTESIAN_POINT('',(35.968438,46.75,0.)); #463145=CARTESIAN_POINT('Origin',(35.997034,46.744431,0.)); #463146=CARTESIAN_POINT('',(35.997034,46.744431,0.)); #463147=CARTESIAN_POINT('',(35.997034,46.744431,0.)); #463148=CARTESIAN_POINT('',(35.997034,46.744431,0.035)); #463149=CARTESIAN_POINT('',(35.997034,46.744431,0.035)); #463150=CARTESIAN_POINT('',(35.997034,46.744431,0.)); #463151=CARTESIAN_POINT('Origin',(36.022319,46.727681,0.)); #463152=CARTESIAN_POINT('',(36.022319,46.727681,0.)); #463153=CARTESIAN_POINT('',(36.022319,46.727681,0.)); #463154=CARTESIAN_POINT('',(36.022319,46.727681,0.035)); #463155=CARTESIAN_POINT('',(36.022319,46.727681,0.035)); #463156=CARTESIAN_POINT('',(36.022319,46.727681,0.)); #463157=CARTESIAN_POINT('Origin',(36.727681,46.022319,0.)); #463158=CARTESIAN_POINT('',(36.727681,46.022319,0.)); #463159=CARTESIAN_POINT('',(36.727681,46.022319,0.)); #463160=CARTESIAN_POINT('',(36.727681,46.022319,0.035)); #463161=CARTESIAN_POINT('',(36.727681,46.022319,0.035)); #463162=CARTESIAN_POINT('',(36.727681,46.022319,0.)); #463163=CARTESIAN_POINT('Origin',(36.743966,45.998159,0.)); #463164=CARTESIAN_POINT('',(36.743966,45.998159,0.)); #463165=CARTESIAN_POINT('',(36.743966,45.998159,0.)); #463166=CARTESIAN_POINT('',(36.743966,45.998159,0.035)); #463167=CARTESIAN_POINT('',(36.743966,45.998159,0.035)); #463168=CARTESIAN_POINT('',(36.743966,45.998159,0.)); #463169=CARTESIAN_POINT('Origin',(36.75,45.968438,0.)); #463170=CARTESIAN_POINT('',(36.75,45.968438,0.)); #463171=CARTESIAN_POINT('',(36.75,45.968438,0.)); #463172=CARTESIAN_POINT('',(36.75,45.968438,0.035)); #463173=CARTESIAN_POINT('',(36.75,45.968438,0.035)); #463174=CARTESIAN_POINT('',(36.75,45.968438,0.)); #463175=CARTESIAN_POINT('Origin',(36.75,38.622691,0.)); #463176=CARTESIAN_POINT('',(36.75,38.622691,0.)); #463177=CARTESIAN_POINT('',(36.75,38.622691,0.)); #463178=CARTESIAN_POINT('',(36.75,38.622691,0.035)); #463179=CARTESIAN_POINT('',(36.75,38.622691,0.035)); #463180=CARTESIAN_POINT('',(36.75,38.622691,0.)); #463181=CARTESIAN_POINT('Origin',(36.744431,38.594094,0.)); #463182=CARTESIAN_POINT('',(36.744431,38.594094,0.)); #463183=CARTESIAN_POINT('',(36.744431,38.594094,0.)); #463184=CARTESIAN_POINT('',(36.744431,38.594094,0.035)); #463185=CARTESIAN_POINT('',(36.744431,38.594094,0.035)); #463186=CARTESIAN_POINT('',(36.744431,38.594094,0.)); #463187=CARTESIAN_POINT('Origin',(36.727681,38.568809,0.)); #463188=CARTESIAN_POINT('',(36.727681,38.568809,0.)); #463189=CARTESIAN_POINT('',(36.727681,38.568809,0.)); #463190=CARTESIAN_POINT('',(36.727681,38.568809,0.035)); #463191=CARTESIAN_POINT('',(36.727681,38.568809,0.035)); #463192=CARTESIAN_POINT('',(36.727681,38.568809,0.)); #463193=CARTESIAN_POINT('Origin',(36.6665,38.507628,0.)); #463194=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #463195=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #463196=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #463197=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #463198=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #463199=CARTESIAN_POINT('Origin',(36.6665,37.492372,0.)); #463200=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #463201=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #463202=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #463203=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #463204=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #463205=CARTESIAN_POINT('Origin',(36.755772,37.4031,0.)); #463206=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #463207=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #463208=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #463209=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #463210=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #463211=CARTESIAN_POINT('Origin',(37.480047,37.4031,0.)); #463212=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #463213=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #463214=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #463215=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #463216=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #463217=CARTESIAN_POINT('Origin',(37.508644,37.397531,0.)); #463218=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #463219=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #463220=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #463221=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #463222=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #463223=CARTESIAN_POINT('Origin',(37.533928,37.380781,0.)); #463224=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #463225=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #463226=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #463227=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #463228=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #463229=CARTESIAN_POINT('Origin',(37.549581,37.365128,0.)); #463230=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #463231=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #463232=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #463233=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #463234=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #463235=CARTESIAN_POINT('Origin',(37.565866,37.340969,0.)); #463236=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #463237=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #463238=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #463239=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #463240=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #463241=CARTESIAN_POINT('Origin',(37.5719,37.311247,0.)); #463242=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #463243=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #463244=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #463245=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #463246=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #463247=CARTESIAN_POINT('Origin',(37.5719,37.153997,0.)); #463248=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #463249=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #463250=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #463251=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #463252=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #463253=CARTESIAN_POINT('Origin',(37.567206,37.127666,0.)); #463254=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #463255=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #463256=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #463257=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #463258=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #463259=CARTESIAN_POINT('Origin',(37.551272,37.101859,0.)); #463260=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #463261=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #463262=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #463263=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #463264=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #463265=CARTESIAN_POINT('Origin',(37.526534,37.084316,0.)); #463266=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #463267=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #463268=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #463269=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #463270=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #463271=CARTESIAN_POINT('Origin',(37.496916,37.077806,0.)); #463272=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #463273=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #463274=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #463275=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #463276=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #463277=CARTESIAN_POINT('Origin',(37.467103,37.083366,0.)); #463278=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #463279=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #463280=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #463281=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #463282=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #463283=CARTESIAN_POINT('Origin',(37.441819,37.100116,0.)); #463284=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #463285=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #463286=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #463287=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #463288=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #463289=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #463290=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #463291=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #463292=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #463293=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #463294=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #463295=CARTESIAN_POINT('Origin',(37.010466,37.1774,0.)); #463296=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #463297=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #463298=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #463299=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #463300=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #463301=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #463302=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #463303=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #463304=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #463305=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #463306=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #463307=CARTESIAN_POINT('Origin',(36.7601,36.677453,0.)); #463308=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #463309=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #463310=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #463311=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #463312=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #463313=CARTESIAN_POINT('Origin',(36.754531,36.648856,0.)); #463314=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #463315=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #463316=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #463317=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #463318=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #463319=CARTESIAN_POINT('Origin',(36.737781,36.623572,0.)); #463320=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #463321=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #463322=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #463323=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #463324=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #463325=CARTESIAN_POINT('Origin',(34.8339,34.719691,0.)); #463326=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #463327=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #463328=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #463329=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #463330=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #463331=CARTESIAN_POINT('Origin',(34.8339,25.876247,0.)); #463332=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #463333=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #463334=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #463335=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #463336=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #463337=CARTESIAN_POINT('Origin',(34.829206,25.849916,0.)); #463338=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #463339=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #463340=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #463341=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #463342=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #463343=CARTESIAN_POINT('Origin',(34.813272,25.824109,0.)); #463344=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #463345=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #463346=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #463347=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #463348=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #463349=CARTESIAN_POINT('Origin',(34.788534,25.806566,0.)); #463350=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #463351=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #463352=CARTESIAN_POINT('Origin',(34.000000317184,36.8704427656013,0.035)); #463353=CARTESIAN_POINT('Origin',(34.000000317184,36.8704427656013,0.)); #463354=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #463355=CARTESIAN_POINT('',(31.035,27.75,0.)); #463356=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #463357=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #463358=CARTESIAN_POINT('',(31.035,27.75,-200.)); #463359=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #463360=CARTESIAN_POINT('Origin',(31.536844,27.,0.)); #463361=CARTESIAN_POINT('',(31.536844,27.,0.)); #463362=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #463363=CARTESIAN_POINT('',(31.536844,27.,0.)); #463364=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #463365=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #463366=CARTESIAN_POINT('',(31.536844,27.,0.035)); #463367=CARTESIAN_POINT('',(31.536844,27.,0.035)); #463368=CARTESIAN_POINT('',(31.536844,27.,0.)); #463369=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #463370=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #463371=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #463372=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #463373=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #463374=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #463375=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #463376=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #463377=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #463378=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #463379=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #463380=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #463381=CARTESIAN_POINT('',(14.36,21.75,0.)); #463382=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #463383=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #463384=CARTESIAN_POINT('',(14.36,21.75,-200.)); #463385=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #463386=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #463387=CARTESIAN_POINT('',(14.36,21.,0.)); #463388=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #463389=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #463390=CARTESIAN_POINT('',(14.36,21.,-200.)); #463391=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #463392=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #463393=CARTESIAN_POINT('',(15.86,20.25,0.)); #463394=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #463395=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #463396=CARTESIAN_POINT('',(15.86,20.25,-200.)); #463397=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #463398=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #463399=CARTESIAN_POINT('',(14.36,20.25,0.)); #463400=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #463401=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #463402=CARTESIAN_POINT('',(14.36,20.25,-200.)); #463403=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #463404=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #463405=CARTESIAN_POINT('',(15.11,21.,0.)); #463406=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #463407=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #463408=CARTESIAN_POINT('',(15.11,21.,-200.)); #463409=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #463410=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #463411=CARTESIAN_POINT('',(15.11,20.25,0.)); #463412=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #463413=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #463414=CARTESIAN_POINT('',(15.11,20.25,-200.)); #463415=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #463416=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #463417=CARTESIAN_POINT('',(15.11,21.75,0.)); #463418=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #463419=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #463420=CARTESIAN_POINT('',(15.11,21.75,-200.)); #463421=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #463422=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #463423=CARTESIAN_POINT('',(15.86,21.75,0.)); #463424=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #463425=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #463426=CARTESIAN_POINT('',(15.86,21.75,-200.)); #463427=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #463428=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #463429=CARTESIAN_POINT('',(15.86,21.,0.)); #463430=CARTESIAN_POINT('Origin',(16.,21.,0.)); #463431=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #463432=CARTESIAN_POINT('',(15.86,21.,-200.)); #463433=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #463434=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #463435=CARTESIAN_POINT('',(21.235,34.,0.)); #463436=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #463437=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #463438=CARTESIAN_POINT('',(21.235,34.,-200.)); #463439=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #463440=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #463441=CARTESIAN_POINT('',(15.285,34.,0.)); #463442=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #463443=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #463444=CARTESIAN_POINT('',(15.285,34.,-200.)); #463445=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #463446=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.)); #463447=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #463448=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #463449=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #463450=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #463451=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #463452=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #463453=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #463454=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #463455=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.)); #463456=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #463457=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #463458=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #463459=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #463460=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #463461=CARTESIAN_POINT('Origin',(30.2875,19.123,0.)); #463462=CARTESIAN_POINT('',(30.2875,19.123,0.)); #463463=CARTESIAN_POINT('',(30.2875,19.123,0.)); #463464=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #463465=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #463466=CARTESIAN_POINT('',(30.2875,19.123,0.)); #463467=CARTESIAN_POINT('Origin',(29.719663,19.123,0.)); #463468=CARTESIAN_POINT('',(29.719663,19.123,0.)); #463469=CARTESIAN_POINT('',(29.719663,19.123,0.)); #463470=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #463471=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #463472=CARTESIAN_POINT('',(29.719663,19.123,0.)); #463473=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #463474=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #463475=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #463476=CARTESIAN_POINT('',(29.629859,19.160197,0.035)); #463477=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.035)); #463478=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #463479=CARTESIAN_POINT('Origin',(28.660197,20.129859,0.)); #463480=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #463481=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #463482=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #463483=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #463484=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #463485=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #463486=CARTESIAN_POINT('',(28.623,20.219663,0.)); #463487=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #463488=CARTESIAN_POINT('',(28.623,20.219663,0.035)); #463489=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.035)); #463490=CARTESIAN_POINT('',(28.623,20.219663,0.)); #463491=CARTESIAN_POINT('Origin',(28.623,25.947394,0.)); #463492=CARTESIAN_POINT('',(28.623,25.947394,0.)); #463493=CARTESIAN_POINT('',(28.623,25.947394,0.)); #463494=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #463495=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #463496=CARTESIAN_POINT('',(28.623,25.947394,0.)); #463497=CARTESIAN_POINT('Origin',(25.697394,28.873,0.)); #463498=CARTESIAN_POINT('',(25.697394,28.873,0.)); #463499=CARTESIAN_POINT('',(25.697394,28.873,0.)); #463500=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #463501=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #463502=CARTESIAN_POINT('',(25.697394,28.873,0.)); #463503=CARTESIAN_POINT('Origin',(20.375,28.873,0.)); #463504=CARTESIAN_POINT('',(20.375,28.873,0.)); #463505=CARTESIAN_POINT('',(20.375,28.873,0.)); #463506=CARTESIAN_POINT('',(20.375,28.873,0.035)); #463507=CARTESIAN_POINT('',(20.375,28.873,0.035)); #463508=CARTESIAN_POINT('',(20.375,28.873,0.)); #463509=CARTESIAN_POINT('Origin',(20.375,27.635022,0.)); #463510=CARTESIAN_POINT('',(20.375,27.635022,0.)); #463511=CARTESIAN_POINT('',(20.375,27.635022,0.)); #463512=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #463513=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #463514=CARTESIAN_POINT('',(20.375,27.635022,0.)); #463515=CARTESIAN_POINT('Origin',(20.376459,27.625825,0.)); #463516=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #463517=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #463518=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #463519=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #463520=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #463521=CARTESIAN_POINT('Origin',(20.382984,27.625,0.)); #463522=CARTESIAN_POINT('',(20.382984,27.625,0.)); #463523=CARTESIAN_POINT('',(20.382984,27.625,0.)); #463524=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #463525=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #463526=CARTESIAN_POINT('',(20.382984,27.625,0.)); #463527=CARTESIAN_POINT('Origin',(21.614978,27.625,0.)); #463528=CARTESIAN_POINT('',(21.614978,27.625,0.)); #463529=CARTESIAN_POINT('',(21.614978,27.625,0.)); #463530=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #463531=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #463532=CARTESIAN_POINT('',(21.614978,27.625,0.)); #463533=CARTESIAN_POINT('Origin',(21.624175,27.626459,0.)); #463534=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #463535=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #463536=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #463537=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #463538=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #463539=CARTESIAN_POINT('Origin',(21.625,27.632984,0.)); #463540=CARTESIAN_POINT('',(21.625,27.632984,0.)); #463541=CARTESIAN_POINT('',(21.625,27.632984,0.)); #463542=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #463543=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #463544=CARTESIAN_POINT('',(21.625,27.632984,0.)); #463545=CARTESIAN_POINT('Origin',(21.625,27.8824,0.)); #463546=CARTESIAN_POINT('',(21.625,27.8824,0.)); #463547=CARTESIAN_POINT('',(21.625,27.8824,0.)); #463548=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #463549=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #463550=CARTESIAN_POINT('',(21.625,27.8824,0.)); #463551=CARTESIAN_POINT('Origin',(21.633538,27.928181,0.)); #463552=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #463553=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #463554=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #463555=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #463556=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #463557=CARTESIAN_POINT('Origin',(21.660778,27.970759,0.)); #463558=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #463559=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #463560=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #463561=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #463562=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #463563=CARTESIAN_POINT('Origin',(21.702466,27.999341,0.)); #463564=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #463565=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #463566=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #463567=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #463568=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #463569=CARTESIAN_POINT('Origin',(21.752,28.0094,0.)); #463570=CARTESIAN_POINT('',(21.752,28.0094,0.)); #463571=CARTESIAN_POINT('',(21.752,28.0094,0.)); #463572=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #463573=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #463574=CARTESIAN_POINT('',(21.752,28.0094,0.)); #463575=CARTESIAN_POINT('Origin',(22.114706,28.0094,0.)); #463576=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #463577=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #463578=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #463579=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #463580=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #463581=CARTESIAN_POINT('Origin',(22.162369,28.000116,0.)); #463582=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #463583=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #463584=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #463585=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #463586=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #463587=CARTESIAN_POINT('Origin',(22.204509,27.972203,0.)); #463588=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #463589=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #463590=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #463591=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #463592=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #463593=CARTESIAN_POINT('Origin',(22.734203,27.442509,0.)); #463594=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #463595=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #463596=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #463597=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #463598=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #463599=CARTESIAN_POINT('Origin',(22.761341,27.402241,0.)); #463600=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #463601=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #463602=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #463603=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #463604=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #463605=CARTESIAN_POINT('Origin',(22.7714,27.352706,0.)); #463606=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #463607=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #463608=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #463609=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #463610=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #463611=CARTESIAN_POINT('Origin',(22.7714,25.627,0.)); #463612=CARTESIAN_POINT('',(22.7714,25.627,0.)); #463613=CARTESIAN_POINT('',(22.7714,25.627,0.)); #463614=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #463615=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #463616=CARTESIAN_POINT('',(22.7714,25.627,0.)); #463617=CARTESIAN_POINT('Origin',(22.762863,25.581219,0.)); #463618=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #463619=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #463620=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #463621=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #463622=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #463623=CARTESIAN_POINT('Origin',(22.735622,25.538641,0.)); #463624=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #463625=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #463626=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #463627=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #463628=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #463629=CARTESIAN_POINT('Origin',(22.693934,25.510059,0.)); #463630=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #463631=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #463632=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #463633=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #463634=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #463635=CARTESIAN_POINT('Origin',(22.6444,25.5,0.)); #463636=CARTESIAN_POINT('',(22.6444,25.5,0.)); #463637=CARTESIAN_POINT('',(22.6444,25.5,0.)); #463638=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #463639=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #463640=CARTESIAN_POINT('',(22.6444,25.5,0.)); #463641=CARTESIAN_POINT('Origin',(20.758994,25.5,0.)); #463642=CARTESIAN_POINT('',(20.758994,25.5,0.)); #463643=CARTESIAN_POINT('',(20.758994,25.5,0.)); #463644=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #463645=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #463646=CARTESIAN_POINT('',(20.758994,25.5,0.)); #463647=CARTESIAN_POINT('Origin',(20.749975,25.498716,0.)); #463648=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #463649=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #463650=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #463651=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #463652=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #463653=CARTESIAN_POINT('Origin',(20.744347,25.494347,0.)); #463654=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #463655=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #463656=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #463657=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #463658=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #463659=CARTESIAN_POINT('Origin',(19.506366,24.256366,0.)); #463660=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #463661=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #463662=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #463663=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #463664=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #463665=CARTESIAN_POINT('Origin',(19.500891,24.249072,0.)); #463666=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #463667=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #463668=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #463669=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #463670=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #463671=CARTESIAN_POINT('Origin',(19.5,24.242016,0.)); #463672=CARTESIAN_POINT('',(19.5,24.242016,0.)); #463673=CARTESIAN_POINT('',(19.5,24.242016,0.)); #463674=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #463675=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #463676=CARTESIAN_POINT('',(19.5,24.242016,0.)); #463677=CARTESIAN_POINT('Origin',(19.5,20.552606,0.)); #463678=CARTESIAN_POINT('',(19.5,20.552606,0.)); #463679=CARTESIAN_POINT('',(19.5,20.552606,0.)); #463680=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #463681=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #463682=CARTESIAN_POINT('',(19.5,20.552606,0.)); #463683=CARTESIAN_POINT('Origin',(19.490716,20.504944,0.)); #463684=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #463685=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #463686=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #463687=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #463688=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #463689=CARTESIAN_POINT('Origin',(19.462803,20.462803,0.)); #463690=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #463691=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #463692=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #463693=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #463694=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #463695=CARTESIAN_POINT('Origin',(18.287197,19.287197,0.)); #463696=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #463697=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #463698=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #463699=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #463700=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #463701=CARTESIAN_POINT('Origin',(18.246928,19.260059,0.)); #463702=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #463703=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #463704=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #463705=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #463706=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #463707=CARTESIAN_POINT('Origin',(18.197394,19.25,0.)); #463708=CARTESIAN_POINT('',(18.197394,19.25,0.)); #463709=CARTESIAN_POINT('',(18.197394,19.25,0.)); #463710=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #463711=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #463712=CARTESIAN_POINT('',(18.197394,19.25,0.)); #463713=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #463714=CARTESIAN_POINT('',(14.302606,19.25,0.)); #463715=CARTESIAN_POINT('',(14.302606,19.25,0.)); #463716=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #463717=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #463718=CARTESIAN_POINT('',(14.302606,19.25,0.)); #463719=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #463720=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #463721=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #463722=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #463723=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #463724=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #463725=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #463726=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #463727=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #463728=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #463729=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #463730=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #463731=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #463732=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #463733=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #463734=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #463735=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #463736=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #463737=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #463738=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #463739=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #463740=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #463741=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #463742=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #463743=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #463744=CARTESIAN_POINT('',(14.,19.552606,0.)); #463745=CARTESIAN_POINT('',(14.,19.552606,0.)); #463746=CARTESIAN_POINT('',(14.,19.552606,0.035)); #463747=CARTESIAN_POINT('',(14.,19.552606,0.035)); #463748=CARTESIAN_POINT('',(14.,19.552606,0.)); #463749=CARTESIAN_POINT('Origin',(14.,37.993891,0.)); #463750=CARTESIAN_POINT('',(14.,37.993891,0.)); #463751=CARTESIAN_POINT('',(14.,37.993891,0.)); #463752=CARTESIAN_POINT('',(14.,37.993891,0.035)); #463753=CARTESIAN_POINT('',(14.,37.993891,0.035)); #463754=CARTESIAN_POINT('',(14.,37.993891,0.)); #463755=CARTESIAN_POINT('Origin',(13.999603,37.998013,0.)); #463756=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #463757=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #463758=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #463759=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #463760=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #463761=CARTESIAN_POINT('Origin',(13.999091,37.999566,0.)); #463762=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #463763=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #463764=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #463765=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #463766=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #463767=CARTESIAN_POINT('Origin',(13.994891,38.006131,0.)); #463768=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #463769=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #463770=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #463771=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #463772=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #463773=CARTESIAN_POINT('Origin',(10.279434,42.464678,0.)); #463774=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #463775=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #463776=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #463777=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #463778=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #463779=CARTESIAN_POINT('Origin',(10.260059,42.496447,0.)); #463780=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #463781=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #463782=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #463783=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #463784=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #463785=CARTESIAN_POINT('Origin',(10.25,42.545981,0.)); #463786=CARTESIAN_POINT('',(10.25,42.545981,0.)); #463787=CARTESIAN_POINT('',(10.25,42.545981,0.)); #463788=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #463789=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #463790=CARTESIAN_POINT('',(10.25,42.545981,0.)); #463791=CARTESIAN_POINT('Origin',(10.25,49.572394,0.)); #463792=CARTESIAN_POINT('',(10.25,49.572394,0.)); #463793=CARTESIAN_POINT('',(10.25,49.572394,0.)); #463794=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #463795=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #463796=CARTESIAN_POINT('',(10.25,49.572394,0.)); #463797=CARTESIAN_POINT('Origin',(10.259284,49.620056,0.)); #463798=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #463799=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #463800=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #463801=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #463802=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #463803=CARTESIAN_POINT('Origin',(10.287197,49.662197,0.)); #463804=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #463805=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #463806=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #463807=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #463808=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #463809=CARTESIAN_POINT('Origin',(11.587803,50.962803,0.)); #463810=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #463811=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #463812=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #463813=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #463814=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #463815=CARTESIAN_POINT('Origin',(11.628072,50.989941,0.)); #463816=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #463817=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #463818=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #463819=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #463820=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #463821=CARTESIAN_POINT('Origin',(11.677606,51.,0.)); #463822=CARTESIAN_POINT('',(11.677606,51.,0.)); #463823=CARTESIAN_POINT('',(11.677606,51.,0.)); #463824=CARTESIAN_POINT('',(11.677606,51.,0.035)); #463825=CARTESIAN_POINT('',(11.677606,51.,0.035)); #463826=CARTESIAN_POINT('',(11.677606,51.,0.)); #463827=CARTESIAN_POINT('Origin',(13.0635,51.,0.)); #463828=CARTESIAN_POINT('',(13.0635,51.,0.)); #463829=CARTESIAN_POINT('',(13.0635,51.,0.)); #463830=CARTESIAN_POINT('',(13.0635,51.,0.035)); #463831=CARTESIAN_POINT('',(13.0635,51.,0.035)); #463832=CARTESIAN_POINT('',(13.0635,51.,0.)); #463833=CARTESIAN_POINT('Origin',(13.109281,50.991463,0.)); #463834=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #463835=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #463836=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #463837=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #463838=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #463839=CARTESIAN_POINT('Origin',(13.151859,50.964222,0.)); #463840=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #463841=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #463842=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #463843=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #463844=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #463845=CARTESIAN_POINT('Origin',(13.180441,50.922534,0.)); #463846=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #463847=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #463848=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #463849=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #463850=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #463851=CARTESIAN_POINT('Origin',(13.1905,50.873,0.)); #463852=CARTESIAN_POINT('',(13.1905,50.873,0.)); #463853=CARTESIAN_POINT('',(13.1905,50.873,0.)); #463854=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #463855=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #463856=CARTESIAN_POINT('',(13.1905,50.873,0.)); #463857=CARTESIAN_POINT('Origin',(13.1905,49.992375,0.)); #463858=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #463859=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #463860=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #463861=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #463862=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #463863=CARTESIAN_POINT('Origin',(13.279775,49.9031,0.)); #463864=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #463865=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #463866=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #463867=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #463868=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #463869=CARTESIAN_POINT('Origin',(14.244225,49.9031,0.)); #463870=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #463871=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #463872=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #463873=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #463874=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #463875=CARTESIAN_POINT('Origin',(14.3335,49.992375,0.)); #463876=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #463877=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #463878=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #463879=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #463880=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #463881=CARTESIAN_POINT('Origin',(14.3335,50.1444,0.)); #463882=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #463883=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #463884=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #463885=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #463886=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #463887=CARTESIAN_POINT('Origin',(14.342037,50.190181,0.)); #463888=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #463889=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #463890=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #463891=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #463892=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #463893=CARTESIAN_POINT('Origin',(14.369278,50.232759,0.)); #463894=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #463895=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #463896=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #463897=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #463898=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #463899=CARTESIAN_POINT('Origin',(14.410966,50.261341,0.)); #463900=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #463901=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #463902=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #463903=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #463904=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #463905=CARTESIAN_POINT('Origin',(14.4605,50.2714,0.)); #463906=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #463907=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #463908=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #463909=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #463910=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #463911=CARTESIAN_POINT('Origin',(14.602706,50.2714,0.)); #463912=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #463913=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #463914=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #463915=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #463916=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #463917=CARTESIAN_POINT('Origin',(14.650369,50.262116,0.)); #463918=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #463919=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #463920=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #463921=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #463922=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #463923=CARTESIAN_POINT('Origin',(14.692509,50.234203,0.)); #463924=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #463925=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #463926=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #463927=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #463928=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #463929=CARTESIAN_POINT('Origin',(14.734203,50.192509,0.)); #463930=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #463931=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #463932=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #463933=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #463934=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #463935=CARTESIAN_POINT('Origin',(14.761341,50.152241,0.)); #463936=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #463937=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #463938=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #463939=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #463940=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #463941=CARTESIAN_POINT('Origin',(14.7714,50.102706,0.)); #463942=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #463943=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #463944=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #463945=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #463946=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #463947=CARTESIAN_POINT('Origin',(14.7714,45.905313,0.)); #463948=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #463949=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #463950=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #463951=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #463952=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #463953=CARTESIAN_POINT('Origin',(15.405313,45.2714,0.)); #463954=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #463955=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #463956=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #463957=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #463958=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #463959=CARTESIAN_POINT('Origin',(17.852706,45.2714,0.)); #463960=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #463961=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #463962=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #463963=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #463964=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #463965=CARTESIAN_POINT('Origin',(17.900369,45.262116,0.)); #463966=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #463967=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #463968=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #463969=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #463970=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #463971=CARTESIAN_POINT('Origin',(17.942509,45.234203,0.)); #463972=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #463973=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #463974=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #463975=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #463976=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #463977=CARTESIAN_POINT('Origin',(18.609203,44.567509,0.)); #463978=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #463979=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #463980=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #463981=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #463982=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #463983=CARTESIAN_POINT('Origin',(18.636341,44.527241,0.)); #463984=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #463985=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #463986=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #463987=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #463988=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #463989=CARTESIAN_POINT('Origin',(18.6464,44.477706,0.)); #463990=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #463991=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #463992=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #463993=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #463994=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #463995=CARTESIAN_POINT('Origin',(18.6464,39.249975,0.)); #463996=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #463997=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #463998=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #463999=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #464000=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #464001=CARTESIAN_POINT('Origin',(19.374975,38.5214,0.)); #464002=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #464003=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #464004=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #464005=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #464006=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #464007=CARTESIAN_POINT('Origin',(23.731356,38.5214,0.)); #464008=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #464009=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #464010=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #464011=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #464012=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #464013=CARTESIAN_POINT('Origin',(23.779019,38.512116,0.)); #464014=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #464015=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #464016=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #464017=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #464018=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #464019=CARTESIAN_POINT('Origin',(23.821159,38.484203,0.)); #464020=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #464021=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #464022=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #464023=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #464024=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #464025=CARTESIAN_POINT('Origin',(25.734203,36.571159,0.)); #464026=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #464027=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #464028=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #464029=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #464030=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #464031=CARTESIAN_POINT('Origin',(25.761341,36.530891,0.)); #464032=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #464033=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #464034=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #464035=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #464036=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #464037=CARTESIAN_POINT('Origin',(25.7714,36.481356,0.)); #464038=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #464039=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #464040=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #464041=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #464042=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #464043=CARTESIAN_POINT('Origin',(25.7714,36.428441,0.)); #464044=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #464045=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #464046=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #464047=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #464048=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #464049=CARTESIAN_POINT('Origin',(25.762116,36.380778,0.)); #464050=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #464051=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #464052=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #464053=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #464054=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #464055=CARTESIAN_POINT('Origin',(25.734203,36.338638,0.)); #464056=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #464057=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #464058=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #464059=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #464060=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #464061=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #464062=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #464063=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #464064=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #464065=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #464066=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #464067=CARTESIAN_POINT('Origin',(25.5726,36.127,0.)); #464068=CARTESIAN_POINT('',(25.5726,36.127,0.)); #464069=CARTESIAN_POINT('',(25.5726,36.127,0.)); #464070=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #464071=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #464072=CARTESIAN_POINT('',(25.5726,36.127,0.)); #464073=CARTESIAN_POINT('Origin',(25.564063,36.081219,0.)); #464074=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #464075=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #464076=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #464077=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #464078=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #464079=CARTESIAN_POINT('Origin',(25.536822,36.038641,0.)); #464080=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #464081=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #464082=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #464083=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #464084=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #464085=CARTESIAN_POINT('Origin',(25.495134,36.010059,0.)); #464086=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #464087=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #464088=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #464089=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #464090=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #464091=CARTESIAN_POINT('Origin',(25.4456,36.,0.)); #464092=CARTESIAN_POINT('',(25.4456,36.,0.)); #464093=CARTESIAN_POINT('',(25.4456,36.,0.)); #464094=CARTESIAN_POINT('',(25.4456,36.,0.035)); #464095=CARTESIAN_POINT('',(25.4456,36.,0.035)); #464096=CARTESIAN_POINT('',(25.4456,36.,0.)); #464097=CARTESIAN_POINT('Origin',(24.008994,36.,0.)); #464098=CARTESIAN_POINT('',(24.008994,36.,0.)); #464099=CARTESIAN_POINT('',(24.008994,36.,0.)); #464100=CARTESIAN_POINT('',(24.008994,36.,0.035)); #464101=CARTESIAN_POINT('',(24.008994,36.,0.035)); #464102=CARTESIAN_POINT('',(24.008994,36.,0.)); #464103=CARTESIAN_POINT('Origin',(23.999975,35.998716,0.)); #464104=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #464105=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #464106=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #464107=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #464108=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #464109=CARTESIAN_POINT('Origin',(23.994347,35.994347,0.)); #464110=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #464111=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #464112=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #464113=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #464114=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #464115=CARTESIAN_POINT('Origin',(23.006366,35.006366,0.)); #464116=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #464117=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #464118=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #464119=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #464120=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #464121=CARTESIAN_POINT('Origin',(23.000891,34.999072,0.)); #464122=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #464123=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #464124=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #464125=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #464126=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #464127=CARTESIAN_POINT('Origin',(23.,34.992016,0.)); #464128=CARTESIAN_POINT('',(23.,34.992016,0.)); #464129=CARTESIAN_POINT('',(23.,34.992016,0.)); #464130=CARTESIAN_POINT('',(23.,34.992016,0.035)); #464131=CARTESIAN_POINT('',(23.,34.992016,0.035)); #464132=CARTESIAN_POINT('',(23.,34.992016,0.)); #464133=CARTESIAN_POINT('Origin',(23.,31.802606,0.)); #464134=CARTESIAN_POINT('',(23.,31.802606,0.)); #464135=CARTESIAN_POINT('',(23.,31.802606,0.)); #464136=CARTESIAN_POINT('',(23.,31.802606,0.035)); #464137=CARTESIAN_POINT('',(23.,31.802606,0.035)); #464138=CARTESIAN_POINT('',(23.,31.802606,0.)); #464139=CARTESIAN_POINT('Origin',(22.990716,31.754944,0.)); #464140=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #464141=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #464142=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #464143=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #464144=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #464145=CARTESIAN_POINT('Origin',(22.962803,31.712803,0.)); #464146=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #464147=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #464148=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #464149=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #464150=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #464151=CARTESIAN_POINT('Origin',(21.662197,30.412197,0.)); #464152=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #464153=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #464154=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #464155=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #464156=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #464157=CARTESIAN_POINT('Origin',(21.621928,30.385059,0.)); #464158=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #464159=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #464160=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #464161=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #464162=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #464163=CARTESIAN_POINT('Origin',(21.572394,30.375,0.)); #464164=CARTESIAN_POINT('',(21.572394,30.375,0.)); #464165=CARTESIAN_POINT('',(21.572394,30.375,0.)); #464166=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #464167=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #464168=CARTESIAN_POINT('',(21.572394,30.375,0.)); #464169=CARTESIAN_POINT('Origin',(20.385022,30.375,0.)); #464170=CARTESIAN_POINT('',(20.385022,30.375,0.)); #464171=CARTESIAN_POINT('',(20.385022,30.375,0.)); #464172=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #464173=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #464174=CARTESIAN_POINT('',(20.385022,30.375,0.)); #464175=CARTESIAN_POINT('Origin',(20.375825,30.373541,0.)); #464176=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #464177=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #464178=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #464179=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #464180=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #464181=CARTESIAN_POINT('Origin',(20.375,30.367016,0.)); #464182=CARTESIAN_POINT('',(20.375,30.367016,0.)); #464183=CARTESIAN_POINT('',(20.375,30.367016,0.)); #464184=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #464185=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #464186=CARTESIAN_POINT('',(20.375,30.367016,0.)); #464187=CARTESIAN_POINT('Origin',(20.375,29.127,0.)); #464188=CARTESIAN_POINT('',(20.375,29.127,0.)); #464189=CARTESIAN_POINT('',(20.375,29.127,0.)); #464190=CARTESIAN_POINT('',(20.375,29.127,0.035)); #464191=CARTESIAN_POINT('',(20.375,29.127,0.035)); #464192=CARTESIAN_POINT('',(20.375,29.127,0.)); #464193=CARTESIAN_POINT('Origin',(25.75,29.127,0.)); #464194=CARTESIAN_POINT('',(25.75,29.127,0.)); #464195=CARTESIAN_POINT('',(25.75,29.127,0.)); #464196=CARTESIAN_POINT('',(25.75,29.127,0.035)); #464197=CARTESIAN_POINT('',(25.75,29.127,0.035)); #464198=CARTESIAN_POINT('',(25.75,29.127,0.)); #464199=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #464200=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #464201=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #464202=CARTESIAN_POINT('',(25.839803,29.089803,0.035)); #464203=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.035)); #464204=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #464205=CARTESIAN_POINT('Origin',(28.839803,26.089803,0.)); #464206=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #464207=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #464208=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #464209=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #464210=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #464211=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #464212=CARTESIAN_POINT('',(28.877,26.,0.)); #464213=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #464214=CARTESIAN_POINT('',(28.877,26.,0.035)); #464215=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.035)); #464216=CARTESIAN_POINT('',(28.877,26.,0.)); #464217=CARTESIAN_POINT('Origin',(28.877,20.272269,0.)); #464218=CARTESIAN_POINT('',(28.877,20.272269,0.)); #464219=CARTESIAN_POINT('',(28.877,20.272269,0.)); #464220=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #464221=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #464222=CARTESIAN_POINT('',(28.877,20.272269,0.)); #464223=CARTESIAN_POINT('Origin',(29.772269,19.377,0.)); #464224=CARTESIAN_POINT('',(29.772269,19.377,0.)); #464225=CARTESIAN_POINT('',(29.772269,19.377,0.)); #464226=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #464227=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #464228=CARTESIAN_POINT('',(29.772269,19.377,0.)); #464229=CARTESIAN_POINT('Origin',(30.2875,19.377,0.)); #464230=CARTESIAN_POINT('',(30.2875,19.377,0.)); #464231=CARTESIAN_POINT('',(30.2875,19.377,0.)); #464232=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #464233=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #464234=CARTESIAN_POINT('',(30.2875,19.377,0.)); #464235=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.)); #464236=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #464237=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #464238=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #464239=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #464240=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #464241=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.)); #464242=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #464243=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #464244=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.035)); #464245=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.)); #464246=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #464247=CARTESIAN_POINT('',(45.797,35.27,0.)); #464248=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #464249=CARTESIAN_POINT('',(45.797,35.27,0.0349999999999895)); #464250=CARTESIAN_POINT('',(45.797,35.27,-200.)); #464251=CARTESIAN_POINT('Origin',(46.27,35.27,0.0349999999999895)); #464252=CARTESIAN_POINT('Origin',(46.585628,34.508,0.)); #464253=CARTESIAN_POINT('',(46.585628,34.508,0.)); #464254=CARTESIAN_POINT('',(47.032,34.954372,0.)); #464255=CARTESIAN_POINT('',(46.585628,34.508,0.)); #464256=CARTESIAN_POINT('',(47.032,34.954372,0.035)); #464257=CARTESIAN_POINT('',(47.032,34.954372,0.)); #464258=CARTESIAN_POINT('',(46.585628,34.508,0.035)); #464259=CARTESIAN_POINT('',(46.585628,34.508,0.035)); #464260=CARTESIAN_POINT('',(46.585628,34.508,0.)); #464261=CARTESIAN_POINT('Origin',(45.954372,34.508,0.)); #464262=CARTESIAN_POINT('',(45.954372,34.508,0.)); #464263=CARTESIAN_POINT('',(45.954372,34.508,0.)); #464264=CARTESIAN_POINT('',(45.954372,34.508,0.035)); #464265=CARTESIAN_POINT('',(45.954372,34.508,0.035)); #464266=CARTESIAN_POINT('',(45.954372,34.508,0.)); #464267=CARTESIAN_POINT('Origin',(45.508,34.954372,0.)); #464268=CARTESIAN_POINT('',(45.508,34.954372,0.)); #464269=CARTESIAN_POINT('',(45.508,34.954372,0.)); #464270=CARTESIAN_POINT('',(45.508,34.954372,0.035)); #464271=CARTESIAN_POINT('',(45.508,34.954372,0.035)); #464272=CARTESIAN_POINT('',(45.508,34.954372,0.)); #464273=CARTESIAN_POINT('Origin',(45.508,35.585628,0.)); #464274=CARTESIAN_POINT('',(45.508,35.585628,0.)); #464275=CARTESIAN_POINT('',(45.508,35.585628,0.)); #464276=CARTESIAN_POINT('',(45.508,35.585628,0.035)); #464277=CARTESIAN_POINT('',(45.508,35.585628,0.035)); #464278=CARTESIAN_POINT('',(45.508,35.585628,0.)); #464279=CARTESIAN_POINT('Origin',(45.954372,36.032,0.)); #464280=CARTESIAN_POINT('',(45.954372,36.032,0.)); #464281=CARTESIAN_POINT('',(45.954372,36.032,0.)); #464282=CARTESIAN_POINT('',(45.954372,36.032,0.035)); #464283=CARTESIAN_POINT('',(45.954372,36.032,0.035)); #464284=CARTESIAN_POINT('',(45.954372,36.032,0.)); #464285=CARTESIAN_POINT('Origin',(46.585628,36.032,0.)); #464286=CARTESIAN_POINT('',(46.585628,36.032,0.)); #464287=CARTESIAN_POINT('',(46.585628,36.032,0.)); #464288=CARTESIAN_POINT('',(46.585628,36.032,0.035)); #464289=CARTESIAN_POINT('',(46.585628,36.032,0.035)); #464290=CARTESIAN_POINT('',(46.585628,36.032,0.)); #464291=CARTESIAN_POINT('Origin',(47.032,35.585628,0.)); #464292=CARTESIAN_POINT('',(47.032,35.585628,0.)); #464293=CARTESIAN_POINT('',(47.032,35.585628,0.)); #464294=CARTESIAN_POINT('',(47.032,35.585628,0.035)); #464295=CARTESIAN_POINT('',(47.032,35.585628,0.035)); #464296=CARTESIAN_POINT('',(47.032,35.585628,0.)); #464297=CARTESIAN_POINT('Origin',(47.032,34.954372,0.)); #464298=CARTESIAN_POINT('',(47.032,34.954372,0.)); #464299=CARTESIAN_POINT('',(47.032,34.954372,0.035)); #464300=CARTESIAN_POINT('Origin',(46.27,35.27,0.035)); #464301=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #464302=CARTESIAN_POINT('Origin',(34.307228,58.909691,0.)); #464303=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #464304=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #464305=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #464306=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #464307=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #464308=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #464309=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #464310=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #464311=CARTESIAN_POINT('Origin',(34.277331,58.914775,0.)); #464312=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #464313=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #464314=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #464315=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #464316=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #464317=CARTESIAN_POINT('Origin',(34.251784,58.931119,0.)); #464318=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #464319=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #464320=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #464321=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #464322=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #464323=CARTESIAN_POINT('Origin',(34.234634,58.956131,0.)); #464324=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #464325=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #464326=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #464327=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #464328=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #464329=CARTESIAN_POINT('Origin',(34.2286,58.985853,0.)); #464330=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #464331=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #464332=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #464333=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #464334=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #464335=CARTESIAN_POINT('Origin',(34.2286,59.5769,0.)); #464336=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #464337=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #464338=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #464339=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #464340=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #464341=CARTESIAN_POINT('Origin',(34.233722,59.604369,0.)); #464342=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #464343=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #464344=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #464345=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #464346=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #464347=CARTESIAN_POINT('Origin',(34.250066,59.629916,0.)); #464348=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #464349=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #464350=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #464351=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #464352=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #464353=CARTESIAN_POINT('Origin',(34.275078,59.647066,0.)); #464354=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #464355=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #464356=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #464357=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #464358=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #464359=CARTESIAN_POINT('Origin',(34.3048,59.6531,0.)); #464360=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #464361=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #464362=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #464363=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #464364=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #464365=CARTESIAN_POINT('Origin',(34.895847,59.6531,0.)); #464366=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #464367=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #464368=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #464369=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #464370=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #464371=CARTESIAN_POINT('Origin',(34.922178,59.648406,0.)); #464372=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #464373=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #464374=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #464375=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #464376=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #464377=CARTESIAN_POINT('Origin',(34.947984,59.632472,0.)); #464378=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #464379=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #464380=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #464381=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #464382=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #464383=CARTESIAN_POINT('Origin',(34.965528,59.607734,0.)); #464384=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #464385=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #464386=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #464387=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #464388=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #464389=CARTESIAN_POINT('Origin',(34.972037,59.578116,0.)); #464390=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #464391=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #464392=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #464393=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #464394=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #464395=CARTESIAN_POINT('Origin',(34.966478,59.548303,0.)); #464396=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #464397=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #464398=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #464399=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #464400=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #464401=CARTESIAN_POINT('Origin',(34.949728,59.523019,0.)); #464402=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #464403=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #464404=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #464405=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #464406=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #464407=CARTESIAN_POINT('Origin',(34.358681,58.931972,0.)); #464408=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #464409=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #464410=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #464411=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #464412=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #464413=CARTESIAN_POINT('Origin',(34.336744,58.916672,0.)); #464414=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #464415=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #464416=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.035)); #464417=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.)); #464418=CARTESIAN_POINT('Origin',(41.557228,29.190028,0.)); #464419=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #464420=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #464421=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #464422=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #464423=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #464424=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #464425=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #464426=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #464427=CARTESIAN_POINT('Origin',(41.527331,29.195113,0.)); #464428=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #464429=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #464430=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #464431=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #464432=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #464433=CARTESIAN_POINT('Origin',(41.501784,29.211456,0.)); #464434=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #464435=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #464436=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #464437=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #464438=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #464439=CARTESIAN_POINT('Origin',(41.484634,29.236469,0.)); #464440=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #464441=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #464442=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #464443=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #464444=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #464445=CARTESIAN_POINT('Origin',(41.4786,29.266191,0.)); #464446=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #464447=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #464448=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #464449=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #464450=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #464451=CARTESIAN_POINT('Origin',(41.4786,30.592603,0.)); #464452=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #464453=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #464454=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #464455=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #464456=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #464457=CARTESIAN_POINT('Origin',(41.484169,30.6212,0.)); #464458=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #464459=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #464460=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #464461=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #464462=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #464463=CARTESIAN_POINT('Origin',(41.500919,30.646484,0.)); #464464=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #464465=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #464466=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #464467=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #464468=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #464469=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #464470=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #464471=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #464472=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #464473=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #464474=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #464475=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #464476=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #464477=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #464478=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #464479=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #464480=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #464481=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #464482=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #464483=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #464484=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #464485=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #464486=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #464487=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #464488=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #464489=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #464490=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #464491=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #464492=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #464493=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #464494=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #464495=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #464496=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #464497=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #464498=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #464499=CARTESIAN_POINT('Origin',(41.749081,30.646484,0.)); #464500=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #464501=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #464502=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #464503=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #464504=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #464505=CARTESIAN_POINT('Origin',(41.765366,30.622325,0.)); #464506=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #464507=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #464508=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #464509=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #464510=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #464511=CARTESIAN_POINT('Origin',(41.7714,30.592603,0.)); #464512=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #464513=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #464514=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #464515=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #464516=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #464517=CARTESIAN_POINT('Origin',(41.7714,29.406591,0.)); #464518=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #464519=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #464520=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #464521=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #464522=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #464523=CARTESIAN_POINT('Origin',(41.765831,29.377994,0.)); #464524=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #464525=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #464526=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #464527=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #464528=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #464529=CARTESIAN_POINT('Origin',(41.749081,29.352709,0.)); #464530=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #464531=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #464532=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #464533=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #464534=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #464535=CARTESIAN_POINT('Origin',(41.608681,29.212309,0.)); #464536=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #464537=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #464538=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #464539=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #464540=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #464541=CARTESIAN_POINT('Origin',(41.586744,29.197009,0.)); #464542=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #464543=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #464544=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.035)); #464545=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.)); #464546=CARTESIAN_POINT('Origin',(28.983003,36.2286,0.)); #464547=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #464548=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #464549=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #464550=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #464551=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #464552=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #464553=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #464554=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #464555=CARTESIAN_POINT('Origin',(27.815578,36.2286,0.)); #464556=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #464557=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #464558=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #464559=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #464560=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #464561=CARTESIAN_POINT('Origin',(27.786981,36.234169,0.)); #464562=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #464563=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #464564=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #464565=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #464566=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #464567=CARTESIAN_POINT('Origin',(27.761697,36.250919,0.)); #464568=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #464569=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #464570=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #464571=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #464572=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #464573=CARTESIAN_POINT('Origin',(27.621297,36.391319,0.)); #464574=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #464575=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #464576=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #464577=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #464578=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #464579=CARTESIAN_POINT('Origin',(27.605997,36.413256,0.)); #464580=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #464581=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #464582=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #464583=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #464584=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #464585=CARTESIAN_POINT('Origin',(27.599016,36.442772,0.)); #464586=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #464587=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #464588=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #464589=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #464590=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #464591=CARTESIAN_POINT('Origin',(27.6041,36.472669,0.)); #464592=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #464593=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #464594=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #464595=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #464596=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #464597=CARTESIAN_POINT('Origin',(27.620444,36.498216,0.)); #464598=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #464599=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #464600=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #464601=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #464602=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #464603=CARTESIAN_POINT('Origin',(27.645456,36.515366,0.)); #464604=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #464605=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #464606=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #464607=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #464608=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #464609=CARTESIAN_POINT('Origin',(27.675178,36.5214,0.)); #464610=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #464611=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #464612=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #464613=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #464614=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #464615=CARTESIAN_POINT('Origin',(28.842603,36.5214,0.)); #464616=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #464617=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #464618=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #464619=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #464620=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #464621=CARTESIAN_POINT('Origin',(28.8712,36.515831,0.)); #464622=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #464623=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #464624=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #464625=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #464626=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #464627=CARTESIAN_POINT('Origin',(28.896484,36.499081,0.)); #464628=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #464629=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #464630=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #464631=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #464632=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #464633=CARTESIAN_POINT('Origin',(29.036884,36.358681,0.)); #464634=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #464635=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #464636=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #464637=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #464638=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #464639=CARTESIAN_POINT('Origin',(29.052184,36.336744,0.)); #464640=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #464641=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #464642=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #464643=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #464644=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #464645=CARTESIAN_POINT('Origin',(29.059166,36.307228,0.)); #464646=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #464647=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #464648=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #464649=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #464650=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #464651=CARTESIAN_POINT('Origin',(29.054081,36.277331,0.)); #464652=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #464653=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #464654=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #464655=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #464656=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #464657=CARTESIAN_POINT('Origin',(29.037738,36.251784,0.)); #464658=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #464659=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #464660=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #464661=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #464662=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #464663=CARTESIAN_POINT('Origin',(29.012725,36.234634,0.)); #464664=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #464665=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #464666=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.035)); #464667=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.)); #464668=CARTESIAN_POINT('Origin',(26.446416,29.19,0.)); #464669=CARTESIAN_POINT('',(26.446416,29.19,0.)); #464670=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #464671=CARTESIAN_POINT('',(26.446416,29.19,0.)); #464672=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #464673=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #464674=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #464675=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #464676=CARTESIAN_POINT('',(26.446416,29.19,0.)); #464677=CARTESIAN_POINT('Origin',(26.416603,29.195559,0.)); #464678=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #464679=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #464680=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #464681=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #464682=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #464683=CARTESIAN_POINT('Origin',(26.391319,29.212309,0.)); #464684=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #464685=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #464686=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #464687=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #464688=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #464689=CARTESIAN_POINT('Origin',(26.250919,29.352709,0.)); #464690=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #464691=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #464692=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #464693=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #464694=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #464695=CARTESIAN_POINT('Origin',(26.234634,29.376869,0.)); #464696=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #464697=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #464698=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #464699=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #464700=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #464701=CARTESIAN_POINT('Origin',(26.2286,29.406591,0.)); #464702=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #464703=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #464704=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #464705=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #464706=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #464707=CARTESIAN_POINT('Origin',(26.2286,30.592603,0.)); #464708=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #464709=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #464710=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #464711=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #464712=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #464713=CARTESIAN_POINT('Origin',(26.234169,30.6212,0.)); #464714=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #464715=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #464716=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #464717=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #464718=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #464719=CARTESIAN_POINT('Origin',(26.250919,30.646484,0.)); #464720=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #464721=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #464722=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #464723=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #464724=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #464725=CARTESIAN_POINT('Origin',(26.321119,30.716684,0.)); #464726=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #464727=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #464728=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #464729=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #464730=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #464731=CARTESIAN_POINT('Origin',(26.344166,30.732484,0.)); #464732=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #464733=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #464734=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #464735=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #464736=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #464737=CARTESIAN_POINT('Origin',(26.373784,30.738994,0.)); #464738=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #464739=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #464740=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #464741=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #464742=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #464743=CARTESIAN_POINT('Origin',(26.403597,30.733434,0.)); #464744=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #464745=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #464746=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #464747=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #464748=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #464749=CARTESIAN_POINT('Origin',(26.428881,30.716684,0.)); #464750=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #464751=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #464752=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #464753=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #464754=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #464755=CARTESIAN_POINT('Origin',(26.499081,30.646484,0.)); #464756=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #464757=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #464758=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #464759=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #464760=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #464761=CARTESIAN_POINT('Origin',(26.515366,30.622325,0.)); #464762=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #464763=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #464764=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #464765=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #464766=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #464767=CARTESIAN_POINT('Origin',(26.5214,30.592603,0.)); #464768=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #464769=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #464770=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #464771=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #464772=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #464773=CARTESIAN_POINT('Origin',(26.5214,29.266191,0.)); #464774=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #464775=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #464776=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #464777=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #464778=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #464779=CARTESIAN_POINT('Origin',(26.516706,29.239859,0.)); #464780=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #464781=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #464782=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #464783=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #464784=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #464785=CARTESIAN_POINT('Origin',(26.500772,29.214053,0.)); #464786=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #464787=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #464788=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #464789=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #464790=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #464791=CARTESIAN_POINT('Origin',(26.476034,29.196509,0.)); #464792=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #464793=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #464794=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.035)); #464795=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.)); #464796=CARTESIAN_POINT('Origin',(40.125,39.625,-200.)); #464797=CARTESIAN_POINT('',(39.985,39.625,0.)); #464798=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #464799=CARTESIAN_POINT('',(39.985,39.625,0.0349999999999895)); #464800=CARTESIAN_POINT('',(39.985,39.625,-200.)); #464801=CARTESIAN_POINT('Origin',(40.125,39.625,0.0349999999999895)); #464802=CARTESIAN_POINT('Origin',(40.875,39.625,-200.)); #464803=CARTESIAN_POINT('',(40.735,39.625,0.)); #464804=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #464805=CARTESIAN_POINT('',(40.735,39.625,0.0349999999999895)); #464806=CARTESIAN_POINT('',(40.735,39.625,-200.)); #464807=CARTESIAN_POINT('Origin',(40.875,39.625,0.0349999999999895)); #464808=CARTESIAN_POINT('Origin',(41.625,39.625,-200.)); #464809=CARTESIAN_POINT('',(41.485,39.625,0.)); #464810=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #464811=CARTESIAN_POINT('',(41.485,39.625,0.0349999999999895)); #464812=CARTESIAN_POINT('',(41.485,39.625,-200.)); #464813=CARTESIAN_POINT('Origin',(41.625,39.625,0.0349999999999895)); #464814=CARTESIAN_POINT('Origin',(40.404084,36.9786,0.)); #464815=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #464816=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #464817=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #464818=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #464819=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #464820=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #464821=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #464822=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #464823=CARTESIAN_POINT('Origin',(39.157397,36.9786,0.)); #464824=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #464825=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #464826=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #464827=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #464828=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #464829=CARTESIAN_POINT('Origin',(39.1288,36.984169,0.)); #464830=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #464831=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #464832=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #464833=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #464834=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #464835=CARTESIAN_POINT('Origin',(39.103516,37.000919,0.)); #464836=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #464837=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #464838=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #464839=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #464840=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #464841=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #464842=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #464843=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #464844=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #464845=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #464846=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #464847=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #464848=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #464849=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #464850=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #464851=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #464852=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #464853=CARTESIAN_POINT('Origin',(38.554281,37.158716,0.)); #464854=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #464855=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #464856=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #464857=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #464858=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #464859=CARTESIAN_POINT('Origin',(38.532344,37.143416,0.)); #464860=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #464861=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #464862=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #464863=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #464864=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #464865=CARTESIAN_POINT('Origin',(38.502828,37.136434,0.)); #464866=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #464867=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #464868=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #464869=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #464870=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #464871=CARTESIAN_POINT('Origin',(38.472931,37.141519,0.)); #464872=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #464873=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #464874=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #464875=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #464876=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #464877=CARTESIAN_POINT('Origin',(38.447384,37.157863,0.)); #464878=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #464879=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #464880=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #464881=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #464882=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #464883=CARTESIAN_POINT('Origin',(38.430234,37.182875,0.)); #464884=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #464885=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #464886=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #464887=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #464888=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #464889=CARTESIAN_POINT('Origin',(38.4242,37.212597,0.)); #464890=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #464891=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #464892=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #464893=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #464894=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #464895=CARTESIAN_POINT('Origin',(38.4242,37.307347,0.)); #464896=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #464897=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #464898=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #464899=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #464900=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #464901=CARTESIAN_POINT('Origin',(38.429769,37.335944,0.)); #464902=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #464903=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #464904=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #464905=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #464906=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #464907=CARTESIAN_POINT('Origin',(38.446519,37.361228,0.)); #464908=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #464909=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #464910=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #464911=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #464912=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #464913=CARTESIAN_POINT('Origin',(38.466072,37.380781,0.)); #464914=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #464915=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #464916=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #464917=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #464918=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #464919=CARTESIAN_POINT('Origin',(38.490231,37.397066,0.)); #464920=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #464921=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #464922=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #464923=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #464924=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #464925=CARTESIAN_POINT('Origin',(38.519953,37.4031,0.)); #464926=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #464927=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #464928=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #464929=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #464930=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #464931=CARTESIAN_POINT('Origin',(39.244228,37.4031,0.)); #464932=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #464933=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #464934=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #464935=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #464936=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #464937=CARTESIAN_POINT('Origin',(39.3335,37.492372,0.)); #464938=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #464939=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #464940=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #464941=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #464942=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #464943=CARTESIAN_POINT('Origin',(39.3335,38.507628,0.)); #464944=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #464945=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #464946=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #464947=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #464948=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #464949=CARTESIAN_POINT('Origin',(39.244228,38.5969,0.)); #464950=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #464951=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #464952=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #464953=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #464954=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #464955=CARTESIAN_POINT('Origin',(38.342153,38.5969,0.)); #464956=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #464957=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #464958=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #464959=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #464960=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #464961=CARTESIAN_POINT('Origin',(38.315822,38.601594,0.)); #464962=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #464963=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #464964=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #464965=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #464966=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #464967=CARTESIAN_POINT('Origin',(38.290016,38.617528,0.)); #464968=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #464969=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #464970=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #464971=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #464972=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #464973=CARTESIAN_POINT('Origin',(38.272472,38.642266,0.)); #464974=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #464975=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #464976=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #464977=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #464978=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #464979=CARTESIAN_POINT('Origin',(38.265963,38.671884,0.)); #464980=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #464981=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #464982=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #464983=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #464984=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #464985=CARTESIAN_POINT('Origin',(38.271522,38.701697,0.)); #464986=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #464987=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #464988=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #464989=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #464990=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #464991=CARTESIAN_POINT('Origin',(38.288272,38.726981,0.)); #464992=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #464993=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #464994=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #464995=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #464996=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #464997=CARTESIAN_POINT('Origin',(42.310372,42.749081,0.)); #464998=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #464999=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #465000=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #465001=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #465002=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #465003=CARTESIAN_POINT('Origin',(42.334531,42.765366,0.)); #465004=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #465005=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #465006=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #465007=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #465008=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #465009=CARTESIAN_POINT('Origin',(42.339706,42.766416,0.)); #465010=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #465011=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #465012=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #465013=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #465014=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #465015=CARTESIAN_POINT('Origin',(42.43095,42.857659,0.)); #465016=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #465017=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #465018=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #465019=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #465020=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #465021=CARTESIAN_POINT('Origin',(42.453997,42.873459,0.)); #465022=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #465023=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #465024=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #465025=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #465026=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #465027=CARTESIAN_POINT('Origin',(42.483616,42.879969,0.)); #465028=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #465029=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #465030=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #465031=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #465032=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #465033=CARTESIAN_POINT('Origin',(42.513428,42.874409,0.)); #465034=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #465035=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #465036=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #465037=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #465038=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #465039=CARTESIAN_POINT('Origin',(42.538713,42.857659,0.)); #465040=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #465041=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #465042=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #465043=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #465044=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #465045=CARTESIAN_POINT('Origin',(43.380781,42.015591,0.)); #465046=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #465047=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #465048=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #465049=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #465050=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #465051=CARTESIAN_POINT('Origin',(43.397066,41.991431,0.)); #465052=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #465053=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #465054=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #465055=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #465056=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #465057=CARTESIAN_POINT('Origin',(43.4031,41.961709,0.)); #465058=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #465059=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #465060=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #465061=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #465062=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #465063=CARTESIAN_POINT('Origin',(43.4031,41.279772,0.)); #465064=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #465065=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #465066=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #465067=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #465068=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #465069=CARTESIAN_POINT('Origin',(43.492372,41.1905,0.)); #465070=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #465071=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #465072=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #465073=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #465074=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #465075=CARTESIAN_POINT('Origin',(44.507628,41.1905,0.)); #465076=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #465077=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #465078=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #465079=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #465080=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #465081=CARTESIAN_POINT('Origin',(44.5969,41.279772,0.)); #465082=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #465083=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #465084=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #465085=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #465086=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #465087=CARTESIAN_POINT('Origin',(44.5969,42.1952,0.)); #465088=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #465089=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #465090=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #465091=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #465092=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #465093=CARTESIAN_POINT('Origin',(44.602022,42.222669,0.)); #465094=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #465095=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #465096=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #465097=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #465098=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #465099=CARTESIAN_POINT('Origin',(44.618366,42.248216,0.)); #465100=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #465101=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #465102=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #465103=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #465104=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #465105=CARTESIAN_POINT('Origin',(44.643378,42.265366,0.)); #465106=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #465107=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #465108=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #465109=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #465110=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #465111=CARTESIAN_POINT('Origin',(44.6731,42.2714,0.)); #465112=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #465113=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #465114=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #465115=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #465116=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #465117=CARTESIAN_POINT('Origin',(47.135747,42.2714,0.)); #465118=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #465119=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #465120=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #465121=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #465122=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #465123=CARTESIAN_POINT('Origin',(47.164344,42.265831,0.)); #465124=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #465125=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #465126=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #465127=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #465128=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #465129=CARTESIAN_POINT('Origin',(47.189628,42.249081,0.)); #465130=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #465131=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #465132=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #465133=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #465134=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #465135=CARTESIAN_POINT('Origin',(47.380781,42.057928,0.)); #465136=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #465137=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #465138=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #465139=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #465140=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #465141=CARTESIAN_POINT('Origin',(47.397066,42.033769,0.)); #465142=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #465143=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #465144=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #465145=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #465146=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #465147=CARTESIAN_POINT('Origin',(47.4031,42.004047,0.)); #465148=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #465149=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #465150=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #465151=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #465152=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #465153=CARTESIAN_POINT('Origin',(47.4031,41.279772,0.)); #465154=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #465155=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #465156=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #465157=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #465158=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #465159=CARTESIAN_POINT('Origin',(47.492372,41.1905,0.)); #465160=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #465161=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #465162=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #465163=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #465164=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #465165=CARTESIAN_POINT('Origin',(48.4452,41.1905,0.)); #465166=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #465167=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #465168=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #465169=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #465170=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #465171=CARTESIAN_POINT('Origin',(48.472669,41.185378,0.)); #465172=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #465173=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #465174=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #465175=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #465176=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #465177=CARTESIAN_POINT('Origin',(48.498216,41.169034,0.)); #465178=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #465179=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #465180=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #465181=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #465182=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #465183=CARTESIAN_POINT('Origin',(48.515366,41.144022,0.)); #465184=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #465185=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #465186=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #465187=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #465188=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #465189=CARTESIAN_POINT('Origin',(48.5214,41.1143,0.)); #465190=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #465191=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #465192=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #465193=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #465194=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #465195=CARTESIAN_POINT('Origin',(48.5214,40.8857,0.)); #465196=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #465197=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #465198=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #465199=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #465200=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #465201=CARTESIAN_POINT('Origin',(48.516278,40.858231,0.)); #465202=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #465203=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #465204=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #465205=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #465206=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #465207=CARTESIAN_POINT('Origin',(48.499934,40.832684,0.)); #465208=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #465209=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #465210=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #465211=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #465212=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #465213=CARTESIAN_POINT('Origin',(48.474922,40.815534,0.)); #465214=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #465215=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #465216=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #465217=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #465218=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #465219=CARTESIAN_POINT('Origin',(48.4452,40.8095,0.)); #465220=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #465221=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #465222=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #465223=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #465224=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #465225=CARTESIAN_POINT('Origin',(47.492372,40.8095,0.)); #465226=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #465227=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #465228=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #465229=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #465230=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #465231=CARTESIAN_POINT('Origin',(47.4031,40.720228,0.)); #465232=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #465233=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #465234=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #465235=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #465236=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #465237=CARTESIAN_POINT('Origin',(47.4031,39.995953,0.)); #465238=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #465239=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #465240=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #465241=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #465242=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #465243=CARTESIAN_POINT('Origin',(47.397531,39.967356,0.)); #465244=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #465245=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #465246=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #465247=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #465248=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #465249=CARTESIAN_POINT('Origin',(47.380781,39.942072,0.)); #465250=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #465251=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #465252=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #465253=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #465254=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #465255=CARTESIAN_POINT('Origin',(47.177628,39.738919,0.)); #465256=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #465257=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #465258=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #465259=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #465260=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #465261=CARTESIAN_POINT('Origin',(47.153469,39.722634,0.)); #465262=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #465263=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #465264=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #465265=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #465266=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #465267=CARTESIAN_POINT('Origin',(47.123747,39.7166,0.)); #465268=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #465269=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #465270=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #465271=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #465272=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #465273=CARTESIAN_POINT('Origin',(44.6731,39.7166,0.)); #465274=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #465275=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #465276=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #465277=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #465278=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #465279=CARTESIAN_POINT('Origin',(44.645631,39.721722,0.)); #465280=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #465281=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #465282=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #465283=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #465284=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #465285=CARTESIAN_POINT('Origin',(44.620084,39.738066,0.)); #465286=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #465287=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #465288=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #465289=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #465290=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #465291=CARTESIAN_POINT('Origin',(44.602934,39.763078,0.)); #465292=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #465293=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #465294=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #465295=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #465296=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #465297=CARTESIAN_POINT('Origin',(44.5969,39.7928,0.)); #465298=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #465299=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #465300=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #465301=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #465302=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #465303=CARTESIAN_POINT('Origin',(44.5969,40.720228,0.)); #465304=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #465305=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #465306=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #465307=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #465308=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #465309=CARTESIAN_POINT('Origin',(44.507628,40.8095,0.)); #465310=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #465311=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #465312=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #465313=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #465314=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #465315=CARTESIAN_POINT('Origin',(43.492372,40.8095,0.)); #465316=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #465317=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #465318=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #465319=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #465320=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #465321=CARTESIAN_POINT('Origin',(43.4031,40.720228,0.)); #465322=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #465323=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #465324=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #465325=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #465326=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #465327=CARTESIAN_POINT('Origin',(43.4031,39.977616,0.)); #465328=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #465329=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #465330=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #465331=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #465332=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #465333=CARTESIAN_POINT('Origin',(43.397531,39.949019,0.)); #465334=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #465335=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #465336=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #465337=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #465338=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #465339=CARTESIAN_POINT('Origin',(43.380781,39.923734,0.)); #465340=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #465341=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #465342=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #465343=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #465344=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #465345=CARTESIAN_POINT('Origin',(40.457966,37.000919,0.)); #465346=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #465347=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #465348=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #465349=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #465350=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #465351=CARTESIAN_POINT('Origin',(40.433806,36.984634,0.)); #465352=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #465353=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #465354=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.035)); #465355=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.)); #465356=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #465357=CARTESIAN_POINT('',(33.11,49.25,0.)); #465358=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #465359=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #465360=CARTESIAN_POINT('',(33.11,49.25,-200.)); #465361=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #465362=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #465363=CARTESIAN_POINT('',(33.11,50.,0.)); #465364=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #465365=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #465366=CARTESIAN_POINT('',(33.11,50.,-200.)); #465367=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #465368=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #465369=CARTESIAN_POINT('',(34.61,50.,0.)); #465370=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #465371=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #465372=CARTESIAN_POINT('',(34.61,50.,-200.)); #465373=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #465374=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #465375=CARTESIAN_POINT('',(33.86,50.,0.)); #465376=CARTESIAN_POINT('Origin',(34.,50.,0.)); #465377=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #465378=CARTESIAN_POINT('',(33.86,50.,-200.)); #465379=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #465380=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #465381=CARTESIAN_POINT('',(55.285,34.,0.)); #465382=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #465383=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #465384=CARTESIAN_POINT('',(55.285,34.,-200.)); #465385=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #465386=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #465387=CARTESIAN_POINT('',(33.86,49.25,0.)); #465388=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #465389=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #465390=CARTESIAN_POINT('',(33.86,49.25,-200.)); #465391=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #465392=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #465393=CARTESIAN_POINT('',(34.61,49.25,0.)); #465394=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #465395=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #465396=CARTESIAN_POINT('',(34.61,49.25,-200.)); #465397=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #465398=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #465399=CARTESIAN_POINT('',(33.86,48.5,0.)); #465400=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #465401=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #465402=CARTESIAN_POINT('',(33.86,48.5,-200.)); #465403=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #465404=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #465405=CARTESIAN_POINT('',(34.61,48.5,0.)); #465406=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #465407=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #465408=CARTESIAN_POINT('',(34.61,48.5,-200.)); #465409=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #465410=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #465411=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #465412=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #465413=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #465414=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #465415=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #465416=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #465417=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #465418=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #465419=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #465420=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #465421=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #465422=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #465423=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #465424=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #465425=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #465426=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #465427=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #465428=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #465429=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #465430=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #465431=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #465432=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #465433=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #465434=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #465435=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #465436=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #465437=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #465438=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #465439=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #465440=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #465441=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #465442=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #465443=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #465444=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #465445=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #465446=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #465447=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #465448=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #465449=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #465450=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #465451=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #465452=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #465453=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #465454=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #465455=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #465456=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #465457=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #465458=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #465459=CARTESIAN_POINT('',(30.685,7.6,0.)); #465460=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #465461=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #465462=CARTESIAN_POINT('',(30.685,7.6,-200.)); #465463=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #465464=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #465465=CARTESIAN_POINT('',(30.685,7.1,0.)); #465466=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #465467=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #465468=CARTESIAN_POINT('',(30.685,7.1,-200.)); #465469=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #465470=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #465471=CARTESIAN_POINT('',(37.085,7.1,0.)); #465472=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #465473=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #465474=CARTESIAN_POINT('',(37.085,7.1,-200.)); #465475=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #465476=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #465477=CARTESIAN_POINT('',(37.085,7.6,0.)); #465478=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #465479=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #465480=CARTESIAN_POINT('',(37.085,7.6,-200.)); #465481=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #465482=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #465483=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #465484=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #465485=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #465486=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #465487=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #465488=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #465489=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #465490=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #465491=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #465492=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #465493=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #465494=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #465495=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #465496=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #465497=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #465498=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #465499=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #465500=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #465501=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #465502=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #465503=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #465504=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #465505=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #465506=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #465507=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #465508=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #465509=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #465510=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #465511=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #465512=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #465513=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #465514=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #465515=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #465516=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #465517=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #465518=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #465519=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #465520=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #465521=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #465522=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #465523=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #465524=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #465525=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #465526=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #465527=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #465528=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #465529=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #465530=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #465531=CARTESIAN_POINT('',(9.36,34.,0.)); #465532=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #465533=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #465534=CARTESIAN_POINT('',(9.36,34.,-200.)); #465535=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #465536=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #465537=CARTESIAN_POINT('',(10.11,34.,0.)); #465538=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #465539=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #465540=CARTESIAN_POINT('',(10.11,34.,-200.)); #465541=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #465542=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #465543=CARTESIAN_POINT('',(57.61,34.,0.)); #465544=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #465545=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #465546=CARTESIAN_POINT('',(57.61,34.,-200.)); #465547=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #465548=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #465549=CARTESIAN_POINT('',(57.61,34.75,0.)); #465550=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #465551=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #465552=CARTESIAN_POINT('',(57.61,34.75,-200.)); #465553=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #465554=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #465555=CARTESIAN_POINT('',(61.86,34.,0.)); #465556=CARTESIAN_POINT('Origin',(62.,34.,0.)); #465557=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #465558=CARTESIAN_POINT('',(61.86,34.,-200.)); #465559=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #465560=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #465561=CARTESIAN_POINT('',(61.86,34.75,0.)); #465562=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #465563=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #465564=CARTESIAN_POINT('',(61.86,34.75,-200.)); #465565=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #465566=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #465567=CARTESIAN_POINT('',(61.86,33.25,0.)); #465568=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #465569=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #465570=CARTESIAN_POINT('',(61.86,33.25,-200.)); #465571=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #465572=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #465573=CARTESIAN_POINT('',(57.61,33.25,0.)); #465574=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #465575=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #465576=CARTESIAN_POINT('',(57.61,33.25,-200.)); #465577=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #465578=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #465579=CARTESIAN_POINT('',(58.36,34.75,0.)); #465580=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #465581=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #465582=CARTESIAN_POINT('',(58.36,34.75,-200.)); #465583=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #465584=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #465585=CARTESIAN_POINT('',(58.36,34.,0.)); #465586=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #465587=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #465588=CARTESIAN_POINT('',(58.36,34.,-200.)); #465589=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #465590=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #465591=CARTESIAN_POINT('',(13.36,21.,0.)); #465592=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #465593=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #465594=CARTESIAN_POINT('',(13.36,21.,-200.)); #465595=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #465596=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #465597=CARTESIAN_POINT('',(13.36,20.25,0.)); #465598=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #465599=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #465600=CARTESIAN_POINT('',(13.36,20.25,-200.)); #465601=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #465602=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #465603=CARTESIAN_POINT('',(61.11,33.25,0.)); #465604=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #465605=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #465606=CARTESIAN_POINT('',(61.11,33.25,-200.)); #465607=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #465608=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #465609=CARTESIAN_POINT('',(58.36,33.25,0.)); #465610=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #465611=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #465612=CARTESIAN_POINT('',(58.36,33.25,-200.)); #465613=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #465614=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #465615=CARTESIAN_POINT('',(61.11,34.,0.)); #465616=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #465617=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #465618=CARTESIAN_POINT('',(61.11,34.,-200.)); #465619=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #465620=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #465621=CARTESIAN_POINT('',(61.11,34.75,0.)); #465622=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #465623=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #465624=CARTESIAN_POINT('',(61.11,34.75,-200.)); #465625=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #465626=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #465627=CARTESIAN_POINT('',(6.36,33.25,0.)); #465628=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #465629=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #465630=CARTESIAN_POINT('',(6.36,33.25,-200.)); #465631=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #465632=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #465633=CARTESIAN_POINT('',(7.11,33.25,0.)); #465634=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #465635=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #465636=CARTESIAN_POINT('',(7.11,33.25,-200.)); #465637=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #465638=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #465639=CARTESIAN_POINT('',(7.11,34.75,0.)); #465640=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #465641=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #465642=CARTESIAN_POINT('',(7.11,34.75,-200.)); #465643=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #465644=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #465645=CARTESIAN_POINT('',(7.11,34.,0.)); #465646=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #465647=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #465648=CARTESIAN_POINT('',(7.11,34.,-200.)); #465649=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #465650=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #465651=CARTESIAN_POINT('',(10.11,33.25,0.)); #465652=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #465653=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #465654=CARTESIAN_POINT('',(10.11,33.25,-200.)); #465655=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #465656=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #465657=CARTESIAN_POINT('',(9.36,33.25,0.)); #465658=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #465659=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #465660=CARTESIAN_POINT('',(9.36,33.25,-200.)); #465661=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #465662=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #465663=CARTESIAN_POINT('',(10.11,34.75,0.)); #465664=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #465665=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #465666=CARTESIAN_POINT('',(10.11,34.75,-200.)); #465667=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #465668=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #465669=CARTESIAN_POINT('',(9.36,34.75,0.)); #465670=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #465671=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #465672=CARTESIAN_POINT('',(9.36,34.75,-200.)); #465673=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #465674=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #465675=CARTESIAN_POINT('',(6.36,34.,0.)); #465676=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #465677=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #465678=CARTESIAN_POINT('',(6.36,34.,-200.)); #465679=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #465680=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #465681=CARTESIAN_POINT('',(6.36,34.75,0.)); #465682=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #465683=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #465684=CARTESIAN_POINT('',(6.36,34.75,-200.)); #465685=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #465686=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #465687=CARTESIAN_POINT('',(11.785,34.,0.)); #465688=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #465689=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #465690=CARTESIAN_POINT('',(11.785,34.,-200.)); #465691=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #465692=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #465693=CARTESIAN_POINT('',(33.11,48.5,0.)); #465694=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #465695=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #465696=CARTESIAN_POINT('',(33.11,48.5,-200.)); #465697=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #465698=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #465699=CARTESIAN_POINT('',(37.245,45.,0.)); #465700=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #465701=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #465702=CARTESIAN_POINT('',(37.245,45.,-200.)); #465703=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #465704=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #465705=CARTESIAN_POINT('',(55.86,21.,0.)); #465706=CARTESIAN_POINT('Origin',(56.,21.,0.)); #465707=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #465708=CARTESIAN_POINT('',(55.86,21.,-200.)); #465709=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #465710=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #465711=CARTESIAN_POINT('',(55.86,21.75,0.)); #465712=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #465713=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #465714=CARTESIAN_POINT('',(55.86,21.75,-200.)); #465715=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #465716=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #465717=CARTESIAN_POINT('',(54.36,21.,0.)); #465718=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #465719=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #465720=CARTESIAN_POINT('',(54.36,21.,-200.)); #465721=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #465722=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #465723=CARTESIAN_POINT('',(54.36,21.75,0.)); #465724=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #465725=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #465726=CARTESIAN_POINT('',(54.36,21.75,-200.)); #465727=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #465728=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #465729=CARTESIAN_POINT('',(55.11,21.75,0.)); #465730=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #465731=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #465732=CARTESIAN_POINT('',(55.11,21.75,-200.)); #465733=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #465734=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #465735=CARTESIAN_POINT('',(55.11,21.,0.)); #465736=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #465737=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #465738=CARTESIAN_POINT('',(55.11,21.,-200.)); #465739=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #465740=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #465741=CARTESIAN_POINT('',(55.11,20.25,0.)); #465742=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #465743=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #465744=CARTESIAN_POINT('',(55.11,20.25,-200.)); #465745=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #465746=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #465747=CARTESIAN_POINT('',(54.36,20.25,0.)); #465748=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #465749=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #465750=CARTESIAN_POINT('',(54.36,20.25,-200.)); #465751=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #465752=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #465753=CARTESIAN_POINT('',(12.61,20.25,0.)); #465754=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #465755=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #465756=CARTESIAN_POINT('',(12.61,20.25,-200.)); #465757=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #465758=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #465759=CARTESIAN_POINT('',(12.61,21.75,0.)); #465760=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #465761=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #465762=CARTESIAN_POINT('',(12.61,21.75,-200.)); #465763=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #465764=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #465765=CARTESIAN_POINT('',(55.86,20.25,0.)); #465766=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #465767=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #465768=CARTESIAN_POINT('',(55.86,20.25,-200.)); #465769=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #465770=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #465771=CARTESIAN_POINT('',(12.61,21.,0.)); #465772=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #465773=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #465774=CARTESIAN_POINT('',(12.61,21.,-200.)); #465775=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #465776=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #465777=CARTESIAN_POINT('',(11.86,21.,0.)); #465778=CARTESIAN_POINT('Origin',(12.,21.,0.)); #465779=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #465780=CARTESIAN_POINT('',(11.86,21.,-200.)); #465781=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #465782=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #465783=CARTESIAN_POINT('',(11.86,20.25,0.)); #465784=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #465785=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #465786=CARTESIAN_POINT('',(11.86,20.25,-200.)); #465787=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #465788=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #465789=CARTESIAN_POINT('',(11.86,21.75,0.)); #465790=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #465791=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #465792=CARTESIAN_POINT('',(11.86,21.75,-200.)); #465793=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #465794=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #465795=CARTESIAN_POINT('',(13.36,21.75,0.)); #465796=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #465797=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #465798=CARTESIAN_POINT('',(13.36,21.75,-200.)); #465799=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #465800=CARTESIAN_POINT('Origin',(32.920128,53.5221,0.)); #465801=CARTESIAN_POINT('',(32.920128,53.5221,0.)); #465802=CARTESIAN_POINT('',(31.777872,53.5221,0.)); #465803=CARTESIAN_POINT('',(32.920128,53.5221,0.)); #465804=CARTESIAN_POINT('',(31.777872,53.5221,0.035)); #465805=CARTESIAN_POINT('',(31.777872,53.5221,0.)); #465806=CARTESIAN_POINT('',(32.920128,53.5221,0.035)); #465807=CARTESIAN_POINT('',(32.920128,53.5221,0.035)); #465808=CARTESIAN_POINT('',(32.920128,53.5221,0.)); #465809=CARTESIAN_POINT('Origin',(33.0094,53.611372,0.)); #465810=CARTESIAN_POINT('',(33.0094,53.611372,0.)); #465811=CARTESIAN_POINT('',(33.0094,53.611372,0.)); #465812=CARTESIAN_POINT('',(33.0094,53.611372,0.035)); #465813=CARTESIAN_POINT('',(33.0094,53.611372,0.035)); #465814=CARTESIAN_POINT('',(33.0094,53.611372,0.)); #465815=CARTESIAN_POINT('Origin',(33.0094,55.388628,0.)); #465816=CARTESIAN_POINT('',(33.0094,55.388628,0.)); #465817=CARTESIAN_POINT('',(33.0094,55.388628,0.)); #465818=CARTESIAN_POINT('',(33.0094,55.388628,0.035)); #465819=CARTESIAN_POINT('',(33.0094,55.388628,0.035)); #465820=CARTESIAN_POINT('',(33.0094,55.388628,0.)); #465821=CARTESIAN_POINT('Origin',(32.920128,55.4779,0.)); #465822=CARTESIAN_POINT('',(32.920128,55.4779,0.)); #465823=CARTESIAN_POINT('',(32.920128,55.4779,0.)); #465824=CARTESIAN_POINT('',(32.920128,55.4779,0.035)); #465825=CARTESIAN_POINT('',(32.920128,55.4779,0.035)); #465826=CARTESIAN_POINT('',(32.920128,55.4779,0.)); #465827=CARTESIAN_POINT('Origin',(31.777872,55.4779,0.)); #465828=CARTESIAN_POINT('',(31.777872,55.4779,0.)); #465829=CARTESIAN_POINT('',(31.777872,55.4779,0.)); #465830=CARTESIAN_POINT('',(31.777872,55.4779,0.035)); #465831=CARTESIAN_POINT('',(31.777872,55.4779,0.035)); #465832=CARTESIAN_POINT('',(31.777872,55.4779,0.)); #465833=CARTESIAN_POINT('Origin',(31.6886,55.388628,0.)); #465834=CARTESIAN_POINT('',(31.6886,55.388628,0.)); #465835=CARTESIAN_POINT('',(31.6886,55.388628,0.)); #465836=CARTESIAN_POINT('',(31.6886,55.388628,0.035)); #465837=CARTESIAN_POINT('',(31.6886,55.388628,0.035)); #465838=CARTESIAN_POINT('',(31.6886,55.388628,0.)); #465839=CARTESIAN_POINT('Origin',(31.6886,53.611372,0.)); #465840=CARTESIAN_POINT('',(31.6886,53.611372,0.)); #465841=CARTESIAN_POINT('',(31.6886,53.611372,0.)); #465842=CARTESIAN_POINT('',(31.6886,53.611372,0.035)); #465843=CARTESIAN_POINT('',(31.6886,53.611372,0.035)); #465844=CARTESIAN_POINT('',(31.6886,53.611372,0.)); #465845=CARTESIAN_POINT('Origin',(31.777872,53.5221,0.)); #465846=CARTESIAN_POINT('',(31.777872,53.5221,0.)); #465847=CARTESIAN_POINT('',(31.777872,53.5221,0.035)); #465848=CARTESIAN_POINT('Origin',(29.994228,51.9031,0.)); #465849=CARTESIAN_POINT('',(29.994228,51.9031,0.)); #465850=CARTESIAN_POINT('',(29.029772,51.9031,0.)); #465851=CARTESIAN_POINT('',(29.994228,51.9031,0.)); #465852=CARTESIAN_POINT('',(29.029772,51.9031,0.035)); #465853=CARTESIAN_POINT('',(29.029772,51.9031,0.)); #465854=CARTESIAN_POINT('',(29.994228,51.9031,0.035)); #465855=CARTESIAN_POINT('',(29.994228,51.9031,0.035)); #465856=CARTESIAN_POINT('',(29.994228,51.9031,0.)); #465857=CARTESIAN_POINT('Origin',(30.0835,51.992372,0.)); #465858=CARTESIAN_POINT('',(30.0835,51.992372,0.)); #465859=CARTESIAN_POINT('',(30.0835,51.992372,0.)); #465860=CARTESIAN_POINT('',(30.0835,51.992372,0.035)); #465861=CARTESIAN_POINT('',(30.0835,51.992372,0.035)); #465862=CARTESIAN_POINT('',(30.0835,51.992372,0.)); #465863=CARTESIAN_POINT('Origin',(30.0835,53.007628,0.)); #465864=CARTESIAN_POINT('',(30.0835,53.007628,0.)); #465865=CARTESIAN_POINT('',(30.0835,53.007628,0.)); #465866=CARTESIAN_POINT('',(30.0835,53.007628,0.035)); #465867=CARTESIAN_POINT('',(30.0835,53.007628,0.035)); #465868=CARTESIAN_POINT('',(30.0835,53.007628,0.)); #465869=CARTESIAN_POINT('Origin',(29.994228,53.0969,0.)); #465870=CARTESIAN_POINT('',(29.994228,53.0969,0.)); #465871=CARTESIAN_POINT('',(29.994228,53.0969,0.)); #465872=CARTESIAN_POINT('',(29.994228,53.0969,0.035)); #465873=CARTESIAN_POINT('',(29.994228,53.0969,0.035)); #465874=CARTESIAN_POINT('',(29.994228,53.0969,0.)); #465875=CARTESIAN_POINT('Origin',(29.8168,53.0969,0.)); #465876=CARTESIAN_POINT('',(29.8168,53.0969,0.)); #465877=CARTESIAN_POINT('',(29.8168,53.0969,0.)); #465878=CARTESIAN_POINT('',(29.8168,53.0969,0.035)); #465879=CARTESIAN_POINT('',(29.8168,53.0969,0.035)); #465880=CARTESIAN_POINT('',(29.8168,53.0969,0.)); #465881=CARTESIAN_POINT('Origin',(29.789331,53.102022,0.)); #465882=CARTESIAN_POINT('',(29.789331,53.102022,0.)); #465883=CARTESIAN_POINT('',(29.789331,53.102022,0.)); #465884=CARTESIAN_POINT('',(29.789331,53.102022,0.035)); #465885=CARTESIAN_POINT('',(29.789331,53.102022,0.035)); #465886=CARTESIAN_POINT('',(29.789331,53.102022,0.)); #465887=CARTESIAN_POINT('Origin',(29.763784,53.118366,0.)); #465888=CARTESIAN_POINT('',(29.763784,53.118366,0.)); #465889=CARTESIAN_POINT('',(29.763784,53.118366,0.)); #465890=CARTESIAN_POINT('',(29.763784,53.118366,0.035)); #465891=CARTESIAN_POINT('',(29.763784,53.118366,0.035)); #465892=CARTESIAN_POINT('',(29.763784,53.118366,0.)); #465893=CARTESIAN_POINT('Origin',(29.746634,53.143378,0.)); #465894=CARTESIAN_POINT('',(29.746634,53.143378,0.)); #465895=CARTESIAN_POINT('',(29.746634,53.143378,0.)); #465896=CARTESIAN_POINT('',(29.746634,53.143378,0.035)); #465897=CARTESIAN_POINT('',(29.746634,53.143378,0.035)); #465898=CARTESIAN_POINT('',(29.746634,53.143378,0.)); #465899=CARTESIAN_POINT('Origin',(29.7406,53.1731,0.)); #465900=CARTESIAN_POINT('',(29.7406,53.1731,0.)); #465901=CARTESIAN_POINT('',(29.7406,53.1731,0.)); #465902=CARTESIAN_POINT('',(29.7406,53.1731,0.035)); #465903=CARTESIAN_POINT('',(29.7406,53.1731,0.035)); #465904=CARTESIAN_POINT('',(29.7406,53.1731,0.)); #465905=CARTESIAN_POINT('Origin',(29.7406,55.8269,0.)); #465906=CARTESIAN_POINT('',(29.7406,55.8269,0.)); #465907=CARTESIAN_POINT('',(29.7406,55.8269,0.)); #465908=CARTESIAN_POINT('',(29.7406,55.8269,0.035)); #465909=CARTESIAN_POINT('',(29.7406,55.8269,0.035)); #465910=CARTESIAN_POINT('',(29.7406,55.8269,0.)); #465911=CARTESIAN_POINT('Origin',(29.745722,55.854369,0.)); #465912=CARTESIAN_POINT('',(29.745722,55.854369,0.)); #465913=CARTESIAN_POINT('',(29.745722,55.854369,0.)); #465914=CARTESIAN_POINT('',(29.745722,55.854369,0.035)); #465915=CARTESIAN_POINT('',(29.745722,55.854369,0.035)); #465916=CARTESIAN_POINT('',(29.745722,55.854369,0.)); #465917=CARTESIAN_POINT('Origin',(29.762066,55.879916,0.)); #465918=CARTESIAN_POINT('',(29.762066,55.879916,0.)); #465919=CARTESIAN_POINT('',(29.762066,55.879916,0.)); #465920=CARTESIAN_POINT('',(29.762066,55.879916,0.035)); #465921=CARTESIAN_POINT('',(29.762066,55.879916,0.035)); #465922=CARTESIAN_POINT('',(29.762066,55.879916,0.)); #465923=CARTESIAN_POINT('Origin',(29.787078,55.897066,0.)); #465924=CARTESIAN_POINT('',(29.787078,55.897066,0.)); #465925=CARTESIAN_POINT('',(29.787078,55.897066,0.)); #465926=CARTESIAN_POINT('',(29.787078,55.897066,0.035)); #465927=CARTESIAN_POINT('',(29.787078,55.897066,0.035)); #465928=CARTESIAN_POINT('',(29.787078,55.897066,0.)); #465929=CARTESIAN_POINT('Origin',(29.8168,55.9031,0.)); #465930=CARTESIAN_POINT('',(29.8168,55.9031,0.)); #465931=CARTESIAN_POINT('',(29.8168,55.9031,0.)); #465932=CARTESIAN_POINT('',(29.8168,55.9031,0.035)); #465933=CARTESIAN_POINT('',(29.8168,55.9031,0.035)); #465934=CARTESIAN_POINT('',(29.8168,55.9031,0.)); #465935=CARTESIAN_POINT('Origin',(29.994228,55.9031,0.)); #465936=CARTESIAN_POINT('',(29.994228,55.9031,0.)); #465937=CARTESIAN_POINT('',(29.994228,55.9031,0.)); #465938=CARTESIAN_POINT('',(29.994228,55.9031,0.035)); #465939=CARTESIAN_POINT('',(29.994228,55.9031,0.035)); #465940=CARTESIAN_POINT('',(29.994228,55.9031,0.)); #465941=CARTESIAN_POINT('Origin',(30.0835,55.992372,0.)); #465942=CARTESIAN_POINT('',(30.0835,55.992372,0.)); #465943=CARTESIAN_POINT('',(30.0835,55.992372,0.)); #465944=CARTESIAN_POINT('',(30.0835,55.992372,0.035)); #465945=CARTESIAN_POINT('',(30.0835,55.992372,0.035)); #465946=CARTESIAN_POINT('',(30.0835,55.992372,0.)); #465947=CARTESIAN_POINT('Origin',(30.0835,57.007628,0.)); #465948=CARTESIAN_POINT('',(30.0835,57.007628,0.)); #465949=CARTESIAN_POINT('',(30.0835,57.007628,0.)); #465950=CARTESIAN_POINT('',(30.0835,57.007628,0.035)); #465951=CARTESIAN_POINT('',(30.0835,57.007628,0.035)); #465952=CARTESIAN_POINT('',(30.0835,57.007628,0.)); #465953=CARTESIAN_POINT('Origin',(29.994228,57.0969,0.)); #465954=CARTESIAN_POINT('',(29.994228,57.0969,0.)); #465955=CARTESIAN_POINT('',(29.994228,57.0969,0.)); #465956=CARTESIAN_POINT('',(29.994228,57.0969,0.035)); #465957=CARTESIAN_POINT('',(29.994228,57.0969,0.035)); #465958=CARTESIAN_POINT('',(29.994228,57.0969,0.)); #465959=CARTESIAN_POINT('Origin',(29.029772,57.0969,0.)); #465960=CARTESIAN_POINT('',(29.029772,57.0969,0.)); #465961=CARTESIAN_POINT('',(29.029772,57.0969,0.)); #465962=CARTESIAN_POINT('',(29.029772,57.0969,0.035)); #465963=CARTESIAN_POINT('',(29.029772,57.0969,0.035)); #465964=CARTESIAN_POINT('',(29.029772,57.0969,0.)); #465965=CARTESIAN_POINT('Origin',(28.9405,57.007628,0.)); #465966=CARTESIAN_POINT('',(28.9405,57.007628,0.)); #465967=CARTESIAN_POINT('',(28.9405,57.007628,0.)); #465968=CARTESIAN_POINT('',(28.9405,57.007628,0.035)); #465969=CARTESIAN_POINT('',(28.9405,57.007628,0.035)); #465970=CARTESIAN_POINT('',(28.9405,57.007628,0.)); #465971=CARTESIAN_POINT('Origin',(28.9405,55.992372,0.)); #465972=CARTESIAN_POINT('',(28.9405,55.992372,0.)); #465973=CARTESIAN_POINT('',(28.9405,55.992372,0.)); #465974=CARTESIAN_POINT('',(28.9405,55.992372,0.035)); #465975=CARTESIAN_POINT('',(28.9405,55.992372,0.035)); #465976=CARTESIAN_POINT('',(28.9405,55.992372,0.)); #465977=CARTESIAN_POINT('Origin',(29.029772,55.9031,0.)); #465978=CARTESIAN_POINT('',(29.029772,55.9031,0.)); #465979=CARTESIAN_POINT('',(29.029772,55.9031,0.)); #465980=CARTESIAN_POINT('',(29.029772,55.9031,0.035)); #465981=CARTESIAN_POINT('',(29.029772,55.9031,0.035)); #465982=CARTESIAN_POINT('',(29.029772,55.9031,0.)); #465983=CARTESIAN_POINT('Origin',(29.2072,55.9031,0.)); #465984=CARTESIAN_POINT('',(29.2072,55.9031,0.)); #465985=CARTESIAN_POINT('',(29.2072,55.9031,0.)); #465986=CARTESIAN_POINT('',(29.2072,55.9031,0.035)); #465987=CARTESIAN_POINT('',(29.2072,55.9031,0.035)); #465988=CARTESIAN_POINT('',(29.2072,55.9031,0.)); #465989=CARTESIAN_POINT('Origin',(29.234669,55.897978,0.)); #465990=CARTESIAN_POINT('',(29.234669,55.897978,0.)); #465991=CARTESIAN_POINT('',(29.234669,55.897978,0.)); #465992=CARTESIAN_POINT('',(29.234669,55.897978,0.035)); #465993=CARTESIAN_POINT('',(29.234669,55.897978,0.035)); #465994=CARTESIAN_POINT('',(29.234669,55.897978,0.)); #465995=CARTESIAN_POINT('Origin',(29.260216,55.881634,0.)); #465996=CARTESIAN_POINT('',(29.260216,55.881634,0.)); #465997=CARTESIAN_POINT('',(29.260216,55.881634,0.)); #465998=CARTESIAN_POINT('',(29.260216,55.881634,0.035)); #465999=CARTESIAN_POINT('',(29.260216,55.881634,0.035)); #466000=CARTESIAN_POINT('',(29.260216,55.881634,0.)); #466001=CARTESIAN_POINT('Origin',(29.277366,55.856622,0.)); #466002=CARTESIAN_POINT('',(29.277366,55.856622,0.)); #466003=CARTESIAN_POINT('',(29.277366,55.856622,0.)); #466004=CARTESIAN_POINT('',(29.277366,55.856622,0.035)); #466005=CARTESIAN_POINT('',(29.277366,55.856622,0.035)); #466006=CARTESIAN_POINT('',(29.277366,55.856622,0.)); #466007=CARTESIAN_POINT('Origin',(29.2834,55.8269,0.)); #466008=CARTESIAN_POINT('',(29.2834,55.8269,0.)); #466009=CARTESIAN_POINT('',(29.2834,55.8269,0.)); #466010=CARTESIAN_POINT('',(29.2834,55.8269,0.035)); #466011=CARTESIAN_POINT('',(29.2834,55.8269,0.035)); #466012=CARTESIAN_POINT('',(29.2834,55.8269,0.)); #466013=CARTESIAN_POINT('Origin',(29.2834,53.1731,0.)); #466014=CARTESIAN_POINT('',(29.2834,53.1731,0.)); #466015=CARTESIAN_POINT('',(29.2834,53.1731,0.)); #466016=CARTESIAN_POINT('',(29.2834,53.1731,0.035)); #466017=CARTESIAN_POINT('',(29.2834,53.1731,0.035)); #466018=CARTESIAN_POINT('',(29.2834,53.1731,0.)); #466019=CARTESIAN_POINT('Origin',(29.278278,53.145631,0.)); #466020=CARTESIAN_POINT('',(29.278278,53.145631,0.)); #466021=CARTESIAN_POINT('',(29.278278,53.145631,0.)); #466022=CARTESIAN_POINT('',(29.278278,53.145631,0.035)); #466023=CARTESIAN_POINT('',(29.278278,53.145631,0.035)); #466024=CARTESIAN_POINT('',(29.278278,53.145631,0.)); #466025=CARTESIAN_POINT('Origin',(29.261934,53.120084,0.)); #466026=CARTESIAN_POINT('',(29.261934,53.120084,0.)); #466027=CARTESIAN_POINT('',(29.261934,53.120084,0.)); #466028=CARTESIAN_POINT('',(29.261934,53.120084,0.035)); #466029=CARTESIAN_POINT('',(29.261934,53.120084,0.035)); #466030=CARTESIAN_POINT('',(29.261934,53.120084,0.)); #466031=CARTESIAN_POINT('Origin',(29.236922,53.102934,0.)); #466032=CARTESIAN_POINT('',(29.236922,53.102934,0.)); #466033=CARTESIAN_POINT('',(29.236922,53.102934,0.)); #466034=CARTESIAN_POINT('',(29.236922,53.102934,0.035)); #466035=CARTESIAN_POINT('',(29.236922,53.102934,0.035)); #466036=CARTESIAN_POINT('',(29.236922,53.102934,0.)); #466037=CARTESIAN_POINT('Origin',(29.2072,53.0969,0.)); #466038=CARTESIAN_POINT('',(29.2072,53.0969,0.)); #466039=CARTESIAN_POINT('',(29.2072,53.0969,0.)); #466040=CARTESIAN_POINT('',(29.2072,53.0969,0.035)); #466041=CARTESIAN_POINT('',(29.2072,53.0969,0.035)); #466042=CARTESIAN_POINT('',(29.2072,53.0969,0.)); #466043=CARTESIAN_POINT('Origin',(29.029772,53.0969,0.)); #466044=CARTESIAN_POINT('',(29.029772,53.0969,0.)); #466045=CARTESIAN_POINT('',(29.029772,53.0969,0.)); #466046=CARTESIAN_POINT('',(29.029772,53.0969,0.035)); #466047=CARTESIAN_POINT('',(29.029772,53.0969,0.035)); #466048=CARTESIAN_POINT('',(29.029772,53.0969,0.)); #466049=CARTESIAN_POINT('Origin',(28.9405,53.007628,0.)); #466050=CARTESIAN_POINT('',(28.9405,53.007628,0.)); #466051=CARTESIAN_POINT('',(28.9405,53.007628,0.)); #466052=CARTESIAN_POINT('',(28.9405,53.007628,0.035)); #466053=CARTESIAN_POINT('',(28.9405,53.007628,0.035)); #466054=CARTESIAN_POINT('',(28.9405,53.007628,0.)); #466055=CARTESIAN_POINT('Origin',(28.9405,51.992372,0.)); #466056=CARTESIAN_POINT('',(28.9405,51.992372,0.)); #466057=CARTESIAN_POINT('',(28.9405,51.992372,0.)); #466058=CARTESIAN_POINT('',(28.9405,51.992372,0.035)); #466059=CARTESIAN_POINT('',(28.9405,51.992372,0.035)); #466060=CARTESIAN_POINT('',(28.9405,51.992372,0.)); #466061=CARTESIAN_POINT('Origin',(29.029772,51.9031,0.)); #466062=CARTESIAN_POINT('',(29.029772,51.9031,0.)); #466063=CARTESIAN_POINT('',(29.029772,51.9031,0.035)); #466064=CARTESIAN_POINT('Origin',(38.970228,51.9031,0.)); #466065=CARTESIAN_POINT('',(38.970228,51.9031,0.)); #466066=CARTESIAN_POINT('',(38.005772,51.9031,0.)); #466067=CARTESIAN_POINT('',(38.970228,51.9031,0.)); #466068=CARTESIAN_POINT('',(38.005772,51.9031,0.035)); #466069=CARTESIAN_POINT('',(38.005772,51.9031,0.)); #466070=CARTESIAN_POINT('',(38.970228,51.9031,0.035)); #466071=CARTESIAN_POINT('',(38.970228,51.9031,0.035)); #466072=CARTESIAN_POINT('',(38.970228,51.9031,0.)); #466073=CARTESIAN_POINT('Origin',(39.0595,51.992372,0.)); #466074=CARTESIAN_POINT('',(39.0595,51.992372,0.)); #466075=CARTESIAN_POINT('',(39.0595,51.992372,0.)); #466076=CARTESIAN_POINT('',(39.0595,51.992372,0.035)); #466077=CARTESIAN_POINT('',(39.0595,51.992372,0.035)); #466078=CARTESIAN_POINT('',(39.0595,51.992372,0.)); #466079=CARTESIAN_POINT('Origin',(39.0595,53.007628,0.)); #466080=CARTESIAN_POINT('',(39.0595,53.007628,0.)); #466081=CARTESIAN_POINT('',(39.0595,53.007628,0.)); #466082=CARTESIAN_POINT('',(39.0595,53.007628,0.035)); #466083=CARTESIAN_POINT('',(39.0595,53.007628,0.035)); #466084=CARTESIAN_POINT('',(39.0595,53.007628,0.)); #466085=CARTESIAN_POINT('Origin',(38.970228,53.0969,0.)); #466086=CARTESIAN_POINT('',(38.970228,53.0969,0.)); #466087=CARTESIAN_POINT('',(38.970228,53.0969,0.)); #466088=CARTESIAN_POINT('',(38.970228,53.0969,0.035)); #466089=CARTESIAN_POINT('',(38.970228,53.0969,0.035)); #466090=CARTESIAN_POINT('',(38.970228,53.0969,0.)); #466091=CARTESIAN_POINT('Origin',(38.7928,53.0969,0.)); #466092=CARTESIAN_POINT('',(38.7928,53.0969,0.)); #466093=CARTESIAN_POINT('',(38.7928,53.0969,0.)); #466094=CARTESIAN_POINT('',(38.7928,53.0969,0.035)); #466095=CARTESIAN_POINT('',(38.7928,53.0969,0.035)); #466096=CARTESIAN_POINT('',(38.7928,53.0969,0.)); #466097=CARTESIAN_POINT('Origin',(38.765331,53.102022,0.)); #466098=CARTESIAN_POINT('',(38.765331,53.102022,0.)); #466099=CARTESIAN_POINT('',(38.765331,53.102022,0.)); #466100=CARTESIAN_POINT('',(38.765331,53.102022,0.035)); #466101=CARTESIAN_POINT('',(38.765331,53.102022,0.035)); #466102=CARTESIAN_POINT('',(38.765331,53.102022,0.)); #466103=CARTESIAN_POINT('Origin',(38.739784,53.118366,0.)); #466104=CARTESIAN_POINT('',(38.739784,53.118366,0.)); #466105=CARTESIAN_POINT('',(38.739784,53.118366,0.)); #466106=CARTESIAN_POINT('',(38.739784,53.118366,0.035)); #466107=CARTESIAN_POINT('',(38.739784,53.118366,0.035)); #466108=CARTESIAN_POINT('',(38.739784,53.118366,0.)); #466109=CARTESIAN_POINT('Origin',(38.722634,53.143378,0.)); #466110=CARTESIAN_POINT('',(38.722634,53.143378,0.)); #466111=CARTESIAN_POINT('',(38.722634,53.143378,0.)); #466112=CARTESIAN_POINT('',(38.722634,53.143378,0.035)); #466113=CARTESIAN_POINT('',(38.722634,53.143378,0.035)); #466114=CARTESIAN_POINT('',(38.722634,53.143378,0.)); #466115=CARTESIAN_POINT('Origin',(38.7166,53.1731,0.)); #466116=CARTESIAN_POINT('',(38.7166,53.1731,0.)); #466117=CARTESIAN_POINT('',(38.7166,53.1731,0.)); #466118=CARTESIAN_POINT('',(38.7166,53.1731,0.035)); #466119=CARTESIAN_POINT('',(38.7166,53.1731,0.035)); #466120=CARTESIAN_POINT('',(38.7166,53.1731,0.)); #466121=CARTESIAN_POINT('Origin',(38.7166,55.8269,0.)); #466122=CARTESIAN_POINT('',(38.7166,55.8269,0.)); #466123=CARTESIAN_POINT('',(38.7166,55.8269,0.)); #466124=CARTESIAN_POINT('',(38.7166,55.8269,0.035)); #466125=CARTESIAN_POINT('',(38.7166,55.8269,0.035)); #466126=CARTESIAN_POINT('',(38.7166,55.8269,0.)); #466127=CARTESIAN_POINT('Origin',(38.721722,55.854369,0.)); #466128=CARTESIAN_POINT('',(38.721722,55.854369,0.)); #466129=CARTESIAN_POINT('',(38.721722,55.854369,0.)); #466130=CARTESIAN_POINT('',(38.721722,55.854369,0.035)); #466131=CARTESIAN_POINT('',(38.721722,55.854369,0.035)); #466132=CARTESIAN_POINT('',(38.721722,55.854369,0.)); #466133=CARTESIAN_POINT('Origin',(38.738066,55.879916,0.)); #466134=CARTESIAN_POINT('',(38.738066,55.879916,0.)); #466135=CARTESIAN_POINT('',(38.738066,55.879916,0.)); #466136=CARTESIAN_POINT('',(38.738066,55.879916,0.035)); #466137=CARTESIAN_POINT('',(38.738066,55.879916,0.035)); #466138=CARTESIAN_POINT('',(38.738066,55.879916,0.)); #466139=CARTESIAN_POINT('Origin',(38.763078,55.897066,0.)); #466140=CARTESIAN_POINT('',(38.763078,55.897066,0.)); #466141=CARTESIAN_POINT('',(38.763078,55.897066,0.)); #466142=CARTESIAN_POINT('',(38.763078,55.897066,0.035)); #466143=CARTESIAN_POINT('',(38.763078,55.897066,0.035)); #466144=CARTESIAN_POINT('',(38.763078,55.897066,0.)); #466145=CARTESIAN_POINT('Origin',(38.7928,55.9031,0.)); #466146=CARTESIAN_POINT('',(38.7928,55.9031,0.)); #466147=CARTESIAN_POINT('',(38.7928,55.9031,0.)); #466148=CARTESIAN_POINT('',(38.7928,55.9031,0.035)); #466149=CARTESIAN_POINT('',(38.7928,55.9031,0.035)); #466150=CARTESIAN_POINT('',(38.7928,55.9031,0.)); #466151=CARTESIAN_POINT('Origin',(38.970228,55.9031,0.)); #466152=CARTESIAN_POINT('',(38.970228,55.9031,0.)); #466153=CARTESIAN_POINT('',(38.970228,55.9031,0.)); #466154=CARTESIAN_POINT('',(38.970228,55.9031,0.035)); #466155=CARTESIAN_POINT('',(38.970228,55.9031,0.035)); #466156=CARTESIAN_POINT('',(38.970228,55.9031,0.)); #466157=CARTESIAN_POINT('Origin',(39.0595,55.992372,0.)); #466158=CARTESIAN_POINT('',(39.0595,55.992372,0.)); #466159=CARTESIAN_POINT('',(39.0595,55.992372,0.)); #466160=CARTESIAN_POINT('',(39.0595,55.992372,0.035)); #466161=CARTESIAN_POINT('',(39.0595,55.992372,0.035)); #466162=CARTESIAN_POINT('',(39.0595,55.992372,0.)); #466163=CARTESIAN_POINT('Origin',(39.0595,57.007628,0.)); #466164=CARTESIAN_POINT('',(39.0595,57.007628,0.)); #466165=CARTESIAN_POINT('',(39.0595,57.007628,0.)); #466166=CARTESIAN_POINT('',(39.0595,57.007628,0.035)); #466167=CARTESIAN_POINT('',(39.0595,57.007628,0.035)); #466168=CARTESIAN_POINT('',(39.0595,57.007628,0.)); #466169=CARTESIAN_POINT('Origin',(38.970228,57.0969,0.)); #466170=CARTESIAN_POINT('',(38.970228,57.0969,0.)); #466171=CARTESIAN_POINT('',(38.970228,57.0969,0.)); #466172=CARTESIAN_POINT('',(38.970228,57.0969,0.035)); #466173=CARTESIAN_POINT('',(38.970228,57.0969,0.035)); #466174=CARTESIAN_POINT('',(38.970228,57.0969,0.)); #466175=CARTESIAN_POINT('Origin',(38.005772,57.0969,0.)); #466176=CARTESIAN_POINT('',(38.005772,57.0969,0.)); #466177=CARTESIAN_POINT('',(38.005772,57.0969,0.)); #466178=CARTESIAN_POINT('',(38.005772,57.0969,0.035)); #466179=CARTESIAN_POINT('',(38.005772,57.0969,0.035)); #466180=CARTESIAN_POINT('',(38.005772,57.0969,0.)); #466181=CARTESIAN_POINT('Origin',(37.9165,57.007628,0.)); #466182=CARTESIAN_POINT('',(37.9165,57.007628,0.)); #466183=CARTESIAN_POINT('',(37.9165,57.007628,0.)); #466184=CARTESIAN_POINT('',(37.9165,57.007628,0.035)); #466185=CARTESIAN_POINT('',(37.9165,57.007628,0.035)); #466186=CARTESIAN_POINT('',(37.9165,57.007628,0.)); #466187=CARTESIAN_POINT('Origin',(37.9165,55.992372,0.)); #466188=CARTESIAN_POINT('',(37.9165,55.992372,0.)); #466189=CARTESIAN_POINT('',(37.9165,55.992372,0.)); #466190=CARTESIAN_POINT('',(37.9165,55.992372,0.035)); #466191=CARTESIAN_POINT('',(37.9165,55.992372,0.035)); #466192=CARTESIAN_POINT('',(37.9165,55.992372,0.)); #466193=CARTESIAN_POINT('Origin',(38.005772,55.9031,0.)); #466194=CARTESIAN_POINT('',(38.005772,55.9031,0.)); #466195=CARTESIAN_POINT('',(38.005772,55.9031,0.)); #466196=CARTESIAN_POINT('',(38.005772,55.9031,0.035)); #466197=CARTESIAN_POINT('',(38.005772,55.9031,0.035)); #466198=CARTESIAN_POINT('',(38.005772,55.9031,0.)); #466199=CARTESIAN_POINT('Origin',(38.1832,55.9031,0.)); #466200=CARTESIAN_POINT('',(38.1832,55.9031,0.)); #466201=CARTESIAN_POINT('',(38.1832,55.9031,0.)); #466202=CARTESIAN_POINT('',(38.1832,55.9031,0.035)); #466203=CARTESIAN_POINT('',(38.1832,55.9031,0.035)); #466204=CARTESIAN_POINT('',(38.1832,55.9031,0.)); #466205=CARTESIAN_POINT('Origin',(38.210669,55.897978,0.)); #466206=CARTESIAN_POINT('',(38.210669,55.897978,0.)); #466207=CARTESIAN_POINT('',(38.210669,55.897978,0.)); #466208=CARTESIAN_POINT('',(38.210669,55.897978,0.035)); #466209=CARTESIAN_POINT('',(38.210669,55.897978,0.035)); #466210=CARTESIAN_POINT('',(38.210669,55.897978,0.)); #466211=CARTESIAN_POINT('Origin',(38.236216,55.881634,0.)); #466212=CARTESIAN_POINT('',(38.236216,55.881634,0.)); #466213=CARTESIAN_POINT('',(38.236216,55.881634,0.)); #466214=CARTESIAN_POINT('',(38.236216,55.881634,0.035)); #466215=CARTESIAN_POINT('',(38.236216,55.881634,0.035)); #466216=CARTESIAN_POINT('',(38.236216,55.881634,0.)); #466217=CARTESIAN_POINT('Origin',(38.253366,55.856622,0.)); #466218=CARTESIAN_POINT('',(38.253366,55.856622,0.)); #466219=CARTESIAN_POINT('',(38.253366,55.856622,0.)); #466220=CARTESIAN_POINT('',(38.253366,55.856622,0.035)); #466221=CARTESIAN_POINT('',(38.253366,55.856622,0.035)); #466222=CARTESIAN_POINT('',(38.253366,55.856622,0.)); #466223=CARTESIAN_POINT('Origin',(38.2594,55.8269,0.)); #466224=CARTESIAN_POINT('',(38.2594,55.8269,0.)); #466225=CARTESIAN_POINT('',(38.2594,55.8269,0.)); #466226=CARTESIAN_POINT('',(38.2594,55.8269,0.035)); #466227=CARTESIAN_POINT('',(38.2594,55.8269,0.035)); #466228=CARTESIAN_POINT('',(38.2594,55.8269,0.)); #466229=CARTESIAN_POINT('Origin',(38.2594,53.1731,0.)); #466230=CARTESIAN_POINT('',(38.2594,53.1731,0.)); #466231=CARTESIAN_POINT('',(38.2594,53.1731,0.)); #466232=CARTESIAN_POINT('',(38.2594,53.1731,0.035)); #466233=CARTESIAN_POINT('',(38.2594,53.1731,0.035)); #466234=CARTESIAN_POINT('',(38.2594,53.1731,0.)); #466235=CARTESIAN_POINT('Origin',(38.254278,53.145631,0.)); #466236=CARTESIAN_POINT('',(38.254278,53.145631,0.)); #466237=CARTESIAN_POINT('',(38.254278,53.145631,0.)); #466238=CARTESIAN_POINT('',(38.254278,53.145631,0.035)); #466239=CARTESIAN_POINT('',(38.254278,53.145631,0.035)); #466240=CARTESIAN_POINT('',(38.254278,53.145631,0.)); #466241=CARTESIAN_POINT('Origin',(38.237934,53.120084,0.)); #466242=CARTESIAN_POINT('',(38.237934,53.120084,0.)); #466243=CARTESIAN_POINT('',(38.237934,53.120084,0.)); #466244=CARTESIAN_POINT('',(38.237934,53.120084,0.035)); #466245=CARTESIAN_POINT('',(38.237934,53.120084,0.035)); #466246=CARTESIAN_POINT('',(38.237934,53.120084,0.)); #466247=CARTESIAN_POINT('Origin',(38.212922,53.102934,0.)); #466248=CARTESIAN_POINT('',(38.212922,53.102934,0.)); #466249=CARTESIAN_POINT('',(38.212922,53.102934,0.)); #466250=CARTESIAN_POINT('',(38.212922,53.102934,0.035)); #466251=CARTESIAN_POINT('',(38.212922,53.102934,0.035)); #466252=CARTESIAN_POINT('',(38.212922,53.102934,0.)); #466253=CARTESIAN_POINT('Origin',(38.1832,53.0969,0.)); #466254=CARTESIAN_POINT('',(38.1832,53.0969,0.)); #466255=CARTESIAN_POINT('',(38.1832,53.0969,0.)); #466256=CARTESIAN_POINT('',(38.1832,53.0969,0.035)); #466257=CARTESIAN_POINT('',(38.1832,53.0969,0.035)); #466258=CARTESIAN_POINT('',(38.1832,53.0969,0.)); #466259=CARTESIAN_POINT('Origin',(38.005772,53.0969,0.)); #466260=CARTESIAN_POINT('',(38.005772,53.0969,0.)); #466261=CARTESIAN_POINT('',(38.005772,53.0969,0.)); #466262=CARTESIAN_POINT('',(38.005772,53.0969,0.035)); #466263=CARTESIAN_POINT('',(38.005772,53.0969,0.035)); #466264=CARTESIAN_POINT('',(38.005772,53.0969,0.)); #466265=CARTESIAN_POINT('Origin',(37.9165,53.007628,0.)); #466266=CARTESIAN_POINT('',(37.9165,53.007628,0.)); #466267=CARTESIAN_POINT('',(37.9165,53.007628,0.)); #466268=CARTESIAN_POINT('',(37.9165,53.007628,0.035)); #466269=CARTESIAN_POINT('',(37.9165,53.007628,0.035)); #466270=CARTESIAN_POINT('',(37.9165,53.007628,0.)); #466271=CARTESIAN_POINT('Origin',(37.9165,51.992372,0.)); #466272=CARTESIAN_POINT('',(37.9165,51.992372,0.)); #466273=CARTESIAN_POINT('',(37.9165,51.992372,0.)); #466274=CARTESIAN_POINT('',(37.9165,51.992372,0.035)); #466275=CARTESIAN_POINT('',(37.9165,51.992372,0.035)); #466276=CARTESIAN_POINT('',(37.9165,51.992372,0.)); #466277=CARTESIAN_POINT('Origin',(38.005772,51.9031,0.)); #466278=CARTESIAN_POINT('',(38.005772,51.9031,0.)); #466279=CARTESIAN_POINT('',(38.005772,51.9031,0.035)); #466280=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #466281=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #466282=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #466283=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #466284=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #466285=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #466286=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #466287=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #466288=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #466289=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #466290=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #466291=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #466292=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #466293=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #466294=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #466295=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #466296=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #466297=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #466298=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #466299=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #466300=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #466301=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #466302=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #466303=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #466304=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #466305=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #466306=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #466307=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #466308=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #466309=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #466310=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #466311=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #466312=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #466313=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #466314=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #466315=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #466316=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #466317=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #466318=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #466319=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #466320=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #466321=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #466322=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #466323=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #466324=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #466325=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #466326=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #466327=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #466328=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #466329=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #466330=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #466331=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #466332=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #466333=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #466334=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #466335=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #466336=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #466337=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #466338=CARTESIAN_POINT('',(36.765678,5.555,0.)); #466339=CARTESIAN_POINT('',(36.765678,5.555,0.)); #466340=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #466341=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #466342=CARTESIAN_POINT('',(36.765678,5.555,0.)); #466343=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #466344=CARTESIAN_POINT('',(37.014322,5.555,0.)); #466345=CARTESIAN_POINT('',(37.014322,5.555,0.)); #466346=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #466347=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #466348=CARTESIAN_POINT('',(37.014322,5.555,0.)); #466349=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #466350=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #466351=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #466352=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #466353=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #466354=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #466355=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #466356=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #466357=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #466358=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #466359=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #466360=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #466361=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #466362=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #466363=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #466364=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #466365=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #466366=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #466367=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #466368=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #466369=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #466370=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #466371=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #466372=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #466373=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #466374=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #466375=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #466376=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #466377=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #466378=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #466379=CARTESIAN_POINT('Origin',(37.244034,6.70985,0.)); #466380=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #466381=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #466382=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #466383=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #466384=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #466385=CARTESIAN_POINT('Origin',(37.014322,6.805,0.)); #466386=CARTESIAN_POINT('',(37.014322,6.805,0.)); #466387=CARTESIAN_POINT('',(37.014322,6.805,0.)); #466388=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #466389=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #466390=CARTESIAN_POINT('',(37.014322,6.805,0.)); #466391=CARTESIAN_POINT('Origin',(36.9278,6.805,0.)); #466392=CARTESIAN_POINT('',(36.9278,6.805,0.)); #466393=CARTESIAN_POINT('',(36.9278,6.805,0.)); #466394=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #466395=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #466396=CARTESIAN_POINT('',(36.9278,6.805,0.)); #466397=CARTESIAN_POINT('Origin',(36.900331,6.8101219,0.)); #466398=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #466399=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #466400=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #466401=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #466402=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #466403=CARTESIAN_POINT('Origin',(36.874784,6.8264656,0.)); #466404=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #466405=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #466406=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #466407=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #466408=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #466409=CARTESIAN_POINT('Origin',(36.857634,6.8514781,0.)); #466410=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #466411=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #466412=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #466413=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #466414=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #466415=CARTESIAN_POINT('Origin',(36.8516,6.8812,0.)); #466416=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #466417=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #466418=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #466419=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #466420=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #466421=CARTESIAN_POINT('Origin',(36.8516,7.8222,0.)); #466422=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #466423=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #466424=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #466425=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #466426=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #466427=CARTESIAN_POINT('Origin',(36.856722,7.8496688,0.)); #466428=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #466429=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #466430=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #466431=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #466432=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #466433=CARTESIAN_POINT('Origin',(36.873066,7.8752156,0.)); #466434=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #466435=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #466436=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #466437=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #466438=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #466439=CARTESIAN_POINT('Origin',(36.898078,7.8923656,0.)); #466440=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #466441=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #466442=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #466443=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #466444=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #466445=CARTESIAN_POINT('Origin',(36.9278,7.8984,0.)); #466446=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #466447=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #466448=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #466449=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #466450=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #466451=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #466452=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #466453=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #466454=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #466455=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #466456=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #466457=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #466458=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #466459=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #466460=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #466461=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #466462=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #466463=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #466464=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #466465=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #466466=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #466467=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #466468=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #466469=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #466470=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #466471=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #466472=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #466473=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #466474=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #466475=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #466476=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #466477=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #466478=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #466479=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #466480=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #466481=CARTESIAN_POINT('Origin',(37.769769,8.3760813,0.)); #466482=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #466483=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #466484=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #466485=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #466486=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #466487=CARTESIAN_POINT('Origin',(37.793928,8.3923656,0.)); #466488=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #466489=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #466490=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #466491=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #466492=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #466493=CARTESIAN_POINT('Origin',(37.82365,8.3984,0.)); #466494=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #466495=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #466496=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #466497=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #466498=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #466499=CARTESIAN_POINT('Origin',(42.745953,8.3984,0.)); #466500=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #466501=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #466502=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #466503=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #466504=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #466505=CARTESIAN_POINT('Origin',(42.771309,8.4011,0.)); #466506=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #466507=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #466508=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #466509=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #466510=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #466511=CARTESIAN_POINT('Origin',(42.791663,8.4078063,0.)); #466512=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #466513=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #466514=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #466515=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #466516=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #466517=CARTESIAN_POINT('Origin',(42.811197,8.4192688,0.)); #466518=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #466519=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #466520=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #466521=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #466522=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #466523=CARTESIAN_POINT('Origin',(42.823866,8.4301781,0.)); #466524=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #466525=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #466526=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #466527=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #466528=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #466529=CARTESIAN_POINT('Origin',(45.068978,10.675291,0.)); #466530=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #466531=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #466532=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #466533=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #466534=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #466535=CARTESIAN_POINT('Origin',(45.084997,10.695131,0.)); #466536=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #466537=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #466538=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #466539=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #466540=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #466541=CARTESIAN_POINT('Origin',(45.094647,10.714272,0.)); #466542=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #466543=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #466544=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #466545=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #466546=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #466547=CARTESIAN_POINT('Origin',(45.100359,10.736184,0.)); #466548=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #466549=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #466550=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #466551=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #466552=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #466553=CARTESIAN_POINT('Origin',(45.1016,10.752847,0.)); #466554=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #466555=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #466556=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #466557=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #466558=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #466559=CARTESIAN_POINT('Origin',(45.1016,15.3222,0.)); #466560=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #466561=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #466562=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #466563=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #466564=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #466565=CARTESIAN_POINT('Origin',(45.106722,15.349669,0.)); #466566=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #466567=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #466568=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #466569=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #466570=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #466571=CARTESIAN_POINT('Origin',(45.123066,15.375216,0.)); #466572=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #466573=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #466574=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #466575=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #466576=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #466577=CARTESIAN_POINT('Origin',(45.148078,15.392366,0.)); #466578=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #466579=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #466580=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #466581=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #466582=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #466583=CARTESIAN_POINT('Origin',(45.1778,15.3984,0.)); #466584=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #466585=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #466586=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #466587=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #466588=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #466589=CARTESIAN_POINT('Origin',(49.995953,15.3984,0.)); #466590=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #466591=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #466592=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #466593=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #466594=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #466595=CARTESIAN_POINT('Origin',(50.021309,15.4011,0.)); #466596=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #466597=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #466598=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #466599=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #466600=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #466601=CARTESIAN_POINT('Origin',(50.041663,15.407806,0.)); #466602=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #466603=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #466604=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #466605=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #466606=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #466607=CARTESIAN_POINT('Origin',(50.061197,15.419269,0.)); #466608=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #466609=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #466610=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #466611=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #466612=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #466613=CARTESIAN_POINT('Origin',(50.073866,15.430178,0.)); #466614=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #466615=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #466616=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #466617=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #466618=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #466619=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #466620=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #466621=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #466622=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #466623=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #466624=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #466625=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #466626=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #466627=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #466628=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #466629=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #466630=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #466631=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #466632=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #466633=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #466634=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #466635=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #466636=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #466637=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #466638=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #466639=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #466640=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #466641=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #466642=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #466643=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #466644=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #466645=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #466646=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #466647=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #466648=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #466649=CARTESIAN_POINT('Origin',(54.1016,37.92635,0.)); #466650=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #466651=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #466652=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #466653=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #466654=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #466655=CARTESIAN_POINT('Origin',(54.107169,37.954947,0.)); #466656=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #466657=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #466658=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #466659=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #466660=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #466661=CARTESIAN_POINT('Origin',(54.123919,37.980231,0.)); #466662=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #466663=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #466664=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #466665=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #466666=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #466667=CARTESIAN_POINT('Origin',(57.818978,41.675291,0.)); #466668=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #466669=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #466670=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #466671=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #466672=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #466673=CARTESIAN_POINT('Origin',(57.834997,41.695131,0.)); #466674=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #466675=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #466676=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #466677=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #466678=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #466679=CARTESIAN_POINT('Origin',(57.844647,41.714272,0.)); #466680=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #466681=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #466682=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #466683=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #466684=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #466685=CARTESIAN_POINT('Origin',(57.850359,41.736184,0.)); #466686=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #466687=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #466688=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #466689=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #466690=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #466691=CARTESIAN_POINT('Origin',(57.8516,41.752847,0.)); #466692=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #466693=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #466694=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #466695=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #466696=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #466697=CARTESIAN_POINT('Origin',(57.8516,49.495953,0.)); #466698=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #466699=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #466700=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #466701=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #466702=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #466703=CARTESIAN_POINT('Origin',(57.8489,49.521309,0.)); #466704=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #466705=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #466706=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #466707=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #466708=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #466709=CARTESIAN_POINT('Origin',(57.842775,49.539897,0.)); #466710=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #466711=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #466712=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #466713=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #466714=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #466715=CARTESIAN_POINT('Origin',(57.821575,49.572347,0.)); #466716=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #466717=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #466718=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #466719=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #466720=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #466721=CARTESIAN_POINT('Origin',(56.478234,51.06495,0.)); #466722=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #466723=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #466724=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #466725=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #466726=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #466727=CARTESIAN_POINT('Origin',(56.459256,51.082,0.)); #466728=CARTESIAN_POINT('',(56.459256,51.082,0.)); #466729=CARTESIAN_POINT('',(56.459256,51.082,0.)); #466730=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #466731=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #466732=CARTESIAN_POINT('',(56.459256,51.082,0.)); #466733=CARTESIAN_POINT('Origin',(56.440656,51.092641,0.)); #466734=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #466735=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #466736=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #466737=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #466738=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #466739=CARTESIAN_POINT('Origin',(56.420031,51.099187,0.)); #466740=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #466741=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #466742=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #466743=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #466744=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #466745=CARTESIAN_POINT('Origin',(56.39615,51.1016,0.)); #466746=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #466747=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #466748=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #466749=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #466750=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #466751=CARTESIAN_POINT('Origin',(53.754047,51.1016,0.)); #466752=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #466753=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #466754=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #466755=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #466756=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #466757=CARTESIAN_POINT('Origin',(53.728691,51.0989,0.)); #466758=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #466759=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #466760=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #466761=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #466762=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #466763=CARTESIAN_POINT('Origin',(53.708338,51.092194,0.)); #466764=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #466765=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #466766=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #466767=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #466768=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #466769=CARTESIAN_POINT('Origin',(53.689856,51.08135,0.)); #466770=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #466771=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #466772=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #466773=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #466774=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #466775=CARTESIAN_POINT('Origin',(53.674063,51.06685,0.)); #466776=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #466777=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #466778=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #466779=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #466780=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #466781=CARTESIAN_POINT('Origin',(53.661694,51.049369,0.)); #466782=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #466783=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #466784=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #466785=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #466786=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #466787=CARTESIAN_POINT('Origin',(53.653922,51.031172,0.)); #466788=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #466789=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #466790=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #466791=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #466792=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #466793=CARTESIAN_POINT('Origin',(53.6484,50.994316,0.)); #466794=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #466795=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #466796=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #466797=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #466798=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #466799=CARTESIAN_POINT('Origin',(53.6484,50.8048,0.)); #466800=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #466801=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #466802=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #466803=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #466804=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #466805=CARTESIAN_POINT('Origin',(53.643278,50.777331,0.)); #466806=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #466807=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #466808=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #466809=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #466810=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #466811=CARTESIAN_POINT('Origin',(53.626934,50.751784,0.)); #466812=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #466813=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #466814=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #466815=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #466816=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #466817=CARTESIAN_POINT('Origin',(53.601922,50.734634,0.)); #466818=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #466819=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #466820=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #466821=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #466822=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #466823=CARTESIAN_POINT('Origin',(53.5722,50.7286,0.)); #466824=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #466825=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #466826=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #466827=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #466828=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #466829=CARTESIAN_POINT('Origin',(52.4278,50.7286,0.)); #466830=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #466831=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #466832=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #466833=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #466834=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #466835=CARTESIAN_POINT('Origin',(52.400331,50.733722,0.)); #466836=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #466837=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #466838=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #466839=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #466840=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #466841=CARTESIAN_POINT('Origin',(52.374784,50.750066,0.)); #466842=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #466843=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #466844=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #466845=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #466846=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #466847=CARTESIAN_POINT('Origin',(52.357634,50.775078,0.)); #466848=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #466849=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #466850=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #466851=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #466852=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #466853=CARTESIAN_POINT('Origin',(52.3516,50.8048,0.)); #466854=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #466855=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #466856=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #466857=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #466858=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #466859=CARTESIAN_POINT('Origin',(52.3516,50.995953,0.)); #466860=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #466861=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #466862=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #466863=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #466864=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #466865=CARTESIAN_POINT('Origin',(52.3489,51.021309,0.)); #466866=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #466867=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #466868=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #466869=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #466870=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #466871=CARTESIAN_POINT('Origin',(52.342194,51.041663,0.)); #466872=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #466873=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #466874=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #466875=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #466876=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #466877=CARTESIAN_POINT('Origin',(52.33135,51.060144,0.)); #466878=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #466879=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #466880=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #466881=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #466882=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #466883=CARTESIAN_POINT('Origin',(52.31685,51.075938,0.)); #466884=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #466885=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #466886=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #466887=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #466888=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #466889=CARTESIAN_POINT('Origin',(52.299369,51.088306,0.)); #466890=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #466891=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #466892=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #466893=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #466894=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #466895=CARTESIAN_POINT('Origin',(52.281172,51.096078,0.)); #466896=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #466897=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #466898=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #466899=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #466900=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #466901=CARTESIAN_POINT('Origin',(52.244316,51.1016,0.)); #466902=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #466903=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #466904=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #466905=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #466906=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #466907=CARTESIAN_POINT('Origin',(49.8028,51.1016,0.)); #466908=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #466909=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #466910=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #466911=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #466912=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #466913=CARTESIAN_POINT('Origin',(49.775331,51.106722,0.)); #466914=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #466915=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #466916=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #466917=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #466918=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #466919=CARTESIAN_POINT('Origin',(49.749784,51.123066,0.)); #466920=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #466921=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #466922=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #466923=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #466924=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #466925=CARTESIAN_POINT('Origin',(49.732634,51.148078,0.)); #466926=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #466927=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #466928=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #466929=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #466930=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #466931=CARTESIAN_POINT('Origin',(49.7266,51.1778,0.)); #466932=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #466933=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #466934=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #466935=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #466936=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #466937=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #466938=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #466939=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #466940=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #466941=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #466942=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #466943=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #466944=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #466945=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #466946=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #466947=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #466948=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #466949=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #466950=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #466951=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #466952=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #466953=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #466954=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #466955=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #466956=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #466957=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #466958=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #466959=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #466960=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #466961=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #466962=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #466963=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #466964=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #466965=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #466966=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #466967=CARTESIAN_POINT('Origin',(48.317944,58.450747,0.)); #466968=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #466969=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #466970=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #466971=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #466972=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #466973=CARTESIAN_POINT('Origin',(48.302144,58.473794,0.)); #466974=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #466975=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #466976=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #466977=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #466978=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #466979=CARTESIAN_POINT('Origin',(48.295634,58.503413,0.)); #466980=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #466981=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #466982=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #466983=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #466984=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #466985=CARTESIAN_POINT('Origin',(48.301194,58.533225,0.)); #466986=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #466987=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #466988=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #466989=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #466990=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #466991=CARTESIAN_POINT('Origin',(48.317944,58.558509,0.)); #466992=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #466993=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #466994=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #466995=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #466996=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #466997=CARTESIAN_POINT('Origin',(48.3324,58.572966,0.)); #466998=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #466999=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #467000=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #467001=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #467002=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #467003=CARTESIAN_POINT('Origin',(48.3324,58.927034,0.)); #467004=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #467005=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #467006=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #467007=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #467008=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #467009=CARTESIAN_POINT('Origin',(48.082034,59.1774,0.)); #467010=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #467011=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #467012=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #467013=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #467014=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #467015=CARTESIAN_POINT('Origin',(47.727966,59.1774,0.)); #467016=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #467017=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #467018=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #467019=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #467020=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #467021=CARTESIAN_POINT('Origin',(47.551484,59.000919,0.)); #467022=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #467023=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #467024=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #467025=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #467026=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #467027=CARTESIAN_POINT('Origin',(47.527325,58.984634,0.)); #467028=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #467029=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #467030=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #467031=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #467032=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #467033=CARTESIAN_POINT('Origin',(47.497603,58.9786,0.)); #467034=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #467035=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #467036=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #467037=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #467038=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #467039=CARTESIAN_POINT('Origin',(43.405309,58.9786,0.)); #467040=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #467041=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #467042=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #467043=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #467044=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #467045=CARTESIAN_POINT('Origin',(42.3964,57.969691,0.)); #467046=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #467047=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #467048=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #467049=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #467050=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #467051=CARTESIAN_POINT('Origin',(42.3964,52.110853,0.)); #467052=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #467053=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #467054=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #467055=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #467056=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #467057=CARTESIAN_POINT('Origin',(42.391706,52.084522,0.)); #467058=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #467059=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #467060=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #467061=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #467062=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #467063=CARTESIAN_POINT('Origin',(42.375772,52.058716,0.)); #467064=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #467065=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #467066=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #467067=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #467068=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #467069=CARTESIAN_POINT('Origin',(42.351034,52.041172,0.)); #467070=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #467071=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #467072=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #467073=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #467074=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #467075=CARTESIAN_POINT('Origin',(42.321416,52.034663,0.)); #467076=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #467077=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #467078=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #467079=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #467080=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #467081=CARTESIAN_POINT('Origin',(42.291603,52.040222,0.)); #467082=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #467083=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #467084=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #467085=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #467086=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #467087=CARTESIAN_POINT('Origin',(42.266319,52.056972,0.)); #467088=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #467089=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #467090=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #467091=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #467092=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #467093=CARTESIAN_POINT('Origin',(41.594691,52.7286,0.)); #467094=CARTESIAN_POINT('',(41.594691,52.7286,0.)); #467095=CARTESIAN_POINT('',(41.594691,52.7286,0.)); #467096=CARTESIAN_POINT('',(41.594691,52.7286,0.035)); #467097=CARTESIAN_POINT('',(41.594691,52.7286,0.035)); #467098=CARTESIAN_POINT('',(41.594691,52.7286,0.)); #467099=CARTESIAN_POINT('Origin',(40.6597,52.7286,0.)); #467100=CARTESIAN_POINT('',(40.6597,52.7286,0.)); #467101=CARTESIAN_POINT('',(40.6597,52.7286,0.)); #467102=CARTESIAN_POINT('',(40.6597,52.7286,0.035)); #467103=CARTESIAN_POINT('',(40.6597,52.7286,0.035)); #467104=CARTESIAN_POINT('',(40.6597,52.7286,0.)); #467105=CARTESIAN_POINT('Origin',(40.632231,52.733722,0.)); #467106=CARTESIAN_POINT('',(40.632231,52.733722,0.)); #467107=CARTESIAN_POINT('',(40.632231,52.733722,0.)); #467108=CARTESIAN_POINT('',(40.632231,52.733722,0.035)); #467109=CARTESIAN_POINT('',(40.632231,52.733722,0.035)); #467110=CARTESIAN_POINT('',(40.632231,52.733722,0.)); #467111=CARTESIAN_POINT('Origin',(40.606684,52.750066,0.)); #467112=CARTESIAN_POINT('',(40.606684,52.750066,0.)); #467113=CARTESIAN_POINT('',(40.606684,52.750066,0.)); #467114=CARTESIAN_POINT('',(40.606684,52.750066,0.035)); #467115=CARTESIAN_POINT('',(40.606684,52.750066,0.035)); #467116=CARTESIAN_POINT('',(40.606684,52.750066,0.)); #467117=CARTESIAN_POINT('Origin',(40.589534,52.775078,0.)); #467118=CARTESIAN_POINT('',(40.589534,52.775078,0.)); #467119=CARTESIAN_POINT('',(40.589534,52.775078,0.)); #467120=CARTESIAN_POINT('',(40.589534,52.775078,0.035)); #467121=CARTESIAN_POINT('',(40.589534,52.775078,0.035)); #467122=CARTESIAN_POINT('',(40.589534,52.775078,0.)); #467123=CARTESIAN_POINT('Origin',(40.5835,52.8048,0.)); #467124=CARTESIAN_POINT('',(40.5835,52.8048,0.)); #467125=CARTESIAN_POINT('',(40.5835,52.8048,0.)); #467126=CARTESIAN_POINT('',(40.5835,52.8048,0.035)); #467127=CARTESIAN_POINT('',(40.5835,52.8048,0.035)); #467128=CARTESIAN_POINT('',(40.5835,52.8048,0.)); #467129=CARTESIAN_POINT('Origin',(40.5835,53.007628,0.)); #467130=CARTESIAN_POINT('',(40.5835,53.007628,0.)); #467131=CARTESIAN_POINT('',(40.5835,53.007628,0.)); #467132=CARTESIAN_POINT('',(40.5835,53.007628,0.035)); #467133=CARTESIAN_POINT('',(40.5835,53.007628,0.035)); #467134=CARTESIAN_POINT('',(40.5835,53.007628,0.)); #467135=CARTESIAN_POINT('Origin',(40.494228,53.0969,0.)); #467136=CARTESIAN_POINT('',(40.494228,53.0969,0.)); #467137=CARTESIAN_POINT('',(40.494228,53.0969,0.)); #467138=CARTESIAN_POINT('',(40.494228,53.0969,0.035)); #467139=CARTESIAN_POINT('',(40.494228,53.0969,0.035)); #467140=CARTESIAN_POINT('',(40.494228,53.0969,0.)); #467141=CARTESIAN_POINT('Origin',(39.529772,53.0969,0.)); #467142=CARTESIAN_POINT('',(39.529772,53.0969,0.)); #467143=CARTESIAN_POINT('',(39.529772,53.0969,0.)); #467144=CARTESIAN_POINT('',(39.529772,53.0969,0.035)); #467145=CARTESIAN_POINT('',(39.529772,53.0969,0.035)); #467146=CARTESIAN_POINT('',(39.529772,53.0969,0.)); #467147=CARTESIAN_POINT('Origin',(39.4405,53.007628,0.)); #467148=CARTESIAN_POINT('',(39.4405,53.007628,0.)); #467149=CARTESIAN_POINT('',(39.4405,53.007628,0.)); #467150=CARTESIAN_POINT('',(39.4405,53.007628,0.035)); #467151=CARTESIAN_POINT('',(39.4405,53.007628,0.035)); #467152=CARTESIAN_POINT('',(39.4405,53.007628,0.)); #467153=CARTESIAN_POINT('Origin',(39.4405,51.992372,0.)); #467154=CARTESIAN_POINT('',(39.4405,51.992372,0.)); #467155=CARTESIAN_POINT('',(39.4405,51.992372,0.)); #467156=CARTESIAN_POINT('',(39.4405,51.992372,0.035)); #467157=CARTESIAN_POINT('',(39.4405,51.992372,0.035)); #467158=CARTESIAN_POINT('',(39.4405,51.992372,0.)); #467159=CARTESIAN_POINT('Origin',(39.529772,51.9031,0.)); #467160=CARTESIAN_POINT('',(39.529772,51.9031,0.)); #467161=CARTESIAN_POINT('',(39.529772,51.9031,0.)); #467162=CARTESIAN_POINT('',(39.529772,51.9031,0.035)); #467163=CARTESIAN_POINT('',(39.529772,51.9031,0.035)); #467164=CARTESIAN_POINT('',(39.529772,51.9031,0.)); #467165=CARTESIAN_POINT('Origin',(40.494228,51.9031,0.)); #467166=CARTESIAN_POINT('',(40.494228,51.9031,0.)); #467167=CARTESIAN_POINT('',(40.494228,51.9031,0.)); #467168=CARTESIAN_POINT('',(40.494228,51.9031,0.035)); #467169=CARTESIAN_POINT('',(40.494228,51.9031,0.035)); #467170=CARTESIAN_POINT('',(40.494228,51.9031,0.)); #467171=CARTESIAN_POINT('Origin',(40.5835,51.992372,0.)); #467172=CARTESIAN_POINT('',(40.5835,51.992372,0.)); #467173=CARTESIAN_POINT('',(40.5835,51.992372,0.)); #467174=CARTESIAN_POINT('',(40.5835,51.992372,0.035)); #467175=CARTESIAN_POINT('',(40.5835,51.992372,0.035)); #467176=CARTESIAN_POINT('',(40.5835,51.992372,0.)); #467177=CARTESIAN_POINT('Origin',(40.5835,52.1952,0.)); #467178=CARTESIAN_POINT('',(40.5835,52.1952,0.)); #467179=CARTESIAN_POINT('',(40.5835,52.1952,0.)); #467180=CARTESIAN_POINT('',(40.5835,52.1952,0.035)); #467181=CARTESIAN_POINT('',(40.5835,52.1952,0.035)); #467182=CARTESIAN_POINT('',(40.5835,52.1952,0.)); #467183=CARTESIAN_POINT('Origin',(40.588622,52.222669,0.)); #467184=CARTESIAN_POINT('',(40.588622,52.222669,0.)); #467185=CARTESIAN_POINT('',(40.588622,52.222669,0.)); #467186=CARTESIAN_POINT('',(40.588622,52.222669,0.035)); #467187=CARTESIAN_POINT('',(40.588622,52.222669,0.035)); #467188=CARTESIAN_POINT('',(40.588622,52.222669,0.)); #467189=CARTESIAN_POINT('Origin',(40.604966,52.248216,0.)); #467190=CARTESIAN_POINT('',(40.604966,52.248216,0.)); #467191=CARTESIAN_POINT('',(40.604966,52.248216,0.)); #467192=CARTESIAN_POINT('',(40.604966,52.248216,0.035)); #467193=CARTESIAN_POINT('',(40.604966,52.248216,0.035)); #467194=CARTESIAN_POINT('',(40.604966,52.248216,0.)); #467195=CARTESIAN_POINT('Origin',(40.629978,52.265366,0.)); #467196=CARTESIAN_POINT('',(40.629978,52.265366,0.)); #467197=CARTESIAN_POINT('',(40.629978,52.265366,0.)); #467198=CARTESIAN_POINT('',(40.629978,52.265366,0.035)); #467199=CARTESIAN_POINT('',(40.629978,52.265366,0.035)); #467200=CARTESIAN_POINT('',(40.629978,52.265366,0.)); #467201=CARTESIAN_POINT('Origin',(40.6597,52.2714,0.)); #467202=CARTESIAN_POINT('',(40.6597,52.2714,0.)); #467203=CARTESIAN_POINT('',(40.6597,52.2714,0.)); #467204=CARTESIAN_POINT('',(40.6597,52.2714,0.035)); #467205=CARTESIAN_POINT('',(40.6597,52.2714,0.035)); #467206=CARTESIAN_POINT('',(40.6597,52.2714,0.)); #467207=CARTESIAN_POINT('Origin',(41.373747,52.2714,0.)); #467208=CARTESIAN_POINT('',(41.373747,52.2714,0.)); #467209=CARTESIAN_POINT('',(41.373747,52.2714,0.)); #467210=CARTESIAN_POINT('',(41.373747,52.2714,0.035)); #467211=CARTESIAN_POINT('',(41.373747,52.2714,0.035)); #467212=CARTESIAN_POINT('',(41.373747,52.2714,0.)); #467213=CARTESIAN_POINT('Origin',(41.402344,52.265831,0.)); #467214=CARTESIAN_POINT('',(41.402344,52.265831,0.)); #467215=CARTESIAN_POINT('',(41.402344,52.265831,0.)); #467216=CARTESIAN_POINT('',(41.402344,52.265831,0.035)); #467217=CARTESIAN_POINT('',(41.402344,52.265831,0.035)); #467218=CARTESIAN_POINT('',(41.402344,52.265831,0.)); #467219=CARTESIAN_POINT('Origin',(41.427628,52.249081,0.)); #467220=CARTESIAN_POINT('',(41.427628,52.249081,0.)); #467221=CARTESIAN_POINT('',(41.427628,52.249081,0.)); #467222=CARTESIAN_POINT('',(41.427628,52.249081,0.035)); #467223=CARTESIAN_POINT('',(41.427628,52.249081,0.035)); #467224=CARTESIAN_POINT('',(41.427628,52.249081,0.)); #467225=CARTESIAN_POINT('Origin',(41.999081,51.677628,0.)); #467226=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #467227=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #467228=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #467229=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #467230=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #467231=CARTESIAN_POINT('Origin',(42.015366,51.653469,0.)); #467232=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #467233=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #467234=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #467235=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #467236=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #467237=CARTESIAN_POINT('Origin',(42.0214,51.623747,0.)); #467238=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #467239=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #467240=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #467241=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #467242=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #467243=CARTESIAN_POINT('Origin',(42.0214,43.138253,0.)); #467244=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #467245=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #467246=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #467247=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #467248=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #467249=CARTESIAN_POINT('Origin',(42.015831,43.109656,0.)); #467250=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #467251=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #467252=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #467253=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #467254=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #467255=CARTESIAN_POINT('Origin',(41.999081,43.084372,0.)); #467256=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #467257=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #467258=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #467259=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #467260=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #467261=CARTESIAN_POINT('Origin',(37.533928,38.619219,0.)); #467262=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #467263=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #467264=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #467265=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #467266=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #467267=CARTESIAN_POINT('Origin',(37.509769,38.602934,0.)); #467268=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #467269=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #467270=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #467271=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #467272=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #467273=CARTESIAN_POINT('Origin',(37.480047,38.5969,0.)); #467274=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #467275=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #467276=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #467277=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #467278=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #467279=CARTESIAN_POINT('Origin',(36.9278,38.5969,0.)); #467280=CARTESIAN_POINT('',(36.9278,38.5969,0.)); #467281=CARTESIAN_POINT('',(36.9278,38.5969,0.)); #467282=CARTESIAN_POINT('',(36.9278,38.5969,0.035)); #467283=CARTESIAN_POINT('',(36.9278,38.5969,0.035)); #467284=CARTESIAN_POINT('',(36.9278,38.5969,0.)); #467285=CARTESIAN_POINT('Origin',(36.900331,38.602022,0.)); #467286=CARTESIAN_POINT('',(36.900331,38.602022,0.)); #467287=CARTESIAN_POINT('',(36.900331,38.602022,0.)); #467288=CARTESIAN_POINT('',(36.900331,38.602022,0.035)); #467289=CARTESIAN_POINT('',(36.900331,38.602022,0.035)); #467290=CARTESIAN_POINT('',(36.900331,38.602022,0.)); #467291=CARTESIAN_POINT('Origin',(36.874784,38.618366,0.)); #467292=CARTESIAN_POINT('',(36.874784,38.618366,0.)); #467293=CARTESIAN_POINT('',(36.874784,38.618366,0.)); #467294=CARTESIAN_POINT('',(36.874784,38.618366,0.035)); #467295=CARTESIAN_POINT('',(36.874784,38.618366,0.035)); #467296=CARTESIAN_POINT('',(36.874784,38.618366,0.)); #467297=CARTESIAN_POINT('Origin',(36.857634,38.643378,0.)); #467298=CARTESIAN_POINT('',(36.857634,38.643378,0.)); #467299=CARTESIAN_POINT('',(36.857634,38.643378,0.)); #467300=CARTESIAN_POINT('',(36.857634,38.643378,0.035)); #467301=CARTESIAN_POINT('',(36.857634,38.643378,0.035)); #467302=CARTESIAN_POINT('',(36.857634,38.643378,0.)); #467303=CARTESIAN_POINT('Origin',(36.8516,38.6731,0.)); #467304=CARTESIAN_POINT('',(36.8516,38.6731,0.)); #467305=CARTESIAN_POINT('',(36.8516,38.6731,0.)); #467306=CARTESIAN_POINT('',(36.8516,38.6731,0.035)); #467307=CARTESIAN_POINT('',(36.8516,38.6731,0.035)); #467308=CARTESIAN_POINT('',(36.8516,38.6731,0.)); #467309=CARTESIAN_POINT('Origin',(36.8516,45.995953,0.)); #467310=CARTESIAN_POINT('',(36.8516,45.995953,0.)); #467311=CARTESIAN_POINT('',(36.8516,45.995953,0.)); #467312=CARTESIAN_POINT('',(36.8516,45.995953,0.035)); #467313=CARTESIAN_POINT('',(36.8516,45.995953,0.035)); #467314=CARTESIAN_POINT('',(36.8516,45.995953,0.)); #467315=CARTESIAN_POINT('Origin',(36.8489,46.021309,0.)); #467316=CARTESIAN_POINT('',(36.8489,46.021309,0.)); #467317=CARTESIAN_POINT('',(36.8489,46.021309,0.)); #467318=CARTESIAN_POINT('',(36.8489,46.021309,0.035)); #467319=CARTESIAN_POINT('',(36.8489,46.021309,0.035)); #467320=CARTESIAN_POINT('',(36.8489,46.021309,0.)); #467321=CARTESIAN_POINT('Origin',(36.842194,46.041663,0.)); #467322=CARTESIAN_POINT('',(36.842194,46.041663,0.)); #467323=CARTESIAN_POINT('',(36.842194,46.041663,0.)); #467324=CARTESIAN_POINT('',(36.842194,46.041663,0.035)); #467325=CARTESIAN_POINT('',(36.842194,46.041663,0.035)); #467326=CARTESIAN_POINT('',(36.842194,46.041663,0.)); #467327=CARTESIAN_POINT('Origin',(36.830731,46.061197,0.)); #467328=CARTESIAN_POINT('',(36.830731,46.061197,0.)); #467329=CARTESIAN_POINT('',(36.830731,46.061197,0.)); #467330=CARTESIAN_POINT('',(36.830731,46.061197,0.035)); #467331=CARTESIAN_POINT('',(36.830731,46.061197,0.035)); #467332=CARTESIAN_POINT('',(36.830731,46.061197,0.)); #467333=CARTESIAN_POINT('Origin',(36.819822,46.073866,0.)); #467334=CARTESIAN_POINT('',(36.819822,46.073866,0.)); #467335=CARTESIAN_POINT('',(36.819822,46.073866,0.)); #467336=CARTESIAN_POINT('',(36.819822,46.073866,0.035)); #467337=CARTESIAN_POINT('',(36.819822,46.073866,0.035)); #467338=CARTESIAN_POINT('',(36.819822,46.073866,0.)); #467339=CARTESIAN_POINT('Origin',(36.074709,46.818978,0.)); #467340=CARTESIAN_POINT('',(36.074709,46.818978,0.)); #467341=CARTESIAN_POINT('',(36.074709,46.818978,0.)); #467342=CARTESIAN_POINT('',(36.074709,46.818978,0.035)); #467343=CARTESIAN_POINT('',(36.074709,46.818978,0.035)); #467344=CARTESIAN_POINT('',(36.074709,46.818978,0.)); #467345=CARTESIAN_POINT('Origin',(36.054869,46.834997,0.)); #467346=CARTESIAN_POINT('',(36.054869,46.834997,0.)); #467347=CARTESIAN_POINT('',(36.054869,46.834997,0.)); #467348=CARTESIAN_POINT('',(36.054869,46.834997,0.035)); #467349=CARTESIAN_POINT('',(36.054869,46.834997,0.035)); #467350=CARTESIAN_POINT('',(36.054869,46.834997,0.)); #467351=CARTESIAN_POINT('Origin',(36.035728,46.844647,0.)); #467352=CARTESIAN_POINT('',(36.035728,46.844647,0.)); #467353=CARTESIAN_POINT('',(36.035728,46.844647,0.)); #467354=CARTESIAN_POINT('',(36.035728,46.844647,0.035)); #467355=CARTESIAN_POINT('',(36.035728,46.844647,0.035)); #467356=CARTESIAN_POINT('',(36.035728,46.844647,0.)); #467357=CARTESIAN_POINT('Origin',(36.013816,46.850359,0.)); #467358=CARTESIAN_POINT('',(36.013816,46.850359,0.)); #467359=CARTESIAN_POINT('',(36.013816,46.850359,0.)); #467360=CARTESIAN_POINT('',(36.013816,46.850359,0.035)); #467361=CARTESIAN_POINT('',(36.013816,46.850359,0.035)); #467362=CARTESIAN_POINT('',(36.013816,46.850359,0.)); #467363=CARTESIAN_POINT('Origin',(35.997153,46.8516,0.)); #467364=CARTESIAN_POINT('',(35.997153,46.8516,0.)); #467365=CARTESIAN_POINT('',(35.997153,46.8516,0.)); #467366=CARTESIAN_POINT('',(35.997153,46.8516,0.035)); #467367=CARTESIAN_POINT('',(35.997153,46.8516,0.035)); #467368=CARTESIAN_POINT('',(35.997153,46.8516,0.)); #467369=CARTESIAN_POINT('Origin',(32.004047,46.8516,0.)); #467370=CARTESIAN_POINT('',(32.004047,46.8516,0.)); #467371=CARTESIAN_POINT('',(32.004047,46.8516,0.)); #467372=CARTESIAN_POINT('',(32.004047,46.8516,0.035)); #467373=CARTESIAN_POINT('',(32.004047,46.8516,0.035)); #467374=CARTESIAN_POINT('',(32.004047,46.8516,0.)); #467375=CARTESIAN_POINT('Origin',(31.978691,46.8489,0.)); #467376=CARTESIAN_POINT('',(31.978691,46.8489,0.)); #467377=CARTESIAN_POINT('',(31.978691,46.8489,0.)); #467378=CARTESIAN_POINT('',(31.978691,46.8489,0.035)); #467379=CARTESIAN_POINT('',(31.978691,46.8489,0.035)); #467380=CARTESIAN_POINT('',(31.978691,46.8489,0.)); #467381=CARTESIAN_POINT('Origin',(31.958338,46.842194,0.)); #467382=CARTESIAN_POINT('',(31.958338,46.842194,0.)); #467383=CARTESIAN_POINT('',(31.958338,46.842194,0.)); #467384=CARTESIAN_POINT('',(31.958338,46.842194,0.035)); #467385=CARTESIAN_POINT('',(31.958338,46.842194,0.035)); #467386=CARTESIAN_POINT('',(31.958338,46.842194,0.)); #467387=CARTESIAN_POINT('Origin',(31.938803,46.830731,0.)); #467388=CARTESIAN_POINT('',(31.938803,46.830731,0.)); #467389=CARTESIAN_POINT('',(31.938803,46.830731,0.)); #467390=CARTESIAN_POINT('',(31.938803,46.830731,0.035)); #467391=CARTESIAN_POINT('',(31.938803,46.830731,0.035)); #467392=CARTESIAN_POINT('',(31.938803,46.830731,0.)); #467393=CARTESIAN_POINT('Origin',(31.926134,46.819822,0.)); #467394=CARTESIAN_POINT('',(31.926134,46.819822,0.)); #467395=CARTESIAN_POINT('',(31.926134,46.819822,0.)); #467396=CARTESIAN_POINT('',(31.926134,46.819822,0.035)); #467397=CARTESIAN_POINT('',(31.926134,46.819822,0.035)); #467398=CARTESIAN_POINT('',(31.926134,46.819822,0.)); #467399=CARTESIAN_POINT('Origin',(31.181031,46.074719,0.)); #467400=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #467401=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #467402=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #467403=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #467404=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #467405=CARTESIAN_POINT('Origin',(31.156872,46.058434,0.)); #467406=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #467407=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #467408=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #467409=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #467410=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #467411=CARTESIAN_POINT('Origin',(31.12715,46.0524,0.)); #467412=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #467413=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #467414=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #467415=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #467416=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #467417=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #467418=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #467419=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #467420=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #467421=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #467422=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #467423=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #467424=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #467425=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #467426=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #467427=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #467428=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #467429=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #467430=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #467431=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #467432=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #467433=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #467434=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #467435=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #467436=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #467437=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #467438=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #467439=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #467440=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #467441=CARTESIAN_POINT('Origin',(31.0722,43.9476,0.)); #467442=CARTESIAN_POINT('',(31.0722,43.9476,0.)); #467443=CARTESIAN_POINT('',(31.0722,43.9476,0.)); #467444=CARTESIAN_POINT('',(31.0722,43.9476,0.035)); #467445=CARTESIAN_POINT('',(31.0722,43.9476,0.035)); #467446=CARTESIAN_POINT('',(31.0722,43.9476,0.)); #467447=CARTESIAN_POINT('Origin',(31.099669,43.942478,0.)); #467448=CARTESIAN_POINT('',(31.099669,43.942478,0.)); #467449=CARTESIAN_POINT('',(31.099669,43.942478,0.)); #467450=CARTESIAN_POINT('',(31.099669,43.942478,0.035)); #467451=CARTESIAN_POINT('',(31.099669,43.942478,0.035)); #467452=CARTESIAN_POINT('',(31.099669,43.942478,0.)); #467453=CARTESIAN_POINT('Origin',(31.125216,43.926134,0.)); #467454=CARTESIAN_POINT('',(31.125216,43.926134,0.)); #467455=CARTESIAN_POINT('',(31.125216,43.926134,0.)); #467456=CARTESIAN_POINT('',(31.125216,43.926134,0.035)); #467457=CARTESIAN_POINT('',(31.125216,43.926134,0.035)); #467458=CARTESIAN_POINT('',(31.125216,43.926134,0.)); #467459=CARTESIAN_POINT('Origin',(31.142366,43.901122,0.)); #467460=CARTESIAN_POINT('',(31.142366,43.901122,0.)); #467461=CARTESIAN_POINT('',(31.142366,43.901122,0.)); #467462=CARTESIAN_POINT('',(31.142366,43.901122,0.035)); #467463=CARTESIAN_POINT('',(31.142366,43.901122,0.035)); #467464=CARTESIAN_POINT('',(31.142366,43.901122,0.)); #467465=CARTESIAN_POINT('Origin',(31.1484,43.8714,0.)); #467466=CARTESIAN_POINT('',(31.1484,43.8714,0.)); #467467=CARTESIAN_POINT('',(31.1484,43.8714,0.)); #467468=CARTESIAN_POINT('',(31.1484,43.8714,0.035)); #467469=CARTESIAN_POINT('',(31.1484,43.8714,0.035)); #467470=CARTESIAN_POINT('',(31.1484,43.8714,0.)); #467471=CARTESIAN_POINT('Origin',(31.1484,38.6731,0.)); #467472=CARTESIAN_POINT('',(31.1484,38.6731,0.)); #467473=CARTESIAN_POINT('',(31.1484,38.6731,0.)); #467474=CARTESIAN_POINT('',(31.1484,38.6731,0.035)); #467475=CARTESIAN_POINT('',(31.1484,38.6731,0.035)); #467476=CARTESIAN_POINT('',(31.1484,38.6731,0.)); #467477=CARTESIAN_POINT('Origin',(31.143278,38.645631,0.)); #467478=CARTESIAN_POINT('',(31.143278,38.645631,0.)); #467479=CARTESIAN_POINT('',(31.143278,38.645631,0.)); #467480=CARTESIAN_POINT('',(31.143278,38.645631,0.035)); #467481=CARTESIAN_POINT('',(31.143278,38.645631,0.035)); #467482=CARTESIAN_POINT('',(31.143278,38.645631,0.)); #467483=CARTESIAN_POINT('Origin',(31.126934,38.620084,0.)); #467484=CARTESIAN_POINT('',(31.126934,38.620084,0.)); #467485=CARTESIAN_POINT('',(31.126934,38.620084,0.)); #467486=CARTESIAN_POINT('',(31.126934,38.620084,0.035)); #467487=CARTESIAN_POINT('',(31.126934,38.620084,0.035)); #467488=CARTESIAN_POINT('',(31.126934,38.620084,0.)); #467489=CARTESIAN_POINT('Origin',(31.101922,38.602934,0.)); #467490=CARTESIAN_POINT('',(31.101922,38.602934,0.)); #467491=CARTESIAN_POINT('',(31.101922,38.602934,0.)); #467492=CARTESIAN_POINT('',(31.101922,38.602934,0.035)); #467493=CARTESIAN_POINT('',(31.101922,38.602934,0.035)); #467494=CARTESIAN_POINT('',(31.101922,38.602934,0.)); #467495=CARTESIAN_POINT('Origin',(31.0722,38.5969,0.)); #467496=CARTESIAN_POINT('',(31.0722,38.5969,0.)); #467497=CARTESIAN_POINT('',(31.0722,38.5969,0.)); #467498=CARTESIAN_POINT('',(31.0722,38.5969,0.035)); #467499=CARTESIAN_POINT('',(31.0722,38.5969,0.035)); #467500=CARTESIAN_POINT('',(31.0722,38.5969,0.)); #467501=CARTESIAN_POINT('Origin',(30.519953,38.5969,0.)); #467502=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #467503=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #467504=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #467505=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #467506=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #467507=CARTESIAN_POINT('Origin',(30.491356,38.602469,0.)); #467508=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #467509=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #467510=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #467511=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #467512=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #467513=CARTESIAN_POINT('Origin',(30.466072,38.619219,0.)); #467514=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #467515=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #467516=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #467517=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #467518=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #467519=CARTESIAN_POINT('Origin',(26.000919,43.084372,0.)); #467520=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #467521=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #467522=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #467523=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #467524=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #467525=CARTESIAN_POINT('Origin',(25.984634,43.108531,0.)); #467526=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #467527=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #467528=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #467529=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #467530=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #467531=CARTESIAN_POINT('Origin',(25.9786,43.138253,0.)); #467532=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #467533=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #467534=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #467535=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #467536=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #467537=CARTESIAN_POINT('Origin',(25.9786,51.623747,0.)); #467538=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #467539=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #467540=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #467541=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #467542=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #467543=CARTESIAN_POINT('Origin',(25.984169,51.652344,0.)); #467544=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #467545=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #467546=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #467547=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #467548=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #467549=CARTESIAN_POINT('Origin',(26.000919,51.677628,0.)); #467550=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #467551=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #467552=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #467553=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #467554=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #467555=CARTESIAN_POINT('Origin',(26.572372,52.249081,0.)); #467556=CARTESIAN_POINT('',(26.572372,52.249081,0.)); #467557=CARTESIAN_POINT('',(26.572372,52.249081,0.)); #467558=CARTESIAN_POINT('',(26.572372,52.249081,0.035)); #467559=CARTESIAN_POINT('',(26.572372,52.249081,0.035)); #467560=CARTESIAN_POINT('',(26.572372,52.249081,0.)); #467561=CARTESIAN_POINT('Origin',(26.596531,52.265366,0.)); #467562=CARTESIAN_POINT('',(26.596531,52.265366,0.)); #467563=CARTESIAN_POINT('',(26.596531,52.265366,0.)); #467564=CARTESIAN_POINT('',(26.596531,52.265366,0.035)); #467565=CARTESIAN_POINT('',(26.596531,52.265366,0.035)); #467566=CARTESIAN_POINT('',(26.596531,52.265366,0.)); #467567=CARTESIAN_POINT('Origin',(26.626253,52.2714,0.)); #467568=CARTESIAN_POINT('',(26.626253,52.2714,0.)); #467569=CARTESIAN_POINT('',(26.626253,52.2714,0.)); #467570=CARTESIAN_POINT('',(26.626253,52.2714,0.035)); #467571=CARTESIAN_POINT('',(26.626253,52.2714,0.035)); #467572=CARTESIAN_POINT('',(26.626253,52.2714,0.)); #467573=CARTESIAN_POINT('Origin',(27.3403,52.2714,0.)); #467574=CARTESIAN_POINT('',(27.3403,52.2714,0.)); #467575=CARTESIAN_POINT('',(27.3403,52.2714,0.)); #467576=CARTESIAN_POINT('',(27.3403,52.2714,0.035)); #467577=CARTESIAN_POINT('',(27.3403,52.2714,0.035)); #467578=CARTESIAN_POINT('',(27.3403,52.2714,0.)); #467579=CARTESIAN_POINT('Origin',(27.367769,52.266278,0.)); #467580=CARTESIAN_POINT('',(27.367769,52.266278,0.)); #467581=CARTESIAN_POINT('',(27.367769,52.266278,0.)); #467582=CARTESIAN_POINT('',(27.367769,52.266278,0.035)); #467583=CARTESIAN_POINT('',(27.367769,52.266278,0.035)); #467584=CARTESIAN_POINT('',(27.367769,52.266278,0.)); #467585=CARTESIAN_POINT('Origin',(27.393316,52.249934,0.)); #467586=CARTESIAN_POINT('',(27.393316,52.249934,0.)); #467587=CARTESIAN_POINT('',(27.393316,52.249934,0.)); #467588=CARTESIAN_POINT('',(27.393316,52.249934,0.035)); #467589=CARTESIAN_POINT('',(27.393316,52.249934,0.035)); #467590=CARTESIAN_POINT('',(27.393316,52.249934,0.)); #467591=CARTESIAN_POINT('Origin',(27.410466,52.224922,0.)); #467592=CARTESIAN_POINT('',(27.410466,52.224922,0.)); #467593=CARTESIAN_POINT('',(27.410466,52.224922,0.)); #467594=CARTESIAN_POINT('',(27.410466,52.224922,0.035)); #467595=CARTESIAN_POINT('',(27.410466,52.224922,0.035)); #467596=CARTESIAN_POINT('',(27.410466,52.224922,0.)); #467597=CARTESIAN_POINT('Origin',(27.4165,52.1952,0.)); #467598=CARTESIAN_POINT('',(27.4165,52.1952,0.)); #467599=CARTESIAN_POINT('',(27.4165,52.1952,0.)); #467600=CARTESIAN_POINT('',(27.4165,52.1952,0.035)); #467601=CARTESIAN_POINT('',(27.4165,52.1952,0.035)); #467602=CARTESIAN_POINT('',(27.4165,52.1952,0.)); #467603=CARTESIAN_POINT('Origin',(27.4165,51.992372,0.)); #467604=CARTESIAN_POINT('',(27.4165,51.992372,0.)); #467605=CARTESIAN_POINT('',(27.4165,51.992372,0.)); #467606=CARTESIAN_POINT('',(27.4165,51.992372,0.035)); #467607=CARTESIAN_POINT('',(27.4165,51.992372,0.035)); #467608=CARTESIAN_POINT('',(27.4165,51.992372,0.)); #467609=CARTESIAN_POINT('Origin',(27.505772,51.9031,0.)); #467610=CARTESIAN_POINT('',(27.505772,51.9031,0.)); #467611=CARTESIAN_POINT('',(27.505772,51.9031,0.)); #467612=CARTESIAN_POINT('',(27.505772,51.9031,0.035)); #467613=CARTESIAN_POINT('',(27.505772,51.9031,0.035)); #467614=CARTESIAN_POINT('',(27.505772,51.9031,0.)); #467615=CARTESIAN_POINT('Origin',(28.470228,51.9031,0.)); #467616=CARTESIAN_POINT('',(28.470228,51.9031,0.)); #467617=CARTESIAN_POINT('',(28.470228,51.9031,0.)); #467618=CARTESIAN_POINT('',(28.470228,51.9031,0.035)); #467619=CARTESIAN_POINT('',(28.470228,51.9031,0.035)); #467620=CARTESIAN_POINT('',(28.470228,51.9031,0.)); #467621=CARTESIAN_POINT('Origin',(28.5595,51.992372,0.)); #467622=CARTESIAN_POINT('',(28.5595,51.992372,0.)); #467623=CARTESIAN_POINT('',(28.5595,51.992372,0.)); #467624=CARTESIAN_POINT('',(28.5595,51.992372,0.035)); #467625=CARTESIAN_POINT('',(28.5595,51.992372,0.035)); #467626=CARTESIAN_POINT('',(28.5595,51.992372,0.)); #467627=CARTESIAN_POINT('Origin',(28.5595,53.007628,0.)); #467628=CARTESIAN_POINT('',(28.5595,53.007628,0.)); #467629=CARTESIAN_POINT('',(28.5595,53.007628,0.)); #467630=CARTESIAN_POINT('',(28.5595,53.007628,0.035)); #467631=CARTESIAN_POINT('',(28.5595,53.007628,0.035)); #467632=CARTESIAN_POINT('',(28.5595,53.007628,0.)); #467633=CARTESIAN_POINT('Origin',(28.470228,53.0969,0.)); #467634=CARTESIAN_POINT('',(28.470228,53.0969,0.)); #467635=CARTESIAN_POINT('',(28.470228,53.0969,0.)); #467636=CARTESIAN_POINT('',(28.470228,53.0969,0.035)); #467637=CARTESIAN_POINT('',(28.470228,53.0969,0.035)); #467638=CARTESIAN_POINT('',(28.470228,53.0969,0.)); #467639=CARTESIAN_POINT('Origin',(27.505772,53.0969,0.)); #467640=CARTESIAN_POINT('',(27.505772,53.0969,0.)); #467641=CARTESIAN_POINT('',(27.505772,53.0969,0.)); #467642=CARTESIAN_POINT('',(27.505772,53.0969,0.035)); #467643=CARTESIAN_POINT('',(27.505772,53.0969,0.035)); #467644=CARTESIAN_POINT('',(27.505772,53.0969,0.)); #467645=CARTESIAN_POINT('Origin',(27.4165,53.007628,0.)); #467646=CARTESIAN_POINT('',(27.4165,53.007628,0.)); #467647=CARTESIAN_POINT('',(27.4165,53.007628,0.)); #467648=CARTESIAN_POINT('',(27.4165,53.007628,0.035)); #467649=CARTESIAN_POINT('',(27.4165,53.007628,0.035)); #467650=CARTESIAN_POINT('',(27.4165,53.007628,0.)); #467651=CARTESIAN_POINT('Origin',(27.4165,52.8048,0.)); #467652=CARTESIAN_POINT('',(27.4165,52.8048,0.)); #467653=CARTESIAN_POINT('',(27.4165,52.8048,0.)); #467654=CARTESIAN_POINT('',(27.4165,52.8048,0.035)); #467655=CARTESIAN_POINT('',(27.4165,52.8048,0.035)); #467656=CARTESIAN_POINT('',(27.4165,52.8048,0.)); #467657=CARTESIAN_POINT('Origin',(27.411378,52.777331,0.)); #467658=CARTESIAN_POINT('',(27.411378,52.777331,0.)); #467659=CARTESIAN_POINT('',(27.411378,52.777331,0.)); #467660=CARTESIAN_POINT('',(27.411378,52.777331,0.035)); #467661=CARTESIAN_POINT('',(27.411378,52.777331,0.035)); #467662=CARTESIAN_POINT('',(27.411378,52.777331,0.)); #467663=CARTESIAN_POINT('Origin',(27.395034,52.751784,0.)); #467664=CARTESIAN_POINT('',(27.395034,52.751784,0.)); #467665=CARTESIAN_POINT('',(27.395034,52.751784,0.)); #467666=CARTESIAN_POINT('',(27.395034,52.751784,0.035)); #467667=CARTESIAN_POINT('',(27.395034,52.751784,0.035)); #467668=CARTESIAN_POINT('',(27.395034,52.751784,0.)); #467669=CARTESIAN_POINT('Origin',(27.370022,52.734634,0.)); #467670=CARTESIAN_POINT('',(27.370022,52.734634,0.)); #467671=CARTESIAN_POINT('',(27.370022,52.734634,0.)); #467672=CARTESIAN_POINT('',(27.370022,52.734634,0.035)); #467673=CARTESIAN_POINT('',(27.370022,52.734634,0.035)); #467674=CARTESIAN_POINT('',(27.370022,52.734634,0.)); #467675=CARTESIAN_POINT('Origin',(27.3403,52.7286,0.)); #467676=CARTESIAN_POINT('',(27.3403,52.7286,0.)); #467677=CARTESIAN_POINT('',(27.3403,52.7286,0.)); #467678=CARTESIAN_POINT('',(27.3403,52.7286,0.035)); #467679=CARTESIAN_POINT('',(27.3403,52.7286,0.035)); #467680=CARTESIAN_POINT('',(27.3403,52.7286,0.)); #467681=CARTESIAN_POINT('Origin',(26.405309,52.7286,0.)); #467682=CARTESIAN_POINT('',(26.405309,52.7286,0.)); #467683=CARTESIAN_POINT('',(26.405309,52.7286,0.)); #467684=CARTESIAN_POINT('',(26.405309,52.7286,0.035)); #467685=CARTESIAN_POINT('',(26.405309,52.7286,0.035)); #467686=CARTESIAN_POINT('',(26.405309,52.7286,0.)); #467687=CARTESIAN_POINT('Origin',(25.733681,52.056972,0.)); #467688=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #467689=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #467690=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #467691=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #467692=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #467693=CARTESIAN_POINT('Origin',(25.711744,52.041672,0.)); #467694=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #467695=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #467696=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #467697=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #467698=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #467699=CARTESIAN_POINT('Origin',(25.682228,52.034691,0.)); #467700=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #467701=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #467702=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #467703=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #467704=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #467705=CARTESIAN_POINT('Origin',(25.652331,52.039775,0.)); #467706=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #467707=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #467708=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #467709=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #467710=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #467711=CARTESIAN_POINT('Origin',(25.626784,52.056119,0.)); #467712=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #467713=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #467714=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #467715=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #467716=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #467717=CARTESIAN_POINT('Origin',(25.609634,52.081131,0.)); #467718=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #467719=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #467720=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #467721=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #467722=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #467723=CARTESIAN_POINT('Origin',(25.6036,52.110853,0.)); #467724=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #467725=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #467726=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #467727=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #467728=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #467729=CARTESIAN_POINT('Origin',(25.6036,57.969691,0.)); #467730=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #467731=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #467732=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #467733=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #467734=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #467735=CARTESIAN_POINT('Origin',(24.594691,58.9786,0.)); #467736=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #467737=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #467738=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #467739=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #467740=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #467741=CARTESIAN_POINT('Origin',(24.312397,58.9786,0.)); #467742=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #467743=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #467744=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #467745=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #467746=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #467747=CARTESIAN_POINT('Origin',(24.2838,58.984169,0.)); #467748=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #467749=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #467750=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #467751=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #467752=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #467753=CARTESIAN_POINT('Origin',(24.258516,59.000919,0.)); #467754=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #467755=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #467756=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #467757=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #467758=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #467759=CARTESIAN_POINT('Origin',(24.082034,59.1774,0.)); #467760=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #467761=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #467762=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #467763=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #467764=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #467765=CARTESIAN_POINT('Origin',(23.727966,59.1774,0.)); #467766=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #467767=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #467768=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #467769=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #467770=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #467771=CARTESIAN_POINT('Origin',(23.477425,58.926859,0.)); #467772=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #467773=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #467774=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #467775=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #467776=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #467777=CARTESIAN_POINT('Origin',(23.472478,58.900331,0.)); #467778=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #467779=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #467780=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #467781=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #467782=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #467783=CARTESIAN_POINT('Origin',(23.456134,58.874784,0.)); #467784=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #467785=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #467786=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #467787=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #467788=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #467789=CARTESIAN_POINT('Origin',(23.431122,58.857634,0.)); #467790=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #467791=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #467792=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #467793=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #467794=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #467795=CARTESIAN_POINT('Origin',(23.4014,58.8516,0.)); #467796=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #467797=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #467798=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #467799=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #467800=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #467801=CARTESIAN_POINT('Origin',(20.129047,58.8516,0.)); #467802=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #467803=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #467804=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #467805=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #467806=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #467807=CARTESIAN_POINT('Origin',(20.103691,58.8489,0.)); #467808=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #467809=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #467810=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #467811=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #467812=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #467813=CARTESIAN_POINT('Origin',(20.083338,58.842194,0.)); #467814=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #467815=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #467816=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #467817=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #467818=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #467819=CARTESIAN_POINT('Origin',(20.063803,58.830731,0.)); #467820=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #467821=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #467822=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #467823=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #467824=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #467825=CARTESIAN_POINT('Origin',(20.051134,58.819822,0.)); #467826=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #467827=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #467828=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #467829=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #467830=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #467831=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #467832=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #467833=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #467834=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #467835=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #467836=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #467837=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #467838=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #467839=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #467840=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #467841=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #467842=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #467843=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #467844=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #467845=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #467846=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #467847=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #467848=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #467849=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #467850=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #467851=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #467852=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #467853=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #467854=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #467855=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #467856=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #467857=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #467858=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #467859=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #467860=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #467861=CARTESIAN_POINT('Origin',(18.2734,51.1778,0.)); #467862=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #467863=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #467864=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #467865=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #467866=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #467867=CARTESIAN_POINT('Origin',(18.268278,51.150331,0.)); #467868=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #467869=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #467870=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #467871=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #467872=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #467873=CARTESIAN_POINT('Origin',(18.251934,51.124784,0.)); #467874=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #467875=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #467876=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #467877=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #467878=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #467879=CARTESIAN_POINT('Origin',(18.226922,51.107634,0.)); #467880=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #467881=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #467882=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #467883=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #467884=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #467885=CARTESIAN_POINT('Origin',(18.1972,51.1016,0.)); #467886=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #467887=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #467888=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #467889=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #467890=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #467891=CARTESIAN_POINT('Origin',(15.754047,51.1016,0.)); #467892=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #467893=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #467894=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #467895=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #467896=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #467897=CARTESIAN_POINT('Origin',(15.728691,51.0989,0.)); #467898=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #467899=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #467900=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #467901=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #467902=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #467903=CARTESIAN_POINT('Origin',(15.708338,51.092194,0.)); #467904=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #467905=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #467906=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #467907=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #467908=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #467909=CARTESIAN_POINT('Origin',(15.689856,51.08135,0.)); #467910=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #467911=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #467912=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #467913=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #467914=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #467915=CARTESIAN_POINT('Origin',(15.674063,51.06685,0.)); #467916=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #467917=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #467918=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #467919=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #467920=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #467921=CARTESIAN_POINT('Origin',(15.661694,51.049369,0.)); #467922=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #467923=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #467924=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #467925=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #467926=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #467927=CARTESIAN_POINT('Origin',(15.653922,51.031172,0.)); #467928=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #467929=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #467930=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #467931=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #467932=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #467933=CARTESIAN_POINT('Origin',(15.6484,50.994316,0.)); #467934=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #467935=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #467936=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #467937=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #467938=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #467939=CARTESIAN_POINT('Origin',(15.6484,50.8048,0.)); #467940=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #467941=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #467942=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #467943=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #467944=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #467945=CARTESIAN_POINT('Origin',(15.643278,50.777331,0.)); #467946=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #467947=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #467948=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #467949=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #467950=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #467951=CARTESIAN_POINT('Origin',(15.626934,50.751784,0.)); #467952=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #467953=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #467954=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #467955=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #467956=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #467957=CARTESIAN_POINT('Origin',(15.601922,50.734634,0.)); #467958=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #467959=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #467960=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #467961=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #467962=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #467963=CARTESIAN_POINT('Origin',(15.5722,50.7286,0.)); #467964=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #467965=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #467966=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #467967=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #467968=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #467969=CARTESIAN_POINT('Origin',(14.4278,50.7286,0.)); #467970=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #467971=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #467972=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #467973=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #467974=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #467975=CARTESIAN_POINT('Origin',(14.400331,50.733722,0.)); #467976=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #467977=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #467978=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #467979=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #467980=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #467981=CARTESIAN_POINT('Origin',(14.374784,50.750066,0.)); #467982=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #467983=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #467984=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #467985=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #467986=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #467987=CARTESIAN_POINT('Origin',(14.357634,50.775078,0.)); #467988=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #467989=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #467990=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #467991=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #467992=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #467993=CARTESIAN_POINT('Origin',(14.3516,50.8048,0.)); #467994=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #467995=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #467996=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #467997=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #467998=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #467999=CARTESIAN_POINT('Origin',(14.3516,50.995953,0.)); #468000=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #468001=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #468002=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #468003=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #468004=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #468005=CARTESIAN_POINT('Origin',(14.3489,51.021309,0.)); #468006=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #468007=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #468008=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #468009=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #468010=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #468011=CARTESIAN_POINT('Origin',(14.342194,51.041663,0.)); #468012=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #468013=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #468014=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #468015=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #468016=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #468017=CARTESIAN_POINT('Origin',(14.33135,51.060144,0.)); #468018=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #468019=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #468020=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #468021=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #468022=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #468023=CARTESIAN_POINT('Origin',(14.31685,51.075938,0.)); #468024=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #468025=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #468026=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #468027=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #468028=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #468029=CARTESIAN_POINT('Origin',(14.299369,51.088306,0.)); #468030=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #468031=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #468032=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #468033=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #468034=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #468035=CARTESIAN_POINT('Origin',(14.281172,51.096078,0.)); #468036=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #468037=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #468038=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #468039=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #468040=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #468041=CARTESIAN_POINT('Origin',(14.244316,51.1016,0.)); #468042=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #468043=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #468044=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #468045=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #468046=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #468047=CARTESIAN_POINT('Origin',(11.629047,51.1016,0.)); #468048=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #468049=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #468050=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #468051=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #468052=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #468053=CARTESIAN_POINT('Origin',(11.603691,51.0989,0.)); #468054=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #468055=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #468056=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #468057=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #468058=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #468059=CARTESIAN_POINT('Origin',(11.583338,51.092194,0.)); #468060=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #468061=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #468062=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #468063=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #468064=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #468065=CARTESIAN_POINT('Origin',(11.563803,51.080731,0.)); #468066=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #468067=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #468068=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #468069=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #468070=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #468071=CARTESIAN_POINT('Origin',(11.551134,51.069822,0.)); #468072=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #468073=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #468074=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #468075=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #468076=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #468077=CARTESIAN_POINT('Origin',(10.181022,49.699709,0.)); #468078=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #468079=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #468080=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #468081=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #468082=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #468083=CARTESIAN_POINT('Origin',(10.165003,49.679869,0.)); #468084=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #468085=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #468086=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #468087=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #468088=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #468089=CARTESIAN_POINT('Origin',(10.155353,49.660728,0.)); #468090=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #468091=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #468092=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #468093=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #468094=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #468095=CARTESIAN_POINT('Origin',(10.149641,49.638816,0.)); #468096=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #468097=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #468098=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #468099=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #468100=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #468101=CARTESIAN_POINT('Origin',(10.1484,49.622153,0.)); #468102=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #468103=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #468104=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #468105=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #468106=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #468107=CARTESIAN_POINT('Origin',(10.1484,42.504047,0.)); #468108=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #468109=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #468110=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #468111=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #468112=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #468113=CARTESIAN_POINT('Origin',(10.1511,42.478691,0.)); #468114=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #468115=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #468116=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #468117=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #468118=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #468119=CARTESIAN_POINT('Origin',(10.157456,42.459403,0.)); #468120=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #468121=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #468122=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #468123=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #468124=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #468125=CARTESIAN_POINT('Origin',(10.175256,42.430984,0.)); #468126=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #468127=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #468128=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #468129=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #468130=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #468131=CARTESIAN_POINT('Origin',(13.880738,37.984406,0.)); #468132=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #468133=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #468134=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #468135=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #468136=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #468137=CARTESIAN_POINT('Origin',(13.892366,37.965347,0.)); #468138=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #468139=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #468140=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #468141=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #468142=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #468143=CARTESIAN_POINT('Origin',(13.8984,37.935625,0.)); #468144=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #468145=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #468146=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #468147=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #468148=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #468149=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #468150=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #468151=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #468152=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #468153=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #468154=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #468155=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #468156=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #468157=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #468158=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #468159=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #468160=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #468161=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #468162=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #468163=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #468164=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #468165=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #468166=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #468167=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #468168=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #468169=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #468170=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #468171=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #468172=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #468173=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #468174=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #468175=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #468176=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #468177=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #468178=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #468179=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #468180=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #468181=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #468182=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #468183=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #468184=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #468185=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #468186=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #468187=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #468188=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #468189=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #468190=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #468191=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #468192=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #468193=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #468194=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #468195=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #468196=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #468197=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #468198=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #468199=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #468200=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #468201=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #468202=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #468203=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #468204=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #468205=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #468206=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #468207=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #468208=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #468209=CARTESIAN_POINT('Origin',(18.245953,19.1484,0.)); #468210=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #468211=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #468212=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #468213=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #468214=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #468215=CARTESIAN_POINT('Origin',(18.271309,19.1511,0.)); #468216=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #468217=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #468218=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #468219=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #468220=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #468221=CARTESIAN_POINT('Origin',(18.291663,19.157806,0.)); #468222=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #468223=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #468224=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #468225=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #468226=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #468227=CARTESIAN_POINT('Origin',(18.311197,19.169269,0.)); #468228=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #468229=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #468230=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #468231=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #468232=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #468233=CARTESIAN_POINT('Origin',(18.323866,19.180178,0.)); #468234=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #468235=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #468236=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #468237=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #468238=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #468239=CARTESIAN_POINT('Origin',(19.568978,20.425291,0.)); #468240=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #468241=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #468242=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #468243=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #468244=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #468245=CARTESIAN_POINT('Origin',(19.584997,20.445131,0.)); #468246=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #468247=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #468248=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #468249=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #468250=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #468251=CARTESIAN_POINT('Origin',(19.594647,20.464272,0.)); #468252=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #468253=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #468254=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #468255=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #468256=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #468257=CARTESIAN_POINT('Origin',(19.600359,20.486184,0.)); #468258=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #468259=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #468260=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #468261=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #468262=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #468263=CARTESIAN_POINT('Origin',(19.6016,20.502847,0.)); #468264=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #468265=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #468266=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #468267=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #468268=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #468269=CARTESIAN_POINT('Origin',(19.6016,24.17635,0.)); #468270=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #468271=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #468272=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #468273=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #468274=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #468275=CARTESIAN_POINT('Origin',(19.607169,24.204947,0.)); #468276=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #468277=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #468278=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #468279=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #468280=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #468281=CARTESIAN_POINT('Origin',(19.623919,24.230231,0.)); #468282=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #468283=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #468284=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #468285=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #468286=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #468287=CARTESIAN_POINT('Origin',(20.769769,25.376081,0.)); #468288=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #468289=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #468290=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #468291=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #468292=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #468293=CARTESIAN_POINT('Origin',(20.793928,25.392366,0.)); #468294=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #468295=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #468296=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #468297=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #468298=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #468299=CARTESIAN_POINT('Origin',(20.82365,25.3984,0.)); #468300=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #468301=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #468302=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #468303=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #468304=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #468305=CARTESIAN_POINT('Origin',(22.746747,25.3984,0.)); #468306=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #468307=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #468308=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #468309=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #468310=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #468311=CARTESIAN_POINT('Origin',(22.775344,25.392831,0.)); #468312=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #468313=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #468314=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #468315=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #468316=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #468317=CARTESIAN_POINT('Origin',(22.800628,25.376081,0.)); #468318=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #468319=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #468320=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #468321=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #468322=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #468323=CARTESIAN_POINT('Origin',(23.155309,25.0214,0.)); #468324=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #468325=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #468326=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #468327=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #468328=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #468329=CARTESIAN_POINT('Origin',(27.373747,25.0214,0.)); #468330=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #468331=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #468332=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #468333=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #468334=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #468335=CARTESIAN_POINT('Origin',(27.402344,25.015831,0.)); #468336=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #468337=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #468338=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #468339=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #468340=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #468341=CARTESIAN_POINT('Origin',(27.427628,24.999081,0.)); #468342=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #468343=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #468344=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #468345=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #468346=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #468347=CARTESIAN_POINT('Origin',(27.494228,24.932481,0.)); #468348=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #468349=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #468350=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #468351=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #468352=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #468353=CARTESIAN_POINT('Origin',(27.509528,24.910544,0.)); #468354=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #468355=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #468356=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #468357=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #468358=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #468359=CARTESIAN_POINT('Origin',(27.516509,24.881028,0.)); #468360=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #468361=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #468362=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #468363=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #468364=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #468365=CARTESIAN_POINT('Origin',(27.511425,24.851131,0.)); #468366=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #468367=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #468368=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #468369=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #468370=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #468371=CARTESIAN_POINT('Origin',(27.495081,24.825584,0.)); #468372=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #468373=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #468374=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #468375=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #468376=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #468377=CARTESIAN_POINT('Origin',(27.470069,24.808434,0.)); #468378=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #468379=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #468380=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #468381=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #468382=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #468383=CARTESIAN_POINT('Origin',(27.440347,24.8024,0.)); #468384=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #468385=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #468386=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #468387=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #468388=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #468389=CARTESIAN_POINT('Origin',(27.072966,24.8024,0.)); #468390=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #468391=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #468392=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #468393=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #468394=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #468395=CARTESIAN_POINT('Origin',(26.8226,24.552034,0.)); #468396=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #468397=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #468398=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #468399=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #468400=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #468401=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #468402=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #468403=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #468404=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #468405=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #468406=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #468407=CARTESIAN_POINT('Origin',(26.999081,24.021484,0.)); #468408=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #468409=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #468410=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #468411=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #468412=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #468413=CARTESIAN_POINT('Origin',(27.015366,23.997325,0.)); #468414=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #468415=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #468416=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #468417=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #468418=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #468419=CARTESIAN_POINT('Origin',(27.0214,23.967603,0.)); #468420=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #468421=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #468422=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #468423=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #468424=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #468425=CARTESIAN_POINT('Origin',(27.0214,20.222453,0.)); #468426=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #468427=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #468428=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #468429=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #468430=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #468431=CARTESIAN_POINT('Origin',(27.016706,20.196122,0.)); #468432=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #468433=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #468434=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #468435=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #468436=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #468437=CARTESIAN_POINT('Origin',(27.000772,20.170316,0.)); #468438=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #468439=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #468440=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #468441=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #468442=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #468443=CARTESIAN_POINT('Origin',(26.976034,20.152772,0.)); #468444=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #468445=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #468446=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #468447=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #468448=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #468449=CARTESIAN_POINT('Origin',(26.946416,20.146263,0.)); #468450=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #468451=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #468452=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #468453=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #468454=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #468455=CARTESIAN_POINT('Origin',(26.916603,20.151822,0.)); #468456=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #468457=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #468458=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #468459=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #468460=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #468461=CARTESIAN_POINT('Origin',(26.891319,20.168572,0.)); #468462=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #468463=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #468464=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #468465=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #468466=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #468467=CARTESIAN_POINT('Origin',(26.355819,20.704072,0.)); #468468=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #468469=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #468470=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #468471=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #468472=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #468473=CARTESIAN_POINT('Origin',(26.339534,20.728231,0.)); #468474=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #468475=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #468476=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #468477=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #468478=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #468479=CARTESIAN_POINT('Origin',(26.3335,20.757953,0.)); #468480=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #468481=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #468482=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #468483=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #468484=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #468485=CARTESIAN_POINT('Origin',(26.3335,21.507628,0.)); #468486=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #468487=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #468488=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #468489=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #468490=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #468491=CARTESIAN_POINT('Origin',(26.244228,21.5969,0.)); #468492=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #468493=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #468494=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #468495=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #468496=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #468497=CARTESIAN_POINT('Origin',(25.279772,21.5969,0.)); #468498=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #468499=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #468500=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #468501=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #468502=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #468503=CARTESIAN_POINT('Origin',(25.1905,21.507628,0.)); #468504=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #468505=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #468506=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #468507=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #468508=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #468509=CARTESIAN_POINT('Origin',(25.1905,20.5548,0.)); #468510=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #468511=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #468512=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #468513=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #468514=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #468515=CARTESIAN_POINT('Origin',(25.185378,20.527331,0.)); #468516=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #468517=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #468518=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #468519=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #468520=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #468521=CARTESIAN_POINT('Origin',(25.169034,20.501784,0.)); #468522=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #468523=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #468524=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #468525=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #468526=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #468527=CARTESIAN_POINT('Origin',(25.144022,20.484634,0.)); #468528=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #468529=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #468530=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #468531=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #468532=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #468533=CARTESIAN_POINT('Origin',(25.1143,20.4786,0.)); #468534=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #468535=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #468536=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #468537=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #468538=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #468539=CARTESIAN_POINT('Origin',(22.638253,20.4786,0.)); #468540=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #468541=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #468542=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #468543=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #468544=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #468545=CARTESIAN_POINT('Origin',(22.609656,20.484169,0.)); #468546=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #468547=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #468548=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #468549=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #468550=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #468551=CARTESIAN_POINT('Origin',(22.584372,20.500919,0.)); #468552=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #468553=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #468554=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #468555=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #468556=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #468557=CARTESIAN_POINT('Origin',(22.355819,20.729472,0.)); #468558=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #468559=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #468560=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #468561=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #468562=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #468563=CARTESIAN_POINT('Origin',(22.339534,20.753631,0.)); #468564=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #468565=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #468566=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #468567=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #468568=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #468569=CARTESIAN_POINT('Origin',(22.3335,20.783353,0.)); #468570=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #468571=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #468572=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #468573=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #468574=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #468575=CARTESIAN_POINT('Origin',(22.3335,21.507628,0.)); #468576=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #468577=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #468578=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #468579=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #468580=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #468581=CARTESIAN_POINT('Origin',(22.244228,21.5969,0.)); #468582=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #468583=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #468584=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #468585=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #468586=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #468587=CARTESIAN_POINT('Origin',(21.279772,21.5969,0.)); #468588=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #468589=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #468590=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #468591=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #468592=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #468593=CARTESIAN_POINT('Origin',(21.1905,21.507628,0.)); #468594=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #468595=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #468596=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #468597=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #468598=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #468599=CARTESIAN_POINT('Origin',(21.1905,20.492372,0.)); #468600=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #468601=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #468602=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #468603=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #468604=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #468605=CARTESIAN_POINT('Origin',(21.279772,20.4031,0.)); #468606=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #468607=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #468608=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #468609=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #468610=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #468611=CARTESIAN_POINT('Origin',(22.004047,20.4031,0.)); #468612=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #468613=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #468614=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #468615=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #468616=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #468617=CARTESIAN_POINT('Origin',(22.032644,20.397531,0.)); #468618=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #468619=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #468620=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #468621=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #468622=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #468623=CARTESIAN_POINT('Origin',(22.057928,20.380781,0.)); #468624=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #468625=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #468626=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #468627=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #468628=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #468629=CARTESIAN_POINT('Origin',(22.417309,20.0214,0.)); #468630=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #468631=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #468632=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #468633=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #468634=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #468635=CARTESIAN_POINT('Origin',(25.748747,20.0214,0.)); #468636=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #468637=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #468638=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #468639=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #468640=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #468641=CARTESIAN_POINT('Origin',(25.777344,20.015831,0.)); #468642=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #468643=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #468644=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #468645=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #468646=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #468647=CARTESIAN_POINT('Origin',(25.802628,19.999081,0.)); #468648=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #468649=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #468650=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #468651=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #468652=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #468653=CARTESIAN_POINT('Origin',(26.249081,19.552628,0.)); #468654=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #468655=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #468656=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #468657=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #468658=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #468659=CARTESIAN_POINT('Origin',(26.265366,19.528469,0.)); #468660=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #468661=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #468662=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #468663=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #468664=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #468665=CARTESIAN_POINT('Origin',(26.2714,19.498747,0.)); #468666=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #468667=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #468668=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #468669=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #468670=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #468671=CARTESIAN_POINT('Origin',(26.2714,18.6731,0.)); #468672=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #468673=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #468674=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #468675=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #468676=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #468677=CARTESIAN_POINT('Origin',(26.266278,18.645631,0.)); #468678=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #468679=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #468680=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #468681=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #468682=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #468683=CARTESIAN_POINT('Origin',(26.249934,18.620084,0.)); #468684=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #468685=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #468686=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #468687=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #468688=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #468689=CARTESIAN_POINT('Origin',(26.224922,18.602934,0.)); #468690=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #468691=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #468692=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #468693=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #468694=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #468695=CARTESIAN_POINT('Origin',(26.1952,18.5969,0.)); #468696=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #468697=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #468698=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #468699=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #468700=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #468701=CARTESIAN_POINT('Origin',(25.279772,18.5969,0.)); #468702=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #468703=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #468704=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #468705=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #468706=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #468707=CARTESIAN_POINT('Origin',(25.1905,18.507628,0.)); #468708=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #468709=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #468710=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #468711=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #468712=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #468713=CARTESIAN_POINT('Origin',(25.1905,17.5548,0.)); #468714=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #468715=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #468716=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #468717=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #468718=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #468719=CARTESIAN_POINT('Origin',(25.185378,17.527331,0.)); #468720=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #468721=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #468722=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #468723=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #468724=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #468725=CARTESIAN_POINT('Origin',(25.169034,17.501784,0.)); #468726=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #468727=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #468728=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #468729=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #468730=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #468731=CARTESIAN_POINT('Origin',(25.144022,17.484634,0.)); #468732=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #468733=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #468734=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #468735=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #468736=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #468737=CARTESIAN_POINT('Origin',(25.1143,17.4786,0.)); #468738=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #468739=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #468740=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #468741=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #468742=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #468743=CARTESIAN_POINT('Origin',(22.638253,17.4786,0.)); #468744=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #468745=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #468746=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #468747=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #468748=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #468749=CARTESIAN_POINT('Origin',(22.609656,17.484169,0.)); #468750=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #468751=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #468752=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #468753=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #468754=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #468755=CARTESIAN_POINT('Origin',(22.584372,17.500919,0.)); #468756=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #468757=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #468758=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #468759=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #468760=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #468761=CARTESIAN_POINT('Origin',(22.355819,17.729472,0.)); #468762=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #468763=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #468764=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #468765=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #468766=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #468767=CARTESIAN_POINT('Origin',(22.339534,17.753631,0.)); #468768=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #468769=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #468770=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #468771=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #468772=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #468773=CARTESIAN_POINT('Origin',(22.3335,17.783353,0.)); #468774=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #468775=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #468776=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #468777=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #468778=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #468779=CARTESIAN_POINT('Origin',(22.3335,18.507628,0.)); #468780=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #468781=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #468782=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #468783=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #468784=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #468785=CARTESIAN_POINT('Origin',(22.244228,18.5969,0.)); #468786=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #468787=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #468788=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #468789=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #468790=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #468791=CARTESIAN_POINT('Origin',(21.279772,18.5969,0.)); #468792=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #468793=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #468794=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #468795=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #468796=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #468797=CARTESIAN_POINT('Origin',(21.1905,18.507628,0.)); #468798=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #468799=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #468800=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #468801=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #468802=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #468803=CARTESIAN_POINT('Origin',(21.1905,17.492372,0.)); #468804=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #468805=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #468806=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #468807=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #468808=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #468809=CARTESIAN_POINT('Origin',(21.279772,17.4031,0.)); #468810=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #468811=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #468812=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #468813=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #468814=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #468815=CARTESIAN_POINT('Origin',(22.004047,17.4031,0.)); #468816=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #468817=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #468818=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #468819=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #468820=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #468821=CARTESIAN_POINT('Origin',(22.032644,17.397531,0.)); #468822=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #468823=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #468824=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #468825=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #468826=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #468827=CARTESIAN_POINT('Origin',(22.057928,17.380781,0.)); #468828=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #468829=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #468830=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #468831=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #468832=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #468833=CARTESIAN_POINT('Origin',(22.417309,17.0214,0.)); #468834=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #468835=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #468836=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #468837=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #468838=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #468839=CARTESIAN_POINT('Origin',(25.877397,17.0214,0.)); #468840=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #468841=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #468842=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #468843=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #468844=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #468845=CARTESIAN_POINT('Origin',(25.905994,17.015831,0.)); #468846=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #468847=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #468848=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #468849=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #468850=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #468851=CARTESIAN_POINT('Origin',(25.931278,16.999081,0.)); #468852=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #468853=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #468854=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #468855=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #468856=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #468857=CARTESIAN_POINT('Origin',(28.908959,14.0214,0.)); #468858=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #468859=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #468860=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #468861=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #468862=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #468863=CARTESIAN_POINT('Origin',(30.131509,14.0214,0.)); #468864=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #468865=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #468866=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #468867=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #468868=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #468869=CARTESIAN_POINT('Origin',(30.160106,14.015831,0.)); #468870=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #468871=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #468872=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #468873=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #468874=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #468875=CARTESIAN_POINT('Origin',(30.185391,13.999081,0.)); #468876=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #468877=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #468878=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #468879=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #468880=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #468881=CARTESIAN_POINT('Origin',(30.224372,13.9601,0.)); #468882=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #468883=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #468884=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #468885=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #468886=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #468887=CARTESIAN_POINT('Origin',(30.8839,13.9601,0.)); #468888=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #468889=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #468890=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #468891=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #468892=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #468893=CARTESIAN_POINT('Origin',(30.911369,13.954978,0.)); #468894=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #468895=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #468896=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #468897=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #468898=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #468899=CARTESIAN_POINT('Origin',(30.936916,13.938634,0.)); #468900=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #468901=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #468902=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #468903=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #468904=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #468905=CARTESIAN_POINT('Origin',(30.954066,13.913622,0.)); #468906=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #468907=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #468908=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #468909=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #468910=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #468911=CARTESIAN_POINT('Origin',(30.9601,13.8839,0.)); #468912=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #468913=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #468914=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #468915=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #468916=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #468917=CARTESIAN_POINT('Origin',(30.9601,13.5036,0.)); #468918=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #468919=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #468920=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #468921=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #468922=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #468923=CARTESIAN_POINT('Origin',(30.954978,13.476131,0.)); #468924=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #468925=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #468926=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #468927=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #468928=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #468929=CARTESIAN_POINT('Origin',(30.938634,13.450584,0.)); #468930=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #468931=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #468932=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #468933=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #468934=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #468935=CARTESIAN_POINT('Origin',(30.913622,13.433434,0.)); #468936=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #468937=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #468938=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #468939=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #468940=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #468941=CARTESIAN_POINT('Origin',(30.8839,13.4274,0.)); #468942=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #468943=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #468944=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #468945=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #468946=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #468947=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #468948=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #468949=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #468950=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #468951=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #468952=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #468953=CARTESIAN_POINT('Origin',(30.5726,13.177034,0.)); #468954=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #468955=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #468956=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #468957=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #468958=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #468959=CARTESIAN_POINT('Origin',(30.5726,12.822966,0.)); #468960=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #468961=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #468962=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #468963=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #468964=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #468965=CARTESIAN_POINT('Origin',(30.822966,12.5726,0.)); #468966=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #468967=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #468968=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #468969=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #468970=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #468971=CARTESIAN_POINT('Origin',(31.177034,12.5726,0.)); #468972=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #468973=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #468974=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #468975=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #468976=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #468977=CARTESIAN_POINT('Origin',(31.391319,12.786884,0.)); #468978=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #468979=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #468980=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #468981=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #468982=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #468983=CARTESIAN_POINT('Origin',(31.413256,12.802184,0.)); #468984=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #468985=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #468986=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #468987=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #468988=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #468989=CARTESIAN_POINT('Origin',(31.442772,12.809166,0.)); #468990=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #468991=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #468992=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #468993=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #468994=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #468995=CARTESIAN_POINT('Origin',(31.472669,12.804081,0.)); #468996=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #468997=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #468998=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #468999=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #469000=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #469001=CARTESIAN_POINT('Origin',(31.498216,12.787738,0.)); #469002=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #469003=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #469004=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #469005=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #469006=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #469007=CARTESIAN_POINT('Origin',(31.515366,12.762725,0.)); #469008=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #469009=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #469010=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #469011=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #469012=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #469013=CARTESIAN_POINT('Origin',(31.5214,12.733003,0.)); #469014=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #469015=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #469016=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #469017=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #469018=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #469019=CARTESIAN_POINT('Origin',(31.5214,11.4278,0.)); #469020=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #469021=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #469022=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #469023=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #469024=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #469025=CARTESIAN_POINT('Origin',(31.516278,11.400331,0.)); #469026=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #469027=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #469028=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #469029=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #469030=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #469031=CARTESIAN_POINT('Origin',(31.499934,11.374784,0.)); #469032=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #469033=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #469034=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #469035=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #469036=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #469037=CARTESIAN_POINT('Origin',(31.474922,11.357634,0.)); #469038=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #469039=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #469040=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #469041=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #469042=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #469043=CARTESIAN_POINT('Origin',(31.4452,11.3516,0.)); #469044=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #469045=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #469046=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #469047=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #469048=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #469049=CARTESIAN_POINT('Origin',(31.0036,11.3516,0.)); #469050=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #469051=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #469052=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #469053=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #469054=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #469055=CARTESIAN_POINT('Origin',(30.976131,11.356722,0.)); #469056=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #469057=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #469058=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #469059=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #469060=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #469061=CARTESIAN_POINT('Origin',(30.950584,11.373066,0.)); #469062=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #469063=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #469064=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #469065=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #469066=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #469067=CARTESIAN_POINT('Origin',(30.933434,11.398078,0.)); #469068=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #469069=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #469070=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #469071=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #469072=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #469073=CARTESIAN_POINT('Origin',(30.927594,11.426841,0.)); #469074=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #469075=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #469076=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #469077=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #469078=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #469079=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #469080=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #469081=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #469082=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #469083=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #469084=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #469085=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #469086=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #469087=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #469088=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #469089=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #469090=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #469091=CARTESIAN_POINT('Origin',(30.146484,11.500919,0.)); #469092=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #469093=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #469094=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #469095=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #469096=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #469097=CARTESIAN_POINT('Origin',(30.122325,11.484634,0.)); #469098=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #469099=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #469100=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #469101=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #469102=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #469103=CARTESIAN_POINT('Origin',(30.092603,11.4786,0.)); #469104=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #469105=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #469106=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #469107=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #469108=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #469109=CARTESIAN_POINT('Origin',(29.660241,11.4786,0.)); #469110=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #469111=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #469112=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #469113=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #469114=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #469115=CARTESIAN_POINT('Origin',(29.631644,11.484169,0.)); #469116=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #469117=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #469118=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #469119=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #469120=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #469121=CARTESIAN_POINT('Origin',(29.606359,11.500919,0.)); #469122=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #469123=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #469124=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #469125=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #469126=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #469127=CARTESIAN_POINT('Origin',(26.355819,14.751459,0.)); #469128=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #469129=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #469130=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #469131=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #469132=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #469133=CARTESIAN_POINT('Origin',(26.339534,14.775619,0.)); #469134=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #469135=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #469136=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #469137=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #469138=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #469139=CARTESIAN_POINT('Origin',(26.3335,14.805341,0.)); #469140=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #469141=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #469142=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #469143=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #469144=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #469145=CARTESIAN_POINT('Origin',(26.3335,15.507628,0.)); #469146=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #469147=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #469148=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #469149=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #469150=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #469151=CARTESIAN_POINT('Origin',(26.244228,15.5969,0.)); #469152=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #469153=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #469154=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #469155=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #469156=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #469157=CARTESIAN_POINT('Origin',(25.279772,15.5969,0.)); #469158=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #469159=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #469160=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #469161=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #469162=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #469163=CARTESIAN_POINT('Origin',(25.1905,15.507628,0.)); #469164=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #469165=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #469166=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #469167=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #469168=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #469169=CARTESIAN_POINT('Origin',(25.1905,14.5548,0.)); #469170=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #469171=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #469172=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #469173=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #469174=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #469175=CARTESIAN_POINT('Origin',(25.185378,14.527331,0.)); #469176=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #469177=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #469178=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #469179=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #469180=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #469181=CARTESIAN_POINT('Origin',(25.169034,14.501784,0.)); #469182=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #469183=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #469184=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #469185=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #469186=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #469187=CARTESIAN_POINT('Origin',(25.144022,14.484634,0.)); #469188=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #469189=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #469190=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #469191=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #469192=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #469193=CARTESIAN_POINT('Origin',(25.1143,14.4786,0.)); #469194=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #469195=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #469196=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #469197=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #469198=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #469199=CARTESIAN_POINT('Origin',(22.638253,14.4786,0.)); #469200=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #469201=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #469202=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #469203=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #469204=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #469205=CARTESIAN_POINT('Origin',(22.609656,14.484169,0.)); #469206=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #469207=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #469208=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #469209=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #469210=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #469211=CARTESIAN_POINT('Origin',(22.584372,14.500919,0.)); #469212=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #469213=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #469214=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #469215=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #469216=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #469217=CARTESIAN_POINT('Origin',(22.355819,14.729472,0.)); #469218=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #469219=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #469220=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #469221=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #469222=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #469223=CARTESIAN_POINT('Origin',(22.339534,14.753631,0.)); #469224=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #469225=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #469226=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #469227=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #469228=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #469229=CARTESIAN_POINT('Origin',(22.3335,14.783353,0.)); #469230=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #469231=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #469232=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #469233=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #469234=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #469235=CARTESIAN_POINT('Origin',(22.3335,15.507628,0.)); #469236=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #469237=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #469238=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #469239=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #469240=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #469241=CARTESIAN_POINT('Origin',(22.244228,15.5969,0.)); #469242=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #469243=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #469244=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #469245=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #469246=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #469247=CARTESIAN_POINT('Origin',(21.279772,15.5969,0.)); #469248=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #469249=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #469250=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #469251=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #469252=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #469253=CARTESIAN_POINT('Origin',(21.1905,15.507628,0.)); #469254=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #469255=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #469256=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #469257=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #469258=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #469259=CARTESIAN_POINT('Origin',(21.1905,14.492372,0.)); #469260=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #469261=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #469262=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #469263=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #469264=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #469265=CARTESIAN_POINT('Origin',(21.279772,14.4031,0.)); #469266=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #469267=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #469268=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #469269=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #469270=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #469271=CARTESIAN_POINT('Origin',(22.004047,14.4031,0.)); #469272=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #469273=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #469274=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #469275=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #469276=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #469277=CARTESIAN_POINT('Origin',(22.032644,14.397531,0.)); #469278=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #469279=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #469280=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #469281=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #469282=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #469283=CARTESIAN_POINT('Origin',(22.057928,14.380781,0.)); #469284=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #469285=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #469286=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #469287=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #469288=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #469289=CARTESIAN_POINT('Origin',(22.417309,14.0214,0.)); #469290=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #469291=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #469292=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #469293=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #469294=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #469295=CARTESIAN_POINT('Origin',(25.873747,14.0214,0.)); #469296=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #469297=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #469298=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #469299=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #469300=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #469301=CARTESIAN_POINT('Origin',(25.902344,14.015831,0.)); #469302=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #469303=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #469304=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #469305=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #469306=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #469307=CARTESIAN_POINT('Origin',(25.927628,13.999081,0.)); #469308=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #469309=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #469310=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #469311=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #469312=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #469313=CARTESIAN_POINT('Origin',(29.655309,10.2714,0.)); #469314=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #469315=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #469316=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #469317=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #469318=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #469319=CARTESIAN_POINT('Origin',(30.0722,10.2714,0.)); #469320=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #469321=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #469322=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #469323=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #469324=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #469325=CARTESIAN_POINT('Origin',(30.099669,10.266278,0.)); #469326=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #469327=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #469328=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #469329=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #469330=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #469331=CARTESIAN_POINT('Origin',(30.125216,10.249934,0.)); #469332=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #469333=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #469334=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #469335=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #469336=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #469337=CARTESIAN_POINT('Origin',(30.142366,10.224922,0.)); #469338=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #469339=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #469340=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #469341=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #469342=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #469343=CARTESIAN_POINT('Origin',(30.1484,10.1952,0.)); #469344=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #469345=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #469346=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #469347=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #469348=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #469349=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #469350=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #469351=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #469352=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #469353=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #469354=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #469355=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #469356=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #469357=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #469358=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #469359=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #469360=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #469361=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #469362=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #469363=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #469364=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #469365=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #469366=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #469367=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #469368=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #469369=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #469370=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #469371=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #469372=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #469373=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #469374=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #469375=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #469376=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #469377=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #469378=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #469379=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #469380=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #469381=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #469382=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #469383=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #469384=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #469385=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #469386=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #469387=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #469388=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #469389=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #469390=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #469391=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #469392=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #469393=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #469394=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #469395=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #469396=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #469397=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #469398=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #469399=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #469400=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #469401=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #469402=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #469403=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #469404=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #469405=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #469406=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #469407=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #469408=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #469409=CARTESIAN_POINT('Origin',(31.0722,7.8984,0.)); #469410=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #469411=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #469412=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #469413=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #469414=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #469415=CARTESIAN_POINT('Origin',(31.099669,7.8932781,0.)); #469416=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #469417=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #469418=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #469419=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #469420=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #469421=CARTESIAN_POINT('Origin',(31.125216,7.8769344,0.)); #469422=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #469423=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #469424=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #469425=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #469426=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #469427=CARTESIAN_POINT('Origin',(31.142366,7.8519219,0.)); #469428=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #469429=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #469430=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #469431=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #469432=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #469433=CARTESIAN_POINT('Origin',(31.1484,7.8222,0.)); #469434=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #469435=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #469436=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #469437=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #469438=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #469439=CARTESIAN_POINT('Origin',(31.1484,6.8812,0.)); #469440=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #469441=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #469442=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #469443=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #469444=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #469445=CARTESIAN_POINT('Origin',(31.143278,6.8537313,0.)); #469446=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #469447=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #469448=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #469449=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #469450=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #469451=CARTESIAN_POINT('Origin',(31.126934,6.8281844,0.)); #469452=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #469453=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #469454=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #469455=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #469456=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #469457=CARTESIAN_POINT('Origin',(31.101922,6.8110344,0.)); #469458=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #469459=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #469460=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #469461=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #469462=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #469463=CARTESIAN_POINT('Origin',(31.0722,6.805,0.)); #469464=CARTESIAN_POINT('',(31.0722,6.805,0.)); #469465=CARTESIAN_POINT('',(31.0722,6.805,0.)); #469466=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #469467=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #469468=CARTESIAN_POINT('',(31.0722,6.805,0.)); #469469=CARTESIAN_POINT('Origin',(30.985678,6.805,0.)); #469470=CARTESIAN_POINT('',(30.985678,6.805,0.)); #469471=CARTESIAN_POINT('',(30.985678,6.805,0.)); #469472=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #469473=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #469474=CARTESIAN_POINT('',(30.985678,6.805,0.)); #469475=CARTESIAN_POINT('Origin',(30.755966,6.70985,0.)); #469476=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #469477=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #469478=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #469479=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #469480=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #469481=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #469482=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #469483=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #469484=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #469485=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #469486=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #469487=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #469488=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #469489=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #469490=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #469491=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #469492=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #469493=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #469494=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #469495=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #469496=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #469497=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #469498=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #469499=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #469500=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #469501=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #469502=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #469503=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #469504=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #469505=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #469506=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #469507=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #469508=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #469509=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #469510=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #469511=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #469512=CARTESIAN_POINT('',(30.985678,5.555,0.)); #469513=CARTESIAN_POINT('',(30.985678,5.555,0.)); #469514=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #469515=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #469516=CARTESIAN_POINT('',(30.985678,5.555,0.)); #469517=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #469518=CARTESIAN_POINT('',(31.234322,5.555,0.)); #469519=CARTESIAN_POINT('',(31.234322,5.555,0.)); #469520=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #469521=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #469522=CARTESIAN_POINT('',(31.234322,5.555,0.)); #469523=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #469524=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #469525=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #469526=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #469527=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #469528=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #469529=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #469530=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #469531=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #469532=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #469533=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #469534=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #469535=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #469536=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #469537=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #469538=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #469539=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #469540=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #469541=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #469542=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #469543=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #469544=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #469545=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #469546=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #469547=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #469548=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #469549=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #469550=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #469551=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #469552=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #469553=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #469554=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #469555=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #469556=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #469557=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #469558=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #469559=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #469560=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #469561=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #469562=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #469563=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #469564=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #469565=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #469566=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #469567=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #469568=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #469569=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #469570=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #469571=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #469572=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #469573=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #469574=CARTESIAN_POINT('Origin',(33.427034,56.0726,0.)); #469575=CARTESIAN_POINT('',(33.427034,56.0726,0.)); #469576=CARTESIAN_POINT('',(33.072966,56.0726,0.)); #469577=CARTESIAN_POINT('',(33.427034,56.0726,0.)); #469578=CARTESIAN_POINT('',(33.072966,56.0726,0.035)); #469579=CARTESIAN_POINT('',(33.072966,56.0726,0.)); #469580=CARTESIAN_POINT('',(33.427034,56.0726,0.035)); #469581=CARTESIAN_POINT('',(33.427034,56.0726,0.035)); #469582=CARTESIAN_POINT('',(33.427034,56.0726,0.)); #469583=CARTESIAN_POINT('Origin',(33.571119,56.216684,0.)); #469584=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #469585=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #469586=CARTESIAN_POINT('',(33.571119,56.216684,0.035)); #469587=CARTESIAN_POINT('',(33.571119,56.216684,0.035)); #469588=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #469589=CARTESIAN_POINT('Origin',(33.594166,56.232484,0.)); #469590=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #469591=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #469592=CARTESIAN_POINT('',(33.594166,56.232484,0.035)); #469593=CARTESIAN_POINT('',(33.594166,56.232484,0.035)); #469594=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #469595=CARTESIAN_POINT('Origin',(33.623784,56.238994,0.)); #469596=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #469597=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #469598=CARTESIAN_POINT('',(33.623784,56.238994,0.035)); #469599=CARTESIAN_POINT('',(33.623784,56.238994,0.035)); #469600=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #469601=CARTESIAN_POINT('Origin',(33.653597,56.233434,0.)); #469602=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #469603=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #469604=CARTESIAN_POINT('',(33.653597,56.233434,0.035)); #469605=CARTESIAN_POINT('',(33.653597,56.233434,0.035)); #469606=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #469607=CARTESIAN_POINT('Origin',(33.678881,56.216684,0.)); #469608=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #469609=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #469610=CARTESIAN_POINT('',(33.678881,56.216684,0.035)); #469611=CARTESIAN_POINT('',(33.678881,56.216684,0.035)); #469612=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #469613=CARTESIAN_POINT('Origin',(33.822966,56.0726,0.)); #469614=CARTESIAN_POINT('',(33.822966,56.0726,0.)); #469615=CARTESIAN_POINT('',(33.822966,56.0726,0.)); #469616=CARTESIAN_POINT('',(33.822966,56.0726,0.035)); #469617=CARTESIAN_POINT('',(33.822966,56.0726,0.035)); #469618=CARTESIAN_POINT('',(33.822966,56.0726,0.)); #469619=CARTESIAN_POINT('Origin',(34.177034,56.0726,0.)); #469620=CARTESIAN_POINT('',(34.177034,56.0726,0.)); #469621=CARTESIAN_POINT('',(34.177034,56.0726,0.)); #469622=CARTESIAN_POINT('',(34.177034,56.0726,0.035)); #469623=CARTESIAN_POINT('',(34.177034,56.0726,0.035)); #469624=CARTESIAN_POINT('',(34.177034,56.0726,0.)); #469625=CARTESIAN_POINT('Origin',(34.321119,56.216684,0.)); #469626=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #469627=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #469628=CARTESIAN_POINT('',(34.321119,56.216684,0.035)); #469629=CARTESIAN_POINT('',(34.321119,56.216684,0.035)); #469630=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #469631=CARTESIAN_POINT('Origin',(34.344166,56.232484,0.)); #469632=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #469633=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #469634=CARTESIAN_POINT('',(34.344166,56.232484,0.035)); #469635=CARTESIAN_POINT('',(34.344166,56.232484,0.035)); #469636=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #469637=CARTESIAN_POINT('Origin',(34.373784,56.238994,0.)); #469638=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #469639=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #469640=CARTESIAN_POINT('',(34.373784,56.238994,0.035)); #469641=CARTESIAN_POINT('',(34.373784,56.238994,0.035)); #469642=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #469643=CARTESIAN_POINT('Origin',(34.403597,56.233434,0.)); #469644=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #469645=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #469646=CARTESIAN_POINT('',(34.403597,56.233434,0.035)); #469647=CARTESIAN_POINT('',(34.403597,56.233434,0.035)); #469648=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #469649=CARTESIAN_POINT('Origin',(34.428881,56.216684,0.)); #469650=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #469651=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #469652=CARTESIAN_POINT('',(34.428881,56.216684,0.035)); #469653=CARTESIAN_POINT('',(34.428881,56.216684,0.035)); #469654=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #469655=CARTESIAN_POINT('Origin',(34.572966,56.0726,0.)); #469656=CARTESIAN_POINT('',(34.572966,56.0726,0.)); #469657=CARTESIAN_POINT('',(34.572966,56.0726,0.)); #469658=CARTESIAN_POINT('',(34.572966,56.0726,0.035)); #469659=CARTESIAN_POINT('',(34.572966,56.0726,0.035)); #469660=CARTESIAN_POINT('',(34.572966,56.0726,0.)); #469661=CARTESIAN_POINT('Origin',(34.927034,56.0726,0.)); #469662=CARTESIAN_POINT('',(34.927034,56.0726,0.)); #469663=CARTESIAN_POINT('',(34.927034,56.0726,0.)); #469664=CARTESIAN_POINT('',(34.927034,56.0726,0.035)); #469665=CARTESIAN_POINT('',(34.927034,56.0726,0.035)); #469666=CARTESIAN_POINT('',(34.927034,56.0726,0.)); #469667=CARTESIAN_POINT('Origin',(35.1774,56.322966,0.)); #469668=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #469669=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #469670=CARTESIAN_POINT('',(35.1774,56.322966,0.035)); #469671=CARTESIAN_POINT('',(35.1774,56.322966,0.035)); #469672=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #469673=CARTESIAN_POINT('Origin',(35.1774,56.677034,0.)); #469674=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #469675=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #469676=CARTESIAN_POINT('',(35.1774,56.677034,0.035)); #469677=CARTESIAN_POINT('',(35.1774,56.677034,0.035)); #469678=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #469679=CARTESIAN_POINT('Origin',(35.000919,56.853516,0.)); #469680=CARTESIAN_POINT('',(35.000919,56.853516,0.)); #469681=CARTESIAN_POINT('',(35.000919,56.853516,0.)); #469682=CARTESIAN_POINT('',(35.000919,56.853516,0.035)); #469683=CARTESIAN_POINT('',(35.000919,56.853516,0.035)); #469684=CARTESIAN_POINT('',(35.000919,56.853516,0.)); #469685=CARTESIAN_POINT('Origin',(34.984634,56.877675,0.)); #469686=CARTESIAN_POINT('',(34.984634,56.877675,0.)); #469687=CARTESIAN_POINT('',(34.984634,56.877675,0.)); #469688=CARTESIAN_POINT('',(34.984634,56.877675,0.035)); #469689=CARTESIAN_POINT('',(34.984634,56.877675,0.035)); #469690=CARTESIAN_POINT('',(34.984634,56.877675,0.)); #469691=CARTESIAN_POINT('Origin',(34.9786,56.907397,0.)); #469692=CARTESIAN_POINT('',(34.9786,56.907397,0.)); #469693=CARTESIAN_POINT('',(34.9786,56.907397,0.)); #469694=CARTESIAN_POINT('',(34.9786,56.907397,0.035)); #469695=CARTESIAN_POINT('',(34.9786,56.907397,0.035)); #469696=CARTESIAN_POINT('',(34.9786,56.907397,0.)); #469697=CARTESIAN_POINT('Origin',(34.9786,57.219691,0.)); #469698=CARTESIAN_POINT('',(34.9786,57.219691,0.)); #469699=CARTESIAN_POINT('',(34.9786,57.219691,0.)); #469700=CARTESIAN_POINT('',(34.9786,57.219691,0.035)); #469701=CARTESIAN_POINT('',(34.9786,57.219691,0.035)); #469702=CARTESIAN_POINT('',(34.9786,57.219691,0.)); #469703=CARTESIAN_POINT('Origin',(34.625919,57.572372,0.)); #469704=CARTESIAN_POINT('',(34.625919,57.572372,0.)); #469705=CARTESIAN_POINT('',(34.625919,57.572372,0.)); #469706=CARTESIAN_POINT('',(34.625919,57.572372,0.035)); #469707=CARTESIAN_POINT('',(34.625919,57.572372,0.035)); #469708=CARTESIAN_POINT('',(34.625919,57.572372,0.)); #469709=CARTESIAN_POINT('Origin',(34.609634,57.596531,0.)); #469710=CARTESIAN_POINT('',(34.609634,57.596531,0.)); #469711=CARTESIAN_POINT('',(34.609634,57.596531,0.)); #469712=CARTESIAN_POINT('',(34.609634,57.596531,0.035)); #469713=CARTESIAN_POINT('',(34.609634,57.596531,0.035)); #469714=CARTESIAN_POINT('',(34.609634,57.596531,0.)); #469715=CARTESIAN_POINT('Origin',(34.6036,57.626253,0.)); #469716=CARTESIAN_POINT('',(34.6036,57.626253,0.)); #469717=CARTESIAN_POINT('',(34.6036,57.626253,0.)); #469718=CARTESIAN_POINT('',(34.6036,57.626253,0.035)); #469719=CARTESIAN_POINT('',(34.6036,57.626253,0.035)); #469720=CARTESIAN_POINT('',(34.6036,57.626253,0.)); #469721=CARTESIAN_POINT('Origin',(34.6036,58.498747,0.)); #469722=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #469723=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #469724=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #469725=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #469726=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #469727=CARTESIAN_POINT('Origin',(34.609169,58.527344,0.)); #469728=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #469729=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #469730=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #469731=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #469732=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #469733=CARTESIAN_POINT('Origin',(34.625919,58.552628,0.)); #469734=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #469735=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #469736=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #469737=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #469738=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #469739=CARTESIAN_POINT('Origin',(35.322372,59.249081,0.)); #469740=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #469741=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #469742=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #469743=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #469744=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #469745=CARTESIAN_POINT('Origin',(35.346531,59.265366,0.)); #469746=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #469747=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #469748=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #469749=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #469750=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #469751=CARTESIAN_POINT('Origin',(35.376253,59.2714,0.)); #469752=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #469753=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #469754=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #469755=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #469756=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #469757=CARTESIAN_POINT('Origin',(36.582691,59.2714,0.)); #469758=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #469759=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #469760=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #469761=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #469762=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #469763=CARTESIAN_POINT('Origin',(36.942072,59.630781,0.)); #469764=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #469765=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #469766=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #469767=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #469768=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #469769=CARTESIAN_POINT('Origin',(36.966231,59.647066,0.)); #469770=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #469771=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #469772=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #469773=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #469774=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #469775=CARTESIAN_POINT('Origin',(36.995953,59.6531,0.)); #469776=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #469777=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #469778=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #469779=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #469780=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #469781=CARTESIAN_POINT('Origin',(37.720228,59.6531,0.)); #469782=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #469783=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #469784=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #469785=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #469786=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #469787=CARTESIAN_POINT('Origin',(37.8095,59.742372,0.)); #469788=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #469789=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #469790=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #469791=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #469792=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #469793=CARTESIAN_POINT('Origin',(37.8095,60.757628,0.)); #469794=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #469795=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #469796=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #469797=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #469798=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #469799=CARTESIAN_POINT('Origin',(37.720228,60.8469,0.)); #469800=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #469801=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #469802=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #469803=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #469804=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #469805=CARTESIAN_POINT('Origin',(36.755772,60.8469,0.)); #469806=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #469807=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #469808=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #469809=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #469810=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #469811=CARTESIAN_POINT('Origin',(36.6665,60.757628,0.)); #469812=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #469813=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #469814=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #469815=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #469816=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #469817=CARTESIAN_POINT('Origin',(36.6665,60.033353,0.)); #469818=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #469819=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #469820=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #469821=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #469822=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #469823=CARTESIAN_POINT('Origin',(36.660931,60.004756,0.)); #469824=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #469825=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #469826=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #469827=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #469828=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #469829=CARTESIAN_POINT('Origin',(36.644181,59.979472,0.)); #469830=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #469831=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #469832=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #469833=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #469834=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #469835=CARTESIAN_POINT('Origin',(36.415628,59.750919,0.)); #469836=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #469837=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #469838=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #469839=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #469840=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #469841=CARTESIAN_POINT('Origin',(36.391469,59.734634,0.)); #469842=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #469843=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #469844=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #469845=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #469846=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #469847=CARTESIAN_POINT('Origin',(36.361747,59.7286,0.)); #469848=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #469849=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #469850=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #469851=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #469852=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #469853=CARTESIAN_POINT('Origin',(35.4097,59.7286,0.)); #469854=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #469855=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #469856=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #469857=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #469858=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #469859=CARTESIAN_POINT('Origin',(35.382231,59.733722,0.)); #469860=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #469861=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #469862=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #469863=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #469864=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #469865=CARTESIAN_POINT('Origin',(35.356684,59.750066,0.)); #469866=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #469867=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #469868=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #469869=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #469870=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #469871=CARTESIAN_POINT('Origin',(35.339534,59.775078,0.)); #469872=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #469873=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #469874=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #469875=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #469876=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #469877=CARTESIAN_POINT('Origin',(35.3335,59.8048,0.)); #469878=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #469879=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #469880=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #469881=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #469882=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #469883=CARTESIAN_POINT('Origin',(35.3335,60.757628,0.)); #469884=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #469885=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #469886=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #469887=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #469888=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #469889=CARTESIAN_POINT('Origin',(35.244228,60.8469,0.)); #469890=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #469891=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #469892=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #469893=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #469894=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #469895=CARTESIAN_POINT('Origin',(34.279772,60.8469,0.)); #469896=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #469897=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #469898=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #469899=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #469900=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #469901=CARTESIAN_POINT('Origin',(34.1905,60.757628,0.)); #469902=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #469903=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #469904=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #469905=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #469906=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #469907=CARTESIAN_POINT('Origin',(34.1905,59.804753,0.)); #469908=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #469909=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #469910=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #469911=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #469912=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #469913=CARTESIAN_POINT('Origin',(34.185806,59.778422,0.)); #469914=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #469915=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #469916=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #469917=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #469918=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #469919=CARTESIAN_POINT('Origin',(34.169872,59.752616,0.)); #469920=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #469921=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #469922=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #469923=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #469924=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #469925=CARTESIAN_POINT('Origin',(34.145134,59.735072,0.)); #469926=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #469927=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #469928=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #469929=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #469930=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #469931=CARTESIAN_POINT('Origin',(34.115516,59.728563,0.)); #469932=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #469933=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #469934=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #469935=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #469936=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #469937=CARTESIAN_POINT('Origin',(34.085703,59.734122,0.)); #469938=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #469939=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #469940=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #469941=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #469942=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #469943=CARTESIAN_POINT('Origin',(34.060419,59.750872,0.)); #469944=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #469945=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #469946=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #469947=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #469948=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #469949=CARTESIAN_POINT('Origin',(33.831819,59.979472,0.)); #469950=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #469951=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #469952=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #469953=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #469954=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #469955=CARTESIAN_POINT('Origin',(33.815534,60.003631,0.)); #469956=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #469957=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #469958=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #469959=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #469960=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #469961=CARTESIAN_POINT('Origin',(33.8095,60.033353,0.)); #469962=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #469963=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #469964=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #469965=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #469966=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #469967=CARTESIAN_POINT('Origin',(33.8095,60.757628,0.)); #469968=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #469969=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #469970=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #469971=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #469972=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #469973=CARTESIAN_POINT('Origin',(33.720228,60.8469,0.)); #469974=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #469975=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #469976=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #469977=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #469978=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #469979=CARTESIAN_POINT('Origin',(32.755772,60.8469,0.)); #469980=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #469981=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #469982=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #469983=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #469984=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #469985=CARTESIAN_POINT('Origin',(32.6665,60.757628,0.)); #469986=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #469987=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #469988=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #469989=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #469990=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #469991=CARTESIAN_POINT('Origin',(32.6665,59.8048,0.)); #469992=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #469993=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #469994=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #469995=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #469996=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #469997=CARTESIAN_POINT('Origin',(32.661378,59.777331,0.)); #469998=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #469999=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #470000=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #470001=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #470002=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #470003=CARTESIAN_POINT('Origin',(32.645034,59.751784,0.)); #470004=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #470005=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #470006=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #470007=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #470008=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #470009=CARTESIAN_POINT('Origin',(32.620022,59.734634,0.)); #470010=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #470011=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #470012=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #470013=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #470014=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #470015=CARTESIAN_POINT('Origin',(32.5903,59.7286,0.)); #470016=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #470017=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #470018=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #470019=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #470020=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #470021=CARTESIAN_POINT('Origin',(31.4097,59.7286,0.)); #470022=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #470023=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #470024=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #470025=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #470026=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #470027=CARTESIAN_POINT('Origin',(31.382231,59.733722,0.)); #470028=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #470029=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #470030=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #470031=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #470032=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #470033=CARTESIAN_POINT('Origin',(31.356684,59.750066,0.)); #470034=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #470035=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #470036=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #470037=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #470038=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #470039=CARTESIAN_POINT('Origin',(31.339534,59.775078,0.)); #470040=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #470041=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #470042=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #470043=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #470044=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #470045=CARTESIAN_POINT('Origin',(31.3335,59.8048,0.)); #470046=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #470047=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #470048=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #470049=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #470050=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #470051=CARTESIAN_POINT('Origin',(31.3335,60.757628,0.)); #470052=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #470053=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #470054=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #470055=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #470056=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #470057=CARTESIAN_POINT('Origin',(31.244228,60.8469,0.)); #470058=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #470059=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #470060=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #470061=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #470062=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #470063=CARTESIAN_POINT('Origin',(30.279772,60.8469,0.)); #470064=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #470065=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #470066=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #470067=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #470068=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #470069=CARTESIAN_POINT('Origin',(30.1905,60.757628,0.)); #470070=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #470071=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #470072=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #470073=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #470074=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #470075=CARTESIAN_POINT('Origin',(30.1905,59.8048,0.)); #470076=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #470077=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #470078=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #470079=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #470080=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #470081=CARTESIAN_POINT('Origin',(30.185378,59.777331,0.)); #470082=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #470083=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #470084=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #470085=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #470086=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #470087=CARTESIAN_POINT('Origin',(30.169034,59.751784,0.)); #470088=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #470089=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #470090=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #470091=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #470092=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #470093=CARTESIAN_POINT('Origin',(30.144022,59.734634,0.)); #470094=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #470095=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #470096=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #470097=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #470098=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #470099=CARTESIAN_POINT('Origin',(30.1143,59.7286,0.)); #470100=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #470101=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #470102=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #470103=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #470104=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #470105=CARTESIAN_POINT('Origin',(30.085656,59.734169,0.)); #470106=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #470107=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #470108=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #470109=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #470110=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #470111=CARTESIAN_POINT('Origin',(30.060372,59.750919,0.)); #470112=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #470113=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #470114=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #470115=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #470116=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #470117=CARTESIAN_POINT('Origin',(29.831819,59.979472,0.)); #470118=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #470119=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #470120=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #470121=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #470122=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #470123=CARTESIAN_POINT('Origin',(29.815534,60.003631,0.)); #470124=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #470125=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #470126=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #470127=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #470128=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #470129=CARTESIAN_POINT('Origin',(29.8095,60.033353,0.)); #470130=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #470131=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #470132=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #470133=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #470134=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #470135=CARTESIAN_POINT('Origin',(29.8095,60.757628,0.)); #470136=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #470137=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #470138=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #470139=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #470140=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #470141=CARTESIAN_POINT('Origin',(29.720228,60.8469,0.)); #470142=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #470143=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #470144=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #470145=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #470146=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #470147=CARTESIAN_POINT('Origin',(28.755772,60.8469,0.)); #470148=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #470149=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #470150=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #470151=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #470152=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #470153=CARTESIAN_POINT('Origin',(28.6665,60.757628,0.)); #470154=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #470155=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #470156=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #470157=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #470158=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #470159=CARTESIAN_POINT('Origin',(28.6665,59.742372,0.)); #470160=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #470161=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #470162=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #470163=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #470164=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #470165=CARTESIAN_POINT('Origin',(28.755772,59.6531,0.)); #470166=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #470167=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #470168=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #470169=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #470170=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #470171=CARTESIAN_POINT('Origin',(29.480047,59.6531,0.)); #470172=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #470173=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #470174=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #470175=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #470176=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #470177=CARTESIAN_POINT('Origin',(29.508644,59.647531,0.)); #470178=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #470179=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #470180=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #470181=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #470182=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #470183=CARTESIAN_POINT('Origin',(29.533928,59.630781,0.)); #470184=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #470185=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #470186=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #470187=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #470188=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #470189=CARTESIAN_POINT('Origin',(29.893309,59.2714,0.)); #470190=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #470191=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #470192=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #470193=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #470194=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #470195=CARTESIAN_POINT('Origin',(32.623747,59.2714,0.)); #470196=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #470197=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #470198=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #470199=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #470200=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #470201=CARTESIAN_POINT('Origin',(32.652344,59.265831,0.)); #470202=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #470203=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #470204=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #470205=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #470206=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #470207=CARTESIAN_POINT('Origin',(32.677628,59.249081,0.)); #470208=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #470209=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #470210=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #470211=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #470212=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #470213=CARTESIAN_POINT('Origin',(33.374081,58.552628,0.)); #470214=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #470215=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #470216=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #470217=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #470218=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #470219=CARTESIAN_POINT('Origin',(33.390366,58.528469,0.)); #470220=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #470221=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #470222=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #470223=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #470224=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #470225=CARTESIAN_POINT('Origin',(33.3964,58.498747,0.)); #470226=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #470227=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #470228=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #470229=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #470230=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #470231=CARTESIAN_POINT('Origin',(33.3964,57.626253,0.)); #470232=CARTESIAN_POINT('',(33.3964,57.626253,0.)); #470233=CARTESIAN_POINT('',(33.3964,57.626253,0.)); #470234=CARTESIAN_POINT('',(33.3964,57.626253,0.035)); #470235=CARTESIAN_POINT('',(33.3964,57.626253,0.035)); #470236=CARTESIAN_POINT('',(33.3964,57.626253,0.)); #470237=CARTESIAN_POINT('Origin',(33.390831,57.597656,0.)); #470238=CARTESIAN_POINT('',(33.390831,57.597656,0.)); #470239=CARTESIAN_POINT('',(33.390831,57.597656,0.)); #470240=CARTESIAN_POINT('',(33.390831,57.597656,0.035)); #470241=CARTESIAN_POINT('',(33.390831,57.597656,0.035)); #470242=CARTESIAN_POINT('',(33.390831,57.597656,0.)); #470243=CARTESIAN_POINT('Origin',(33.374081,57.572372,0.)); #470244=CARTESIAN_POINT('',(33.374081,57.572372,0.)); #470245=CARTESIAN_POINT('',(33.374081,57.572372,0.)); #470246=CARTESIAN_POINT('',(33.374081,57.572372,0.035)); #470247=CARTESIAN_POINT('',(33.374081,57.572372,0.035)); #470248=CARTESIAN_POINT('',(33.374081,57.572372,0.)); #470249=CARTESIAN_POINT('Origin',(33.0214,57.219691,0.)); #470250=CARTESIAN_POINT('',(33.0214,57.219691,0.)); #470251=CARTESIAN_POINT('',(33.0214,57.219691,0.)); #470252=CARTESIAN_POINT('',(33.0214,57.219691,0.035)); #470253=CARTESIAN_POINT('',(33.0214,57.219691,0.035)); #470254=CARTESIAN_POINT('',(33.0214,57.219691,0.)); #470255=CARTESIAN_POINT('Origin',(33.0214,56.907397,0.)); #470256=CARTESIAN_POINT('',(33.0214,56.907397,0.)); #470257=CARTESIAN_POINT('',(33.0214,56.907397,0.)); #470258=CARTESIAN_POINT('',(33.0214,56.907397,0.035)); #470259=CARTESIAN_POINT('',(33.0214,56.907397,0.035)); #470260=CARTESIAN_POINT('',(33.0214,56.907397,0.)); #470261=CARTESIAN_POINT('Origin',(33.015831,56.8788,0.)); #470262=CARTESIAN_POINT('',(33.015831,56.8788,0.)); #470263=CARTESIAN_POINT('',(33.015831,56.8788,0.)); #470264=CARTESIAN_POINT('',(33.015831,56.8788,0.035)); #470265=CARTESIAN_POINT('',(33.015831,56.8788,0.035)); #470266=CARTESIAN_POINT('',(33.015831,56.8788,0.)); #470267=CARTESIAN_POINT('Origin',(32.999081,56.853516,0.)); #470268=CARTESIAN_POINT('',(32.999081,56.853516,0.)); #470269=CARTESIAN_POINT('',(32.999081,56.853516,0.)); #470270=CARTESIAN_POINT('',(32.999081,56.853516,0.035)); #470271=CARTESIAN_POINT('',(32.999081,56.853516,0.035)); #470272=CARTESIAN_POINT('',(32.999081,56.853516,0.)); #470273=CARTESIAN_POINT('Origin',(32.8226,56.677034,0.)); #470274=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #470275=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #470276=CARTESIAN_POINT('',(32.8226,56.677034,0.035)); #470277=CARTESIAN_POINT('',(32.8226,56.677034,0.035)); #470278=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #470279=CARTESIAN_POINT('Origin',(32.8226,56.322966,0.)); #470280=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #470281=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #470282=CARTESIAN_POINT('',(32.8226,56.322966,0.035)); #470283=CARTESIAN_POINT('',(32.8226,56.322966,0.035)); #470284=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #470285=CARTESIAN_POINT('Origin',(33.072966,56.0726,0.)); #470286=CARTESIAN_POINT('',(33.072966,56.0726,0.)); #470287=CARTESIAN_POINT('',(33.072966,56.0726,0.035)); #470288=CARTESIAN_POINT('Origin',(39.244228,59.6531,0.)); #470289=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #470290=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #470291=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #470292=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #470293=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #470294=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #470295=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #470296=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #470297=CARTESIAN_POINT('Origin',(39.3335,59.742372,0.)); #470298=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #470299=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #470300=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #470301=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #470302=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #470303=CARTESIAN_POINT('Origin',(39.3335,60.757628,0.)); #470304=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #470305=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #470306=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #470307=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #470308=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #470309=CARTESIAN_POINT('Origin',(39.244228,60.8469,0.)); #470310=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #470311=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #470312=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #470313=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #470314=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #470315=CARTESIAN_POINT('Origin',(38.279772,60.8469,0.)); #470316=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #470317=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #470318=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #470319=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #470320=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #470321=CARTESIAN_POINT('Origin',(38.1905,60.757628,0.)); #470322=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #470323=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #470324=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #470325=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #470326=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #470327=CARTESIAN_POINT('Origin',(38.1905,59.742372,0.)); #470328=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #470329=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #470330=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #470331=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #470332=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #470333=CARTESIAN_POINT('Origin',(38.279772,59.6531,0.)); #470334=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #470335=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #470336=CARTESIAN_POINT('Origin',(29.415034,63.0726,0.)); #470337=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #470338=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #470339=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #470340=CARTESIAN_POINT('',(29.060966,63.0726,0.035)); #470341=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #470342=CARTESIAN_POINT('',(29.415034,63.0726,0.035)); #470343=CARTESIAN_POINT('',(29.415034,63.0726,0.035)); #470344=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #470345=CARTESIAN_POINT('Origin',(29.591516,63.249081,0.)); #470346=CARTESIAN_POINT('',(29.591516,63.249081,0.)); #470347=CARTESIAN_POINT('',(29.591516,63.249081,0.)); #470348=CARTESIAN_POINT('',(29.591516,63.249081,0.035)); #470349=CARTESIAN_POINT('',(29.591516,63.249081,0.035)); #470350=CARTESIAN_POINT('',(29.591516,63.249081,0.)); #470351=CARTESIAN_POINT('Origin',(29.615675,63.265366,0.)); #470352=CARTESIAN_POINT('',(29.615675,63.265366,0.)); #470353=CARTESIAN_POINT('',(29.615675,63.265366,0.)); #470354=CARTESIAN_POINT('',(29.615675,63.265366,0.035)); #470355=CARTESIAN_POINT('',(29.615675,63.265366,0.035)); #470356=CARTESIAN_POINT('',(29.615675,63.265366,0.)); #470357=CARTESIAN_POINT('Origin',(29.645397,63.2714,0.)); #470358=CARTESIAN_POINT('',(29.645397,63.2714,0.)); #470359=CARTESIAN_POINT('',(29.645397,63.2714,0.)); #470360=CARTESIAN_POINT('',(29.645397,63.2714,0.035)); #470361=CARTESIAN_POINT('',(29.645397,63.2714,0.035)); #470362=CARTESIAN_POINT('',(29.645397,63.2714,0.)); #470363=CARTESIAN_POINT('Origin',(31.941603,63.2714,0.)); #470364=CARTESIAN_POINT('',(31.941603,63.2714,0.)); #470365=CARTESIAN_POINT('',(31.941603,63.2714,0.)); #470366=CARTESIAN_POINT('',(31.941603,63.2714,0.035)); #470367=CARTESIAN_POINT('',(31.941603,63.2714,0.035)); #470368=CARTESIAN_POINT('',(31.941603,63.2714,0.)); #470369=CARTESIAN_POINT('Origin',(31.9702,63.265831,0.)); #470370=CARTESIAN_POINT('',(31.9702,63.265831,0.)); #470371=CARTESIAN_POINT('',(31.9702,63.265831,0.)); #470372=CARTESIAN_POINT('',(31.9702,63.265831,0.035)); #470373=CARTESIAN_POINT('',(31.9702,63.265831,0.035)); #470374=CARTESIAN_POINT('',(31.9702,63.265831,0.)); #470375=CARTESIAN_POINT('Origin',(31.995484,63.249081,0.)); #470376=CARTESIAN_POINT('',(31.995484,63.249081,0.)); #470377=CARTESIAN_POINT('',(31.995484,63.249081,0.)); #470378=CARTESIAN_POINT('',(31.995484,63.249081,0.035)); #470379=CARTESIAN_POINT('',(31.995484,63.249081,0.035)); #470380=CARTESIAN_POINT('',(31.995484,63.249081,0.)); #470381=CARTESIAN_POINT('Origin',(32.171966,63.0726,0.)); #470382=CARTESIAN_POINT('',(32.171966,63.0726,0.)); #470383=CARTESIAN_POINT('',(32.171966,63.0726,0.)); #470384=CARTESIAN_POINT('',(32.171966,63.0726,0.035)); #470385=CARTESIAN_POINT('',(32.171966,63.0726,0.035)); #470386=CARTESIAN_POINT('',(32.171966,63.0726,0.)); #470387=CARTESIAN_POINT('Origin',(32.526034,63.0726,0.)); #470388=CARTESIAN_POINT('',(32.526034,63.0726,0.)); #470389=CARTESIAN_POINT('',(32.526034,63.0726,0.)); #470390=CARTESIAN_POINT('',(32.526034,63.0726,0.035)); #470391=CARTESIAN_POINT('',(32.526034,63.0726,0.035)); #470392=CARTESIAN_POINT('',(32.526034,63.0726,0.)); #470393=CARTESIAN_POINT('Origin',(32.7764,63.322966,0.)); #470394=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #470395=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #470396=CARTESIAN_POINT('',(32.7764,63.322966,0.035)); #470397=CARTESIAN_POINT('',(32.7764,63.322966,0.035)); #470398=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #470399=CARTESIAN_POINT('Origin',(32.7764,63.677034,0.)); #470400=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #470401=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #470402=CARTESIAN_POINT('',(32.7764,63.677034,0.035)); #470403=CARTESIAN_POINT('',(32.7764,63.677034,0.035)); #470404=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #470405=CARTESIAN_POINT('Origin',(32.526034,63.9274,0.)); #470406=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #470407=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #470408=CARTESIAN_POINT('',(32.526034,63.9274,0.035)); #470409=CARTESIAN_POINT('',(32.526034,63.9274,0.035)); #470410=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #470411=CARTESIAN_POINT('Origin',(32.171966,63.9274,0.)); #470412=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #470413=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #470414=CARTESIAN_POINT('',(32.171966,63.9274,0.035)); #470415=CARTESIAN_POINT('',(32.171966,63.9274,0.035)); #470416=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #470417=CARTESIAN_POINT('Origin',(31.995484,63.750919,0.)); #470418=CARTESIAN_POINT('',(31.995484,63.750919,0.)); #470419=CARTESIAN_POINT('',(31.995484,63.750919,0.)); #470420=CARTESIAN_POINT('',(31.995484,63.750919,0.035)); #470421=CARTESIAN_POINT('',(31.995484,63.750919,0.035)); #470422=CARTESIAN_POINT('',(31.995484,63.750919,0.)); #470423=CARTESIAN_POINT('Origin',(31.971325,63.734634,0.)); #470424=CARTESIAN_POINT('',(31.971325,63.734634,0.)); #470425=CARTESIAN_POINT('',(31.971325,63.734634,0.)); #470426=CARTESIAN_POINT('',(31.971325,63.734634,0.035)); #470427=CARTESIAN_POINT('',(31.971325,63.734634,0.035)); #470428=CARTESIAN_POINT('',(31.971325,63.734634,0.)); #470429=CARTESIAN_POINT('Origin',(31.941603,63.7286,0.)); #470430=CARTESIAN_POINT('',(31.941603,63.7286,0.)); #470431=CARTESIAN_POINT('',(31.941603,63.7286,0.)); #470432=CARTESIAN_POINT('',(31.941603,63.7286,0.035)); #470433=CARTESIAN_POINT('',(31.941603,63.7286,0.035)); #470434=CARTESIAN_POINT('',(31.941603,63.7286,0.)); #470435=CARTESIAN_POINT('Origin',(29.645397,63.7286,0.)); #470436=CARTESIAN_POINT('',(29.645397,63.7286,0.)); #470437=CARTESIAN_POINT('',(29.645397,63.7286,0.)); #470438=CARTESIAN_POINT('',(29.645397,63.7286,0.035)); #470439=CARTESIAN_POINT('',(29.645397,63.7286,0.035)); #470440=CARTESIAN_POINT('',(29.645397,63.7286,0.)); #470441=CARTESIAN_POINT('Origin',(29.6168,63.734169,0.)); #470442=CARTESIAN_POINT('',(29.6168,63.734169,0.)); #470443=CARTESIAN_POINT('',(29.6168,63.734169,0.)); #470444=CARTESIAN_POINT('',(29.6168,63.734169,0.035)); #470445=CARTESIAN_POINT('',(29.6168,63.734169,0.035)); #470446=CARTESIAN_POINT('',(29.6168,63.734169,0.)); #470447=CARTESIAN_POINT('Origin',(29.591516,63.750919,0.)); #470448=CARTESIAN_POINT('',(29.591516,63.750919,0.)); #470449=CARTESIAN_POINT('',(29.591516,63.750919,0.)); #470450=CARTESIAN_POINT('',(29.591516,63.750919,0.035)); #470451=CARTESIAN_POINT('',(29.591516,63.750919,0.035)); #470452=CARTESIAN_POINT('',(29.591516,63.750919,0.)); #470453=CARTESIAN_POINT('Origin',(29.415034,63.9274,0.)); #470454=CARTESIAN_POINT('',(29.415034,63.9274,0.)); #470455=CARTESIAN_POINT('',(29.415034,63.9274,0.)); #470456=CARTESIAN_POINT('',(29.415034,63.9274,0.035)); #470457=CARTESIAN_POINT('',(29.415034,63.9274,0.035)); #470458=CARTESIAN_POINT('',(29.415034,63.9274,0.)); #470459=CARTESIAN_POINT('Origin',(29.060966,63.9274,0.)); #470460=CARTESIAN_POINT('',(29.060966,63.9274,0.)); #470461=CARTESIAN_POINT('',(29.060966,63.9274,0.)); #470462=CARTESIAN_POINT('',(29.060966,63.9274,0.035)); #470463=CARTESIAN_POINT('',(29.060966,63.9274,0.035)); #470464=CARTESIAN_POINT('',(29.060966,63.9274,0.)); #470465=CARTESIAN_POINT('Origin',(28.8106,63.677034,0.)); #470466=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #470467=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #470468=CARTESIAN_POINT('',(28.8106,63.677034,0.035)); #470469=CARTESIAN_POINT('',(28.8106,63.677034,0.035)); #470470=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #470471=CARTESIAN_POINT('Origin',(28.8106,63.322966,0.)); #470472=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #470473=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #470474=CARTESIAN_POINT('',(28.8106,63.322966,0.035)); #470475=CARTESIAN_POINT('',(28.8106,63.322966,0.035)); #470476=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #470477=CARTESIAN_POINT('Origin',(29.060966,63.0726,0.)); #470478=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #470479=CARTESIAN_POINT('',(29.060966,63.0726,0.035)); #470480=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #470481=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #470482=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #470483=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #470484=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #470485=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #470486=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #470487=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #470488=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #470489=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #470490=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #470491=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #470492=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #470493=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #470494=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #470495=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #470496=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #470497=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #470498=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #470499=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #470500=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #470501=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #470502=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #470503=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #470504=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #470505=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #470506=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #470507=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #470508=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #470509=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #470510=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #470511=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #470512=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #470513=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #470514=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #470515=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #470516=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #470517=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #470518=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #470519=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #470520=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #470521=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #470522=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #470523=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #470524=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #470525=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #470526=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #470527=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #470528=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #470529=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #470530=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #470531=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #470532=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #470533=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #470534=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #470535=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #470536=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #470537=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #470538=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #470539=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #470540=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #470541=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #470542=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #470543=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #470544=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #470545=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #470546=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #470547=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #470548=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #470549=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #470550=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #470551=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #470552=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #470553=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #470554=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #470555=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #470556=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #470557=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #470558=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #470559=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #470560=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #470561=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #470562=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #470563=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #470564=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #470565=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #470566=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #470567=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #470568=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #470569=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #470570=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #470571=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #470572=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #470573=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #470574=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #470575=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #470576=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #470577=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #470578=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #470579=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #470580=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #470581=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #470582=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #470583=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #470584=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #470585=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #470586=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #470587=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #470588=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #470589=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #470590=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #470591=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #470592=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #470593=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #470594=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #470595=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #470596=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #470597=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #470598=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #470599=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #470600=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #470601=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #470602=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #470603=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #470604=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #470605=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #470606=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #470607=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #470608=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #470609=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #470610=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #470611=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #470612=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #470613=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #470614=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #470615=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #470616=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #470617=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #470618=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #470619=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #470620=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #470621=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #470622=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #470623=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #470624=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #470625=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #470626=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #470627=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #470628=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #470629=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #470630=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #470631=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #470632=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #470633=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #470634=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #470635=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #470636=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #470637=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #470638=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #470639=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #470640=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #470641=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #470642=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #470643=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #470644=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #470645=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #470646=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #470647=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #470648=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #470649=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #470650=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #470651=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #470652=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #470653=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #470654=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #470655=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #470656=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #470657=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #470658=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #470659=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #470660=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #470661=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #470662=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #470663=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #470664=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #470665=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #470666=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #470667=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #470668=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #470669=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #470670=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #470671=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #470672=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #470673=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #470674=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #470675=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #470676=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #470677=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #470678=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #470679=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #470680=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #470681=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #470682=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #470683=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #470684=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #470685=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #470686=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #470687=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #470688=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #470689=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #470690=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #470691=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #470692=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #470693=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #470694=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #470695=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #470696=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #470697=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #470698=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #470699=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #470700=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #470701=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #470702=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #470703=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #470704=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #470705=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #470706=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #470707=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #470708=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #470709=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #470710=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #470711=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #470712=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #470713=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #470714=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #470715=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #470716=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #470717=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #470718=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #470719=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #470720=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #470721=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #470722=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #470723=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #470724=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #470725=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #470726=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #470727=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #470728=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #470729=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #470730=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #470731=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #470732=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #470733=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #470734=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #470735=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #470736=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #470737=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #470738=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #470739=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #470740=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #470741=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #470742=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #470743=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #470744=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #470745=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #470746=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #470747=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #470748=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #470749=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #470750=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #470751=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #470752=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #470753=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #470754=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #470755=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #470756=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #470757=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #470758=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #470759=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #470760=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #470761=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #470762=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #470763=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #470764=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #470765=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #470766=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #470767=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #470768=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #470769=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #470770=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #470771=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #470772=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #470773=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #470774=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #470775=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #470776=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #470777=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #470778=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #470779=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #470780=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #470781=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #470782=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #470783=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #470784=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #470785=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #470786=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #470787=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #470788=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #470789=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #470790=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #470791=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #470792=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #470793=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #470794=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #470795=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #470796=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #470797=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #470798=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #470799=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #470800=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #470801=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #470802=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #470803=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #470804=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #470805=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #470806=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #470807=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #470808=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #470809=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #470810=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #470811=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #470812=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #470813=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #470814=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #470815=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #470816=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #470817=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #470818=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #470819=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #470820=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #470821=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #470822=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #470823=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #470824=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #470825=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #470826=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #470827=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #470828=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #470829=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #470830=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #470831=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #470832=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #470833=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #470834=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #470835=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #470836=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #470837=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #470838=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #470839=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #470840=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #470841=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #470842=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #470843=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #470844=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #470845=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #470846=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #470847=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #470848=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #470849=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #470850=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #470851=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #470852=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #470853=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #470854=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #470855=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #470856=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #470857=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #470858=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #470859=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #470860=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #470861=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #470862=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #470863=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #470864=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #470865=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #470866=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #470867=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #470868=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #470869=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #470870=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #470871=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #470872=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #470873=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #470874=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #470875=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #470876=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #470877=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #470878=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #470879=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #470880=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #470881=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #470882=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #470883=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #470884=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #470885=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #470886=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #470887=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #470888=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #470889=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #470890=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #470891=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #470892=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #470893=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #470894=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #470895=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #470896=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #470897=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #470898=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #470899=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #470900=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #470901=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #470902=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #470903=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #470904=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #470905=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #470906=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #470907=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #470908=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #470909=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #470910=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #470911=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #470912=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #470913=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #470914=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #470915=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #470916=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #470917=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #470918=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #470919=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #470920=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #470921=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #470922=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #470923=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #470924=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #470925=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #470926=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #470927=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #470928=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #470929=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #470930=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #470931=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #470932=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #470933=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #470934=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #470935=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #470936=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #470937=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #470938=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #470939=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #470940=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #470941=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #470942=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #470943=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #470944=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #470945=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #470946=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #470947=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #470948=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #470949=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #470950=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #470951=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #470952=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #470953=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #470954=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #470955=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #470956=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #470957=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #470958=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #470959=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #470960=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #470961=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #470962=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #470963=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #470964=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #470965=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #470966=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #470967=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #470968=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #470969=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #470970=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #470971=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #470972=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #470973=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #470974=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #470975=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #470976=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #470977=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #470978=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #470979=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #470980=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #470981=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #470982=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #470983=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #470984=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #470985=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #470986=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #470987=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #470988=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #470989=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #470990=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #470991=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #470992=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #470993=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #470994=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #470995=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #470996=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #470997=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #470998=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #470999=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #471000=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #471001=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #471002=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #471003=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #471004=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #471005=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #471006=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #471007=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #471008=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #471009=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #471010=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #471011=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #471012=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #471013=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #471014=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #471015=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #471016=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #471017=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #471018=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #471019=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #471020=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #471021=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #471022=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #471023=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #471024=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #471025=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #471026=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #471027=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #471028=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #471029=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #471030=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #471031=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #471032=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #471033=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #471034=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #471035=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #471036=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #471037=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #471038=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #471039=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #471040=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #471041=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #471042=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #471043=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #471044=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #471045=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #471046=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #471047=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #471048=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #471049=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #471050=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #471051=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #471052=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #471053=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #471054=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #471055=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #471056=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #471057=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #471058=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #471059=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #471060=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #471061=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #471062=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #471063=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #471064=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #471065=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #471066=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #471067=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #471068=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #471069=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #471070=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #471071=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #471072=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #471073=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #471074=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #471075=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #471076=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #471077=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #471078=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #471079=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #471080=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #471081=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #471082=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #471083=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #471084=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #471085=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #471086=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #471087=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #471088=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #471089=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #471090=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #471091=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #471092=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #471093=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #471094=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #471095=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #471096=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #471097=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #471098=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #471099=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #471100=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #471101=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #471102=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #471103=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #471104=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #471105=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #471106=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #471107=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #471108=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #471109=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #471110=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #471111=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #471112=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #471113=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #471114=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #471115=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #471116=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #471117=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #471118=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #471119=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #471120=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #471121=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #471122=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #471123=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #471124=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #471125=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #471126=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #471127=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #471128=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #471129=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #471130=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #471131=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #471132=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #471133=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #471134=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #471135=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #471136=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #471137=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #471138=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #471139=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #471140=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #471141=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #471142=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #471143=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #471144=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #471145=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #471146=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #471147=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #471148=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #471149=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #471150=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #471151=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #471152=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #471153=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #471154=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #471155=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #471156=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #471157=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #471158=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #471159=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #471160=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #471161=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #471162=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #471163=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #471164=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #471165=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #471166=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #471167=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #471168=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #471169=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #471170=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #471171=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #471172=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #471173=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #471174=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #471175=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #471176=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #471177=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #471178=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #471179=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #471180=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #471181=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #471182=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #471183=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #471184=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #471185=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #471186=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #471187=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #471188=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #471189=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #471190=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #471191=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #471192=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #471193=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #471194=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #471195=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #471196=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #471197=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #471198=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #471199=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #471200=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #471201=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #471202=CARTESIAN_POINT('Origin',(30.182228,29.934491,0.)); #471203=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #471204=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #471205=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #471206=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #471207=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #471208=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #471209=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #471210=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #471211=CARTESIAN_POINT('Origin',(30.152331,29.939575,0.)); #471212=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #471213=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #471214=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #471215=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #471216=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #471217=CARTESIAN_POINT('Origin',(30.126784,29.955919,0.)); #471218=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #471219=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #471220=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #471221=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #471222=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #471223=CARTESIAN_POINT('Origin',(30.109634,29.980931,0.)); #471224=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #471225=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #471226=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #471227=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #471228=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #471229=CARTESIAN_POINT('Origin',(30.1036,30.010653,0.)); #471230=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #471231=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #471232=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #471233=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #471234=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #471235=CARTESIAN_POINT('Origin',(30.1036,30.998747,0.)); #471236=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #471237=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #471238=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #471239=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #471240=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #471241=CARTESIAN_POINT('Origin',(30.109169,31.027344,0.)); #471242=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #471243=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #471244=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #471245=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #471246=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #471247=CARTESIAN_POINT('Origin',(30.125919,31.052628,0.)); #471248=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #471249=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #471250=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #471251=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #471252=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #471253=CARTESIAN_POINT('Origin',(30.720819,31.647528,0.)); #471254=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #471255=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #471256=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #471257=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #471258=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #471259=CARTESIAN_POINT('Origin',(30.742756,31.662828,0.)); #471260=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #471261=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #471262=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #471263=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #471264=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #471265=CARTESIAN_POINT('Origin',(30.772272,31.669809,0.)); #471266=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #471267=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #471268=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #471269=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #471270=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #471271=CARTESIAN_POINT('Origin',(30.802169,31.664725,0.)); #471272=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #471273=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #471274=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #471275=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #471276=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #471277=CARTESIAN_POINT('Origin',(30.827716,31.648381,0.)); #471278=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #471279=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #471280=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #471281=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #471282=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #471283=CARTESIAN_POINT('Origin',(30.844866,31.623369,0.)); #471284=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #471285=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #471286=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #471287=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #471288=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #471289=CARTESIAN_POINT('Origin',(30.8509,31.593647,0.)); #471290=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #471291=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #471292=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #471293=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #471294=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #471295=CARTESIAN_POINT('Origin',(30.8509,30.605553,0.)); #471296=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #471297=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #471298=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #471299=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #471300=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #471301=CARTESIAN_POINT('Origin',(30.845331,30.576956,0.)); #471302=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #471303=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #471304=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #471305=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #471306=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #471307=CARTESIAN_POINT('Origin',(30.828581,30.551672,0.)); #471308=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #471309=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #471310=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #471311=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #471312=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #471313=CARTESIAN_POINT('Origin',(30.233681,29.956772,0.)); #471314=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #471315=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #471316=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #471317=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #471318=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #471319=CARTESIAN_POINT('Origin',(30.211744,29.941472,0.)); #471320=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #471321=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #471322=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.035)); #471323=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.)); #471324=CARTESIAN_POINT('Origin',(38.842603,15.9786,0.)); #471325=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #471326=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #471327=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #471328=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #471329=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #471330=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #471331=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #471332=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #471333=CARTESIAN_POINT('Origin',(37.9411,15.9786,0.)); #471334=CARTESIAN_POINT('',(37.9411,15.9786,0.)); #471335=CARTESIAN_POINT('',(37.9411,15.9786,0.)); #471336=CARTESIAN_POINT('',(37.9411,15.9786,0.035)); #471337=CARTESIAN_POINT('',(37.9411,15.9786,0.035)); #471338=CARTESIAN_POINT('',(37.9411,15.9786,0.)); #471339=CARTESIAN_POINT('Origin',(37.913631,15.983722,0.)); #471340=CARTESIAN_POINT('',(37.913631,15.983722,0.)); #471341=CARTESIAN_POINT('',(37.913631,15.983722,0.)); #471342=CARTESIAN_POINT('',(37.913631,15.983722,0.035)); #471343=CARTESIAN_POINT('',(37.913631,15.983722,0.035)); #471344=CARTESIAN_POINT('',(37.913631,15.983722,0.)); #471345=CARTESIAN_POINT('Origin',(37.888084,16.000066,0.)); #471346=CARTESIAN_POINT('',(37.888084,16.000066,0.)); #471347=CARTESIAN_POINT('',(37.888084,16.000066,0.)); #471348=CARTESIAN_POINT('',(37.888084,16.000066,0.035)); #471349=CARTESIAN_POINT('',(37.888084,16.000066,0.035)); #471350=CARTESIAN_POINT('',(37.888084,16.000066,0.)); #471351=CARTESIAN_POINT('Origin',(37.870934,16.025078,0.)); #471352=CARTESIAN_POINT('',(37.870934,16.025078,0.)); #471353=CARTESIAN_POINT('',(37.870934,16.025078,0.)); #471354=CARTESIAN_POINT('',(37.870934,16.025078,0.035)); #471355=CARTESIAN_POINT('',(37.870934,16.025078,0.035)); #471356=CARTESIAN_POINT('',(37.870934,16.025078,0.)); #471357=CARTESIAN_POINT('Origin',(37.8649,16.0548,0.)); #471358=CARTESIAN_POINT('',(37.8649,16.0548,0.)); #471359=CARTESIAN_POINT('',(37.8649,16.0548,0.)); #471360=CARTESIAN_POINT('',(37.8649,16.0548,0.035)); #471361=CARTESIAN_POINT('',(37.8649,16.0548,0.035)); #471362=CARTESIAN_POINT('',(37.8649,16.0548,0.)); #471363=CARTESIAN_POINT('Origin',(37.8649,16.452697,0.)); #471364=CARTESIAN_POINT('',(37.8649,16.452697,0.)); #471365=CARTESIAN_POINT('',(37.8649,16.452697,0.)); #471366=CARTESIAN_POINT('',(37.8649,16.452697,0.035)); #471367=CARTESIAN_POINT('',(37.8649,16.452697,0.035)); #471368=CARTESIAN_POINT('',(37.8649,16.452697,0.)); #471369=CARTESIAN_POINT('Origin',(37.853609,16.469166,0.)); #471370=CARTESIAN_POINT('',(37.853609,16.469166,0.)); #471371=CARTESIAN_POINT('',(37.853609,16.469166,0.)); #471372=CARTESIAN_POINT('',(37.853609,16.469166,0.035)); #471373=CARTESIAN_POINT('',(37.853609,16.469166,0.035)); #471374=CARTESIAN_POINT('',(37.853609,16.469166,0.)); #471375=CARTESIAN_POINT('Origin',(37.8471,16.498784,0.)); #471376=CARTESIAN_POINT('',(37.8471,16.498784,0.)); #471377=CARTESIAN_POINT('',(37.8471,16.498784,0.)); #471378=CARTESIAN_POINT('',(37.8471,16.498784,0.035)); #471379=CARTESIAN_POINT('',(37.8471,16.498784,0.035)); #471380=CARTESIAN_POINT('',(37.8471,16.498784,0.)); #471381=CARTESIAN_POINT('Origin',(37.852659,16.528597,0.)); #471382=CARTESIAN_POINT('',(37.852659,16.528597,0.)); #471383=CARTESIAN_POINT('',(37.852659,16.528597,0.)); #471384=CARTESIAN_POINT('',(37.852659,16.528597,0.035)); #471385=CARTESIAN_POINT('',(37.852659,16.528597,0.035)); #471386=CARTESIAN_POINT('',(37.852659,16.528597,0.)); #471387=CARTESIAN_POINT('Origin',(37.8649,16.547075,0.)); #471388=CARTESIAN_POINT('',(37.8649,16.547075,0.)); #471389=CARTESIAN_POINT('',(37.8649,16.547075,0.)); #471390=CARTESIAN_POINT('',(37.8649,16.547075,0.035)); #471391=CARTESIAN_POINT('',(37.8649,16.547075,0.035)); #471392=CARTESIAN_POINT('',(37.8649,16.547075,0.)); #471393=CARTESIAN_POINT('Origin',(37.8649,16.952697,0.)); #471394=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #471395=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #471396=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #471397=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #471398=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #471399=CARTESIAN_POINT('Origin',(37.853609,16.969166,0.)); #471400=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #471401=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #471402=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #471403=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #471404=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #471405=CARTESIAN_POINT('Origin',(37.8471,16.998784,0.)); #471406=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #471407=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #471408=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #471409=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #471410=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #471411=CARTESIAN_POINT('Origin',(37.852659,17.028597,0.)); #471412=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #471413=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #471414=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #471415=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #471416=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #471417=CARTESIAN_POINT('Origin',(37.8649,17.047075,0.)); #471418=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #471419=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #471420=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #471421=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #471422=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #471423=CARTESIAN_POINT('Origin',(37.8649,17.4452,0.)); #471424=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #471425=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #471426=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #471427=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #471428=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #471429=CARTESIAN_POINT('Origin',(37.870022,17.472669,0.)); #471430=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #471431=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #471432=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #471433=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #471434=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #471435=CARTESIAN_POINT('Origin',(37.886366,17.498216,0.)); #471436=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #471437=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #471438=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #471439=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #471440=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #471441=CARTESIAN_POINT('Origin',(37.911378,17.515366,0.)); #471442=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #471443=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #471444=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #471445=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #471446=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #471447=CARTESIAN_POINT('Origin',(37.9411,17.5214,0.)); #471448=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #471449=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #471450=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #471451=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #471452=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #471453=CARTESIAN_POINT('Origin',(38.123747,17.5214,0.)); #471454=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #471455=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #471456=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #471457=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #471458=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #471459=CARTESIAN_POINT('Origin',(38.152344,17.515831,0.)); #471460=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #471461=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #471462=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #471463=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #471464=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #471465=CARTESIAN_POINT('Origin',(38.177628,17.499081,0.)); #471466=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #471467=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #471468=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #471469=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #471470=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #471471=CARTESIAN_POINT('Origin',(38.405309,17.2714,0.)); #471472=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #471473=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #471474=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #471475=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #471476=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #471477=CARTESIAN_POINT('Origin',(38.842603,17.2714,0.)); #471478=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #471479=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #471480=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #471481=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #471482=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #471483=CARTESIAN_POINT('Origin',(38.8712,17.265831,0.)); #471484=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #471485=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #471486=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #471487=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #471488=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #471489=CARTESIAN_POINT('Origin',(38.896484,17.249081,0.)); #471490=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #471491=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #471492=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #471493=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #471494=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #471495=CARTESIAN_POINT('Origin',(39.073141,17.072425,0.)); #471496=CARTESIAN_POINT('',(39.073141,17.072425,0.)); #471497=CARTESIAN_POINT('',(39.073141,17.072425,0.)); #471498=CARTESIAN_POINT('',(39.073141,17.072425,0.035)); #471499=CARTESIAN_POINT('',(39.073141,17.072425,0.035)); #471500=CARTESIAN_POINT('',(39.073141,17.072425,0.)); #471501=CARTESIAN_POINT('Origin',(39.099669,17.067478,0.)); #471502=CARTESIAN_POINT('',(39.099669,17.067478,0.)); #471503=CARTESIAN_POINT('',(39.099669,17.067478,0.)); #471504=CARTESIAN_POINT('',(39.099669,17.067478,0.035)); #471505=CARTESIAN_POINT('',(39.099669,17.067478,0.035)); #471506=CARTESIAN_POINT('',(39.099669,17.067478,0.)); #471507=CARTESIAN_POINT('Origin',(39.125216,17.051134,0.)); #471508=CARTESIAN_POINT('',(39.125216,17.051134,0.)); #471509=CARTESIAN_POINT('',(39.125216,17.051134,0.)); #471510=CARTESIAN_POINT('',(39.125216,17.051134,0.035)); #471511=CARTESIAN_POINT('',(39.125216,17.051134,0.035)); #471512=CARTESIAN_POINT('',(39.125216,17.051134,0.)); #471513=CARTESIAN_POINT('Origin',(39.142366,17.026122,0.)); #471514=CARTESIAN_POINT('',(39.142366,17.026122,0.)); #471515=CARTESIAN_POINT('',(39.142366,17.026122,0.)); #471516=CARTESIAN_POINT('',(39.142366,17.026122,0.035)); #471517=CARTESIAN_POINT('',(39.142366,17.026122,0.035)); #471518=CARTESIAN_POINT('',(39.142366,17.026122,0.)); #471519=CARTESIAN_POINT('Origin',(39.1484,16.9964,0.)); #471520=CARTESIAN_POINT('',(39.1484,16.9964,0.)); #471521=CARTESIAN_POINT('',(39.1484,16.9964,0.)); #471522=CARTESIAN_POINT('',(39.1484,16.9964,0.035)); #471523=CARTESIAN_POINT('',(39.1484,16.9964,0.035)); #471524=CARTESIAN_POINT('',(39.1484,16.9964,0.)); #471525=CARTESIAN_POINT('Origin',(39.1484,16.2536,0.)); #471526=CARTESIAN_POINT('',(39.1484,16.2536,0.)); #471527=CARTESIAN_POINT('',(39.1484,16.2536,0.)); #471528=CARTESIAN_POINT('',(39.1484,16.2536,0.035)); #471529=CARTESIAN_POINT('',(39.1484,16.2536,0.035)); #471530=CARTESIAN_POINT('',(39.1484,16.2536,0.)); #471531=CARTESIAN_POINT('Origin',(39.143278,16.226131,0.)); #471532=CARTESIAN_POINT('',(39.143278,16.226131,0.)); #471533=CARTESIAN_POINT('',(39.143278,16.226131,0.)); #471534=CARTESIAN_POINT('',(39.143278,16.226131,0.035)); #471535=CARTESIAN_POINT('',(39.143278,16.226131,0.035)); #471536=CARTESIAN_POINT('',(39.143278,16.226131,0.)); #471537=CARTESIAN_POINT('Origin',(39.126934,16.200584,0.)); #471538=CARTESIAN_POINT('',(39.126934,16.200584,0.)); #471539=CARTESIAN_POINT('',(39.126934,16.200584,0.)); #471540=CARTESIAN_POINT('',(39.126934,16.200584,0.035)); #471541=CARTESIAN_POINT('',(39.126934,16.200584,0.035)); #471542=CARTESIAN_POINT('',(39.126934,16.200584,0.)); #471543=CARTESIAN_POINT('Origin',(39.101922,16.183434,0.)); #471544=CARTESIAN_POINT('',(39.101922,16.183434,0.)); #471545=CARTESIAN_POINT('',(39.101922,16.183434,0.)); #471546=CARTESIAN_POINT('',(39.101922,16.183434,0.035)); #471547=CARTESIAN_POINT('',(39.101922,16.183434,0.035)); #471548=CARTESIAN_POINT('',(39.101922,16.183434,0.)); #471549=CARTESIAN_POINT('Origin',(39.073159,16.177594,0.)); #471550=CARTESIAN_POINT('',(39.073159,16.177594,0.)); #471551=CARTESIAN_POINT('',(39.073159,16.177594,0.)); #471552=CARTESIAN_POINT('',(39.073159,16.177594,0.035)); #471553=CARTESIAN_POINT('',(39.073159,16.177594,0.035)); #471554=CARTESIAN_POINT('',(39.073159,16.177594,0.)); #471555=CARTESIAN_POINT('Origin',(38.896484,16.000919,0.)); #471556=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #471557=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #471558=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #471559=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #471560=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #471561=CARTESIAN_POINT('Origin',(38.872325,15.984634,0.)); #471562=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #471563=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #471564=CARTESIAN_POINT('Origin',(38.4334283047356,16.696303367205,0.035)); #471565=CARTESIAN_POINT('Origin',(38.4334283047356,16.696303367205,0.)); #471566=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #471567=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #471568=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #471569=CARTESIAN_POINT('',(40.7863914912851,34.565,0.0350000000000072)); #471570=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #471571=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #471572=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #471573=CARTESIAN_POINT('',(40.7863914912851,34.565,0.)); #471574=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #471575=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #471576=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #471577=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #471578=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #471579=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #471580=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #471581=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #471582=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #471583=CARTESIAN_POINT('',(40.4863914458678,33.435,0.0350000000000072)); #471584=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #471585=CARTESIAN_POINT('',(40.4863914458678,33.435,0.)); #471586=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #471587=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #471588=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #471589=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #471590=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #471591=CARTESIAN_POINT('',(42.36,26.,0.)); #471592=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #471593=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #471594=CARTESIAN_POINT('',(42.36,26.,-200.)); #471595=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #471596=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #471597=CARTESIAN_POINT('',(42.36,25.25,0.)); #471598=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #471599=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #471600=CARTESIAN_POINT('',(42.36,25.25,-200.)); #471601=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #471602=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #471603=CARTESIAN_POINT('',(43.257,32.73,0.)); #471604=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #471605=CARTESIAN_POINT('',(43.257,32.73,0.0349999999999895)); #471606=CARTESIAN_POINT('',(43.257,32.73,-200.)); #471607=CARTESIAN_POINT('Origin',(43.73,32.73,0.0349999999999895)); #471608=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #471609=CARTESIAN_POINT('',(41.61,26.,0.)); #471610=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #471611=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #471612=CARTESIAN_POINT('',(41.61,26.,-200.)); #471613=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #471614=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #471615=CARTESIAN_POINT('',(41.61,25.25,0.)); #471616=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #471617=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #471618=CARTESIAN_POINT('',(41.61,25.25,-200.)); #471619=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #471620=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #471621=CARTESIAN_POINT('',(40.86,25.25,0.)); #471622=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #471623=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #471624=CARTESIAN_POINT('',(40.86,25.25,-200.)); #471625=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #471626=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #471627=CARTESIAN_POINT('',(40.86,24.5,0.)); #471628=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #471629=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #471630=CARTESIAN_POINT('',(40.86,24.5,-200.)); #471631=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #471632=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #471633=CARTESIAN_POINT('',(40.86,26.,0.)); #471634=CARTESIAN_POINT('Origin',(41.,26.,0.)); #471635=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #471636=CARTESIAN_POINT('',(40.86,26.,-200.)); #471637=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #471638=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #471639=CARTESIAN_POINT('',(41.61,24.5,0.)); #471640=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #471641=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #471642=CARTESIAN_POINT('',(41.61,24.5,-200.)); #471643=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #471644=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #471645=CARTESIAN_POINT('',(42.36,24.5,0.)); #471646=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #471647=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #471648=CARTESIAN_POINT('',(42.36,24.5,-200.)); #471649=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #471650=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #471651=CARTESIAN_POINT('',(43.257,35.27,0.)); #471652=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #471653=CARTESIAN_POINT('',(43.257,35.27,0.0349999999999895)); #471654=CARTESIAN_POINT('',(43.257,35.27,-200.)); #471655=CARTESIAN_POINT('Origin',(43.73,35.27,0.0349999999999895)); #471656=CARTESIAN_POINT('Origin',(46.648756,31.8156,0.)); #471657=CARTESIAN_POINT('',(46.648756,31.8156,0.)); #471658=CARTESIAN_POINT('',(45.891244,31.8156,0.)); #471659=CARTESIAN_POINT('',(46.648756,31.8156,0.)); #471660=CARTESIAN_POINT('',(45.891244,31.8156,0.035)); #471661=CARTESIAN_POINT('',(45.891244,31.8156,0.)); #471662=CARTESIAN_POINT('',(46.648756,31.8156,0.035)); #471663=CARTESIAN_POINT('',(46.648756,31.8156,0.035)); #471664=CARTESIAN_POINT('',(46.648756,31.8156,0.)); #471665=CARTESIAN_POINT('Origin',(47.1844,32.351244,0.)); #471666=CARTESIAN_POINT('',(47.1844,32.351244,0.)); #471667=CARTESIAN_POINT('',(47.1844,32.351244,0.)); #471668=CARTESIAN_POINT('',(47.1844,32.351244,0.035)); #471669=CARTESIAN_POINT('',(47.1844,32.351244,0.035)); #471670=CARTESIAN_POINT('',(47.1844,32.351244,0.)); #471671=CARTESIAN_POINT('Origin',(47.1844,33.108756,0.)); #471672=CARTESIAN_POINT('',(47.1844,33.108756,0.)); #471673=CARTESIAN_POINT('',(47.1844,33.108756,0.)); #471674=CARTESIAN_POINT('',(47.1844,33.108756,0.035)); #471675=CARTESIAN_POINT('',(47.1844,33.108756,0.035)); #471676=CARTESIAN_POINT('',(47.1844,33.108756,0.)); #471677=CARTESIAN_POINT('Origin',(46.648756,33.6444,0.)); #471678=CARTESIAN_POINT('',(46.648756,33.6444,0.)); #471679=CARTESIAN_POINT('',(46.648756,33.6444,0.)); #471680=CARTESIAN_POINT('',(46.648756,33.6444,0.035)); #471681=CARTESIAN_POINT('',(46.648756,33.6444,0.035)); #471682=CARTESIAN_POINT('',(46.648756,33.6444,0.)); #471683=CARTESIAN_POINT('Origin',(45.891244,33.6444,0.)); #471684=CARTESIAN_POINT('',(45.891244,33.6444,0.)); #471685=CARTESIAN_POINT('',(45.891244,33.6444,0.)); #471686=CARTESIAN_POINT('',(45.891244,33.6444,0.035)); #471687=CARTESIAN_POINT('',(45.891244,33.6444,0.035)); #471688=CARTESIAN_POINT('',(45.891244,33.6444,0.)); #471689=CARTESIAN_POINT('Origin',(45.3556,33.108756,0.)); #471690=CARTESIAN_POINT('',(45.3556,33.108756,0.)); #471691=CARTESIAN_POINT('',(45.3556,33.108756,0.)); #471692=CARTESIAN_POINT('',(45.3556,33.108756,0.035)); #471693=CARTESIAN_POINT('',(45.3556,33.108756,0.035)); #471694=CARTESIAN_POINT('',(45.3556,33.108756,0.)); #471695=CARTESIAN_POINT('Origin',(45.3556,32.351244,0.)); #471696=CARTESIAN_POINT('',(45.3556,32.351244,0.)); #471697=CARTESIAN_POINT('',(45.3556,32.351244,0.)); #471698=CARTESIAN_POINT('',(45.3556,32.351244,0.035)); #471699=CARTESIAN_POINT('',(45.3556,32.351244,0.035)); #471700=CARTESIAN_POINT('',(45.3556,32.351244,0.)); #471701=CARTESIAN_POINT('Origin',(45.891244,31.8156,0.)); #471702=CARTESIAN_POINT('',(45.891244,31.8156,0.)); #471703=CARTESIAN_POINT('',(45.891244,31.8156,0.035)); #471704=CARTESIAN_POINT('Origin',(46.648756,34.3556,0.)); #471705=CARTESIAN_POINT('',(46.648756,34.3556,0.)); #471706=CARTESIAN_POINT('',(45.891244,34.3556,0.)); #471707=CARTESIAN_POINT('',(46.648756,34.3556,0.)); #471708=CARTESIAN_POINT('',(45.891244,34.3556,0.035)); #471709=CARTESIAN_POINT('',(45.891244,34.3556,0.)); #471710=CARTESIAN_POINT('',(46.648756,34.3556,0.035)); #471711=CARTESIAN_POINT('',(46.648756,34.3556,0.035)); #471712=CARTESIAN_POINT('',(46.648756,34.3556,0.)); #471713=CARTESIAN_POINT('Origin',(47.1844,34.891244,0.)); #471714=CARTESIAN_POINT('',(47.1844,34.891244,0.)); #471715=CARTESIAN_POINT('',(47.1844,34.891244,0.)); #471716=CARTESIAN_POINT('',(47.1844,34.891244,0.035)); #471717=CARTESIAN_POINT('',(47.1844,34.891244,0.035)); #471718=CARTESIAN_POINT('',(47.1844,34.891244,0.)); #471719=CARTESIAN_POINT('Origin',(47.1844,35.648756,0.)); #471720=CARTESIAN_POINT('',(47.1844,35.648756,0.)); #471721=CARTESIAN_POINT('',(47.1844,35.648756,0.)); #471722=CARTESIAN_POINT('',(47.1844,35.648756,0.035)); #471723=CARTESIAN_POINT('',(47.1844,35.648756,0.035)); #471724=CARTESIAN_POINT('',(47.1844,35.648756,0.)); #471725=CARTESIAN_POINT('Origin',(46.648756,36.1844,0.)); #471726=CARTESIAN_POINT('',(46.648756,36.1844,0.)); #471727=CARTESIAN_POINT('',(46.648756,36.1844,0.)); #471728=CARTESIAN_POINT('',(46.648756,36.1844,0.035)); #471729=CARTESIAN_POINT('',(46.648756,36.1844,0.035)); #471730=CARTESIAN_POINT('',(46.648756,36.1844,0.)); #471731=CARTESIAN_POINT('Origin',(45.891244,36.1844,0.)); #471732=CARTESIAN_POINT('',(45.891244,36.1844,0.)); #471733=CARTESIAN_POINT('',(45.891244,36.1844,0.)); #471734=CARTESIAN_POINT('',(45.891244,36.1844,0.035)); #471735=CARTESIAN_POINT('',(45.891244,36.1844,0.035)); #471736=CARTESIAN_POINT('',(45.891244,36.1844,0.)); #471737=CARTESIAN_POINT('Origin',(45.3556,35.648756,0.)); #471738=CARTESIAN_POINT('',(45.3556,35.648756,0.)); #471739=CARTESIAN_POINT('',(45.3556,35.648756,0.)); #471740=CARTESIAN_POINT('',(45.3556,35.648756,0.035)); #471741=CARTESIAN_POINT('',(45.3556,35.648756,0.035)); #471742=CARTESIAN_POINT('',(45.3556,35.648756,0.)); #471743=CARTESIAN_POINT('Origin',(45.3556,34.891244,0.)); #471744=CARTESIAN_POINT('',(45.3556,34.891244,0.)); #471745=CARTESIAN_POINT('',(45.3556,34.891244,0.)); #471746=CARTESIAN_POINT('',(45.3556,34.891244,0.035)); #471747=CARTESIAN_POINT('',(45.3556,34.891244,0.035)); #471748=CARTESIAN_POINT('',(45.3556,34.891244,0.)); #471749=CARTESIAN_POINT('Origin',(45.891244,34.3556,0.)); #471750=CARTESIAN_POINT('',(45.891244,34.3556,0.)); #471751=CARTESIAN_POINT('',(45.891244,34.3556,0.035)); #471752=CARTESIAN_POINT('Origin',(39.0722,19.9786,0.)); #471753=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #471754=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #471755=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #471756=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #471757=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #471758=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #471759=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #471760=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #471761=CARTESIAN_POINT('Origin',(37.868491,19.9786,0.)); #471762=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #471763=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #471764=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #471765=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #471766=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #471767=CARTESIAN_POINT('Origin',(37.839894,19.984169,0.)); #471768=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #471769=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #471770=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #471771=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #471772=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #471773=CARTESIAN_POINT('Origin',(37.814609,20.000919,0.)); #471774=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #471775=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #471776=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #471777=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #471778=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #471779=CARTESIAN_POINT('Origin',(37.775628,20.0399,0.)); #471780=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #471781=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #471782=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #471783=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #471784=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #471785=CARTESIAN_POINT('Origin',(37.7048,20.0399,0.)); #471786=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #471787=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #471788=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #471789=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #471790=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #471791=CARTESIAN_POINT('Origin',(37.677331,20.045022,0.)); #471792=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #471793=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #471794=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #471795=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #471796=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #471797=CARTESIAN_POINT('Origin',(37.651784,20.061366,0.)); #471798=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #471799=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #471800=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #471801=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #471802=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #471803=CARTESIAN_POINT('Origin',(37.634634,20.086378,0.)); #471804=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #471805=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #471806=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #471807=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #471808=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #471809=CARTESIAN_POINT('Origin',(37.6286,20.1161,0.)); #471810=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #471811=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #471812=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #471813=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #471814=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #471815=CARTESIAN_POINT('Origin',(37.6286,20.194691,0.)); #471816=CARTESIAN_POINT('',(37.6286,20.194691,0.)); #471817=CARTESIAN_POINT('',(37.6286,20.194691,0.)); #471818=CARTESIAN_POINT('',(37.6286,20.194691,0.035)); #471819=CARTESIAN_POINT('',(37.6286,20.194691,0.035)); #471820=CARTESIAN_POINT('',(37.6286,20.194691,0.)); #471821=CARTESIAN_POINT('Origin',(37.194691,20.6286,0.)); #471822=CARTESIAN_POINT('',(37.194691,20.6286,0.)); #471823=CARTESIAN_POINT('',(37.194691,20.6286,0.)); #471824=CARTESIAN_POINT('',(37.194691,20.6286,0.035)); #471825=CARTESIAN_POINT('',(37.194691,20.6286,0.035)); #471826=CARTESIAN_POINT('',(37.194691,20.6286,0.)); #471827=CARTESIAN_POINT('Origin',(37.1161,20.6286,0.)); #471828=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #471829=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #471830=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #471831=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #471832=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #471833=CARTESIAN_POINT('Origin',(37.088631,20.633722,0.)); #471834=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #471835=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #471836=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #471837=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #471838=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #471839=CARTESIAN_POINT('Origin',(37.063084,20.650066,0.)); #471840=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #471841=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #471842=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #471843=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #471844=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #471845=CARTESIAN_POINT('Origin',(37.045934,20.675078,0.)); #471846=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #471847=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #471848=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #471849=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #471850=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #471851=CARTESIAN_POINT('Origin',(37.0399,20.7048,0.)); #471852=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #471853=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #471854=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #471855=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #471856=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #471857=CARTESIAN_POINT('Origin',(37.0399,20.775628,0.)); #471858=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #471859=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #471860=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #471861=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #471862=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #471863=CARTESIAN_POINT('Origin',(36.950628,20.8649,0.)); #471864=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #471865=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #471866=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #471867=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #471868=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #471869=CARTESIAN_POINT('Origin',(36.5548,20.8649,0.)); #471870=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #471871=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #471872=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #471873=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #471874=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #471875=CARTESIAN_POINT('Origin',(36.527331,20.870022,0.)); #471876=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #471877=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #471878=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #471879=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #471880=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #471881=CARTESIAN_POINT('Origin',(36.501784,20.886366,0.)); #471882=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #471883=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #471884=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #471885=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #471886=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #471887=CARTESIAN_POINT('Origin',(36.484634,20.911378,0.)); #471888=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #471889=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #471890=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #471891=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #471892=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #471893=CARTESIAN_POINT('Origin',(36.4786,20.9411,0.)); #471894=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #471895=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #471896=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #471897=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #471898=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #471899=CARTESIAN_POINT('Origin',(36.4786,21.722059,0.)); #471900=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #471901=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #471902=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #471903=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #471904=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #471905=CARTESIAN_POINT('Origin',(36.484169,21.750656,0.)); #471906=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #471907=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #471908=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #471909=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #471910=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #471911=CARTESIAN_POINT('Origin',(36.500919,21.775941,0.)); #471912=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #471913=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #471914=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #471915=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #471916=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #471917=CARTESIAN_POINT('Origin',(37.141319,22.416341,0.)); #471918=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #471919=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #471920=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #471921=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #471922=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #471923=CARTESIAN_POINT('Origin',(37.163256,22.431641,0.)); #471924=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #471925=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #471926=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #471927=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #471928=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #471929=CARTESIAN_POINT('Origin',(37.192772,22.438622,0.)); #471930=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #471931=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #471932=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #471933=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #471934=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #471935=CARTESIAN_POINT('Origin',(37.222669,22.433537,0.)); #471936=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #471937=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #471938=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #471939=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #471940=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #471941=CARTESIAN_POINT('Origin',(37.248216,22.417194,0.)); #471942=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #471943=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #471944=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #471945=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #471946=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #471947=CARTESIAN_POINT('Origin',(37.265366,22.392181,0.)); #471948=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #471949=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #471950=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #471951=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #471952=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #471953=CARTESIAN_POINT('Origin',(37.2714,22.362459,0.)); #471954=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #471955=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #471956=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #471957=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #471958=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #471959=CARTESIAN_POINT('Origin',(37.2714,21.657397,0.)); #471960=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #471961=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #471962=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #471963=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #471964=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #471965=CARTESIAN_POINT('Origin',(37.265831,21.6288,0.)); #471966=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #471967=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #471968=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #471969=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #471970=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #471971=CARTESIAN_POINT('Origin',(37.249081,21.603516,0.)); #471972=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #471973=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #471974=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #471975=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #471976=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #471977=CARTESIAN_POINT('Origin',(37.0726,21.427034,0.)); #471978=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #471979=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #471980=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #471981=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #471982=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #471983=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #471984=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #471985=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #471986=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #471987=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #471988=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #471989=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #471990=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #471991=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #471992=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #471993=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #471994=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #471995=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #471996=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #471997=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #471998=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #471999=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #472000=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #472001=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #472002=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #472003=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #472004=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #472005=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #472006=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #472007=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #472008=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #472009=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #472010=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #472011=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #472012=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #472013=CARTESIAN_POINT('Origin',(37.750919,21.603516,0.)); #472014=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #472015=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #472016=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #472017=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #472018=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #472019=CARTESIAN_POINT('Origin',(37.734634,21.627675,0.)); #472020=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #472021=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #472022=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #472023=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #472024=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #472025=CARTESIAN_POINT('Origin',(37.7286,21.657397,0.)); #472026=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #472027=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #472028=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #472029=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #472030=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #472031=CARTESIAN_POINT('Origin',(37.7286,22.441722,0.)); #472032=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #472033=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #472034=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #472035=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #472036=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #472037=CARTESIAN_POINT('Origin',(37.734169,22.470319,0.)); #472038=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #472039=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #472040=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #472041=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #472042=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #472043=CARTESIAN_POINT('Origin',(37.750919,22.495603,0.)); #472044=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #472045=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #472046=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #472047=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #472048=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #472049=CARTESIAN_POINT('Origin',(38.754397,23.499081,0.)); #472050=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #472051=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #472052=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #472053=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #472054=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #472055=CARTESIAN_POINT('Origin',(38.778556,23.515366,0.)); #472056=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #472057=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #472058=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #472059=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #472060=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #472061=CARTESIAN_POINT('Origin',(38.808278,23.5214,0.)); #472062=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #472063=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #472064=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #472065=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #472066=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #472067=CARTESIAN_POINT('Origin',(45.106691,23.5214,0.)); #472068=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #472069=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #472070=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #472071=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #472072=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #472073=CARTESIAN_POINT('Origin',(45.9906,24.405309,0.)); #472074=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #472075=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #472076=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #472077=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #472078=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #472079=CARTESIAN_POINT('Origin',(45.9906,25.3269,0.)); #472080=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #472081=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #472082=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #472083=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #472084=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #472085=CARTESIAN_POINT('Origin',(45.995722,25.354369,0.)); #472086=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #472087=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #472088=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #472089=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #472090=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #472091=CARTESIAN_POINT('Origin',(46.012066,25.379916,0.)); #472092=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #472093=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #472094=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #472095=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #472096=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #472097=CARTESIAN_POINT('Origin',(46.037078,25.397066,0.)); #472098=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #472099=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #472100=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #472101=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #472102=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #472103=CARTESIAN_POINT('Origin',(46.0668,25.4031,0.)); #472104=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #472105=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #472106=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #472107=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #472108=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #472109=CARTESIAN_POINT('Origin',(46.244228,25.4031,0.)); #472110=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #472111=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #472112=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #472113=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #472114=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #472115=CARTESIAN_POINT('Origin',(46.3335,25.492372,0.)); #472116=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #472117=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #472118=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #472119=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #472120=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #472121=CARTESIAN_POINT('Origin',(46.3335,26.507628,0.)); #472122=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #472123=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #472124=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #472125=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #472126=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #472127=CARTESIAN_POINT('Origin',(46.244228,26.5969,0.)); #472128=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #472129=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #472130=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #472131=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #472132=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #472133=CARTESIAN_POINT('Origin',(45.279772,26.5969,0.)); #472134=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #472135=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #472136=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #472137=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #472138=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #472139=CARTESIAN_POINT('Origin',(45.1905,26.507628,0.)); #472140=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #472141=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #472142=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #472143=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #472144=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #472145=CARTESIAN_POINT('Origin',(45.1905,25.492372,0.)); #472146=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #472147=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #472148=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #472149=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #472150=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #472151=CARTESIAN_POINT('Origin',(45.279772,25.4031,0.)); #472152=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #472153=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #472154=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #472155=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #472156=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #472157=CARTESIAN_POINT('Origin',(45.4572,25.4031,0.)); #472158=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #472159=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #472160=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #472161=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #472162=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #472163=CARTESIAN_POINT('Origin',(45.484669,25.397978,0.)); #472164=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #472165=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #472166=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #472167=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #472168=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #472169=CARTESIAN_POINT('Origin',(45.510216,25.381634,0.)); #472170=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #472171=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #472172=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #472173=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #472174=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #472175=CARTESIAN_POINT('Origin',(45.527366,25.356622,0.)); #472176=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #472177=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #472178=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #472179=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #472180=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #472181=CARTESIAN_POINT('Origin',(45.5334,25.3269,0.)); #472182=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #472183=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #472184=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #472185=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #472186=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #472187=CARTESIAN_POINT('Origin',(45.5334,24.626253,0.)); #472188=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #472189=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #472190=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #472191=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #472192=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #472193=CARTESIAN_POINT('Origin',(45.527831,24.597656,0.)); #472194=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #472195=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #472196=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #472197=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #472198=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #472199=CARTESIAN_POINT('Origin',(45.511081,24.572372,0.)); #472200=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #472201=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #472202=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #472203=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #472204=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #472205=CARTESIAN_POINT('Origin',(44.939628,24.000919,0.)); #472206=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #472207=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #472208=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #472209=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #472210=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #472211=CARTESIAN_POINT('Origin',(44.915469,23.984634,0.)); #472212=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #472213=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #472214=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #472215=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #472216=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #472217=CARTESIAN_POINT('Origin',(44.885747,23.9786,0.)); #472218=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #472219=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #472220=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #472221=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #472222=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #472223=CARTESIAN_POINT('Origin',(38.887541,23.9786,0.)); #472224=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #472225=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #472226=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #472227=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #472228=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #472229=CARTESIAN_POINT('Origin',(38.861209,23.983294,0.)); #472230=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #472231=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #472232=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #472233=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #472234=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #472235=CARTESIAN_POINT('Origin',(38.835403,23.999228,0.)); #472236=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #472237=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #472238=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #472239=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #472240=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #472241=CARTESIAN_POINT('Origin',(38.817859,24.023966,0.)); #472242=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #472243=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #472244=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #472245=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #472246=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #472247=CARTESIAN_POINT('Origin',(38.81135,24.053584,0.)); #472248=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #472249=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #472250=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #472251=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #472252=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #472253=CARTESIAN_POINT('Origin',(38.816909,24.083397,0.)); #472254=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #472255=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #472256=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #472257=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #472258=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #472259=CARTESIAN_POINT('Origin',(38.833659,24.108681,0.)); #472260=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #472261=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #472262=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #472263=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #472264=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #472265=CARTESIAN_POINT('Origin',(39.1036,24.378622,0.)); #472266=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #472267=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #472268=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #472269=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #472270=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #472271=CARTESIAN_POINT('Origin',(39.1036,26.029084,0.)); #472272=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #472273=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #472274=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #472275=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #472276=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #472277=CARTESIAN_POINT('Origin',(39.109169,26.057681,0.)); #472278=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #472279=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #472280=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #472281=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #472282=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #472283=CARTESIAN_POINT('Origin',(39.125919,26.082966,0.)); #472284=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #472285=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #472286=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #472287=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #472288=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #472289=CARTESIAN_POINT('Origin',(42.2286,29.185647,0.)); #472290=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #472291=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #472292=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #472293=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #472294=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #472295=CARTESIAN_POINT('Origin',(42.2286,30.592603,0.)); #472296=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #472297=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #472298=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #472299=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #472300=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #472301=CARTESIAN_POINT('Origin',(42.234169,30.6212,0.)); #472302=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #472303=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #472304=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #472305=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #472306=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #472307=CARTESIAN_POINT('Origin',(42.250919,30.646484,0.)); #472308=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #472309=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #472310=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #472311=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #472312=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #472313=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #472314=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #472315=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #472316=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #472317=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #472318=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #472319=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #472320=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #472321=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #472322=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #472323=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #472324=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #472325=CARTESIAN_POINT('Origin',(42.177034,31.4274,0.)); #472326=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #472327=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #472328=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #472329=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #472330=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #472331=CARTESIAN_POINT('Origin',(41.822966,31.4274,0.)); #472332=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #472333=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #472334=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #472335=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #472336=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #472337=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #472338=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #472339=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #472340=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #472341=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #472342=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #472343=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #472344=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #472345=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #472346=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #472347=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #472348=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #472349=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #472350=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #472351=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #472352=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #472353=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #472354=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #472355=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #472356=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #472357=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #472358=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #472359=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #472360=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #472361=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #472362=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #472363=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #472364=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #472365=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #472366=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #472367=CARTESIAN_POINT('Origin',(41.427034,31.4274,0.)); #472368=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #472369=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #472370=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #472371=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #472372=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #472373=CARTESIAN_POINT('Origin',(41.072966,31.4274,0.)); #472374=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #472375=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #472376=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #472377=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #472378=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #472379=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #472380=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #472381=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #472382=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #472383=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #472384=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #472385=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #472386=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #472387=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #472388=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #472389=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #472390=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #472391=CARTESIAN_POINT('Origin',(40.999081,30.646484,0.)); #472392=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #472393=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #472394=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #472395=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #472396=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #472397=CARTESIAN_POINT('Origin',(41.015366,30.622325,0.)); #472398=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #472399=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #472400=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #472401=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #472402=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #472403=CARTESIAN_POINT('Origin',(41.0214,30.592603,0.)); #472404=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #472405=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #472406=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #472407=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #472408=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #472409=CARTESIAN_POINT('Origin',(41.0214,29.186928,0.)); #472410=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #472411=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #472412=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #472413=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #472414=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #472415=CARTESIAN_POINT('Origin',(41.015831,29.158331,0.)); #472416=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #472417=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #472418=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #472419=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #472420=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #472421=CARTESIAN_POINT('Origin',(40.999081,29.133047,0.)); #472422=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #472423=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #472424=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #472425=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #472426=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #472427=CARTESIAN_POINT('Origin',(38.483681,26.617647,0.)); #472428=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #472429=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #472430=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #472431=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #472432=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #472433=CARTESIAN_POINT('Origin',(38.461744,26.602347,0.)); #472434=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #472435=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #472436=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #472437=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #472438=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #472439=CARTESIAN_POINT('Origin',(38.432228,26.595366,0.)); #472440=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #472441=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #472442=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #472443=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #472444=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #472445=CARTESIAN_POINT('Origin',(38.402331,26.60045,0.)); #472446=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #472447=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #472448=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #472449=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #472450=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #472451=CARTESIAN_POINT('Origin',(38.376784,26.616794,0.)); #472452=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #472453=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #472454=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #472455=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #472456=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #472457=CARTESIAN_POINT('Origin',(38.359634,26.641806,0.)); #472458=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #472459=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #472460=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #472461=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #472462=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #472463=CARTESIAN_POINT('Origin',(38.3536,26.671528,0.)); #472464=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #472465=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #472466=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #472467=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #472468=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #472469=CARTESIAN_POINT('Origin',(38.3536,30.958422,0.)); #472470=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #472471=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #472472=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #472473=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #472474=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #472475=CARTESIAN_POINT('Origin',(37.063419,32.248603,0.)); #472476=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #472477=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #472478=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #472479=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #472480=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #472481=CARTESIAN_POINT('Origin',(37.047134,32.272762,0.)); #472482=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #472483=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #472484=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #472485=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #472486=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #472487=CARTESIAN_POINT('Origin',(37.0411,32.302484,0.)); #472488=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #472489=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #472490=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #472491=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #472492=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #472493=CARTESIAN_POINT('Origin',(37.0411,34.375572,0.)); #472494=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #472495=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #472496=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #472497=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #472498=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #472499=CARTESIAN_POINT('Origin',(37.046669,34.404169,0.)); #472500=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #472501=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #472502=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #472503=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #472504=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #472505=CARTESIAN_POINT('Origin',(37.063419,34.429453,0.)); #472506=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #472507=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #472508=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #472509=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #472510=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #472511=CARTESIAN_POINT('Origin',(38.383047,35.749081,0.)); #472512=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #472513=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #472514=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #472515=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #472516=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #472517=CARTESIAN_POINT('Origin',(38.407206,35.765366,0.)); #472518=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #472519=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #472520=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #472521=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #472522=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #472523=CARTESIAN_POINT('Origin',(38.436928,35.7714,0.)); #472524=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #472525=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #472526=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #472527=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #472528=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #472529=CARTESIAN_POINT('Origin',(40.405366,35.7714,0.)); #472530=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #472531=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #472532=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #472533=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #472534=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #472535=CARTESIAN_POINT('Origin',(40.692519,36.058553,0.)); #472536=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #472537=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #472538=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #472539=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #472540=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #472541=CARTESIAN_POINT('Origin',(40.714456,36.073853,0.)); #472542=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #472543=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #472544=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #472545=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #472546=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #472547=CARTESIAN_POINT('Origin',(40.743972,36.080834,0.)); #472548=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #472549=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #472550=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #472551=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #472552=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #472553=CARTESIAN_POINT('Origin',(40.773869,36.07575,0.)); #472554=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #472555=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #472556=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #472557=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #472558=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #472559=CARTESIAN_POINT('Origin',(40.799416,36.059406,0.)); #472560=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #472561=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #472562=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #472563=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #472564=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #472565=CARTESIAN_POINT('Origin',(40.816566,36.034394,0.)); #472566=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #472567=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #472568=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #472569=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #472570=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #472571=CARTESIAN_POINT('Origin',(40.8226,36.004672,0.)); #472572=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #472573=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #472574=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #472575=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #472576=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #472577=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #472578=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #472579=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #472580=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #472581=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #472582=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #472583=CARTESIAN_POINT('Origin',(41.072966,35.5726,0.)); #472584=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #472585=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #472586=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #472587=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #472588=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #472589=CARTESIAN_POINT('Origin',(41.427034,35.5726,0.)); #472590=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #472591=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #472592=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #472593=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #472594=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #472595=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #472596=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #472597=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #472598=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #472599=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #472600=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #472601=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #472602=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #472603=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #472604=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #472605=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #472606=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #472607=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #472608=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #472609=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #472610=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #472611=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #472612=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #472613=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #472614=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #472615=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #472616=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #472617=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #472618=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #472619=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #472620=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #472621=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #472622=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #472623=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #472624=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #472625=CARTESIAN_POINT('Origin',(41.822966,35.5726,0.)); #472626=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #472627=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #472628=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #472629=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #472630=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #472631=CARTESIAN_POINT('Origin',(42.177034,35.5726,0.)); #472632=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #472633=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #472634=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #472635=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #472636=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #472637=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #472638=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #472639=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #472640=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #472641=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #472642=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #472643=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #472644=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #472645=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #472646=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #472647=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #472648=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #472649=CARTESIAN_POINT('Origin',(42.250919,36.353516,0.)); #472650=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #472651=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #472652=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #472653=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #472654=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #472655=CARTESIAN_POINT('Origin',(42.234634,36.377675,0.)); #472656=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #472657=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #472658=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #472659=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #472660=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #472661=CARTESIAN_POINT('Origin',(42.2286,36.407397,0.)); #472662=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #472663=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #472664=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #472665=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #472666=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #472667=CARTESIAN_POINT('Origin',(42.2286,36.502397,0.)); #472668=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #472669=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #472670=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #472671=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #472672=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #472673=CARTESIAN_POINT('Origin',(42.234169,36.530994,0.)); #472674=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #472675=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #472676=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #472677=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #472678=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #472679=CARTESIAN_POINT('Origin',(42.250919,36.556278,0.)); #472680=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #472681=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #472682=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #472683=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #472684=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #472685=CARTESIAN_POINT('Origin',(44.193722,38.499081,0.)); #472686=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #472687=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #472688=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #472689=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #472690=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #472691=CARTESIAN_POINT('Origin',(44.217881,38.515366,0.)); #472692=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #472693=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #472694=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #472695=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #472696=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #472697=CARTESIAN_POINT('Origin',(44.247603,38.5214,0.)); #472698=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #472699=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #472700=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #472701=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #472702=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #472703=CARTESIAN_POINT('Origin',(48.3222,38.5214,0.)); #472704=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #472705=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #472706=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #472707=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #472708=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #472709=CARTESIAN_POINT('Origin',(48.349669,38.516278,0.)); #472710=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #472711=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #472712=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #472713=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #472714=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #472715=CARTESIAN_POINT('Origin',(48.375216,38.499934,0.)); #472716=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #472717=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #472718=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #472719=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #472720=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #472721=CARTESIAN_POINT('Origin',(48.392366,38.474922,0.)); #472722=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #472723=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #472724=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #472725=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #472726=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #472727=CARTESIAN_POINT('Origin',(48.3984,38.4452,0.)); #472728=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #472729=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #472730=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #472731=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #472732=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #472733=CARTESIAN_POINT('Origin',(48.3984,23.57365,0.)); #472734=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #472735=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #472736=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #472737=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #472738=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #472739=CARTESIAN_POINT('Origin',(48.392831,23.545053,0.)); #472740=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #472741=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #472742=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #472743=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #472744=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #472745=CARTESIAN_POINT('Origin',(48.376081,23.519769,0.)); #472746=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #472747=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #472748=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #472749=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #472750=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #472751=CARTESIAN_POINT('Origin',(47.230231,22.373919,0.)); #472752=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #472753=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #472754=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #472755=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #472756=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #472757=CARTESIAN_POINT('Origin',(47.206072,22.357634,0.)); #472758=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #472759=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #472760=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #472761=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #472762=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #472763=CARTESIAN_POINT('Origin',(47.17635,22.3516,0.)); #472764=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #472765=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #472766=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #472767=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #472768=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #472769=CARTESIAN_POINT('Origin',(40.504047,22.3516,0.)); #472770=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #472771=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #472772=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #472773=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #472774=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #472775=CARTESIAN_POINT('Origin',(40.478691,22.3489,0.)); #472776=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #472777=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #472778=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #472779=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #472780=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #472781=CARTESIAN_POINT('Origin',(40.458337,22.342194,0.)); #472782=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #472783=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #472784=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #472785=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #472786=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #472787=CARTESIAN_POINT('Origin',(40.438803,22.330731,0.)); #472788=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #472789=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #472790=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #472791=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #472792=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #472793=CARTESIAN_POINT('Origin',(40.426134,22.319822,0.)); #472794=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #472795=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #472796=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #472797=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #472798=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #472799=CARTESIAN_POINT('Origin',(39.181022,21.074709,0.)); #472800=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #472801=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #472802=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #472803=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #472804=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #472805=CARTESIAN_POINT('Origin',(39.165003,21.054869,0.)); #472806=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #472807=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #472808=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #472809=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #472810=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #472811=CARTESIAN_POINT('Origin',(39.155353,21.035728,0.)); #472812=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #472813=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #472814=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #472815=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #472816=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #472817=CARTESIAN_POINT('Origin',(39.149641,21.013816,0.)); #472818=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #472819=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #472820=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #472821=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #472822=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #472823=CARTESIAN_POINT('Origin',(39.1484,20.997153,0.)); #472824=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #472825=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #472826=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #472827=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #472828=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #472829=CARTESIAN_POINT('Origin',(39.1484,20.0548,0.)); #472830=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #472831=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #472832=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #472833=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #472834=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #472835=CARTESIAN_POINT('Origin',(39.143278,20.027331,0.)); #472836=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #472837=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #472838=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #472839=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #472840=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #472841=CARTESIAN_POINT('Origin',(39.126934,20.001784,0.)); #472842=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #472843=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #472844=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #472845=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #472846=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #472847=CARTESIAN_POINT('Origin',(39.101922,19.984634,0.)); #472848=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #472849=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #472850=CARTESIAN_POINT('Origin',(42.4227829371529,27.911152598579,0.035)); #472851=CARTESIAN_POINT('Origin',(42.4227829371529,27.911152598579,0.)); #472852=CARTESIAN_POINT('Origin',(37.821416,29.934463,0.)); #472853=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #472854=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #472855=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #472856=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #472857=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #472858=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #472859=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #472860=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #472861=CARTESIAN_POINT('Origin',(37.791603,29.940022,0.)); #472862=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #472863=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #472864=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #472865=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #472866=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #472867=CARTESIAN_POINT('Origin',(37.766319,29.956772,0.)); #472868=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #472869=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #472870=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #472871=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #472872=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #472873=CARTESIAN_POINT('Origin',(37.171419,30.551672,0.)); #472874=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #472875=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #472876=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #472877=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #472878=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #472879=CARTESIAN_POINT('Origin',(37.155134,30.575831,0.)); #472880=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #472881=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #472882=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #472883=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #472884=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #472885=CARTESIAN_POINT('Origin',(37.1491,30.605553,0.)); #472886=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #472887=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #472888=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #472889=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #472890=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #472891=CARTESIAN_POINT('Origin',(37.1491,31.332378,0.)); #472892=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #472893=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #472894=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #472895=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #472896=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #472897=CARTESIAN_POINT('Origin',(37.153794,31.358709,0.)); #472898=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #472899=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #472900=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #472901=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #472902=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #472903=CARTESIAN_POINT('Origin',(37.169728,31.384516,0.)); #472904=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #472905=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #472906=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #472907=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #472908=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #472909=CARTESIAN_POINT('Origin',(37.194466,31.402059,0.)); #472910=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #472911=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #472912=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #472913=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #472914=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #472915=CARTESIAN_POINT('Origin',(37.224084,31.408569,0.)); #472916=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #472917=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #472918=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #472919=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #472920=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #472921=CARTESIAN_POINT('Origin',(37.253897,31.403009,0.)); #472922=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #472923=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #472924=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #472925=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #472926=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #472927=CARTESIAN_POINT('Origin',(37.279181,31.386259,0.)); #472928=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #472929=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #472930=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #472931=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #472932=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #472933=CARTESIAN_POINT('Origin',(37.874081,30.791359,0.)); #472934=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #472935=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #472936=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #472937=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #472938=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #472939=CARTESIAN_POINT('Origin',(37.890366,30.7672,0.)); #472940=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #472941=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #472942=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #472943=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #472944=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #472945=CARTESIAN_POINT('Origin',(37.8964,30.737478,0.)); #472946=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #472947=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #472948=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #472949=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #472950=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #472951=CARTESIAN_POINT('Origin',(37.8964,30.010653,0.)); #472952=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #472953=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #472954=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #472955=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #472956=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #472957=CARTESIAN_POINT('Origin',(37.891706,29.984322,0.)); #472958=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #472959=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #472960=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #472961=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #472962=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #472963=CARTESIAN_POINT('Origin',(37.875772,29.958516,0.)); #472964=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #472965=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #472966=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #472967=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #472968=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #472969=CARTESIAN_POINT('Origin',(37.851034,29.940972,0.)); #472970=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #472971=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #472972=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.035)); #472973=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.)); #472974=CARTESIAN_POINT('Origin',(34.376216,56.761006,0.)); #472975=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #472976=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #472977=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #472978=CARTESIAN_POINT('',(34.405834,56.767516,0.035)); #472979=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #472980=CARTESIAN_POINT('',(34.376216,56.761006,0.035)); #472981=CARTESIAN_POINT('',(34.376216,56.761006,0.035)); #472982=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #472983=CARTESIAN_POINT('Origin',(34.346403,56.766566,0.)); #472984=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #472985=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #472986=CARTESIAN_POINT('',(34.346403,56.766566,0.035)); #472987=CARTESIAN_POINT('',(34.346403,56.766566,0.035)); #472988=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #472989=CARTESIAN_POINT('Origin',(34.321119,56.783316,0.)); #472990=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #472991=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #472992=CARTESIAN_POINT('',(34.321119,56.783316,0.035)); #472993=CARTESIAN_POINT('',(34.321119,56.783316,0.035)); #472994=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #472995=CARTESIAN_POINT('Origin',(34.250919,56.853516,0.)); #472996=CARTESIAN_POINT('',(34.250919,56.853516,0.)); #472997=CARTESIAN_POINT('',(34.250919,56.853516,0.)); #472998=CARTESIAN_POINT('',(34.250919,56.853516,0.035)); #472999=CARTESIAN_POINT('',(34.250919,56.853516,0.035)); #473000=CARTESIAN_POINT('',(34.250919,56.853516,0.)); #473001=CARTESIAN_POINT('Origin',(34.234634,56.877675,0.)); #473002=CARTESIAN_POINT('',(34.234634,56.877675,0.)); #473003=CARTESIAN_POINT('',(34.234634,56.877675,0.)); #473004=CARTESIAN_POINT('',(34.234634,56.877675,0.035)); #473005=CARTESIAN_POINT('',(34.234634,56.877675,0.035)); #473006=CARTESIAN_POINT('',(34.234634,56.877675,0.)); #473007=CARTESIAN_POINT('Origin',(34.2286,56.907397,0.)); #473008=CARTESIAN_POINT('',(34.2286,56.907397,0.)); #473009=CARTESIAN_POINT('',(34.2286,56.907397,0.)); #473010=CARTESIAN_POINT('',(34.2286,56.907397,0.035)); #473011=CARTESIAN_POINT('',(34.2286,56.907397,0.035)); #473012=CARTESIAN_POINT('',(34.2286,56.907397,0.)); #473013=CARTESIAN_POINT('Origin',(34.2286,57.139147,0.)); #473014=CARTESIAN_POINT('',(34.2286,57.139147,0.)); #473015=CARTESIAN_POINT('',(34.2286,57.139147,0.)); #473016=CARTESIAN_POINT('',(34.2286,57.139147,0.035)); #473017=CARTESIAN_POINT('',(34.2286,57.139147,0.035)); #473018=CARTESIAN_POINT('',(34.2286,57.139147,0.)); #473019=CARTESIAN_POINT('Origin',(34.233294,57.165478,0.)); #473020=CARTESIAN_POINT('',(34.233294,57.165478,0.)); #473021=CARTESIAN_POINT('',(34.233294,57.165478,0.)); #473022=CARTESIAN_POINT('',(34.233294,57.165478,0.035)); #473023=CARTESIAN_POINT('',(34.233294,57.165478,0.035)); #473024=CARTESIAN_POINT('',(34.233294,57.165478,0.)); #473025=CARTESIAN_POINT('Origin',(34.249228,57.191284,0.)); #473026=CARTESIAN_POINT('',(34.249228,57.191284,0.)); #473027=CARTESIAN_POINT('',(34.249228,57.191284,0.)); #473028=CARTESIAN_POINT('',(34.249228,57.191284,0.035)); #473029=CARTESIAN_POINT('',(34.249228,57.191284,0.035)); #473030=CARTESIAN_POINT('',(34.249228,57.191284,0.)); #473031=CARTESIAN_POINT('Origin',(34.273966,57.208828,0.)); #473032=CARTESIAN_POINT('',(34.273966,57.208828,0.)); #473033=CARTESIAN_POINT('',(34.273966,57.208828,0.)); #473034=CARTESIAN_POINT('',(34.273966,57.208828,0.035)); #473035=CARTESIAN_POINT('',(34.273966,57.208828,0.035)); #473036=CARTESIAN_POINT('',(34.273966,57.208828,0.)); #473037=CARTESIAN_POINT('Origin',(34.303584,57.215338,0.)); #473038=CARTESIAN_POINT('',(34.303584,57.215338,0.)); #473039=CARTESIAN_POINT('',(34.303584,57.215338,0.)); #473040=CARTESIAN_POINT('',(34.303584,57.215338,0.035)); #473041=CARTESIAN_POINT('',(34.303584,57.215338,0.035)); #473042=CARTESIAN_POINT('',(34.303584,57.215338,0.)); #473043=CARTESIAN_POINT('Origin',(34.333397,57.209778,0.)); #473044=CARTESIAN_POINT('',(34.333397,57.209778,0.)); #473045=CARTESIAN_POINT('',(34.333397,57.209778,0.)); #473046=CARTESIAN_POINT('',(34.333397,57.209778,0.035)); #473047=CARTESIAN_POINT('',(34.333397,57.209778,0.035)); #473048=CARTESIAN_POINT('',(34.333397,57.209778,0.)); #473049=CARTESIAN_POINT('Origin',(34.358681,57.193028,0.)); #473050=CARTESIAN_POINT('',(34.358681,57.193028,0.)); #473051=CARTESIAN_POINT('',(34.358681,57.193028,0.)); #473052=CARTESIAN_POINT('',(34.358681,57.193028,0.035)); #473053=CARTESIAN_POINT('',(34.358681,57.193028,0.035)); #473054=CARTESIAN_POINT('',(34.358681,57.193028,0.)); #473055=CARTESIAN_POINT('Origin',(34.499081,57.052628,0.)); #473056=CARTESIAN_POINT('',(34.499081,57.052628,0.)); #473057=CARTESIAN_POINT('',(34.499081,57.052628,0.)); #473058=CARTESIAN_POINT('',(34.499081,57.052628,0.035)); #473059=CARTESIAN_POINT('',(34.499081,57.052628,0.035)); #473060=CARTESIAN_POINT('',(34.499081,57.052628,0.)); #473061=CARTESIAN_POINT('Origin',(34.515366,57.028469,0.)); #473062=CARTESIAN_POINT('',(34.515366,57.028469,0.)); #473063=CARTESIAN_POINT('',(34.515366,57.028469,0.)); #473064=CARTESIAN_POINT('',(34.515366,57.028469,0.035)); #473065=CARTESIAN_POINT('',(34.515366,57.028469,0.035)); #473066=CARTESIAN_POINT('',(34.515366,57.028469,0.)); #473067=CARTESIAN_POINT('Origin',(34.5214,56.998747,0.)); #473068=CARTESIAN_POINT('',(34.5214,56.998747,0.)); #473069=CARTESIAN_POINT('',(34.5214,56.998747,0.)); #473070=CARTESIAN_POINT('',(34.5214,56.998747,0.035)); #473071=CARTESIAN_POINT('',(34.5214,56.998747,0.035)); #473072=CARTESIAN_POINT('',(34.5214,56.998747,0.)); #473073=CARTESIAN_POINT('Origin',(34.5214,56.907397,0.)); #473074=CARTESIAN_POINT('',(34.5214,56.907397,0.)); #473075=CARTESIAN_POINT('',(34.5214,56.907397,0.)); #473076=CARTESIAN_POINT('',(34.5214,56.907397,0.035)); #473077=CARTESIAN_POINT('',(34.5214,56.907397,0.035)); #473078=CARTESIAN_POINT('',(34.5214,56.907397,0.)); #473079=CARTESIAN_POINT('Origin',(34.515831,56.8788,0.)); #473080=CARTESIAN_POINT('',(34.515831,56.8788,0.)); #473081=CARTESIAN_POINT('',(34.515831,56.8788,0.)); #473082=CARTESIAN_POINT('',(34.515831,56.8788,0.035)); #473083=CARTESIAN_POINT('',(34.515831,56.8788,0.035)); #473084=CARTESIAN_POINT('',(34.515831,56.8788,0.)); #473085=CARTESIAN_POINT('Origin',(34.499081,56.853516,0.)); #473086=CARTESIAN_POINT('',(34.499081,56.853516,0.)); #473087=CARTESIAN_POINT('',(34.499081,56.853516,0.)); #473088=CARTESIAN_POINT('',(34.499081,56.853516,0.035)); #473089=CARTESIAN_POINT('',(34.499081,56.853516,0.035)); #473090=CARTESIAN_POINT('',(34.499081,56.853516,0.)); #473091=CARTESIAN_POINT('Origin',(34.428881,56.783316,0.)); #473092=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #473093=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #473094=CARTESIAN_POINT('',(34.428881,56.783316,0.035)); #473095=CARTESIAN_POINT('',(34.428881,56.783316,0.035)); #473096=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #473097=CARTESIAN_POINT('Origin',(34.405834,56.767516,0.)); #473098=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #473099=CARTESIAN_POINT('',(34.405834,56.767516,0.035)); #473100=CARTESIAN_POINT('Origin',(34.359776144893,56.9864610160314,0.035)); #473101=CARTESIAN_POINT('Origin',(34.359776144893,56.9864610160314,0.)); #473102=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #473103=CARTESIAN_POINT('',(33.86,18.5,0.)); #473104=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #473105=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #473106=CARTESIAN_POINT('',(33.86,18.5,-200.)); #473107=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #473108=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #473109=CARTESIAN_POINT('',(34.61,18.5,0.)); #473110=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #473111=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #473112=CARTESIAN_POINT('',(34.61,18.5,-200.)); #473113=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #473114=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #473115=CARTESIAN_POINT('',(35.36,17.75,0.)); #473116=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #473117=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #473118=CARTESIAN_POINT('',(35.36,17.75,-200.)); #473119=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #473120=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #473121=CARTESIAN_POINT('',(35.36,18.5,0.)); #473122=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #473123=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #473124=CARTESIAN_POINT('',(35.36,18.5,-200.)); #473125=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #473126=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #473127=CARTESIAN_POINT('',(31.61,19.25,0.)); #473128=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #473129=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #473130=CARTESIAN_POINT('',(31.61,19.25,-200.)); #473131=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #473132=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #473133=CARTESIAN_POINT('',(33.11,17.75,0.)); #473134=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #473135=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #473136=CARTESIAN_POINT('',(33.11,17.75,-200.)); #473137=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #473138=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #473139=CARTESIAN_POINT('',(31.61,18.5,0.)); #473140=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #473141=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #473142=CARTESIAN_POINT('',(31.61,18.5,-200.)); #473143=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #473144=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #473145=CARTESIAN_POINT('',(31.61,17.,0.)); #473146=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #473147=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #473148=CARTESIAN_POINT('',(31.61,17.,-200.)); #473149=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #473150=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #473151=CARTESIAN_POINT('',(34.61,17.75,0.)); #473152=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #473153=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #473154=CARTESIAN_POINT('',(34.61,17.75,-200.)); #473155=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #473156=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #473157=CARTESIAN_POINT('',(31.61,17.75,0.)); #473158=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #473159=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #473160=CARTESIAN_POINT('',(31.61,17.75,-200.)); #473161=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #473162=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #473163=CARTESIAN_POINT('',(33.11,16.25,0.)); #473164=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #473165=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #473166=CARTESIAN_POINT('',(33.11,16.25,-200.)); #473167=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #473168=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #473169=CARTESIAN_POINT('',(34.61,16.25,0.)); #473170=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #473171=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #473172=CARTESIAN_POINT('',(34.61,16.25,-200.)); #473173=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #473174=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #473175=CARTESIAN_POINT('',(36.11,14.75,0.)); #473176=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #473177=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #473178=CARTESIAN_POINT('',(36.11,14.75,-200.)); #473179=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #473180=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #473181=CARTESIAN_POINT('',(33.86,17.,0.)); #473182=CARTESIAN_POINT('Origin',(34.,17.,0.)); #473183=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #473184=CARTESIAN_POINT('',(33.86,17.,-200.)); #473185=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #473186=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #473187=CARTESIAN_POINT('',(36.11,15.5,0.)); #473188=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #473189=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #473190=CARTESIAN_POINT('',(36.11,15.5,-200.)); #473191=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #473192=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #473193=CARTESIAN_POINT('',(36.11,17.,0.)); #473194=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #473195=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #473196=CARTESIAN_POINT('',(36.11,17.,-200.)); #473197=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #473198=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #473199=CARTESIAN_POINT('',(36.11,16.25,0.)); #473200=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #473201=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #473202=CARTESIAN_POINT('',(36.11,16.25,-200.)); #473203=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #473204=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #473205=CARTESIAN_POINT('',(36.11,19.25,0.)); #473206=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #473207=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #473208=CARTESIAN_POINT('',(36.11,19.25,-200.)); #473209=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #473210=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #473211=CARTESIAN_POINT('',(35.36,19.25,0.)); #473212=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #473213=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #473214=CARTESIAN_POINT('',(35.36,19.25,-200.)); #473215=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #473216=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #473217=CARTESIAN_POINT('',(36.11,18.5,0.)); #473218=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #473219=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #473220=CARTESIAN_POINT('',(36.11,18.5,-200.)); #473221=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #473222=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #473223=CARTESIAN_POINT('',(36.11,17.75,0.)); #473224=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #473225=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #473226=CARTESIAN_POINT('',(36.11,17.75,-200.)); #473227=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #473228=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #473229=CARTESIAN_POINT('',(33.11,14.75,0.)); #473230=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #473231=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #473232=CARTESIAN_POINT('',(33.11,14.75,-200.)); #473233=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #473234=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #473235=CARTESIAN_POINT('',(34.61,17.,0.)); #473236=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #473237=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #473238=CARTESIAN_POINT('',(34.61,17.,-200.)); #473239=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #473240=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #473241=CARTESIAN_POINT('',(33.86,14.75,0.)); #473242=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #473243=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #473244=CARTESIAN_POINT('',(33.86,14.75,-200.)); #473245=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #473246=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #473247=CARTESIAN_POINT('',(35.36,14.75,0.)); #473248=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #473249=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #473250=CARTESIAN_POINT('',(35.36,14.75,-200.)); #473251=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #473252=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #473253=CARTESIAN_POINT('',(33.86,17.75,0.)); #473254=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #473255=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #473256=CARTESIAN_POINT('',(33.86,17.75,-200.)); #473257=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #473258=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #473259=CARTESIAN_POINT('',(34.61,14.75,0.)); #473260=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #473261=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #473262=CARTESIAN_POINT('',(34.61,14.75,-200.)); #473263=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #473264=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #473265=CARTESIAN_POINT('',(31.61,14.75,0.)); #473266=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #473267=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #473268=CARTESIAN_POINT('',(31.61,14.75,-200.)); #473269=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #473270=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #473271=CARTESIAN_POINT('',(33.86,16.25,0.)); #473272=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #473273=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #473274=CARTESIAN_POINT('',(33.86,16.25,-200.)); #473275=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #473276=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #473277=CARTESIAN_POINT('',(32.36,14.75,0.)); #473278=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #473279=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #473280=CARTESIAN_POINT('',(32.36,14.75,-200.)); #473281=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #473282=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #473283=CARTESIAN_POINT('',(31.61,15.5,0.)); #473284=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #473285=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #473286=CARTESIAN_POINT('',(31.61,15.5,-200.)); #473287=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #473288=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #473289=CARTESIAN_POINT('',(33.11,17.,0.)); #473290=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #473291=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #473292=CARTESIAN_POINT('',(33.11,17.,-200.)); #473293=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #473294=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #473295=CARTESIAN_POINT('',(31.61,16.25,0.)); #473296=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #473297=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #473298=CARTESIAN_POINT('',(31.61,16.25,-200.)); #473299=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #473300=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #473301=CARTESIAN_POINT('',(33.86,15.5,0.)); #473302=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #473303=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #473304=CARTESIAN_POINT('',(33.86,15.5,-200.)); #473305=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #473306=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #473307=CARTESIAN_POINT('',(33.11,15.5,0.)); #473308=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #473309=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #473310=CARTESIAN_POINT('',(33.11,15.5,-200.)); #473311=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #473312=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #473313=CARTESIAN_POINT('',(32.36,16.25,0.)); #473314=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #473315=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #473316=CARTESIAN_POINT('',(32.36,16.25,-200.)); #473317=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #473318=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #473319=CARTESIAN_POINT('',(32.36,15.5,0.)); #473320=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #473321=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #473322=CARTESIAN_POINT('',(32.36,15.5,-200.)); #473323=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #473324=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #473325=CARTESIAN_POINT('',(32.36,18.5,0.)); #473326=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #473327=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #473328=CARTESIAN_POINT('',(32.36,18.5,-200.)); #473329=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #473330=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #473331=CARTESIAN_POINT('',(33.11,18.5,0.)); #473332=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #473333=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #473334=CARTESIAN_POINT('',(33.11,18.5,-200.)); #473335=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #473336=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #473337=CARTESIAN_POINT('',(32.36,17.75,0.)); #473338=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #473339=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #473340=CARTESIAN_POINT('',(32.36,17.75,-200.)); #473341=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #473342=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #473343=CARTESIAN_POINT('',(32.36,17.,0.)); #473344=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #473345=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #473346=CARTESIAN_POINT('',(32.36,17.,-200.)); #473347=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #473348=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #473349=CARTESIAN_POINT('',(35.36,17.,0.)); #473350=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #473351=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #473352=CARTESIAN_POINT('',(35.36,17.,-200.)); #473353=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #473354=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #473355=CARTESIAN_POINT('',(35.36,16.25,0.)); #473356=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #473357=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #473358=CARTESIAN_POINT('',(35.36,16.25,-200.)); #473359=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #473360=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #473361=CARTESIAN_POINT('',(34.61,15.5,0.)); #473362=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #473363=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #473364=CARTESIAN_POINT('',(34.61,15.5,-200.)); #473365=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #473366=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #473367=CARTESIAN_POINT('',(35.36,15.5,0.)); #473368=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #473369=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #473370=CARTESIAN_POINT('',(35.36,15.5,-200.)); #473371=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #473372=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #473373=CARTESIAN_POINT('',(33.11,19.25,0.)); #473374=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #473375=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #473376=CARTESIAN_POINT('',(33.11,19.25,-200.)); #473377=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #473378=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #473379=CARTESIAN_POINT('',(32.36,19.25,0.)); #473380=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #473381=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #473382=CARTESIAN_POINT('',(32.36,19.25,-200.)); #473383=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #473384=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #473385=CARTESIAN_POINT('',(33.86,19.25,0.)); #473386=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #473387=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #473388=CARTESIAN_POINT('',(33.86,19.25,-200.)); #473389=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #473390=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #473391=CARTESIAN_POINT('',(34.61,19.25,0.)); #473392=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #473393=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #473394=CARTESIAN_POINT('',(34.61,19.25,-200.)); #473395=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #473396=CARTESIAN_POINT('Origin',(36.501216,14.0471,0.)); #473397=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #473398=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #473399=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #473400=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #473401=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #473402=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #473403=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #473404=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #473405=CARTESIAN_POINT('Origin',(36.471403,14.052659,0.)); #473406=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #473407=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #473408=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #473409=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #473410=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #473411=CARTESIAN_POINT('Origin',(36.452925,14.0649,0.)); #473412=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #473413=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #473414=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #473415=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #473416=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #473417=CARTESIAN_POINT('Origin',(36.047303,14.0649,0.)); #473418=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #473419=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #473420=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #473421=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #473422=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #473423=CARTESIAN_POINT('Origin',(36.030834,14.053609,0.)); #473424=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #473425=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #473426=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #473427=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #473428=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #473429=CARTESIAN_POINT('Origin',(36.001216,14.0471,0.)); #473430=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #473431=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #473432=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #473433=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #473434=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #473435=CARTESIAN_POINT('Origin',(35.971403,14.052659,0.)); #473436=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #473437=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #473438=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #473439=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #473440=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #473441=CARTESIAN_POINT('Origin',(35.952925,14.0649,0.)); #473442=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #473443=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #473444=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #473445=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #473446=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #473447=CARTESIAN_POINT('Origin',(35.547303,14.0649,0.)); #473448=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #473449=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #473450=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #473451=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #473452=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #473453=CARTESIAN_POINT('Origin',(35.530834,14.053609,0.)); #473454=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #473455=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #473456=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #473457=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #473458=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #473459=CARTESIAN_POINT('Origin',(35.501216,14.0471,0.)); #473460=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #473461=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #473462=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #473463=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #473464=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #473465=CARTESIAN_POINT('Origin',(35.471403,14.052659,0.)); #473466=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #473467=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #473468=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #473469=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #473470=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #473471=CARTESIAN_POINT('Origin',(35.452925,14.0649,0.)); #473472=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #473473=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #473474=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #473475=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #473476=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #473477=CARTESIAN_POINT('Origin',(35.047303,14.0649,0.)); #473478=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #473479=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #473480=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #473481=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #473482=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #473483=CARTESIAN_POINT('Origin',(35.030834,14.053609,0.)); #473484=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #473485=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #473486=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #473487=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #473488=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #473489=CARTESIAN_POINT('Origin',(35.001216,14.0471,0.)); #473490=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #473491=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #473492=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #473493=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #473494=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #473495=CARTESIAN_POINT('Origin',(34.971403,14.052659,0.)); #473496=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #473497=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #473498=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #473499=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #473500=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #473501=CARTESIAN_POINT('Origin',(34.952925,14.0649,0.)); #473502=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #473503=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #473504=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #473505=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #473506=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #473507=CARTESIAN_POINT('Origin',(34.547303,14.0649,0.)); #473508=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #473509=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #473510=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #473511=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #473512=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #473513=CARTESIAN_POINT('Origin',(34.530834,14.053609,0.)); #473514=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #473515=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #473516=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #473517=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #473518=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #473519=CARTESIAN_POINT('Origin',(34.501216,14.0471,0.)); #473520=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #473521=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #473522=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #473523=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #473524=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #473525=CARTESIAN_POINT('Origin',(34.471403,14.052659,0.)); #473526=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #473527=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #473528=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #473529=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #473530=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #473531=CARTESIAN_POINT('Origin',(34.452925,14.0649,0.)); #473532=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #473533=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #473534=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #473535=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #473536=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #473537=CARTESIAN_POINT('Origin',(34.047303,14.0649,0.)); #473538=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #473539=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #473540=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #473541=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #473542=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #473543=CARTESIAN_POINT('Origin',(34.030834,14.053609,0.)); #473544=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #473545=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #473546=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #473547=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #473548=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #473549=CARTESIAN_POINT('Origin',(34.001216,14.0471,0.)); #473550=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #473551=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #473552=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #473553=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #473554=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #473555=CARTESIAN_POINT('Origin',(33.971403,14.052659,0.)); #473556=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #473557=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #473558=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #473559=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #473560=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #473561=CARTESIAN_POINT('Origin',(33.952925,14.0649,0.)); #473562=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #473563=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #473564=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #473565=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #473566=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #473567=CARTESIAN_POINT('Origin',(33.547303,14.0649,0.)); #473568=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #473569=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #473570=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #473571=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #473572=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #473573=CARTESIAN_POINT('Origin',(33.530834,14.053609,0.)); #473574=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #473575=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #473576=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #473577=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #473578=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #473579=CARTESIAN_POINT('Origin',(33.501216,14.0471,0.)); #473580=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #473581=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #473582=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #473583=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #473584=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #473585=CARTESIAN_POINT('Origin',(33.471403,14.052659,0.)); #473586=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #473587=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #473588=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #473589=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #473590=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #473591=CARTESIAN_POINT('Origin',(33.452925,14.0649,0.)); #473592=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #473593=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #473594=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #473595=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #473596=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #473597=CARTESIAN_POINT('Origin',(33.047303,14.0649,0.)); #473598=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #473599=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #473600=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #473601=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #473602=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #473603=CARTESIAN_POINT('Origin',(33.030834,14.053609,0.)); #473604=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #473605=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #473606=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #473607=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #473608=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #473609=CARTESIAN_POINT('Origin',(33.001216,14.0471,0.)); #473610=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #473611=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #473612=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #473613=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #473614=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #473615=CARTESIAN_POINT('Origin',(32.971403,14.052659,0.)); #473616=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #473617=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #473618=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #473619=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #473620=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #473621=CARTESIAN_POINT('Origin',(32.952925,14.0649,0.)); #473622=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #473623=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #473624=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #473625=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #473626=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #473627=CARTESIAN_POINT('Origin',(32.547303,14.0649,0.)); #473628=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #473629=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #473630=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #473631=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #473632=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #473633=CARTESIAN_POINT('Origin',(32.530834,14.053609,0.)); #473634=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #473635=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #473636=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #473637=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #473638=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #473639=CARTESIAN_POINT('Origin',(32.501216,14.0471,0.)); #473640=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #473641=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #473642=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #473643=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #473644=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #473645=CARTESIAN_POINT('Origin',(32.471403,14.052659,0.)); #473646=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #473647=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #473648=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #473649=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #473650=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #473651=CARTESIAN_POINT('Origin',(32.452925,14.0649,0.)); #473652=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #473653=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #473654=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #473655=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #473656=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #473657=CARTESIAN_POINT('Origin',(32.047303,14.0649,0.)); #473658=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #473659=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #473660=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #473661=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #473662=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #473663=CARTESIAN_POINT('Origin',(32.030834,14.053609,0.)); #473664=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #473665=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #473666=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #473667=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #473668=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #473669=CARTESIAN_POINT('Origin',(32.001216,14.0471,0.)); #473670=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #473671=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #473672=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #473673=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #473674=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #473675=CARTESIAN_POINT('Origin',(31.971403,14.052659,0.)); #473676=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #473677=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #473678=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #473679=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #473680=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #473681=CARTESIAN_POINT('Origin',(31.952925,14.0649,0.)); #473682=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #473683=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #473684=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #473685=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #473686=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #473687=CARTESIAN_POINT('Origin',(31.547303,14.0649,0.)); #473688=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #473689=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #473690=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #473691=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #473692=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #473693=CARTESIAN_POINT('Origin',(31.530834,14.053609,0.)); #473694=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #473695=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #473696=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #473697=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #473698=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #473699=CARTESIAN_POINT('Origin',(31.501216,14.0471,0.)); #473700=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #473701=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #473702=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #473703=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #473704=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #473705=CARTESIAN_POINT('Origin',(31.471403,14.052659,0.)); #473706=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #473707=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #473708=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #473709=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #473710=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #473711=CARTESIAN_POINT('Origin',(31.452925,14.0649,0.)); #473712=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #473713=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #473714=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #473715=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #473716=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #473717=CARTESIAN_POINT('Origin',(31.1411,14.0649,0.)); #473718=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #473719=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #473720=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #473721=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #473722=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #473723=CARTESIAN_POINT('Origin',(31.113631,14.070022,0.)); #473724=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #473725=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #473726=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #473727=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #473728=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #473729=CARTESIAN_POINT('Origin',(31.088084,14.086366,0.)); #473730=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #473731=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #473732=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #473733=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #473734=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #473735=CARTESIAN_POINT('Origin',(31.070934,14.111378,0.)); #473736=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #473737=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #473738=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #473739=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #473740=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #473741=CARTESIAN_POINT('Origin',(31.0649,14.1411,0.)); #473742=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #473743=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #473744=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #473745=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #473746=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #473747=CARTESIAN_POINT('Origin',(31.0649,14.452697,0.)); #473748=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #473749=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #473750=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #473751=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #473752=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #473753=CARTESIAN_POINT('Origin',(31.053609,14.469166,0.)); #473754=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #473755=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #473756=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #473757=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #473758=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #473759=CARTESIAN_POINT('Origin',(31.0471,14.498784,0.)); #473760=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #473761=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #473762=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #473763=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #473764=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #473765=CARTESIAN_POINT('Origin',(31.052659,14.528597,0.)); #473766=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #473767=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #473768=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #473769=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #473770=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #473771=CARTESIAN_POINT('Origin',(31.0649,14.547075,0.)); #473772=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #473773=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #473774=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #473775=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #473776=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #473777=CARTESIAN_POINT('Origin',(31.0649,14.952697,0.)); #473778=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #473779=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #473780=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #473781=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #473782=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #473783=CARTESIAN_POINT('Origin',(31.053609,14.969166,0.)); #473784=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #473785=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #473786=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #473787=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #473788=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #473789=CARTESIAN_POINT('Origin',(31.0471,14.998784,0.)); #473790=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #473791=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #473792=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #473793=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #473794=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #473795=CARTESIAN_POINT('Origin',(31.052659,15.028597,0.)); #473796=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #473797=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #473798=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #473799=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #473800=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #473801=CARTESIAN_POINT('Origin',(31.0649,15.047075,0.)); #473802=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #473803=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #473804=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #473805=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #473806=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #473807=CARTESIAN_POINT('Origin',(31.0649,15.452697,0.)); #473808=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #473809=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #473810=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #473811=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #473812=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #473813=CARTESIAN_POINT('Origin',(31.053609,15.469166,0.)); #473814=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #473815=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #473816=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #473817=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #473818=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #473819=CARTESIAN_POINT('Origin',(31.0471,15.498784,0.)); #473820=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #473821=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #473822=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #473823=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #473824=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #473825=CARTESIAN_POINT('Origin',(31.052659,15.528597,0.)); #473826=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #473827=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #473828=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #473829=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #473830=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #473831=CARTESIAN_POINT('Origin',(31.0649,15.547075,0.)); #473832=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #473833=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #473834=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #473835=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #473836=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #473837=CARTESIAN_POINT('Origin',(31.0649,15.952697,0.)); #473838=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #473839=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #473840=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #473841=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #473842=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #473843=CARTESIAN_POINT('Origin',(31.053609,15.969166,0.)); #473844=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #473845=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #473846=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #473847=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #473848=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #473849=CARTESIAN_POINT('Origin',(31.0471,15.998784,0.)); #473850=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #473851=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #473852=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #473853=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #473854=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #473855=CARTESIAN_POINT('Origin',(31.052659,16.028597,0.)); #473856=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #473857=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #473858=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #473859=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #473860=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #473861=CARTESIAN_POINT('Origin',(31.0649,16.047075,0.)); #473862=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #473863=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #473864=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #473865=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #473866=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #473867=CARTESIAN_POINT('Origin',(31.0649,16.452697,0.)); #473868=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #473869=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #473870=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #473871=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #473872=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #473873=CARTESIAN_POINT('Origin',(31.053609,16.469166,0.)); #473874=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #473875=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #473876=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #473877=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #473878=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #473879=CARTESIAN_POINT('Origin',(31.0471,16.498784,0.)); #473880=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #473881=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #473882=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #473883=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #473884=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #473885=CARTESIAN_POINT('Origin',(31.052659,16.528597,0.)); #473886=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #473887=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #473888=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #473889=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #473890=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #473891=CARTESIAN_POINT('Origin',(31.0649,16.547075,0.)); #473892=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #473893=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #473894=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #473895=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #473896=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #473897=CARTESIAN_POINT('Origin',(31.0649,16.950628,0.)); #473898=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #473899=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #473900=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #473901=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #473902=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #473903=CARTESIAN_POINT('Origin',(30.975628,17.0399,0.)); #473904=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #473905=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #473906=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #473907=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #473908=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #473909=CARTESIAN_POINT('Origin',(30.224372,17.0399,0.)); #473910=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #473911=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #473912=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #473913=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #473914=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #473915=CARTESIAN_POINT('Origin',(30.1351,16.950628,0.)); #473916=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #473917=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #473918=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #473919=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #473920=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #473921=CARTESIAN_POINT('Origin',(30.1351,16.5548,0.)); #473922=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #473923=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #473924=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #473925=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #473926=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #473927=CARTESIAN_POINT('Origin',(30.129978,16.527331,0.)); #473928=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #473929=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #473930=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #473931=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #473932=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #473933=CARTESIAN_POINT('Origin',(30.113634,16.501784,0.)); #473934=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #473935=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #473936=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #473937=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #473938=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #473939=CARTESIAN_POINT('Origin',(30.088622,16.484634,0.)); #473940=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #473941=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #473942=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #473943=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #473944=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #473945=CARTESIAN_POINT('Origin',(30.0589,16.4786,0.)); #473946=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #473947=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #473948=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #473949=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #473950=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #473951=CARTESIAN_POINT('Origin',(29.251253,16.4786,0.)); #473952=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #473953=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #473954=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #473955=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #473956=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #473957=CARTESIAN_POINT('Origin',(29.222656,16.484169,0.)); #473958=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #473959=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #473960=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #473961=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #473962=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #473963=CARTESIAN_POINT('Origin',(29.197372,16.500919,0.)); #473964=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #473965=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #473966=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #473967=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #473968=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #473969=CARTESIAN_POINT('Origin',(27.500919,18.197372,0.)); #473970=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #473971=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #473972=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #473973=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #473974=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #473975=CARTESIAN_POINT('Origin',(27.484634,18.221531,0.)); #473976=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #473977=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #473978=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #473979=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #473980=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #473981=CARTESIAN_POINT('Origin',(27.4786,18.251253,0.)); #473982=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #473983=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #473984=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #473985=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #473986=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #473987=CARTESIAN_POINT('Origin',(27.4786,18.4964,0.)); #473988=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #473989=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #473990=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #473991=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #473992=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #473993=CARTESIAN_POINT('Origin',(27.483722,18.523869,0.)); #473994=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #473995=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #473996=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #473997=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #473998=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #473999=CARTESIAN_POINT('Origin',(27.500066,18.549416,0.)); #474000=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #474001=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #474002=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #474003=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #474004=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #474005=CARTESIAN_POINT('Origin',(27.525078,18.566566,0.)); #474006=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #474007=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #474008=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #474009=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #474010=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #474011=CARTESIAN_POINT('Origin',(27.5548,18.5726,0.)); #474012=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #474013=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #474014=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #474015=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #474016=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #474017=CARTESIAN_POINT('Origin',(27.939034,18.5726,0.)); #474018=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #474019=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #474020=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #474021=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #474022=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #474023=CARTESIAN_POINT('Origin',(28.1894,18.822966,0.)); #474024=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #474025=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #474026=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #474027=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #474028=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #474029=CARTESIAN_POINT('Origin',(28.1894,19.177034,0.)); #474030=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #474031=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #474032=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #474033=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #474034=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #474035=CARTESIAN_POINT('Origin',(27.939034,19.4274,0.)); #474036=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #474037=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #474038=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #474039=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #474040=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #474041=CARTESIAN_POINT('Origin',(27.5548,19.4274,0.)); #474042=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #474043=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #474044=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #474045=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #474046=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #474047=CARTESIAN_POINT('Origin',(27.527331,19.432522,0.)); #474048=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #474049=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #474050=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #474051=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #474052=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #474053=CARTESIAN_POINT('Origin',(27.501784,19.448866,0.)); #474054=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #474055=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #474056=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #474057=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #474058=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #474059=CARTESIAN_POINT('Origin',(27.484634,19.473878,0.)); #474060=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #474061=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #474062=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #474063=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #474064=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #474065=CARTESIAN_POINT('Origin',(27.4786,19.5036,0.)); #474066=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #474067=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #474068=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #474069=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #474070=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #474071=CARTESIAN_POINT('Origin',(27.4786,23.967603,0.)); #474072=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #474073=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #474074=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #474075=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #474076=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #474077=CARTESIAN_POINT('Origin',(27.484169,23.9962,0.)); #474078=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #474079=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #474080=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #474081=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #474082=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #474083=CARTESIAN_POINT('Origin',(27.500919,24.021484,0.)); #474084=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #474085=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #474086=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #474087=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #474088=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #474089=CARTESIAN_POINT('Origin',(27.641319,24.161884,0.)); #474090=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #474091=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #474092=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #474093=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #474094=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #474095=CARTESIAN_POINT('Origin',(27.663256,24.177184,0.)); #474096=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #474097=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #474098=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #474099=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #474100=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #474101=CARTESIAN_POINT('Origin',(27.692772,24.184166,0.)); #474102=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #474103=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #474104=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #474105=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #474106=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #474107=CARTESIAN_POINT('Origin',(27.722669,24.179081,0.)); #474108=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #474109=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #474110=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #474111=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #474112=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #474113=CARTESIAN_POINT('Origin',(27.748216,24.162738,0.)); #474114=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #474115=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #474116=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #474117=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #474118=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #474119=CARTESIAN_POINT('Origin',(27.765366,24.137725,0.)); #474120=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #474121=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #474122=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #474123=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #474124=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #474125=CARTESIAN_POINT('Origin',(27.7714,24.108003,0.)); #474126=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #474127=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #474128=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #474129=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #474130=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #474131=CARTESIAN_POINT('Origin',(27.7714,19.814297,0.)); #474132=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #474133=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #474134=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #474135=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #474136=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #474137=CARTESIAN_POINT('Origin',(30.064297,17.5214,0.)); #474138=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #474139=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #474140=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #474141=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #474142=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #474143=CARTESIAN_POINT('Origin',(30.131509,17.5214,0.)); #474144=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #474145=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #474146=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #474147=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #474148=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #474149=CARTESIAN_POINT('Origin',(30.160106,17.515831,0.)); #474150=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #474151=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #474152=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #474153=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #474154=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #474155=CARTESIAN_POINT('Origin',(30.185391,17.499081,0.)); #474156=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #474157=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #474158=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #474159=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #474160=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #474161=CARTESIAN_POINT('Origin',(30.224372,17.4601,0.)); #474162=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #474163=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #474164=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #474165=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #474166=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #474167=CARTESIAN_POINT('Origin',(30.975628,17.4601,0.)); #474168=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #474169=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #474170=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #474171=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #474172=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #474173=CARTESIAN_POINT('Origin',(31.0649,17.549372,0.)); #474174=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #474175=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #474176=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #474177=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #474178=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #474179=CARTESIAN_POINT('Origin',(31.0649,17.952697,0.)); #474180=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #474181=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #474182=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #474183=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #474184=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #474185=CARTESIAN_POINT('Origin',(31.053609,17.969166,0.)); #474186=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #474187=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #474188=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #474189=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #474190=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #474191=CARTESIAN_POINT('Origin',(31.0471,17.998784,0.)); #474192=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #474193=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #474194=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #474195=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #474196=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #474197=CARTESIAN_POINT('Origin',(31.052659,18.028597,0.)); #474198=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #474199=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #474200=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #474201=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #474202=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #474203=CARTESIAN_POINT('Origin',(31.0649,18.047075,0.)); #474204=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #474205=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #474206=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #474207=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #474208=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #474209=CARTESIAN_POINT('Origin',(31.0649,18.452697,0.)); #474210=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #474211=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #474212=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #474213=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #474214=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #474215=CARTESIAN_POINT('Origin',(31.053609,18.469166,0.)); #474216=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #474217=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #474218=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #474219=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #474220=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #474221=CARTESIAN_POINT('Origin',(31.0471,18.498784,0.)); #474222=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #474223=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #474224=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #474225=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #474226=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #474227=CARTESIAN_POINT('Origin',(31.052659,18.528597,0.)); #474228=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #474229=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #474230=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #474231=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #474232=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #474233=CARTESIAN_POINT('Origin',(31.0649,18.547075,0.)); #474234=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #474235=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #474236=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #474237=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #474238=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #474239=CARTESIAN_POINT('Origin',(31.0649,18.952697,0.)); #474240=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #474241=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #474242=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #474243=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #474244=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #474245=CARTESIAN_POINT('Origin',(31.053609,18.969166,0.)); #474246=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #474247=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #474248=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #474249=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #474250=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #474251=CARTESIAN_POINT('Origin',(31.0471,18.998784,0.)); #474252=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #474253=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #474254=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #474255=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #474256=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #474257=CARTESIAN_POINT('Origin',(31.052659,19.028597,0.)); #474258=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #474259=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #474260=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #474261=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #474262=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #474263=CARTESIAN_POINT('Origin',(31.0649,19.047075,0.)); #474264=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #474265=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #474266=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #474267=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #474268=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #474269=CARTESIAN_POINT('Origin',(31.0649,19.452697,0.)); #474270=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #474271=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #474272=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #474273=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #474274=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #474275=CARTESIAN_POINT('Origin',(31.053609,19.469166,0.)); #474276=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #474277=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #474278=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #474279=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #474280=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #474281=CARTESIAN_POINT('Origin',(31.0471,19.498784,0.)); #474282=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #474283=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #474284=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #474285=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #474286=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #474287=CARTESIAN_POINT('Origin',(31.052659,19.528597,0.)); #474288=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #474289=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #474290=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #474291=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #474292=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #474293=CARTESIAN_POINT('Origin',(31.0649,19.547075,0.)); #474294=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #474295=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #474296=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #474297=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #474298=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #474299=CARTESIAN_POINT('Origin',(31.0649,19.8589,0.)); #474300=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #474301=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #474302=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #474303=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #474304=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #474305=CARTESIAN_POINT('Origin',(31.070022,19.886369,0.)); #474306=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #474307=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #474308=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #474309=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #474310=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #474311=CARTESIAN_POINT('Origin',(31.086366,19.911916,0.)); #474312=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #474313=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #474314=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #474315=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #474316=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #474317=CARTESIAN_POINT('Origin',(31.111378,19.929066,0.)); #474318=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #474319=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #474320=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #474321=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #474322=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #474323=CARTESIAN_POINT('Origin',(31.1411,19.9351,0.)); #474324=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #474325=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #474326=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #474327=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #474328=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #474329=CARTESIAN_POINT('Origin',(31.452697,19.9351,0.)); #474330=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #474331=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #474332=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #474333=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #474334=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #474335=CARTESIAN_POINT('Origin',(31.469166,19.946391,0.)); #474336=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #474337=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #474338=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #474339=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #474340=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #474341=CARTESIAN_POINT('Origin',(31.498784,19.9529,0.)); #474342=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #474343=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #474344=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #474345=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #474346=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #474347=CARTESIAN_POINT('Origin',(31.528597,19.947341,0.)); #474348=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #474349=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #474350=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #474351=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #474352=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #474353=CARTESIAN_POINT('Origin',(31.547075,19.9351,0.)); #474354=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #474355=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #474356=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #474357=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #474358=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #474359=CARTESIAN_POINT('Origin',(31.952697,19.9351,0.)); #474360=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #474361=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #474362=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #474363=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #474364=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #474365=CARTESIAN_POINT('Origin',(31.969166,19.946391,0.)); #474366=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #474367=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #474368=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #474369=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #474370=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #474371=CARTESIAN_POINT('Origin',(31.998784,19.9529,0.)); #474372=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #474373=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #474374=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #474375=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #474376=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #474377=CARTESIAN_POINT('Origin',(32.028597,19.947341,0.)); #474378=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #474379=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #474380=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #474381=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #474382=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #474383=CARTESIAN_POINT('Origin',(32.047075,19.9351,0.)); #474384=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #474385=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #474386=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #474387=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #474388=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #474389=CARTESIAN_POINT('Origin',(32.452697,19.9351,0.)); #474390=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #474391=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #474392=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #474393=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #474394=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #474395=CARTESIAN_POINT('Origin',(32.469166,19.946391,0.)); #474396=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #474397=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #474398=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #474399=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #474400=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #474401=CARTESIAN_POINT('Origin',(32.498784,19.9529,0.)); #474402=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #474403=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #474404=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #474405=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #474406=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #474407=CARTESIAN_POINT('Origin',(32.528597,19.947341,0.)); #474408=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #474409=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #474410=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #474411=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #474412=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #474413=CARTESIAN_POINT('Origin',(32.547075,19.9351,0.)); #474414=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #474415=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #474416=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #474417=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #474418=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #474419=CARTESIAN_POINT('Origin',(32.952697,19.9351,0.)); #474420=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #474421=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #474422=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #474423=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #474424=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #474425=CARTESIAN_POINT('Origin',(32.969166,19.946391,0.)); #474426=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #474427=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #474428=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #474429=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #474430=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #474431=CARTESIAN_POINT('Origin',(32.998784,19.9529,0.)); #474432=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #474433=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #474434=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #474435=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #474436=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #474437=CARTESIAN_POINT('Origin',(33.028597,19.947341,0.)); #474438=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #474439=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #474440=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #474441=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #474442=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #474443=CARTESIAN_POINT('Origin',(33.047075,19.9351,0.)); #474444=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #474445=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #474446=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #474447=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #474448=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #474449=CARTESIAN_POINT('Origin',(33.452697,19.9351,0.)); #474450=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #474451=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #474452=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #474453=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #474454=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #474455=CARTESIAN_POINT('Origin',(33.469166,19.946391,0.)); #474456=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #474457=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #474458=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #474459=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #474460=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #474461=CARTESIAN_POINT('Origin',(33.498784,19.9529,0.)); #474462=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #474463=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #474464=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #474465=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #474466=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #474467=CARTESIAN_POINT('Origin',(33.528597,19.947341,0.)); #474468=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #474469=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #474470=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #474471=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #474472=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #474473=CARTESIAN_POINT('Origin',(33.547075,19.9351,0.)); #474474=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #474475=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #474476=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #474477=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #474478=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #474479=CARTESIAN_POINT('Origin',(33.952697,19.9351,0.)); #474480=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #474481=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #474482=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #474483=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #474484=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #474485=CARTESIAN_POINT('Origin',(33.969166,19.946391,0.)); #474486=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #474487=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #474488=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #474489=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #474490=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #474491=CARTESIAN_POINT('Origin',(33.998784,19.9529,0.)); #474492=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #474493=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #474494=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #474495=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #474496=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #474497=CARTESIAN_POINT('Origin',(34.028597,19.947341,0.)); #474498=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #474499=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #474500=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #474501=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #474502=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #474503=CARTESIAN_POINT('Origin',(34.047075,19.9351,0.)); #474504=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #474505=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #474506=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #474507=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #474508=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #474509=CARTESIAN_POINT('Origin',(34.452697,19.9351,0.)); #474510=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #474511=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #474512=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #474513=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #474514=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #474515=CARTESIAN_POINT('Origin',(34.469166,19.946391,0.)); #474516=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #474517=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #474518=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #474519=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #474520=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #474521=CARTESIAN_POINT('Origin',(34.498784,19.9529,0.)); #474522=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #474523=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #474524=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #474525=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #474526=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #474527=CARTESIAN_POINT('Origin',(34.528597,19.947341,0.)); #474528=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #474529=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #474530=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #474531=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #474532=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #474533=CARTESIAN_POINT('Origin',(34.547075,19.9351,0.)); #474534=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #474535=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #474536=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #474537=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #474538=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #474539=CARTESIAN_POINT('Origin',(34.952697,19.9351,0.)); #474540=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #474541=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #474542=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #474543=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #474544=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #474545=CARTESIAN_POINT('Origin',(34.969166,19.946391,0.)); #474546=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #474547=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #474548=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #474549=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #474550=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #474551=CARTESIAN_POINT('Origin',(34.998784,19.9529,0.)); #474552=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #474553=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #474554=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #474555=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #474556=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #474557=CARTESIAN_POINT('Origin',(35.028597,19.947341,0.)); #474558=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #474559=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #474560=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #474561=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #474562=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #474563=CARTESIAN_POINT('Origin',(35.047075,19.9351,0.)); #474564=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #474565=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #474566=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #474567=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #474568=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #474569=CARTESIAN_POINT('Origin',(35.452697,19.9351,0.)); #474570=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #474571=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #474572=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #474573=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #474574=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #474575=CARTESIAN_POINT('Origin',(35.469166,19.946391,0.)); #474576=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #474577=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #474578=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #474579=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #474580=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #474581=CARTESIAN_POINT('Origin',(35.498784,19.9529,0.)); #474582=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #474583=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #474584=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #474585=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #474586=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #474587=CARTESIAN_POINT('Origin',(35.528597,19.947341,0.)); #474588=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #474589=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #474590=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #474591=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #474592=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #474593=CARTESIAN_POINT('Origin',(35.547075,19.9351,0.)); #474594=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #474595=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #474596=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #474597=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #474598=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #474599=CARTESIAN_POINT('Origin',(35.952697,19.9351,0.)); #474600=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #474601=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #474602=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #474603=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #474604=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #474605=CARTESIAN_POINT('Origin',(35.969166,19.946391,0.)); #474606=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #474607=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #474608=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #474609=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #474610=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #474611=CARTESIAN_POINT('Origin',(35.998784,19.9529,0.)); #474612=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #474613=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #474614=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #474615=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #474616=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #474617=CARTESIAN_POINT('Origin',(36.028597,19.947341,0.)); #474618=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #474619=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #474620=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #474621=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #474622=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #474623=CARTESIAN_POINT('Origin',(36.047075,19.9351,0.)); #474624=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #474625=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #474626=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #474627=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #474628=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #474629=CARTESIAN_POINT('Origin',(36.452697,19.9351,0.)); #474630=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #474631=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #474632=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #474633=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #474634=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #474635=CARTESIAN_POINT('Origin',(36.469166,19.946391,0.)); #474636=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #474637=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #474638=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #474639=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #474640=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #474641=CARTESIAN_POINT('Origin',(36.498784,19.9529,0.)); #474642=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #474643=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #474644=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #474645=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #474646=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #474647=CARTESIAN_POINT('Origin',(36.528597,19.947341,0.)); #474648=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #474649=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #474650=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #474651=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #474652=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #474653=CARTESIAN_POINT('Origin',(36.547075,19.9351,0.)); #474654=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #474655=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #474656=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #474657=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #474658=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #474659=CARTESIAN_POINT('Origin',(36.8589,19.9351,0.)); #474660=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #474661=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #474662=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #474663=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #474664=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #474665=CARTESIAN_POINT('Origin',(36.886369,19.929978,0.)); #474666=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #474667=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #474668=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #474669=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #474670=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #474671=CARTESIAN_POINT('Origin',(36.911916,19.913634,0.)); #474672=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #474673=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #474674=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #474675=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #474676=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #474677=CARTESIAN_POINT('Origin',(36.929066,19.888622,0.)); #474678=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #474679=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #474680=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #474681=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #474682=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #474683=CARTESIAN_POINT('Origin',(36.9351,19.8589,0.)); #474684=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #474685=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #474686=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #474687=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #474688=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #474689=CARTESIAN_POINT('Origin',(36.9351,19.549372,0.)); #474690=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #474691=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #474692=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #474693=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #474694=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #474695=CARTESIAN_POINT('Origin',(37.024372,19.4601,0.)); #474696=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #474697=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #474698=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #474699=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #474700=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #474701=CARTESIAN_POINT('Origin',(37.775628,19.4601,0.)); #474702=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #474703=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #474704=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #474705=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #474706=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #474707=CARTESIAN_POINT('Origin',(37.814609,19.499081,0.)); #474708=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #474709=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #474710=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #474711=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #474712=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #474713=CARTESIAN_POINT('Origin',(37.838769,19.515366,0.)); #474714=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #474715=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #474716=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #474717=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #474718=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #474719=CARTESIAN_POINT('Origin',(37.868491,19.5214,0.)); #474720=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #474721=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #474722=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #474723=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #474724=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #474725=CARTESIAN_POINT('Origin',(38.983003,19.5214,0.)); #474726=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #474727=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #474728=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #474729=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #474730=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #474731=CARTESIAN_POINT('Origin',(39.009334,19.516706,0.)); #474732=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #474733=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #474734=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #474735=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #474736=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #474737=CARTESIAN_POINT('Origin',(39.035141,19.500772,0.)); #474738=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #474739=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #474740=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #474741=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #474742=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #474743=CARTESIAN_POINT('Origin',(39.052684,19.476034,0.)); #474744=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #474745=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #474746=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #474747=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #474748=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #474749=CARTESIAN_POINT('Origin',(39.059194,19.446416,0.)); #474750=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #474751=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #474752=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #474753=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #474754=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #474755=CARTESIAN_POINT('Origin',(39.053634,19.416603,0.)); #474756=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #474757=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #474758=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #474759=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #474760=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #474761=CARTESIAN_POINT('Origin',(39.036884,19.391319,0.)); #474762=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #474763=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #474764=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #474765=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #474766=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #474767=CARTESIAN_POINT('Origin',(38.896484,19.250919,0.)); #474768=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #474769=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #474770=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #474771=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #474772=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #474773=CARTESIAN_POINT('Origin',(38.872325,19.234634,0.)); #474774=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #474775=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #474776=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #474777=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #474778=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #474779=CARTESIAN_POINT('Origin',(38.842603,19.2286,0.)); #474780=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #474781=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #474782=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #474783=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #474784=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #474785=CARTESIAN_POINT('Origin',(38.405309,19.2286,0.)); #474786=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #474787=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #474788=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #474789=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #474790=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #474791=CARTESIAN_POINT('Origin',(38.177628,19.000919,0.)); #474792=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #474793=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #474794=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #474795=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #474796=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #474797=CARTESIAN_POINT('Origin',(38.153469,18.984634,0.)); #474798=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #474799=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #474800=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #474801=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #474802=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #474803=CARTESIAN_POINT('Origin',(38.123747,18.9786,0.)); #474804=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #474805=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #474806=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #474807=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #474808=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #474809=CARTESIAN_POINT('Origin',(37.868491,18.9786,0.)); #474810=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #474811=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #474812=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #474813=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #474814=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #474815=CARTESIAN_POINT('Origin',(37.839894,18.984169,0.)); #474816=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #474817=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #474818=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #474819=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #474820=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #474821=CARTESIAN_POINT('Origin',(37.814609,19.000919,0.)); #474822=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #474823=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #474824=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #474825=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #474826=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #474827=CARTESIAN_POINT('Origin',(37.775628,19.0399,0.)); #474828=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #474829=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #474830=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #474831=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #474832=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #474833=CARTESIAN_POINT('Origin',(37.024372,19.0399,0.)); #474834=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #474835=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #474836=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #474837=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #474838=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #474839=CARTESIAN_POINT('Origin',(36.9351,18.950628,0.)); #474840=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #474841=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #474842=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #474843=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #474844=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #474845=CARTESIAN_POINT('Origin',(36.9351,18.547303,0.)); #474846=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #474847=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #474848=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #474849=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #474850=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #474851=CARTESIAN_POINT('Origin',(36.946391,18.530834,0.)); #474852=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #474853=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #474854=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #474855=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #474856=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #474857=CARTESIAN_POINT('Origin',(36.9529,18.501216,0.)); #474858=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #474859=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #474860=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #474861=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #474862=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #474863=CARTESIAN_POINT('Origin',(36.947341,18.471403,0.)); #474864=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #474865=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #474866=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #474867=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #474868=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #474869=CARTESIAN_POINT('Origin',(36.9351,18.452925,0.)); #474870=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #474871=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #474872=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #474873=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #474874=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #474875=CARTESIAN_POINT('Origin',(36.9351,18.047303,0.)); #474876=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #474877=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #474878=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #474879=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #474880=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #474881=CARTESIAN_POINT('Origin',(36.946391,18.030834,0.)); #474882=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #474883=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #474884=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #474885=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #474886=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #474887=CARTESIAN_POINT('Origin',(36.9529,18.001216,0.)); #474888=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #474889=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #474890=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #474891=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #474892=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #474893=CARTESIAN_POINT('Origin',(36.947341,17.971403,0.)); #474894=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #474895=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #474896=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #474897=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #474898=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #474899=CARTESIAN_POINT('Origin',(36.9351,17.952925,0.)); #474900=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #474901=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #474902=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #474903=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #474904=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #474905=CARTESIAN_POINT('Origin',(36.9351,17.547303,0.)); #474906=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #474907=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #474908=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #474909=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #474910=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #474911=CARTESIAN_POINT('Origin',(36.946391,17.530834,0.)); #474912=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #474913=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #474914=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #474915=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #474916=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #474917=CARTESIAN_POINT('Origin',(36.9529,17.501216,0.)); #474918=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #474919=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #474920=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #474921=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #474922=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #474923=CARTESIAN_POINT('Origin',(36.947341,17.471403,0.)); #474924=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #474925=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #474926=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #474927=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #474928=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #474929=CARTESIAN_POINT('Origin',(36.9351,17.452925,0.)); #474930=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #474931=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #474932=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #474933=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #474934=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #474935=CARTESIAN_POINT('Origin',(36.9351,17.047303,0.)); #474936=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #474937=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #474938=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #474939=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #474940=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #474941=CARTESIAN_POINT('Origin',(36.946391,17.030834,0.)); #474942=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #474943=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #474944=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #474945=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #474946=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #474947=CARTESIAN_POINT('Origin',(36.9529,17.001216,0.)); #474948=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #474949=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #474950=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #474951=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #474952=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #474953=CARTESIAN_POINT('Origin',(36.947341,16.971403,0.)); #474954=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #474955=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #474956=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #474957=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #474958=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #474959=CARTESIAN_POINT('Origin',(36.9351,16.952925,0.)); #474960=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #474961=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #474962=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #474963=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #474964=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #474965=CARTESIAN_POINT('Origin',(36.9351,16.547303,0.)); #474966=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #474967=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #474968=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #474969=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #474970=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #474971=CARTESIAN_POINT('Origin',(36.946391,16.530834,0.)); #474972=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #474973=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #474974=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #474975=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #474976=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #474977=CARTESIAN_POINT('Origin',(36.9529,16.501216,0.)); #474978=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #474979=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #474980=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #474981=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #474982=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #474983=CARTESIAN_POINT('Origin',(36.947341,16.471403,0.)); #474984=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #474985=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #474986=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #474987=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #474988=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #474989=CARTESIAN_POINT('Origin',(36.9351,16.452925,0.)); #474990=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #474991=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #474992=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #474993=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #474994=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #474995=CARTESIAN_POINT('Origin',(36.9351,16.047303,0.)); #474996=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #474997=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #474998=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #474999=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #475000=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #475001=CARTESIAN_POINT('Origin',(36.946391,16.030834,0.)); #475002=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #475003=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #475004=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #475005=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #475006=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #475007=CARTESIAN_POINT('Origin',(36.9529,16.001216,0.)); #475008=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #475009=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #475010=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #475011=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #475012=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #475013=CARTESIAN_POINT('Origin',(36.947341,15.971403,0.)); #475014=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #475015=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #475016=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #475017=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #475018=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #475019=CARTESIAN_POINT('Origin',(36.9351,15.952925,0.)); #475020=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #475021=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #475022=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #475023=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #475024=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #475025=CARTESIAN_POINT('Origin',(36.9351,15.547303,0.)); #475026=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #475027=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #475028=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #475029=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #475030=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #475031=CARTESIAN_POINT('Origin',(36.946391,15.530834,0.)); #475032=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #475033=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #475034=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #475035=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #475036=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #475037=CARTESIAN_POINT('Origin',(36.9529,15.501216,0.)); #475038=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #475039=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #475040=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #475041=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #475042=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #475043=CARTESIAN_POINT('Origin',(36.947341,15.471403,0.)); #475044=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #475045=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #475046=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #475047=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #475048=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #475049=CARTESIAN_POINT('Origin',(36.9351,15.452925,0.)); #475050=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #475051=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #475052=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #475053=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #475054=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #475055=CARTESIAN_POINT('Origin',(36.9351,15.047303,0.)); #475056=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #475057=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #475058=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #475059=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #475060=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #475061=CARTESIAN_POINT('Origin',(36.946391,15.030834,0.)); #475062=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #475063=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #475064=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #475065=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #475066=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #475067=CARTESIAN_POINT('Origin',(36.9529,15.001216,0.)); #475068=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #475069=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #475070=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #475071=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #475072=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #475073=CARTESIAN_POINT('Origin',(36.947341,14.971403,0.)); #475074=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #475075=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #475076=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #475077=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #475078=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #475079=CARTESIAN_POINT('Origin',(36.9351,14.952925,0.)); #475080=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #475081=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #475082=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #475083=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #475084=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #475085=CARTESIAN_POINT('Origin',(36.9351,14.547303,0.)); #475086=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #475087=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #475088=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #475089=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #475090=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #475091=CARTESIAN_POINT('Origin',(36.946391,14.530834,0.)); #475092=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #475093=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #475094=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #475095=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #475096=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #475097=CARTESIAN_POINT('Origin',(36.9529,14.501216,0.)); #475098=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #475099=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #475100=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #475101=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #475102=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #475103=CARTESIAN_POINT('Origin',(36.947341,14.471403,0.)); #475104=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #475105=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #475106=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #475107=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #475108=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #475109=CARTESIAN_POINT('Origin',(36.9351,14.452925,0.)); #475110=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #475111=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #475112=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #475113=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #475114=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #475115=CARTESIAN_POINT('Origin',(36.9351,14.169181,0.)); #475116=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #475117=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #475118=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #475119=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #475120=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #475121=CARTESIAN_POINT('Origin',(36.933344,14.152916,0.)); #475122=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #475123=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #475124=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #475125=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #475126=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #475127=CARTESIAN_POINT('Origin',(36.921103,14.125169,0.)); #475128=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #475129=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #475130=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #475131=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #475132=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #475133=CARTESIAN_POINT('Origin',(36.911691,14.111866,0.)); #475134=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #475135=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #475136=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #475137=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #475138=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #475139=CARTESIAN_POINT('Origin',(36.911397,14.111178,0.)); #475140=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #475141=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #475142=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #475143=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #475144=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #475145=CARTESIAN_POINT('Origin',(36.896053,14.088084,0.)); #475146=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #475147=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #475148=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #475149=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #475150=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #475151=CARTESIAN_POINT('Origin',(36.871041,14.070934,0.)); #475152=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #475153=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #475154=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #475155=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #475156=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #475157=CARTESIAN_POINT('Origin',(36.841319,14.0649,0.)); #475158=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #475159=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #475160=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #475161=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #475162=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #475163=CARTESIAN_POINT('Origin',(36.547303,14.0649,0.)); #475164=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #475165=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #475166=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #475167=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #475168=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #475169=CARTESIAN_POINT('Origin',(36.530834,14.053609,0.)); #475170=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #475171=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #475172=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.035)); #475173=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.)); #475174=CARTESIAN_POINT('Origin',(36.095,31.7493,0.)); #475175=CARTESIAN_POINT('',(36.095,31.7493,0.)); #475176=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #475177=CARTESIAN_POINT('',(36.095,31.7493,0.)); #475178=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #475179=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #475180=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #475181=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #475182=CARTESIAN_POINT('',(36.095,31.7493,0.)); #475183=CARTESIAN_POINT('Origin',(35.7423,31.7493,0.)); #475184=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #475185=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #475186=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #475187=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #475188=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #475189=CARTESIAN_POINT('Origin',(35.714831,31.754422,0.)); #475190=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #475191=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #475192=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #475193=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #475194=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #475195=CARTESIAN_POINT('Origin',(35.689284,31.770766,0.)); #475196=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #475197=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #475198=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #475199=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #475200=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #475201=CARTESIAN_POINT('Origin',(35.672134,31.795778,0.)); #475202=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #475203=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #475204=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #475205=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #475206=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #475207=CARTESIAN_POINT('Origin',(35.6661,31.8255,0.)); #475208=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #475209=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #475210=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #475211=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #475212=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #475213=CARTESIAN_POINT('Origin',(35.6661,34.061247,0.)); #475214=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #475215=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #475216=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #475217=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #475218=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #475219=CARTESIAN_POINT('Origin',(35.671669,34.089844,0.)); #475220=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #475221=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #475222=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #475223=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #475224=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #475225=CARTESIAN_POINT('Origin',(35.688419,34.115128,0.)); #475226=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #475227=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #475228=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #475229=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #475230=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #475231=CARTESIAN_POINT('Origin',(36.041119,34.467828,0.)); #475232=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #475233=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #475234=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #475235=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #475236=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #475237=CARTESIAN_POINT('Origin',(36.063056,34.483128,0.)); #475238=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #475239=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #475240=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #475241=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #475242=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #475243=CARTESIAN_POINT('Origin',(36.092572,34.490109,0.)); #475244=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #475245=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #475246=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #475247=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #475248=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #475249=CARTESIAN_POINT('Origin',(36.122469,34.485025,0.)); #475250=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #475251=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #475252=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #475253=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #475254=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #475255=CARTESIAN_POINT('Origin',(36.148016,34.468681,0.)); #475256=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #475257=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #475258=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #475259=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #475260=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #475261=CARTESIAN_POINT('Origin',(36.165166,34.443669,0.)); #475262=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #475263=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #475264=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #475265=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #475266=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #475267=CARTESIAN_POINT('Origin',(36.1712,34.413947,0.)); #475268=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #475269=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #475270=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #475271=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #475272=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #475273=CARTESIAN_POINT('Origin',(36.1712,31.8255,0.)); #475274=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #475275=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #475276=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #475277=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #475278=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #475279=CARTESIAN_POINT('Origin',(36.166078,31.798031,0.)); #475280=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #475281=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #475282=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #475283=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #475284=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #475285=CARTESIAN_POINT('Origin',(36.149734,31.772484,0.)); #475286=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #475287=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #475288=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #475289=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #475290=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #475291=CARTESIAN_POINT('Origin',(36.124722,31.755334,0.)); #475292=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #475293=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #475294=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.035)); #475295=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.)); #475296=CARTESIAN_POINT('Origin',(34.506028,23.108491,0.)); #475297=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #475298=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #475299=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #475300=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #475301=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #475302=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #475303=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #475304=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #475305=CARTESIAN_POINT('Origin',(34.476131,23.113575,0.)); #475306=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #475307=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #475308=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #475309=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #475310=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #475311=CARTESIAN_POINT('Origin',(34.450584,23.129919,0.)); #475312=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #475313=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #475314=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #475315=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #475316=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #475317=CARTESIAN_POINT('Origin',(34.433434,23.154931,0.)); #475318=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #475319=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #475320=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #475321=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #475322=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #475323=CARTESIAN_POINT('Origin',(34.4274,23.184653,0.)); #475324=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #475325=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #475326=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #475327=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #475328=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #475329=CARTESIAN_POINT('Origin',(34.4274,23.302034,0.)); #475330=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #475331=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #475332=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #475333=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #475334=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #475335=CARTESIAN_POINT('Origin',(34.250919,23.478516,0.)); #475336=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #475337=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #475338=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #475339=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #475340=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #475341=CARTESIAN_POINT('Origin',(34.234634,23.502675,0.)); #475342=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #475343=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #475344=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #475345=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #475346=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #475347=CARTESIAN_POINT('Origin',(34.2286,23.532397,0.)); #475348=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #475349=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #475350=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #475351=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #475352=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #475353=CARTESIAN_POINT('Origin',(34.2286,24.317025,0.)); #475354=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #475355=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #475356=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #475357=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #475358=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #475359=CARTESIAN_POINT('Origin',(34.233944,24.345059,0.)); #475360=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #475361=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #475362=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #475363=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #475364=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #475365=CARTESIAN_POINT('Origin',(34.250491,24.370475,0.)); #475366=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #475367=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #475368=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #475369=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #475370=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #475371=CARTESIAN_POINT('Origin',(34.275641,24.387425,0.)); #475372=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #475373=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #475374=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #475375=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #475376=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #475377=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #475378=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #475379=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #475380=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #475381=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #475382=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #475383=CARTESIAN_POINT('Origin',(34.703819,24.677634,0.)); #475384=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #475385=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #475386=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #475387=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #475388=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #475389=CARTESIAN_POINT('Origin',(34.725756,24.692934,0.)); #475390=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #475391=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #475392=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #475393=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #475394=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #475395=CARTESIAN_POINT('Origin',(34.755272,24.699916,0.)); #475396=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #475397=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #475398=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #475399=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #475400=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #475401=CARTESIAN_POINT('Origin',(34.785169,24.694831,0.)); #475402=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #475403=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #475404=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #475405=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #475406=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #475407=CARTESIAN_POINT('Origin',(34.810716,24.678488,0.)); #475408=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #475409=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #475410=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #475411=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #475412=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #475413=CARTESIAN_POINT('Origin',(34.827866,24.653475,0.)); #475414=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #475415=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #475416=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #475417=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #475418=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #475419=CARTESIAN_POINT('Origin',(34.8339,24.623753,0.)); #475420=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #475421=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #475422=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #475423=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #475424=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #475425=CARTESIAN_POINT('Origin',(34.8339,23.438753,0.)); #475426=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #475427=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #475428=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #475429=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #475430=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #475431=CARTESIAN_POINT('Origin',(34.828331,23.410156,0.)); #475432=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #475433=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #475434=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #475435=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #475436=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #475437=CARTESIAN_POINT('Origin',(34.811581,23.384872,0.)); #475438=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #475439=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #475440=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #475441=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #475442=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #475443=CARTESIAN_POINT('Origin',(34.557481,23.130772,0.)); #475444=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #475445=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #475446=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #475447=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #475448=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #475449=CARTESIAN_POINT('Origin',(34.535544,23.115472,0.)); #475450=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #475451=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #475452=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.035)); #475453=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.)); #475454=CARTESIAN_POINT('Origin',(30.001216,37.592863,0.)); #475455=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #475456=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #475457=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #475458=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #475459=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #475460=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #475461=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #475462=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #475463=CARTESIAN_POINT('Origin',(29.971403,37.598422,0.)); #475464=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #475465=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #475466=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #475467=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #475468=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #475469=CARTESIAN_POINT('Origin',(29.946119,37.615172,0.)); #475470=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #475471=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #475472=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #475473=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #475474=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #475475=CARTESIAN_POINT('Origin',(29.831819,37.729472,0.)); #475476=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #475477=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #475478=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #475479=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #475480=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #475481=CARTESIAN_POINT('Origin',(29.815534,37.753631,0.)); #475482=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #475483=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #475484=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #475485=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #475486=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #475487=CARTESIAN_POINT('Origin',(29.8095,37.783353,0.)); #475488=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #475489=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #475490=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #475491=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #475492=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #475493=CARTESIAN_POINT('Origin',(29.8095,38.445247,0.)); #475494=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #475495=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #475496=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #475497=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #475498=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #475499=CARTESIAN_POINT('Origin',(29.814194,38.471578,0.)); #475500=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #475501=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #475502=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #475503=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #475504=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #475505=CARTESIAN_POINT('Origin',(29.830128,38.497384,0.)); #475506=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #475507=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #475508=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #475509=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #475510=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #475511=CARTESIAN_POINT('Origin',(29.854866,38.514928,0.)); #475512=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #475513=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #475514=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #475515=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #475516=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #475517=CARTESIAN_POINT('Origin',(29.884484,38.521438,0.)); #475518=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #475519=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #475520=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #475521=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #475522=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #475523=CARTESIAN_POINT('Origin',(29.914297,38.515878,0.)); #475524=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #475525=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #475526=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #475527=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #475528=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #475529=CARTESIAN_POINT('Origin',(29.939581,38.499128,0.)); #475530=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #475531=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #475532=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #475533=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #475534=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #475535=CARTESIAN_POINT('Origin',(30.168181,38.270528,0.)); #475536=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #475537=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #475538=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #475539=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #475540=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #475541=CARTESIAN_POINT('Origin',(30.184466,38.246369,0.)); #475542=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #475543=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #475544=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #475545=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #475546=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #475547=CARTESIAN_POINT('Origin',(30.1905,38.216647,0.)); #475548=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #475549=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #475550=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #475551=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #475552=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #475553=CARTESIAN_POINT('Origin',(30.1905,37.783353,0.)); #475554=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #475555=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #475556=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #475557=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #475558=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #475559=CARTESIAN_POINT('Origin',(30.184931,37.754756,0.)); #475560=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #475561=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #475562=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #475563=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #475564=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #475565=CARTESIAN_POINT('Origin',(30.168181,37.729472,0.)); #475566=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #475567=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #475568=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #475569=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #475570=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #475571=CARTESIAN_POINT('Origin',(30.053881,37.615172,0.)); #475572=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #475573=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #475574=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #475575=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #475576=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #475577=CARTESIAN_POINT('Origin',(30.030834,37.599372,0.)); #475578=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #475579=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #475580=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.035)); #475581=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.)); #475582=CARTESIAN_POINT('Origin',(33.501216,22.104862,0.)); #475583=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #475584=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #475585=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #475586=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #475587=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #475588=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #475589=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #475590=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #475591=CARTESIAN_POINT('Origin',(33.471403,22.110422,0.)); #475592=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #475593=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #475594=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #475595=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #475596=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #475597=CARTESIAN_POINT('Origin',(33.446119,22.127172,0.)); #475598=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #475599=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #475600=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #475601=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #475602=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #475603=CARTESIAN_POINT('Origin',(33.188419,22.384872,0.)); #475604=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #475605=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #475606=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #475607=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #475608=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #475609=CARTESIAN_POINT('Origin',(33.172134,22.409031,0.)); #475610=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #475611=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #475612=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #475613=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #475614=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #475615=CARTESIAN_POINT('Origin',(33.1661,22.438753,0.)); #475616=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #475617=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #475618=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #475619=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #475620=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #475621=CARTESIAN_POINT('Origin',(33.1661,24.623753,0.)); #475622=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #475623=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #475624=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #475625=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #475626=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #475627=CARTESIAN_POINT('Origin',(33.170794,24.650084,0.)); #475628=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #475629=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #475630=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #475631=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #475632=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #475633=CARTESIAN_POINT('Origin',(33.186728,24.675891,0.)); #475634=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #475635=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #475636=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #475637=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #475638=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #475639=CARTESIAN_POINT('Origin',(33.211466,24.693434,0.)); #475640=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #475641=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #475642=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #475643=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #475644=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #475645=CARTESIAN_POINT('Origin',(33.241084,24.699944,0.)); #475646=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #475647=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #475648=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #475649=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #475650=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #475651=CARTESIAN_POINT('Origin',(33.270897,24.694384,0.)); #475652=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #475653=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #475654=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #475655=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #475656=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #475657=CARTESIAN_POINT('Origin',(33.296181,24.677634,0.)); #475658=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #475659=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #475660=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #475661=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #475662=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #475663=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #475664=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #475665=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #475666=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #475667=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #475668=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #475669=CARTESIAN_POINT('Origin',(33.724359,24.387425,0.)); #475670=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #475671=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #475672=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #475673=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #475674=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #475675=CARTESIAN_POINT('Origin',(33.748216,24.371759,0.)); #475676=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #475677=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #475678=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #475679=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #475680=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #475681=CARTESIAN_POINT('Origin',(33.765366,24.346747,0.)); #475682=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #475683=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #475684=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #475685=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #475686=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #475687=CARTESIAN_POINT('Origin',(33.7714,24.317025,0.)); #475688=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #475689=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #475690=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #475691=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #475692=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #475693=CARTESIAN_POINT('Origin',(33.7714,23.532397,0.)); #475694=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #475695=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #475696=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #475697=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #475698=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #475699=CARTESIAN_POINT('Origin',(33.765831,23.5038,0.)); #475700=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #475701=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #475702=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #475703=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #475704=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #475705=CARTESIAN_POINT('Origin',(33.749081,23.478516,0.)); #475706=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #475707=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #475708=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #475709=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #475710=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #475711=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #475712=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #475713=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #475714=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #475715=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #475716=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #475717=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #475718=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #475719=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #475720=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #475721=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #475722=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #475723=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #475724=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #475725=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #475726=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #475727=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #475728=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #475729=CARTESIAN_POINT('Origin',(33.940347,22.6976,0.)); #475730=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #475731=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #475732=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #475733=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #475734=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #475735=CARTESIAN_POINT('Origin',(33.966678,22.692906,0.)); #475736=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #475737=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #475738=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #475739=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #475740=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #475741=CARTESIAN_POINT('Origin',(33.992484,22.676972,0.)); #475742=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #475743=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #475744=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #475745=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #475746=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #475747=CARTESIAN_POINT('Origin',(34.010028,22.652234,0.)); #475748=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #475749=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #475750=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #475751=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #475752=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #475753=CARTESIAN_POINT('Origin',(34.016537,22.622616,0.)); #475754=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #475755=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #475756=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #475757=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #475758=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #475759=CARTESIAN_POINT('Origin',(34.010978,22.592803,0.)); #475760=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #475761=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #475762=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #475763=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #475764=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #475765=CARTESIAN_POINT('Origin',(33.994228,22.567519,0.)); #475766=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #475767=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #475768=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #475769=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #475770=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #475771=CARTESIAN_POINT('Origin',(33.553881,22.127172,0.)); #475772=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #475773=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #475774=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #475775=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #475776=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #475777=CARTESIAN_POINT('Origin',(33.530834,22.111372,0.)); #475778=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #475779=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #475780=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.035)); #475781=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.)); #475782=CARTESIAN_POINT('Origin',(32.2577,31.7493,0.)); #475783=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #475784=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #475785=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #475786=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #475787=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #475788=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #475789=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #475790=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #475791=CARTESIAN_POINT('Origin',(31.905,31.7493,0.)); #475792=CARTESIAN_POINT('',(31.905,31.7493,0.)); #475793=CARTESIAN_POINT('',(31.905,31.7493,0.)); #475794=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #475795=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #475796=CARTESIAN_POINT('',(31.905,31.7493,0.)); #475797=CARTESIAN_POINT('Origin',(31.877531,31.754422,0.)); #475798=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #475799=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #475800=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #475801=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #475802=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #475803=CARTESIAN_POINT('Origin',(31.851984,31.770766,0.)); #475804=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #475805=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #475806=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #475807=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #475808=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #475809=CARTESIAN_POINT('Origin',(31.834834,31.795778,0.)); #475810=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #475811=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #475812=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #475813=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #475814=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #475815=CARTESIAN_POINT('Origin',(31.8288,31.8255,0.)); #475816=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #475817=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #475818=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #475819=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #475820=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #475821=CARTESIAN_POINT('Origin',(31.8288,34.413947,0.)); #475822=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #475823=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #475824=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #475825=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #475826=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #475827=CARTESIAN_POINT('Origin',(31.833494,34.440278,0.)); #475828=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #475829=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #475830=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #475831=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #475832=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #475833=CARTESIAN_POINT('Origin',(31.849428,34.466084,0.)); #475834=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #475835=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #475836=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #475837=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #475838=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #475839=CARTESIAN_POINT('Origin',(31.874166,34.483628,0.)); #475840=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #475841=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #475842=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #475843=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #475844=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #475845=CARTESIAN_POINT('Origin',(31.903784,34.490138,0.)); #475846=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #475847=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #475848=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #475849=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #475850=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #475851=CARTESIAN_POINT('Origin',(31.933597,34.484578,0.)); #475852=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #475853=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #475854=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #475855=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #475856=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #475857=CARTESIAN_POINT('Origin',(31.958881,34.467828,0.)); #475858=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #475859=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #475860=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #475861=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #475862=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #475863=CARTESIAN_POINT('Origin',(32.311581,34.115128,0.)); #475864=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #475865=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #475866=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #475867=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #475868=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #475869=CARTESIAN_POINT('Origin',(32.327866,34.090969,0.)); #475870=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #475871=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #475872=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #475873=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #475874=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #475875=CARTESIAN_POINT('Origin',(32.3339,34.061247,0.)); #475876=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #475877=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #475878=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #475879=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #475880=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #475881=CARTESIAN_POINT('Origin',(32.3339,31.8255,0.)); #475882=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #475883=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #475884=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #475885=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #475886=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #475887=CARTESIAN_POINT('Origin',(32.328778,31.798031,0.)); #475888=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #475889=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #475890=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #475891=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #475892=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #475893=CARTESIAN_POINT('Origin',(32.312434,31.772484,0.)); #475894=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #475895=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #475896=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #475897=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #475898=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #475899=CARTESIAN_POINT('Origin',(32.287422,31.755334,0.)); #475900=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #475901=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #475902=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.035)); #475903=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.)); #475904=CARTESIAN_POINT('Origin',(35.744728,23.286516,0.)); #475905=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #475906=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #475907=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #475908=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #475909=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #475910=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #475911=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #475912=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #475913=CARTESIAN_POINT('Origin',(35.714831,23.2916,0.)); #475914=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #475915=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #475916=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #475917=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #475918=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #475919=CARTESIAN_POINT('Origin',(35.689284,23.307944,0.)); #475920=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #475921=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #475922=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #475923=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #475924=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #475925=CARTESIAN_POINT('Origin',(35.672134,23.332956,0.)); #475926=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #475927=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #475928=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #475929=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #475930=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #475931=CARTESIAN_POINT('Origin',(35.6661,23.362678,0.)); #475932=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #475933=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #475934=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #475935=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #475936=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #475937=CARTESIAN_POINT('Origin',(35.6661,24.623753,0.)); #475938=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #475939=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #475940=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #475941=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #475942=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #475943=CARTESIAN_POINT('Origin',(35.670794,24.650084,0.)); #475944=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #475945=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #475946=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #475947=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #475948=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #475949=CARTESIAN_POINT('Origin',(35.686728,24.675891,0.)); #475950=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #475951=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #475952=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #475953=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #475954=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #475955=CARTESIAN_POINT('Origin',(35.711466,24.693434,0.)); #475956=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #475957=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #475958=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #475959=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #475960=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #475961=CARTESIAN_POINT('Origin',(35.741084,24.699944,0.)); #475962=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #475963=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #475964=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #475965=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #475966=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #475967=CARTESIAN_POINT('Origin',(35.770897,24.694384,0.)); #475968=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #475969=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #475970=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #475971=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #475972=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #475973=CARTESIAN_POINT('Origin',(35.796181,24.677634,0.)); #475974=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #475975=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #475976=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #475977=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #475978=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #475979=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #475980=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #475981=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #475982=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #475983=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #475984=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #475985=CARTESIAN_POINT('Origin',(36.3205,24.3476,0.)); #475986=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #475987=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #475988=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #475989=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #475990=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #475991=CARTESIAN_POINT('Origin',(36.651022,24.3476,0.)); #475992=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #475993=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #475994=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #475995=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #475996=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #475997=CARTESIAN_POINT('Origin',(36.677353,24.342906,0.)); #475998=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #475999=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #476000=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #476001=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #476002=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #476003=CARTESIAN_POINT('Origin',(36.703159,24.326972,0.)); #476004=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #476005=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #476006=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #476007=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #476008=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #476009=CARTESIAN_POINT('Origin',(36.720703,24.302234,0.)); #476010=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #476011=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #476012=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #476013=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #476014=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #476015=CARTESIAN_POINT('Origin',(36.727213,24.272616,0.)); #476016=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #476017=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #476018=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #476019=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #476020=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #476021=CARTESIAN_POINT('Origin',(36.721653,24.242803,0.)); #476022=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #476023=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #476024=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #476025=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #476026=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #476027=CARTESIAN_POINT('Origin',(36.704903,24.217519,0.)); #476028=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #476029=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #476030=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #476031=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #476032=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #476033=CARTESIAN_POINT('Origin',(35.796181,23.308797,0.)); #476034=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #476035=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #476036=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #476037=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #476038=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #476039=CARTESIAN_POINT('Origin',(35.774244,23.293497,0.)); #476040=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #476041=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #476042=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.035)); #476043=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.)); #476044=CARTESIAN_POINT('Origin',(27.875,39.625,-200.)); #476045=CARTESIAN_POINT('',(27.735,39.625,0.)); #476046=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #476047=CARTESIAN_POINT('',(27.735,39.625,0.0349999999999895)); #476048=CARTESIAN_POINT('',(27.735,39.625,-200.)); #476049=CARTESIAN_POINT('Origin',(27.875,39.625,0.0349999999999895)); #476050=CARTESIAN_POINT('Origin',(26.375,39.625,-200.)); #476051=CARTESIAN_POINT('',(26.235,39.625,0.)); #476052=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #476053=CARTESIAN_POINT('',(26.235,39.625,0.0349999999999895)); #476054=CARTESIAN_POINT('',(26.235,39.625,-200.)); #476055=CARTESIAN_POINT('Origin',(26.375,39.625,0.0349999999999895)); #476056=CARTESIAN_POINT('Origin',(27.125,39.625,-200.)); #476057=CARTESIAN_POINT('',(26.985,39.625,0.)); #476058=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #476059=CARTESIAN_POINT('',(26.985,39.625,0.0349999999999895)); #476060=CARTESIAN_POINT('',(26.985,39.625,-200.)); #476061=CARTESIAN_POINT('Origin',(27.125,39.625,0.0349999999999895)); #476062=CARTESIAN_POINT('Origin',(28.842603,36.9786,0.)); #476063=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #476064=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #476065=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #476066=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #476067=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #476068=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #476069=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #476070=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #476071=CARTESIAN_POINT('Origin',(27.595916,36.9786,0.)); #476072=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #476073=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #476074=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #476075=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #476076=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #476077=CARTESIAN_POINT('Origin',(27.567319,36.984169,0.)); #476078=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #476079=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #476080=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #476081=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #476082=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #476083=CARTESIAN_POINT('Origin',(27.542034,37.000919,0.)); #476084=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #476085=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #476086=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #476087=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #476088=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #476089=CARTESIAN_POINT('Origin',(24.619219,39.923734,0.)); #476090=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #476091=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #476092=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #476093=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #476094=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #476095=CARTESIAN_POINT('Origin',(24.602934,39.947894,0.)); #476096=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #476097=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #476098=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #476099=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #476100=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #476101=CARTESIAN_POINT('Origin',(24.5969,39.977616,0.)); #476102=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #476103=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #476104=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #476105=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #476106=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #476107=CARTESIAN_POINT('Origin',(24.5969,40.720228,0.)); #476108=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #476109=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #476110=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #476111=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #476112=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #476113=CARTESIAN_POINT('Origin',(24.507628,40.8095,0.)); #476114=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #476115=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #476116=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #476117=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #476118=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #476119=CARTESIAN_POINT('Origin',(23.492372,40.8095,0.)); #476120=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #476121=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #476122=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #476123=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #476124=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #476125=CARTESIAN_POINT('Origin',(23.4031,40.720228,0.)); #476126=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #476127=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #476128=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #476129=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #476130=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #476131=CARTESIAN_POINT('Origin',(23.4031,39.7928,0.)); #476132=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #476133=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #476134=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #476135=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #476136=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #476137=CARTESIAN_POINT('Origin',(23.397978,39.765331,0.)); #476138=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #476139=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #476140=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #476141=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #476142=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #476143=CARTESIAN_POINT('Origin',(23.381634,39.739784,0.)); #476144=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #476145=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #476146=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #476147=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #476148=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #476149=CARTESIAN_POINT('Origin',(23.356622,39.722634,0.)); #476150=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #476151=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #476152=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #476153=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #476154=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #476155=CARTESIAN_POINT('Origin',(23.3269,39.7166,0.)); #476156=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #476157=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #476158=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #476159=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #476160=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #476161=CARTESIAN_POINT('Origin',(20.876253,39.7166,0.)); #476162=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #476163=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #476164=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #476165=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #476166=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #476167=CARTESIAN_POINT('Origin',(20.847656,39.722169,0.)); #476168=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #476169=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #476170=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #476171=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #476172=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #476173=CARTESIAN_POINT('Origin',(20.822372,39.738919,0.)); #476174=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #476175=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #476176=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #476177=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #476178=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #476179=CARTESIAN_POINT('Origin',(20.619219,39.942072,0.)); #476180=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #476181=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #476182=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #476183=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #476184=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #476185=CARTESIAN_POINT('Origin',(20.602934,39.966231,0.)); #476186=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #476187=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #476188=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #476189=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #476190=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #476191=CARTESIAN_POINT('Origin',(20.5969,39.995953,0.)); #476192=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #476193=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #476194=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #476195=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #476196=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #476197=CARTESIAN_POINT('Origin',(20.5969,40.720228,0.)); #476198=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #476199=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #476200=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #476201=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #476202=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #476203=CARTESIAN_POINT('Origin',(20.507628,40.8095,0.)); #476204=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #476205=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #476206=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #476207=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #476208=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #476209=CARTESIAN_POINT('Origin',(19.5548,40.8095,0.)); #476210=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #476211=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #476212=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #476213=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #476214=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #476215=CARTESIAN_POINT('Origin',(19.527331,40.814622,0.)); #476216=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #476217=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #476218=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #476219=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #476220=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #476221=CARTESIAN_POINT('Origin',(19.501784,40.830966,0.)); #476222=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #476223=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #476224=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #476225=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #476226=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #476227=CARTESIAN_POINT('Origin',(19.484634,40.855978,0.)); #476228=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #476229=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #476230=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #476231=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #476232=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #476233=CARTESIAN_POINT('Origin',(19.4786,40.8857,0.)); #476234=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #476235=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #476236=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #476237=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #476238=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #476239=CARTESIAN_POINT('Origin',(19.4786,41.1143,0.)); #476240=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #476241=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #476242=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #476243=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #476244=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #476245=CARTESIAN_POINT('Origin',(19.483722,41.141769,0.)); #476246=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #476247=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #476248=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #476249=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #476250=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #476251=CARTESIAN_POINT('Origin',(19.500066,41.167316,0.)); #476252=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #476253=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #476254=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #476255=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #476256=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #476257=CARTESIAN_POINT('Origin',(19.525078,41.184466,0.)); #476258=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #476259=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #476260=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #476261=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #476262=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #476263=CARTESIAN_POINT('Origin',(19.5548,41.1905,0.)); #476264=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #476265=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #476266=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #476267=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #476268=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #476269=CARTESIAN_POINT('Origin',(20.507628,41.1905,0.)); #476270=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #476271=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #476272=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #476273=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #476274=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #476275=CARTESIAN_POINT('Origin',(20.5969,41.279772,0.)); #476276=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #476277=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #476278=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #476279=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #476280=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #476281=CARTESIAN_POINT('Origin',(20.5969,41.992047,0.)); #476282=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #476283=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #476284=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #476285=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #476286=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #476287=CARTESIAN_POINT('Origin',(20.602469,42.020644,0.)); #476288=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #476289=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #476290=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #476291=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #476292=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #476293=CARTESIAN_POINT('Origin',(20.619219,42.045928,0.)); #476294=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #476295=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #476296=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #476297=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #476298=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #476299=CARTESIAN_POINT('Origin',(20.822372,42.249081,0.)); #476300=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #476301=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #476302=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #476303=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #476304=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #476305=CARTESIAN_POINT('Origin',(20.846531,42.265366,0.)); #476306=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #476307=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #476308=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #476309=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #476310=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #476311=CARTESIAN_POINT('Origin',(20.876253,42.2714,0.)); #476312=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #476313=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #476314=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #476315=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #476316=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #476317=CARTESIAN_POINT('Origin',(23.3269,42.2714,0.)); #476318=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #476319=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #476320=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #476321=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #476322=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #476323=CARTESIAN_POINT('Origin',(23.354369,42.266278,0.)); #476324=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #476325=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #476326=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #476327=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #476328=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #476329=CARTESIAN_POINT('Origin',(23.379916,42.249934,0.)); #476330=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #476331=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #476332=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #476333=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #476334=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #476335=CARTESIAN_POINT('Origin',(23.397066,42.224922,0.)); #476336=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #476337=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #476338=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #476339=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #476340=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #476341=CARTESIAN_POINT('Origin',(23.4031,42.1952,0.)); #476342=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #476343=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #476344=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #476345=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #476346=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #476347=CARTESIAN_POINT('Origin',(23.4031,41.279772,0.)); #476348=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #476349=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #476350=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #476351=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #476352=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #476353=CARTESIAN_POINT('Origin',(23.492372,41.1905,0.)); #476354=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #476355=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #476356=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #476357=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #476358=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #476359=CARTESIAN_POINT('Origin',(24.507628,41.1905,0.)); #476360=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #476361=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #476362=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #476363=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #476364=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #476365=CARTESIAN_POINT('Origin',(24.5969,41.279772,0.)); #476366=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #476367=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #476368=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #476369=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #476370=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #476371=CARTESIAN_POINT('Origin',(24.5969,41.961709,0.)); #476372=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #476373=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #476374=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #476375=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #476376=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #476377=CARTESIAN_POINT('Origin',(24.602469,41.990306,0.)); #476378=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #476379=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #476380=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #476381=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #476382=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #476383=CARTESIAN_POINT('Origin',(24.619219,42.015591,0.)); #476384=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #476385=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #476386=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #476387=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #476388=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #476389=CARTESIAN_POINT('Origin',(25.467288,42.863659,0.)); #476390=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #476391=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #476392=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #476393=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #476394=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #476395=CARTESIAN_POINT('Origin',(25.490334,42.879459,0.)); #476396=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #476397=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #476398=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #476399=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #476400=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #476401=CARTESIAN_POINT('Origin',(25.519953,42.885969,0.)); #476402=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #476403=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #476404=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #476405=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #476406=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #476407=CARTESIAN_POINT('Origin',(25.549766,42.880409,0.)); #476408=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #476409=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #476410=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #476411=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #476412=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #476413=CARTESIAN_POINT('Origin',(25.57505,42.863659,0.)); #476414=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #476415=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #476416=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #476417=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #476418=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #476419=CARTESIAN_POINT('Origin',(29.711728,38.726981,0.)); #476420=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #476421=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #476422=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #476423=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #476424=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #476425=CARTESIAN_POINT('Origin',(29.727028,38.705044,0.)); #476426=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #476427=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #476428=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #476429=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #476430=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #476431=CARTESIAN_POINT('Origin',(29.734009,38.675528,0.)); #476432=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #476433=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #476434=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #476435=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #476436=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #476437=CARTESIAN_POINT('Origin',(29.728925,38.645631,0.)); #476438=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #476439=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #476440=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #476441=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #476442=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #476443=CARTESIAN_POINT('Origin',(29.712581,38.620084,0.)); #476444=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #476445=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #476446=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #476447=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #476448=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #476449=CARTESIAN_POINT('Origin',(29.687569,38.602934,0.)); #476450=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #476451=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #476452=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #476453=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #476454=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #476455=CARTESIAN_POINT('Origin',(29.657847,38.5969,0.)); #476456=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #476457=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #476458=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #476459=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #476460=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #476461=CARTESIAN_POINT('Origin',(28.755772,38.5969,0.)); #476462=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #476463=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #476464=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #476465=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #476466=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #476467=CARTESIAN_POINT('Origin',(28.6665,38.507628,0.)); #476468=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #476469=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #476470=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #476471=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #476472=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #476473=CARTESIAN_POINT('Origin',(28.6665,37.492372,0.)); #476474=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #476475=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #476476=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #476477=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #476478=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #476479=CARTESIAN_POINT('Origin',(28.755772,37.4031,0.)); #476480=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #476481=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #476482=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #476483=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #476484=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #476485=CARTESIAN_POINT('Origin',(29.480047,37.4031,0.)); #476486=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #476487=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #476488=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #476489=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #476490=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #476491=CARTESIAN_POINT('Origin',(29.508644,37.397531,0.)); #476492=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #476493=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #476494=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #476495=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #476496=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #476497=CARTESIAN_POINT('Origin',(29.533928,37.380781,0.)); #476498=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #476499=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #476500=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #476501=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #476502=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #476503=CARTESIAN_POINT('Origin',(29.561581,37.353128,0.)); #476504=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #476505=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #476506=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #476507=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #476508=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #476509=CARTESIAN_POINT('Origin',(29.577866,37.328969,0.)); #476510=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #476511=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #476512=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #476513=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #476514=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #476515=CARTESIAN_POINT('Origin',(29.5839,37.299247,0.)); #476516=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #476517=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #476518=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #476519=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #476520=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #476521=CARTESIAN_POINT('Origin',(29.5839,37.204497,0.)); #476522=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #476523=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #476524=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #476525=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #476526=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #476527=CARTESIAN_POINT('Origin',(29.579206,37.178166,0.)); #476528=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #476529=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #476530=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #476531=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #476532=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #476533=CARTESIAN_POINT('Origin',(29.563272,37.152359,0.)); #476534=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #476535=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #476536=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #476537=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #476538=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #476539=CARTESIAN_POINT('Origin',(29.538534,37.134816,0.)); #476540=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #476541=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #476542=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #476543=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #476544=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #476545=CARTESIAN_POINT('Origin',(29.508916,37.128306,0.)); #476546=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #476547=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #476548=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #476549=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #476550=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #476551=CARTESIAN_POINT('Origin',(29.479103,37.133866,0.)); #476552=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #476553=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #476554=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #476555=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #476556=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #476557=CARTESIAN_POINT('Origin',(29.453819,37.150616,0.)); #476558=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #476559=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #476560=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #476561=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #476562=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #476563=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #476564=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #476565=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #476566=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #476567=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #476568=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #476569=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #476570=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #476571=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #476572=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #476573=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #476574=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #476575=CARTESIAN_POINT('Origin',(28.896484,37.000919,0.)); #476576=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #476577=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #476578=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #476579=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #476580=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #476581=CARTESIAN_POINT('Origin',(28.872325,36.984634,0.)); #476582=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #476583=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #476584=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.035)); #476585=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.)); #476586=CARTESIAN_POINT('Origin',(38.842603,18.4786,0.)); #476587=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #476588=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #476589=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #476590=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #476591=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #476592=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #476593=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #476594=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #476595=CARTESIAN_POINT('Origin',(38.485853,18.4786,0.)); #476596=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #476597=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #476598=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #476599=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #476600=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #476601=CARTESIAN_POINT('Origin',(38.459522,18.483294,0.)); #476602=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #476603=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #476604=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #476605=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #476606=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #476607=CARTESIAN_POINT('Origin',(38.433716,18.499228,0.)); #476608=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #476609=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #476610=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #476611=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #476612=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #476613=CARTESIAN_POINT('Origin',(38.416172,18.523966,0.)); #476614=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #476615=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #476616=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #476617=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #476618=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #476619=CARTESIAN_POINT('Origin',(38.409663,18.553584,0.)); #476620=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #476621=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #476622=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #476623=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #476624=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #476625=CARTESIAN_POINT('Origin',(38.415222,18.583397,0.)); #476626=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #476627=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #476628=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #476629=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #476630=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #476631=CARTESIAN_POINT('Origin',(38.431972,18.608681,0.)); #476632=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #476633=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #476634=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #476635=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #476636=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #476637=CARTESIAN_POINT('Origin',(38.572372,18.749081,0.)); #476638=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #476639=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #476640=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #476641=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #476642=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #476643=CARTESIAN_POINT('Origin',(38.596531,18.765366,0.)); #476644=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #476645=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #476646=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #476647=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #476648=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #476649=CARTESIAN_POINT('Origin',(38.626253,18.7714,0.)); #476650=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #476651=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #476652=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #476653=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #476654=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #476655=CARTESIAN_POINT('Origin',(38.842603,18.7714,0.)); #476656=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #476657=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #476658=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #476659=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #476660=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #476661=CARTESIAN_POINT('Origin',(38.8712,18.765831,0.)); #476662=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #476663=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #476664=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #476665=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #476666=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #476667=CARTESIAN_POINT('Origin',(38.896484,18.749081,0.)); #476668=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #476669=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #476670=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #476671=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #476672=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #476673=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #476674=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #476675=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #476676=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #476677=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #476678=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #476679=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #476680=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #476681=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #476682=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #476683=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #476684=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #476685=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #476686=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #476687=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #476688=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #476689=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #476690=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #476691=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #476692=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #476693=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #476694=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #476695=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #476696=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #476697=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #476698=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #476699=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #476700=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #476701=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #476702=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #476703=CARTESIAN_POINT('Origin',(38.896484,18.500919,0.)); #476704=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #476705=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #476706=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #476707=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #476708=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #476709=CARTESIAN_POINT('Origin',(38.872325,18.484634,0.)); #476710=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #476711=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #476712=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.035)); #476713=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.)); #476714=CARTESIAN_POINT('Origin',(31.2954,31.7493,0.)); #476715=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #476716=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #476717=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #476718=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #476719=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #476720=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #476721=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #476722=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #476723=CARTESIAN_POINT('Origin',(31.006553,31.7493,0.)); #476724=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #476725=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #476726=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #476727=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #476728=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #476729=CARTESIAN_POINT('Origin',(30.980222,31.753994,0.)); #476730=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #476731=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #476732=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #476733=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #476734=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #476735=CARTESIAN_POINT('Origin',(30.954416,31.769928,0.)); #476736=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #476737=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #476738=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #476739=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #476740=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #476741=CARTESIAN_POINT('Origin',(30.936872,31.794666,0.)); #476742=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #476743=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #476744=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #476745=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #476746=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #476747=CARTESIAN_POINT('Origin',(30.930363,31.824284,0.)); #476748=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #476749=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #476750=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #476751=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #476752=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #476753=CARTESIAN_POINT('Origin',(30.935922,31.854097,0.)); #476754=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #476755=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #476756=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #476757=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #476758=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #476759=CARTESIAN_POINT('Origin',(30.952672,31.879381,0.)); #476760=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #476761=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #476762=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #476763=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #476764=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #476765=CARTESIAN_POINT('Origin',(31.241519,32.168228,0.)); #476766=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #476767=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #476768=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #476769=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #476770=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #476771=CARTESIAN_POINT('Origin',(31.263456,32.183528,0.)); #476772=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #476773=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #476774=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #476775=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #476776=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #476777=CARTESIAN_POINT('Origin',(31.292972,32.190509,0.)); #476778=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #476779=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #476780=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #476781=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #476782=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #476783=CARTESIAN_POINT('Origin',(31.322869,32.185425,0.)); #476784=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #476785=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #476786=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #476787=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #476788=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #476789=CARTESIAN_POINT('Origin',(31.348416,32.169081,0.)); #476790=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #476791=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #476792=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #476793=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #476794=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #476795=CARTESIAN_POINT('Origin',(31.365566,32.144069,0.)); #476796=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #476797=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #476798=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #476799=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #476800=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #476801=CARTESIAN_POINT('Origin',(31.3716,32.114347,0.)); #476802=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #476803=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #476804=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #476805=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #476806=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #476807=CARTESIAN_POINT('Origin',(31.3716,31.8255,0.)); #476808=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #476809=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #476810=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #476811=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #476812=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #476813=CARTESIAN_POINT('Origin',(31.366478,31.798031,0.)); #476814=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #476815=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #476816=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #476817=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #476818=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #476819=CARTESIAN_POINT('Origin',(31.350134,31.772484,0.)); #476820=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #476821=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #476822=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #476823=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #476824=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #476825=CARTESIAN_POINT('Origin',(31.325122,31.755334,0.)); #476826=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #476827=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #476828=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.035)); #476829=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.)); #476830=CARTESIAN_POINT('Origin',(30.131509,19.9786,0.)); #476831=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #476832=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #476833=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #476834=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #476835=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #476836=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #476837=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #476838=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #476839=CARTESIAN_POINT('Origin',(29.876253,19.9786,0.)); #476840=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #476841=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #476842=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #476843=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #476844=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #476845=CARTESIAN_POINT('Origin',(29.847656,19.984169,0.)); #476846=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #476847=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #476848=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #476849=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #476850=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #476851=CARTESIAN_POINT('Origin',(29.822372,20.000919,0.)); #476852=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #476853=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #476854=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #476855=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #476856=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #476857=CARTESIAN_POINT('Origin',(29.375919,20.447372,0.)); #476858=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #476859=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #476860=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #476861=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #476862=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #476863=CARTESIAN_POINT('Origin',(29.359634,20.471531,0.)); #476864=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #476865=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #476866=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #476867=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #476868=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #476869=CARTESIAN_POINT('Origin',(29.3536,20.501253,0.)); #476870=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #476871=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #476872=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #476873=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #476874=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #476875=CARTESIAN_POINT('Origin',(29.3536,23.267797,0.)); #476876=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #476877=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #476878=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #476879=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #476880=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #476881=CARTESIAN_POINT('Origin',(29.358294,23.294128,0.)); #476882=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #476883=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #476884=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #476885=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #476886=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #476887=CARTESIAN_POINT('Origin',(29.374228,23.319934,0.)); #476888=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #476889=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #476890=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #476891=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #476892=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #476893=CARTESIAN_POINT('Origin',(29.398966,23.337478,0.)); #476894=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #476895=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #476896=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #476897=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #476898=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #476899=CARTESIAN_POINT('Origin',(29.428584,23.343988,0.)); #476900=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #476901=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #476902=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #476903=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #476904=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #476905=CARTESIAN_POINT('Origin',(29.458397,23.338428,0.)); #476906=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #476907=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #476908=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #476909=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #476910=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #476911=CARTESIAN_POINT('Origin',(29.483681,23.321678,0.)); #476912=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #476913=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #476914=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #476915=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #476916=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #476917=CARTESIAN_POINT('Origin',(30.999081,21.806278,0.)); #476918=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #476919=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #476920=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #476921=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #476922=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #476923=CARTESIAN_POINT('Origin',(31.015366,21.782119,0.)); #476924=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #476925=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #476926=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #476927=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #476928=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #476929=CARTESIAN_POINT('Origin',(31.0214,21.752397,0.)); #476930=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #476931=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #476932=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #476933=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #476934=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #476935=CARTESIAN_POINT('Origin',(31.0214,20.868491,0.)); #476936=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #476937=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #476938=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #476939=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #476940=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #476941=CARTESIAN_POINT('Origin',(31.015831,20.839894,0.)); #476942=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #476943=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #476944=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #476945=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #476946=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #476947=CARTESIAN_POINT('Origin',(30.999081,20.814609,0.)); #476948=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #476949=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #476950=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #476951=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #476952=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #476953=CARTESIAN_POINT('Origin',(30.9601,20.775628,0.)); #476954=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #476955=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #476956=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #476957=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #476958=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #476959=CARTESIAN_POINT('Origin',(30.9601,20.1161,0.)); #476960=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #476961=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #476962=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #476963=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #476964=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #476965=CARTESIAN_POINT('Origin',(30.954978,20.088631,0.)); #476966=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #476967=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #476968=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #476969=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #476970=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #476971=CARTESIAN_POINT('Origin',(30.938634,20.063084,0.)); #476972=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #476973=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #476974=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #476975=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #476976=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #476977=CARTESIAN_POINT('Origin',(30.913622,20.045934,0.)); #476978=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #476979=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #476980=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #476981=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #476982=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #476983=CARTESIAN_POINT('Origin',(30.8839,20.0399,0.)); #476984=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #476985=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #476986=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #476987=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #476988=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #476989=CARTESIAN_POINT('Origin',(30.224372,20.0399,0.)); #476990=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #476991=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #476992=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #476993=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #476994=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #476995=CARTESIAN_POINT('Origin',(30.185391,20.000919,0.)); #476996=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #476997=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #476998=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #476999=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #477000=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #477001=CARTESIAN_POINT('Origin',(30.161231,19.984634,0.)); #477002=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #477003=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #477004=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.035)); #477005=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.)); #477006=CARTESIAN_POINT('Origin',(38.001216,37.592863,0.)); #477007=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #477008=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #477009=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #477010=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #477011=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #477012=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #477013=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #477014=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #477015=CARTESIAN_POINT('Origin',(37.971403,37.598422,0.)); #477016=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #477017=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #477018=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #477019=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #477020=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #477021=CARTESIAN_POINT('Origin',(37.946119,37.615172,0.)); #477022=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #477023=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #477024=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #477025=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #477026=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #477027=CARTESIAN_POINT('Origin',(37.831819,37.729472,0.)); #477028=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #477029=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #477030=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #477031=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #477032=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #477033=CARTESIAN_POINT('Origin',(37.815534,37.753631,0.)); #477034=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #477035=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #477036=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #477037=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #477038=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #477039=CARTESIAN_POINT('Origin',(37.8095,37.783353,0.)); #477040=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #477041=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #477042=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #477043=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #477044=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #477045=CARTESIAN_POINT('Origin',(37.8095,38.216647,0.)); #477046=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #477047=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #477048=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #477049=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #477050=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #477051=CARTESIAN_POINT('Origin',(37.815069,38.245244,0.)); #477052=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #477053=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #477054=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #477055=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #477056=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #477057=CARTESIAN_POINT('Origin',(37.831819,38.270528,0.)); #477058=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #477059=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #477060=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #477061=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #477062=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #477063=CARTESIAN_POINT('Origin',(38.060419,38.499128,0.)); #477064=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #477065=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #477066=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #477067=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #477068=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #477069=CARTESIAN_POINT('Origin',(38.082356,38.514428,0.)); #477070=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #477071=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #477072=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #477073=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #477074=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #477075=CARTESIAN_POINT('Origin',(38.111872,38.521409,0.)); #477076=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #477077=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #477078=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #477079=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #477080=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #477081=CARTESIAN_POINT('Origin',(38.141769,38.516325,0.)); #477082=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #477083=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #477084=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #477085=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #477086=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #477087=CARTESIAN_POINT('Origin',(38.167316,38.499981,0.)); #477088=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #477089=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #477090=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #477091=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #477092=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #477093=CARTESIAN_POINT('Origin',(38.184466,38.474969,0.)); #477094=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #477095=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #477096=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #477097=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #477098=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #477099=CARTESIAN_POINT('Origin',(38.1905,38.445247,0.)); #477100=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #477101=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #477102=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #477103=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #477104=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #477105=CARTESIAN_POINT('Origin',(38.1905,37.783353,0.)); #477106=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #477107=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #477108=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #477109=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #477110=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #477111=CARTESIAN_POINT('Origin',(38.184931,37.754756,0.)); #477112=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #477113=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #477114=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #477115=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #477116=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #477117=CARTESIAN_POINT('Origin',(38.168181,37.729472,0.)); #477118=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #477119=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #477120=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #477121=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #477122=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #477123=CARTESIAN_POINT('Origin',(38.053881,37.615172,0.)); #477124=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #477125=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #477126=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #477127=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #477128=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #477129=CARTESIAN_POINT('Origin',(38.030834,37.599372,0.)); #477130=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #477131=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #477132=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.035)); #477133=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.)); #477134=CARTESIAN_POINT('Origin',(30.060116,18.20495,0.)); #477135=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #477136=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #477137=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #477138=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #477139=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #477140=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #477141=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #477142=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #477143=CARTESIAN_POINT('Origin',(30.030303,18.210509,0.)); #477144=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #477145=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #477146=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #477147=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #477148=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #477149=CARTESIAN_POINT('Origin',(30.005019,18.227259,0.)); #477150=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #477151=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #477152=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #477153=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #477154=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #477155=CARTESIAN_POINT('Origin',(29.840959,18.391319,0.)); #477156=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #477157=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #477158=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #477159=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #477160=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #477161=CARTESIAN_POINT('Origin',(29.825659,18.413256,0.)); #477162=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #477163=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #477164=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #477165=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #477166=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #477167=CARTESIAN_POINT('Origin',(29.818678,18.442772,0.)); #477168=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #477169=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #477170=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #477171=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #477172=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #477173=CARTESIAN_POINT('Origin',(29.823763,18.472669,0.)); #477174=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #477175=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #477176=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #477177=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #477178=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #477179=CARTESIAN_POINT('Origin',(29.840106,18.498216,0.)); #477180=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #477181=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #477182=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #477183=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #477184=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #477185=CARTESIAN_POINT('Origin',(29.865119,18.515366,0.)); #477186=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #477187=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #477188=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #477189=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #477190=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #477191=CARTESIAN_POINT('Origin',(29.894841,18.5214,0.)); #477192=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #477193=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #477194=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #477195=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #477196=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #477197=CARTESIAN_POINT('Origin',(30.0589,18.5214,0.)); #477198=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #477199=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #477200=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #477201=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #477202=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #477203=CARTESIAN_POINT('Origin',(30.086369,18.516278,0.)); #477204=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #477205=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #477206=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #477207=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #477208=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #477209=CARTESIAN_POINT('Origin',(30.111916,18.499934,0.)); #477210=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #477211=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #477212=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #477213=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #477214=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #477215=CARTESIAN_POINT('Origin',(30.129066,18.474922,0.)); #477216=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #477217=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #477218=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #477219=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #477220=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #477221=CARTESIAN_POINT('Origin',(30.1351,18.4452,0.)); #477222=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #477223=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #477224=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #477225=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #477226=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #477227=CARTESIAN_POINT('Origin',(30.1351,18.281141,0.)); #477228=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #477229=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #477230=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #477231=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #477232=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #477233=CARTESIAN_POINT('Origin',(30.130406,18.254809,0.)); #477234=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #477235=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #477236=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #477237=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #477238=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #477239=CARTESIAN_POINT('Origin',(30.114472,18.229003,0.)); #477240=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #477241=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #477242=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #477243=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #477244=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #477245=CARTESIAN_POINT('Origin',(30.089734,18.211459,0.)); #477246=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #477247=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #477248=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.035)); #477249=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.)); #477250=CARTESIAN_POINT('Origin',(36.732178,31.7493,0.)); #477251=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #477252=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #477253=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #477254=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #477255=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #477256=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #477257=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #477258=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #477259=CARTESIAN_POINT('Origin',(36.7046,31.7493,0.)); #477260=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #477261=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #477262=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #477263=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #477264=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #477265=CARTESIAN_POINT('Origin',(36.677131,31.754422,0.)); #477266=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #477267=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #477268=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #477269=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #477270=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #477271=CARTESIAN_POINT('Origin',(36.651584,31.770766,0.)); #477272=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #477273=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #477274=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #477275=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #477276=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #477277=CARTESIAN_POINT('Origin',(36.634434,31.795778,0.)); #477278=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #477279=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #477280=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #477281=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #477282=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #477283=CARTESIAN_POINT('Origin',(36.6284,31.8255,0.)); #477284=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #477285=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #477286=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #477287=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #477288=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #477289=CARTESIAN_POINT('Origin',(36.6284,31.853078,0.)); #477290=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #477291=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #477292=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #477293=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #477294=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #477295=CARTESIAN_POINT('Origin',(36.633094,31.879409,0.)); #477296=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #477297=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #477298=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #477299=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #477300=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #477301=CARTESIAN_POINT('Origin',(36.649028,31.905216,0.)); #477302=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #477303=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #477304=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #477305=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #477306=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #477307=CARTESIAN_POINT('Origin',(36.673766,31.922759,0.)); #477308=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #477309=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #477310=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #477311=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #477312=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #477313=CARTESIAN_POINT('Origin',(36.703384,31.929269,0.)); #477314=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #477315=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #477316=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #477317=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #477318=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #477319=CARTESIAN_POINT('Origin',(36.733197,31.923709,0.)); #477320=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #477321=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #477322=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #477323=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #477324=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #477325=CARTESIAN_POINT('Origin',(36.758481,31.906959,0.)); #477326=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #477327=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #477328=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #477329=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #477330=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #477331=CARTESIAN_POINT('Origin',(36.786059,31.879381,0.)); #477332=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #477333=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #477334=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #477335=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #477336=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #477337=CARTESIAN_POINT('Origin',(36.801359,31.857444,0.)); #477338=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #477339=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #477340=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #477341=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #477342=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #477343=CARTESIAN_POINT('Origin',(36.808341,31.827928,0.)); #477344=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #477345=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #477346=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #477347=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #477348=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #477349=CARTESIAN_POINT('Origin',(36.803256,31.798031,0.)); #477350=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #477351=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #477352=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #477353=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #477354=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #477355=CARTESIAN_POINT('Origin',(36.786912,31.772484,0.)); #477356=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #477357=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #477358=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #477359=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #477360=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #477361=CARTESIAN_POINT('Origin',(36.7619,31.755334,0.)); #477362=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #477363=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #477364=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.035)); #477365=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.)); #477366=CARTESIAN_POINT('Origin',(38.842603,17.7286,0.)); #477367=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #477368=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #477369=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #477370=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #477371=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #477372=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #477373=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #477374=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #477375=CARTESIAN_POINT('Origin',(38.626253,17.7286,0.)); #477376=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #477377=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #477378=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #477379=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #477380=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #477381=CARTESIAN_POINT('Origin',(38.597656,17.734169,0.)); #477382=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #477383=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #477384=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #477385=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #477386=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #477387=CARTESIAN_POINT('Origin',(38.572372,17.750919,0.)); #477388=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #477389=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #477390=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #477391=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #477392=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #477393=CARTESIAN_POINT('Origin',(38.431972,17.891319,0.)); #477394=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #477395=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #477396=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #477397=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #477398=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #477399=CARTESIAN_POINT('Origin',(38.416672,17.913256,0.)); #477400=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #477401=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #477402=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #477403=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #477404=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #477405=CARTESIAN_POINT('Origin',(38.409691,17.942772,0.)); #477406=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #477407=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #477408=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #477409=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #477410=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #477411=CARTESIAN_POINT('Origin',(38.414775,17.972669,0.)); #477412=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #477413=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #477414=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #477415=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #477416=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #477417=CARTESIAN_POINT('Origin',(38.431119,17.998216,0.)); #477418=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #477419=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #477420=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #477421=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #477422=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #477423=CARTESIAN_POINT('Origin',(38.456131,18.015366,0.)); #477424=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #477425=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #477426=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #477427=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #477428=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #477429=CARTESIAN_POINT('Origin',(38.485853,18.0214,0.)); #477430=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #477431=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #477432=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #477433=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #477434=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #477435=CARTESIAN_POINT('Origin',(38.842603,18.0214,0.)); #477436=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #477437=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #477438=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #477439=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #477440=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #477441=CARTESIAN_POINT('Origin',(38.8712,18.015831,0.)); #477442=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #477443=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #477444=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #477445=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #477446=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #477447=CARTESIAN_POINT('Origin',(38.896484,17.999081,0.)); #477448=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #477449=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #477450=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #477451=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #477452=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #477453=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #477454=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #477455=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #477456=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #477457=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #477458=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #477459=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #477460=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #477461=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #477462=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #477463=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #477464=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #477465=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #477466=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #477467=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #477468=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #477469=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #477470=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #477471=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #477472=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #477473=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #477474=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #477475=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #477476=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #477477=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #477478=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #477479=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #477480=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #477481=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #477482=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #477483=CARTESIAN_POINT('Origin',(38.896484,17.750919,0.)); #477484=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #477485=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #477486=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #477487=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #477488=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #477489=CARTESIAN_POINT('Origin',(38.872325,17.734634,0.)); #477490=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #477491=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #477492=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.035)); #477493=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.)); #477494=CARTESIAN_POINT('Origin',(33.696416,58.909663,0.)); #477495=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #477496=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #477497=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #477498=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #477499=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #477500=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #477501=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #477502=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #477503=CARTESIAN_POINT('Origin',(33.666603,58.915222,0.)); #477504=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #477505=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #477506=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #477507=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #477508=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #477509=CARTESIAN_POINT('Origin',(33.641319,58.931972,0.)); #477510=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #477511=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #477512=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #477513=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #477514=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #477515=CARTESIAN_POINT('Origin',(33.050272,59.523019,0.)); #477516=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #477517=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #477518=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #477519=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #477520=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #477521=CARTESIAN_POINT('Origin',(33.034972,59.544956,0.)); #477522=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #477523=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #477524=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #477525=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #477526=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #477527=CARTESIAN_POINT('Origin',(33.027991,59.574472,0.)); #477528=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #477529=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #477530=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #477531=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #477532=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #477533=CARTESIAN_POINT('Origin',(33.033075,59.604369,0.)); #477534=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #477535=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #477536=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #477537=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #477538=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #477539=CARTESIAN_POINT('Origin',(33.049419,59.629916,0.)); #477540=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #477541=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #477542=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #477543=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #477544=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #477545=CARTESIAN_POINT('Origin',(33.074431,59.647066,0.)); #477546=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #477547=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #477548=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #477549=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #477550=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #477551=CARTESIAN_POINT('Origin',(33.104153,59.6531,0.)); #477552=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #477553=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #477554=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #477555=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #477556=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #477557=CARTESIAN_POINT('Origin',(33.480047,59.6531,0.)); #477558=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #477559=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #477560=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #477561=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #477562=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #477563=CARTESIAN_POINT('Origin',(33.508644,59.647531,0.)); #477564=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #477565=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #477566=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #477567=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #477568=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #477569=CARTESIAN_POINT('Origin',(33.533928,59.630781,0.)); #477570=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #477571=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #477572=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #477573=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #477574=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #477575=CARTESIAN_POINT('Origin',(33.749081,59.415628,0.)); #477576=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #477577=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #477578=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #477579=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #477580=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #477581=CARTESIAN_POINT('Origin',(33.765366,59.391469,0.)); #477582=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #477583=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #477584=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #477585=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #477586=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #477587=CARTESIAN_POINT('Origin',(33.7714,59.361747,0.)); #477588=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #477589=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #477590=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #477591=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #477592=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #477593=CARTESIAN_POINT('Origin',(33.7714,58.985853,0.)); #477594=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #477595=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #477596=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #477597=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #477598=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #477599=CARTESIAN_POINT('Origin',(33.766706,58.959522,0.)); #477600=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #477601=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #477602=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #477603=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #477604=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #477605=CARTESIAN_POINT('Origin',(33.750772,58.933716,0.)); #477606=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #477607=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #477608=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #477609=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #477610=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #477611=CARTESIAN_POINT('Origin',(33.726034,58.916172,0.)); #477612=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #477613=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #477614=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.035)); #477615=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.)); #477616=CARTESIAN_POINT('Origin',(28.446416,25.19,0.)); #477617=CARTESIAN_POINT('',(28.446416,25.19,0.)); #477618=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #477619=CARTESIAN_POINT('',(28.446416,25.19,0.)); #477620=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #477621=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #477622=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #477623=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #477624=CARTESIAN_POINT('',(28.446416,25.19,0.)); #477625=CARTESIAN_POINT('Origin',(28.416603,25.195559,0.)); #477626=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #477627=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #477628=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #477629=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #477630=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #477631=CARTESIAN_POINT('Origin',(28.391319,25.212309,0.)); #477632=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #477633=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #477634=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #477635=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #477636=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #477637=CARTESIAN_POINT('Origin',(27.375028,26.2286,0.)); #477638=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #477639=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #477640=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #477641=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #477642=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #477643=CARTESIAN_POINT('Origin',(25.391997,26.2286,0.)); #477644=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #477645=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #477646=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #477647=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #477648=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #477649=CARTESIAN_POINT('Origin',(25.365666,26.233294,0.)); #477650=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #477651=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #477652=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #477653=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #477654=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #477655=CARTESIAN_POINT('Origin',(25.339859,26.249228,0.)); #477656=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #477657=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #477658=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #477659=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #477660=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #477661=CARTESIAN_POINT('Origin',(25.322316,26.273966,0.)); #477662=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #477663=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #477664=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #477665=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #477666=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #477667=CARTESIAN_POINT('Origin',(25.315806,26.303584,0.)); #477668=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #477669=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #477670=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #477671=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #477672=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #477673=CARTESIAN_POINT('Origin',(25.321366,26.333397,0.)); #477674=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #477675=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #477676=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #477677=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #477678=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #477679=CARTESIAN_POINT('Origin',(25.338116,26.358681,0.)); #477680=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #477681=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #477682=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #477683=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #477684=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #477685=CARTESIAN_POINT('Origin',(25.4274,26.447966,0.)); #477686=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #477687=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #477688=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #477689=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #477690=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #477691=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #477692=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #477693=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #477694=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #477695=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #477696=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #477697=CARTESIAN_POINT('Origin',(25.250919,26.978516,0.)); #477698=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #477699=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #477700=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #477701=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #477702=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #477703=CARTESIAN_POINT('Origin',(25.234634,27.002675,0.)); #477704=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #477705=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #477706=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #477707=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #477708=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #477709=CARTESIAN_POINT('Origin',(25.2286,27.032397,0.)); #477710=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #477711=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #477712=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #477713=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #477714=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #477715=CARTESIAN_POINT('Origin',(25.2286,27.5903,0.)); #477716=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #477717=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #477718=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #477719=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #477720=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #477721=CARTESIAN_POINT('Origin',(25.233722,27.617769,0.)); #477722=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #477723=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #477724=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #477725=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #477726=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #477727=CARTESIAN_POINT('Origin',(25.250066,27.643316,0.)); #477728=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #477729=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #477730=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #477731=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #477732=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #477733=CARTESIAN_POINT('Origin',(25.275078,27.660466,0.)); #477734=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #477735=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #477736=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #477737=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #477738=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #477739=CARTESIAN_POINT('Origin',(25.3048,27.6665,0.)); #477740=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #477741=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #477742=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #477743=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #477744=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #477745=CARTESIAN_POINT('Origin',(25.507628,27.6665,0.)); #477746=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #477747=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #477748=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #477749=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #477750=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #477751=CARTESIAN_POINT('Origin',(25.5969,27.755772,0.)); #477752=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #477753=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #477754=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #477755=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #477756=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #477757=CARTESIAN_POINT('Origin',(25.5969,28.645847,0.)); #477758=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #477759=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #477760=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #477761=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #477762=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #477763=CARTESIAN_POINT('Origin',(25.601594,28.672178,0.)); #477764=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #477765=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #477766=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #477767=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #477768=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #477769=CARTESIAN_POINT('Origin',(25.617528,28.697984,0.)); #477770=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #477771=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #477772=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #477773=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #477774=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #477775=CARTESIAN_POINT('Origin',(25.642266,28.715528,0.)); #477776=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #477777=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #477778=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #477779=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #477780=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #477781=CARTESIAN_POINT('Origin',(25.671884,28.722038,0.)); #477782=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #477783=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #477784=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #477785=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #477786=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #477787=CARTESIAN_POINT('Origin',(25.701697,28.716478,0.)); #477788=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #477789=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #477790=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #477791=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #477792=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #477793=CARTESIAN_POINT('Origin',(25.726981,28.699728,0.)); #477794=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #477795=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #477796=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #477797=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #477798=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #477799=CARTESIAN_POINT('Origin',(28.499081,25.927628,0.)); #477800=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #477801=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #477802=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #477803=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #477804=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #477805=CARTESIAN_POINT('Origin',(28.515366,25.903469,0.)); #477806=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #477807=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #477808=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #477809=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #477810=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #477811=CARTESIAN_POINT('Origin',(28.5214,25.873747,0.)); #477812=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #477813=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #477814=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #477815=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #477816=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #477817=CARTESIAN_POINT('Origin',(28.5214,25.266191,0.)); #477818=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #477819=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #477820=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #477821=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #477822=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #477823=CARTESIAN_POINT('Origin',(28.516706,25.239859,0.)); #477824=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #477825=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #477826=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #477827=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #477828=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #477829=CARTESIAN_POINT('Origin',(28.500772,25.214053,0.)); #477830=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #477831=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #477832=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #477833=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #477834=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #477835=CARTESIAN_POINT('Origin',(28.476034,25.196509,0.)); #477836=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #477837=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #477838=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.035)); #477839=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.)); #477840=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #477841=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #477842=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #477843=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #477844=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #477845=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #477846=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #477847=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #477848=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #477849=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #477850=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #477851=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #477852=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #477853=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #477854=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #477855=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #477856=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #477857=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #477858=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #477859=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #477860=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #477861=CARTESIAN_POINT('Origin',(26.250919,36.353516,0.)); #477862=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #477863=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #477864=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #477865=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #477866=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #477867=CARTESIAN_POINT('Origin',(26.234634,36.377675,0.)); #477868=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #477869=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #477870=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #477871=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #477872=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #477873=CARTESIAN_POINT('Origin',(26.2286,36.407397,0.)); #477874=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #477875=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #477876=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #477877=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #477878=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #477879=CARTESIAN_POINT('Origin',(26.2286,36.423134,0.)); #477880=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #477881=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #477882=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #477883=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #477884=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #477885=CARTESIAN_POINT('Origin',(26.233294,36.449466,0.)); #477886=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #477887=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #477888=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #477889=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #477890=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #477891=CARTESIAN_POINT('Origin',(26.249228,36.475272,0.)); #477892=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #477893=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #477894=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #477895=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #477896=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #477897=CARTESIAN_POINT('Origin',(26.273966,36.492816,0.)); #477898=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #477899=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #477900=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #477901=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #477902=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #477903=CARTESIAN_POINT('Origin',(26.303584,36.499325,0.)); #477904=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #477905=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #477906=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #477907=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #477908=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #477909=CARTESIAN_POINT('Origin',(26.333397,36.493766,0.)); #477910=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #477911=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #477912=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #477913=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #477914=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #477915=CARTESIAN_POINT('Origin',(26.358681,36.477016,0.)); #477916=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #477917=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #477918=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #477919=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #477920=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #477921=CARTESIAN_POINT('Origin',(26.43675,36.398947,0.)); #477922=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #477923=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #477924=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #477925=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #477926=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #477927=CARTESIAN_POINT('Origin',(26.45255,36.3759,0.)); #477928=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #477929=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #477930=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #477931=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #477932=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #477933=CARTESIAN_POINT('Origin',(26.459059,36.346281,0.)); #477934=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #477935=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #477936=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #477937=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #477938=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #477939=CARTESIAN_POINT('Origin',(26.4535,36.316469,0.)); #477940=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #477941=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #477942=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #477943=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #477944=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #477945=CARTESIAN_POINT('Origin',(26.43675,36.291184,0.)); #477946=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #477947=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #477948=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #477949=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #477950=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #477951=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #477952=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #477953=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #477954=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #477955=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #477956=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #477957=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #477958=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #477959=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #477960=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.035)); #477961=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.)); #477962=CARTESIAN_POINT('Origin',(24.608003,26.2286,0.)); #477963=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #477964=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #477965=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #477966=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #477967=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #477968=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #477969=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #477970=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #477971=CARTESIAN_POINT('Origin',(24.4097,26.2286,0.)); #477972=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #477973=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #477974=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #477975=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #477976=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #477977=CARTESIAN_POINT('Origin',(24.382231,26.233722,0.)); #477978=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #477979=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #477980=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #477981=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #477982=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #477983=CARTESIAN_POINT('Origin',(24.356684,26.250066,0.)); #477984=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #477985=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #477986=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #477987=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #477988=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #477989=CARTESIAN_POINT('Origin',(24.339534,26.275078,0.)); #477990=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #477991=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #477992=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #477993=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #477994=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #477995=CARTESIAN_POINT('Origin',(24.3335,26.3048,0.)); #477996=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #477997=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #477998=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #477999=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #478000=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #478001=CARTESIAN_POINT('Origin',(24.3335,26.507628,0.)); #478002=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #478003=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #478004=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #478005=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #478006=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #478007=CARTESIAN_POINT('Origin',(24.244228,26.5969,0.)); #478008=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #478009=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #478010=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #478011=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #478012=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #478013=CARTESIAN_POINT('Origin',(23.3048,26.5969,0.)); #478014=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #478015=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #478016=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #478017=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #478018=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #478019=CARTESIAN_POINT('Origin',(23.277331,26.602022,0.)); #478020=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #478021=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #478022=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #478023=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #478024=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #478025=CARTESIAN_POINT('Origin',(23.251784,26.618366,0.)); #478026=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #478027=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #478028=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #478029=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #478030=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #478031=CARTESIAN_POINT('Origin',(23.234634,26.643378,0.)); #478032=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #478033=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #478034=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #478035=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #478036=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #478037=CARTESIAN_POINT('Origin',(23.2286,26.6731,0.)); #478038=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #478039=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #478040=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #478041=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #478042=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #478043=CARTESIAN_POINT('Origin',(23.2286,27.594691,0.)); #478044=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #478045=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #478046=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #478047=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #478048=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #478049=CARTESIAN_POINT('Origin',(22.356691,28.4666,0.)); #478050=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #478051=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #478052=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #478053=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #478054=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #478055=CARTESIAN_POINT('Origin',(21.6731,28.4666,0.)); #478056=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #478057=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #478058=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #478059=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #478060=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #478061=CARTESIAN_POINT('Origin',(21.645631,28.471722,0.)); #478062=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #478063=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #478064=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #478065=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #478066=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #478067=CARTESIAN_POINT('Origin',(21.620084,28.488066,0.)); #478068=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #478069=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #478070=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #478071=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #478072=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #478073=CARTESIAN_POINT('Origin',(21.602934,28.513078,0.)); #478074=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #478075=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #478076=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #478077=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #478078=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #478079=CARTESIAN_POINT('Origin',(21.5969,28.5428,0.)); #478080=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #478081=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #478082=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #478083=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #478084=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #478085=CARTESIAN_POINT('Origin',(21.5969,28.6952,0.)); #478086=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #478087=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #478088=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #478089=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #478090=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #478091=CARTESIAN_POINT('Origin',(21.602022,28.722669,0.)); #478092=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #478093=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #478094=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #478095=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #478096=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #478097=CARTESIAN_POINT('Origin',(21.618366,28.748216,0.)); #478098=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #478099=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #478100=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #478101=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #478102=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #478103=CARTESIAN_POINT('Origin',(21.643378,28.765366,0.)); #478104=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #478105=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #478106=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #478107=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #478108=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #478109=CARTESIAN_POINT('Origin',(21.6731,28.7714,0.)); #478110=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #478111=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #478112=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #478113=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #478114=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #478115=CARTESIAN_POINT('Origin',(24.3269,28.7714,0.)); #478116=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #478117=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #478118=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #478119=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #478120=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #478121=CARTESIAN_POINT('Origin',(24.354369,28.766278,0.)); #478122=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #478123=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #478124=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #478125=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #478126=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #478127=CARTESIAN_POINT('Origin',(24.379916,28.749934,0.)); #478128=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #478129=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #478130=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #478131=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #478132=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #478133=CARTESIAN_POINT('Origin',(24.397066,28.724922,0.)); #478134=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #478135=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #478136=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #478137=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #478138=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #478139=CARTESIAN_POINT('Origin',(24.4031,28.6952,0.)); #478140=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #478141=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #478142=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #478143=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #478144=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #478145=CARTESIAN_POINT('Origin',(24.4031,27.755772,0.)); #478146=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #478147=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #478148=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #478149=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #478150=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #478151=CARTESIAN_POINT('Origin',(24.492372,27.6665,0.)); #478152=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #478153=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #478154=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #478155=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #478156=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #478157=CARTESIAN_POINT('Origin',(24.6952,27.6665,0.)); #478158=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #478159=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #478160=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #478161=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #478162=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #478163=CARTESIAN_POINT('Origin',(24.722669,27.661378,0.)); #478164=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #478165=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #478166=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #478167=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #478168=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #478169=CARTESIAN_POINT('Origin',(24.748216,27.645034,0.)); #478170=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #478171=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #478172=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #478173=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #478174=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #478175=CARTESIAN_POINT('Origin',(24.765366,27.620022,0.)); #478176=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #478177=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #478178=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #478179=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #478180=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #478181=CARTESIAN_POINT('Origin',(24.7714,27.5903,0.)); #478182=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #478183=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #478184=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #478185=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #478186=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #478187=CARTESIAN_POINT('Origin',(24.7714,27.032397,0.)); #478188=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #478189=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #478190=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #478191=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #478192=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #478193=CARTESIAN_POINT('Origin',(24.765831,27.0038,0.)); #478194=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #478195=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #478196=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #478197=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #478198=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #478199=CARTESIAN_POINT('Origin',(24.749081,26.978516,0.)); #478200=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #478201=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #478202=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #478203=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #478204=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #478205=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #478206=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #478207=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #478208=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #478209=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #478210=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #478211=CARTESIAN_POINT('Origin',(24.5726,26.447966,0.)); #478212=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #478213=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #478214=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #478215=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #478216=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #478217=CARTESIAN_POINT('Origin',(24.661884,26.358681,0.)); #478218=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #478219=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #478220=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #478221=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #478222=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #478223=CARTESIAN_POINT('Origin',(24.677184,26.336744,0.)); #478224=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #478225=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #478226=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #478227=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #478228=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #478229=CARTESIAN_POINT('Origin',(24.684166,26.307228,0.)); #478230=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #478231=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #478232=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #478233=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #478234=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #478235=CARTESIAN_POINT('Origin',(24.679081,26.277331,0.)); #478236=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #478237=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #478238=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #478239=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #478240=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #478241=CARTESIAN_POINT('Origin',(24.662738,26.251784,0.)); #478242=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #478243=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #478244=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #478245=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #478246=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #478247=CARTESIAN_POINT('Origin',(24.637725,26.234634,0.)); #478248=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #478249=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #478250=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.035)); #478251=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.)); #478252=CARTESIAN_POINT('Origin',(48.248747,39.3536,0.)); #478253=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #478254=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #478255=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #478256=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #478257=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #478258=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #478259=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #478260=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #478261=CARTESIAN_POINT('Origin',(47.622853,39.3536,0.)); #478262=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #478263=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #478264=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #478265=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #478266=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #478267=CARTESIAN_POINT('Origin',(47.596522,39.358294,0.)); #478268=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #478269=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #478270=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #478271=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #478272=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #478273=CARTESIAN_POINT('Origin',(47.570716,39.374228,0.)); #478274=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #478275=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #478276=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #478277=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #478278=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #478279=CARTESIAN_POINT('Origin',(47.553172,39.398966,0.)); #478280=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #478281=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #478282=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #478283=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #478284=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #478285=CARTESIAN_POINT('Origin',(47.546663,39.428584,0.)); #478286=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #478287=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #478288=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #478289=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #478290=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #478291=CARTESIAN_POINT('Origin',(47.552222,39.458397,0.)); #478292=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #478293=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #478294=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #478295=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #478296=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #478297=CARTESIAN_POINT('Origin',(47.568972,39.483681,0.)); #478298=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #478299=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #478300=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #478301=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #478302=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #478303=CARTESIAN_POINT('Origin',(47.729472,39.644181,0.)); #478304=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #478305=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #478306=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #478307=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #478308=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #478309=CARTESIAN_POINT('Origin',(47.753631,39.660466,0.)); #478310=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #478311=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #478312=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #478313=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #478314=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #478315=CARTESIAN_POINT('Origin',(47.783353,39.6665,0.)); #478316=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #478317=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #478318=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #478319=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #478320=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #478321=CARTESIAN_POINT('Origin',(48.409247,39.6665,0.)); #478322=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #478323=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #478324=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #478325=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #478326=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #478327=CARTESIAN_POINT('Origin',(48.435578,39.661806,0.)); #478328=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #478329=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #478330=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #478331=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #478332=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #478333=CARTESIAN_POINT('Origin',(48.461384,39.645872,0.)); #478334=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #478335=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #478336=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #478337=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #478338=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #478339=CARTESIAN_POINT('Origin',(48.478928,39.621134,0.)); #478340=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #478341=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #478342=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #478343=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #478344=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #478345=CARTESIAN_POINT('Origin',(48.485438,39.591516,0.)); #478346=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #478347=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #478348=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #478349=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #478350=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #478351=CARTESIAN_POINT('Origin',(48.479878,39.561703,0.)); #478352=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #478353=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #478354=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #478355=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #478356=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #478357=CARTESIAN_POINT('Origin',(48.463128,39.536419,0.)); #478358=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #478359=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #478360=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #478361=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #478362=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #478363=CARTESIAN_POINT('Origin',(48.302628,39.375919,0.)); #478364=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #478365=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #478366=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #478367=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #478368=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #478369=CARTESIAN_POINT('Origin',(48.278469,39.359634,0.)); #478370=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #478371=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #478372=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.035)); #478373=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.)); #478374=CARTESIAN_POINT('Origin',(30.557228,27.949128,0.)); #478375=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #478376=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #478377=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #478378=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #478379=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #478380=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #478381=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #478382=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #478383=CARTESIAN_POINT('Origin',(30.527331,27.954213,0.)); #478384=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #478385=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #478386=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #478387=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #478388=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #478389=CARTESIAN_POINT('Origin',(30.501784,27.970556,0.)); #478390=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #478391=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #478392=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #478393=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #478394=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #478395=CARTESIAN_POINT('Origin',(30.484634,27.995569,0.)); #478396=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #478397=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #478398=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #478399=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #478400=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #478401=CARTESIAN_POINT('Origin',(30.4786,28.025291,0.)); #478402=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #478403=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #478404=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #478405=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #478406=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #478407=CARTESIAN_POINT('Origin',(30.4786,29.523547,0.)); #478408=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #478409=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #478410=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #478411=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #478412=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #478413=CARTESIAN_POINT('Origin',(30.484169,29.552144,0.)); #478414=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #478415=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #478416=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #478417=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #478418=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #478419=CARTESIAN_POINT('Origin',(30.500919,29.577428,0.)); #478420=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #478421=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #478422=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #478423=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #478424=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #478425=CARTESIAN_POINT('Origin',(31.151872,30.228381,0.)); #478426=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #478427=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #478428=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #478429=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #478430=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #478431=CARTESIAN_POINT('Origin',(31.176031,30.244666,0.)); #478432=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #478433=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #478434=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #478435=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #478436=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #478437=CARTESIAN_POINT('Origin',(31.205753,30.2507,0.)); #478438=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #478439=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #478440=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #478441=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #478442=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #478443=CARTESIAN_POINT('Origin',(32.2577,30.2507,0.)); #478444=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #478445=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #478446=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #478447=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #478448=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #478449=CARTESIAN_POINT('Origin',(32.285169,30.245578,0.)); #478450=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #478451=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #478452=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #478453=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #478454=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #478455=CARTESIAN_POINT('Origin',(32.310716,30.229234,0.)); #478456=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #478457=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #478458=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #478459=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #478460=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #478461=CARTESIAN_POINT('Origin',(32.327866,30.204222,0.)); #478462=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #478463=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #478464=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #478465=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #478466=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #478467=CARTESIAN_POINT('Origin',(32.3339,30.1745,0.)); #478468=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #478469=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #478470=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #478471=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #478472=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #478473=CARTESIAN_POINT('Origin',(32.3339,28.376247,0.)); #478474=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #478475=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #478476=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #478477=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #478478=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #478479=CARTESIAN_POINT('Origin',(32.329206,28.349916,0.)); #478480=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #478481=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #478482=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #478483=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #478484=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #478485=CARTESIAN_POINT('Origin',(32.313272,28.324109,0.)); #478486=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #478487=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #478488=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #478489=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #478490=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #478491=CARTESIAN_POINT('Origin',(32.288534,28.306566,0.)); #478492=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #478493=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #478494=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #478495=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #478496=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #478497=CARTESIAN_POINT('Origin',(32.258916,28.300056,0.)); #478498=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #478499=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #478500=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #478501=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #478502=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #478503=CARTESIAN_POINT('Origin',(32.229103,28.305616,0.)); #478504=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #478505=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #478506=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #478507=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #478508=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #478509=CARTESIAN_POINT('Origin',(32.203819,28.322366,0.)); #478510=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #478511=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #478512=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #478513=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #478514=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #478515=CARTESIAN_POINT('Origin',(32.011169,28.515016,0.)); #478516=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #478517=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #478518=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #478519=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #478520=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #478521=CARTESIAN_POINT('Origin',(31.6795,28.6524,0.)); #478522=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #478523=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #478524=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #478525=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #478526=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #478527=CARTESIAN_POINT('Origin',(31.3205,28.6524,0.)); #478528=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #478529=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #478530=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #478531=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #478532=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #478533=CARTESIAN_POINT('Origin',(30.988831,28.515016,0.)); #478534=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #478535=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #478536=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #478537=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #478538=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #478539=CARTESIAN_POINT('Origin',(30.734984,28.261169,0.)); #478540=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #478541=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #478542=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #478543=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #478544=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #478545=CARTESIAN_POINT('Origin',(30.6252,27.996131,0.)); #478546=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #478547=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #478548=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #478549=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #478550=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #478551=CARTESIAN_POINT('Origin',(30.611197,27.974047,0.)); #478552=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #478553=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #478554=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #478555=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #478556=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #478557=CARTESIAN_POINT('Origin',(30.586744,27.956109,0.)); #478558=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #478559=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #478560=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.035)); #478561=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.)); #478562=CARTESIAN_POINT('Origin',(40.184422,36.2286,0.)); #478563=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #478564=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #478565=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #478566=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #478567=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #478568=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #478569=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #478570=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #478571=CARTESIAN_POINT('Origin',(39.016997,36.2286,0.)); #478572=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #478573=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #478574=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #478575=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #478576=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #478577=CARTESIAN_POINT('Origin',(38.990666,36.233294,0.)); #478578=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #478579=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #478580=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #478581=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #478582=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #478583=CARTESIAN_POINT('Origin',(38.964859,36.249228,0.)); #478584=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #478585=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #478586=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #478587=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #478588=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #478589=CARTESIAN_POINT('Origin',(38.947316,36.273966,0.)); #478590=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #478591=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #478592=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #478593=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #478594=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #478595=CARTESIAN_POINT('Origin',(38.940806,36.303584,0.)); #478596=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #478597=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #478598=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #478599=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #478600=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #478601=CARTESIAN_POINT('Origin',(38.946366,36.333397,0.)); #478602=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #478603=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #478604=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #478605=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #478606=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #478607=CARTESIAN_POINT('Origin',(38.963116,36.358681,0.)); #478608=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #478609=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #478610=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #478611=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #478612=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #478613=CARTESIAN_POINT('Origin',(39.103516,36.499081,0.)); #478614=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #478615=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #478616=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #478617=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #478618=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #478619=CARTESIAN_POINT('Origin',(39.127675,36.515366,0.)); #478620=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #478621=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #478622=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #478623=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #478624=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #478625=CARTESIAN_POINT('Origin',(39.157397,36.5214,0.)); #478626=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #478627=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #478628=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #478629=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #478630=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #478631=CARTESIAN_POINT('Origin',(40.324822,36.5214,0.)); #478632=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #478633=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #478634=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #478635=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #478636=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #478637=CARTESIAN_POINT('Origin',(40.351153,36.516706,0.)); #478638=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #478639=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #478640=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #478641=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #478642=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #478643=CARTESIAN_POINT('Origin',(40.376959,36.500772,0.)); #478644=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #478645=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #478646=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #478647=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #478648=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #478649=CARTESIAN_POINT('Origin',(40.394503,36.476034,0.)); #478650=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #478651=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #478652=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #478653=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #478654=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #478655=CARTESIAN_POINT('Origin',(40.401013,36.446416,0.)); #478656=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #478657=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #478658=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #478659=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #478660=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #478661=CARTESIAN_POINT('Origin',(40.395453,36.416603,0.)); #478662=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #478663=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #478664=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #478665=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #478666=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #478667=CARTESIAN_POINT('Origin',(40.378703,36.391319,0.)); #478668=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #478669=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #478670=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #478671=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #478672=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #478673=CARTESIAN_POINT('Origin',(40.238303,36.250919,0.)); #478674=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #478675=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #478676=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #478677=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #478678=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #478679=CARTESIAN_POINT('Origin',(40.214144,36.234634,0.)); #478680=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #478681=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #478682=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.035)); #478683=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.)); #478684=CARTESIAN_POINT('Origin',(37.447022,27.949113,0.)); #478685=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #478686=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #478687=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #478688=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #478689=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #478690=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #478691=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #478692=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #478693=CARTESIAN_POINT('Origin',(37.417166,27.954434,0.)); #478694=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #478695=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #478696=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #478697=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #478698=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #478699=CARTESIAN_POINT('Origin',(37.39175,27.970981,0.)); #478700=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #478701=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #478702=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #478703=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #478704=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #478705=CARTESIAN_POINT('Origin',(37.3748,27.996131,0.)); #478706=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #478707=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #478708=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #478709=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #478710=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #478711=CARTESIAN_POINT('Origin',(37.265016,28.261169,0.)); #478712=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #478713=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #478714=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #478715=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #478716=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #478717=CARTESIAN_POINT('Origin',(37.011169,28.515016,0.)); #478718=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #478719=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #478720=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #478721=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #478722=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #478723=CARTESIAN_POINT('Origin',(36.6795,28.6524,0.)); #478724=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #478725=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #478726=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #478727=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #478728=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #478729=CARTESIAN_POINT('Origin',(36.3205,28.6524,0.)); #478730=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #478731=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #478732=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #478733=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #478734=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #478735=CARTESIAN_POINT('Origin',(35.988831,28.515016,0.)); #478736=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #478737=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #478738=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #478739=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #478740=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #478741=CARTESIAN_POINT('Origin',(35.796181,28.322366,0.)); #478742=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #478743=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #478744=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #478745=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #478746=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #478747=CARTESIAN_POINT('Origin',(35.774244,28.307066,0.)); #478748=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #478749=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #478750=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #478751=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #478752=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #478753=CARTESIAN_POINT('Origin',(35.744728,28.300084,0.)); #478754=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #478755=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #478756=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #478757=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #478758=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #478759=CARTESIAN_POINT('Origin',(35.714831,28.305169,0.)); #478760=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #478761=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #478762=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #478763=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #478764=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #478765=CARTESIAN_POINT('Origin',(35.689284,28.321513,0.)); #478766=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #478767=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #478768=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #478769=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #478770=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #478771=CARTESIAN_POINT('Origin',(35.672134,28.346525,0.)); #478772=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #478773=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #478774=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #478775=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #478776=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #478777=CARTESIAN_POINT('Origin',(35.6661,28.376247,0.)); #478778=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #478779=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #478780=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #478781=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #478782=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #478783=CARTESIAN_POINT('Origin',(35.6661,30.1745,0.)); #478784=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #478785=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #478786=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #478787=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #478788=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #478789=CARTESIAN_POINT('Origin',(35.671222,30.201969,0.)); #478790=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #478791=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #478792=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #478793=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #478794=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #478795=CARTESIAN_POINT('Origin',(35.687566,30.227516,0.)); #478796=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #478797=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #478798=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #478799=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #478800=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #478801=CARTESIAN_POINT('Origin',(35.712578,30.244666,0.)); #478802=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #478803=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #478804=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #478805=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #478806=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #478807=CARTESIAN_POINT('Origin',(35.7423,30.2507,0.)); #478808=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #478809=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #478810=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #478811=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #478812=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #478813=CARTESIAN_POINT('Origin',(36.794247,30.2507,0.)); #478814=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #478815=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #478816=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #478817=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #478818=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #478819=CARTESIAN_POINT('Origin',(36.822844,30.245131,0.)); #478820=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #478821=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #478822=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #478823=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #478824=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #478825=CARTESIAN_POINT('Origin',(36.848128,30.228381,0.)); #478826=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #478827=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #478828=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #478829=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #478830=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #478831=CARTESIAN_POINT('Origin',(37.499081,29.577428,0.)); #478832=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #478833=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #478834=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #478835=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #478836=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #478837=CARTESIAN_POINT('Origin',(37.515366,29.553269,0.)); #478838=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #478839=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #478840=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #478841=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #478842=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #478843=CARTESIAN_POINT('Origin',(37.5214,29.523547,0.)); #478844=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #478845=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #478846=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #478847=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #478848=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #478849=CARTESIAN_POINT('Origin',(37.5214,28.025291,0.)); #478850=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #478851=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #478852=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #478853=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #478854=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #478855=CARTESIAN_POINT('Origin',(37.516913,27.999528,0.)); #478856=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #478857=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #478858=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #478859=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #478860=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #478861=CARTESIAN_POINT('Origin',(37.501184,27.9736,0.)); #478862=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #478863=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #478864=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #478865=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #478866=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #478867=CARTESIAN_POINT('Origin',(37.476591,27.955856,0.)); #478868=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #478869=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #478870=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.035)); #478871=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.)); #478872=CARTESIAN_POINT('Origin',(33.626216,56.761006,0.)); #478873=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #478874=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #478875=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #478876=CARTESIAN_POINT('',(33.655834,56.767516,0.035)); #478877=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #478878=CARTESIAN_POINT('',(33.626216,56.761006,0.035)); #478879=CARTESIAN_POINT('',(33.626216,56.761006,0.035)); #478880=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #478881=CARTESIAN_POINT('Origin',(33.596403,56.766566,0.)); #478882=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #478883=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #478884=CARTESIAN_POINT('',(33.596403,56.766566,0.035)); #478885=CARTESIAN_POINT('',(33.596403,56.766566,0.035)); #478886=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #478887=CARTESIAN_POINT('Origin',(33.571119,56.783316,0.)); #478888=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #478889=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #478890=CARTESIAN_POINT('',(33.571119,56.783316,0.035)); #478891=CARTESIAN_POINT('',(33.571119,56.783316,0.035)); #478892=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #478893=CARTESIAN_POINT('Origin',(33.500919,56.853516,0.)); #478894=CARTESIAN_POINT('',(33.500919,56.853516,0.)); #478895=CARTESIAN_POINT('',(33.500919,56.853516,0.)); #478896=CARTESIAN_POINT('',(33.500919,56.853516,0.035)); #478897=CARTESIAN_POINT('',(33.500919,56.853516,0.035)); #478898=CARTESIAN_POINT('',(33.500919,56.853516,0.)); #478899=CARTESIAN_POINT('Origin',(33.484634,56.877675,0.)); #478900=CARTESIAN_POINT('',(33.484634,56.877675,0.)); #478901=CARTESIAN_POINT('',(33.484634,56.877675,0.)); #478902=CARTESIAN_POINT('',(33.484634,56.877675,0.035)); #478903=CARTESIAN_POINT('',(33.484634,56.877675,0.035)); #478904=CARTESIAN_POINT('',(33.484634,56.877675,0.)); #478905=CARTESIAN_POINT('Origin',(33.4786,56.907397,0.)); #478906=CARTESIAN_POINT('',(33.4786,56.907397,0.)); #478907=CARTESIAN_POINT('',(33.4786,56.907397,0.)); #478908=CARTESIAN_POINT('',(33.4786,56.907397,0.035)); #478909=CARTESIAN_POINT('',(33.4786,56.907397,0.035)); #478910=CARTESIAN_POINT('',(33.4786,56.907397,0.)); #478911=CARTESIAN_POINT('Origin',(33.4786,56.998747,0.)); #478912=CARTESIAN_POINT('',(33.4786,56.998747,0.)); #478913=CARTESIAN_POINT('',(33.4786,56.998747,0.)); #478914=CARTESIAN_POINT('',(33.4786,56.998747,0.035)); #478915=CARTESIAN_POINT('',(33.4786,56.998747,0.035)); #478916=CARTESIAN_POINT('',(33.4786,56.998747,0.)); #478917=CARTESIAN_POINT('Origin',(33.484169,57.027344,0.)); #478918=CARTESIAN_POINT('',(33.484169,57.027344,0.)); #478919=CARTESIAN_POINT('',(33.484169,57.027344,0.)); #478920=CARTESIAN_POINT('',(33.484169,57.027344,0.035)); #478921=CARTESIAN_POINT('',(33.484169,57.027344,0.035)); #478922=CARTESIAN_POINT('',(33.484169,57.027344,0.)); #478923=CARTESIAN_POINT('Origin',(33.500919,57.052628,0.)); #478924=CARTESIAN_POINT('',(33.500919,57.052628,0.)); #478925=CARTESIAN_POINT('',(33.500919,57.052628,0.)); #478926=CARTESIAN_POINT('',(33.500919,57.052628,0.035)); #478927=CARTESIAN_POINT('',(33.500919,57.052628,0.035)); #478928=CARTESIAN_POINT('',(33.500919,57.052628,0.)); #478929=CARTESIAN_POINT('Origin',(33.641319,57.193028,0.)); #478930=CARTESIAN_POINT('',(33.641319,57.193028,0.)); #478931=CARTESIAN_POINT('',(33.641319,57.193028,0.)); #478932=CARTESIAN_POINT('',(33.641319,57.193028,0.035)); #478933=CARTESIAN_POINT('',(33.641319,57.193028,0.035)); #478934=CARTESIAN_POINT('',(33.641319,57.193028,0.)); #478935=CARTESIAN_POINT('Origin',(33.663256,57.208328,0.)); #478936=CARTESIAN_POINT('',(33.663256,57.208328,0.)); #478937=CARTESIAN_POINT('',(33.663256,57.208328,0.)); #478938=CARTESIAN_POINT('',(33.663256,57.208328,0.035)); #478939=CARTESIAN_POINT('',(33.663256,57.208328,0.035)); #478940=CARTESIAN_POINT('',(33.663256,57.208328,0.)); #478941=CARTESIAN_POINT('Origin',(33.692772,57.215309,0.)); #478942=CARTESIAN_POINT('',(33.692772,57.215309,0.)); #478943=CARTESIAN_POINT('',(33.692772,57.215309,0.)); #478944=CARTESIAN_POINT('',(33.692772,57.215309,0.035)); #478945=CARTESIAN_POINT('',(33.692772,57.215309,0.035)); #478946=CARTESIAN_POINT('',(33.692772,57.215309,0.)); #478947=CARTESIAN_POINT('Origin',(33.722669,57.210225,0.)); #478948=CARTESIAN_POINT('',(33.722669,57.210225,0.)); #478949=CARTESIAN_POINT('',(33.722669,57.210225,0.)); #478950=CARTESIAN_POINT('',(33.722669,57.210225,0.035)); #478951=CARTESIAN_POINT('',(33.722669,57.210225,0.035)); #478952=CARTESIAN_POINT('',(33.722669,57.210225,0.)); #478953=CARTESIAN_POINT('Origin',(33.748216,57.193881,0.)); #478954=CARTESIAN_POINT('',(33.748216,57.193881,0.)); #478955=CARTESIAN_POINT('',(33.748216,57.193881,0.)); #478956=CARTESIAN_POINT('',(33.748216,57.193881,0.035)); #478957=CARTESIAN_POINT('',(33.748216,57.193881,0.035)); #478958=CARTESIAN_POINT('',(33.748216,57.193881,0.)); #478959=CARTESIAN_POINT('Origin',(33.765366,57.168869,0.)); #478960=CARTESIAN_POINT('',(33.765366,57.168869,0.)); #478961=CARTESIAN_POINT('',(33.765366,57.168869,0.)); #478962=CARTESIAN_POINT('',(33.765366,57.168869,0.035)); #478963=CARTESIAN_POINT('',(33.765366,57.168869,0.035)); #478964=CARTESIAN_POINT('',(33.765366,57.168869,0.)); #478965=CARTESIAN_POINT('Origin',(33.7714,57.139147,0.)); #478966=CARTESIAN_POINT('',(33.7714,57.139147,0.)); #478967=CARTESIAN_POINT('',(33.7714,57.139147,0.)); #478968=CARTESIAN_POINT('',(33.7714,57.139147,0.035)); #478969=CARTESIAN_POINT('',(33.7714,57.139147,0.035)); #478970=CARTESIAN_POINT('',(33.7714,57.139147,0.)); #478971=CARTESIAN_POINT('Origin',(33.7714,56.907397,0.)); #478972=CARTESIAN_POINT('',(33.7714,56.907397,0.)); #478973=CARTESIAN_POINT('',(33.7714,56.907397,0.)); #478974=CARTESIAN_POINT('',(33.7714,56.907397,0.035)); #478975=CARTESIAN_POINT('',(33.7714,56.907397,0.035)); #478976=CARTESIAN_POINT('',(33.7714,56.907397,0.)); #478977=CARTESIAN_POINT('Origin',(33.765831,56.8788,0.)); #478978=CARTESIAN_POINT('',(33.765831,56.8788,0.)); #478979=CARTESIAN_POINT('',(33.765831,56.8788,0.)); #478980=CARTESIAN_POINT('',(33.765831,56.8788,0.035)); #478981=CARTESIAN_POINT('',(33.765831,56.8788,0.035)); #478982=CARTESIAN_POINT('',(33.765831,56.8788,0.)); #478983=CARTESIAN_POINT('Origin',(33.749081,56.853516,0.)); #478984=CARTESIAN_POINT('',(33.749081,56.853516,0.)); #478985=CARTESIAN_POINT('',(33.749081,56.853516,0.)); #478986=CARTESIAN_POINT('',(33.749081,56.853516,0.035)); #478987=CARTESIAN_POINT('',(33.749081,56.853516,0.035)); #478988=CARTESIAN_POINT('',(33.749081,56.853516,0.)); #478989=CARTESIAN_POINT('Origin',(33.678881,56.783316,0.)); #478990=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #478991=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #478992=CARTESIAN_POINT('',(33.678881,56.783316,0.035)); #478993=CARTESIAN_POINT('',(33.678881,56.783316,0.035)); #478994=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #478995=CARTESIAN_POINT('Origin',(33.655834,56.767516,0.)); #478996=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #478997=CARTESIAN_POINT('',(33.655834,56.767516,0.035)); #478998=CARTESIAN_POINT('Origin',(33.6402186190606,56.9864651826395,0.035)); #478999=CARTESIAN_POINT('Origin',(33.6402186190606,56.9864651826395,0.)); #479000=CARTESIAN_POINT('Origin',(37.447022,25.449113,0.)); #479001=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #479002=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #479003=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #479004=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #479005=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #479006=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #479007=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #479008=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #479009=CARTESIAN_POINT('Origin',(37.417166,25.454434,0.)); #479010=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #479011=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #479012=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #479013=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #479014=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #479015=CARTESIAN_POINT('Origin',(37.39175,25.470981,0.)); #479016=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #479017=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #479018=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #479019=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #479020=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #479021=CARTESIAN_POINT('Origin',(37.3748,25.496131,0.)); #479022=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #479023=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #479024=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #479025=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #479026=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #479027=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #479028=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #479029=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #479030=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #479031=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #479032=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #479033=CARTESIAN_POINT('Origin',(37.011169,26.015016,0.)); #479034=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #479035=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #479036=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #479037=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #479038=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #479039=CARTESIAN_POINT('Origin',(36.6795,26.1524,0.)); #479040=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #479041=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #479042=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #479043=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #479044=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #479045=CARTESIAN_POINT('Origin',(36.3205,26.1524,0.)); #479046=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #479047=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #479048=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #479049=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #479050=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #479051=CARTESIAN_POINT('Origin',(35.988831,26.015016,0.)); #479052=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #479053=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #479054=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #479055=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #479056=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #479057=CARTESIAN_POINT('Origin',(35.796181,25.822366,0.)); #479058=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #479059=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #479060=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #479061=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #479062=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #479063=CARTESIAN_POINT('Origin',(35.774244,25.807066,0.)); #479064=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #479065=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #479066=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #479067=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #479068=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #479069=CARTESIAN_POINT('Origin',(35.744728,25.800084,0.)); #479070=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #479071=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #479072=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #479073=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #479074=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #479075=CARTESIAN_POINT('Origin',(35.714831,25.805169,0.)); #479076=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #479077=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #479078=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #479079=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #479080=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #479081=CARTESIAN_POINT('Origin',(35.689284,25.821513,0.)); #479082=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #479083=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #479084=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #479085=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #479086=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #479087=CARTESIAN_POINT('Origin',(35.672134,25.846525,0.)); #479088=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #479089=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #479090=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #479091=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #479092=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #479093=CARTESIAN_POINT('Origin',(35.6661,25.876247,0.)); #479094=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #479095=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #479096=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #479097=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #479098=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #479099=CARTESIAN_POINT('Origin',(35.6661,27.123753,0.)); #479100=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #479101=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #479102=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #479103=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #479104=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #479105=CARTESIAN_POINT('Origin',(35.670794,27.150084,0.)); #479106=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #479107=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #479108=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #479109=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #479110=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #479111=CARTESIAN_POINT('Origin',(35.686728,27.175891,0.)); #479112=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #479113=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #479114=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #479115=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #479116=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #479117=CARTESIAN_POINT('Origin',(35.711466,27.193434,0.)); #479118=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #479119=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #479120=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #479121=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #479122=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #479123=CARTESIAN_POINT('Origin',(35.741084,27.199944,0.)); #479124=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #479125=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #479126=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #479127=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #479128=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #479129=CARTESIAN_POINT('Origin',(35.770897,27.194384,0.)); #479130=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #479131=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #479132=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #479133=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #479134=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #479135=CARTESIAN_POINT('Origin',(35.796181,27.177634,0.)); #479136=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #479137=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #479138=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #479139=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #479140=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #479141=CARTESIAN_POINT('Origin',(35.988831,26.984984,0.)); #479142=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #479143=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #479144=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #479145=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #479146=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #479147=CARTESIAN_POINT('Origin',(36.3205,26.8476,0.)); #479148=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #479149=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #479150=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #479151=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #479152=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #479153=CARTESIAN_POINT('Origin',(36.6795,26.8476,0.)); #479154=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #479155=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #479156=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #479157=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #479158=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #479159=CARTESIAN_POINT('Origin',(37.011169,26.984984,0.)); #479160=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #479161=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #479162=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #479163=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #479164=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #479165=CARTESIAN_POINT('Origin',(37.265016,27.238831,0.)); #479166=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #479167=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #479168=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #479169=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #479170=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #479171=CARTESIAN_POINT('Origin',(37.3748,27.503869,0.)); #479172=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #479173=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #479174=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #479175=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #479176=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #479177=CARTESIAN_POINT('Origin',(37.388803,27.525953,0.)); #479178=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #479179=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #479180=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #479181=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #479182=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #479183=CARTESIAN_POINT('Origin',(37.413256,27.543891,0.)); #479184=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #479185=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #479186=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #479187=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #479188=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #479189=CARTESIAN_POINT('Origin',(37.442772,27.550872,0.)); #479190=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #479191=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #479192=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #479193=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #479194=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #479195=CARTESIAN_POINT('Origin',(37.472669,27.545788,0.)); #479196=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #479197=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #479198=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #479199=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #479200=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #479201=CARTESIAN_POINT('Origin',(37.498216,27.529444,0.)); #479202=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #479203=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #479204=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #479205=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #479206=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #479207=CARTESIAN_POINT('Origin',(37.515366,27.504431,0.)); #479208=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #479209=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #479210=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #479211=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #479212=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #479213=CARTESIAN_POINT('Origin',(37.5214,27.474709,0.)); #479214=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #479215=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #479216=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #479217=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #479218=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #479219=CARTESIAN_POINT('Origin',(37.5214,25.525291,0.)); #479220=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #479221=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #479222=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #479223=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #479224=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #479225=CARTESIAN_POINT('Origin',(37.516913,25.499528,0.)); #479226=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #479227=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #479228=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #479229=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #479230=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #479231=CARTESIAN_POINT('Origin',(37.501184,25.4736,0.)); #479232=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #479233=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #479234=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #479235=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #479236=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #479237=CARTESIAN_POINT('Origin',(37.476591,25.455856,0.)); #479238=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #479239=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #479240=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.035)); #479241=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.)); #479242=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #479243=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #479244=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #479245=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #479246=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #479247=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #479248=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #479249=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #479250=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #479251=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #479252=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #479253=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #479254=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #479255=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #479256=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #479257=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #479258=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #479259=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #479260=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #479261=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #479262=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #479263=CARTESIAN_POINT('Origin',(41.56325,36.291184,0.)); #479264=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #479265=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #479266=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #479267=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #479268=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #479269=CARTESIAN_POINT('Origin',(41.54745,36.314231,0.)); #479270=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #479271=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #479272=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #479273=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #479274=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #479275=CARTESIAN_POINT('Origin',(41.540941,36.34385,0.)); #479276=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #479277=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #479278=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #479279=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #479280=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #479281=CARTESIAN_POINT('Origin',(41.5465,36.373663,0.)); #479282=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #479283=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #479284=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #479285=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #479286=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #479287=CARTESIAN_POINT('Origin',(41.56325,36.398947,0.)); #479288=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #479289=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #479290=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #479291=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #479292=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #479293=CARTESIAN_POINT('Origin',(41.641319,36.477016,0.)); #479294=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #479295=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #479296=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #479297=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #479298=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #479299=CARTESIAN_POINT('Origin',(41.663256,36.492316,0.)); #479300=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #479301=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #479302=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #479303=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #479304=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #479305=CARTESIAN_POINT('Origin',(41.692772,36.499297,0.)); #479306=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #479307=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #479308=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #479309=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #479310=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #479311=CARTESIAN_POINT('Origin',(41.722669,36.494213,0.)); #479312=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #479313=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #479314=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #479315=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #479316=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #479317=CARTESIAN_POINT('Origin',(41.748216,36.477869,0.)); #479318=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #479319=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #479320=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #479321=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #479322=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #479323=CARTESIAN_POINT('Origin',(41.765366,36.452856,0.)); #479324=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #479325=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #479326=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #479327=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #479328=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #479329=CARTESIAN_POINT('Origin',(41.7714,36.423134,0.)); #479330=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #479331=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #479332=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #479333=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #479334=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #479335=CARTESIAN_POINT('Origin',(41.7714,36.407397,0.)); #479336=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #479337=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #479338=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #479339=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #479340=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #479341=CARTESIAN_POINT('Origin',(41.765831,36.3788,0.)); #479342=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #479343=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #479344=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #479345=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #479346=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #479347=CARTESIAN_POINT('Origin',(41.749081,36.353516,0.)); #479348=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #479349=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #479350=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #479351=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #479352=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #479353=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #479354=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #479355=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #479356=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #479357=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #479358=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #479359=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #479360=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #479361=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #479362=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.035)); #479363=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.)); #479364=CARTESIAN_POINT('Origin',(30.557228,25.449128,0.)); #479365=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #479366=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #479367=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #479368=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #479369=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #479370=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #479371=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #479372=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #479373=CARTESIAN_POINT('Origin',(30.527331,25.454213,0.)); #479374=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #479375=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #479376=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #479377=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #479378=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #479379=CARTESIAN_POINT('Origin',(30.501784,25.470556,0.)); #479380=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #479381=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #479382=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #479383=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #479384=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #479385=CARTESIAN_POINT('Origin',(30.484634,25.495569,0.)); #479386=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #479387=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #479388=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #479389=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #479390=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #479391=CARTESIAN_POINT('Origin',(30.4786,25.525291,0.)); #479392=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #479393=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #479394=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #479395=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #479396=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #479397=CARTESIAN_POINT('Origin',(30.4786,27.474709,0.)); #479398=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #479399=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #479400=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #479401=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #479402=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #479403=CARTESIAN_POINT('Origin',(30.483087,27.500472,0.)); #479404=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #479405=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #479406=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #479407=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #479408=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #479409=CARTESIAN_POINT('Origin',(30.498816,27.5264,0.)); #479410=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #479411=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #479412=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #479413=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #479414=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #479415=CARTESIAN_POINT('Origin',(30.523409,27.544144,0.)); #479416=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #479417=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #479418=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #479419=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #479420=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #479421=CARTESIAN_POINT('Origin',(30.552978,27.550888,0.)); #479422=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #479423=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #479424=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #479425=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #479426=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #479427=CARTESIAN_POINT('Origin',(30.582834,27.545566,0.)); #479428=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #479429=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #479430=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #479431=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #479432=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #479433=CARTESIAN_POINT('Origin',(30.60825,27.529019,0.)); #479434=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #479435=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #479436=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #479437=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #479438=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #479439=CARTESIAN_POINT('Origin',(30.6252,27.503869,0.)); #479440=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #479441=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #479442=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #479443=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #479444=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #479445=CARTESIAN_POINT('Origin',(30.734984,27.238831,0.)); #479446=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #479447=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #479448=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #479449=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #479450=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #479451=CARTESIAN_POINT('Origin',(30.988831,26.984984,0.)); #479452=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #479453=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #479454=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #479455=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #479456=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #479457=CARTESIAN_POINT('Origin',(31.3205,26.8476,0.)); #479458=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #479459=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #479460=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #479461=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #479462=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #479463=CARTESIAN_POINT('Origin',(31.6795,26.8476,0.)); #479464=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #479465=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #479466=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #479467=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #479468=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #479469=CARTESIAN_POINT('Origin',(32.011169,26.984984,0.)); #479470=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #479471=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #479472=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #479473=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #479474=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #479475=CARTESIAN_POINT('Origin',(32.203819,27.177634,0.)); #479476=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #479477=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #479478=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #479479=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #479480=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #479481=CARTESIAN_POINT('Origin',(32.225756,27.192934,0.)); #479482=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #479483=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #479484=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #479485=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #479486=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #479487=CARTESIAN_POINT('Origin',(32.255272,27.199916,0.)); #479488=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #479489=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #479490=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #479491=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #479492=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #479493=CARTESIAN_POINT('Origin',(32.285169,27.194831,0.)); #479494=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #479495=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #479496=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #479497=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #479498=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #479499=CARTESIAN_POINT('Origin',(32.310716,27.178488,0.)); #479500=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #479501=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #479502=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #479503=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #479504=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #479505=CARTESIAN_POINT('Origin',(32.327866,27.153475,0.)); #479506=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #479507=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #479508=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #479509=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #479510=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #479511=CARTESIAN_POINT('Origin',(32.3339,27.123753,0.)); #479512=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #479513=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #479514=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #479515=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #479516=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #479517=CARTESIAN_POINT('Origin',(32.3339,25.876247,0.)); #479518=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #479519=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #479520=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #479521=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #479522=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #479523=CARTESIAN_POINT('Origin',(32.329206,25.849916,0.)); #479524=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #479525=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #479526=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #479527=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #479528=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #479529=CARTESIAN_POINT('Origin',(32.313272,25.824109,0.)); #479530=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #479531=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #479532=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #479533=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #479534=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #479535=CARTESIAN_POINT('Origin',(32.288534,25.806566,0.)); #479536=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #479537=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #479538=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #479539=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #479540=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #479541=CARTESIAN_POINT('Origin',(32.258916,25.800056,0.)); #479542=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #479543=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #479544=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #479545=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #479546=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #479547=CARTESIAN_POINT('Origin',(32.229103,25.805616,0.)); #479548=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #479549=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #479550=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #479551=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #479552=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #479553=CARTESIAN_POINT('Origin',(32.203819,25.822366,0.)); #479554=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #479555=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #479556=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #479557=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #479558=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #479559=CARTESIAN_POINT('Origin',(32.011169,26.015016,0.)); #479560=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #479561=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #479562=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #479563=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #479564=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #479565=CARTESIAN_POINT('Origin',(31.6795,26.1524,0.)); #479566=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #479567=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #479568=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #479569=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #479570=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #479571=CARTESIAN_POINT('Origin',(31.3205,26.1524,0.)); #479572=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #479573=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #479574=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #479575=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #479576=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #479577=CARTESIAN_POINT('Origin',(30.988831,26.015016,0.)); #479578=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #479579=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #479580=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #479581=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #479582=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #479583=CARTESIAN_POINT('Origin',(30.734984,25.761169,0.)); #479584=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #479585=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #479586=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #479587=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #479588=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #479589=CARTESIAN_POINT('Origin',(30.6252,25.496131,0.)); #479590=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #479591=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #479592=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #479593=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #479594=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #479595=CARTESIAN_POINT('Origin',(30.611197,25.474047,0.)); #479596=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #479597=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #479598=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #479599=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #479600=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #479601=CARTESIAN_POINT('Origin',(30.586744,25.456109,0.)); #479602=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #479603=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #479604=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.035)); #479605=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.)); #479606=CARTESIAN_POINT('Origin',(20.377147,39.3536,0.)); #479607=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #479608=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #479609=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #479610=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #479611=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #479612=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #479613=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #479614=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #479615=CARTESIAN_POINT('Origin',(19.751253,39.3536,0.)); #479616=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #479617=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #479618=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #479619=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #479620=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #479621=CARTESIAN_POINT('Origin',(19.722656,39.359169,0.)); #479622=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #479623=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #479624=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #479625=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #479626=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #479627=CARTESIAN_POINT('Origin',(19.697372,39.375919,0.)); #479628=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #479629=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #479630=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #479631=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #479632=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #479633=CARTESIAN_POINT('Origin',(19.536872,39.536419,0.)); #479634=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #479635=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #479636=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #479637=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #479638=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #479639=CARTESIAN_POINT('Origin',(19.521572,39.558356,0.)); #479640=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #479641=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #479642=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #479643=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #479644=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #479645=CARTESIAN_POINT('Origin',(19.514591,39.587872,0.)); #479646=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #479647=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #479648=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #479649=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #479650=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #479651=CARTESIAN_POINT('Origin',(19.519675,39.617769,0.)); #479652=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #479653=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #479654=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #479655=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #479656=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #479657=CARTESIAN_POINT('Origin',(19.536019,39.643316,0.)); #479658=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #479659=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #479660=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #479661=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #479662=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #479663=CARTESIAN_POINT('Origin',(19.561031,39.660466,0.)); #479664=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #479665=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #479666=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #479667=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #479668=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #479669=CARTESIAN_POINT('Origin',(19.590753,39.6665,0.)); #479670=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #479671=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #479672=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #479673=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #479674=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #479675=CARTESIAN_POINT('Origin',(20.216647,39.6665,0.)); #479676=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #479677=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #479678=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #479679=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #479680=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #479681=CARTESIAN_POINT('Origin',(20.245244,39.660931,0.)); #479682=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #479683=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #479684=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #479685=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #479686=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #479687=CARTESIAN_POINT('Origin',(20.270528,39.644181,0.)); #479688=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #479689=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #479690=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #479691=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #479692=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #479693=CARTESIAN_POINT('Origin',(20.431028,39.483681,0.)); #479694=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #479695=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #479696=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #479697=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #479698=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #479699=CARTESIAN_POINT('Origin',(20.446328,39.461744,0.)); #479700=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #479701=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #479702=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #479703=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #479704=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #479705=CARTESIAN_POINT('Origin',(20.453309,39.432228,0.)); #479706=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #479707=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #479708=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #479709=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #479710=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #479711=CARTESIAN_POINT('Origin',(20.448225,39.402331,0.)); #479712=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #479713=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #479714=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #479715=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #479716=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #479717=CARTESIAN_POINT('Origin',(20.431881,39.376784,0.)); #479718=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #479719=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #479720=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #479721=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #479722=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #479723=CARTESIAN_POINT('Origin',(20.406869,39.359634,0.)); #479724=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #479725=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #479726=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.035)); #479727=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.)); #479728=CARTESIAN_POINT('Origin',(27.294484,25.4786,0.)); #479729=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #479730=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #479731=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #479732=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #479733=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #479734=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #479735=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #479736=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #479737=CARTESIAN_POINT('Origin',(24.4097,25.4786,0.)); #479738=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #479739=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #479740=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #479741=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #479742=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #479743=CARTESIAN_POINT('Origin',(24.382231,25.483722,0.)); #479744=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #479745=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #479746=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #479747=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #479748=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #479749=CARTESIAN_POINT('Origin',(24.356684,25.500066,0.)); #479750=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #479751=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #479752=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #479753=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #479754=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #479755=CARTESIAN_POINT('Origin',(24.339534,25.525078,0.)); #479756=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #479757=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #479758=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #479759=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #479760=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #479761=CARTESIAN_POINT('Origin',(24.3335,25.5548,0.)); #479762=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #479763=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #479764=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #479765=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #479766=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #479767=CARTESIAN_POINT('Origin',(24.3335,25.6952,0.)); #479768=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #479769=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #479770=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #479771=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #479772=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #479773=CARTESIAN_POINT('Origin',(24.338622,25.722669,0.)); #479774=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #479775=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #479776=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #479777=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #479778=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #479779=CARTESIAN_POINT('Origin',(24.354966,25.748216,0.)); #479780=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #479781=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #479782=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #479783=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #479784=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #479785=CARTESIAN_POINT('Origin',(24.379978,25.765366,0.)); #479786=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #479787=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #479788=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #479789=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #479790=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #479791=CARTESIAN_POINT('Origin',(24.4097,25.7714,0.)); #479792=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #479793=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #479794=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #479795=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #479796=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #479797=CARTESIAN_POINT('Origin',(27.154084,25.7714,0.)); #479798=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #479799=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #479800=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #479801=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #479802=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #479803=CARTESIAN_POINT('Origin',(27.182681,25.765831,0.)); #479804=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #479805=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #479806=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #479807=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #479808=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #479809=CARTESIAN_POINT('Origin',(27.207966,25.749081,0.)); #479810=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #479811=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #479812=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #479813=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #479814=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #479815=CARTESIAN_POINT('Origin',(27.348366,25.608681,0.)); #479816=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #479817=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #479818=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #479819=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #479820=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #479821=CARTESIAN_POINT('Origin',(27.363666,25.586744,0.)); #479822=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #479823=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #479824=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #479825=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #479826=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #479827=CARTESIAN_POINT('Origin',(27.370647,25.557228,0.)); #479828=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #479829=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #479830=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #479831=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #479832=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #479833=CARTESIAN_POINT('Origin',(27.365563,25.527331,0.)); #479834=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #479835=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #479836=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #479837=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #479838=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #479839=CARTESIAN_POINT('Origin',(27.349219,25.501784,0.)); #479840=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #479841=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #479842=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #479843=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #479844=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #479845=CARTESIAN_POINT('Origin',(27.324206,25.484634,0.)); #479846=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #479847=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #479848=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.035)); #479849=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.)); #479850=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #479851=CARTESIAN_POINT('',(23.735,34.,0.)); #479852=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #479853=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #479854=CARTESIAN_POINT('',(23.735,34.,-200.)); #479855=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #479856=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #479857=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #479858=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #479859=CARTESIAN_POINT('',(27.8709143749371,34.565,0.0350000000000072)); #479860=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #479861=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #479862=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #479863=CARTESIAN_POINT('',(27.8709143749371,34.565,0.)); #479864=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #479865=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #479866=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #479867=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #479868=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #479869=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #479870=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #479871=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #479872=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #479873=CARTESIAN_POINT('',(27.5709143295198,33.435,0.0350000000000072)); #479874=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #479875=CARTESIAN_POINT('',(27.5709143295198,33.435,0.)); #479876=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #479877=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #479878=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #479879=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #479880=CARTESIAN_POINT('Origin',(29.571416,26.595338,0.)); #479881=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #479882=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #479883=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #479884=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #479885=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #479886=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #479887=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #479888=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #479889=CARTESIAN_POINT('Origin',(29.541603,26.600897,0.)); #479890=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #479891=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #479892=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #479893=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #479894=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #479895=CARTESIAN_POINT('Origin',(29.516319,26.617647,0.)); #479896=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #479897=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #479898=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #479899=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #479900=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #479901=CARTESIAN_POINT('Origin',(27.000919,29.133047,0.)); #479902=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #479903=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #479904=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #479905=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #479906=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #479907=CARTESIAN_POINT('Origin',(26.984634,29.157206,0.)); #479908=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #479909=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #479910=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #479911=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #479912=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #479913=CARTESIAN_POINT('Origin',(26.9786,29.186928,0.)); #479914=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #479915=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #479916=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #479917=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #479918=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #479919=CARTESIAN_POINT('Origin',(26.9786,30.592603,0.)); #479920=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #479921=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #479922=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #479923=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #479924=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #479925=CARTESIAN_POINT('Origin',(26.984169,30.6212,0.)); #479926=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #479927=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #479928=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #479929=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #479930=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #479931=CARTESIAN_POINT('Origin',(27.000919,30.646484,0.)); #479932=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #479933=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #479934=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #479935=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #479936=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #479937=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #479938=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #479939=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #479940=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #479941=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #479942=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #479943=CARTESIAN_POINT('Origin',(27.1774,31.177034,0.)); #479944=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #479945=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #479946=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #479947=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #479948=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #479949=CARTESIAN_POINT('Origin',(26.927034,31.4274,0.)); #479950=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #479951=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #479952=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #479953=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #479954=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #479955=CARTESIAN_POINT('Origin',(26.572966,31.4274,0.)); #479956=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #479957=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #479958=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #479959=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #479960=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #479961=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #479962=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #479963=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #479964=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #479965=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #479966=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #479967=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #479968=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #479969=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #479970=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #479971=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #479972=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #479973=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #479974=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #479975=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #479976=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #479977=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #479978=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #479979=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #479980=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #479981=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #479982=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #479983=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #479984=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #479985=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #479986=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #479987=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #479988=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #479989=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #479990=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #479991=CARTESIAN_POINT('Origin',(26.177034,31.4274,0.)); #479992=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #479993=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #479994=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #479995=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #479996=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #479997=CARTESIAN_POINT('Origin',(25.822966,31.4274,0.)); #479998=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #479999=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #480000=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #480001=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #480002=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #480003=CARTESIAN_POINT('Origin',(25.5726,31.177034,0.)); #480004=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #480005=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #480006=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #480007=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #480008=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #480009=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #480010=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #480011=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #480012=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #480013=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #480014=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #480015=CARTESIAN_POINT('Origin',(25.749081,30.646484,0.)); #480016=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #480017=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #480018=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #480019=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #480020=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #480021=CARTESIAN_POINT('Origin',(25.765366,30.622325,0.)); #480022=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #480023=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #480024=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #480025=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #480026=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #480027=CARTESIAN_POINT('Origin',(25.7714,30.592603,0.)); #480028=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #480029=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #480030=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #480031=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #480032=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #480033=CARTESIAN_POINT('Origin',(25.7714,29.3048,0.)); #480034=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #480035=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #480036=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #480037=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #480038=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #480039=CARTESIAN_POINT('Origin',(25.766278,29.277331,0.)); #480040=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #480041=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #480042=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #480043=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #480044=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #480045=CARTESIAN_POINT('Origin',(25.749934,29.251784,0.)); #480046=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #480047=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #480048=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #480049=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #480050=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #480051=CARTESIAN_POINT('Origin',(25.724922,29.234634,0.)); #480052=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #480053=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #480054=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #480055=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #480056=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #480057=CARTESIAN_POINT('Origin',(25.6952,29.2286,0.)); #480058=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #480059=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #480060=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #480061=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #480062=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #480063=CARTESIAN_POINT('Origin',(20.5528,29.2286,0.)); #480064=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #480065=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #480066=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #480067=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #480068=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #480069=CARTESIAN_POINT('Origin',(20.525331,29.233722,0.)); #480070=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #480071=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #480072=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #480073=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #480074=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #480075=CARTESIAN_POINT('Origin',(20.499784,29.250066,0.)); #480076=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #480077=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #480078=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #480079=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #480080=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #480081=CARTESIAN_POINT('Origin',(20.482634,29.275078,0.)); #480082=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #480083=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #480084=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #480085=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #480086=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #480087=CARTESIAN_POINT('Origin',(20.4766,29.3048,0.)); #480088=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #480089=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #480090=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #480091=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #480092=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #480093=CARTESIAN_POINT('Origin',(20.4766,30.1972,0.)); #480094=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #480095=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #480096=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #480097=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #480098=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #480099=CARTESIAN_POINT('Origin',(20.481722,30.224669,0.)); #480100=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #480101=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #480102=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #480103=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #480104=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #480105=CARTESIAN_POINT('Origin',(20.498066,30.250216,0.)); #480106=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #480107=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #480108=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #480109=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #480110=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #480111=CARTESIAN_POINT('Origin',(20.523078,30.267366,0.)); #480112=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #480113=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #480114=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #480115=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #480116=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #480117=CARTESIAN_POINT('Origin',(20.5528,30.2734,0.)); #480118=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #480119=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #480120=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #480121=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #480122=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #480123=CARTESIAN_POINT('Origin',(21.620953,30.2734,0.)); #480124=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #480125=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #480126=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #480127=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #480128=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #480129=CARTESIAN_POINT('Origin',(21.646309,30.2761,0.)); #480130=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #480131=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #480132=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #480133=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #480134=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #480135=CARTESIAN_POINT('Origin',(21.666663,30.282806,0.)); #480136=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #480137=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #480138=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #480139=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #480140=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #480141=CARTESIAN_POINT('Origin',(21.686197,30.294269,0.)); #480142=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #480143=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #480144=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #480145=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #480146=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #480147=CARTESIAN_POINT('Origin',(21.698866,30.305178,0.)); #480148=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #480149=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #480150=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #480151=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #480152=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #480153=CARTESIAN_POINT('Origin',(23.068978,31.675291,0.)); #480154=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #480155=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #480156=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #480157=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #480158=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #480159=CARTESIAN_POINT('Origin',(23.084997,31.695131,0.)); #480160=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #480161=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #480162=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #480163=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #480164=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #480165=CARTESIAN_POINT('Origin',(23.094647,31.714272,0.)); #480166=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #480167=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #480168=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #480169=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #480170=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #480171=CARTESIAN_POINT('Origin',(23.100359,31.736184,0.)); #480172=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #480173=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #480174=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #480175=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #480176=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #480177=CARTESIAN_POINT('Origin',(23.1016,31.752847,0.)); #480178=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #480179=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #480180=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #480181=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #480182=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #480183=CARTESIAN_POINT('Origin',(23.1016,34.92635,0.)); #480184=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #480185=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #480186=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #480187=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #480188=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #480189=CARTESIAN_POINT('Origin',(23.107169,34.954947,0.)); #480190=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #480191=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #480192=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #480193=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #480194=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #480195=CARTESIAN_POINT('Origin',(23.123919,34.980231,0.)); #480196=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #480197=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #480198=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #480199=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #480200=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #480201=CARTESIAN_POINT('Origin',(24.019769,35.876081,0.)); #480202=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #480203=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #480204=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #480205=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #480206=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #480207=CARTESIAN_POINT('Origin',(24.043928,35.892366,0.)); #480208=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #480209=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #480210=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #480211=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #480212=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #480213=CARTESIAN_POINT('Origin',(24.07365,35.8984,0.)); #480214=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #480215=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #480216=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #480217=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #480218=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #480219=CARTESIAN_POINT('Origin',(25.4964,35.8984,0.)); #480220=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #480221=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #480222=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #480223=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #480224=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #480225=CARTESIAN_POINT('Origin',(25.523869,35.893278,0.)); #480226=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #480227=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #480228=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #480229=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #480230=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #480231=CARTESIAN_POINT('Origin',(25.549416,35.876934,0.)); #480232=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #480233=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #480234=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #480235=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #480236=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #480237=CARTESIAN_POINT('Origin',(25.566566,35.851922,0.)); #480238=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #480239=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #480240=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #480241=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #480242=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #480243=CARTESIAN_POINT('Origin',(25.572406,35.823159,0.)); #480244=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #480245=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #480246=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #480247=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #480248=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #480249=CARTESIAN_POINT('Origin',(25.822966,35.5726,0.)); #480250=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #480251=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #480252=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #480253=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #480254=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #480255=CARTESIAN_POINT('Origin',(26.177034,35.5726,0.)); #480256=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #480257=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #480258=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #480259=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #480260=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #480261=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #480262=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #480263=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #480264=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #480265=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #480266=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #480267=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #480268=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #480269=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #480270=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #480271=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #480272=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #480273=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #480274=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #480275=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #480276=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #480277=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #480278=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #480279=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #480280=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #480281=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #480282=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #480283=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #480284=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #480285=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #480286=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #480287=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #480288=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #480289=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #480290=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #480291=CARTESIAN_POINT('Origin',(26.572966,35.5726,0.)); #480292=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #480293=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #480294=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #480295=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #480296=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #480297=CARTESIAN_POINT('Origin',(26.927034,35.5726,0.)); #480298=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #480299=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #480300=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #480301=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #480302=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #480303=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #480304=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #480305=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #480306=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #480307=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #480308=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #480309=CARTESIAN_POINT('Origin',(27.1774,36.004672,0.)); #480310=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #480311=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #480312=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #480313=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #480314=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #480315=CARTESIAN_POINT('Origin',(27.182094,36.031003,0.)); #480316=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #480317=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #480318=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #480319=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #480320=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #480321=CARTESIAN_POINT('Origin',(27.198028,36.056809,0.)); #480322=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #480323=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #480324=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #480325=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #480326=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #480327=CARTESIAN_POINT('Origin',(27.222766,36.074353,0.)); #480328=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #480329=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #480330=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #480331=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #480332=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #480333=CARTESIAN_POINT('Origin',(27.252384,36.080863,0.)); #480334=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #480335=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #480336=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #480337=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #480338=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #480339=CARTESIAN_POINT('Origin',(27.282197,36.075303,0.)); #480340=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #480341=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #480342=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #480343=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #480344=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #480345=CARTESIAN_POINT('Origin',(27.307481,36.058553,0.)); #480346=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #480347=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #480348=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #480349=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #480350=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #480351=CARTESIAN_POINT('Origin',(27.594634,35.7714,0.)); #480352=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #480353=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #480354=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #480355=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #480356=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #480357=CARTESIAN_POINT('Origin',(29.563072,35.7714,0.)); #480358=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #480359=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #480360=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #480361=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #480362=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #480363=CARTESIAN_POINT('Origin',(29.591669,35.765831,0.)); #480364=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #480365=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #480366=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #480367=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #480368=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #480369=CARTESIAN_POINT('Origin',(29.616953,35.749081,0.)); #480370=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #480371=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #480372=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #480373=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #480374=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #480375=CARTESIAN_POINT('Origin',(30.936581,34.429453,0.)); #480376=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #480377=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #480378=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #480379=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #480380=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #480381=CARTESIAN_POINT('Origin',(30.952866,34.405294,0.)); #480382=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #480383=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #480384=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #480385=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #480386=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #480387=CARTESIAN_POINT('Origin',(30.9589,34.375572,0.)); #480388=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #480389=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #480390=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #480391=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #480392=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #480393=CARTESIAN_POINT('Origin',(30.9589,32.563753,0.)); #480394=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #480395=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #480396=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #480397=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #480398=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #480399=CARTESIAN_POINT('Origin',(30.953331,32.535156,0.)); #480400=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #480401=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #480402=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #480403=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #480404=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #480405=CARTESIAN_POINT('Origin',(30.936581,32.509872,0.)); #480406=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #480407=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #480408=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #480409=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #480410=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #480411=CARTESIAN_POINT('Origin',(29.6464,31.219691,0.)); #480412=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #480413=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #480414=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #480415=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #480416=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #480417=CARTESIAN_POINT('Origin',(29.6464,26.671528,0.)); #480418=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #480419=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #480420=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #480421=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #480422=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #480423=CARTESIAN_POINT('Origin',(29.641706,26.645197,0.)); #480424=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #480425=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #480426=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #480427=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #480428=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #480429=CARTESIAN_POINT('Origin',(29.625772,26.619391,0.)); #480430=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #480431=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #480432=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #480433=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #480434=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #480435=CARTESIAN_POINT('Origin',(29.601034,26.601847,0.)); #480436=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #480437=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #480438=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.035)); #480439=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.)); #480440=CARTESIAN_POINT('Origin',(32.258916,22.286487,0.)); #480441=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #480442=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #480443=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #480444=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #480445=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #480446=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #480447=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #480448=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #480449=CARTESIAN_POINT('Origin',(32.229103,22.292047,0.)); #480450=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #480451=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #480452=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #480453=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #480454=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #480455=CARTESIAN_POINT('Origin',(32.203819,22.308797,0.)); #480456=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #480457=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #480458=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #480459=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #480460=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #480461=CARTESIAN_POINT('Origin',(30.500919,24.011697,0.)); #480462=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #480463=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #480464=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #480465=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #480466=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #480467=CARTESIAN_POINT('Origin',(30.484634,24.035856,0.)); #480468=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #480469=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #480470=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #480471=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #480472=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #480473=CARTESIAN_POINT('Origin',(30.4786,24.065578,0.)); #480474=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #480475=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #480476=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #480477=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #480478=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #480479=CARTESIAN_POINT('Origin',(30.4786,24.974709,0.)); #480480=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #480481=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #480482=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #480483=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #480484=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #480485=CARTESIAN_POINT('Origin',(30.483087,25.000472,0.)); #480486=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #480487=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #480488=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #480489=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #480490=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #480491=CARTESIAN_POINT('Origin',(30.498816,25.0264,0.)); #480492=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #480493=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #480494=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #480495=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #480496=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #480497=CARTESIAN_POINT('Origin',(30.523409,25.044144,0.)); #480498=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #480499=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #480500=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #480501=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #480502=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #480503=CARTESIAN_POINT('Origin',(30.552978,25.050888,0.)); #480504=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #480505=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #480506=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #480507=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #480508=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #480509=CARTESIAN_POINT('Origin',(30.582834,25.045566,0.)); #480510=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #480511=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #480512=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #480513=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #480514=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #480515=CARTESIAN_POINT('Origin',(30.60825,25.029019,0.)); #480516=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #480517=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #480518=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #480519=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #480520=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #480521=CARTESIAN_POINT('Origin',(30.6252,25.003869,0.)); #480522=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #480523=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #480524=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #480525=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #480526=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #480527=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #480528=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #480529=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #480530=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #480531=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #480532=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #480533=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #480534=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #480535=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #480536=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #480537=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #480538=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #480539=CARTESIAN_POINT('Origin',(31.3205,24.3476,0.)); #480540=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #480541=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #480542=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #480543=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #480544=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #480545=CARTESIAN_POINT('Origin',(31.6795,24.3476,0.)); #480546=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #480547=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #480548=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #480549=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #480550=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #480551=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #480552=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #480553=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #480554=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #480555=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #480556=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #480557=CARTESIAN_POINT('Origin',(32.203819,24.677634,0.)); #480558=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #480559=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #480560=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #480561=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #480562=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #480563=CARTESIAN_POINT('Origin',(32.225756,24.692934,0.)); #480564=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #480565=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #480566=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #480567=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #480568=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #480569=CARTESIAN_POINT('Origin',(32.255272,24.699916,0.)); #480570=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #480571=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #480572=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #480573=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #480574=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #480575=CARTESIAN_POINT('Origin',(32.285169,24.694831,0.)); #480576=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #480577=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #480578=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #480579=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #480580=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #480581=CARTESIAN_POINT('Origin',(32.310716,24.678488,0.)); #480582=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #480583=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #480584=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #480585=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #480586=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #480587=CARTESIAN_POINT('Origin',(32.327866,24.653475,0.)); #480588=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #480589=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #480590=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #480591=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #480592=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #480593=CARTESIAN_POINT('Origin',(32.3339,24.623753,0.)); #480594=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #480595=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #480596=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #480597=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #480598=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #480599=CARTESIAN_POINT('Origin',(32.3339,22.362678,0.)); #480600=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #480601=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #480602=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #480603=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #480604=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #480605=CARTESIAN_POINT('Origin',(32.329206,22.336347,0.)); #480606=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #480607=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #480608=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #480609=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #480610=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #480611=CARTESIAN_POINT('Origin',(32.313272,22.310541,0.)); #480612=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #480613=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #480614=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #480615=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #480616=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #480617=CARTESIAN_POINT('Origin',(32.288534,22.292997,0.)); #480618=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #480619=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #480620=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.035)); #480621=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.)); #480622=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #480623=CARTESIAN_POINT('',(47.61,56.,0.)); #480624=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #480625=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #480626=CARTESIAN_POINT('',(47.61,56.,-200.)); #480627=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #480628=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #480629=CARTESIAN_POINT('',(47.61,55.25,0.)); #480630=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #480631=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #480632=CARTESIAN_POINT('',(47.61,55.25,-200.)); #480633=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #480634=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #480635=CARTESIAN_POINT('',(45.86,56.75,0.)); #480636=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #480637=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #480638=CARTESIAN_POINT('',(45.86,56.75,-200.)); #480639=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #480640=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #480641=CARTESIAN_POINT('',(45.86,55.25,0.)); #480642=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #480643=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #480644=CARTESIAN_POINT('',(45.86,55.25,-200.)); #480645=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #480646=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #480647=CARTESIAN_POINT('',(44.11,55.25,0.)); #480648=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #480649=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #480650=CARTESIAN_POINT('',(44.11,55.25,-200.)); #480651=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #480652=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #480653=CARTESIAN_POINT('',(44.11,56.75,0.)); #480654=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #480655=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #480656=CARTESIAN_POINT('',(44.11,56.75,-200.)); #480657=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #480658=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #480659=CARTESIAN_POINT('',(44.11,56.,0.)); #480660=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #480661=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #480662=CARTESIAN_POINT('',(44.11,56.,-200.)); #480663=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #480664=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #480665=CARTESIAN_POINT('',(45.86,56.,0.)); #480666=CARTESIAN_POINT('Origin',(46.,56.,0.)); #480667=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #480668=CARTESIAN_POINT('',(45.86,56.,-200.)); #480669=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #480670=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #480671=CARTESIAN_POINT('',(44.985,55.25,0.)); #480672=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #480673=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #480674=CARTESIAN_POINT('',(44.985,55.25,-200.)); #480675=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #480676=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #480677=CARTESIAN_POINT('',(44.985,56.,0.)); #480678=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #480679=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #480680=CARTESIAN_POINT('',(44.985,56.,-200.)); #480681=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #480682=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #480683=CARTESIAN_POINT('',(47.61,56.75,0.)); #480684=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #480685=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #480686=CARTESIAN_POINT('',(47.61,56.75,-200.)); #480687=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #480688=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #480689=CARTESIAN_POINT('',(44.985,56.75,0.)); #480690=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #480691=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #480692=CARTESIAN_POINT('',(44.985,56.75,-200.)); #480693=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #480694=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #480695=CARTESIAN_POINT('',(46.735,56.,0.)); #480696=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #480697=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #480698=CARTESIAN_POINT('',(46.735,56.,-200.)); #480699=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #480700=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #480701=CARTESIAN_POINT('',(46.735,55.25,0.)); #480702=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #480703=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #480704=CARTESIAN_POINT('',(46.735,55.25,-200.)); #480705=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #480706=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #480707=CARTESIAN_POINT('',(46.735,56.75,0.)); #480708=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #480709=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #480710=CARTESIAN_POINT('',(46.735,56.75,-200.)); #480711=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #480712=CARTESIAN_POINT('Origin',(42.984647,49.606559,0.)); #480713=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #480714=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #480715=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #480716=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #480717=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #480718=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #480719=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #480720=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #480721=CARTESIAN_POINT('Origin',(42.934819,49.615031,0.)); #480722=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #480723=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #480724=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #480725=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #480726=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #480727=CARTESIAN_POINT('Origin',(42.892241,49.642272,0.)); #480728=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #480729=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #480730=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #480731=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #480732=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #480733=CARTESIAN_POINT('Origin',(42.863659,49.683959,0.)); #480734=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #480735=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #480736=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #480737=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #480738=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #480739=CARTESIAN_POINT('Origin',(42.8536,49.733494,0.)); #480740=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #480741=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #480742=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #480743=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #480744=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #480745=CARTESIAN_POINT('Origin',(42.8536,57.727706,0.)); #480746=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #480747=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #480748=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #480749=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #480750=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #480751=CARTESIAN_POINT('Origin',(42.862884,57.775369,0.)); #480752=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #480753=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #480754=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #480755=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #480756=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #480757=CARTESIAN_POINT('Origin',(42.890797,57.817509,0.)); #480758=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #480759=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #480760=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #480761=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #480762=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #480763=CARTESIAN_POINT('Origin',(43.557491,58.484203,0.)); #480764=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #480765=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #480766=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #480767=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #480768=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #480769=CARTESIAN_POINT('Origin',(43.597759,58.511341,0.)); #480770=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #480771=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #480772=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #480773=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #480774=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #480775=CARTESIAN_POINT('Origin',(43.647294,58.5214,0.)); #480776=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #480777=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #480778=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #480779=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #480780=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #480781=CARTESIAN_POINT('Origin',(47.476559,58.5214,0.)); #480782=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #480783=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #480784=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #480785=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #480786=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #480787=CARTESIAN_POINT('Origin',(47.524222,58.512116,0.)); #480788=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #480789=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #480790=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #480791=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #480792=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #480793=CARTESIAN_POINT('Origin',(47.566363,58.484203,0.)); #480794=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #480795=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #480796=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #480797=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #480798=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #480799=CARTESIAN_POINT('Origin',(47.727966,58.3226,0.)); #480800=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #480801=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #480802=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #480803=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #480804=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #480805=CARTESIAN_POINT('Origin',(48.082034,58.3226,0.)); #480806=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #480807=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #480808=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #480809=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #480810=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #480811=CARTESIAN_POINT('Origin',(48.102416,58.342981,0.)); #480812=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #480813=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #480814=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #480815=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #480816=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #480817=CARTESIAN_POINT('Origin',(48.140828,58.369316,0.)); #480818=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #480819=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #480820=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #480821=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #480822=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #480823=CARTESIAN_POINT('Origin',(48.190194,58.380163,0.)); #480824=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #480825=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #480826=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #480827=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #480828=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #480829=CARTESIAN_POINT('Origin',(48.239884,58.370894,0.)); #480830=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #480831=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #480832=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #480833=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #480834=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #480835=CARTESIAN_POINT('Origin',(48.282022,58.342981,0.)); #480836=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #480837=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #480838=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #480839=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #480840=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #480841=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #480842=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #480843=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #480844=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #480845=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #480846=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #480847=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #480848=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #480849=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #480850=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #480851=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #480852=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #480853=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #480854=CARTESIAN_POINT('',(49.625,56.947394,0.)); #480855=CARTESIAN_POINT('',(49.625,56.947394,0.)); #480856=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #480857=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #480858=CARTESIAN_POINT('',(49.625,56.947394,0.)); #480859=CARTESIAN_POINT('Origin',(49.625,51.189284,0.)); #480860=CARTESIAN_POINT('',(49.625,51.189284,0.)); #480861=CARTESIAN_POINT('',(49.625,51.189284,0.)); #480862=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #480863=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #480864=CARTESIAN_POINT('',(49.625,51.189284,0.)); #480865=CARTESIAN_POINT('Origin',(49.609653,51.128766,0.)); #480866=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #480867=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #480868=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #480869=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #480870=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #480871=CARTESIAN_POINT('Origin',(49.577206,51.090009,0.)); #480872=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #480873=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #480874=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #480875=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #480876=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #480877=CARTESIAN_POINT('Origin',(49.548128,51.066809,0.)); #480878=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #480879=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #480880=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #480881=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #480882=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #480883=CARTESIAN_POINT('Origin',(48.460181,49.978863,0.)); #480884=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #480885=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #480886=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #480887=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #480888=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #480889=CARTESIAN_POINT('Origin',(48.421772,49.952528,0.)); #480890=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #480891=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #480892=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #480893=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #480894=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #480895=CARTESIAN_POINT('Origin',(48.372403,49.941681,0.)); #480896=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #480897=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #480898=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #480899=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #480900=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #480901=CARTESIAN_POINT('Origin',(48.322716,49.95095,0.)); #480902=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #480903=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #480904=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #480905=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #480906=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #480907=CARTESIAN_POINT('Origin',(48.280575,49.978863,0.)); #480908=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #480909=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #480910=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #480911=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #480912=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #480913=CARTESIAN_POINT('Origin',(48.082034,50.1774,0.)); #480914=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #480915=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #480916=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #480917=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #480918=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #480919=CARTESIAN_POINT('Origin',(47.727966,50.1774,0.)); #480920=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #480921=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #480922=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #480923=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #480924=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #480925=CARTESIAN_POINT('Origin',(47.566363,50.015797,0.)); #480926=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #480927=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #480928=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #480929=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #480930=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #480931=CARTESIAN_POINT('Origin',(47.526094,49.988659,0.)); #480932=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #480933=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #480934=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #480935=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #480936=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #480937=CARTESIAN_POINT('Origin',(47.476559,49.9786,0.)); #480938=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #480939=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #480940=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #480941=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #480942=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #480943=CARTESIAN_POINT('Origin',(43.405313,49.9786,0.)); #480944=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #480945=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #480946=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #480947=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #480948=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #480949=CARTESIAN_POINT('Origin',(43.070403,49.643691,0.)); #480950=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #480951=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #480952=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #480953=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #480954=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #480955=CARTESIAN_POINT('Origin',(43.033838,49.618191,0.)); #480956=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #480957=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #480958=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.035)); #480959=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.)); #480960=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #480961=CARTESIAN_POINT('',(39.872,52.5,0.)); #480962=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #480963=CARTESIAN_POINT('',(39.872,52.5,0.0349999999999895)); #480964=CARTESIAN_POINT('',(39.872,52.5,-200.)); #480965=CARTESIAN_POINT('Origin',(40.012,52.5,0.0349999999999895)); #480966=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.)); #480967=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #480968=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #480969=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #480970=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #480971=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #480972=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #480973=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #480974=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #480975=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.)); #480976=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #480977=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #480978=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #480979=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #480980=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #480981=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.)); #480982=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #480983=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #480984=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #480985=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #480986=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #480987=CARTESIAN_POINT('Origin',(34.123,20.7125,0.)); #480988=CARTESIAN_POINT('',(34.123,20.7125,0.)); #480989=CARTESIAN_POINT('',(34.123,20.7125,0.)); #480990=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #480991=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #480992=CARTESIAN_POINT('',(34.123,20.7125,0.)); #480993=CARTESIAN_POINT('Origin',(34.123,21.969663,0.)); #480994=CARTESIAN_POINT('',(34.123,21.969663,0.)); #480995=CARTESIAN_POINT('',(34.123,21.969663,0.)); #480996=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #480997=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #480998=CARTESIAN_POINT('',(34.123,21.969663,0.)); #480999=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #481000=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #481001=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #481002=CARTESIAN_POINT('',(34.160197,22.059466,0.035)); #481003=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.035)); #481004=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #481005=CARTESIAN_POINT('Origin',(35.3105,23.209769,0.)); #481006=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #481007=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #481008=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #481009=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #481010=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #481011=CARTESIAN_POINT('Origin',(35.3105,34.1875,0.)); #481012=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #481013=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #481014=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #481015=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #481016=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #481017=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #481018=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #481019=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #481020=CARTESIAN_POINT('',(35.347697,34.277303,0.035)); #481021=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.035)); #481022=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #481023=CARTESIAN_POINT('Origin',(37.6735,36.603106,0.)); #481024=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #481025=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #481026=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #481027=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #481028=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #481029=CARTESIAN_POINT('Origin',(37.6735,37.384894,0.)); #481030=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #481031=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #481032=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #481033=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #481034=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #481035=CARTESIAN_POINT('Origin',(37.502894,37.5555,0.)); #481036=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #481037=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #481038=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #481039=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #481040=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #481041=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.)); #481042=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #481043=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #481044=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #481045=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #481046=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #481047=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.)); #481048=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #481049=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #481050=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #481051=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #481052=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #481053=CARTESIAN_POINT('Origin',(37.502894,38.4445,0.)); #481054=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #481055=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #481056=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #481057=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #481058=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #481059=CARTESIAN_POINT('Origin',(42.123,43.064606,0.)); #481060=CARTESIAN_POINT('',(42.123,43.064606,0.)); #481061=CARTESIAN_POINT('',(42.123,43.064606,0.)); #481062=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #481063=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #481064=CARTESIAN_POINT('',(42.123,43.064606,0.)); #481065=CARTESIAN_POINT('Origin',(42.123,51.697394,0.)); #481066=CARTESIAN_POINT('',(42.123,51.697394,0.)); #481067=CARTESIAN_POINT('',(42.123,51.697394,0.)); #481068=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #481069=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #481070=CARTESIAN_POINT('',(42.123,51.697394,0.)); #481071=CARTESIAN_POINT('Origin',(41.447394,52.373,0.)); #481072=CARTESIAN_POINT('',(41.447394,52.373,0.)); #481073=CARTESIAN_POINT('',(41.447394,52.373,0.)); #481074=CARTESIAN_POINT('',(41.447394,52.373,0.035)); #481075=CARTESIAN_POINT('',(41.447394,52.373,0.035)); #481076=CARTESIAN_POINT('',(41.447394,52.373,0.)); #481077=CARTESIAN_POINT('Origin',(40.4311,52.373,0.)); #481078=CARTESIAN_POINT('',(40.4311,52.373,0.)); #481079=CARTESIAN_POINT('',(40.4311,52.373,0.)); #481080=CARTESIAN_POINT('',(40.4311,52.373,0.035)); #481081=CARTESIAN_POINT('',(40.4311,52.373,0.035)); #481082=CARTESIAN_POINT('',(40.4311,52.373,0.)); #481083=CARTESIAN_POINT('Origin',(40.4311,52.0555,0.)); #481084=CARTESIAN_POINT('',(40.4311,52.0555,0.)); #481085=CARTESIAN_POINT('',(40.4311,52.0555,0.)); #481086=CARTESIAN_POINT('',(40.4311,52.0555,0.035)); #481087=CARTESIAN_POINT('',(40.4311,52.0555,0.035)); #481088=CARTESIAN_POINT('',(40.4311,52.0555,0.)); #481089=CARTESIAN_POINT('Origin',(39.5929,52.0555,0.)); #481090=CARTESIAN_POINT('',(39.5929,52.0555,0.)); #481091=CARTESIAN_POINT('',(39.5929,52.0555,0.)); #481092=CARTESIAN_POINT('',(39.5929,52.0555,0.035)); #481093=CARTESIAN_POINT('',(39.5929,52.0555,0.035)); #481094=CARTESIAN_POINT('',(39.5929,52.0555,0.)); #481095=CARTESIAN_POINT('Origin',(39.5929,52.9445,0.)); #481096=CARTESIAN_POINT('',(39.5929,52.9445,0.)); #481097=CARTESIAN_POINT('',(39.5929,52.9445,0.)); #481098=CARTESIAN_POINT('',(39.5929,52.9445,0.035)); #481099=CARTESIAN_POINT('',(39.5929,52.9445,0.035)); #481100=CARTESIAN_POINT('',(39.5929,52.9445,0.)); #481101=CARTESIAN_POINT('Origin',(40.4311,52.9445,0.)); #481102=CARTESIAN_POINT('',(40.4311,52.9445,0.)); #481103=CARTESIAN_POINT('',(40.4311,52.9445,0.)); #481104=CARTESIAN_POINT('',(40.4311,52.9445,0.035)); #481105=CARTESIAN_POINT('',(40.4311,52.9445,0.035)); #481106=CARTESIAN_POINT('',(40.4311,52.9445,0.)); #481107=CARTESIAN_POINT('Origin',(40.4311,52.627,0.)); #481108=CARTESIAN_POINT('',(40.4311,52.627,0.)); #481109=CARTESIAN_POINT('',(40.4311,52.627,0.)); #481110=CARTESIAN_POINT('',(40.4311,52.627,0.035)); #481111=CARTESIAN_POINT('',(40.4311,52.627,0.035)); #481112=CARTESIAN_POINT('',(40.4311,52.627,0.)); #481113=CARTESIAN_POINT('Origin',(41.5,52.627,0.)); #481114=CARTESIAN_POINT('',(41.5,52.627,0.)); #481115=CARTESIAN_POINT('',(41.5,52.627,0.)); #481116=CARTESIAN_POINT('',(41.5,52.627,0.035)); #481117=CARTESIAN_POINT('',(41.5,52.627,0.035)); #481118=CARTESIAN_POINT('',(41.5,52.627,0.)); #481119=CARTESIAN_POINT('Origin',(41.5000007497004,52.4999996912748,0.)); #481120=CARTESIAN_POINT('',(41.589803,52.589803,0.)); #481121=CARTESIAN_POINT('Origin',(41.5000007497004,52.4999996912748,0.)); #481122=CARTESIAN_POINT('',(41.589803,52.589803,0.035)); #481123=CARTESIAN_POINT('Origin',(41.5000007497004,52.4999996912748,0.035)); #481124=CARTESIAN_POINT('',(41.589803,52.589803,0.)); #481125=CARTESIAN_POINT('Origin',(42.339803,51.839803,0.)); #481126=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #481127=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #481128=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #481129=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #481130=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #481131=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #481132=CARTESIAN_POINT('',(42.377,51.75,0.)); #481133=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #481134=CARTESIAN_POINT('',(42.377,51.75,0.035)); #481135=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.035)); #481136=CARTESIAN_POINT('',(42.377,51.75,0.)); #481137=CARTESIAN_POINT('Origin',(42.377,43.,0.)); #481138=CARTESIAN_POINT('',(42.377,43.,0.)); #481139=CARTESIAN_POINT('',(42.377,43.,0.)); #481140=CARTESIAN_POINT('',(42.377,43.,0.035)); #481141=CARTESIAN_POINT('',(42.377,43.,0.035)); #481142=CARTESIAN_POINT('',(42.377,43.,0.)); #481143=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #481144=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #481145=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #481146=CARTESIAN_POINT('',(42.301719,42.884113,0.035)); #481147=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.035)); #481148=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #481149=CARTESIAN_POINT('Origin',(37.6571,38.239494,0.)); #481150=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #481151=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #481152=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #481153=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #481154=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #481155=CARTESIAN_POINT('Origin',(37.6571,37.760506,0.)); #481156=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #481157=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #481158=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #481159=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #481160=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #481161=CARTESIAN_POINT('Origin',(37.890303,37.527303,0.)); #481162=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #481163=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #481164=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #481165=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #481166=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #481167=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #481168=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #481169=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #481170=CARTESIAN_POINT('',(37.9275,37.4375,0.035)); #481171=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.035)); #481172=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #481173=CARTESIAN_POINT('Origin',(37.9275,36.5505,0.)); #481174=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #481175=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #481176=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #481177=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #481178=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #481179=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #481180=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #481181=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #481182=CARTESIAN_POINT('',(37.890303,36.460697,0.035)); #481183=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.035)); #481184=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #481185=CARTESIAN_POINT('Origin',(35.5645,34.134894,0.)); #481186=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #481187=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #481188=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #481189=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #481190=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #481191=CARTESIAN_POINT('Origin',(35.5645,23.157163,0.)); #481192=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #481193=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #481194=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #481195=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #481196=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #481197=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #481198=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #481199=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #481200=CARTESIAN_POINT('',(35.527303,23.067359,0.035)); #481201=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.035)); #481202=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #481203=CARTESIAN_POINT('Origin',(34.377,21.917056,0.)); #481204=CARTESIAN_POINT('',(34.377,21.917056,0.)); #481205=CARTESIAN_POINT('',(34.377,21.917056,0.)); #481206=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #481207=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #481208=CARTESIAN_POINT('',(34.377,21.917056,0.)); #481209=CARTESIAN_POINT('Origin',(34.377,20.7125,0.)); #481210=CARTESIAN_POINT('',(34.377,20.7125,0.)); #481211=CARTESIAN_POINT('',(34.377,20.7125,0.)); #481212=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #481213=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #481214=CARTESIAN_POINT('',(34.377,20.7125,0.)); #481215=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.)); #481216=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #481217=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #481218=CARTESIAN_POINT('Origin',(38.328147887559,37.4999566976817,0.035)); #481219=CARTESIAN_POINT('Origin',(38.328147887559,37.4999566976817,0.)); #481220=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #481221=CARTESIAN_POINT('',(21.86,55.25,0.)); #481222=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #481223=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #481224=CARTESIAN_POINT('',(21.86,55.25,-200.)); #481225=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #481226=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #481227=CARTESIAN_POINT('',(21.86,56.75,0.)); #481228=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #481229=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #481230=CARTESIAN_POINT('',(21.86,56.75,-200.)); #481231=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #481232=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #481233=CARTESIAN_POINT('',(20.11,56.,0.)); #481234=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #481235=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #481236=CARTESIAN_POINT('',(20.11,56.,-200.)); #481237=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #481238=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #481239=CARTESIAN_POINT('',(21.86,56.,0.)); #481240=CARTESIAN_POINT('Origin',(22.,56.,0.)); #481241=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #481242=CARTESIAN_POINT('',(21.86,56.,-200.)); #481243=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #481244=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #481245=CARTESIAN_POINT('',(20.11,56.75,0.)); #481246=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #481247=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #481248=CARTESIAN_POINT('',(20.11,56.75,-200.)); #481249=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #481250=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #481251=CARTESIAN_POINT('',(20.11,55.25,0.)); #481252=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #481253=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #481254=CARTESIAN_POINT('',(20.11,55.25,-200.)); #481255=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #481256=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #481257=CARTESIAN_POINT('',(20.985,56.,0.)); #481258=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #481259=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #481260=CARTESIAN_POINT('',(20.985,56.,-200.)); #481261=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #481262=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #481263=CARTESIAN_POINT('',(20.985,55.25,0.)); #481264=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #481265=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #481266=CARTESIAN_POINT('',(20.985,55.25,-200.)); #481267=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #481268=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #481269=CARTESIAN_POINT('',(22.735,56.,0.)); #481270=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #481271=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #481272=CARTESIAN_POINT('',(22.735,56.,-200.)); #481273=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #481274=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #481275=CARTESIAN_POINT('',(22.735,55.25,0.)); #481276=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #481277=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #481278=CARTESIAN_POINT('',(22.735,55.25,-200.)); #481279=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #481280=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #481281=CARTESIAN_POINT('',(23.61,56.,0.)); #481282=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #481283=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #481284=CARTESIAN_POINT('',(23.61,56.,-200.)); #481285=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #481286=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #481287=CARTESIAN_POINT('',(23.61,55.25,0.)); #481288=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #481289=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #481290=CARTESIAN_POINT('',(23.61,55.25,-200.)); #481291=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #481292=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #481293=CARTESIAN_POINT('',(23.61,56.75,0.)); #481294=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #481295=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #481296=CARTESIAN_POINT('',(23.61,56.75,-200.)); #481297=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #481298=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #481299=CARTESIAN_POINT('',(22.735,56.75,0.)); #481300=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #481301=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #481302=CARTESIAN_POINT('',(22.735,56.75,-200.)); #481303=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #481304=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #481305=CARTESIAN_POINT('',(20.985,56.75,0.)); #481306=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #481307=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #481308=CARTESIAN_POINT('',(20.985,56.75,-200.)); #481309=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #481310=CARTESIAN_POINT('Origin',(25.021425,49.606509,0.)); #481311=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #481312=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #481313=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #481314=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #481315=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #481316=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #481317=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #481318=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #481319=CARTESIAN_POINT('Origin',(24.971737,49.615778,0.)); #481320=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #481321=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #481322=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #481323=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #481324=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #481325=CARTESIAN_POINT('Origin',(24.929597,49.643691,0.)); #481326=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #481327=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #481328=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #481329=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #481330=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #481331=CARTESIAN_POINT('Origin',(24.594688,49.9786,0.)); #481332=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #481333=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #481334=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #481335=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #481336=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #481337=CARTESIAN_POINT('Origin',(24.333441,49.9786,0.)); #481338=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #481339=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #481340=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #481341=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #481342=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #481343=CARTESIAN_POINT('Origin',(24.285778,49.987884,0.)); #481344=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #481345=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #481346=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #481347=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #481348=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #481349=CARTESIAN_POINT('Origin',(24.243638,50.015797,0.)); #481350=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #481351=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #481352=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #481353=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #481354=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #481355=CARTESIAN_POINT('Origin',(24.082034,50.1774,0.)); #481356=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #481357=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #481358=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #481359=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #481360=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #481361=CARTESIAN_POINT('Origin',(23.727966,50.1774,0.)); #481362=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #481363=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #481364=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #481365=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #481366=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #481367=CARTESIAN_POINT('Origin',(23.443625,49.893059,0.)); #481368=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #481369=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #481370=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #481371=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #481372=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #481373=CARTESIAN_POINT('Origin',(23.441822,49.890241,0.)); #481374=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #481375=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #481376=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #481377=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #481378=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #481379=CARTESIAN_POINT('Origin',(23.400134,49.861659,0.)); #481380=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #481381=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #481382=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #481383=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #481384=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #481385=CARTESIAN_POINT('Origin',(23.3506,49.8516,0.)); #481386=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #481387=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #481388=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #481389=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #481390=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #481391=CARTESIAN_POINT('Origin',(19.719688,49.8516,0.)); #481392=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #481393=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #481394=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #481395=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #481396=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #481397=CARTESIAN_POINT('Origin',(19.672025,49.860884,0.)); #481398=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #481399=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #481400=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #481401=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #481402=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #481403=CARTESIAN_POINT('Origin',(19.629884,49.888797,0.)); #481404=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #481405=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #481406=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #481407=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #481408=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #481409=CARTESIAN_POINT('Origin',(18.451025,51.067656,0.)); #481410=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #481411=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #481412=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #481413=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #481414=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #481415=CARTESIAN_POINT('Origin',(18.422725,51.091103,0.)); #481416=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #481417=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #481418=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #481419=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #481420=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #481421=CARTESIAN_POINT('Origin',(18.423144,51.091609,0.)); #481422=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #481423=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #481424=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #481425=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #481426=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #481427=CARTESIAN_POINT('Origin',(18.413641,51.098641,0.)); #481428=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #481429=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #481430=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #481431=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #481432=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #481433=CARTESIAN_POINT('Origin',(18.385059,51.140328,0.)); #481434=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #481435=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #481436=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #481437=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #481438=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #481439=CARTESIAN_POINT('Origin',(18.375,51.189863,0.)); #481440=CARTESIAN_POINT('',(18.375,51.189863,0.)); #481441=CARTESIAN_POINT('',(18.375,51.189863,0.)); #481442=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #481443=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #481444=CARTESIAN_POINT('',(18.375,51.189863,0.)); #481445=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #481446=CARTESIAN_POINT('',(18.375,56.947394,0.)); #481447=CARTESIAN_POINT('',(18.375,56.947394,0.)); #481448=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #481449=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #481450=CARTESIAN_POINT('',(18.375,56.947394,0.)); #481451=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #481452=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #481453=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #481454=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #481455=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #481456=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #481457=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #481458=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #481459=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #481460=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #481461=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #481462=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #481463=CARTESIAN_POINT('Origin',(20.087803,58.712803,0.)); #481464=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #481465=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #481466=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #481467=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #481468=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #481469=CARTESIAN_POINT('Origin',(20.128072,58.739941,0.)); #481470=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #481471=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #481472=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #481473=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #481474=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #481475=CARTESIAN_POINT('Origin',(20.177606,58.75,0.)); #481476=CARTESIAN_POINT('',(20.177606,58.75,0.)); #481477=CARTESIAN_POINT('',(20.177606,58.75,0.)); #481478=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #481479=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #481480=CARTESIAN_POINT('',(20.177606,58.75,0.)); #481481=CARTESIAN_POINT('Origin',(23.3506,58.75,0.)); #481482=CARTESIAN_POINT('',(23.3506,58.75,0.)); #481483=CARTESIAN_POINT('',(23.3506,58.75,0.)); #481484=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #481485=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #481486=CARTESIAN_POINT('',(23.3506,58.75,0.)); #481487=CARTESIAN_POINT('Origin',(23.396381,58.741463,0.)); #481488=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #481489=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #481490=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #481491=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #481492=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #481493=CARTESIAN_POINT('Origin',(23.438959,58.714222,0.)); #481494=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #481495=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #481496=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #481497=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #481498=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #481499=CARTESIAN_POINT('Origin',(23.467541,58.672534,0.)); #481500=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #481501=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #481502=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #481503=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #481504=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #481505=CARTESIAN_POINT('Origin',(23.4776,58.623,0.)); #481506=CARTESIAN_POINT('',(23.4776,58.623,0.)); #481507=CARTESIAN_POINT('',(23.4776,58.623,0.)); #481508=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #481509=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #481510=CARTESIAN_POINT('',(23.4776,58.623,0.)); #481511=CARTESIAN_POINT('Origin',(23.4776,58.572966,0.)); #481512=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #481513=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #481514=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #481515=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #481516=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #481517=CARTESIAN_POINT('Origin',(23.727966,58.3226,0.)); #481518=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #481519=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #481520=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #481521=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #481522=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #481523=CARTESIAN_POINT('Origin',(24.082034,58.3226,0.)); #481524=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #481525=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #481526=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #481527=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #481528=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #481529=CARTESIAN_POINT('Origin',(24.243638,58.484203,0.)); #481530=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #481531=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #481532=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #481533=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #481534=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #481535=CARTESIAN_POINT('Origin',(24.283906,58.511341,0.)); #481536=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #481537=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #481538=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #481539=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #481540=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #481541=CARTESIAN_POINT('Origin',(24.333441,58.5214,0.)); #481542=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #481543=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #481544=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #481545=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #481546=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #481547=CARTESIAN_POINT('Origin',(24.352706,58.5214,0.)); #481548=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #481549=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #481550=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #481551=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #481552=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #481553=CARTESIAN_POINT('Origin',(24.400369,58.512116,0.)); #481554=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #481555=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #481556=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #481557=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #481558=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #481559=CARTESIAN_POINT('Origin',(24.442509,58.484203,0.)); #481560=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #481561=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #481562=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #481563=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #481564=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #481565=CARTESIAN_POINT('Origin',(25.109203,57.817509,0.)); #481566=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #481567=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #481568=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #481569=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #481570=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #481571=CARTESIAN_POINT('Origin',(25.136341,57.777241,0.)); #481572=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #481573=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #481574=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #481575=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #481576=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #481577=CARTESIAN_POINT('Origin',(25.1464,57.727706,0.)); #481578=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #481579=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #481580=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #481581=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #481582=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #481583=CARTESIAN_POINT('Origin',(25.1464,49.733494,0.)); #481584=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #481585=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #481586=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #481587=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #481588=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #481589=CARTESIAN_POINT('Origin',(25.138575,49.689606,0.)); #481590=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #481591=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #481592=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #481593=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #481594=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #481595=CARTESIAN_POINT('Origin',(25.112019,49.6466,0.)); #481596=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #481597=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #481598=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #481599=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #481600=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #481601=CARTESIAN_POINT('Origin',(25.070794,49.617356,0.)); #481602=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #481603=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #481604=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.035)); #481605=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.)); #481606=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #481607=CARTESIAN_POINT('',(27.848,52.5,0.)); #481608=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #481609=CARTESIAN_POINT('',(27.848,52.5,0.0349999999999895)); #481610=CARTESIAN_POINT('',(27.848,52.5,-200.)); #481611=CARTESIAN_POINT('Origin',(27.988,52.5,0.0349999999999895)); #481612=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.)); #481613=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #481614=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #481615=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #481616=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #481617=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #481618=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #481619=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #481620=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #481621=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.)); #481622=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #481623=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #481624=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #481625=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #481626=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #481627=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.)); #481628=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #481629=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #481630=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #481631=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #481632=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #481633=CARTESIAN_POINT('Origin',(32.623,20.7125,0.)); #481634=CARTESIAN_POINT('',(32.623,20.7125,0.)); #481635=CARTESIAN_POINT('',(32.623,20.7125,0.)); #481636=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #481637=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #481638=CARTESIAN_POINT('',(32.623,20.7125,0.)); #481639=CARTESIAN_POINT('Origin',(32.623,21.917056,0.)); #481640=CARTESIAN_POINT('',(32.623,21.917056,0.)); #481641=CARTESIAN_POINT('',(32.623,21.917056,0.)); #481642=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #481643=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #481644=CARTESIAN_POINT('',(32.623,21.917056,0.)); #481645=CARTESIAN_POINT('Origin',(32.472697,22.067359,0.)); #481646=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #481647=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #481648=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #481649=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #481650=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #481651=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #481652=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #481653=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #481654=CARTESIAN_POINT('',(32.4355,22.157163,0.035)); #481655=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.035)); #481656=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #481657=CARTESIAN_POINT('Origin',(32.4355,34.134894,0.)); #481658=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #481659=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #481660=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #481661=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #481662=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #481663=CARTESIAN_POINT('Origin',(30.109697,36.460697,0.)); #481664=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #481665=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #481666=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #481667=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #481668=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #481669=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #481670=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #481671=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #481672=CARTESIAN_POINT('',(30.0725,36.5505,0.035)); #481673=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.035)); #481674=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #481675=CARTESIAN_POINT('Origin',(30.0725,37.4375,0.)); #481676=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #481677=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #481678=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #481679=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #481680=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #481681=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #481682=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #481683=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #481684=CARTESIAN_POINT('',(30.109697,37.527303,0.035)); #481685=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.035)); #481686=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #481687=CARTESIAN_POINT('Origin',(30.3429,37.760506,0.)); #481688=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #481689=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #481690=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #481691=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #481692=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #481693=CARTESIAN_POINT('Origin',(30.3429,38.239494,0.)); #481694=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #481695=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #481696=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #481697=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #481698=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #481699=CARTESIAN_POINT('Origin',(25.660197,42.922197,0.)); #481700=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #481701=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #481702=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #481703=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #481704=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #481705=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #481706=CARTESIAN_POINT('',(25.623,43.012,0.)); #481707=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #481708=CARTESIAN_POINT('',(25.623,43.012,0.035)); #481709=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.035)); #481710=CARTESIAN_POINT('',(25.623,43.012,0.)); #481711=CARTESIAN_POINT('Origin',(25.623,51.75,0.)); #481712=CARTESIAN_POINT('',(25.623,51.75,0.)); #481713=CARTESIAN_POINT('',(25.623,51.75,0.)); #481714=CARTESIAN_POINT('',(25.623,51.75,0.035)); #481715=CARTESIAN_POINT('',(25.623,51.75,0.035)); #481716=CARTESIAN_POINT('',(25.623,51.75,0.)); #481717=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #481718=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #481719=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #481720=CARTESIAN_POINT('',(25.660197,51.839803,0.035)); #481721=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.035)); #481722=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #481723=CARTESIAN_POINT('Origin',(26.410197,52.589803,0.)); #481724=CARTESIAN_POINT('',(26.410197,52.589803,0.)); #481725=CARTESIAN_POINT('',(26.410197,52.589803,0.)); #481726=CARTESIAN_POINT('',(26.410197,52.589803,0.035)); #481727=CARTESIAN_POINT('',(26.410197,52.589803,0.035)); #481728=CARTESIAN_POINT('',(26.410197,52.589803,0.)); #481729=CARTESIAN_POINT('Origin',(26.4999992502996,52.4999996912748,0.)); #481730=CARTESIAN_POINT('',(26.5,52.627,0.)); #481731=CARTESIAN_POINT('Origin',(26.4999992502996,52.4999996912748,0.)); #481732=CARTESIAN_POINT('',(26.5,52.627,0.035)); #481733=CARTESIAN_POINT('Origin',(26.4999992502996,52.4999996912748,0.035)); #481734=CARTESIAN_POINT('',(26.5,52.627,0.)); #481735=CARTESIAN_POINT('Origin',(27.5689,52.627,0.)); #481736=CARTESIAN_POINT('',(27.5689,52.627,0.)); #481737=CARTESIAN_POINT('',(27.5689,52.627,0.)); #481738=CARTESIAN_POINT('',(27.5689,52.627,0.035)); #481739=CARTESIAN_POINT('',(27.5689,52.627,0.035)); #481740=CARTESIAN_POINT('',(27.5689,52.627,0.)); #481741=CARTESIAN_POINT('Origin',(27.5689,52.9445,0.)); #481742=CARTESIAN_POINT('',(27.5689,52.9445,0.)); #481743=CARTESIAN_POINT('',(27.5689,52.9445,0.)); #481744=CARTESIAN_POINT('',(27.5689,52.9445,0.035)); #481745=CARTESIAN_POINT('',(27.5689,52.9445,0.035)); #481746=CARTESIAN_POINT('',(27.5689,52.9445,0.)); #481747=CARTESIAN_POINT('Origin',(28.4071,52.9445,0.)); #481748=CARTESIAN_POINT('',(28.4071,52.9445,0.)); #481749=CARTESIAN_POINT('',(28.4071,52.9445,0.)); #481750=CARTESIAN_POINT('',(28.4071,52.9445,0.035)); #481751=CARTESIAN_POINT('',(28.4071,52.9445,0.035)); #481752=CARTESIAN_POINT('',(28.4071,52.9445,0.)); #481753=CARTESIAN_POINT('Origin',(28.4071,52.0555,0.)); #481754=CARTESIAN_POINT('',(28.4071,52.0555,0.)); #481755=CARTESIAN_POINT('',(28.4071,52.0555,0.)); #481756=CARTESIAN_POINT('',(28.4071,52.0555,0.035)); #481757=CARTESIAN_POINT('',(28.4071,52.0555,0.035)); #481758=CARTESIAN_POINT('',(28.4071,52.0555,0.)); #481759=CARTESIAN_POINT('Origin',(27.5689,52.0555,0.)); #481760=CARTESIAN_POINT('',(27.5689,52.0555,0.)); #481761=CARTESIAN_POINT('',(27.5689,52.0555,0.)); #481762=CARTESIAN_POINT('',(27.5689,52.0555,0.035)); #481763=CARTESIAN_POINT('',(27.5689,52.0555,0.035)); #481764=CARTESIAN_POINT('',(27.5689,52.0555,0.)); #481765=CARTESIAN_POINT('Origin',(27.5689,52.373,0.)); #481766=CARTESIAN_POINT('',(27.5689,52.373,0.)); #481767=CARTESIAN_POINT('',(27.5689,52.373,0.)); #481768=CARTESIAN_POINT('',(27.5689,52.373,0.035)); #481769=CARTESIAN_POINT('',(27.5689,52.373,0.035)); #481770=CARTESIAN_POINT('',(27.5689,52.373,0.)); #481771=CARTESIAN_POINT('Origin',(26.552606,52.373,0.)); #481772=CARTESIAN_POINT('',(26.552606,52.373,0.)); #481773=CARTESIAN_POINT('',(26.552606,52.373,0.)); #481774=CARTESIAN_POINT('',(26.552606,52.373,0.035)); #481775=CARTESIAN_POINT('',(26.552606,52.373,0.035)); #481776=CARTESIAN_POINT('',(26.552606,52.373,0.)); #481777=CARTESIAN_POINT('Origin',(25.877,51.697394,0.)); #481778=CARTESIAN_POINT('',(25.877,51.697394,0.)); #481779=CARTESIAN_POINT('',(25.877,51.697394,0.)); #481780=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #481781=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #481782=CARTESIAN_POINT('',(25.877,51.697394,0.)); #481783=CARTESIAN_POINT('Origin',(25.877,43.064606,0.)); #481784=CARTESIAN_POINT('',(25.877,43.064606,0.)); #481785=CARTESIAN_POINT('',(25.877,43.064606,0.)); #481786=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #481787=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #481788=CARTESIAN_POINT('',(25.877,43.064606,0.)); #481789=CARTESIAN_POINT('Origin',(30.497106,38.4445,0.)); #481790=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #481791=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #481792=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #481793=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #481794=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #481795=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.)); #481796=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #481797=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #481798=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #481799=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #481800=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #481801=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.)); #481802=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #481803=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #481804=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #481805=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #481806=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #481807=CARTESIAN_POINT('Origin',(30.497106,37.5555,0.)); #481808=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #481809=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #481810=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #481811=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #481812=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #481813=CARTESIAN_POINT('Origin',(30.3265,37.384894,0.)); #481814=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #481815=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #481816=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #481817=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #481818=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #481819=CARTESIAN_POINT('Origin',(30.3265,36.603106,0.)); #481820=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #481821=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #481822=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #481823=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #481824=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #481825=CARTESIAN_POINT('Origin',(32.652303,34.277303,0.)); #481826=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #481827=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #481828=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #481829=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #481830=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #481831=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #481832=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #481833=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #481834=CARTESIAN_POINT('',(32.6895,34.1875,0.035)); #481835=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.035)); #481836=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #481837=CARTESIAN_POINT('Origin',(32.6895,22.209769,0.)); #481838=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #481839=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #481840=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #481841=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #481842=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #481843=CARTESIAN_POINT('Origin',(32.839803,22.059466,0.)); #481844=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #481845=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #481846=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #481847=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #481848=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #481849=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #481850=CARTESIAN_POINT('',(32.877,21.969663,0.)); #481851=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #481852=CARTESIAN_POINT('',(32.877,21.969663,0.035)); #481853=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.035)); #481854=CARTESIAN_POINT('',(32.877,21.969663,0.)); #481855=CARTESIAN_POINT('Origin',(32.877,20.7125,0.)); #481856=CARTESIAN_POINT('',(32.877,20.7125,0.)); #481857=CARTESIAN_POINT('',(32.877,20.7125,0.)); #481858=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #481859=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #481860=CARTESIAN_POINT('',(32.877,20.7125,0.)); #481861=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.)); #481862=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #481863=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #481864=CARTESIAN_POINT('Origin',(29.5652524437411,37.6562193334572,0.035)); #481865=CARTESIAN_POINT('Origin',(29.5652524437411,37.6562193334572,0.)); #481866=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #481867=CARTESIAN_POINT('',(26.61,36.,0.)); #481868=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #481869=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #481870=CARTESIAN_POINT('',(26.61,36.,-200.)); #481871=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #481872=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #481873=CARTESIAN_POINT('',(16.485,47.,0.)); #481874=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #481875=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #481876=CARTESIAN_POINT('',(16.485,47.,-200.)); #481877=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #481878=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #481879=CARTESIAN_POINT('',(16.485,46.25,0.)); #481880=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #481881=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #481882=CARTESIAN_POINT('',(16.485,46.25,-200.)); #481883=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #481884=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #481885=CARTESIAN_POINT('',(15.61,47.,0.)); #481886=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #481887=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #481888=CARTESIAN_POINT('',(15.61,47.,-200.)); #481889=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #481890=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #481891=CARTESIAN_POINT('',(15.61,46.25,0.)); #481892=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #481893=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #481894=CARTESIAN_POINT('',(15.61,46.25,-200.)); #481895=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #481896=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #481897=CARTESIAN_POINT('',(15.61,47.75,0.)); #481898=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #481899=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #481900=CARTESIAN_POINT('',(15.61,47.75,-200.)); #481901=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #481902=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #481903=CARTESIAN_POINT('',(16.485,47.75,0.)); #481904=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #481905=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #481906=CARTESIAN_POINT('',(16.485,47.75,-200.)); #481907=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #481908=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #481909=CARTESIAN_POINT('',(19.235,47.,0.)); #481910=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #481911=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #481912=CARTESIAN_POINT('',(19.235,47.,-200.)); #481913=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #481914=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #481915=CARTESIAN_POINT('',(19.235,47.75,0.)); #481916=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #481917=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #481918=CARTESIAN_POINT('',(19.235,47.75,-200.)); #481919=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #481920=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #481921=CARTESIAN_POINT('',(22.735,47.,0.)); #481922=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #481923=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #481924=CARTESIAN_POINT('',(22.735,47.,-200.)); #481925=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #481926=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #481927=CARTESIAN_POINT('',(22.735,47.75,0.)); #481928=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #481929=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #481930=CARTESIAN_POINT('',(22.735,47.75,-200.)); #481931=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #481932=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #481933=CARTESIAN_POINT('',(17.36,47.75,0.)); #481934=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #481935=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #481936=CARTESIAN_POINT('',(17.36,47.75,-200.)); #481937=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #481938=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #481939=CARTESIAN_POINT('',(19.235,46.25,0.)); #481940=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #481941=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #481942=CARTESIAN_POINT('',(19.235,46.25,-200.)); #481943=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #481944=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #481945=CARTESIAN_POINT('',(17.36,47.,0.)); #481946=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #481947=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #481948=CARTESIAN_POINT('',(17.36,47.,-200.)); #481949=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #481950=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #481951=CARTESIAN_POINT('',(17.36,46.25,0.)); #481952=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #481953=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #481954=CARTESIAN_POINT('',(17.36,46.25,-200.)); #481955=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #481956=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #481957=CARTESIAN_POINT('',(21.86,46.25,0.)); #481958=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #481959=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #481960=CARTESIAN_POINT('',(21.86,46.25,-200.)); #481961=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #481962=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #481963=CARTESIAN_POINT('',(23.61,46.25,0.)); #481964=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #481965=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #481966=CARTESIAN_POINT('',(23.61,46.25,-200.)); #481967=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #481968=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #481969=CARTESIAN_POINT('',(20.11,47.75,0.)); #481970=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #481971=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #481972=CARTESIAN_POINT('',(20.11,47.75,-200.)); #481973=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #481974=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #481975=CARTESIAN_POINT('',(23.61,47.75,0.)); #481976=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #481977=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #481978=CARTESIAN_POINT('',(23.61,47.75,-200.)); #481979=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #481980=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #481981=CARTESIAN_POINT('',(18.36,46.25,0.)); #481982=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #481983=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #481984=CARTESIAN_POINT('',(18.36,46.25,-200.)); #481985=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #481986=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #481987=CARTESIAN_POINT('',(18.36,47.,0.)); #481988=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #481989=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #481990=CARTESIAN_POINT('',(18.36,47.,-200.)); #481991=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #481992=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #481993=CARTESIAN_POINT('',(18.36,47.75,0.)); #481994=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #481995=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #481996=CARTESIAN_POINT('',(18.36,47.75,-200.)); #481997=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #481998=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #481999=CARTESIAN_POINT('',(20.11,46.25,0.)); #482000=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #482001=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #482002=CARTESIAN_POINT('',(20.11,46.25,-200.)); #482003=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #482004=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #482005=CARTESIAN_POINT('',(21.86,47.75,0.)); #482006=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #482007=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #482008=CARTESIAN_POINT('',(21.86,47.75,-200.)); #482009=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #482010=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #482011=CARTESIAN_POINT('',(21.86,47.,0.)); #482012=CARTESIAN_POINT('Origin',(22.,47.,0.)); #482013=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #482014=CARTESIAN_POINT('',(21.86,47.,-200.)); #482015=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #482016=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #482017=CARTESIAN_POINT('',(20.11,47.,0.)); #482018=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #482019=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #482020=CARTESIAN_POINT('',(20.11,47.,-200.)); #482021=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #482022=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #482023=CARTESIAN_POINT('',(23.61,47.,0.)); #482024=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #482025=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #482026=CARTESIAN_POINT('',(23.61,47.,-200.)); #482027=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #482028=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #482029=CARTESIAN_POINT('',(20.985,47.,0.)); #482030=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #482031=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #482032=CARTESIAN_POINT('',(20.985,47.,-200.)); #482033=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #482034=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #482035=CARTESIAN_POINT('',(20.985,47.75,0.)); #482036=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #482037=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #482038=CARTESIAN_POINT('',(20.985,47.75,-200.)); #482039=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #482040=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #482041=CARTESIAN_POINT('',(20.985,46.25,0.)); #482042=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #482043=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #482044=CARTESIAN_POINT('',(20.985,46.25,-200.)); #482045=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #482046=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #482047=CARTESIAN_POINT('',(22.735,46.25,0.)); #482048=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #482049=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #482050=CARTESIAN_POINT('',(22.735,46.25,-200.)); #482051=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #482052=CARTESIAN_POINT('Origin',(26.771644,35.725,0.)); #482053=CARTESIAN_POINT('',(26.771644,35.725,0.)); #482054=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #482055=CARTESIAN_POINT('',(26.771644,35.725,0.)); #482056=CARTESIAN_POINT('',(26.814397,35.731772,0.035)); #482057=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #482058=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #482059=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #482060=CARTESIAN_POINT('',(26.771644,35.725,0.)); #482061=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #482062=CARTESIAN_POINT('',(26.623,36.243928,0.)); #482063=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #482064=CARTESIAN_POINT('',(26.623,36.243928,0.035)); #482065=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.035)); #482066=CARTESIAN_POINT('',(26.623,36.243928,0.)); #482067=CARTESIAN_POINT('Origin',(26.623,36.356381,0.)); #482068=CARTESIAN_POINT('',(26.623,36.356381,0.)); #482069=CARTESIAN_POINT('',(26.623,36.356381,0.)); #482070=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #482071=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #482072=CARTESIAN_POINT('',(26.623,36.356381,0.)); #482073=CARTESIAN_POINT('Origin',(23.981381,38.998,0.)); #482074=CARTESIAN_POINT('',(23.981381,38.998,0.)); #482075=CARTESIAN_POINT('',(23.981381,38.998,0.)); #482076=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #482077=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #482078=CARTESIAN_POINT('',(23.981381,38.998,0.)); #482079=CARTESIAN_POINT('Origin',(19.625,38.998,0.)); #482080=CARTESIAN_POINT('',(19.625,38.998,0.)); #482081=CARTESIAN_POINT('',(19.625,38.998,0.)); #482082=CARTESIAN_POINT('',(19.625,38.998,0.035)); #482083=CARTESIAN_POINT('',(19.625,38.998,0.035)); #482084=CARTESIAN_POINT('',(19.625,38.998,0.)); #482085=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #482086=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #482087=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #482088=CARTESIAN_POINT('',(19.535197,39.035197,0.035)); #482089=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.035)); #482090=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #482091=CARTESIAN_POINT('Origin',(19.160197,39.410197,0.)); #482092=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #482093=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #482094=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #482095=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #482096=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #482097=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #482098=CARTESIAN_POINT('',(19.123,39.5,0.)); #482099=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #482100=CARTESIAN_POINT('',(19.123,39.5,0.035)); #482101=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.035)); #482102=CARTESIAN_POINT('',(19.123,39.5,0.)); #482103=CARTESIAN_POINT('Origin',(19.123,44.385613,0.)); #482104=CARTESIAN_POINT('',(19.123,44.385613,0.)); #482105=CARTESIAN_POINT('',(19.123,44.385613,0.)); #482106=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #482107=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #482108=CARTESIAN_POINT('',(19.123,44.385613,0.)); #482109=CARTESIAN_POINT('Origin',(19.113659,44.399472,0.)); #482110=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #482111=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #482112=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #482113=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #482114=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #482115=CARTESIAN_POINT('Origin',(19.1036,44.449006,0.)); #482116=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #482117=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #482118=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #482119=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #482120=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #482121=CARTESIAN_POINT('Origin',(19.1036,44.719687,0.)); #482122=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #482123=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #482124=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #482125=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #482126=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #482127=CARTESIAN_POINT('Origin',(18.094687,45.7286,0.)); #482128=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #482129=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #482130=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #482131=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #482132=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #482133=CARTESIAN_POINT('Origin',(15.647294,45.7286,0.)); #482134=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #482135=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #482136=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #482137=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #482138=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #482139=CARTESIAN_POINT('Origin',(15.599631,45.737884,0.)); #482140=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #482141=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #482142=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #482143=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #482144=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #482145=CARTESIAN_POINT('Origin',(15.557491,45.765797,0.)); #482146=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #482147=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #482148=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #482149=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #482150=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #482151=CARTESIAN_POINT('Origin',(15.265797,46.057491,0.)); #482152=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #482153=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #482154=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #482155=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #482156=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #482157=CARTESIAN_POINT('Origin',(15.238659,46.097759,0.)); #482158=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #482159=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #482160=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #482161=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #482162=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #482163=CARTESIAN_POINT('Origin',(15.2286,46.147294,0.)); #482164=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #482165=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #482166=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #482167=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #482168=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #482169=CARTESIAN_POINT('Origin',(15.2286,50.102706,0.)); #482170=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #482171=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #482172=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #482173=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #482174=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #482175=CARTESIAN_POINT('Origin',(15.237884,50.150369,0.)); #482176=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #482177=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #482178=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #482179=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #482180=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #482181=CARTESIAN_POINT('Origin',(15.265797,50.192509,0.)); #482182=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #482183=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #482184=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #482185=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #482186=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #482187=CARTESIAN_POINT('Origin',(15.307491,50.234203,0.)); #482188=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #482189=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #482190=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #482191=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #482192=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #482193=CARTESIAN_POINT('Origin',(15.347759,50.261341,0.)); #482194=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #482195=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #482196=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #482197=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #482198=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #482199=CARTESIAN_POINT('Origin',(15.397294,50.2714,0.)); #482200=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #482201=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #482202=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #482203=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #482204=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #482205=CARTESIAN_POINT('Origin',(15.5395,50.2714,0.)); #482206=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #482207=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #482208=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #482209=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #482210=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #482211=CARTESIAN_POINT('Origin',(15.585281,50.262863,0.)); #482212=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #482213=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #482214=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #482215=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #482216=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #482217=CARTESIAN_POINT('Origin',(15.627859,50.235622,0.)); #482218=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #482219=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #482220=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #482221=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #482222=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #482223=CARTESIAN_POINT('Origin',(15.656441,50.193934,0.)); #482224=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #482225=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #482226=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #482227=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #482228=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #482229=CARTESIAN_POINT('Origin',(15.6665,50.1444,0.)); #482230=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #482231=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #482232=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #482233=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #482234=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #482235=CARTESIAN_POINT('Origin',(15.6665,49.992375,0.)); #482236=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #482237=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #482238=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #482239=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #482240=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #482241=CARTESIAN_POINT('Origin',(15.755775,49.9031,0.)); #482242=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #482243=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #482244=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #482245=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #482246=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #482247=CARTESIAN_POINT('Origin',(16.720225,49.9031,0.)); #482248=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #482249=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #482250=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #482251=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #482252=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #482253=CARTESIAN_POINT('Origin',(16.8095,49.992375,0.)); #482254=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #482255=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #482256=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #482257=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #482258=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #482259=CARTESIAN_POINT('Origin',(16.8095,50.873,0.)); #482260=CARTESIAN_POINT('',(16.8095,50.873,0.)); #482261=CARTESIAN_POINT('',(16.8095,50.873,0.)); #482262=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #482263=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #482264=CARTESIAN_POINT('',(16.8095,50.873,0.)); #482265=CARTESIAN_POINT('Origin',(16.818037,50.918781,0.)); #482266=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #482267=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #482268=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #482269=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #482270=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #482271=CARTESIAN_POINT('Origin',(16.845278,50.961359,0.)); #482272=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #482273=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #482274=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #482275=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #482276=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #482277=CARTESIAN_POINT('Origin',(16.886966,50.989941,0.)); #482278=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #482279=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #482280=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #482281=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #482282=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #482283=CARTESIAN_POINT('Origin',(16.9365,51.,0.)); #482284=CARTESIAN_POINT('',(16.9365,51.,0.)); #482285=CARTESIAN_POINT('',(16.9365,51.,0.)); #482286=CARTESIAN_POINT('',(16.9365,51.,0.035)); #482287=CARTESIAN_POINT('',(16.9365,51.,0.035)); #482288=CARTESIAN_POINT('',(16.9365,51.,0.)); #482289=CARTESIAN_POINT('Origin',(18.322394,51.,0.)); #482290=CARTESIAN_POINT('',(18.322394,51.,0.)); #482291=CARTESIAN_POINT('',(18.322394,51.,0.)); #482292=CARTESIAN_POINT('',(18.322394,51.,0.035)); #482293=CARTESIAN_POINT('',(18.322394,51.,0.035)); #482294=CARTESIAN_POINT('',(18.322394,51.,0.)); #482295=CARTESIAN_POINT('Origin',(18.370056,50.990716,0.)); #482296=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #482297=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #482298=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #482299=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #482300=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #482301=CARTESIAN_POINT('Origin',(18.412197,50.962803,0.)); #482302=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #482303=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #482304=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #482305=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #482306=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #482307=CARTESIAN_POINT('Origin',(19.618634,49.756366,0.)); #482308=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #482309=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #482310=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #482311=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #482312=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #482313=CARTESIAN_POINT('Origin',(19.625928,49.750891,0.)); #482314=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #482315=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #482316=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #482317=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #482318=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #482319=CARTESIAN_POINT('Origin',(19.632984,49.75,0.)); #482320=CARTESIAN_POINT('',(19.632984,49.75,0.)); #482321=CARTESIAN_POINT('',(19.632984,49.75,0.)); #482322=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #482323=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #482324=CARTESIAN_POINT('',(19.632984,49.75,0.)); #482325=CARTESIAN_POINT('Origin',(23.3506,49.75,0.)); #482326=CARTESIAN_POINT('',(23.3506,49.75,0.)); #482327=CARTESIAN_POINT('',(23.3506,49.75,0.)); #482328=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #482329=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #482330=CARTESIAN_POINT('',(23.3506,49.75,0.)); #482331=CARTESIAN_POINT('Origin',(23.396381,49.741463,0.)); #482332=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #482333=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #482334=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #482335=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #482336=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #482337=CARTESIAN_POINT('Origin',(23.438959,49.714222,0.)); #482338=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #482339=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #482340=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #482341=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #482342=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #482343=CARTESIAN_POINT('Origin',(23.467541,49.672534,0.)); #482344=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #482345=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #482346=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #482347=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #482348=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #482349=CARTESIAN_POINT('Origin',(23.4776,49.623,0.)); #482350=CARTESIAN_POINT('',(23.4776,49.623,0.)); #482351=CARTESIAN_POINT('',(23.4776,49.623,0.)); #482352=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #482353=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #482354=CARTESIAN_POINT('',(23.4776,49.623,0.)); #482355=CARTESIAN_POINT('Origin',(23.4776,49.572966,0.)); #482356=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #482357=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #482358=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #482359=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #482360=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #482361=CARTESIAN_POINT('Origin',(23.727966,49.3226,0.)); #482362=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #482363=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #482364=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #482365=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #482366=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #482367=CARTESIAN_POINT('Origin',(24.082034,49.3226,0.)); #482368=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #482369=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #482370=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #482371=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #482372=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #482373=CARTESIAN_POINT('Origin',(24.243638,49.484203,0.)); #482374=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #482375=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #482376=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #482377=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #482378=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #482379=CARTESIAN_POINT('Origin',(24.283906,49.511341,0.)); #482380=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #482381=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #482382=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #482383=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #482384=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #482385=CARTESIAN_POINT('Origin',(24.333441,49.5214,0.)); #482386=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #482387=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #482388=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #482389=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #482390=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #482391=CARTESIAN_POINT('Origin',(24.352706,49.5214,0.)); #482392=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #482393=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #482394=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #482395=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #482396=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #482397=CARTESIAN_POINT('Origin',(24.400369,49.512116,0.)); #482398=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #482399=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #482400=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #482401=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #482402=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #482403=CARTESIAN_POINT('Origin',(24.442509,49.484203,0.)); #482404=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #482405=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #482406=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #482407=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #482408=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #482409=CARTESIAN_POINT('Origin',(24.734203,49.192509,0.)); #482410=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #482411=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #482412=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #482413=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #482414=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #482415=CARTESIAN_POINT('Origin',(24.761341,49.152241,0.)); #482416=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #482417=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #482418=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #482419=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #482420=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #482421=CARTESIAN_POINT('Origin',(24.7714,49.102706,0.)); #482422=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #482423=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #482424=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #482425=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #482426=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #482427=CARTESIAN_POINT('Origin',(24.7714,43.397294,0.)); #482428=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #482429=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #482430=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #482431=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #482432=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #482433=CARTESIAN_POINT('Origin',(24.762116,43.349631,0.)); #482434=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #482435=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #482436=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #482437=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #482438=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #482439=CARTESIAN_POINT('Origin',(24.734203,43.307491,0.)); #482440=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #482441=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #482442=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #482443=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #482444=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #482445=CARTESIAN_POINT('Origin',(24.192509,42.765797,0.)); #482446=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #482447=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #482448=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #482449=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #482450=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #482451=CARTESIAN_POINT('Origin',(24.152241,42.738659,0.)); #482452=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #482453=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #482454=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #482455=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #482456=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #482457=CARTESIAN_POINT('Origin',(24.102706,42.7286,0.)); #482458=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #482459=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #482460=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #482461=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #482462=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #482463=CARTESIAN_POINT('Origin',(20.655313,42.7286,0.)); #482464=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #482465=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #482466=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #482467=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #482468=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #482469=CARTESIAN_POINT('Origin',(20.297409,42.370697,0.)); #482470=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #482471=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #482472=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #482473=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #482474=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #482475=CARTESIAN_POINT('Origin',(20.257141,42.343559,0.)); #482476=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #482477=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #482478=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #482479=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #482480=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #482481=CARTESIAN_POINT('Origin',(20.207606,42.3335,0.)); #482482=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #482483=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #482484=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #482485=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #482486=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #482487=CARTESIAN_POINT('Origin',(19.492375,42.3335,0.)); #482488=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #482489=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #482490=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #482491=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #482492=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #482493=CARTESIAN_POINT('Origin',(19.466803,42.307928,0.)); #482494=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #482495=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #482496=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #482497=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #482498=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #482499=CARTESIAN_POINT('Origin',(19.430238,42.282428,0.)); #482500=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #482501=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #482502=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #482503=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #482504=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #482505=CARTESIAN_POINT('Origin',(19.381047,42.270797,0.)); #482506=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #482507=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #482508=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #482509=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #482510=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #482511=CARTESIAN_POINT('Origin',(19.377,42.271484,0.)); #482512=CARTESIAN_POINT('',(19.377,42.271484,0.)); #482513=CARTESIAN_POINT('',(19.377,42.271484,0.)); #482514=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #482515=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #482516=CARTESIAN_POINT('',(19.377,42.271484,0.)); #482517=CARTESIAN_POINT('Origin',(19.377,39.552606,0.)); #482518=CARTESIAN_POINT('',(19.377,39.552606,0.)); #482519=CARTESIAN_POINT('',(19.377,39.552606,0.)); #482520=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #482521=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #482522=CARTESIAN_POINT('',(19.377,39.552606,0.)); #482523=CARTESIAN_POINT('Origin',(19.677606,39.252,0.)); #482524=CARTESIAN_POINT('',(19.677606,39.252,0.)); #482525=CARTESIAN_POINT('',(19.677606,39.252,0.)); #482526=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #482527=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #482528=CARTESIAN_POINT('',(19.677606,39.252,0.)); #482529=CARTESIAN_POINT('Origin',(24.033988,39.252,0.)); #482530=CARTESIAN_POINT('',(24.033988,39.252,0.)); #482531=CARTESIAN_POINT('',(24.033988,39.252,0.)); #482532=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #482533=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #482534=CARTESIAN_POINT('',(24.033988,39.252,0.)); #482535=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #482536=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #482537=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #482538=CARTESIAN_POINT('',(24.123791,39.214803,0.035)); #482539=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.035)); #482540=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #482541=CARTESIAN_POINT('Origin',(26.839803,36.498791,0.)); #482542=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #482543=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #482544=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #482545=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #482546=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #482547=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #482548=CARTESIAN_POINT('',(26.877,36.408988,0.)); #482549=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #482550=CARTESIAN_POINT('',(26.877,36.408988,0.035)); #482551=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.035)); #482552=CARTESIAN_POINT('',(26.877,36.408988,0.)); #482553=CARTESIAN_POINT('Origin',(26.877,36.243928,0.)); #482554=CARTESIAN_POINT('',(26.877,36.243928,0.)); #482555=CARTESIAN_POINT('',(26.877,36.243928,0.)); #482556=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #482557=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #482558=CARTESIAN_POINT('',(26.877,36.243928,0.)); #482559=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #482560=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #482561=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.035)); #482562=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.035)); #482563=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.)); #482564=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #482565=CARTESIAN_POINT('',(26.61,31.,0.)); #482566=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #482567=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #482568=CARTESIAN_POINT('',(26.61,31.,-200.)); #482569=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #482570=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.)); #482571=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #482572=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #482573=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #482574=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #482575=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #482576=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #482577=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #482578=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #482579=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.)); #482580=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #482581=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #482582=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #482583=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #482584=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #482585=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.)); #482586=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #482587=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #482588=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #482589=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #482590=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #482591=CARTESIAN_POINT('Origin',(31.123,20.7125,0.)); #482592=CARTESIAN_POINT('',(31.123,20.7125,0.)); #482593=CARTESIAN_POINT('',(31.123,20.7125,0.)); #482594=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #482595=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #482596=CARTESIAN_POINT('',(31.123,20.7125,0.)); #482597=CARTESIAN_POINT('Origin',(31.123,21.826044,0.)); #482598=CARTESIAN_POINT('',(31.123,21.826044,0.)); #482599=CARTESIAN_POINT('',(31.123,21.826044,0.)); #482600=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #482601=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #482602=CARTESIAN_POINT('',(31.123,21.826044,0.)); #482603=CARTESIAN_POINT('Origin',(29.410197,23.538847,0.)); #482604=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #482605=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #482606=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #482607=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #482608=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #482609=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #482610=CARTESIAN_POINT('',(29.373,23.62865,0.)); #482611=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #482612=CARTESIAN_POINT('',(29.373,23.62865,0.035)); #482613=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.035)); #482614=CARTESIAN_POINT('',(29.373,23.62865,0.)); #482615=CARTESIAN_POINT('Origin',(29.373,26.258069,0.)); #482616=CARTESIAN_POINT('',(29.373,26.258069,0.)); #482617=CARTESIAN_POINT('',(29.373,26.258069,0.)); #482618=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #482619=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #482620=CARTESIAN_POINT('',(29.373,26.258069,0.)); #482621=CARTESIAN_POINT('Origin',(26.660197,28.970872,0.)); #482622=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #482623=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #482624=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #482625=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #482626=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #482627=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #482628=CARTESIAN_POINT('',(26.623,29.060675,0.)); #482629=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #482630=CARTESIAN_POINT('',(26.623,29.060675,0.035)); #482631=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.035)); #482632=CARTESIAN_POINT('',(26.623,29.060675,0.)); #482633=CARTESIAN_POINT('Origin',(26.623,30.756072,0.)); #482634=CARTESIAN_POINT('',(26.623,30.756072,0.)); #482635=CARTESIAN_POINT('',(26.623,30.756072,0.)); #482636=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #482637=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #482638=CARTESIAN_POINT('',(26.623,30.756072,0.)); #482639=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #482640=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #482641=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #482642=CARTESIAN_POINT('',(26.644438,31.254853,0.035)); #482643=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.035)); #482644=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #482645=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #482646=CARTESIAN_POINT('',(26.877,30.756072,0.)); #482647=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #482648=CARTESIAN_POINT('',(26.877,30.756072,0.035)); #482649=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.035)); #482650=CARTESIAN_POINT('',(26.877,30.756072,0.)); #482651=CARTESIAN_POINT('Origin',(26.877,29.113281,0.)); #482652=CARTESIAN_POINT('',(26.877,29.113281,0.)); #482653=CARTESIAN_POINT('',(26.877,29.113281,0.)); #482654=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #482655=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #482656=CARTESIAN_POINT('',(26.877,29.113281,0.)); #482657=CARTESIAN_POINT('Origin',(29.589803,26.400478,0.)); #482658=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #482659=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #482660=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #482661=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #482662=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #482663=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #482664=CARTESIAN_POINT('',(29.627,26.310675,0.)); #482665=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #482666=CARTESIAN_POINT('',(29.627,26.310675,0.035)); #482667=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.035)); #482668=CARTESIAN_POINT('',(29.627,26.310675,0.)); #482669=CARTESIAN_POINT('Origin',(29.627,23.681256,0.)); #482670=CARTESIAN_POINT('',(29.627,23.681256,0.)); #482671=CARTESIAN_POINT('',(29.627,23.681256,0.)); #482672=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #482673=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #482674=CARTESIAN_POINT('',(29.627,23.681256,0.)); #482675=CARTESIAN_POINT('Origin',(31.339803,21.968453,0.)); #482676=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #482677=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #482678=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #482679=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #482680=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #482681=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #482682=CARTESIAN_POINT('',(31.377,21.87865,0.)); #482683=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #482684=CARTESIAN_POINT('',(31.377,21.87865,0.035)); #482685=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.035)); #482686=CARTESIAN_POINT('',(31.377,21.87865,0.)); #482687=CARTESIAN_POINT('Origin',(31.377,20.7125,0.)); #482688=CARTESIAN_POINT('',(31.377,20.7125,0.)); #482689=CARTESIAN_POINT('',(31.377,20.7125,0.)); #482690=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #482691=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #482692=CARTESIAN_POINT('',(31.377,20.7125,0.)); #482693=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.)); #482694=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #482695=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #482696=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.035)); #482697=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.)); #482698=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #482699=CARTESIAN_POINT('',(47.61,47.75,0.)); #482700=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #482701=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #482702=CARTESIAN_POINT('',(47.61,47.75,-200.)); #482703=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #482704=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #482705=CARTESIAN_POINT('',(45.86,47.75,0.)); #482706=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #482707=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #482708=CARTESIAN_POINT('',(45.86,47.75,-200.)); #482709=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #482710=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #482711=CARTESIAN_POINT('',(47.61,47.,0.)); #482712=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #482713=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #482714=CARTESIAN_POINT('',(47.61,47.,-200.)); #482715=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #482716=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #482717=CARTESIAN_POINT('',(44.11,47.,0.)); #482718=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #482719=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #482720=CARTESIAN_POINT('',(44.11,47.,-200.)); #482721=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #482722=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #482723=CARTESIAN_POINT('',(44.11,46.25,0.)); #482724=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #482725=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #482726=CARTESIAN_POINT('',(44.11,46.25,-200.)); #482727=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #482728=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #482729=CARTESIAN_POINT('',(44.11,47.75,0.)); #482730=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #482731=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #482732=CARTESIAN_POINT('',(44.11,47.75,-200.)); #482733=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #482734=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #482735=CARTESIAN_POINT('',(45.86,46.25,0.)); #482736=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #482737=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #482738=CARTESIAN_POINT('',(45.86,46.25,-200.)); #482739=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #482740=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #482741=CARTESIAN_POINT('',(47.61,46.25,0.)); #482742=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #482743=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #482744=CARTESIAN_POINT('',(47.61,46.25,-200.)); #482745=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #482746=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #482747=CARTESIAN_POINT('',(51.985,46.25,0.)); #482748=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #482749=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #482750=CARTESIAN_POINT('',(51.985,46.25,-200.)); #482751=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #482752=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #482753=CARTESIAN_POINT('',(51.11,46.25,0.)); #482754=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #482755=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #482756=CARTESIAN_POINT('',(51.11,46.25,-200.)); #482757=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #482758=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #482759=CARTESIAN_POINT('',(51.11,47.75,0.)); #482760=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #482761=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #482762=CARTESIAN_POINT('',(51.11,47.75,-200.)); #482763=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #482764=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #482765=CARTESIAN_POINT('',(51.11,47.,0.)); #482766=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #482767=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #482768=CARTESIAN_POINT('',(51.11,47.,-200.)); #482769=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #482770=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #482771=CARTESIAN_POINT('',(51.985,47.75,0.)); #482772=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #482773=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #482774=CARTESIAN_POINT('',(51.985,47.75,-200.)); #482775=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #482776=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #482777=CARTESIAN_POINT('',(51.985,47.,0.)); #482778=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #482779=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #482780=CARTESIAN_POINT('',(51.985,47.,-200.)); #482781=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #482782=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #482783=CARTESIAN_POINT('',(41.11,36.,0.)); #482784=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #482785=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #482786=CARTESIAN_POINT('',(41.11,36.,-200.)); #482787=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #482788=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #482789=CARTESIAN_POINT('',(48.485,46.25,0.)); #482790=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #482791=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #482792=CARTESIAN_POINT('',(48.485,46.25,-200.)); #482793=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #482794=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #482795=CARTESIAN_POINT('',(46.735,47.75,0.)); #482796=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #482797=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #482798=CARTESIAN_POINT('',(46.735,47.75,-200.)); #482799=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #482800=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #482801=CARTESIAN_POINT('',(46.735,46.25,0.)); #482802=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #482803=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #482804=CARTESIAN_POINT('',(46.735,46.25,-200.)); #482805=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #482806=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #482807=CARTESIAN_POINT('',(46.735,47.,0.)); #482808=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #482809=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #482810=CARTESIAN_POINT('',(46.735,47.,-200.)); #482811=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #482812=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #482813=CARTESIAN_POINT('',(44.985,47.75,0.)); #482814=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #482815=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #482816=CARTESIAN_POINT('',(44.985,47.75,-200.)); #482817=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #482818=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #482819=CARTESIAN_POINT('',(45.86,47.,0.)); #482820=CARTESIAN_POINT('Origin',(46.,47.,0.)); #482821=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #482822=CARTESIAN_POINT('',(45.86,47.,-200.)); #482823=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #482824=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #482825=CARTESIAN_POINT('',(44.985,47.,0.)); #482826=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #482827=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #482828=CARTESIAN_POINT('',(44.985,47.,-200.)); #482829=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #482830=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #482831=CARTESIAN_POINT('',(44.985,46.25,0.)); #482832=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #482833=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #482834=CARTESIAN_POINT('',(44.985,46.25,-200.)); #482835=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #482836=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #482837=CARTESIAN_POINT('',(49.36,47.,0.)); #482838=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #482839=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #482840=CARTESIAN_POINT('',(49.36,47.,-200.)); #482841=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #482842=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #482843=CARTESIAN_POINT('',(49.36,47.75,0.)); #482844=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #482845=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #482846=CARTESIAN_POINT('',(49.36,47.75,-200.)); #482847=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #482848=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #482849=CARTESIAN_POINT('',(48.485,47.,0.)); #482850=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #482851=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #482852=CARTESIAN_POINT('',(48.485,47.,-200.)); #482853=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #482854=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #482855=CARTESIAN_POINT('',(48.485,47.75,0.)); #482856=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #482857=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #482858=CARTESIAN_POINT('',(48.485,47.75,-200.)); #482859=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #482860=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #482861=CARTESIAN_POINT('',(50.235,46.25,0.)); #482862=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #482863=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #482864=CARTESIAN_POINT('',(50.235,46.25,-200.)); #482865=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #482866=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #482867=CARTESIAN_POINT('',(49.36,46.25,0.)); #482868=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #482869=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #482870=CARTESIAN_POINT('',(49.36,46.25,-200.)); #482871=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #482872=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #482873=CARTESIAN_POINT('',(50.235,47.,0.)); #482874=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #482875=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #482876=CARTESIAN_POINT('',(50.235,47.,-200.)); #482877=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #482878=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #482879=CARTESIAN_POINT('',(50.235,47.75,0.)); #482880=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #482881=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #482882=CARTESIAN_POINT('',(50.235,47.75,-200.)); #482883=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #482884=CARTESIAN_POINT('Origin',(41.271644,35.725,0.)); #482885=CARTESIAN_POINT('',(41.271644,35.725,0.)); #482886=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #482887=CARTESIAN_POINT('',(41.271644,35.725,0.)); #482888=CARTESIAN_POINT('',(41.314397,35.731772,0.035)); #482889=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #482890=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #482891=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #482892=CARTESIAN_POINT('',(41.271644,35.725,0.)); #482893=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #482894=CARTESIAN_POINT('',(41.123,36.243928,0.)); #482895=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #482896=CARTESIAN_POINT('',(41.123,36.243928,0.035)); #482897=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.035)); #482898=CARTESIAN_POINT('',(41.123,36.243928,0.)); #482899=CARTESIAN_POINT('Origin',(41.123,36.408988,0.)); #482900=CARTESIAN_POINT('',(41.123,36.408988,0.)); #482901=CARTESIAN_POINT('',(41.123,36.408988,0.)); #482902=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #482903=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #482904=CARTESIAN_POINT('',(41.123,36.408988,0.)); #482905=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #482906=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #482907=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #482908=CARTESIAN_POINT('',(41.160197,36.498791,0.035)); #482909=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.035)); #482910=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #482911=CARTESIAN_POINT('Origin',(43.876209,39.214803,0.)); #482912=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #482913=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #482914=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #482915=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #482916=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #482917=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #482918=CARTESIAN_POINT('',(43.966013,39.252,0.)); #482919=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #482920=CARTESIAN_POINT('',(43.966013,39.252,0.035)); #482921=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.035)); #482922=CARTESIAN_POINT('',(43.966013,39.252,0.)); #482923=CARTESIAN_POINT('Origin',(48.322394,39.252,0.)); #482924=CARTESIAN_POINT('',(48.322394,39.252,0.)); #482925=CARTESIAN_POINT('',(48.322394,39.252,0.)); #482926=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #482927=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #482928=CARTESIAN_POINT('',(48.322394,39.252,0.)); #482929=CARTESIAN_POINT('Origin',(48.623,39.552606,0.)); #482930=CARTESIAN_POINT('',(48.623,39.552606,0.)); #482931=CARTESIAN_POINT('',(48.623,39.552606,0.)); #482932=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #482933=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #482934=CARTESIAN_POINT('',(48.623,39.552606,0.)); #482935=CARTESIAN_POINT('Origin',(48.623,42.271125,0.)); #482936=CARTESIAN_POINT('',(48.623,42.271125,0.)); #482937=CARTESIAN_POINT('',(48.623,42.271125,0.)); #482938=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #482939=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #482940=CARTESIAN_POINT('',(48.623,42.271125,0.)); #482941=CARTESIAN_POINT('Origin',(48.575338,42.280016,0.)); #482942=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #482943=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #482944=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #482945=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #482946=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #482947=CARTESIAN_POINT('Origin',(48.533197,42.307928,0.)); #482948=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #482949=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #482950=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #482951=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #482952=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #482953=CARTESIAN_POINT('Origin',(48.507625,42.3335,0.)); #482954=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #482955=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #482956=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #482957=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #482958=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #482959=CARTESIAN_POINT('Origin',(47.804394,42.3335,0.)); #482960=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #482961=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #482962=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #482963=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #482964=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #482965=CARTESIAN_POINT('Origin',(47.756731,42.342784,0.)); #482966=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #482967=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #482968=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #482969=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #482970=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #482971=CARTESIAN_POINT('Origin',(47.714591,42.370697,0.)); #482972=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #482973=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #482974=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #482975=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #482976=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #482977=CARTESIAN_POINT('Origin',(47.356687,42.7286,0.)); #482978=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #482979=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #482980=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #482981=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #482982=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #482983=CARTESIAN_POINT('Origin',(43.897294,42.7286,0.)); #482984=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #482985=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #482986=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #482987=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #482988=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #482989=CARTESIAN_POINT('Origin',(43.849631,42.737884,0.)); #482990=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #482991=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #482992=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #482993=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #482994=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #482995=CARTESIAN_POINT('Origin',(43.807491,42.765797,0.)); #482996=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #482997=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #482998=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #482999=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #483000=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #483001=CARTESIAN_POINT('Origin',(43.265797,43.307491,0.)); #483002=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #483003=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #483004=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #483005=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #483006=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #483007=CARTESIAN_POINT('Origin',(43.238659,43.347759,0.)); #483008=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #483009=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #483010=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #483011=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #483012=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #483013=CARTESIAN_POINT('Origin',(43.2286,43.397294,0.)); #483014=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #483015=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #483016=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #483017=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #483018=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #483019=CARTESIAN_POINT('Origin',(43.2286,49.102706,0.)); #483020=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #483021=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #483022=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #483023=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #483024=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #483025=CARTESIAN_POINT('Origin',(43.237884,49.150369,0.)); #483026=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #483027=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #483028=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #483029=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #483030=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #483031=CARTESIAN_POINT('Origin',(43.265797,49.192509,0.)); #483032=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #483033=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #483034=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #483035=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #483036=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #483037=CARTESIAN_POINT('Origin',(43.557491,49.484203,0.)); #483038=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #483039=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #483040=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #483041=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #483042=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #483043=CARTESIAN_POINT('Origin',(43.597759,49.511341,0.)); #483044=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #483045=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #483046=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #483047=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #483048=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #483049=CARTESIAN_POINT('Origin',(43.647294,49.5214,0.)); #483050=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #483051=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #483052=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #483053=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #483054=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #483055=CARTESIAN_POINT('Origin',(47.476559,49.5214,0.)); #483056=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #483057=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #483058=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #483059=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #483060=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #483061=CARTESIAN_POINT('Origin',(47.524222,49.512116,0.)); #483062=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #483063=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #483064=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #483065=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #483066=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #483067=CARTESIAN_POINT('Origin',(47.566363,49.484203,0.)); #483068=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #483069=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #483070=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #483071=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #483072=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #483073=CARTESIAN_POINT('Origin',(47.727966,49.3226,0.)); #483074=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #483075=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #483076=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #483077=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #483078=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #483079=CARTESIAN_POINT('Origin',(48.082034,49.3226,0.)); #483080=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #483081=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #483082=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #483083=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #483084=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #483085=CARTESIAN_POINT('Origin',(48.3324,49.572966,0.)); #483086=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #483087=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #483088=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #483089=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #483090=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #483091=CARTESIAN_POINT('Origin',(48.3324,49.656006,0.)); #483092=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #483093=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #483094=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #483095=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #483096=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #483097=CARTESIAN_POINT('Origin',(48.348591,49.718053,0.)); #483098=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #483099=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #483100=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #483101=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #483102=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #483103=CARTESIAN_POINT('Origin',(48.375588,49.749413,0.)); #483104=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #483105=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #483106=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #483107=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #483108=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #483109=CARTESIAN_POINT('Origin',(48.375,49.75,0.)); #483110=CARTESIAN_POINT('',(48.375,49.75,0.)); #483111=CARTESIAN_POINT('',(48.375,49.75,0.)); #483112=CARTESIAN_POINT('',(48.375,49.75,0.035)); #483113=CARTESIAN_POINT('',(48.375,49.75,0.035)); #483114=CARTESIAN_POINT('',(48.375,49.75,0.)); #483115=CARTESIAN_POINT('Origin',(49.587803,50.962803,0.)); #483116=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #483117=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #483118=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #483119=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #483120=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #483121=CARTESIAN_POINT('Origin',(49.628072,50.989941,0.)); #483122=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #483123=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #483124=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #483125=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #483126=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #483127=CARTESIAN_POINT('Origin',(49.677606,51.,0.)); #483128=CARTESIAN_POINT('',(49.677606,51.,0.)); #483129=CARTESIAN_POINT('',(49.677606,51.,0.)); #483130=CARTESIAN_POINT('',(49.677606,51.,0.035)); #483131=CARTESIAN_POINT('',(49.677606,51.,0.035)); #483132=CARTESIAN_POINT('',(49.677606,51.,0.)); #483133=CARTESIAN_POINT('Origin',(51.0635,51.,0.)); #483134=CARTESIAN_POINT('',(51.0635,51.,0.)); #483135=CARTESIAN_POINT('',(51.0635,51.,0.)); #483136=CARTESIAN_POINT('',(51.0635,51.,0.035)); #483137=CARTESIAN_POINT('',(51.0635,51.,0.035)); #483138=CARTESIAN_POINT('',(51.0635,51.,0.)); #483139=CARTESIAN_POINT('Origin',(51.109281,50.991463,0.)); #483140=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #483141=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #483142=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #483143=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #483144=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #483145=CARTESIAN_POINT('Origin',(51.151859,50.964222,0.)); #483146=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #483147=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #483148=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #483149=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #483150=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #483151=CARTESIAN_POINT('Origin',(51.180441,50.922534,0.)); #483152=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #483153=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #483154=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #483155=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #483156=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #483157=CARTESIAN_POINT('Origin',(51.1905,50.873,0.)); #483158=CARTESIAN_POINT('',(51.1905,50.873,0.)); #483159=CARTESIAN_POINT('',(51.1905,50.873,0.)); #483160=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #483161=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #483162=CARTESIAN_POINT('',(51.1905,50.873,0.)); #483163=CARTESIAN_POINT('Origin',(51.1905,49.992375,0.)); #483164=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #483165=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #483166=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #483167=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #483168=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #483169=CARTESIAN_POINT('Origin',(51.279775,49.9031,0.)); #483170=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #483171=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #483172=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #483173=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #483174=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #483175=CARTESIAN_POINT('Origin',(52.244225,49.9031,0.)); #483176=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #483177=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #483178=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #483179=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #483180=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #483181=CARTESIAN_POINT('Origin',(52.3335,49.992375,0.)); #483182=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #483183=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #483184=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #483185=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #483186=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #483187=CARTESIAN_POINT('Origin',(52.3335,50.1444,0.)); #483188=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #483189=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #483190=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #483191=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #483192=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #483193=CARTESIAN_POINT('Origin',(52.342038,50.190181,0.)); #483194=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #483195=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #483196=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #483197=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #483198=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #483199=CARTESIAN_POINT('Origin',(52.369278,50.232759,0.)); #483200=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #483201=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #483202=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #483203=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #483204=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #483205=CARTESIAN_POINT('Origin',(52.410966,50.261341,0.)); #483206=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #483207=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #483208=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #483209=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #483210=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #483211=CARTESIAN_POINT('Origin',(52.4605,50.2714,0.)); #483212=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #483213=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #483214=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #483215=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #483216=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #483217=CARTESIAN_POINT('Origin',(52.602706,50.2714,0.)); #483218=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #483219=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #483220=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #483221=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #483222=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #483223=CARTESIAN_POINT('Origin',(52.650369,50.262116,0.)); #483224=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #483225=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #483226=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #483227=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #483228=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #483229=CARTESIAN_POINT('Origin',(52.692509,50.234203,0.)); #483230=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #483231=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #483232=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #483233=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #483234=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #483235=CARTESIAN_POINT('Origin',(52.734203,50.192509,0.)); #483236=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #483237=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #483238=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #483239=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #483240=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #483241=CARTESIAN_POINT('Origin',(52.761341,50.152241,0.)); #483242=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #483243=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #483244=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #483245=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #483246=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #483247=CARTESIAN_POINT('Origin',(52.7714,50.102706,0.)); #483248=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #483249=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #483250=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #483251=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #483252=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #483253=CARTESIAN_POINT('Origin',(52.7714,46.147294,0.)); #483254=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #483255=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #483256=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #483257=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #483258=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #483259=CARTESIAN_POINT('Origin',(52.762116,46.099631,0.)); #483260=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #483261=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #483262=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #483263=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #483264=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #483265=CARTESIAN_POINT('Origin',(52.734203,46.057491,0.)); #483266=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #483267=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #483268=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #483269=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #483270=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #483271=CARTESIAN_POINT('Origin',(52.442509,45.765797,0.)); #483272=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #483273=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #483274=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #483275=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #483276=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #483277=CARTESIAN_POINT('Origin',(52.402241,45.738659,0.)); #483278=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #483279=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #483280=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #483281=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #483282=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #483283=CARTESIAN_POINT('Origin',(52.352706,45.7286,0.)); #483284=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #483285=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #483286=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #483287=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #483288=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #483289=CARTESIAN_POINT('Origin',(49.905313,45.7286,0.)); #483290=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #483291=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #483292=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #483293=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #483294=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #483295=CARTESIAN_POINT('Origin',(48.8964,44.719687,0.)); #483296=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #483297=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #483298=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #483299=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #483300=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #483301=CARTESIAN_POINT('Origin',(48.8964,44.449006,0.)); #483302=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #483303=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #483304=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #483305=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #483306=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #483307=CARTESIAN_POINT('Origin',(48.887116,44.401344,0.)); #483308=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #483309=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #483310=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #483311=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #483312=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #483313=CARTESIAN_POINT('Origin',(48.877,44.386072,0.)); #483314=CARTESIAN_POINT('',(48.877,44.386072,0.)); #483315=CARTESIAN_POINT('',(48.877,44.386072,0.)); #483316=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #483317=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #483318=CARTESIAN_POINT('',(48.877,44.386072,0.)); #483319=CARTESIAN_POINT('Origin',(48.877,39.5,0.)); #483320=CARTESIAN_POINT('',(48.877,39.5,0.)); #483321=CARTESIAN_POINT('',(48.877,39.5,0.)); #483322=CARTESIAN_POINT('',(48.877,39.5,0.035)); #483323=CARTESIAN_POINT('',(48.877,39.5,0.035)); #483324=CARTESIAN_POINT('',(48.877,39.5,0.)); #483325=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #483326=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #483327=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #483328=CARTESIAN_POINT('',(48.839803,39.410197,0.035)); #483329=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.035)); #483330=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #483331=CARTESIAN_POINT('Origin',(48.464803,39.035197,0.)); #483332=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #483333=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #483334=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #483335=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #483336=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #483337=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #483338=CARTESIAN_POINT('',(48.375,38.998,0.)); #483339=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #483340=CARTESIAN_POINT('',(48.375,38.998,0.035)); #483341=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.035)); #483342=CARTESIAN_POINT('',(48.375,38.998,0.)); #483343=CARTESIAN_POINT('Origin',(44.018619,38.998,0.)); #483344=CARTESIAN_POINT('',(44.018619,38.998,0.)); #483345=CARTESIAN_POINT('',(44.018619,38.998,0.)); #483346=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #483347=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #483348=CARTESIAN_POINT('',(44.018619,38.998,0.)); #483349=CARTESIAN_POINT('Origin',(41.377,36.356381,0.)); #483350=CARTESIAN_POINT('',(41.377,36.356381,0.)); #483351=CARTESIAN_POINT('',(41.377,36.356381,0.)); #483352=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #483353=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #483354=CARTESIAN_POINT('',(41.377,36.356381,0.)); #483355=CARTESIAN_POINT('Origin',(41.377,36.243928,0.)); #483356=CARTESIAN_POINT('',(41.377,36.243928,0.)); #483357=CARTESIAN_POINT('',(41.377,36.243928,0.)); #483358=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #483359=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #483360=CARTESIAN_POINT('',(41.377,36.243928,0.)); #483361=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #483362=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #483363=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.035)); #483364=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.035)); #483365=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.)); #483366=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #483367=CARTESIAN_POINT('',(41.11,31.,0.)); #483368=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #483369=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #483370=CARTESIAN_POINT('',(41.11,31.,-200.)); #483371=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #483372=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.)); #483373=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #483374=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #483375=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #483376=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #483377=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #483378=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #483379=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #483380=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #483381=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.)); #483382=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #483383=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #483384=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #483385=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #483386=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #483387=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.)); #483388=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #483389=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #483390=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #483391=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #483392=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #483393=CARTESIAN_POINT('Origin',(35.623,20.7125,0.)); #483394=CARTESIAN_POINT('',(35.623,20.7125,0.)); #483395=CARTESIAN_POINT('',(35.623,20.7125,0.)); #483396=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #483397=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #483398=CARTESIAN_POINT('',(35.623,20.7125,0.)); #483399=CARTESIAN_POINT('Origin',(35.623,21.87865,0.)); #483400=CARTESIAN_POINT('',(35.623,21.87865,0.)); #483401=CARTESIAN_POINT('',(35.623,21.87865,0.)); #483402=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #483403=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #483404=CARTESIAN_POINT('',(35.623,21.87865,0.)); #483405=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #483406=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #483407=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #483408=CARTESIAN_POINT('',(35.660197,21.968453,0.035)); #483409=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.035)); #483410=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #483411=CARTESIAN_POINT('Origin',(38.373,24.681256,0.)); #483412=CARTESIAN_POINT('',(38.373,24.681256,0.)); #483413=CARTESIAN_POINT('',(38.373,24.681256,0.)); #483414=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #483415=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #483416=CARTESIAN_POINT('',(38.373,24.681256,0.)); #483417=CARTESIAN_POINT('Origin',(38.373,26.310675,0.)); #483418=CARTESIAN_POINT('',(38.373,26.310675,0.)); #483419=CARTESIAN_POINT('',(38.373,26.310675,0.)); #483420=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #483421=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #483422=CARTESIAN_POINT('',(38.373,26.310675,0.)); #483423=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #483424=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #483425=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #483426=CARTESIAN_POINT('',(38.410197,26.400478,0.035)); #483427=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.035)); #483428=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #483429=CARTESIAN_POINT('Origin',(41.123,29.113281,0.)); #483430=CARTESIAN_POINT('',(41.123,29.113281,0.)); #483431=CARTESIAN_POINT('',(41.123,29.113281,0.)); #483432=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #483433=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #483434=CARTESIAN_POINT('',(41.123,29.113281,0.)); #483435=CARTESIAN_POINT('Origin',(41.123,30.756072,0.)); #483436=CARTESIAN_POINT('',(41.123,30.756072,0.)); #483437=CARTESIAN_POINT('',(41.123,30.756072,0.)); #483438=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #483439=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #483440=CARTESIAN_POINT('',(41.123,30.756072,0.)); #483441=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #483442=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #483443=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #483444=CARTESIAN_POINT('',(41.144438,31.254853,0.035)); #483445=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.035)); #483446=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #483447=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #483448=CARTESIAN_POINT('',(41.377,30.756072,0.)); #483449=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #483450=CARTESIAN_POINT('',(41.377,30.756072,0.035)); #483451=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.035)); #483452=CARTESIAN_POINT('',(41.377,30.756072,0.)); #483453=CARTESIAN_POINT('Origin',(41.377,29.060675,0.)); #483454=CARTESIAN_POINT('',(41.377,29.060675,0.)); #483455=CARTESIAN_POINT('',(41.377,29.060675,0.)); #483456=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #483457=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #483458=CARTESIAN_POINT('',(41.377,29.060675,0.)); #483459=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #483460=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #483461=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #483462=CARTESIAN_POINT('',(41.339803,28.970872,0.035)); #483463=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.035)); #483464=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #483465=CARTESIAN_POINT('Origin',(38.627,26.258069,0.)); #483466=CARTESIAN_POINT('',(38.627,26.258069,0.)); #483467=CARTESIAN_POINT('',(38.627,26.258069,0.)); #483468=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #483469=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #483470=CARTESIAN_POINT('',(38.627,26.258069,0.)); #483471=CARTESIAN_POINT('Origin',(38.627,24.62865,0.)); #483472=CARTESIAN_POINT('',(38.627,24.62865,0.)); #483473=CARTESIAN_POINT('',(38.627,24.62865,0.)); #483474=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #483475=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #483476=CARTESIAN_POINT('',(38.627,24.62865,0.)); #483477=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #483478=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #483479=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #483480=CARTESIAN_POINT('',(38.589803,24.538847,0.035)); #483481=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.035)); #483482=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #483483=CARTESIAN_POINT('Origin',(35.877,21.826044,0.)); #483484=CARTESIAN_POINT('',(35.877,21.826044,0.)); #483485=CARTESIAN_POINT('',(35.877,21.826044,0.)); #483486=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #483487=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #483488=CARTESIAN_POINT('',(35.877,21.826044,0.)); #483489=CARTESIAN_POINT('Origin',(35.877,20.7125,0.)); #483490=CARTESIAN_POINT('',(35.877,20.7125,0.)); #483491=CARTESIAN_POINT('',(35.877,20.7125,0.)); #483492=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #483493=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #483494=CARTESIAN_POINT('',(35.877,20.7125,0.)); #483495=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.)); #483496=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #483497=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #483498=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.035)); #483499=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.)); #483500=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #483501=CARTESIAN_POINT('',(37.11,8.75,0.)); #483502=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #483503=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #483504=CARTESIAN_POINT('',(37.11,8.75,-200.)); #483505=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #483506=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #483507=CARTESIAN_POINT('',(36.36,9.5,0.)); #483508=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #483509=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #483510=CARTESIAN_POINT('',(36.36,9.5,-200.)); #483511=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #483512=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #483513=CARTESIAN_POINT('',(37.11,9.5,0.)); #483514=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #483515=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #483516=CARTESIAN_POINT('',(37.11,9.5,-200.)); #483517=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #483518=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #483519=CARTESIAN_POINT('',(39.11,17.5,0.)); #483520=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #483521=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #483522=CARTESIAN_POINT('',(39.11,17.5,-200.)); #483523=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #483524=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #483525=CARTESIAN_POINT('',(36.285,7.1,0.)); #483526=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #483527=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #483528=CARTESIAN_POINT('',(36.285,7.1,-200.)); #483529=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #483530=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #483531=CARTESIAN_POINT('',(36.285,7.6,0.)); #483532=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #483533=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #483534=CARTESIAN_POINT('',(36.285,7.6,-200.)); #483535=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #483536=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #483537=CARTESIAN_POINT('',(31.485,7.6,0.)); #483538=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #483539=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #483540=CARTESIAN_POINT('',(31.485,7.6,-200.)); #483541=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #483542=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #483543=CARTESIAN_POINT('',(31.485,7.1,0.)); #483544=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #483545=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #483546=CARTESIAN_POINT('',(31.485,7.1,-200.)); #483547=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #483548=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #483549=CARTESIAN_POINT('',(31.36,8.75,0.)); #483550=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #483551=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #483552=CARTESIAN_POINT('',(31.36,8.75,-200.)); #483553=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #483554=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #483555=CARTESIAN_POINT('',(34.61,5.5,0.)); #483556=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #483557=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #483558=CARTESIAN_POINT('',(34.61,5.5,-200.)); #483559=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #483560=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #483561=CARTESIAN_POINT('',(33.86,5.5,0.)); #483562=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #483563=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #483564=CARTESIAN_POINT('',(33.86,5.5,-200.)); #483565=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #483566=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #483567=CARTESIAN_POINT('',(33.11,5.5,0.)); #483568=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #483569=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #483570=CARTESIAN_POINT('',(33.11,5.5,-200.)); #483571=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #483572=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #483573=CARTESIAN_POINT('',(30.61,9.5,0.)); #483574=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #483575=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #483576=CARTESIAN_POINT('',(30.61,9.5,-200.)); #483577=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #483578=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #483579=CARTESIAN_POINT('',(30.61,8.75,0.)); #483580=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #483581=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #483582=CARTESIAN_POINT('',(30.61,8.75,-200.)); #483583=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #483584=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #483585=CARTESIAN_POINT('',(31.36,9.5,0.)); #483586=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #483587=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #483588=CARTESIAN_POINT('',(31.36,9.5,-200.)); #483589=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #483590=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #483591=CARTESIAN_POINT('',(36.36,8.75,0.)); #483592=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #483593=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #483594=CARTESIAN_POINT('',(36.36,8.75,-200.)); #483595=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #483596=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #483597=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #483598=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #483599=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #483600=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #483601=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #483602=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #483603=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #483604=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #483605=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #483606=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #483607=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #483608=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #483609=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #483610=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #483611=CARTESIAN_POINT('Origin',(41.6774,13.054406,0.)); #483612=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #483613=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #483614=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #483615=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #483616=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #483617=CARTESIAN_POINT('Origin',(41.686684,13.102069,0.)); #483618=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #483619=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #483620=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #483621=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #483622=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #483623=CARTESIAN_POINT('Origin',(41.714597,13.144209,0.)); #483624=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #483625=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #483626=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #483627=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #483628=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #483629=CARTESIAN_POINT('Origin',(42.355791,13.785403,0.)); #483630=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #483631=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #483632=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #483633=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #483634=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #483635=CARTESIAN_POINT('Origin',(42.396059,13.812541,0.)); #483636=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #483637=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #483638=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #483639=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #483640=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #483641=CARTESIAN_POINT('Origin',(42.445594,13.8226,0.)); #483642=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #483643=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #483644=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #483645=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #483646=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #483647=CARTESIAN_POINT('Origin',(42.677034,13.8226,0.)); #483648=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #483649=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #483650=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #483651=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #483652=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #483653=CARTESIAN_POINT('Origin',(42.9274,14.072966,0.)); #483654=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #483655=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #483656=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #483657=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #483658=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #483659=CARTESIAN_POINT('Origin',(42.9274,14.427034,0.)); #483660=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #483661=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #483662=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #483663=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #483664=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #483665=CARTESIAN_POINT('Origin',(42.677034,14.6774,0.)); #483666=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #483667=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #483668=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #483669=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #483670=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #483671=CARTESIAN_POINT('Origin',(42.322966,14.6774,0.)); #483672=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #483673=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #483674=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #483675=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #483676=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #483677=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #483678=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #483679=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #483680=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #483681=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #483682=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #483683=CARTESIAN_POINT('Origin',(42.0726,14.201394,0.)); #483684=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #483685=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #483686=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #483687=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #483688=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #483689=CARTESIAN_POINT('Origin',(42.063316,14.153731,0.)); #483690=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #483691=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #483692=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #483693=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #483694=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #483695=CARTESIAN_POINT('Origin',(42.035403,14.111591,0.)); #483696=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #483697=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #483698=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #483699=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #483700=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #483701=CARTESIAN_POINT('Origin',(41.391309,13.467497,0.)); #483702=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #483703=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #483704=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #483705=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #483706=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #483707=CARTESIAN_POINT('Origin',(41.351041,13.440359,0.)); #483708=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #483709=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #483710=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #483711=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #483712=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #483713=CARTESIAN_POINT('Origin',(41.301506,13.4303,0.)); #483714=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #483715=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #483716=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #483717=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #483718=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #483719=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #483720=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #483721=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #483722=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #483723=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #483724=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #483725=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #483726=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #483727=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #483728=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #483729=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #483730=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #483731=CARTESIAN_POINT('Origin',(40.8226,12.825866,0.)); #483732=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #483733=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #483734=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #483735=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #483736=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #483737=CARTESIAN_POINT('Origin',(41.072966,12.5755,0.)); #483738=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #483739=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #483740=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #483741=CARTESIAN_POINT('',(35.697394,5.,0.)); #483742=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #483743=CARTESIAN_POINT('',(35.697394,5.,0.)); #483744=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #483745=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #483746=CARTESIAN_POINT('',(35.697394,5.,0.035)); #483747=CARTESIAN_POINT('',(35.697394,5.,0.035)); #483748=CARTESIAN_POINT('',(35.697394,5.,0.)); #483749=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #483750=CARTESIAN_POINT('',(32.302606,5.,0.)); #483751=CARTESIAN_POINT('',(32.302606,5.,0.)); #483752=CARTESIAN_POINT('',(32.302606,5.,0.035)); #483753=CARTESIAN_POINT('',(32.302606,5.,0.035)); #483754=CARTESIAN_POINT('',(32.302606,5.,0.)); #483755=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #483756=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #483757=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #483758=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #483759=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #483760=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #483761=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #483762=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #483763=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #483764=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #483765=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #483766=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #483767=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #483768=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #483769=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #483770=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #483771=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #483772=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #483773=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #483774=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #483775=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #483776=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #483777=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #483778=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #483779=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #483780=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #483781=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #483782=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #483783=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #483784=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #483785=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #483786=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #483787=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #483788=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #483789=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #483790=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #483791=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #483792=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #483793=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #483794=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #483795=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #483796=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #483797=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #483798=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #483799=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #483800=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #483801=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #483802=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #483803=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #483804=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #483805=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #483806=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #483807=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #483808=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #483809=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #483810=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #483811=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #483812=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #483813=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #483814=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #483815=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #483816=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #483817=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #483818=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #483819=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #483820=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #483821=CARTESIAN_POINT('Origin',(31.464031,6.7098531,0.)); #483822=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #483823=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #483824=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #483825=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #483826=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #483827=CARTESIAN_POINT('Origin',(31.3284,6.7660344,0.)); #483828=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #483829=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #483830=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #483831=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #483832=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #483833=CARTESIAN_POINT('Origin',(31.288641,6.7921438,0.)); #483834=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #483835=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #483836=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #483837=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #483838=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #483839=CARTESIAN_POINT('Origin',(31.260059,6.8338313,0.)); #483840=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #483841=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #483842=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #483843=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #483844=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #483845=CARTESIAN_POINT('Origin',(31.25,6.8833656,0.)); #483846=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #483847=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #483848=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #483849=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #483850=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #483851=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #483852=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #483853=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #483854=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #483855=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #483856=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #483857=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #483858=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #483859=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #483860=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #483861=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #483862=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #483863=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #483864=CARTESIAN_POINT('',(31.242016,8.,0.)); #483865=CARTESIAN_POINT('',(31.242016,8.,0.)); #483866=CARTESIAN_POINT('',(31.242016,8.,0.035)); #483867=CARTESIAN_POINT('',(31.242016,8.,0.035)); #483868=CARTESIAN_POINT('',(31.242016,8.,0.)); #483869=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #483870=CARTESIAN_POINT('',(31.021684,8.,0.)); #483871=CARTESIAN_POINT('',(31.021684,8.,0.)); #483872=CARTESIAN_POINT('',(31.021684,8.,0.035)); #483873=CARTESIAN_POINT('',(31.021684,8.,0.035)); #483874=CARTESIAN_POINT('',(31.021684,8.,0.)); #483875=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #483876=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #483877=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #483878=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #483879=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #483880=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #483881=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #483882=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #483883=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #483884=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #483885=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #483886=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #483887=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #483888=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #483889=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #483890=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #483891=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #483892=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #483893=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #483894=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #483895=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #483896=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #483897=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #483898=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #483899=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #483900=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #483901=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #483902=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #483903=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #483904=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #483905=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #483906=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #483907=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #483908=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #483909=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #483910=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #483911=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #483912=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #483913=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #483914=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #483915=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #483916=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #483917=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #483918=CARTESIAN_POINT('',(30.25,9.9456,0.)); #483919=CARTESIAN_POINT('',(30.25,9.9456,0.)); #483920=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #483921=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #483922=CARTESIAN_POINT('',(30.25,9.9456,0.)); #483923=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #483924=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #483925=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #483926=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #483927=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #483928=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #483929=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #483930=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #483931=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #483932=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #483933=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #483934=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #483935=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #483936=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #483937=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #483938=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #483939=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #483940=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #483941=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #483942=CARTESIAN_POINT('',(30.377,10.0726,0.)); #483943=CARTESIAN_POINT('',(30.377,10.0726,0.)); #483944=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #483945=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #483946=CARTESIAN_POINT('',(30.377,10.0726,0.)); #483947=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #483948=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #483949=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #483950=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #483951=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #483952=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #483953=CARTESIAN_POINT('Origin',(30.9274,10.322966,0.)); #483954=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #483955=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #483956=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #483957=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #483958=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #483959=CARTESIAN_POINT('Origin',(30.9274,10.677034,0.)); #483960=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #483961=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #483962=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #483963=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #483964=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #483965=CARTESIAN_POINT('Origin',(30.819237,10.785197,0.)); #483966=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #483967=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #483968=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #483969=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #483970=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #483971=CARTESIAN_POINT('Origin',(30.792903,10.823606,0.)); #483972=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #483973=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #483974=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #483975=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #483976=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #483977=CARTESIAN_POINT('Origin',(30.782056,10.872975,0.)); #483978=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #483979=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #483980=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #483981=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #483982=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #483983=CARTESIAN_POINT('Origin',(30.791325,10.922663,0.)); #483984=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #483985=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #483986=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #483987=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #483988=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #483989=CARTESIAN_POINT('Origin',(30.819237,10.964803,0.)); #483990=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #483991=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #483992=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #483993=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #483994=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #483995=CARTESIAN_POINT('Origin',(30.9274,11.072966,0.)); #483996=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #483997=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #483998=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #483999=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #484000=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #484001=CARTESIAN_POINT('Origin',(30.9274,11.123,0.)); #484002=CARTESIAN_POINT('',(30.9274,11.123,0.)); #484003=CARTESIAN_POINT('',(30.9274,11.123,0.)); #484004=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #484005=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #484006=CARTESIAN_POINT('',(30.9274,11.123,0.)); #484007=CARTESIAN_POINT('Origin',(30.935938,11.168781,0.)); #484008=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #484009=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #484010=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #484011=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #484012=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #484013=CARTESIAN_POINT('Origin',(30.963178,11.211359,0.)); #484014=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #484015=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #484016=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #484017=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #484018=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #484019=CARTESIAN_POINT('Origin',(31.004866,11.239941,0.)); #484020=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #484021=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #484022=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #484023=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #484024=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #484025=CARTESIAN_POINT('Origin',(31.0544,11.25,0.)); #484026=CARTESIAN_POINT('',(31.0544,11.25,0.)); #484027=CARTESIAN_POINT('',(31.0544,11.25,0.)); #484028=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #484029=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #484030=CARTESIAN_POINT('',(31.0544,11.25,0.)); #484031=CARTESIAN_POINT('Origin',(31.502769,11.25,0.)); #484032=CARTESIAN_POINT('',(31.502769,11.25,0.)); #484033=CARTESIAN_POINT('',(31.502769,11.25,0.)); #484034=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #484035=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #484036=CARTESIAN_POINT('',(31.502769,11.25,0.)); #484037=CARTESIAN_POINT('Origin',(31.550431,11.240716,0.)); #484038=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #484039=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #484040=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #484041=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #484042=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #484043=CARTESIAN_POINT('Origin',(31.592572,11.212803,0.)); #484044=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #484045=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #484046=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #484047=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #484048=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #484049=CARTESIAN_POINT('Origin',(32.484203,10.321172,0.)); #484050=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #484051=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #484052=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #484053=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #484054=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #484055=CARTESIAN_POINT('Origin',(32.511341,10.280903,0.)); #484056=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #484057=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #484058=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #484059=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #484060=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #484061=CARTESIAN_POINT('Origin',(32.5214,10.231369,0.)); #484062=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #484063=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #484064=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #484065=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #484066=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #484067=CARTESIAN_POINT('Origin',(32.5214,8.6784406,0.)); #484068=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #484069=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #484070=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #484071=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #484072=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #484073=CARTESIAN_POINT('Origin',(32.512116,8.6307781,0.)); #484074=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #484075=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #484076=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #484077=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #484078=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #484079=CARTESIAN_POINT('Origin',(32.484203,8.5886375,0.)); #484080=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #484081=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #484082=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #484083=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #484084=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #484085=CARTESIAN_POINT('Origin',(32.3226,8.4270344,0.)); #484086=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #484087=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #484088=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #484089=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #484090=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #484091=CARTESIAN_POINT('Origin',(32.3226,8.0729656,0.)); #484092=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #484093=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #484094=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #484095=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #484096=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #484097=CARTESIAN_POINT('Origin',(32.572966,7.8226,0.)); #484098=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #484099=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #484100=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #484101=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #484102=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #484103=CARTESIAN_POINT('Origin',(32.927034,7.8226,0.)); #484104=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #484105=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #484106=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #484107=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #484108=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #484109=CARTESIAN_POINT('Origin',(33.054597,7.9501625,0.)); #484110=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #484111=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #484112=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #484113=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #484114=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #484115=CARTESIAN_POINT('Origin',(33.091163,7.9756625,0.)); #484116=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #484117=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #484118=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #484119=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #484120=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #484121=CARTESIAN_POINT('Origin',(33.140353,7.9872938,0.)); #484122=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #484123=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #484124=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #484125=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #484126=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #484127=CARTESIAN_POINT('Origin',(33.190181,7.9788219,0.)); #484128=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #484129=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #484130=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #484131=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #484132=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #484133=CARTESIAN_POINT('Origin',(33.232759,7.9515813,0.)); #484134=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #484135=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #484136=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #484137=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #484138=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #484139=CARTESIAN_POINT('Origin',(33.261341,7.9098938,0.)); #484140=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #484141=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #484142=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #484143=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #484144=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #484145=CARTESIAN_POINT('Origin',(33.261831,7.9074813,0.)); #484146=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #484147=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #484148=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #484149=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #484150=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #484151=CARTESIAN_POINT('Origin',(33.484203,7.6851094,0.)); #484152=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #484153=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #484154=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #484155=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #484156=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #484157=CARTESIAN_POINT('Origin',(33.511341,7.6448406,0.)); #484158=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #484159=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #484160=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #484161=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #484162=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #484163=CARTESIAN_POINT('Origin',(33.5214,7.5953063,0.)); #484164=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #484165=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #484166=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #484167=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #484168=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #484169=CARTESIAN_POINT('Origin',(33.5214,6.6710406,0.)); #484170=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #484171=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #484172=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #484173=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #484174=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #484175=CARTESIAN_POINT('Origin',(33.512116,6.6233781,0.)); #484176=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #484177=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #484178=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #484179=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #484180=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #484181=CARTESIAN_POINT('Origin',(33.484203,6.5812375,0.)); #484182=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #484183=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #484184=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #484185=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #484186=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #484187=CARTESIAN_POINT('Origin',(33.3226,6.4196344,0.)); #484188=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #484189=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #484190=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #484191=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #484192=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #484193=CARTESIAN_POINT('Origin',(33.3226,6.0655656,0.)); #484194=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #484195=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #484196=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #484197=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #484198=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #484199=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #484200=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #484201=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #484202=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #484203=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #484204=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #484205=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #484206=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #484207=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #484208=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #484209=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #484210=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #484211=CARTESIAN_POINT('Origin',(34.1774,6.0655656,0.)); #484212=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #484213=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #484214=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #484215=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #484216=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #484217=CARTESIAN_POINT('Origin',(34.1774,6.4196344,0.)); #484218=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #484219=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #484220=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #484221=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #484222=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #484223=CARTESIAN_POINT('Origin',(34.015797,6.5812375,0.)); #484224=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #484225=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #484226=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #484227=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #484228=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #484229=CARTESIAN_POINT('Origin',(33.988659,6.6215063,0.)); #484230=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #484231=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #484232=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #484233=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #484234=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #484235=CARTESIAN_POINT('Origin',(33.9786,6.6710406,0.)); #484236=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #484237=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #484238=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #484239=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #484240=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #484241=CARTESIAN_POINT('Origin',(33.9786,7.6956,0.)); #484242=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #484243=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #484244=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #484245=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #484246=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #484247=CARTESIAN_POINT('Origin',(33.987138,7.7413813,0.)); #484248=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #484249=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #484250=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #484251=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #484252=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #484253=CARTESIAN_POINT('Origin',(34.014378,7.7839594,0.)); #484254=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #484255=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #484256=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #484257=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #484258=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #484259=CARTESIAN_POINT('Origin',(34.056066,7.8125406,0.)); #484260=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #484261=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #484262=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #484263=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #484264=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #484265=CARTESIAN_POINT('Origin',(34.1056,7.8226,0.)); #484266=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #484267=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #484268=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #484269=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #484270=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #484271=CARTESIAN_POINT('Origin',(34.427034,7.8226,0.)); #484272=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #484273=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #484274=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #484275=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #484276=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #484277=CARTESIAN_POINT('Origin',(34.554597,7.9501625,0.)); #484278=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #484279=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #484280=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #484281=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #484282=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #484283=CARTESIAN_POINT('Origin',(34.591163,7.9756625,0.)); #484284=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #484285=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #484286=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #484287=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #484288=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #484289=CARTESIAN_POINT('Origin',(34.640353,7.9872938,0.)); #484290=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #484291=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #484292=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #484293=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #484294=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #484295=CARTESIAN_POINT('Origin',(34.690181,7.9788219,0.)); #484296=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #484297=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #484298=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #484299=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #484300=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #484301=CARTESIAN_POINT('Origin',(34.732759,7.9515813,0.)); #484302=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #484303=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #484304=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #484305=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #484306=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #484307=CARTESIAN_POINT('Origin',(34.749394,7.9273188,0.)); #484308=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #484309=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #484310=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #484311=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #484312=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #484313=CARTESIAN_POINT('Origin',(34.984203,7.6925094,0.)); #484314=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #484315=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #484316=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #484317=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #484318=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #484319=CARTESIAN_POINT('Origin',(35.011341,7.6522406,0.)); #484320=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #484321=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #484322=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #484323=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #484324=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #484325=CARTESIAN_POINT('Origin',(35.0214,7.6027063,0.)); #484326=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #484327=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #484328=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #484329=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #484330=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #484331=CARTESIAN_POINT('Origin',(35.0214,6.6784406,0.)); #484332=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #484333=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #484334=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #484335=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #484336=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #484337=CARTESIAN_POINT('Origin',(35.012116,6.6307781,0.)); #484338=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #484339=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #484340=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #484341=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #484342=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #484343=CARTESIAN_POINT('Origin',(34.984203,6.5886375,0.)); #484344=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #484345=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #484346=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #484347=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #484348=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #484349=CARTESIAN_POINT('Origin',(34.8226,6.4270344,0.)); #484350=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #484351=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #484352=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #484353=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #484354=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #484355=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #484356=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #484357=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #484358=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #484359=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #484360=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #484361=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #484362=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #484363=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #484364=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #484365=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #484366=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #484367=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #484368=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #484369=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #484370=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #484371=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #484372=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #484373=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #484374=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #484375=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #484376=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #484377=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #484378=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #484379=CARTESIAN_POINT('Origin',(35.6774,6.4270344,0.)); #484380=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #484381=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #484382=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #484383=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #484384=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #484385=CARTESIAN_POINT('Origin',(35.515797,6.5886375,0.)); #484386=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #484387=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #484388=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #484389=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #484390=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #484391=CARTESIAN_POINT('Origin',(35.488659,6.6289063,0.)); #484392=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #484393=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #484394=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #484395=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #484396=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #484397=CARTESIAN_POINT('Origin',(35.4786,6.6784406,0.)); #484398=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #484399=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #484400=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #484401=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #484402=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #484403=CARTESIAN_POINT('Origin',(35.4786,7.8446875,0.)); #484404=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #484405=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #484406=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #484407=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #484408=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #484409=CARTESIAN_POINT('Origin',(35.265797,8.0574906,0.)); #484410=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #484411=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #484412=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #484413=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #484414=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #484415=CARTESIAN_POINT('Origin',(35.238659,8.0977594,0.)); #484416=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #484417=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #484418=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #484419=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #484420=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #484421=CARTESIAN_POINT('Origin',(35.2286,8.1472938,0.)); #484422=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #484423=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #484424=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #484425=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #484426=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #484427=CARTESIAN_POINT('Origin',(35.2286,10.344688,0.)); #484428=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #484429=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #484430=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #484431=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #484432=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #484433=CARTESIAN_POINT('Origin',(33.967491,11.605797,0.)); #484434=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #484435=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #484436=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #484437=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #484438=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #484439=CARTESIAN_POINT('Origin',(33.941991,11.642363,0.)); #484440=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #484441=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #484442=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #484443=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #484444=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #484445=CARTESIAN_POINT('Origin',(33.930359,11.691553,0.)); #484446=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #484447=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #484448=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #484449=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #484450=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #484451=CARTESIAN_POINT('Origin',(33.938831,11.741381,0.)); #484452=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #484453=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #484454=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #484455=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #484456=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #484457=CARTESIAN_POINT('Origin',(33.966072,11.783959,0.)); #484458=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #484459=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #484460=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #484461=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #484462=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #484463=CARTESIAN_POINT('Origin',(34.007759,11.812541,0.)); #484464=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #484465=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #484466=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #484467=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #484468=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #484469=CARTESIAN_POINT('Origin',(34.057294,11.8226,0.)); #484470=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #484471=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #484472=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #484473=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #484474=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #484475=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #484476=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #484477=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #484478=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #484479=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #484480=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #484481=CARTESIAN_POINT('Origin',(34.410197,11.993263,0.)); #484482=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #484483=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #484484=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #484485=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #484486=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #484487=CARTESIAN_POINT('Origin',(34.448606,12.019597,0.)); #484488=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #484489=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #484490=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #484491=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #484492=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #484493=CARTESIAN_POINT('Origin',(34.497975,12.030444,0.)); #484494=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #484495=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #484496=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #484497=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #484498=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #484499=CARTESIAN_POINT('Origin',(34.547663,12.021175,0.)); #484500=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #484501=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #484502=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #484503=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #484504=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #484505=CARTESIAN_POINT('Origin',(34.589803,11.993263,0.)); #484506=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #484507=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #484508=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #484509=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #484510=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #484511=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #484512=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #484513=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #484514=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #484515=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #484516=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #484517=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #484518=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #484519=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #484520=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #484521=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #484522=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #484523=CARTESIAN_POINT('Origin',(35.304597,12.012663,0.)); #484524=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #484525=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #484526=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #484527=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #484528=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #484529=CARTESIAN_POINT('Origin',(35.341163,12.038163,0.)); #484530=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #484531=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #484532=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #484533=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #484534=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #484535=CARTESIAN_POINT('Origin',(35.390353,12.049794,0.)); #484536=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #484537=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #484538=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #484539=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #484540=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #484541=CARTESIAN_POINT('Origin',(35.440181,12.041322,0.)); #484542=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #484543=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #484544=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #484545=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #484546=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #484547=CARTESIAN_POINT('Origin',(35.482759,12.014081,0.)); #484548=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #484549=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #484550=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #484551=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #484552=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #484553=CARTESIAN_POINT('Origin',(35.511341,11.972394,0.)); #484554=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #484555=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #484556=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #484557=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #484558=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #484559=CARTESIAN_POINT('Origin',(35.5214,11.922859,0.)); #484560=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #484561=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #484562=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #484563=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #484564=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #484565=CARTESIAN_POINT('Origin',(35.5214,11.678441,0.)); #484566=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #484567=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #484568=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #484569=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #484570=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #484571=CARTESIAN_POINT('Origin',(35.512116,11.630778,0.)); #484572=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #484573=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #484574=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #484575=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #484576=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #484577=CARTESIAN_POINT('Origin',(35.484203,11.588638,0.)); #484578=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #484579=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #484580=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #484581=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #484582=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #484583=CARTESIAN_POINT('Origin',(35.3226,11.427034,0.)); #484584=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #484585=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #484586=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #484587=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #484588=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #484589=CARTESIAN_POINT('Origin',(35.3226,11.072966,0.)); #484590=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #484591=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #484592=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #484593=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #484594=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #484595=CARTESIAN_POINT('Origin',(35.572966,10.8226,0.)); #484596=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #484597=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #484598=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #484599=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #484600=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #484601=CARTESIAN_POINT('Origin',(35.9456,10.8226,0.)); #484602=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #484603=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #484604=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #484605=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #484606=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #484607=CARTESIAN_POINT('Origin',(35.991381,10.814063,0.)); #484608=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #484609=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #484610=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #484611=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #484612=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #484613=CARTESIAN_POINT('Origin',(36.033959,10.786822,0.)); #484614=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #484615=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #484616=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #484617=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #484618=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #484619=CARTESIAN_POINT('Origin',(36.062541,10.745134,0.)); #484620=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #484621=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #484622=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #484623=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #484624=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #484625=CARTESIAN_POINT('Origin',(36.0726,10.6956,0.)); #484626=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #484627=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #484628=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #484629=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #484630=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #484631=CARTESIAN_POINT('Origin',(36.0726,10.322966,0.)); #484632=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #484633=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #484634=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #484635=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #484636=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #484637=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #484638=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #484639=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #484640=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #484641=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #484642=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #484643=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #484644=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #484645=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #484646=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #484647=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #484648=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #484649=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #484650=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #484651=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #484652=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #484653=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #484654=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #484655=CARTESIAN_POINT('Origin',(36.9274,10.677034,0.)); #484656=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #484657=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #484658=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #484659=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #484660=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #484661=CARTESIAN_POINT('Origin',(36.765797,10.838638,0.)); #484662=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #484663=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #484664=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #484665=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #484666=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #484667=CARTESIAN_POINT('Origin',(36.738659,10.878906,0.)); #484668=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #484669=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #484670=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #484671=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #484672=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #484673=CARTESIAN_POINT('Origin',(36.7286,10.928441,0.)); #484674=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #484675=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #484676=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #484677=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #484678=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #484679=CARTESIAN_POINT('Origin',(36.7286,12.844688,0.)); #484680=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #484681=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #484682=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #484683=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #484684=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #484685=CARTESIAN_POINT('Origin',(36.654991,12.918297,0.)); #484686=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #484687=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #484688=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #484689=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #484690=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #484691=CARTESIAN_POINT('Origin',(36.629491,12.954863,0.)); #484692=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #484693=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #484694=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #484695=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #484696=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #484697=CARTESIAN_POINT('Origin',(36.617859,13.004053,0.)); #484698=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #484699=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #484700=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #484701=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #484702=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #484703=CARTESIAN_POINT('Origin',(36.626331,13.053881,0.)); #484704=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #484705=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #484706=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #484707=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #484708=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #484709=CARTESIAN_POINT('Origin',(36.653572,13.096459,0.)); #484710=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #484711=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #484712=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #484713=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #484714=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #484715=CARTESIAN_POINT('Origin',(36.695259,13.125041,0.)); #484716=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #484717=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #484718=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #484719=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #484720=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #484721=CARTESIAN_POINT('Origin',(36.744794,13.1351,0.)); #484722=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #484723=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #484724=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #484725=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #484726=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #484727=CARTESIAN_POINT('Origin',(36.950625,13.1351,0.)); #484728=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #484729=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #484730=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #484731=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #484732=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #484733=CARTESIAN_POINT('Origin',(37.0399,13.224375,0.)); #484734=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #484735=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #484736=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #484737=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #484738=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #484739=CARTESIAN_POINT('Origin',(37.0399,13.8331,0.)); #484740=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #484741=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #484742=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #484743=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #484744=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #484745=CARTESIAN_POINT('Origin',(37.048437,13.878881,0.)); #484746=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #484747=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #484748=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #484749=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #484750=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #484751=CARTESIAN_POINT('Origin',(37.075678,13.921459,0.)); #484752=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #484753=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #484754=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #484755=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #484756=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #484757=CARTESIAN_POINT('Origin',(37.117366,13.950041,0.)); #484758=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #484759=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #484760=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #484761=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #484762=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #484763=CARTESIAN_POINT('Origin',(37.1669,13.9601,0.)); #484764=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #484765=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #484766=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #484767=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #484768=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #484769=CARTESIAN_POINT('Origin',(37.775625,13.9601,0.)); #484770=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #484771=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #484772=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #484773=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #484774=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #484775=CARTESIAN_POINT('Origin',(37.8649,14.049375,0.)); #484776=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #484777=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #484778=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #484779=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #484780=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #484781=CARTESIAN_POINT('Origin',(37.8649,15.3944,0.)); #484782=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #484783=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #484784=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #484785=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #484786=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #484787=CARTESIAN_POINT('Origin',(37.873438,15.440181,0.)); #484788=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #484789=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #484790=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #484791=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #484792=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #484793=CARTESIAN_POINT('Origin',(37.900678,15.482759,0.)); #484794=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #484795=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #484796=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #484797=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #484798=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #484799=CARTESIAN_POINT('Origin',(37.942366,15.511341,0.)); #484800=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #484801=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #484802=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #484803=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #484804=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #484805=CARTESIAN_POINT('Origin',(37.9919,15.5214,0.)); #484806=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #484807=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #484808=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #484809=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #484810=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #484811=CARTESIAN_POINT('Origin',(38.821559,15.5214,0.)); #484812=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #484813=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #484814=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #484815=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #484816=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #484817=CARTESIAN_POINT('Origin',(38.869222,15.512116,0.)); #484818=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #484819=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #484820=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #484821=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #484822=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #484823=CARTESIAN_POINT('Origin',(38.911363,15.484203,0.)); #484824=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #484825=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #484826=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #484827=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #484828=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #484829=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #484830=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #484831=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #484832=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #484833=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #484834=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #484835=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #484836=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #484837=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #484838=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #484839=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #484840=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #484841=CARTESIAN_POINT('Origin',(39.6774,15.572966,0.)); #484842=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #484843=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #484844=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #484845=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #484846=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #484847=CARTESIAN_POINT('Origin',(39.6774,15.927034,0.)); #484848=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #484849=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #484850=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #484851=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #484852=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #484853=CARTESIAN_POINT('Origin',(39.427034,16.1774,0.)); #484854=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #484855=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #484856=CARTESIAN_POINT('',(39.427034,16.1774,0.035)); #484857=CARTESIAN_POINT('',(39.427034,16.1774,0.035)); #484858=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #484859=CARTESIAN_POINT('Origin',(39.377,16.1774,0.)); #484860=CARTESIAN_POINT('',(39.377,16.1774,0.)); #484861=CARTESIAN_POINT('',(39.377,16.1774,0.)); #484862=CARTESIAN_POINT('',(39.377,16.1774,0.035)); #484863=CARTESIAN_POINT('',(39.377,16.1774,0.035)); #484864=CARTESIAN_POINT('',(39.377,16.1774,0.)); #484865=CARTESIAN_POINT('Origin',(39.331219,16.185938,0.)); #484866=CARTESIAN_POINT('',(39.331219,16.185938,0.)); #484867=CARTESIAN_POINT('',(39.331219,16.185938,0.)); #484868=CARTESIAN_POINT('',(39.331219,16.185938,0.035)); #484869=CARTESIAN_POINT('',(39.331219,16.185938,0.035)); #484870=CARTESIAN_POINT('',(39.331219,16.185938,0.)); #484871=CARTESIAN_POINT('Origin',(39.288641,16.213178,0.)); #484872=CARTESIAN_POINT('',(39.288641,16.213178,0.)); #484873=CARTESIAN_POINT('',(39.288641,16.213178,0.)); #484874=CARTESIAN_POINT('',(39.288641,16.213178,0.035)); #484875=CARTESIAN_POINT('',(39.288641,16.213178,0.035)); #484876=CARTESIAN_POINT('',(39.288641,16.213178,0.)); #484877=CARTESIAN_POINT('Origin',(39.260059,16.254866,0.)); #484878=CARTESIAN_POINT('',(39.260059,16.254866,0.)); #484879=CARTESIAN_POINT('',(39.260059,16.254866,0.)); #484880=CARTESIAN_POINT('',(39.260059,16.254866,0.035)); #484881=CARTESIAN_POINT('',(39.260059,16.254866,0.035)); #484882=CARTESIAN_POINT('',(39.260059,16.254866,0.)); #484883=CARTESIAN_POINT('Origin',(39.25,16.3044,0.)); #484884=CARTESIAN_POINT('',(39.25,16.3044,0.)); #484885=CARTESIAN_POINT('',(39.25,16.3044,0.)); #484886=CARTESIAN_POINT('',(39.25,16.3044,0.035)); #484887=CARTESIAN_POINT('',(39.25,16.3044,0.035)); #484888=CARTESIAN_POINT('',(39.25,16.3044,0.)); #484889=CARTESIAN_POINT('Origin',(39.25,17.225,0.)); #484890=CARTESIAN_POINT('',(39.25,17.225,0.)); #484891=CARTESIAN_POINT('',(39.25,17.225,0.)); #484892=CARTESIAN_POINT('',(39.25,17.225,0.035)); #484893=CARTESIAN_POINT('',(39.25,17.225,0.035)); #484894=CARTESIAN_POINT('',(39.25,17.225,0.)); #484895=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #484896=CARTESIAN_POINT('',(39.006072,17.373,0.)); #484897=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #484898=CARTESIAN_POINT('',(39.006072,17.373,0.035)); #484899=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.035)); #484900=CARTESIAN_POINT('',(39.006072,17.373,0.)); #484901=CARTESIAN_POINT('Origin',(38.5,17.373,0.)); #484902=CARTESIAN_POINT('',(38.5,17.373,0.)); #484903=CARTESIAN_POINT('',(38.5,17.373,0.)); #484904=CARTESIAN_POINT('',(38.5,17.373,0.035)); #484905=CARTESIAN_POINT('',(38.5,17.373,0.035)); #484906=CARTESIAN_POINT('',(38.5,17.373,0.)); #484907=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #484908=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #484909=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #484910=CARTESIAN_POINT('',(38.410197,17.410197,0.035)); #484911=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.035)); #484912=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #484913=CARTESIAN_POINT('Origin',(38.197394,17.623,0.)); #484914=CARTESIAN_POINT('',(38.197394,17.623,0.)); #484915=CARTESIAN_POINT('',(38.197394,17.623,0.)); #484916=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #484917=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #484918=CARTESIAN_POINT('',(38.197394,17.623,0.)); #484919=CARTESIAN_POINT('Origin',(37.7125,17.623,0.)); #484920=CARTESIAN_POINT('',(37.7125,17.623,0.)); #484921=CARTESIAN_POINT('',(37.7125,17.623,0.)); #484922=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #484923=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #484924=CARTESIAN_POINT('',(37.7125,17.623,0.)); #484925=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.)); #484926=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #484927=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #484928=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #484929=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #484930=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #484931=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.)); #484932=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #484933=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #484934=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #484935=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #484936=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #484937=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.)); #484938=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #484939=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #484940=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #484941=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #484942=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #484943=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.)); #484944=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #484945=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #484946=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #484947=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #484948=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #484949=CARTESIAN_POINT('Origin',(37.7125,17.877,0.)); #484950=CARTESIAN_POINT('',(37.7125,17.877,0.)); #484951=CARTESIAN_POINT('',(37.7125,17.877,0.)); #484952=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #484953=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #484954=CARTESIAN_POINT('',(37.7125,17.877,0.)); #484955=CARTESIAN_POINT('Origin',(38.25,17.877,0.)); #484956=CARTESIAN_POINT('',(38.25,17.877,0.)); #484957=CARTESIAN_POINT('',(38.25,17.877,0.)); #484958=CARTESIAN_POINT('',(38.25,17.877,0.035)); #484959=CARTESIAN_POINT('',(38.25,17.877,0.035)); #484960=CARTESIAN_POINT('',(38.25,17.877,0.)); #484961=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #484962=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #484963=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #484964=CARTESIAN_POINT('',(38.339803,17.839803,0.035)); #484965=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.035)); #484966=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #484967=CARTESIAN_POINT('Origin',(38.552606,17.627,0.)); #484968=CARTESIAN_POINT('',(38.552606,17.627,0.)); #484969=CARTESIAN_POINT('',(38.552606,17.627,0.)); #484970=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #484971=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #484972=CARTESIAN_POINT('',(38.552606,17.627,0.)); #484973=CARTESIAN_POINT('Origin',(39.006072,17.627,0.)); #484974=CARTESIAN_POINT('',(39.006072,17.627,0.)); #484975=CARTESIAN_POINT('',(39.006072,17.627,0.)); #484976=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #484977=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #484978=CARTESIAN_POINT('',(39.006072,17.627,0.)); #484979=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #484980=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #484981=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #484982=CARTESIAN_POINT('',(39.279272,17.773791,0.035)); #484983=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.035)); #484984=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #484985=CARTESIAN_POINT('Origin',(39.285778,17.783959,0.)); #484986=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #484987=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #484988=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #484989=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #484990=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #484991=CARTESIAN_POINT('Origin',(39.327466,17.812541,0.)); #484992=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #484993=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #484994=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #484995=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #484996=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #484997=CARTESIAN_POINT('Origin',(39.377,17.8226,0.)); #484998=CARTESIAN_POINT('',(39.377,17.8226,0.)); #484999=CARTESIAN_POINT('',(39.377,17.8226,0.)); #485000=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #485001=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #485002=CARTESIAN_POINT('',(39.377,17.8226,0.)); #485003=CARTESIAN_POINT('Origin',(39.427034,17.8226,0.)); #485004=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #485005=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #485006=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #485007=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #485008=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #485009=CARTESIAN_POINT('Origin',(39.6774,18.072966,0.)); #485010=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #485011=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #485012=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #485013=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #485014=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #485015=CARTESIAN_POINT('Origin',(39.6774,18.123,0.)); #485016=CARTESIAN_POINT('',(39.6774,18.123,0.)); #485017=CARTESIAN_POINT('',(39.6774,18.123,0.)); #485018=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #485019=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #485020=CARTESIAN_POINT('',(39.6774,18.123,0.)); #485021=CARTESIAN_POINT('Origin',(39.685938,18.168781,0.)); #485022=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #485023=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #485024=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #485025=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #485026=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #485027=CARTESIAN_POINT('Origin',(39.713178,18.211359,0.)); #485028=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #485029=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #485030=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #485031=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #485032=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #485033=CARTESIAN_POINT('Origin',(39.754866,18.239941,0.)); #485034=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #485035=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #485036=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #485037=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #485038=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #485039=CARTESIAN_POINT('Origin',(39.8044,18.25,0.)); #485040=CARTESIAN_POINT('',(39.8044,18.25,0.)); #485041=CARTESIAN_POINT('',(39.8044,18.25,0.)); #485042=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #485043=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #485044=CARTESIAN_POINT('',(39.8044,18.25,0.)); #485045=CARTESIAN_POINT('Origin',(43.998,18.25,0.)); #485046=CARTESIAN_POINT('',(43.998,18.25,0.)); #485047=CARTESIAN_POINT('',(43.998,18.25,0.)); #485048=CARTESIAN_POINT('',(43.998,18.25,0.035)); #485049=CARTESIAN_POINT('',(43.998,18.25,0.035)); #485050=CARTESIAN_POINT('',(43.998,18.25,0.)); #485051=CARTESIAN_POINT('Origin',(44.043781,18.241463,0.)); #485052=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #485053=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #485054=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #485055=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #485056=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #485057=CARTESIAN_POINT('Origin',(44.086359,18.214222,0.)); #485058=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #485059=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #485060=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #485061=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #485062=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #485063=CARTESIAN_POINT('Origin',(44.114941,18.172534,0.)); #485064=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #485065=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #485066=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #485067=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #485068=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #485069=CARTESIAN_POINT('Origin',(44.125,18.123,0.)); #485070=CARTESIAN_POINT('',(44.125,18.123,0.)); #485071=CARTESIAN_POINT('',(44.125,18.123,0.)); #485072=CARTESIAN_POINT('',(44.125,18.123,0.035)); #485073=CARTESIAN_POINT('',(44.125,18.123,0.035)); #485074=CARTESIAN_POINT('',(44.125,18.123,0.)); #485075=CARTESIAN_POINT('Origin',(44.125,16.5044,0.)); #485076=CARTESIAN_POINT('',(44.125,16.5044,0.)); #485077=CARTESIAN_POINT('',(44.125,16.5044,0.)); #485078=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #485079=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #485080=CARTESIAN_POINT('',(44.125,16.5044,0.)); #485081=CARTESIAN_POINT('Origin',(44.116463,16.458619,0.)); #485082=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #485083=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #485084=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #485085=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #485086=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #485087=CARTESIAN_POINT('Origin',(44.089222,16.416041,0.)); #485088=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #485089=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #485090=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #485091=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #485092=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #485093=CARTESIAN_POINT('Origin',(44.047534,16.387459,0.)); #485094=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #485095=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #485096=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #485097=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #485098=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #485099=CARTESIAN_POINT('Origin',(43.998,16.3774,0.)); #485100=CARTESIAN_POINT('',(43.998,16.3774,0.)); #485101=CARTESIAN_POINT('',(43.998,16.3774,0.)); #485102=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #485103=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #485104=CARTESIAN_POINT('',(43.998,16.3774,0.)); #485105=CARTESIAN_POINT('Origin',(43.036875,16.3774,0.)); #485106=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #485107=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #485108=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #485109=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #485110=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #485111=CARTESIAN_POINT('Origin',(42.971759,16.312284,0.)); #485112=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #485113=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #485114=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #485115=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #485116=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #485117=CARTESIAN_POINT('Origin',(42.93335,16.28595,0.)); #485118=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #485119=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #485120=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #485121=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #485122=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #485123=CARTESIAN_POINT('Origin',(42.883981,16.275103,0.)); #485124=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #485125=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #485126=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #485127=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #485128=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #485129=CARTESIAN_POINT('Origin',(42.834294,16.284372,0.)); #485130=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #485131=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #485132=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #485133=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #485134=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #485135=CARTESIAN_POINT('Origin',(42.792153,16.312284,0.)); #485136=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #485137=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #485138=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #485139=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #485140=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #485141=CARTESIAN_POINT('Origin',(42.677034,16.4274,0.)); #485142=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #485143=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #485144=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #485145=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #485146=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #485147=CARTESIAN_POINT('Origin',(42.322966,16.4274,0.)); #485148=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #485149=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #485150=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #485151=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #485152=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #485153=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #485154=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #485155=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #485156=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #485157=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #485158=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #485159=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #485160=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #485161=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #485162=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #485163=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #485164=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #485165=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #485166=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #485167=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #485168=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #485169=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #485170=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #485171=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #485172=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #485173=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #485174=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #485175=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #485176=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #485177=CARTESIAN_POINT('Origin',(42.817153,15.712719,0.)); #485178=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #485179=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #485180=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #485181=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #485182=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #485183=CARTESIAN_POINT('Origin',(42.855566,15.739053,0.)); #485184=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #485185=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #485186=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #485187=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #485188=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #485189=CARTESIAN_POINT('Origin',(42.904934,15.7499,0.)); #485190=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #485191=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #485192=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #485193=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #485194=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #485195=CARTESIAN_POINT('Origin',(42.954622,15.740631,0.)); #485196=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #485197=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #485198=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #485199=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #485200=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #485201=CARTESIAN_POINT('Origin',(42.996759,15.712716,0.)); #485202=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #485203=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #485204=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #485205=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #485206=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #485207=CARTESIAN_POINT('Origin',(43.036872,15.6726,0.)); #485208=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #485209=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #485210=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #485211=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #485212=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #485213=CARTESIAN_POINT('Origin',(43.998,15.6726,0.)); #485214=CARTESIAN_POINT('',(43.998,15.6726,0.)); #485215=CARTESIAN_POINT('',(43.998,15.6726,0.)); #485216=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #485217=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #485218=CARTESIAN_POINT('',(43.998,15.6726,0.)); #485219=CARTESIAN_POINT('Origin',(44.043781,15.664063,0.)); #485220=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #485221=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #485222=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #485223=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #485224=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #485225=CARTESIAN_POINT('Origin',(44.086359,15.636822,0.)); #485226=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #485227=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #485228=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #485229=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #485230=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #485231=CARTESIAN_POINT('Origin',(44.114941,15.595134,0.)); #485232=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #485233=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #485234=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #485235=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #485236=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #485237=CARTESIAN_POINT('Origin',(44.125,15.5456,0.)); #485238=CARTESIAN_POINT('',(44.125,15.5456,0.)); #485239=CARTESIAN_POINT('',(44.125,15.5456,0.)); #485240=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #485241=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #485242=CARTESIAN_POINT('',(44.125,15.5456,0.)); #485243=CARTESIAN_POINT('Origin',(44.125,15.385022,0.)); #485244=CARTESIAN_POINT('',(44.125,15.385022,0.)); #485245=CARTESIAN_POINT('',(44.125,15.385022,0.)); #485246=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #485247=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #485248=CARTESIAN_POINT('',(44.125,15.385022,0.)); #485249=CARTESIAN_POINT('Origin',(44.126459,15.375825,0.)); #485250=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #485251=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #485252=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #485253=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #485254=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #485255=CARTESIAN_POINT('Origin',(44.132984,15.375,0.)); #485256=CARTESIAN_POINT('',(44.132984,15.375,0.)); #485257=CARTESIAN_POINT('',(44.132984,15.375,0.)); #485258=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #485259=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #485260=CARTESIAN_POINT('',(44.132984,15.375,0.)); #485261=CARTESIAN_POINT('Origin',(44.873,15.375,0.)); #485262=CARTESIAN_POINT('',(44.873,15.375,0.)); #485263=CARTESIAN_POINT('',(44.873,15.375,0.)); #485264=CARTESIAN_POINT('',(44.873,15.375,0.035)); #485265=CARTESIAN_POINT('',(44.873,15.375,0.035)); #485266=CARTESIAN_POINT('',(44.873,15.375,0.)); #485267=CARTESIAN_POINT('Origin',(44.918781,15.366463,0.)); #485268=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #485269=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #485270=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #485271=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #485272=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #485273=CARTESIAN_POINT('Origin',(44.961359,15.339222,0.)); #485274=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #485275=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #485276=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #485277=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #485278=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #485279=CARTESIAN_POINT('Origin',(44.989941,15.297534,0.)); #485280=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #485281=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #485282=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #485283=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #485284=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #485285=CARTESIAN_POINT('Origin',(45.,15.248,0.)); #485286=CARTESIAN_POINT('',(45.,15.248,0.)); #485287=CARTESIAN_POINT('',(45.,15.248,0.)); #485288=CARTESIAN_POINT('',(45.,15.248,0.035)); #485289=CARTESIAN_POINT('',(45.,15.248,0.035)); #485290=CARTESIAN_POINT('',(45.,15.248,0.)); #485291=CARTESIAN_POINT('Origin',(45.,10.802606,0.)); #485292=CARTESIAN_POINT('',(45.,10.802606,0.)); #485293=CARTESIAN_POINT('',(45.,10.802606,0.)); #485294=CARTESIAN_POINT('',(45.,10.802606,0.035)); #485295=CARTESIAN_POINT('',(45.,10.802606,0.035)); #485296=CARTESIAN_POINT('',(45.,10.802606,0.)); #485297=CARTESIAN_POINT('Origin',(44.990716,10.754944,0.)); #485298=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #485299=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #485300=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #485301=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #485302=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #485303=CARTESIAN_POINT('Origin',(44.962803,10.712803,0.)); #485304=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #485305=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #485306=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #485307=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #485308=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #485309=CARTESIAN_POINT('Origin',(42.787197,8.5371969,0.)); #485310=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #485311=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #485312=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #485313=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #485314=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #485315=CARTESIAN_POINT('Origin',(42.746928,8.5100594,0.)); #485316=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #485317=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #485318=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #485319=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #485320=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #485321=CARTESIAN_POINT('Origin',(42.697394,8.5,0.)); #485322=CARTESIAN_POINT('',(42.697394,8.5,0.)); #485323=CARTESIAN_POINT('',(42.697394,8.5,0.)); #485324=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #485325=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #485326=CARTESIAN_POINT('',(42.697394,8.5,0.)); #485327=CARTESIAN_POINT('Origin',(37.758994,8.5,0.)); #485328=CARTESIAN_POINT('',(37.758994,8.5,0.)); #485329=CARTESIAN_POINT('',(37.758994,8.5,0.)); #485330=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #485331=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #485332=CARTESIAN_POINT('',(37.758994,8.5,0.)); #485333=CARTESIAN_POINT('Origin',(37.749975,8.4987156,0.)); #485334=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #485335=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #485336=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #485337=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #485338=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #485339=CARTESIAN_POINT('Origin',(37.744347,8.4943469,0.)); #485340=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #485341=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #485342=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #485343=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #485344=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #485345=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #485346=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #485347=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #485348=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #485349=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #485350=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #485351=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #485352=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #485353=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #485354=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #485355=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #485356=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #485357=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #485358=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #485359=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #485360=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #485361=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #485362=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #485363=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #485364=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #485365=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #485366=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #485367=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #485368=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #485369=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #485370=CARTESIAN_POINT('',(36.978316,8.,0.)); #485371=CARTESIAN_POINT('',(36.978316,8.,0.)); #485372=CARTESIAN_POINT('',(36.978316,8.,0.035)); #485373=CARTESIAN_POINT('',(36.978316,8.,0.035)); #485374=CARTESIAN_POINT('',(36.978316,8.,0.)); #485375=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #485376=CARTESIAN_POINT('',(36.760022,8.,0.)); #485377=CARTESIAN_POINT('',(36.760022,8.,0.)); #485378=CARTESIAN_POINT('',(36.760022,8.,0.035)); #485379=CARTESIAN_POINT('',(36.760022,8.,0.035)); #485380=CARTESIAN_POINT('',(36.760022,8.,0.)); #485381=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #485382=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #485383=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #485384=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #485385=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #485386=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #485387=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #485388=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #485389=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #485390=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #485391=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #485392=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #485393=CARTESIAN_POINT('Origin',(36.75,6.8833656,0.)); #485394=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #485395=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #485396=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #485397=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #485398=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #485399=CARTESIAN_POINT('Origin',(36.741094,6.8366406,0.)); #485400=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #485401=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #485402=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #485403=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #485404=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #485405=CARTESIAN_POINT('Origin',(36.713516,6.7942813,0.)); #485406=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #485407=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #485408=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #485409=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #485410=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #485411=CARTESIAN_POINT('Origin',(36.6716,6.7660344,0.)); #485412=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #485413=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #485414=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #485415=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #485416=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #485417=CARTESIAN_POINT('Origin',(36.535969,6.7098531,0.)); #485418=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #485419=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #485420=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #485421=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #485422=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #485423=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #485424=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #485425=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #485426=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #485427=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #485428=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #485429=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #485430=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #485431=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #485432=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #485433=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #485434=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #485435=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #485436=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #485437=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #485438=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #485439=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #485440=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #485441=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #485442=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #485443=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #485444=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #485445=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #485446=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #485447=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #485448=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #485449=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #485450=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #485451=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #485452=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #485453=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #485454=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #485455=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #485456=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #485457=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #485458=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #485459=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #485460=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #485461=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #485462=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #485463=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #485464=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #485465=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #485466=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #485467=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #485468=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #485469=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #485470=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #485471=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #485472=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #485473=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #485474=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #485475=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #485476=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #485477=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #485478=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #485479=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #485480=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #485481=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #485482=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #485483=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #485484=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #485485=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #485486=CARTESIAN_POINT('Origin',(37.399804788472,11.1701768324535,0.035)); #485487=CARTESIAN_POINT('Origin',(37.399804788472,11.1701768324535,0.)); #485488=CARTESIAN_POINT('Origin',(33.859647,8.5127063,0.)); #485489=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #485490=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #485491=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #485492=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #485493=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #485494=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #485495=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #485496=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #485497=CARTESIAN_POINT('Origin',(33.809819,8.5211781,0.)); #485498=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #485499=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #485500=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #485501=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #485502=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #485503=CARTESIAN_POINT('Origin',(33.767241,8.5484187,0.)); #485504=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #485505=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #485506=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #485507=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #485508=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #485509=CARTESIAN_POINT('Origin',(33.738659,8.5901062,0.)); #485510=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #485511=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #485512=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #485513=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #485514=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #485515=CARTESIAN_POINT('Origin',(33.7286,8.6396406,0.)); #485516=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #485517=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #485518=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #485519=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #485520=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #485521=CARTESIAN_POINT('Origin',(33.7286,10.184394,0.)); #485522=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #485523=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #485524=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #485525=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #485526=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #485527=CARTESIAN_POINT('Origin',(33.736425,10.228281,0.)); #485528=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #485529=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #485530=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #485531=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #485532=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #485533=CARTESIAN_POINT('Origin',(33.762981,10.271287,0.)); #485534=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #485535=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #485536=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #485537=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #485538=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #485539=CARTESIAN_POINT('Origin',(33.804206,10.300531,0.)); #485540=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #485541=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #485542=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #485543=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #485544=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #485545=CARTESIAN_POINT('Origin',(33.853575,10.311378,0.)); #485546=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #485547=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #485548=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #485549=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #485550=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #485551=CARTESIAN_POINT('Origin',(33.903263,10.302109,0.)); #485552=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #485553=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #485554=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #485555=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #485556=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #485557=CARTESIAN_POINT('Origin',(33.945403,10.274197,0.)); #485558=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #485559=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #485560=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #485561=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #485562=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #485563=CARTESIAN_POINT('Origin',(33.984203,10.235397,0.)); #485564=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #485565=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #485566=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #485567=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #485568=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #485569=CARTESIAN_POINT('Origin',(34.011341,10.195128,0.)); #485570=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #485571=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #485572=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #485573=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #485574=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #485575=CARTESIAN_POINT('Origin',(34.0214,10.145594,0.)); #485576=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #485577=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #485578=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #485579=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #485580=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #485581=CARTESIAN_POINT('Origin',(34.0214,8.6784406,0.)); #485582=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #485583=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #485584=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #485585=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #485586=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #485587=CARTESIAN_POINT('Origin',(34.012116,8.6307781,0.)); #485588=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #485589=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #485590=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #485591=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #485592=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #485593=CARTESIAN_POINT('Origin',(33.984203,8.5886375,0.)); #485594=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #485595=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #485596=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #485597=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #485598=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #485599=CARTESIAN_POINT('Origin',(33.945403,8.5498375,0.)); #485600=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #485601=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #485602=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #485603=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #485604=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #485605=CARTESIAN_POINT('Origin',(33.908838,8.5243375,0.)); #485606=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #485607=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #485608=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.035)); #485609=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.)); #485610=CARTESIAN_POINT('Origin',(36.146425,11.512656,0.)); #485611=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #485612=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #485613=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #485614=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #485615=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #485616=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #485617=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #485618=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #485619=CARTESIAN_POINT('Origin',(36.096738,11.521925,0.)); #485620=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #485621=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #485622=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #485623=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #485624=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #485625=CARTESIAN_POINT('Origin',(36.054597,11.549838,0.)); #485626=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #485627=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #485628=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #485629=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #485630=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #485631=CARTESIAN_POINT('Origin',(36.015797,11.588638,0.)); #485632=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #485633=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #485634=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #485635=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #485636=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #485637=CARTESIAN_POINT('Origin',(35.988659,11.628906,0.)); #485638=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #485639=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #485640=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #485641=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #485642=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #485643=CARTESIAN_POINT('Origin',(35.9786,11.678441,0.)); #485644=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #485645=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #485646=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #485647=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #485648=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #485649=CARTESIAN_POINT('Origin',(35.9786,12.641506,0.)); #485650=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #485651=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #485652=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #485653=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #485654=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #485655=CARTESIAN_POINT('Origin',(35.986425,12.685394,0.)); #485656=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #485657=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #485658=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #485659=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #485660=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #485661=CARTESIAN_POINT('Origin',(36.012981,12.7284,0.)); #485662=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #485663=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #485664=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #485665=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #485666=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #485667=CARTESIAN_POINT('Origin',(36.054206,12.757644,0.)); #485668=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #485669=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #485670=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #485671=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #485672=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #485673=CARTESIAN_POINT('Origin',(36.103575,12.768491,0.)); #485674=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #485675=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #485676=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #485677=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #485678=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #485679=CARTESIAN_POINT('Origin',(36.153263,12.759222,0.)); #485680=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #485681=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #485682=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #485683=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #485684=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #485685=CARTESIAN_POINT('Origin',(36.195403,12.731309,0.)); #485686=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #485687=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #485688=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #485689=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #485690=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #485691=CARTESIAN_POINT('Origin',(36.234203,12.692509,0.)); #485692=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #485693=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #485694=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #485695=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #485696=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #485697=CARTESIAN_POINT('Origin',(36.261341,12.652241,0.)); #485698=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #485699=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #485700=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #485701=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #485702=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #485703=CARTESIAN_POINT('Origin',(36.2714,12.602706,0.)); #485704=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #485705=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #485706=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #485707=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #485708=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #485709=CARTESIAN_POINT('Origin',(36.2714,11.639641,0.)); #485710=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #485711=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #485712=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #485713=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #485714=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #485715=CARTESIAN_POINT('Origin',(36.263575,11.595753,0.)); #485716=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #485717=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #485718=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #485719=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #485720=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #485721=CARTESIAN_POINT('Origin',(36.237019,11.552747,0.)); #485722=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #485723=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #485724=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #485725=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #485726=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #485727=CARTESIAN_POINT('Origin',(36.195794,11.523503,0.)); #485728=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #485729=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #485730=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.035)); #485731=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.)); #485732=CARTESIAN_POINT('Origin',(33.146425,8.5126563,0.)); #485733=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #485734=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #485735=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #485736=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #485737=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #485738=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #485739=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #485740=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #485741=CARTESIAN_POINT('Origin',(33.096738,8.521925,0.)); #485742=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #485743=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #485744=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #485745=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #485746=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #485747=CARTESIAN_POINT('Origin',(33.054597,8.5498375,0.)); #485748=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #485749=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #485750=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #485751=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #485752=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #485753=CARTESIAN_POINT('Origin',(33.015797,8.5886375,0.)); #485754=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #485755=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #485756=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #485757=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #485758=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #485759=CARTESIAN_POINT('Origin',(32.988659,8.6289063,0.)); #485760=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #485761=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #485762=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #485763=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #485764=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #485765=CARTESIAN_POINT('Origin',(32.9786,8.6784406,0.)); #485766=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #485767=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #485768=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #485769=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #485770=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #485771=CARTESIAN_POINT('Origin',(32.9786,10.227281,0.)); #485772=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #485773=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #485774=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #485775=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #485776=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #485777=CARTESIAN_POINT('Origin',(32.986425,10.271169,0.)); #485778=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #485779=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #485780=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #485781=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #485782=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #485783=CARTESIAN_POINT('Origin',(33.012981,10.314175,0.)); #485784=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #485785=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #485786=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #485787=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #485788=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #485789=CARTESIAN_POINT('Origin',(33.054206,10.343419,0.)); #485790=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #485791=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #485792=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #485793=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #485794=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #485795=CARTESIAN_POINT('Origin',(33.103575,10.354266,0.)); #485796=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #485797=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #485798=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #485799=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #485800=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #485801=CARTESIAN_POINT('Origin',(33.153263,10.344997,0.)); #485802=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #485803=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #485804=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #485805=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #485806=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #485807=CARTESIAN_POINT('Origin',(33.195403,10.317084,0.)); #485808=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #485809=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #485810=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #485811=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #485812=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #485813=CARTESIAN_POINT('Origin',(33.234203,10.278284,0.)); #485814=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #485815=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #485816=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #485817=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #485818=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #485819=CARTESIAN_POINT('Origin',(33.261341,10.238016,0.)); #485820=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #485821=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #485822=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #485823=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #485824=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #485825=CARTESIAN_POINT('Origin',(33.2714,10.188481,0.)); #485826=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #485827=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #485828=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #485829=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #485830=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #485831=CARTESIAN_POINT('Origin',(33.2714,8.6396406,0.)); #485832=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #485833=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #485834=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #485835=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #485836=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #485837=CARTESIAN_POINT('Origin',(33.263575,8.5957531,0.)); #485838=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #485839=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #485840=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #485841=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #485842=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #485843=CARTESIAN_POINT('Origin',(33.237019,8.5527469,0.)); #485844=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #485845=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #485846=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #485847=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #485848=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #485849=CARTESIAN_POINT('Origin',(33.195794,8.5235031,0.)); #485850=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #485851=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #485852=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.035)); #485853=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.)); #485854=CARTESIAN_POINT('Origin',(35.396425,12.450156,0.)); #485855=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #485856=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #485857=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #485858=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #485859=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #485860=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #485861=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #485862=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #485863=CARTESIAN_POINT('Origin',(35.346738,12.459425,0.)); #485864=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #485865=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #485866=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #485867=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #485868=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #485869=CARTESIAN_POINT('Origin',(35.304597,12.487338,0.)); #485870=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #485871=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #485872=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #485873=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #485874=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #485875=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #485876=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #485877=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #485878=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #485879=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #485880=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #485881=CARTESIAN_POINT('Origin',(34.885994,12.6774,0.)); #485882=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #485883=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #485884=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #485885=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #485886=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #485887=CARTESIAN_POINT('Origin',(34.838331,12.686684,0.)); #485888=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #485889=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #485890=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #485891=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #485892=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #485893=CARTESIAN_POINT('Origin',(34.796191,12.714597,0.)); #485894=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #485895=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #485896=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #485897=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #485898=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #485899=CARTESIAN_POINT('Origin',(34.592491,12.918297,0.)); #485900=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #485901=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #485902=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #485903=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #485904=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #485905=CARTESIAN_POINT('Origin',(34.566991,12.954863,0.)); #485906=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #485907=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #485908=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #485909=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #485910=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #485911=CARTESIAN_POINT('Origin',(34.555359,13.004053,0.)); #485912=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #485913=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #485914=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #485915=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #485916=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #485917=CARTESIAN_POINT('Origin',(34.563831,13.053881,0.)); #485918=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #485919=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #485920=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #485921=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #485922=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #485923=CARTESIAN_POINT('Origin',(34.591072,13.096459,0.)); #485924=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #485925=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #485926=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #485927=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #485928=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #485929=CARTESIAN_POINT('Origin',(34.632759,13.125041,0.)); #485930=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #485931=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #485932=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #485933=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #485934=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #485935=CARTESIAN_POINT('Origin',(34.682294,13.1351,0.)); #485936=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #485937=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #485938=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #485939=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #485940=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #485941=CARTESIAN_POINT('Origin',(35.3944,13.1351,0.)); #485942=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #485943=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #485944=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #485945=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #485946=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #485947=CARTESIAN_POINT('Origin',(35.440181,13.126563,0.)); #485948=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #485949=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #485950=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #485951=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #485952=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #485953=CARTESIAN_POINT('Origin',(35.482759,13.099322,0.)); #485954=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #485955=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #485956=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #485957=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #485958=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #485959=CARTESIAN_POINT('Origin',(35.511341,13.057634,0.)); #485960=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #485961=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #485962=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #485963=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #485964=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #485965=CARTESIAN_POINT('Origin',(35.5214,13.0081,0.)); #485966=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #485967=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #485968=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #485969=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #485970=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #485971=CARTESIAN_POINT('Origin',(35.5214,12.577141,0.)); #485972=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #485973=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #485974=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #485975=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #485976=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #485977=CARTESIAN_POINT('Origin',(35.513575,12.533253,0.)); #485978=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #485979=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #485980=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #485981=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #485982=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #485983=CARTESIAN_POINT('Origin',(35.487019,12.490247,0.)); #485984=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #485985=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #485986=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #485987=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #485988=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #485989=CARTESIAN_POINT('Origin',(35.445794,12.461003,0.)); #485990=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #485991=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #485992=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.035)); #485993=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.)); #485994=CARTESIAN_POINT('Origin',(34.646425,8.5126563,0.)); #485995=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #485996=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #485997=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #485998=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #485999=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #486000=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #486001=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #486002=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #486003=CARTESIAN_POINT('Origin',(34.596738,8.521925,0.)); #486004=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #486005=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #486006=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #486007=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #486008=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #486009=CARTESIAN_POINT('Origin',(34.554597,8.5498375,0.)); #486010=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #486011=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #486012=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #486013=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #486014=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #486015=CARTESIAN_POINT('Origin',(34.515797,8.5886375,0.)); #486016=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #486017=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #486018=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #486019=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #486020=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #486021=CARTESIAN_POINT('Origin',(34.488659,8.6289063,0.)); #486022=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #486023=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #486024=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #486025=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #486026=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #486027=CARTESIAN_POINT('Origin',(34.4786,8.6784406,0.)); #486028=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #486029=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #486030=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #486031=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #486032=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #486033=CARTESIAN_POINT('Origin',(34.4786,10.141506,0.)); #486034=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #486035=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #486036=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #486037=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #486038=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #486039=CARTESIAN_POINT('Origin',(34.486425,10.185394,0.)); #486040=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #486041=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #486042=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #486043=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #486044=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #486045=CARTESIAN_POINT('Origin',(34.512981,10.2284,0.)); #486046=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #486047=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #486048=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #486049=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #486050=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #486051=CARTESIAN_POINT('Origin',(34.554206,10.257644,0.)); #486052=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #486053=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #486054=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #486055=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #486056=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #486057=CARTESIAN_POINT('Origin',(34.603575,10.268491,0.)); #486058=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #486059=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #486060=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #486061=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #486062=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #486063=CARTESIAN_POINT('Origin',(34.653263,10.259222,0.)); #486064=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #486065=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #486066=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #486067=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #486068=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #486069=CARTESIAN_POINT('Origin',(34.695403,10.231309,0.)); #486070=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #486071=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #486072=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #486073=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #486074=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #486075=CARTESIAN_POINT('Origin',(34.734203,10.192509,0.)); #486076=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #486077=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #486078=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #486079=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #486080=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #486081=CARTESIAN_POINT('Origin',(34.761341,10.152241,0.)); #486082=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #486083=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #486084=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #486085=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #486086=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #486087=CARTESIAN_POINT('Origin',(34.7714,10.102706,0.)); #486088=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #486089=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #486090=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #486091=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #486092=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #486093=CARTESIAN_POINT('Origin',(34.7714,8.6396406,0.)); #486094=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #486095=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #486096=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #486097=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #486098=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #486099=CARTESIAN_POINT('Origin',(34.763575,8.5957531,0.)); #486100=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #486101=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #486102=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #486103=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #486104=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #486105=CARTESIAN_POINT('Origin',(34.737019,8.5527469,0.)); #486106=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #486107=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #486108=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #486109=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #486110=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #486111=CARTESIAN_POINT('Origin',(34.695794,8.5235031,0.)); #486112=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #486113=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #486114=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.035)); #486115=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.)); #486116=CARTESIAN_POINT('Origin',(30.071559,10.7286,0.)); #486117=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #486118=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #486119=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #486120=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #486121=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #486122=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #486123=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #486124=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #486125=CARTESIAN_POINT('Origin',(29.897294,10.7286,0.)); #486126=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #486127=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #486128=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #486129=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #486130=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #486131=CARTESIAN_POINT('Origin',(29.849631,10.737884,0.)); #486132=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #486133=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #486134=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #486135=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #486136=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #486137=CARTESIAN_POINT('Origin',(29.807491,10.765797,0.)); #486138=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #486139=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #486140=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #486141=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #486142=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #486143=CARTESIAN_POINT('Origin',(29.768691,10.804597,0.)); #486144=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #486145=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #486146=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #486147=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #486148=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #486149=CARTESIAN_POINT('Origin',(29.743191,10.841163,0.)); #486150=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #486151=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #486152=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #486153=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #486154=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #486155=CARTESIAN_POINT('Origin',(29.731559,10.890353,0.)); #486156=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #486157=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #486158=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #486159=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #486160=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #486161=CARTESIAN_POINT('Origin',(29.740031,10.940181,0.)); #486162=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #486163=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #486164=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #486165=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #486166=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #486167=CARTESIAN_POINT('Origin',(29.767272,10.982759,0.)); #486168=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #486169=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #486170=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #486171=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #486172=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #486173=CARTESIAN_POINT('Origin',(29.808959,11.011341,0.)); #486174=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #486175=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #486176=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #486177=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #486178=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #486179=CARTESIAN_POINT('Origin',(29.858494,11.0214,0.)); #486180=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #486181=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #486182=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #486183=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #486184=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #486185=CARTESIAN_POINT('Origin',(30.071559,11.0214,0.)); #486186=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #486187=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #486188=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #486189=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #486190=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #486191=CARTESIAN_POINT('Origin',(30.119222,11.012116,0.)); #486192=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #486193=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #486194=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #486195=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #486196=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #486197=CARTESIAN_POINT('Origin',(30.161363,10.984203,0.)); #486198=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #486199=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #486200=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #486201=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #486202=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #486203=CARTESIAN_POINT('Origin',(30.180763,10.964803,0.)); #486204=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #486205=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #486206=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #486207=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #486208=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #486209=CARTESIAN_POINT('Origin',(30.207097,10.926394,0.)); #486210=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #486211=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #486212=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #486213=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #486214=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #486215=CARTESIAN_POINT('Origin',(30.217944,10.877025,0.)); #486216=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #486217=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #486218=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #486219=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #486220=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #486221=CARTESIAN_POINT('Origin',(30.208675,10.827338,0.)); #486222=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #486223=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #486224=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #486225=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #486226=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #486227=CARTESIAN_POINT('Origin',(30.180763,10.785197,0.)); #486228=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #486229=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #486230=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #486231=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #486232=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #486233=CARTESIAN_POINT('Origin',(30.161363,10.765797,0.)); #486234=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #486235=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #486236=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #486237=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #486238=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #486239=CARTESIAN_POINT('Origin',(30.121094,10.738659,0.)); #486240=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #486241=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #486242=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.035)); #486243=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.)); #486244=CARTESIAN_POINT('Origin',(33.25,56.5,-200.)); #486245=CARTESIAN_POINT('',(33.11,56.5,0.)); #486246=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #486247=CARTESIAN_POINT('',(33.11,56.5,0.0349999999999895)); #486248=CARTESIAN_POINT('',(33.11,56.5,-200.)); #486249=CARTESIAN_POINT('Origin',(33.25,56.5,0.0349999999999895)); #486250=CARTESIAN_POINT('Origin',(33.271644,56.225,0.)); #486251=CARTESIAN_POINT('',(33.271644,56.225,0.)); #486252=CARTESIAN_POINT('',(33.314397,56.231772,0.)); #486253=CARTESIAN_POINT('',(33.271644,56.225,0.)); #486254=CARTESIAN_POINT('',(33.314397,56.231772,0.035)); #486255=CARTESIAN_POINT('',(33.314397,56.231772,0.)); #486256=CARTESIAN_POINT('',(33.271644,56.225,0.035)); #486257=CARTESIAN_POINT('',(33.271644,56.225,0.035)); #486258=CARTESIAN_POINT('',(33.271644,56.225,0.)); #486259=CARTESIAN_POINT('Origin',(33.250118471131,56.4995872515008,0.)); #486260=CARTESIAN_POINT('',(33.123,56.743928,0.)); #486261=CARTESIAN_POINT('Origin',(33.250118471131,56.4995872515008,0.)); #486262=CARTESIAN_POINT('',(33.123,56.743928,0.035)); #486263=CARTESIAN_POINT('Origin',(33.250118471131,56.4995872515008,0.035)); #486264=CARTESIAN_POINT('',(33.123,56.743928,0.)); #486265=CARTESIAN_POINT('Origin',(33.123,57.125,0.)); #486266=CARTESIAN_POINT('',(33.123,57.125,0.)); #486267=CARTESIAN_POINT('',(33.123,57.125,0.)); #486268=CARTESIAN_POINT('',(33.123,57.125,0.035)); #486269=CARTESIAN_POINT('',(33.123,57.125,0.035)); #486270=CARTESIAN_POINT('',(33.123,57.125,0.)); #486271=CARTESIAN_POINT('Origin',(33.2500003087252,57.1250007497004,0.)); #486272=CARTESIAN_POINT('',(33.160197,57.214803,0.)); #486273=CARTESIAN_POINT('Origin',(33.2500003087252,57.1250007497004,0.)); #486274=CARTESIAN_POINT('',(33.160197,57.214803,0.035)); #486275=CARTESIAN_POINT('Origin',(33.2500003087252,57.1250007497004,0.035)); #486276=CARTESIAN_POINT('',(33.160197,57.214803,0.)); #486277=CARTESIAN_POINT('Origin',(33.498,57.552606,0.)); #486278=CARTESIAN_POINT('',(33.498,57.552606,0.)); #486279=CARTESIAN_POINT('',(33.498,57.552606,0.)); #486280=CARTESIAN_POINT('',(33.498,57.552606,0.035)); #486281=CARTESIAN_POINT('',(33.498,57.552606,0.035)); #486282=CARTESIAN_POINT('',(33.498,57.552606,0.)); #486283=CARTESIAN_POINT('Origin',(33.498,58.572394,0.)); #486284=CARTESIAN_POINT('',(33.498,58.572394,0.)); #486285=CARTESIAN_POINT('',(33.498,58.572394,0.)); #486286=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #486287=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #486288=CARTESIAN_POINT('',(33.498,58.572394,0.)); #486289=CARTESIAN_POINT('Origin',(32.697394,59.373,0.)); #486290=CARTESIAN_POINT('',(32.697394,59.373,0.)); #486291=CARTESIAN_POINT('',(32.697394,59.373,0.)); #486292=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #486293=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #486294=CARTESIAN_POINT('',(32.697394,59.373,0.)); #486295=CARTESIAN_POINT('Origin',(29.988,59.373,0.)); #486296=CARTESIAN_POINT('',(29.988,59.373,0.)); #486297=CARTESIAN_POINT('',(29.988,59.373,0.)); #486298=CARTESIAN_POINT('',(29.988,59.373,0.035)); #486299=CARTESIAN_POINT('',(29.988,59.373,0.035)); #486300=CARTESIAN_POINT('',(29.988,59.373,0.)); #486301=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #486302=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #486303=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #486304=CARTESIAN_POINT('',(29.898197,59.410197,0.035)); #486305=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.035)); #486306=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #486307=CARTESIAN_POINT('Origin',(29.502894,59.8055,0.)); #486308=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #486309=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #486310=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #486311=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #486312=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #486313=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.)); #486314=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #486315=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #486316=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #486317=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #486318=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #486319=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.)); #486320=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #486321=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #486322=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #486323=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #486324=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #486325=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.)); #486326=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #486327=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #486328=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #486329=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #486330=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #486331=CARTESIAN_POINT('Origin',(29.6571,60.010506,0.)); #486332=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #486333=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #486334=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #486335=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #486336=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #486337=CARTESIAN_POINT('Origin',(30.040606,59.627,0.)); #486338=CARTESIAN_POINT('',(30.040606,59.627,0.)); #486339=CARTESIAN_POINT('',(30.040606,59.627,0.)); #486340=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #486341=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #486342=CARTESIAN_POINT('',(30.040606,59.627,0.)); #486343=CARTESIAN_POINT('Origin',(32.75,59.627,0.)); #486344=CARTESIAN_POINT('',(32.75,59.627,0.)); #486345=CARTESIAN_POINT('',(32.75,59.627,0.)); #486346=CARTESIAN_POINT('',(32.75,59.627,0.035)); #486347=CARTESIAN_POINT('',(32.75,59.627,0.035)); #486348=CARTESIAN_POINT('',(32.75,59.627,0.)); #486349=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #486350=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #486351=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #486352=CARTESIAN_POINT('',(32.839803,59.589803,0.035)); #486353=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.035)); #486354=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #486355=CARTESIAN_POINT('Origin',(33.714803,58.714803,0.)); #486356=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #486357=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #486358=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #486359=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #486360=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #486361=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #486362=CARTESIAN_POINT('',(33.752,58.625,0.)); #486363=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #486364=CARTESIAN_POINT('',(33.752,58.625,0.035)); #486365=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.035)); #486366=CARTESIAN_POINT('',(33.752,58.625,0.)); #486367=CARTESIAN_POINT('Origin',(33.752,57.5,0.)); #486368=CARTESIAN_POINT('',(33.752,57.5,0.)); #486369=CARTESIAN_POINT('',(33.752,57.5,0.)); #486370=CARTESIAN_POINT('',(33.752,57.5,0.035)); #486371=CARTESIAN_POINT('',(33.752,57.5,0.035)); #486372=CARTESIAN_POINT('',(33.752,57.5,0.)); #486373=CARTESIAN_POINT('Origin',(33.6249996912748,57.4999992502996,0.)); #486374=CARTESIAN_POINT('',(33.714803,57.410197,0.)); #486375=CARTESIAN_POINT('Origin',(33.6249996912748,57.4999992502996,0.)); #486376=CARTESIAN_POINT('',(33.714803,57.410197,0.035)); #486377=CARTESIAN_POINT('Origin',(33.6249996912748,57.4999992502996,0.035)); #486378=CARTESIAN_POINT('',(33.714803,57.410197,0.)); #486379=CARTESIAN_POINT('Origin',(33.377,57.072394,0.)); #486380=CARTESIAN_POINT('',(33.377,57.072394,0.)); #486381=CARTESIAN_POINT('',(33.377,57.072394,0.)); #486382=CARTESIAN_POINT('',(33.377,57.072394,0.035)); #486383=CARTESIAN_POINT('',(33.377,57.072394,0.035)); #486384=CARTESIAN_POINT('',(33.377,57.072394,0.)); #486385=CARTESIAN_POINT('Origin',(33.377,56.743928,0.)); #486386=CARTESIAN_POINT('',(33.377,56.743928,0.)); #486387=CARTESIAN_POINT('',(33.377,56.743928,0.)); #486388=CARTESIAN_POINT('',(33.377,56.743928,0.035)); #486389=CARTESIAN_POINT('',(33.377,56.743928,0.035)); #486390=CARTESIAN_POINT('',(33.377,56.743928,0.)); #486391=CARTESIAN_POINT('Origin',(33.2499453752955,56.4995543105341,0.)); #486392=CARTESIAN_POINT('Origin',(33.2499453752955,56.4995543105341,0.)); #486393=CARTESIAN_POINT('Origin',(33.2499453752955,56.4995543105341,0.035)); #486394=CARTESIAN_POINT('Origin',(31.7852664771384,58.9188870530481,0.035)); #486395=CARTESIAN_POINT('Origin',(31.7852664771384,58.9188870530481,0.)); #486396=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #486397=CARTESIAN_POINT('',(39.11,19.,0.)); #486398=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #486399=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #486400=CARTESIAN_POINT('',(39.11,19.,-200.)); #486401=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #486402=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #486403=CARTESIAN_POINT('',(53.36,20.25,0.)); #486404=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #486405=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #486406=CARTESIAN_POINT('',(53.36,20.25,-200.)); #486407=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #486408=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #486409=CARTESIAN_POINT('',(51.86,20.25,0.)); #486410=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #486411=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #486412=CARTESIAN_POINT('',(51.86,20.25,-200.)); #486413=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #486414=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #486415=CARTESIAN_POINT('',(51.785,34.,0.)); #486416=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #486417=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #486418=CARTESIAN_POINT('',(51.785,34.,-200.)); #486419=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #486420=CARTESIAN_POINT('Origin',(49.875,39.625,-200.)); #486421=CARTESIAN_POINT('',(49.735,39.625,0.)); #486422=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #486423=CARTESIAN_POINT('',(49.735,39.625,0.0349999999999895)); #486424=CARTESIAN_POINT('',(49.735,39.625,-200.)); #486425=CARTESIAN_POINT('Origin',(49.875,39.625,0.0349999999999895)); #486426=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #486427=CARTESIAN_POINT('',(52.61,20.25,0.)); #486428=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #486429=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #486430=CARTESIAN_POINT('',(52.61,20.25,-200.)); #486431=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #486432=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #486433=CARTESIAN_POINT('',(51.86,21.,0.)); #486434=CARTESIAN_POINT('Origin',(52.,21.,0.)); #486435=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #486436=CARTESIAN_POINT('',(51.86,21.,-200.)); #486437=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #486438=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #486439=CARTESIAN_POINT('',(52.61,21.,0.)); #486440=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #486441=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #486442=CARTESIAN_POINT('',(52.61,21.,-200.)); #486443=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #486444=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #486445=CARTESIAN_POINT('',(51.86,21.75,0.)); #486446=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #486447=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #486448=CARTESIAN_POINT('',(51.86,21.75,-200.)); #486449=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #486450=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #486451=CARTESIAN_POINT('',(52.61,21.75,0.)); #486452=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #486453=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #486454=CARTESIAN_POINT('',(52.61,21.75,-200.)); #486455=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #486456=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #486457=CARTESIAN_POINT('',(53.36,21.,0.)); #486458=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #486459=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #486460=CARTESIAN_POINT('',(53.36,21.,-200.)); #486461=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #486462=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #486463=CARTESIAN_POINT('',(53.36,21.75,0.)); #486464=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #486465=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #486466=CARTESIAN_POINT('',(53.36,21.75,-200.)); #486467=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #486468=CARTESIAN_POINT('Origin',(49.947394,15.5,0.)); #486469=CARTESIAN_POINT('',(49.947394,15.5,0.)); #486470=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #486471=CARTESIAN_POINT('',(49.947394,15.5,0.)); #486472=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #486473=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #486474=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #486475=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #486476=CARTESIAN_POINT('',(49.947394,15.5,0.)); #486477=CARTESIAN_POINT('Origin',(44.3536,15.5,0.)); #486478=CARTESIAN_POINT('',(44.3536,15.5,0.)); #486479=CARTESIAN_POINT('',(44.3536,15.5,0.)); #486480=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #486481=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #486482=CARTESIAN_POINT('',(44.3536,15.5,0.)); #486483=CARTESIAN_POINT('Origin',(44.307819,15.508538,0.)); #486484=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #486485=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #486486=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #486487=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #486488=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #486489=CARTESIAN_POINT('Origin',(44.265241,15.535778,0.)); #486490=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #486491=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #486492=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #486493=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #486494=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #486495=CARTESIAN_POINT('Origin',(44.236659,15.577466,0.)); #486496=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #486497=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #486498=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #486499=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #486500=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #486501=CARTESIAN_POINT('Origin',(44.2266,15.627,0.)); #486502=CARTESIAN_POINT('',(44.2266,15.627,0.)); #486503=CARTESIAN_POINT('',(44.2266,15.627,0.)); #486504=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #486505=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #486506=CARTESIAN_POINT('',(44.2266,15.627,0.)); #486507=CARTESIAN_POINT('Origin',(44.2266,18.244066,0.)); #486508=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #486509=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #486510=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #486511=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #486512=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #486513=CARTESIAN_POINT('Origin',(44.224278,18.268797,0.)); #486514=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #486515=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #486516=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #486517=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #486518=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #486519=CARTESIAN_POINT('Origin',(44.219047,18.286941,0.)); #486520=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #486521=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #486522=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #486523=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #486524=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #486525=CARTESIAN_POINT('Origin',(44.210538,18.303781,0.)); #486526=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #486527=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #486528=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #486529=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #486530=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #486531=CARTESIAN_POINT('Origin',(44.199025,18.318772,0.)); #486532=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #486533=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #486534=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #486535=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #486536=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #486537=CARTESIAN_POINT('Origin',(44.184944,18.331341,0.)); #486538=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #486539=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #486540=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #486541=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #486542=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #486543=CARTESIAN_POINT('Origin',(44.168762,18.341072,0.)); #486544=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #486545=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #486546=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #486547=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #486548=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #486549=CARTESIAN_POINT('Origin',(44.153275,18.346803,0.)); #486550=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #486551=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #486552=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #486553=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #486554=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #486555=CARTESIAN_POINT('Origin',(44.116706,18.3516,0.)); #486556=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #486557=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #486558=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #486559=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #486560=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #486561=CARTESIAN_POINT('Origin',(39.8044,18.3516,0.)); #486562=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #486563=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #486564=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #486565=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #486566=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #486567=CARTESIAN_POINT('Origin',(39.758619,18.360138,0.)); #486568=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #486569=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #486570=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #486571=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #486572=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #486573=CARTESIAN_POINT('Origin',(39.716041,18.387378,0.)); #486574=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #486575=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #486576=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #486577=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #486578=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #486579=CARTESIAN_POINT('Origin',(39.713825,18.390609,0.)); #486580=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #486581=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #486582=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #486583=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #486584=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #486585=CARTESIAN_POINT('Origin',(39.427034,18.6774,0.)); #486586=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #486587=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #486588=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #486589=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #486590=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #486591=CARTESIAN_POINT('Origin',(39.377,18.6774,0.)); #486592=CARTESIAN_POINT('',(39.377,18.6774,0.)); #486593=CARTESIAN_POINT('',(39.377,18.6774,0.)); #486594=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #486595=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #486596=CARTESIAN_POINT('',(39.377,18.6774,0.)); #486597=CARTESIAN_POINT('Origin',(39.331219,18.685938,0.)); #486598=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #486599=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #486600=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #486601=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #486602=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #486603=CARTESIAN_POINT('Origin',(39.288641,18.713178,0.)); #486604=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #486605=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #486606=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #486607=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #486608=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #486609=CARTESIAN_POINT('Origin',(39.279666,18.726272,0.)); #486610=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #486611=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #486612=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #486613=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #486614=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #486615=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #486616=CARTESIAN_POINT('',(39.006072,18.873,0.)); #486617=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #486618=CARTESIAN_POINT('',(39.006072,18.873,0.035)); #486619=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.035)); #486620=CARTESIAN_POINT('',(39.006072,18.873,0.)); #486621=CARTESIAN_POINT('Origin',(38.552606,18.873,0.)); #486622=CARTESIAN_POINT('',(38.552606,18.873,0.)); #486623=CARTESIAN_POINT('',(38.552606,18.873,0.)); #486624=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #486625=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #486626=CARTESIAN_POINT('',(38.552606,18.873,0.)); #486627=CARTESIAN_POINT('Origin',(38.339803,18.660197,0.)); #486628=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #486629=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #486630=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #486631=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #486632=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #486633=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #486634=CARTESIAN_POINT('',(38.25,18.623,0.)); #486635=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #486636=CARTESIAN_POINT('',(38.25,18.623,0.035)); #486637=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.035)); #486638=CARTESIAN_POINT('',(38.25,18.623,0.)); #486639=CARTESIAN_POINT('Origin',(37.7125,18.623,0.)); #486640=CARTESIAN_POINT('',(37.7125,18.623,0.)); #486641=CARTESIAN_POINT('',(37.7125,18.623,0.)); #486642=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #486643=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #486644=CARTESIAN_POINT('',(37.7125,18.623,0.)); #486645=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.)); #486646=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #486647=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #486648=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #486649=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #486650=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #486651=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.)); #486652=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #486653=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #486654=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #486655=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #486656=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #486657=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.)); #486658=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #486659=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #486660=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #486661=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #486662=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #486663=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.)); #486664=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #486665=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #486666=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #486667=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #486668=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #486669=CARTESIAN_POINT('Origin',(37.7125,18.877,0.)); #486670=CARTESIAN_POINT('',(37.7125,18.877,0.)); #486671=CARTESIAN_POINT('',(37.7125,18.877,0.)); #486672=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #486673=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #486674=CARTESIAN_POINT('',(37.7125,18.877,0.)); #486675=CARTESIAN_POINT('Origin',(38.197394,18.877,0.)); #486676=CARTESIAN_POINT('',(38.197394,18.877,0.)); #486677=CARTESIAN_POINT('',(38.197394,18.877,0.)); #486678=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #486679=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #486680=CARTESIAN_POINT('',(38.197394,18.877,0.)); #486681=CARTESIAN_POINT('Origin',(38.410197,19.089803,0.)); #486682=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #486683=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #486684=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #486685=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #486686=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #486687=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #486688=CARTESIAN_POINT('',(38.5,19.127,0.)); #486689=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #486690=CARTESIAN_POINT('',(38.5,19.127,0.035)); #486691=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.035)); #486692=CARTESIAN_POINT('',(38.5,19.127,0.)); #486693=CARTESIAN_POINT('Origin',(39.006072,19.127,0.)); #486694=CARTESIAN_POINT('',(39.006072,19.127,0.)); #486695=CARTESIAN_POINT('',(39.006072,19.127,0.)); #486696=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #486697=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #486698=CARTESIAN_POINT('',(39.006072,19.127,0.)); #486699=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #486700=CARTESIAN_POINT('',(39.25,19.275,0.)); #486701=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #486702=CARTESIAN_POINT('',(39.25,19.275,0.035)); #486703=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.035)); #486704=CARTESIAN_POINT('',(39.25,19.275,0.)); #486705=CARTESIAN_POINT('Origin',(39.25,19.623,0.)); #486706=CARTESIAN_POINT('',(39.25,19.623,0.)); #486707=CARTESIAN_POINT('',(39.25,19.623,0.)); #486708=CARTESIAN_POINT('',(39.25,19.623,0.035)); #486709=CARTESIAN_POINT('',(39.25,19.623,0.035)); #486710=CARTESIAN_POINT('',(39.25,19.623,0.)); #486711=CARTESIAN_POINT('Origin',(37.7125,19.623,0.)); #486712=CARTESIAN_POINT('',(37.7125,19.623,0.)); #486713=CARTESIAN_POINT('',(37.7125,19.623,0.)); #486714=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #486715=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #486716=CARTESIAN_POINT('',(37.7125,19.623,0.)); #486717=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.)); #486718=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #486719=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #486720=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #486721=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #486722=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #486723=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.)); #486724=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #486725=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #486726=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #486727=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #486728=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #486729=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.)); #486730=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #486731=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #486732=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #486733=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #486734=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #486735=CARTESIAN_POINT('Origin',(37.273,19.8875,0.)); #486736=CARTESIAN_POINT('',(37.273,19.8875,0.)); #486737=CARTESIAN_POINT('',(37.273,19.8875,0.)); #486738=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #486739=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #486740=CARTESIAN_POINT('',(37.273,19.8875,0.)); #486741=CARTESIAN_POINT('Origin',(37.273,20.047394,0.)); #486742=CARTESIAN_POINT('',(37.273,20.047394,0.)); #486743=CARTESIAN_POINT('',(37.273,20.047394,0.)); #486744=CARTESIAN_POINT('',(37.273,20.047394,0.035)); #486745=CARTESIAN_POINT('',(37.273,20.047394,0.035)); #486746=CARTESIAN_POINT('',(37.273,20.047394,0.)); #486747=CARTESIAN_POINT('Origin',(37.047394,20.273,0.)); #486748=CARTESIAN_POINT('',(37.047394,20.273,0.)); #486749=CARTESIAN_POINT('',(37.047394,20.273,0.)); #486750=CARTESIAN_POINT('',(37.047394,20.273,0.035)); #486751=CARTESIAN_POINT('',(37.047394,20.273,0.035)); #486752=CARTESIAN_POINT('',(37.047394,20.273,0.)); #486753=CARTESIAN_POINT('Origin',(36.8875,20.273,0.)); #486754=CARTESIAN_POINT('',(36.8875,20.273,0.)); #486755=CARTESIAN_POINT('',(36.8875,20.273,0.)); #486756=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #486757=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #486758=CARTESIAN_POINT('',(36.8875,20.273,0.)); #486759=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.)); #486760=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #486761=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #486762=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #486763=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #486764=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #486765=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.)); #486766=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #486767=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #486768=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #486769=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #486770=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #486771=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.)); #486772=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #486773=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #486774=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #486775=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #486776=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #486777=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.)); #486778=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #486779=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #486780=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #486781=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #486782=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #486783=CARTESIAN_POINT('Origin',(36.8875,20.527,0.)); #486784=CARTESIAN_POINT('',(36.8875,20.527,0.)); #486785=CARTESIAN_POINT('',(36.8875,20.527,0.)); #486786=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #486787=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #486788=CARTESIAN_POINT('',(36.8875,20.527,0.)); #486789=CARTESIAN_POINT('Origin',(37.1,20.527,0.)); #486790=CARTESIAN_POINT('',(37.1,20.527,0.)); #486791=CARTESIAN_POINT('',(37.1,20.527,0.)); #486792=CARTESIAN_POINT('',(37.1,20.527,0.035)); #486793=CARTESIAN_POINT('',(37.1,20.527,0.035)); #486794=CARTESIAN_POINT('',(37.1,20.527,0.)); #486795=CARTESIAN_POINT('Origin',(37.1000007497004,20.3999996912748,0.)); #486796=CARTESIAN_POINT('',(37.189803,20.489803,0.)); #486797=CARTESIAN_POINT('Origin',(37.1000007497004,20.3999996912748,0.)); #486798=CARTESIAN_POINT('',(37.189803,20.489803,0.035)); #486799=CARTESIAN_POINT('Origin',(37.1000007497004,20.3999996912748,0.035)); #486800=CARTESIAN_POINT('',(37.189803,20.489803,0.)); #486801=CARTESIAN_POINT('Origin',(37.489803,20.189803,0.)); #486802=CARTESIAN_POINT('',(37.489803,20.189803,0.)); #486803=CARTESIAN_POINT('',(37.489803,20.189803,0.)); #486804=CARTESIAN_POINT('',(37.489803,20.189803,0.035)); #486805=CARTESIAN_POINT('',(37.489803,20.189803,0.035)); #486806=CARTESIAN_POINT('',(37.489803,20.189803,0.)); #486807=CARTESIAN_POINT('Origin',(37.3999996912748,20.1000007497004,0.)); #486808=CARTESIAN_POINT('',(37.527,20.1,0.)); #486809=CARTESIAN_POINT('Origin',(37.3999996912748,20.1000007497004,0.)); #486810=CARTESIAN_POINT('',(37.527,20.1,0.035)); #486811=CARTESIAN_POINT('Origin',(37.3999996912748,20.1000007497004,0.035)); #486812=CARTESIAN_POINT('',(37.527,20.1,0.)); #486813=CARTESIAN_POINT('Origin',(37.527,19.8875,0.)); #486814=CARTESIAN_POINT('',(37.527,19.8875,0.)); #486815=CARTESIAN_POINT('',(37.527,19.8875,0.)); #486816=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #486817=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #486818=CARTESIAN_POINT('',(37.527,19.8875,0.)); #486819=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.)); #486820=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #486821=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #486822=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #486823=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #486824=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #486825=CARTESIAN_POINT('Origin',(37.7125,19.877,0.)); #486826=CARTESIAN_POINT('',(37.7125,19.877,0.)); #486827=CARTESIAN_POINT('',(37.7125,19.877,0.)); #486828=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #486829=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #486830=CARTESIAN_POINT('',(37.7125,19.877,0.)); #486831=CARTESIAN_POINT('Origin',(39.25,19.877,0.)); #486832=CARTESIAN_POINT('',(39.25,19.877,0.)); #486833=CARTESIAN_POINT('',(39.25,19.877,0.)); #486834=CARTESIAN_POINT('',(39.25,19.877,0.035)); #486835=CARTESIAN_POINT('',(39.25,19.877,0.035)); #486836=CARTESIAN_POINT('',(39.25,19.877,0.)); #486837=CARTESIAN_POINT('Origin',(39.25,20.947394,0.)); #486838=CARTESIAN_POINT('',(39.25,20.947394,0.)); #486839=CARTESIAN_POINT('',(39.25,20.947394,0.)); #486840=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #486841=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #486842=CARTESIAN_POINT('',(39.25,20.947394,0.)); #486843=CARTESIAN_POINT('Origin',(39.259284,20.995056,0.)); #486844=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #486845=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #486846=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #486847=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #486848=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #486849=CARTESIAN_POINT('Origin',(39.287197,21.037197,0.)); #486850=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #486851=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #486852=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #486853=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #486854=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #486855=CARTESIAN_POINT('Origin',(40.462803,22.212803,0.)); #486856=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #486857=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #486858=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #486859=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #486860=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #486861=CARTESIAN_POINT('Origin',(40.503072,22.239941,0.)); #486862=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #486863=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #486864=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #486865=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #486866=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #486867=CARTESIAN_POINT('Origin',(40.552606,22.25,0.)); #486868=CARTESIAN_POINT('',(40.552606,22.25,0.)); #486869=CARTESIAN_POINT('',(40.552606,22.25,0.)); #486870=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #486871=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #486872=CARTESIAN_POINT('',(40.552606,22.25,0.)); #486873=CARTESIAN_POINT('Origin',(47.241006,22.25,0.)); #486874=CARTESIAN_POINT('',(47.241006,22.25,0.)); #486875=CARTESIAN_POINT('',(47.241006,22.25,0.)); #486876=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #486877=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #486878=CARTESIAN_POINT('',(47.241006,22.25,0.)); #486879=CARTESIAN_POINT('Origin',(47.250025,22.251284,0.)); #486880=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #486881=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #486882=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #486883=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #486884=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #486885=CARTESIAN_POINT('Origin',(47.255653,22.255653,0.)); #486886=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #486887=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #486888=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #486889=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #486890=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #486891=CARTESIAN_POINT('Origin',(48.493634,23.493634,0.)); #486892=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #486893=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #486894=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #486895=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #486896=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #486897=CARTESIAN_POINT('Origin',(48.499109,23.500928,0.)); #486898=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #486899=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #486900=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #486901=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #486902=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #486903=CARTESIAN_POINT('Origin',(48.5,23.507984,0.)); #486904=CARTESIAN_POINT('',(48.5,23.507984,0.)); #486905=CARTESIAN_POINT('',(48.5,23.507984,0.)); #486906=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #486907=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #486908=CARTESIAN_POINT('',(48.5,23.507984,0.)); #486909=CARTESIAN_POINT('Origin',(48.5,38.3944,0.)); #486910=CARTESIAN_POINT('',(48.5,38.3944,0.)); #486911=CARTESIAN_POINT('',(48.5,38.3944,0.)); #486912=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #486913=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #486914=CARTESIAN_POINT('',(48.5,38.3944,0.)); #486915=CARTESIAN_POINT('Origin',(48.508538,38.440181,0.)); #486916=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #486917=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #486918=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #486919=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #486920=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #486921=CARTESIAN_POINT('Origin',(48.535778,38.482759,0.)); #486922=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #486923=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #486924=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #486925=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #486926=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #486927=CARTESIAN_POINT('Origin',(48.577466,38.511341,0.)); #486928=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #486929=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #486930=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #486931=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #486932=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #486933=CARTESIAN_POINT('Origin',(48.624522,38.520897,0.)); #486934=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #486935=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #486936=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #486937=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #486938=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #486939=CARTESIAN_POINT('Origin',(49.3536,39.249975,0.)); #486940=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #486941=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #486942=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #486943=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #486944=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #486945=CARTESIAN_POINT('Origin',(49.3536,44.477706,0.)); #486946=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #486947=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #486948=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #486949=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #486950=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #486951=CARTESIAN_POINT('Origin',(49.362884,44.525369,0.)); #486952=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #486953=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #486954=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #486955=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #486956=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #486957=CARTESIAN_POINT('Origin',(49.390797,44.567509,0.)); #486958=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #486959=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #486960=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #486961=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #486962=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #486963=CARTESIAN_POINT('Origin',(50.057491,45.234203,0.)); #486964=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #486965=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #486966=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #486967=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #486968=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #486969=CARTESIAN_POINT('Origin',(50.097759,45.261341,0.)); #486970=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #486971=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #486972=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #486973=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #486974=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #486975=CARTESIAN_POINT('Origin',(50.147294,45.2714,0.)); #486976=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #486977=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #486978=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #486979=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #486980=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #486981=CARTESIAN_POINT('Origin',(52.594687,45.2714,0.)); #486982=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #486983=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #486984=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #486985=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #486986=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #486987=CARTESIAN_POINT('Origin',(53.2286,45.905313,0.)); #486988=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #486989=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #486990=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #486991=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #486992=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #486993=CARTESIAN_POINT('Origin',(53.2286,50.102706,0.)); #486994=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #486995=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #486996=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #486997=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #486998=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #486999=CARTESIAN_POINT('Origin',(53.237884,50.150369,0.)); #487000=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #487001=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #487002=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #487003=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #487004=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #487005=CARTESIAN_POINT('Origin',(53.265797,50.192509,0.)); #487006=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #487007=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #487008=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #487009=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #487010=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #487011=CARTESIAN_POINT('Origin',(53.307491,50.234203,0.)); #487012=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #487013=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #487014=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #487015=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #487016=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #487017=CARTESIAN_POINT('Origin',(53.347759,50.261341,0.)); #487018=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #487019=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #487020=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #487021=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #487022=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #487023=CARTESIAN_POINT('Origin',(53.397294,50.2714,0.)); #487024=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #487025=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #487026=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #487027=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #487028=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #487029=CARTESIAN_POINT('Origin',(53.5395,50.2714,0.)); #487030=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #487031=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #487032=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #487033=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #487034=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #487035=CARTESIAN_POINT('Origin',(53.585281,50.262863,0.)); #487036=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #487037=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #487038=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #487039=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #487040=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #487041=CARTESIAN_POINT('Origin',(53.627859,50.235622,0.)); #487042=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #487043=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #487044=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #487045=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #487046=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #487047=CARTESIAN_POINT('Origin',(53.656441,50.193934,0.)); #487048=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #487049=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #487050=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #487051=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #487052=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #487053=CARTESIAN_POINT('Origin',(53.6665,50.1444,0.)); #487054=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #487055=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #487056=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #487057=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #487058=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #487059=CARTESIAN_POINT('Origin',(53.6665,49.992375,0.)); #487060=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #487061=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #487062=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #487063=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #487064=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #487065=CARTESIAN_POINT('Origin',(53.755775,49.9031,0.)); #487066=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #487067=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #487068=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #487069=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #487070=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #487071=CARTESIAN_POINT('Origin',(54.720225,49.9031,0.)); #487072=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #487073=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #487074=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #487075=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #487076=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #487077=CARTESIAN_POINT('Origin',(54.8095,49.992375,0.)); #487078=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #487079=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #487080=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #487081=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #487082=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #487083=CARTESIAN_POINT('Origin',(54.8095,50.873,0.)); #487084=CARTESIAN_POINT('',(54.8095,50.873,0.)); #487085=CARTESIAN_POINT('',(54.8095,50.873,0.)); #487086=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #487087=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #487088=CARTESIAN_POINT('',(54.8095,50.873,0.)); #487089=CARTESIAN_POINT('Origin',(54.818038,50.918781,0.)); #487090=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #487091=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #487092=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #487093=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #487094=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #487095=CARTESIAN_POINT('Origin',(54.845278,50.961359,0.)); #487096=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #487097=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #487098=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #487099=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #487100=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #487101=CARTESIAN_POINT('Origin',(54.886966,50.989941,0.)); #487102=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #487103=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #487104=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #487105=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #487106=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #487107=CARTESIAN_POINT('Origin',(54.9365,51.,0.)); #487108=CARTESIAN_POINT('',(54.9365,51.,0.)); #487109=CARTESIAN_POINT('',(54.9365,51.,0.)); #487110=CARTESIAN_POINT('',(54.9365,51.,0.035)); #487111=CARTESIAN_POINT('',(54.9365,51.,0.035)); #487112=CARTESIAN_POINT('',(54.9365,51.,0.)); #487113=CARTESIAN_POINT('Origin',(56.343441,51.,0.)); #487114=CARTESIAN_POINT('',(56.343441,51.,0.)); #487115=CARTESIAN_POINT('',(56.343441,51.,0.)); #487116=CARTESIAN_POINT('',(56.343441,51.,0.035)); #487117=CARTESIAN_POINT('',(56.343441,51.,0.035)); #487118=CARTESIAN_POINT('',(56.343441,51.,0.)); #487119=CARTESIAN_POINT('Origin',(56.397225,50.98805,0.)); #487120=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #487121=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #487122=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #487123=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #487124=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #487125=CARTESIAN_POINT('Origin',(56.437838,50.957959,0.)); #487126=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #487127=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #487128=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #487129=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #487130=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #487131=CARTESIAN_POINT('Origin',(57.717397,49.536225,0.)); #487132=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #487133=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #487134=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #487135=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #487136=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #487137=CARTESIAN_POINT('Origin',(57.739941,49.5008,0.)); #487138=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #487139=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #487140=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #487141=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #487142=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #487143=CARTESIAN_POINT('Origin',(57.75,49.451266,0.)); #487144=CARTESIAN_POINT('',(57.75,49.451266,0.)); #487145=CARTESIAN_POINT('',(57.75,49.451266,0.)); #487146=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #487147=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #487148=CARTESIAN_POINT('',(57.75,49.451266,0.)); #487149=CARTESIAN_POINT('Origin',(57.75,41.802606,0.)); #487150=CARTESIAN_POINT('',(57.75,41.802606,0.)); #487151=CARTESIAN_POINT('',(57.75,41.802606,0.)); #487152=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #487153=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #487154=CARTESIAN_POINT('',(57.75,41.802606,0.)); #487155=CARTESIAN_POINT('Origin',(57.740716,41.754944,0.)); #487156=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #487157=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #487158=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #487159=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #487160=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #487161=CARTESIAN_POINT('Origin',(57.712803,41.712803,0.)); #487162=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #487163=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #487164=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #487165=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #487166=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #487167=CARTESIAN_POINT('Origin',(54.006366,38.006366,0.)); #487168=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #487169=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #487170=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #487171=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #487172=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #487173=CARTESIAN_POINT('Origin',(54.000891,37.999072,0.)); #487174=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #487175=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #487176=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #487177=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #487178=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #487179=CARTESIAN_POINT('Origin',(54.,37.992016,0.)); #487180=CARTESIAN_POINT('',(54.,37.992016,0.)); #487181=CARTESIAN_POINT('',(54.,37.992016,0.)); #487182=CARTESIAN_POINT('',(54.,37.992016,0.035)); #487183=CARTESIAN_POINT('',(54.,37.992016,0.035)); #487184=CARTESIAN_POINT('',(54.,37.992016,0.)); #487185=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #487186=CARTESIAN_POINT('',(54.,19.552606,0.)); #487187=CARTESIAN_POINT('',(54.,19.552606,0.)); #487188=CARTESIAN_POINT('',(54.,19.552606,0.035)); #487189=CARTESIAN_POINT('',(54.,19.552606,0.035)); #487190=CARTESIAN_POINT('',(54.,19.552606,0.)); #487191=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #487192=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #487193=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #487194=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #487195=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #487196=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #487197=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #487198=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #487199=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #487200=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #487201=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #487202=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #487203=CARTESIAN_POINT('Origin',(50.037197,15.537197,0.)); #487204=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #487205=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #487206=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #487207=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #487208=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #487209=CARTESIAN_POINT('Origin',(49.996928,15.510059,0.)); #487210=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #487211=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #487212=CARTESIAN_POINT('Origin',(49.0855344240358,30.350847182021,0.035)); #487213=CARTESIAN_POINT('Origin',(49.0855344240358,30.350847182021,0.)); #487214=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #487215=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #487216=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #487217=CARTESIAN_POINT('',(32.165,45.,0.0700000000000145)); #487218=CARTESIAN_POINT('',(32.165,45.,-200.)); #487219=CARTESIAN_POINT('Origin',(32.73,45.,0.0700000000000145)); #487220=CARTESIAN_POINT('Origin',(32.769294,44.1,0.035)); #487221=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #487222=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #487223=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #487224=CARTESIAN_POINT('',(32.847584,44.10685,0.07)); #487225=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #487226=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #487227=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #487228=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #487229=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #487230=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #487231=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #487232=CARTESIAN_POINT('',(32.612416,45.89315,0.07)); #487233=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.07)); #487234=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #487235=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #487236=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #487237=CARTESIAN_POINT('Origin',(32.73,45.,0.07)); #487238=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.07)); #487239=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #487240=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #487241=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #487242=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #487243=CARTESIAN_POINT('',(34.705,45.,0.0700000000000145)); #487244=CARTESIAN_POINT('',(34.705,45.,-200.)); #487245=CARTESIAN_POINT('Origin',(35.27,45.,0.0700000000000145)); #487246=CARTESIAN_POINT('Origin',(35.309294,44.1,0.035)); #487247=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #487248=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #487249=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #487250=CARTESIAN_POINT('',(35.387584,44.10685,0.07)); #487251=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #487252=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #487253=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #487254=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #487255=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #487256=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #487257=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #487258=CARTESIAN_POINT('',(35.152416,45.89315,0.07)); #487259=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.07)); #487260=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #487261=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #487262=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #487263=CARTESIAN_POINT('Origin',(35.27,45.,0.07)); #487264=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.07)); #487265=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #487266=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #487267=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #487268=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #487269=CARTESIAN_POINT('',(31.035,27.75,0.0700000000000145)); #487270=CARTESIAN_POINT('',(31.035,27.75,-200.)); #487271=CARTESIAN_POINT('Origin',(31.5,27.75,0.0700000000000145)); #487272=CARTESIAN_POINT('Origin',(31.536844,27.,0.035)); #487273=CARTESIAN_POINT('',(31.536844,27.,0.035)); #487274=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #487275=CARTESIAN_POINT('',(31.536844,27.,0.035)); #487276=CARTESIAN_POINT('',(31.610181,27.007222,0.07)); #487277=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #487278=CARTESIAN_POINT('',(31.536844,27.,0.07)); #487279=CARTESIAN_POINT('',(31.536844,27.,0.07)); #487280=CARTESIAN_POINT('',(31.536844,27.,0.035)); #487281=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #487282=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #487283=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #487284=CARTESIAN_POINT('',(31.389819,28.492778,0.07)); #487285=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.07)); #487286=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #487287=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #487288=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #487289=CARTESIAN_POINT('Origin',(31.5,27.75,0.07)); #487290=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.07)); #487291=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #487292=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #487293=CARTESIAN_POINT('',(45.797,35.27,0.0349999999999895)); #487294=CARTESIAN_POINT('Origin',(46.27,35.27,0.0349999999999895)); #487295=CARTESIAN_POINT('',(45.797,35.27,0.0700000000000145)); #487296=CARTESIAN_POINT('',(45.797,35.27,-200.)); #487297=CARTESIAN_POINT('Origin',(46.27,35.27,0.0700000000000145)); #487298=CARTESIAN_POINT('Origin',(46.585628,34.508,0.035)); #487299=CARTESIAN_POINT('',(46.585628,34.508,0.035)); #487300=CARTESIAN_POINT('',(47.032,34.954372,0.035)); #487301=CARTESIAN_POINT('',(46.585628,34.508,0.035)); #487302=CARTESIAN_POINT('',(47.032,34.954372,0.07)); #487303=CARTESIAN_POINT('',(47.032,34.954372,0.035)); #487304=CARTESIAN_POINT('',(46.585628,34.508,0.07)); #487305=CARTESIAN_POINT('',(46.585628,34.508,0.07)); #487306=CARTESIAN_POINT('',(46.585628,34.508,0.035)); #487307=CARTESIAN_POINT('Origin',(45.954372,34.508,0.035)); #487308=CARTESIAN_POINT('',(45.954372,34.508,0.035)); #487309=CARTESIAN_POINT('',(45.954372,34.508,0.035)); #487310=CARTESIAN_POINT('',(45.954372,34.508,0.07)); #487311=CARTESIAN_POINT('',(45.954372,34.508,0.07)); #487312=CARTESIAN_POINT('',(45.954372,34.508,0.035)); #487313=CARTESIAN_POINT('Origin',(45.508,34.954372,0.035)); #487314=CARTESIAN_POINT('',(45.508,34.954372,0.035)); #487315=CARTESIAN_POINT('',(45.508,34.954372,0.035)); #487316=CARTESIAN_POINT('',(45.508,34.954372,0.07)); #487317=CARTESIAN_POINT('',(45.508,34.954372,0.07)); #487318=CARTESIAN_POINT('',(45.508,34.954372,0.035)); #487319=CARTESIAN_POINT('Origin',(45.508,35.585628,0.035)); #487320=CARTESIAN_POINT('',(45.508,35.585628,0.035)); #487321=CARTESIAN_POINT('',(45.508,35.585628,0.035)); #487322=CARTESIAN_POINT('',(45.508,35.585628,0.07)); #487323=CARTESIAN_POINT('',(45.508,35.585628,0.07)); #487324=CARTESIAN_POINT('',(45.508,35.585628,0.035)); #487325=CARTESIAN_POINT('Origin',(45.954372,36.032,0.035)); #487326=CARTESIAN_POINT('',(45.954372,36.032,0.035)); #487327=CARTESIAN_POINT('',(45.954372,36.032,0.035)); #487328=CARTESIAN_POINT('',(45.954372,36.032,0.07)); #487329=CARTESIAN_POINT('',(45.954372,36.032,0.07)); #487330=CARTESIAN_POINT('',(45.954372,36.032,0.035)); #487331=CARTESIAN_POINT('Origin',(46.585628,36.032,0.035)); #487332=CARTESIAN_POINT('',(46.585628,36.032,0.035)); #487333=CARTESIAN_POINT('',(46.585628,36.032,0.035)); #487334=CARTESIAN_POINT('',(46.585628,36.032,0.07)); #487335=CARTESIAN_POINT('',(46.585628,36.032,0.07)); #487336=CARTESIAN_POINT('',(46.585628,36.032,0.035)); #487337=CARTESIAN_POINT('Origin',(47.032,35.585628,0.035)); #487338=CARTESIAN_POINT('',(47.032,35.585628,0.035)); #487339=CARTESIAN_POINT('',(47.032,35.585628,0.035)); #487340=CARTESIAN_POINT('',(47.032,35.585628,0.07)); #487341=CARTESIAN_POINT('',(47.032,35.585628,0.07)); #487342=CARTESIAN_POINT('',(47.032,35.585628,0.035)); #487343=CARTESIAN_POINT('Origin',(47.032,34.954372,0.035)); #487344=CARTESIAN_POINT('',(47.032,34.954372,0.035)); #487345=CARTESIAN_POINT('',(47.032,34.954372,0.07)); #487346=CARTESIAN_POINT('Origin',(46.27,35.27,0.07)); #487347=CARTESIAN_POINT('Origin',(46.27,35.27,0.035)); #487348=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #487349=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #487350=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #487351=CARTESIAN_POINT('',(15.285,34.,0.0700000000000145)); #487352=CARTESIAN_POINT('',(15.285,34.,-200.)); #487353=CARTESIAN_POINT('Origin',(15.75,34.,0.0700000000000145)); #487354=CARTESIAN_POINT('Origin',(15.786844,33.25,0.035)); #487355=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #487356=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #487357=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #487358=CARTESIAN_POINT('',(15.860181,33.257222,0.07)); #487359=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #487360=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #487361=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #487362=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #487363=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #487364=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #487365=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #487366=CARTESIAN_POINT('',(15.639819,34.742778,0.07)); #487367=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.07)); #487368=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #487369=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #487370=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #487371=CARTESIAN_POINT('Origin',(15.75,34.,0.07)); #487372=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.07)); #487373=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.035)); #487374=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #487375=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #487376=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #487377=CARTESIAN_POINT('',(21.235,34.,0.0700000000000145)); #487378=CARTESIAN_POINT('',(21.235,34.,-200.)); #487379=CARTESIAN_POINT('Origin',(21.75,34.,0.0700000000000145)); #487380=CARTESIAN_POINT('Origin',(22.575,33.175,0.035)); #487381=CARTESIAN_POINT('',(22.575,33.175,0.035)); #487382=CARTESIAN_POINT('',(22.575,34.825,0.035)); #487383=CARTESIAN_POINT('',(22.575,33.175,0.035)); #487384=CARTESIAN_POINT('',(22.575,34.825,0.07)); #487385=CARTESIAN_POINT('',(22.575,34.825,0.035)); #487386=CARTESIAN_POINT('',(22.575,33.175,0.07)); #487387=CARTESIAN_POINT('',(22.575,33.175,0.07)); #487388=CARTESIAN_POINT('',(22.575,33.175,0.035)); #487389=CARTESIAN_POINT('Origin',(20.925,33.175,0.035)); #487390=CARTESIAN_POINT('',(20.925,33.175,0.035)); #487391=CARTESIAN_POINT('',(20.925,33.175,0.035)); #487392=CARTESIAN_POINT('',(20.925,33.175,0.07)); #487393=CARTESIAN_POINT('',(20.925,33.175,0.07)); #487394=CARTESIAN_POINT('',(20.925,33.175,0.035)); #487395=CARTESIAN_POINT('Origin',(20.925,34.825,0.035)); #487396=CARTESIAN_POINT('',(20.925,34.825,0.035)); #487397=CARTESIAN_POINT('',(20.925,34.825,0.035)); #487398=CARTESIAN_POINT('',(20.925,34.825,0.07)); #487399=CARTESIAN_POINT('',(20.925,34.825,0.07)); #487400=CARTESIAN_POINT('',(20.925,34.825,0.035)); #487401=CARTESIAN_POINT('Origin',(22.575,34.825,0.035)); #487402=CARTESIAN_POINT('',(22.575,34.825,0.035)); #487403=CARTESIAN_POINT('',(22.575,34.825,0.07)); #487404=CARTESIAN_POINT('Origin',(21.75,34.,0.07)); #487405=CARTESIAN_POINT('Origin',(21.75,34.,0.035)); #487406=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #487407=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #487408=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #487409=CARTESIAN_POINT('',(37.245,45.,0.0700000000000145)); #487410=CARTESIAN_POINT('',(37.245,45.,-200.)); #487411=CARTESIAN_POINT('Origin',(37.81,45.,0.0700000000000145)); #487412=CARTESIAN_POINT('Origin',(37.849294,44.1,0.035)); #487413=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #487414=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #487415=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #487416=CARTESIAN_POINT('',(37.927584,44.10685,0.07)); #487417=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #487418=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #487419=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #487420=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #487421=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #487422=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #487423=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #487424=CARTESIAN_POINT('',(37.692416,45.89315,0.07)); #487425=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.07)); #487426=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #487427=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #487428=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #487429=CARTESIAN_POINT('Origin',(37.81,45.,0.07)); #487430=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.07)); #487431=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.035)); #487432=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #487433=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0700000000000145)); #487434=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0700000000000145)); #487435=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0699999999999967)); #487436=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #487437=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #487438=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #487439=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0350000000000072)); #487440=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #487441=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #487442=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0700000000000145)); #487443=CARTESIAN_POINT('Origin',(38.32,2.1,0.0700000000000145)); #487444=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #487445=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #487446=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #487447=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #487448=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0700000000000145)); #487449=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0699999999999967)); #487450=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #487451=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0350000000000072)); #487452=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #487453=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #487454=CARTESIAN_POINT('Origin',(38.32,2.9,0.0700000000000145)); #487455=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #487456=CARTESIAN_POINT('Origin',(38.350887,1.55,0.035)); #487457=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #487458=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #487459=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #487460=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #487461=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #487462=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #487463=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #487464=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #487465=CARTESIAN_POINT('Origin',(38.289113,1.55,0.035)); #487466=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #487467=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #487468=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #487469=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #487470=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #487471=CARTESIAN_POINT('Origin',(38.227725,1.5569156,0.035)); #487472=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #487473=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #487474=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #487475=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #487476=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #487477=CARTESIAN_POINT('Origin',(38.1675,1.5706625,0.035)); #487478=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #487479=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #487480=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #487481=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #487482=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #487483=CARTESIAN_POINT('Origin',(38.109194,1.5910656,0.035)); #487484=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #487485=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #487486=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #487487=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #487488=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #487489=CARTESIAN_POINT('Origin',(38.053534,1.6178687,0.035)); #487490=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #487491=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #487492=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #487493=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #487494=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #487495=CARTESIAN_POINT('Origin',(38.001228,1.6507344,0.035)); #487496=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #487497=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #487498=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #487499=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #487500=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #487501=CARTESIAN_POINT('Origin',(37.952931,1.68925,0.035)); #487502=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #487503=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #487504=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #487505=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #487506=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #487507=CARTESIAN_POINT('Origin',(37.90925,1.7329313,0.035)); #487508=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #487509=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #487510=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #487511=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #487512=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #487513=CARTESIAN_POINT('Origin',(37.870734,1.7812281,0.035)); #487514=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #487515=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #487516=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #487517=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #487518=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #487519=CARTESIAN_POINT('Origin',(37.837869,1.8335344,0.035)); #487520=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #487521=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #487522=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #487523=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #487524=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #487525=CARTESIAN_POINT('Origin',(37.811066,1.8891938,0.035)); #487526=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #487527=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #487528=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #487529=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #487530=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #487531=CARTESIAN_POINT('Origin',(37.790663,1.9475,0.035)); #487532=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #487533=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #487534=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #487535=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #487536=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #487537=CARTESIAN_POINT('Origin',(37.776916,2.007725,0.035)); #487538=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #487539=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #487540=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #487541=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #487542=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #487543=CARTESIAN_POINT('Origin',(37.77,2.0691125,0.035)); #487544=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #487545=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #487546=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #487547=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #487548=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #487549=CARTESIAN_POINT('Origin',(37.77,2.9308875,0.035)); #487550=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #487551=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #487552=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #487553=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #487554=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #487555=CARTESIAN_POINT('Origin',(37.776916,2.992275,0.035)); #487556=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #487557=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #487558=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #487559=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #487560=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #487561=CARTESIAN_POINT('Origin',(37.790663,3.0525,0.035)); #487562=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #487563=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #487564=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #487565=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #487566=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #487567=CARTESIAN_POINT('Origin',(37.811066,3.1108062,0.035)); #487568=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #487569=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #487570=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #487571=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #487572=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #487573=CARTESIAN_POINT('Origin',(37.837869,3.1664656,0.035)); #487574=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #487575=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #487576=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #487577=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #487578=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #487579=CARTESIAN_POINT('Origin',(37.870734,3.2187719,0.035)); #487580=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #487581=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #487582=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #487583=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #487584=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #487585=CARTESIAN_POINT('Origin',(37.90925,3.2670687,0.035)); #487586=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #487587=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #487588=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #487589=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #487590=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #487591=CARTESIAN_POINT('Origin',(37.952931,3.31075,0.035)); #487592=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #487593=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #487594=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #487595=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #487596=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #487597=CARTESIAN_POINT('Origin',(38.001228,3.3492656,0.035)); #487598=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #487599=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #487600=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #487601=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #487602=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #487603=CARTESIAN_POINT('Origin',(38.053534,3.3821313,0.035)); #487604=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #487605=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #487606=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #487607=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #487608=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #487609=CARTESIAN_POINT('Origin',(38.109194,3.4089344,0.035)); #487610=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #487611=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #487612=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #487613=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #487614=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #487615=CARTESIAN_POINT('Origin',(38.1675,3.4293375,0.035)); #487616=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #487617=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #487618=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #487619=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #487620=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #487621=CARTESIAN_POINT('Origin',(38.227725,3.4430844,0.035)); #487622=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #487623=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #487624=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #487625=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #487626=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #487627=CARTESIAN_POINT('Origin',(38.289113,3.45,0.035)); #487628=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #487629=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #487630=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #487631=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #487632=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #487633=CARTESIAN_POINT('Origin',(38.350887,3.45,0.035)); #487634=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #487635=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #487636=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #487637=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #487638=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #487639=CARTESIAN_POINT('Origin',(38.412275,3.4430844,0.035)); #487640=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #487641=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #487642=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #487643=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #487644=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #487645=CARTESIAN_POINT('Origin',(38.4725,3.4293375,0.035)); #487646=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #487647=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #487648=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #487649=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #487650=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #487651=CARTESIAN_POINT('Origin',(38.530806,3.4089344,0.035)); #487652=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #487653=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #487654=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #487655=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #487656=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #487657=CARTESIAN_POINT('Origin',(38.586466,3.3821313,0.035)); #487658=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #487659=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #487660=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #487661=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #487662=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #487663=CARTESIAN_POINT('Origin',(38.638772,3.3492656,0.035)); #487664=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #487665=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #487666=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #487667=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #487668=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #487669=CARTESIAN_POINT('Origin',(38.687069,3.31075,0.035)); #487670=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #487671=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #487672=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #487673=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #487674=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #487675=CARTESIAN_POINT('Origin',(38.73075,3.2670687,0.035)); #487676=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #487677=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #487678=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #487679=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #487680=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #487681=CARTESIAN_POINT('Origin',(38.769266,3.2187719,0.035)); #487682=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #487683=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #487684=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #487685=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #487686=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #487687=CARTESIAN_POINT('Origin',(38.802131,3.1664656,0.035)); #487688=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #487689=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #487690=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #487691=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #487692=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #487693=CARTESIAN_POINT('Origin',(38.828934,3.1108062,0.035)); #487694=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #487695=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #487696=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #487697=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #487698=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #487699=CARTESIAN_POINT('Origin',(38.849338,3.0525,0.035)); #487700=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #487701=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #487702=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #487703=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #487704=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #487705=CARTESIAN_POINT('Origin',(38.863084,2.992275,0.035)); #487706=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #487707=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #487708=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #487709=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #487710=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #487711=CARTESIAN_POINT('Origin',(38.87,2.9308875,0.035)); #487712=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #487713=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #487714=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #487715=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #487716=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #487717=CARTESIAN_POINT('Origin',(38.87,2.0691125,0.035)); #487718=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #487719=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #487720=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #487721=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #487722=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #487723=CARTESIAN_POINT('Origin',(38.863084,2.007725,0.035)); #487724=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #487725=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #487726=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #487727=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #487728=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #487729=CARTESIAN_POINT('Origin',(38.849338,1.9475,0.035)); #487730=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #487731=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #487732=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #487733=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #487734=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #487735=CARTESIAN_POINT('Origin',(38.828934,1.8891938,0.035)); #487736=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #487737=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #487738=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #487739=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #487740=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #487741=CARTESIAN_POINT('Origin',(38.802131,1.8335344,0.035)); #487742=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #487743=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #487744=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #487745=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #487746=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #487747=CARTESIAN_POINT('Origin',(38.769266,1.7812281,0.035)); #487748=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #487749=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #487750=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #487751=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #487752=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #487753=CARTESIAN_POINT('Origin',(38.73075,1.7329313,0.035)); #487754=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #487755=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #487756=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #487757=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #487758=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #487759=CARTESIAN_POINT('Origin',(38.687069,1.68925,0.035)); #487760=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #487761=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #487762=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #487763=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #487764=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #487765=CARTESIAN_POINT('Origin',(38.638772,1.6507344,0.035)); #487766=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #487767=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #487768=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #487769=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #487770=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #487771=CARTESIAN_POINT('Origin',(38.586466,1.6178687,0.035)); #487772=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #487773=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #487774=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #487775=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #487776=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #487777=CARTESIAN_POINT('Origin',(38.530806,1.5910656,0.035)); #487778=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #487779=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #487780=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #487781=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #487782=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #487783=CARTESIAN_POINT('Origin',(38.4725,1.5706625,0.035)); #487784=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #487785=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #487786=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #487787=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #487788=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #487789=CARTESIAN_POINT('Origin',(38.412275,1.5569156,0.035)); #487790=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #487791=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #487792=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.07)); #487793=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.035)); #487794=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #487795=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #487796=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #487797=CARTESIAN_POINT('',(55.285,34.,0.0700000000000145)); #487798=CARTESIAN_POINT('',(55.285,34.,-200.)); #487799=CARTESIAN_POINT('Origin',(55.75,34.,0.0700000000000145)); #487800=CARTESIAN_POINT('Origin',(55.786844,33.25,0.035)); #487801=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #487802=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #487803=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #487804=CARTESIAN_POINT('',(55.860181,33.257222,0.07)); #487805=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #487806=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #487807=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #487808=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #487809=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #487810=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #487811=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #487812=CARTESIAN_POINT('',(55.639819,34.742778,0.07)); #487813=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.07)); #487814=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #487815=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #487816=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #487817=CARTESIAN_POINT('Origin',(55.75,34.,0.07)); #487818=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.07)); #487819=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.035)); #487820=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #487821=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0700000000000145)); #487822=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0700000000000145)); #487823=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0699999999999967)); #487824=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #487825=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #487826=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #487827=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0350000000000072)); #487828=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #487829=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #487830=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0700000000000145)); #487831=CARTESIAN_POINT('Origin',(29.68,2.1,0.0700000000000145)); #487832=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #487833=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #487834=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #487835=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #487836=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0700000000000145)); #487837=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0699999999999967)); #487838=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #487839=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0350000000000072)); #487840=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #487841=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #487842=CARTESIAN_POINT('Origin',(29.68,2.9,0.0700000000000145)); #487843=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #487844=CARTESIAN_POINT('Origin',(29.710888,1.55,0.035)); #487845=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #487846=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #487847=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #487848=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #487849=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #487850=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #487851=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #487852=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #487853=CARTESIAN_POINT('Origin',(29.649113,1.55,0.035)); #487854=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #487855=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #487856=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #487857=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #487858=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #487859=CARTESIAN_POINT('Origin',(29.587725,1.5569156,0.035)); #487860=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #487861=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #487862=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #487863=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #487864=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #487865=CARTESIAN_POINT('Origin',(29.5275,1.5706625,0.035)); #487866=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #487867=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #487868=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #487869=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #487870=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #487871=CARTESIAN_POINT('Origin',(29.469194,1.5910656,0.035)); #487872=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #487873=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #487874=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #487875=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #487876=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #487877=CARTESIAN_POINT('Origin',(29.413534,1.6178687,0.035)); #487878=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #487879=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #487880=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #487881=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #487882=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #487883=CARTESIAN_POINT('Origin',(29.361228,1.6507344,0.035)); #487884=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #487885=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #487886=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #487887=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #487888=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #487889=CARTESIAN_POINT('Origin',(29.312931,1.68925,0.035)); #487890=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #487891=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #487892=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #487893=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #487894=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #487895=CARTESIAN_POINT('Origin',(29.26925,1.7329313,0.035)); #487896=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #487897=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #487898=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #487899=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #487900=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #487901=CARTESIAN_POINT('Origin',(29.230734,1.7812281,0.035)); #487902=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #487903=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #487904=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #487905=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #487906=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #487907=CARTESIAN_POINT('Origin',(29.197869,1.8335344,0.035)); #487908=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #487909=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #487910=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #487911=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #487912=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #487913=CARTESIAN_POINT('Origin',(29.171066,1.8891938,0.035)); #487914=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #487915=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #487916=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #487917=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #487918=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #487919=CARTESIAN_POINT('Origin',(29.150663,1.9475,0.035)); #487920=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #487921=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #487922=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #487923=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #487924=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #487925=CARTESIAN_POINT('Origin',(29.136916,2.007725,0.035)); #487926=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #487927=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #487928=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #487929=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #487930=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #487931=CARTESIAN_POINT('Origin',(29.13,2.0691125,0.035)); #487932=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #487933=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #487934=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #487935=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #487936=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #487937=CARTESIAN_POINT('Origin',(29.13,2.9308875,0.035)); #487938=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #487939=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #487940=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #487941=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #487942=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #487943=CARTESIAN_POINT('Origin',(29.136916,2.992275,0.035)); #487944=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #487945=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #487946=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #487947=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #487948=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #487949=CARTESIAN_POINT('Origin',(29.150663,3.0525,0.035)); #487950=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #487951=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #487952=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #487953=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #487954=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #487955=CARTESIAN_POINT('Origin',(29.171066,3.1108062,0.035)); #487956=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #487957=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #487958=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #487959=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #487960=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #487961=CARTESIAN_POINT('Origin',(29.197869,3.1664656,0.035)); #487962=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #487963=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #487964=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #487965=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #487966=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #487967=CARTESIAN_POINT('Origin',(29.230734,3.2187719,0.035)); #487968=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #487969=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #487970=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #487971=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #487972=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #487973=CARTESIAN_POINT('Origin',(29.26925,3.2670687,0.035)); #487974=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #487975=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #487976=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #487977=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #487978=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #487979=CARTESIAN_POINT('Origin',(29.312931,3.31075,0.035)); #487980=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #487981=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #487982=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #487983=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #487984=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #487985=CARTESIAN_POINT('Origin',(29.361228,3.3492656,0.035)); #487986=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #487987=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #487988=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #487989=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #487990=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #487991=CARTESIAN_POINT('Origin',(29.413534,3.3821313,0.035)); #487992=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #487993=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #487994=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #487995=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #487996=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #487997=CARTESIAN_POINT('Origin',(29.469194,3.4089344,0.035)); #487998=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #487999=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #488000=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #488001=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #488002=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #488003=CARTESIAN_POINT('Origin',(29.5275,3.4293375,0.035)); #488004=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #488005=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #488006=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #488007=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #488008=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #488009=CARTESIAN_POINT('Origin',(29.587725,3.4430844,0.035)); #488010=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #488011=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #488012=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #488013=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #488014=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #488015=CARTESIAN_POINT('Origin',(29.649113,3.45,0.035)); #488016=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #488017=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #488018=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #488019=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #488020=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #488021=CARTESIAN_POINT('Origin',(29.710888,3.45,0.035)); #488022=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #488023=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #488024=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #488025=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #488026=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #488027=CARTESIAN_POINT('Origin',(29.772275,3.4430844,0.035)); #488028=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #488029=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #488030=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #488031=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #488032=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #488033=CARTESIAN_POINT('Origin',(29.8325,3.4293375,0.035)); #488034=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #488035=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #488036=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #488037=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #488038=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #488039=CARTESIAN_POINT('Origin',(29.890806,3.4089344,0.035)); #488040=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #488041=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #488042=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #488043=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #488044=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #488045=CARTESIAN_POINT('Origin',(29.946466,3.3821313,0.035)); #488046=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #488047=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #488048=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #488049=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #488050=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #488051=CARTESIAN_POINT('Origin',(29.998772,3.3492656,0.035)); #488052=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #488053=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #488054=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #488055=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #488056=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #488057=CARTESIAN_POINT('Origin',(30.047069,3.31075,0.035)); #488058=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #488059=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #488060=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #488061=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #488062=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #488063=CARTESIAN_POINT('Origin',(30.09075,3.2670687,0.035)); #488064=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #488065=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #488066=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #488067=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #488068=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #488069=CARTESIAN_POINT('Origin',(30.129266,3.2187719,0.035)); #488070=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #488071=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #488072=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #488073=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #488074=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #488075=CARTESIAN_POINT('Origin',(30.162131,3.1664656,0.035)); #488076=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #488077=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #488078=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #488079=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #488080=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #488081=CARTESIAN_POINT('Origin',(30.188934,3.1108062,0.035)); #488082=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #488083=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #488084=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #488085=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #488086=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #488087=CARTESIAN_POINT('Origin',(30.209338,3.0525,0.035)); #488088=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #488089=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #488090=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #488091=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #488092=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #488093=CARTESIAN_POINT('Origin',(30.223084,2.992275,0.035)); #488094=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #488095=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #488096=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #488097=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #488098=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #488099=CARTESIAN_POINT('Origin',(30.23,2.9308875,0.035)); #488100=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #488101=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #488102=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #488103=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #488104=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #488105=CARTESIAN_POINT('Origin',(30.23,2.0691125,0.035)); #488106=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #488107=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #488108=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #488109=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #488110=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #488111=CARTESIAN_POINT('Origin',(30.223084,2.007725,0.035)); #488112=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #488113=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #488114=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #488115=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #488116=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #488117=CARTESIAN_POINT('Origin',(30.209338,1.9475,0.035)); #488118=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #488119=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #488120=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #488121=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #488122=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #488123=CARTESIAN_POINT('Origin',(30.188934,1.8891938,0.035)); #488124=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #488125=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #488126=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #488127=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #488128=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #488129=CARTESIAN_POINT('Origin',(30.162131,1.8335344,0.035)); #488130=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #488131=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #488132=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #488133=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #488134=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #488135=CARTESIAN_POINT('Origin',(30.129266,1.7812281,0.035)); #488136=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #488137=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #488138=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #488139=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #488140=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #488141=CARTESIAN_POINT('Origin',(30.09075,1.7329313,0.035)); #488142=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #488143=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #488144=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #488145=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #488146=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #488147=CARTESIAN_POINT('Origin',(30.047069,1.68925,0.035)); #488148=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #488149=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #488150=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #488151=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #488152=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #488153=CARTESIAN_POINT('Origin',(29.998772,1.6507344,0.035)); #488154=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #488155=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #488156=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #488157=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #488158=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #488159=CARTESIAN_POINT('Origin',(29.946466,1.6178687,0.035)); #488160=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #488161=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #488162=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #488163=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #488164=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #488165=CARTESIAN_POINT('Origin',(29.890806,1.5910656,0.035)); #488166=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #488167=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #488168=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #488169=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #488170=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #488171=CARTESIAN_POINT('Origin',(29.8325,1.5706625,0.035)); #488172=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #488173=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #488174=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #488175=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #488176=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #488177=CARTESIAN_POINT('Origin',(29.772275,1.5569156,0.035)); #488178=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #488179=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #488180=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.07)); #488181=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.035)); #488182=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #488183=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0700000000000145)); #488184=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0700000000000145)); #488185=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0699999999999967)); #488186=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #488187=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #488188=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #488189=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0350000000000072)); #488190=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #488191=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #488192=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0700000000000145)); #488193=CARTESIAN_POINT('Origin',(39.15,34.,0.0700000000000145)); #488194=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #488195=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #488196=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #488197=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #488198=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0700000000000145)); #488199=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0699999999999967)); #488200=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #488201=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0350000000000072)); #488202=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #488203=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #488204=CARTESIAN_POINT('Origin',(38.55,34.,0.0700000000000145)); #488205=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #488206=CARTESIAN_POINT('Origin',(39.189294,33.1,0.035)); #488207=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #488208=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #488209=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #488210=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #488211=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #488212=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #488213=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #488214=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #488215=CARTESIAN_POINT('Origin',(38.510706,33.1,0.035)); #488216=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #488217=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #488218=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #488219=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #488220=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #488221=CARTESIAN_POINT('Origin',(38.432416,33.10685,0.035)); #488222=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #488223=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #488224=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #488225=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #488226=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #488227=CARTESIAN_POINT('Origin',(38.355019,33.120497,0.035)); #488228=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #488229=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #488230=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #488231=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #488232=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #488233=CARTESIAN_POINT('Origin',(38.279106,33.140838,0.035)); #488234=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #488235=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #488236=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #488237=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #488238=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #488239=CARTESIAN_POINT('Origin',(38.205256,33.167716,0.035)); #488240=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #488241=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #488242=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #488243=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #488244=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #488245=CARTESIAN_POINT('Origin',(38.134031,33.200931,0.035)); #488246=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #488247=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #488248=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #488249=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #488250=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #488251=CARTESIAN_POINT('Origin',(38.065969,33.240225,0.035)); #488252=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #488253=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #488254=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #488255=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #488256=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #488257=CARTESIAN_POINT('Origin',(38.001594,33.285303,0.035)); #488258=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #488259=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #488260=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #488261=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #488262=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #488263=CARTESIAN_POINT('Origin',(37.941391,33.335819,0.035)); #488264=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #488265=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #488266=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #488267=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #488268=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #488269=CARTESIAN_POINT('Origin',(37.885819,33.391391,0.035)); #488270=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #488271=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #488272=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #488273=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #488274=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #488275=CARTESIAN_POINT('Origin',(37.835303,33.451594,0.035)); #488276=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #488277=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #488278=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #488279=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #488280=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #488281=CARTESIAN_POINT('Origin',(37.790225,33.515969,0.035)); #488282=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #488283=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #488284=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #488285=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #488286=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #488287=CARTESIAN_POINT('Origin',(37.750931,33.584031,0.035)); #488288=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #488289=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #488290=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #488291=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #488292=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #488293=CARTESIAN_POINT('Origin',(37.717716,33.655256,0.035)); #488294=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #488295=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #488296=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #488297=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #488298=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #488299=CARTESIAN_POINT('Origin',(37.690838,33.729106,0.035)); #488300=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #488301=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #488302=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #488303=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #488304=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #488305=CARTESIAN_POINT('Origin',(37.670497,33.805019,0.035)); #488306=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #488307=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #488308=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #488309=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #488310=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #488311=CARTESIAN_POINT('Origin',(37.65685,33.882416,0.035)); #488312=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #488313=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #488314=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #488315=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #488316=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #488317=CARTESIAN_POINT('Origin',(37.65,33.960706,0.035)); #488318=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #488319=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #488320=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #488321=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #488322=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #488323=CARTESIAN_POINT('Origin',(37.65,34.039294,0.035)); #488324=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #488325=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #488326=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #488327=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #488328=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #488329=CARTESIAN_POINT('Origin',(37.65685,34.117584,0.035)); #488330=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #488331=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #488332=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #488333=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #488334=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #488335=CARTESIAN_POINT('Origin',(37.670497,34.194981,0.035)); #488336=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #488337=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #488338=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #488339=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #488340=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #488341=CARTESIAN_POINT('Origin',(37.690838,34.270894,0.035)); #488342=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #488343=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #488344=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #488345=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #488346=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #488347=CARTESIAN_POINT('Origin',(37.717716,34.344744,0.035)); #488348=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #488349=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #488350=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #488351=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #488352=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #488353=CARTESIAN_POINT('Origin',(37.750931,34.415969,0.035)); #488354=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #488355=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #488356=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #488357=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #488358=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #488359=CARTESIAN_POINT('Origin',(37.790225,34.484031,0.035)); #488360=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #488361=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #488362=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #488363=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #488364=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #488365=CARTESIAN_POINT('Origin',(37.835303,34.548406,0.035)); #488366=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #488367=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #488368=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #488369=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #488370=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #488371=CARTESIAN_POINT('Origin',(37.885819,34.608609,0.035)); #488372=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #488373=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #488374=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #488375=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #488376=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #488377=CARTESIAN_POINT('Origin',(37.941391,34.664181,0.035)); #488378=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #488379=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #488380=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #488381=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #488382=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #488383=CARTESIAN_POINT('Origin',(38.001594,34.714697,0.035)); #488384=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #488385=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #488386=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #488387=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #488388=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #488389=CARTESIAN_POINT('Origin',(38.065969,34.759775,0.035)); #488390=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #488391=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #488392=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #488393=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #488394=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #488395=CARTESIAN_POINT('Origin',(38.134031,34.799069,0.035)); #488396=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #488397=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #488398=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #488399=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #488400=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #488401=CARTESIAN_POINT('Origin',(38.205256,34.832284,0.035)); #488402=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #488403=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #488404=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #488405=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #488406=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #488407=CARTESIAN_POINT('Origin',(38.279106,34.859163,0.035)); #488408=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #488409=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #488410=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #488411=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #488412=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #488413=CARTESIAN_POINT('Origin',(38.355019,34.879503,0.035)); #488414=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #488415=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #488416=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #488417=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #488418=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #488419=CARTESIAN_POINT('Origin',(38.432416,34.89315,0.035)); #488420=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #488421=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #488422=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #488423=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #488424=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #488425=CARTESIAN_POINT('Origin',(38.510706,34.9,0.035)); #488426=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #488427=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #488428=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #488429=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #488430=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #488431=CARTESIAN_POINT('Origin',(39.189294,34.9,0.035)); #488432=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #488433=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #488434=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #488435=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #488436=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #488437=CARTESIAN_POINT('Origin',(39.267584,34.89315,0.035)); #488438=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #488439=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #488440=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #488441=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #488442=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #488443=CARTESIAN_POINT('Origin',(39.344981,34.879503,0.035)); #488444=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #488445=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #488446=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #488447=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #488448=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #488449=CARTESIAN_POINT('Origin',(39.420894,34.859163,0.035)); #488450=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #488451=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #488452=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #488453=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #488454=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #488455=CARTESIAN_POINT('Origin',(39.494744,34.832284,0.035)); #488456=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #488457=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #488458=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #488459=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #488460=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #488461=CARTESIAN_POINT('Origin',(39.565969,34.799069,0.035)); #488462=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #488463=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #488464=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #488465=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #488466=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #488467=CARTESIAN_POINT('Origin',(39.634031,34.759775,0.035)); #488468=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #488469=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #488470=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #488471=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #488472=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #488473=CARTESIAN_POINT('Origin',(39.698406,34.714697,0.035)); #488474=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #488475=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #488476=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #488477=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #488478=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #488479=CARTESIAN_POINT('Origin',(39.758609,34.664181,0.035)); #488480=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #488481=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #488482=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #488483=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #488484=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #488485=CARTESIAN_POINT('Origin',(39.814181,34.608609,0.035)); #488486=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #488487=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #488488=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #488489=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #488490=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #488491=CARTESIAN_POINT('Origin',(39.864697,34.548406,0.035)); #488492=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #488493=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #488494=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #488495=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #488496=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #488497=CARTESIAN_POINT('Origin',(39.909775,34.484031,0.035)); #488498=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #488499=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #488500=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #488501=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #488502=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #488503=CARTESIAN_POINT('Origin',(39.949069,34.415969,0.035)); #488504=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #488505=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #488506=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #488507=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #488508=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #488509=CARTESIAN_POINT('Origin',(39.982284,34.344744,0.035)); #488510=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #488511=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #488512=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #488513=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #488514=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #488515=CARTESIAN_POINT('Origin',(40.009163,34.270894,0.035)); #488516=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #488517=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #488518=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #488519=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #488520=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #488521=CARTESIAN_POINT('Origin',(40.029503,34.194981,0.035)); #488522=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #488523=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #488524=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #488525=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #488526=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #488527=CARTESIAN_POINT('Origin',(40.04315,34.117584,0.035)); #488528=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #488529=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #488530=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #488531=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #488532=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #488533=CARTESIAN_POINT('Origin',(40.05,34.039294,0.035)); #488534=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #488535=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #488536=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #488537=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #488538=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #488539=CARTESIAN_POINT('Origin',(40.05,33.960706,0.035)); #488540=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #488541=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #488542=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #488543=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #488544=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #488545=CARTESIAN_POINT('Origin',(40.04315,33.882416,0.035)); #488546=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #488547=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #488548=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #488549=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #488550=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #488551=CARTESIAN_POINT('Origin',(40.029503,33.805019,0.035)); #488552=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #488553=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #488554=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #488555=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #488556=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #488557=CARTESIAN_POINT('Origin',(40.009163,33.729106,0.035)); #488558=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #488559=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #488560=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #488561=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #488562=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #488563=CARTESIAN_POINT('Origin',(39.982284,33.655256,0.035)); #488564=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #488565=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #488566=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #488567=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #488568=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #488569=CARTESIAN_POINT('Origin',(39.949069,33.584031,0.035)); #488570=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #488571=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #488572=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #488573=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #488574=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #488575=CARTESIAN_POINT('Origin',(39.909775,33.515969,0.035)); #488576=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #488577=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #488578=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #488579=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #488580=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #488581=CARTESIAN_POINT('Origin',(39.864697,33.451594,0.035)); #488582=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #488583=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #488584=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #488585=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #488586=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #488587=CARTESIAN_POINT('Origin',(39.814181,33.391391,0.035)); #488588=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #488589=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #488590=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #488591=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #488592=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #488593=CARTESIAN_POINT('Origin',(39.758609,33.335819,0.035)); #488594=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #488595=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #488596=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #488597=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #488598=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #488599=CARTESIAN_POINT('Origin',(39.698406,33.285303,0.035)); #488600=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #488601=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #488602=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #488603=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #488604=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #488605=CARTESIAN_POINT('Origin',(39.634031,33.240225,0.035)); #488606=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #488607=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #488608=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #488609=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #488610=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #488611=CARTESIAN_POINT('Origin',(39.565969,33.200931,0.035)); #488612=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #488613=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #488614=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #488615=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #488616=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #488617=CARTESIAN_POINT('Origin',(39.494744,33.167716,0.035)); #488618=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #488619=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #488620=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #488621=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #488622=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #488623=CARTESIAN_POINT('Origin',(39.420894,33.140838,0.035)); #488624=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #488625=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #488626=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #488627=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #488628=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #488629=CARTESIAN_POINT('Origin',(39.344981,33.120497,0.035)); #488630=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #488631=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #488632=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #488633=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #488634=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #488635=CARTESIAN_POINT('Origin',(39.267584,33.10685,0.035)); #488636=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #488637=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #488638=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.07)); #488639=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.035)); #488640=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #488641=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0700000000000145)); #488642=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0700000000000145)); #488643=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0699999999999967)); #488644=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #488645=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #488646=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #488647=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0350000000000072)); #488648=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #488649=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #488650=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0700000000000145)); #488651=CARTESIAN_POINT('Origin',(38.32,6.28,0.0700000000000145)); #488652=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #488653=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #488654=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #488655=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #488656=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0700000000000145)); #488657=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0699999999999967)); #488658=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #488659=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0350000000000072)); #488660=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #488661=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #488662=CARTESIAN_POINT('Origin',(38.32,7.08,0.0700000000000145)); #488663=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #488664=CARTESIAN_POINT('Origin',(38.350887,5.73,0.035)); #488665=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #488666=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #488667=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #488668=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #488669=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #488670=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #488671=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #488672=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #488673=CARTESIAN_POINT('Origin',(38.289113,5.73,0.035)); #488674=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #488675=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #488676=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #488677=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #488678=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #488679=CARTESIAN_POINT('Origin',(38.227725,5.7369156,0.035)); #488680=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #488681=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #488682=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #488683=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #488684=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #488685=CARTESIAN_POINT('Origin',(38.1675,5.7506625,0.035)); #488686=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #488687=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #488688=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #488689=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #488690=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #488691=CARTESIAN_POINT('Origin',(38.109194,5.7710656,0.035)); #488692=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #488693=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #488694=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #488695=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #488696=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #488697=CARTESIAN_POINT('Origin',(38.053534,5.7978688,0.035)); #488698=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #488699=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #488700=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #488701=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #488702=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #488703=CARTESIAN_POINT('Origin',(38.001228,5.8307344,0.035)); #488704=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #488705=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #488706=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #488707=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #488708=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #488709=CARTESIAN_POINT('Origin',(37.952931,5.86925,0.035)); #488710=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #488711=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #488712=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #488713=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #488714=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #488715=CARTESIAN_POINT('Origin',(37.90925,5.9129313,0.035)); #488716=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #488717=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #488718=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #488719=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #488720=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #488721=CARTESIAN_POINT('Origin',(37.870734,5.9612281,0.035)); #488722=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #488723=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #488724=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #488725=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #488726=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #488727=CARTESIAN_POINT('Origin',(37.837869,6.0135344,0.035)); #488728=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #488729=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #488730=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #488731=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #488732=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #488733=CARTESIAN_POINT('Origin',(37.811066,6.0691938,0.035)); #488734=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #488735=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #488736=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #488737=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #488738=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #488739=CARTESIAN_POINT('Origin',(37.790663,6.1275,0.035)); #488740=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #488741=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #488742=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #488743=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #488744=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #488745=CARTESIAN_POINT('Origin',(37.776916,6.187725,0.035)); #488746=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #488747=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #488748=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #488749=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #488750=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #488751=CARTESIAN_POINT('Origin',(37.77,6.2491125,0.035)); #488752=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #488753=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #488754=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #488755=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #488756=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #488757=CARTESIAN_POINT('Origin',(37.77,7.1108875,0.035)); #488758=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #488759=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #488760=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #488761=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #488762=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #488763=CARTESIAN_POINT('Origin',(37.776916,7.172275,0.035)); #488764=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #488765=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #488766=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #488767=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #488768=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #488769=CARTESIAN_POINT('Origin',(37.790663,7.2325,0.035)); #488770=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #488771=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #488772=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #488773=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #488774=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #488775=CARTESIAN_POINT('Origin',(37.811066,7.2908063,0.035)); #488776=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #488777=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #488778=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #488779=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #488780=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #488781=CARTESIAN_POINT('Origin',(37.837869,7.3464656,0.035)); #488782=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #488783=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #488784=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #488785=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #488786=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #488787=CARTESIAN_POINT('Origin',(37.870734,7.3987719,0.035)); #488788=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #488789=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #488790=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #488791=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #488792=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #488793=CARTESIAN_POINT('Origin',(37.90925,7.4470688,0.035)); #488794=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #488795=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #488796=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #488797=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #488798=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #488799=CARTESIAN_POINT('Origin',(37.952931,7.49075,0.035)); #488800=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #488801=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #488802=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #488803=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #488804=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #488805=CARTESIAN_POINT('Origin',(38.001228,7.5292656,0.035)); #488806=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #488807=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #488808=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #488809=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #488810=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #488811=CARTESIAN_POINT('Origin',(38.053534,7.5621313,0.035)); #488812=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #488813=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #488814=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #488815=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #488816=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #488817=CARTESIAN_POINT('Origin',(38.109194,7.5889344,0.035)); #488818=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #488819=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #488820=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #488821=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #488822=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #488823=CARTESIAN_POINT('Origin',(38.1675,7.6093375,0.035)); #488824=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #488825=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #488826=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #488827=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #488828=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #488829=CARTESIAN_POINT('Origin',(38.227725,7.6230844,0.035)); #488830=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #488831=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #488832=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #488833=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #488834=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #488835=CARTESIAN_POINT('Origin',(38.289113,7.63,0.035)); #488836=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #488837=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #488838=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #488839=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #488840=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #488841=CARTESIAN_POINT('Origin',(38.350887,7.63,0.035)); #488842=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #488843=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #488844=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #488845=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #488846=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #488847=CARTESIAN_POINT('Origin',(38.412275,7.6230844,0.035)); #488848=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #488849=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #488850=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #488851=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #488852=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #488853=CARTESIAN_POINT('Origin',(38.4725,7.6093375,0.035)); #488854=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #488855=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #488856=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #488857=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #488858=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #488859=CARTESIAN_POINT('Origin',(38.530806,7.5889344,0.035)); #488860=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #488861=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #488862=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #488863=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #488864=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #488865=CARTESIAN_POINT('Origin',(38.586466,7.5621313,0.035)); #488866=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #488867=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #488868=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #488869=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #488870=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #488871=CARTESIAN_POINT('Origin',(38.638772,7.5292656,0.035)); #488872=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #488873=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #488874=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #488875=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #488876=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #488877=CARTESIAN_POINT('Origin',(38.687069,7.49075,0.035)); #488878=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #488879=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #488880=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #488881=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #488882=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #488883=CARTESIAN_POINT('Origin',(38.73075,7.4470688,0.035)); #488884=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #488885=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #488886=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #488887=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #488888=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #488889=CARTESIAN_POINT('Origin',(38.769266,7.3987719,0.035)); #488890=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #488891=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #488892=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #488893=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #488894=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #488895=CARTESIAN_POINT('Origin',(38.802131,7.3464656,0.035)); #488896=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #488897=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #488898=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #488899=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #488900=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #488901=CARTESIAN_POINT('Origin',(38.828934,7.2908063,0.035)); #488902=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #488903=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #488904=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #488905=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #488906=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #488907=CARTESIAN_POINT('Origin',(38.849338,7.2325,0.035)); #488908=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #488909=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #488910=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #488911=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #488912=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #488913=CARTESIAN_POINT('Origin',(38.863084,7.172275,0.035)); #488914=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #488915=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #488916=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #488917=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #488918=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #488919=CARTESIAN_POINT('Origin',(38.87,7.1108875,0.035)); #488920=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #488921=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #488922=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #488923=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #488924=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #488925=CARTESIAN_POINT('Origin',(38.87,6.2491125,0.035)); #488926=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #488927=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #488928=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #488929=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #488930=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #488931=CARTESIAN_POINT('Origin',(38.863084,6.187725,0.035)); #488932=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #488933=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #488934=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #488935=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #488936=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #488937=CARTESIAN_POINT('Origin',(38.849338,6.1275,0.035)); #488938=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #488939=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #488940=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #488941=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #488942=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #488943=CARTESIAN_POINT('Origin',(38.828934,6.0691938,0.035)); #488944=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #488945=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #488946=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #488947=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #488948=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #488949=CARTESIAN_POINT('Origin',(38.802131,6.0135344,0.035)); #488950=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #488951=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #488952=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #488953=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #488954=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #488955=CARTESIAN_POINT('Origin',(38.769266,5.9612281,0.035)); #488956=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #488957=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #488958=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #488959=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #488960=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #488961=CARTESIAN_POINT('Origin',(38.73075,5.9129313,0.035)); #488962=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #488963=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #488964=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #488965=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #488966=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #488967=CARTESIAN_POINT('Origin',(38.687069,5.86925,0.035)); #488968=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #488969=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #488970=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #488971=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #488972=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #488973=CARTESIAN_POINT('Origin',(38.638772,5.8307344,0.035)); #488974=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #488975=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #488976=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #488977=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #488978=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #488979=CARTESIAN_POINT('Origin',(38.586466,5.7978688,0.035)); #488980=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #488981=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #488982=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #488983=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #488984=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #488985=CARTESIAN_POINT('Origin',(38.530806,5.7710656,0.035)); #488986=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #488987=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #488988=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #488989=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #488990=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #488991=CARTESIAN_POINT('Origin',(38.4725,5.7506625,0.035)); #488992=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #488993=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #488994=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #488995=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #488996=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #488997=CARTESIAN_POINT('Origin',(38.412275,5.7369156,0.035)); #488998=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #488999=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #489000=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.07)); #489001=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.035)); #489002=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #489003=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #489004=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #489005=CARTESIAN_POINT('',(23.735,34.,0.0700000000000145)); #489006=CARTESIAN_POINT('',(23.735,34.,-200.)); #489007=CARTESIAN_POINT('Origin',(24.25,34.,0.0700000000000145)); #489008=CARTESIAN_POINT('Origin',(24.290528,33.175,0.035)); #489009=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #489010=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #489011=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #489012=CARTESIAN_POINT('',(24.3712,33.182944,0.07)); #489013=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #489014=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #489015=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #489016=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #489017=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #489018=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #489019=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #489020=CARTESIAN_POINT('',(24.1288,34.817056,0.07)); #489021=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.07)); #489022=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #489023=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #489024=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #489025=CARTESIAN_POINT('Origin',(24.25,34.,0.07)); #489026=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.07)); #489027=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.035)); #489028=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #489029=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0700000000000145)); #489030=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0700000000000145)); #489031=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0699999999999967)); #489032=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #489033=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #489034=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #489035=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0350000000000072)); #489036=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #489037=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #489038=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0700000000000145)); #489039=CARTESIAN_POINT('Origin',(29.68,6.28,0.0700000000000145)); #489040=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #489041=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #489042=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #489043=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #489044=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0700000000000145)); #489045=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0699999999999967)); #489046=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #489047=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0350000000000072)); #489048=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #489049=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #489050=CARTESIAN_POINT('Origin',(29.68,7.08,0.0700000000000145)); #489051=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #489052=CARTESIAN_POINT('Origin',(29.710888,5.73,0.035)); #489053=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #489054=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #489055=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #489056=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #489057=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #489058=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #489059=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #489060=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #489061=CARTESIAN_POINT('Origin',(29.649113,5.73,0.035)); #489062=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #489063=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #489064=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #489065=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #489066=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #489067=CARTESIAN_POINT('Origin',(29.587725,5.7369156,0.035)); #489068=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #489069=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #489070=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #489071=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #489072=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #489073=CARTESIAN_POINT('Origin',(29.5275,5.7506625,0.035)); #489074=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #489075=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #489076=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #489077=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #489078=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #489079=CARTESIAN_POINT('Origin',(29.469194,5.7710656,0.035)); #489080=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #489081=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #489082=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #489083=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #489084=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #489085=CARTESIAN_POINT('Origin',(29.413534,5.7978688,0.035)); #489086=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #489087=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #489088=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #489089=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #489090=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #489091=CARTESIAN_POINT('Origin',(29.361228,5.8307344,0.035)); #489092=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #489093=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #489094=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #489095=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #489096=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #489097=CARTESIAN_POINT('Origin',(29.312931,5.86925,0.035)); #489098=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #489099=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #489100=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #489101=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #489102=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #489103=CARTESIAN_POINT('Origin',(29.26925,5.9129313,0.035)); #489104=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #489105=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #489106=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #489107=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #489108=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #489109=CARTESIAN_POINT('Origin',(29.230734,5.9612281,0.035)); #489110=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #489111=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #489112=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #489113=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #489114=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #489115=CARTESIAN_POINT('Origin',(29.197869,6.0135344,0.035)); #489116=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #489117=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #489118=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #489119=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #489120=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #489121=CARTESIAN_POINT('Origin',(29.171066,6.0691938,0.035)); #489122=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #489123=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #489124=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #489125=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #489126=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #489127=CARTESIAN_POINT('Origin',(29.150663,6.1275,0.035)); #489128=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #489129=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #489130=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #489131=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #489132=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #489133=CARTESIAN_POINT('Origin',(29.136916,6.187725,0.035)); #489134=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #489135=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #489136=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #489137=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #489138=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #489139=CARTESIAN_POINT('Origin',(29.13,6.2491125,0.035)); #489140=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #489141=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #489142=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #489143=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #489144=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #489145=CARTESIAN_POINT('Origin',(29.13,7.1108875,0.035)); #489146=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #489147=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #489148=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #489149=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #489150=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #489151=CARTESIAN_POINT('Origin',(29.136916,7.172275,0.035)); #489152=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #489153=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #489154=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #489155=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #489156=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #489157=CARTESIAN_POINT('Origin',(29.150663,7.2325,0.035)); #489158=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #489159=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #489160=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #489161=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #489162=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #489163=CARTESIAN_POINT('Origin',(29.171066,7.2908063,0.035)); #489164=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #489165=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #489166=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #489167=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #489168=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #489169=CARTESIAN_POINT('Origin',(29.197869,7.3464656,0.035)); #489170=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #489171=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #489172=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #489173=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #489174=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #489175=CARTESIAN_POINT('Origin',(29.230734,7.3987719,0.035)); #489176=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #489177=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #489178=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #489179=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #489180=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #489181=CARTESIAN_POINT('Origin',(29.26925,7.4470688,0.035)); #489182=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #489183=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #489184=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #489185=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #489186=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #489187=CARTESIAN_POINT('Origin',(29.312931,7.49075,0.035)); #489188=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #489189=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #489190=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #489191=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #489192=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #489193=CARTESIAN_POINT('Origin',(29.361228,7.5292656,0.035)); #489194=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #489195=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #489196=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #489197=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #489198=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #489199=CARTESIAN_POINT('Origin',(29.413534,7.5621313,0.035)); #489200=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #489201=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #489202=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #489203=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #489204=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #489205=CARTESIAN_POINT('Origin',(29.469194,7.5889344,0.035)); #489206=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #489207=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #489208=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #489209=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #489210=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #489211=CARTESIAN_POINT('Origin',(29.5275,7.6093375,0.035)); #489212=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #489213=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #489214=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #489215=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #489216=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #489217=CARTESIAN_POINT('Origin',(29.587725,7.6230844,0.035)); #489218=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #489219=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #489220=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #489221=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #489222=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #489223=CARTESIAN_POINT('Origin',(29.649113,7.63,0.035)); #489224=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #489225=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #489226=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #489227=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #489228=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #489229=CARTESIAN_POINT('Origin',(29.710888,7.63,0.035)); #489230=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #489231=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #489232=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #489233=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #489234=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #489235=CARTESIAN_POINT('Origin',(29.772275,7.6230844,0.035)); #489236=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #489237=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #489238=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #489239=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #489240=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #489241=CARTESIAN_POINT('Origin',(29.8325,7.6093375,0.035)); #489242=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #489243=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #489244=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #489245=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #489246=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #489247=CARTESIAN_POINT('Origin',(29.890806,7.5889344,0.035)); #489248=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #489249=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #489250=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #489251=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #489252=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #489253=CARTESIAN_POINT('Origin',(29.946466,7.5621313,0.035)); #489254=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #489255=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #489256=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #489257=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #489258=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #489259=CARTESIAN_POINT('Origin',(29.998772,7.5292656,0.035)); #489260=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #489261=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #489262=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #489263=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #489264=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #489265=CARTESIAN_POINT('Origin',(30.047069,7.49075,0.035)); #489266=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #489267=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #489268=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #489269=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #489270=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #489271=CARTESIAN_POINT('Origin',(30.09075,7.4470688,0.035)); #489272=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #489273=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #489274=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #489275=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #489276=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #489277=CARTESIAN_POINT('Origin',(30.129266,7.3987719,0.035)); #489278=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #489279=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #489280=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #489281=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #489282=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #489283=CARTESIAN_POINT('Origin',(30.162131,7.3464656,0.035)); #489284=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #489285=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #489286=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #489287=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #489288=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #489289=CARTESIAN_POINT('Origin',(30.188934,7.2908063,0.035)); #489290=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #489291=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #489292=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #489293=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #489294=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #489295=CARTESIAN_POINT('Origin',(30.209338,7.2325,0.035)); #489296=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #489297=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #489298=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #489299=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #489300=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #489301=CARTESIAN_POINT('Origin',(30.223084,7.172275,0.035)); #489302=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #489303=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #489304=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #489305=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #489306=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #489307=CARTESIAN_POINT('Origin',(30.23,7.1108875,0.035)); #489308=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #489309=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #489310=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #489311=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #489312=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #489313=CARTESIAN_POINT('Origin',(30.23,6.2491125,0.035)); #489314=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #489315=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #489316=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #489317=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #489318=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #489319=CARTESIAN_POINT('Origin',(30.223084,6.187725,0.035)); #489320=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #489321=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #489322=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #489323=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #489324=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #489325=CARTESIAN_POINT('Origin',(30.209338,6.1275,0.035)); #489326=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #489327=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #489328=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #489329=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #489330=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #489331=CARTESIAN_POINT('Origin',(30.188934,6.0691938,0.035)); #489332=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #489333=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #489334=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #489335=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #489336=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #489337=CARTESIAN_POINT('Origin',(30.162131,6.0135344,0.035)); #489338=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #489339=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #489340=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #489341=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #489342=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #489343=CARTESIAN_POINT('Origin',(30.129266,5.9612281,0.035)); #489344=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #489345=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #489346=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #489347=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #489348=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #489349=CARTESIAN_POINT('Origin',(30.09075,5.9129313,0.035)); #489350=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #489351=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #489352=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #489353=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #489354=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #489355=CARTESIAN_POINT('Origin',(30.047069,5.86925,0.035)); #489356=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #489357=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #489358=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #489359=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #489360=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #489361=CARTESIAN_POINT('Origin',(29.998772,5.8307344,0.035)); #489362=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #489363=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #489364=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #489365=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #489366=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #489367=CARTESIAN_POINT('Origin',(29.946466,5.7978688,0.035)); #489368=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #489369=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #489370=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #489371=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #489372=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #489373=CARTESIAN_POINT('Origin',(29.890806,5.7710656,0.035)); #489374=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #489375=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #489376=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #489377=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #489378=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #489379=CARTESIAN_POINT('Origin',(29.8325,5.7506625,0.035)); #489380=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #489381=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #489382=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #489383=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #489384=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #489385=CARTESIAN_POINT('Origin',(29.772275,5.7369156,0.035)); #489386=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #489387=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #489388=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.07)); #489389=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.035)); #489390=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #489391=CARTESIAN_POINT('',(43.257,35.27,0.0349999999999895)); #489392=CARTESIAN_POINT('Origin',(43.73,35.27,0.0349999999999895)); #489393=CARTESIAN_POINT('',(43.257,35.27,0.0700000000000145)); #489394=CARTESIAN_POINT('',(43.257,35.27,-200.)); #489395=CARTESIAN_POINT('Origin',(43.73,35.27,0.0700000000000145)); #489396=CARTESIAN_POINT('Origin',(44.045628,34.508,0.035)); #489397=CARTESIAN_POINT('',(44.045628,34.508,0.035)); #489398=CARTESIAN_POINT('',(44.492,34.954372,0.035)); #489399=CARTESIAN_POINT('',(44.045628,34.508,0.035)); #489400=CARTESIAN_POINT('',(44.492,34.954372,0.07)); #489401=CARTESIAN_POINT('',(44.492,34.954372,0.035)); #489402=CARTESIAN_POINT('',(44.045628,34.508,0.07)); #489403=CARTESIAN_POINT('',(44.045628,34.508,0.07)); #489404=CARTESIAN_POINT('',(44.045628,34.508,0.035)); #489405=CARTESIAN_POINT('Origin',(43.414372,34.508,0.035)); #489406=CARTESIAN_POINT('',(43.414372,34.508,0.035)); #489407=CARTESIAN_POINT('',(43.414372,34.508,0.035)); #489408=CARTESIAN_POINT('',(43.414372,34.508,0.07)); #489409=CARTESIAN_POINT('',(43.414372,34.508,0.07)); #489410=CARTESIAN_POINT('',(43.414372,34.508,0.035)); #489411=CARTESIAN_POINT('Origin',(42.968,34.954372,0.035)); #489412=CARTESIAN_POINT('',(42.968,34.954372,0.035)); #489413=CARTESIAN_POINT('',(42.968,34.954372,0.035)); #489414=CARTESIAN_POINT('',(42.968,34.954372,0.07)); #489415=CARTESIAN_POINT('',(42.968,34.954372,0.07)); #489416=CARTESIAN_POINT('',(42.968,34.954372,0.035)); #489417=CARTESIAN_POINT('Origin',(42.968,35.585628,0.035)); #489418=CARTESIAN_POINT('',(42.968,35.585628,0.035)); #489419=CARTESIAN_POINT('',(42.968,35.585628,0.035)); #489420=CARTESIAN_POINT('',(42.968,35.585628,0.07)); #489421=CARTESIAN_POINT('',(42.968,35.585628,0.07)); #489422=CARTESIAN_POINT('',(42.968,35.585628,0.035)); #489423=CARTESIAN_POINT('Origin',(43.414372,36.032,0.035)); #489424=CARTESIAN_POINT('',(43.414372,36.032,0.035)); #489425=CARTESIAN_POINT('',(43.414372,36.032,0.035)); #489426=CARTESIAN_POINT('',(43.414372,36.032,0.07)); #489427=CARTESIAN_POINT('',(43.414372,36.032,0.07)); #489428=CARTESIAN_POINT('',(43.414372,36.032,0.035)); #489429=CARTESIAN_POINT('Origin',(44.045628,36.032,0.035)); #489430=CARTESIAN_POINT('',(44.045628,36.032,0.035)); #489431=CARTESIAN_POINT('',(44.045628,36.032,0.035)); #489432=CARTESIAN_POINT('',(44.045628,36.032,0.07)); #489433=CARTESIAN_POINT('',(44.045628,36.032,0.07)); #489434=CARTESIAN_POINT('',(44.045628,36.032,0.035)); #489435=CARTESIAN_POINT('Origin',(44.492,35.585628,0.035)); #489436=CARTESIAN_POINT('',(44.492,35.585628,0.035)); #489437=CARTESIAN_POINT('',(44.492,35.585628,0.035)); #489438=CARTESIAN_POINT('',(44.492,35.585628,0.07)); #489439=CARTESIAN_POINT('',(44.492,35.585628,0.07)); #489440=CARTESIAN_POINT('',(44.492,35.585628,0.035)); #489441=CARTESIAN_POINT('Origin',(44.492,34.954372,0.035)); #489442=CARTESIAN_POINT('',(44.492,34.954372,0.035)); #489443=CARTESIAN_POINT('',(44.492,34.954372,0.07)); #489444=CARTESIAN_POINT('Origin',(43.73,35.27,0.07)); #489445=CARTESIAN_POINT('Origin',(43.73,35.27,0.035)); #489446=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #489447=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #489448=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #489449=CARTESIAN_POINT('',(11.785,34.,0.0700000000000145)); #489450=CARTESIAN_POINT('',(11.785,34.,-200.)); #489451=CARTESIAN_POINT('Origin',(12.25,34.,0.0700000000000145)); #489452=CARTESIAN_POINT('Origin',(12.286844,33.25,0.035)); #489453=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #489454=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #489455=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #489456=CARTESIAN_POINT('',(12.360181,33.257222,0.07)); #489457=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #489458=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #489459=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #489460=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #489461=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #489462=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #489463=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #489464=CARTESIAN_POINT('',(12.139819,34.742778,0.07)); #489465=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.07)); #489466=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #489467=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #489468=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #489469=CARTESIAN_POINT('Origin',(12.25,34.,0.07)); #489470=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.07)); #489471=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.035)); #489472=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #489473=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0700000000000145)); #489474=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0700000000000145)); #489475=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0699999999999967)); #489476=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #489477=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #489478=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #489479=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0350000000000072)); #489480=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #489481=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #489482=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0700000000000145)); #489483=CARTESIAN_POINT('Origin',(29.45,34.,0.0700000000000145)); #489484=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #489485=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #489486=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #489487=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #489488=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0700000000000145)); #489489=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0699999999999967)); #489490=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #489491=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0350000000000072)); #489492=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #489493=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #489494=CARTESIAN_POINT('Origin',(28.85,34.,0.0700000000000145)); #489495=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #489496=CARTESIAN_POINT('Origin',(29.489294,33.1,0.035)); #489497=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #489498=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #489499=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #489500=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #489501=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #489502=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #489503=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #489504=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #489505=CARTESIAN_POINT('Origin',(28.810706,33.1,0.035)); #489506=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #489507=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #489508=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #489509=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #489510=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #489511=CARTESIAN_POINT('Origin',(28.732416,33.10685,0.035)); #489512=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #489513=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #489514=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #489515=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #489516=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #489517=CARTESIAN_POINT('Origin',(28.655019,33.120497,0.035)); #489518=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #489519=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #489520=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #489521=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #489522=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #489523=CARTESIAN_POINT('Origin',(28.579106,33.140838,0.035)); #489524=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #489525=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #489526=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #489527=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #489528=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #489529=CARTESIAN_POINT('Origin',(28.505256,33.167716,0.035)); #489530=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #489531=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #489532=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #489533=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #489534=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #489535=CARTESIAN_POINT('Origin',(28.434031,33.200931,0.035)); #489536=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #489537=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #489538=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #489539=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #489540=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #489541=CARTESIAN_POINT('Origin',(28.365969,33.240225,0.035)); #489542=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #489543=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #489544=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #489545=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #489546=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #489547=CARTESIAN_POINT('Origin',(28.301594,33.285303,0.035)); #489548=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #489549=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #489550=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #489551=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #489552=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #489553=CARTESIAN_POINT('Origin',(28.241391,33.335819,0.035)); #489554=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #489555=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #489556=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #489557=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #489558=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #489559=CARTESIAN_POINT('Origin',(28.185819,33.391391,0.035)); #489560=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #489561=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #489562=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #489563=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #489564=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #489565=CARTESIAN_POINT('Origin',(28.135303,33.451594,0.035)); #489566=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #489567=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #489568=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #489569=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #489570=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #489571=CARTESIAN_POINT('Origin',(28.090225,33.515969,0.035)); #489572=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #489573=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #489574=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #489575=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #489576=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #489577=CARTESIAN_POINT('Origin',(28.050931,33.584031,0.035)); #489578=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #489579=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #489580=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #489581=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #489582=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #489583=CARTESIAN_POINT('Origin',(28.017716,33.655256,0.035)); #489584=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #489585=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #489586=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #489587=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #489588=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #489589=CARTESIAN_POINT('Origin',(27.990838,33.729106,0.035)); #489590=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #489591=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #489592=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #489593=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #489594=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #489595=CARTESIAN_POINT('Origin',(27.970497,33.805019,0.035)); #489596=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #489597=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #489598=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #489599=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #489600=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #489601=CARTESIAN_POINT('Origin',(27.95685,33.882416,0.035)); #489602=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #489603=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #489604=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #489605=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #489606=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #489607=CARTESIAN_POINT('Origin',(27.95,33.960706,0.035)); #489608=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #489609=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #489610=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #489611=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #489612=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #489613=CARTESIAN_POINT('Origin',(27.95,34.039294,0.035)); #489614=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #489615=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #489616=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #489617=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #489618=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #489619=CARTESIAN_POINT('Origin',(27.95685,34.117584,0.035)); #489620=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #489621=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #489622=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #489623=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #489624=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #489625=CARTESIAN_POINT('Origin',(27.970497,34.194981,0.035)); #489626=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #489627=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #489628=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #489629=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #489630=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #489631=CARTESIAN_POINT('Origin',(27.990838,34.270894,0.035)); #489632=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #489633=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #489634=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #489635=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #489636=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #489637=CARTESIAN_POINT('Origin',(28.017716,34.344744,0.035)); #489638=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #489639=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #489640=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #489641=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #489642=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #489643=CARTESIAN_POINT('Origin',(28.050931,34.415969,0.035)); #489644=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #489645=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #489646=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #489647=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #489648=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #489649=CARTESIAN_POINT('Origin',(28.090225,34.484031,0.035)); #489650=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #489651=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #489652=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #489653=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #489654=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #489655=CARTESIAN_POINT('Origin',(28.135303,34.548406,0.035)); #489656=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #489657=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #489658=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #489659=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #489660=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #489661=CARTESIAN_POINT('Origin',(28.185819,34.608609,0.035)); #489662=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #489663=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #489664=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #489665=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #489666=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #489667=CARTESIAN_POINT('Origin',(28.241391,34.664181,0.035)); #489668=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #489669=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #489670=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #489671=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #489672=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #489673=CARTESIAN_POINT('Origin',(28.301594,34.714697,0.035)); #489674=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #489675=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #489676=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #489677=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #489678=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #489679=CARTESIAN_POINT('Origin',(28.365969,34.759775,0.035)); #489680=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #489681=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #489682=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #489683=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #489684=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #489685=CARTESIAN_POINT('Origin',(28.434031,34.799069,0.035)); #489686=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #489687=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #489688=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #489689=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #489690=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #489691=CARTESIAN_POINT('Origin',(28.505256,34.832284,0.035)); #489692=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #489693=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #489694=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #489695=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #489696=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #489697=CARTESIAN_POINT('Origin',(28.579106,34.859163,0.035)); #489698=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #489699=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #489700=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #489701=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #489702=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #489703=CARTESIAN_POINT('Origin',(28.655019,34.879503,0.035)); #489704=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #489705=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #489706=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #489707=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #489708=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #489709=CARTESIAN_POINT('Origin',(28.732416,34.89315,0.035)); #489710=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #489711=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #489712=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #489713=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #489714=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #489715=CARTESIAN_POINT('Origin',(28.810706,34.9,0.035)); #489716=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #489717=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #489718=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #489719=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #489720=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #489721=CARTESIAN_POINT('Origin',(29.489294,34.9,0.035)); #489722=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #489723=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #489724=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #489725=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #489726=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #489727=CARTESIAN_POINT('Origin',(29.567584,34.89315,0.035)); #489728=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #489729=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #489730=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #489731=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #489732=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #489733=CARTESIAN_POINT('Origin',(29.644981,34.879503,0.035)); #489734=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #489735=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #489736=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #489737=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #489738=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #489739=CARTESIAN_POINT('Origin',(29.720894,34.859163,0.035)); #489740=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #489741=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #489742=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #489743=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #489744=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #489745=CARTESIAN_POINT('Origin',(29.794744,34.832284,0.035)); #489746=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #489747=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #489748=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #489749=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #489750=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #489751=CARTESIAN_POINT('Origin',(29.865969,34.799069,0.035)); #489752=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #489753=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #489754=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #489755=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #489756=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #489757=CARTESIAN_POINT('Origin',(29.934031,34.759775,0.035)); #489758=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #489759=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #489760=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #489761=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #489762=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #489763=CARTESIAN_POINT('Origin',(29.998406,34.714697,0.035)); #489764=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #489765=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #489766=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #489767=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #489768=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #489769=CARTESIAN_POINT('Origin',(30.058609,34.664181,0.035)); #489770=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #489771=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #489772=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #489773=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #489774=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #489775=CARTESIAN_POINT('Origin',(30.114181,34.608609,0.035)); #489776=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #489777=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #489778=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #489779=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #489780=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #489781=CARTESIAN_POINT('Origin',(30.164697,34.548406,0.035)); #489782=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #489783=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #489784=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #489785=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #489786=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #489787=CARTESIAN_POINT('Origin',(30.209775,34.484031,0.035)); #489788=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #489789=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #489790=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #489791=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #489792=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #489793=CARTESIAN_POINT('Origin',(30.249069,34.415969,0.035)); #489794=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #489795=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #489796=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #489797=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #489798=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #489799=CARTESIAN_POINT('Origin',(30.282284,34.344744,0.035)); #489800=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #489801=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #489802=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #489803=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #489804=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #489805=CARTESIAN_POINT('Origin',(30.309163,34.270894,0.035)); #489806=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #489807=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #489808=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #489809=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #489810=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #489811=CARTESIAN_POINT('Origin',(30.329503,34.194981,0.035)); #489812=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #489813=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #489814=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #489815=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #489816=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #489817=CARTESIAN_POINT('Origin',(30.34315,34.117584,0.035)); #489818=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #489819=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #489820=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #489821=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #489822=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #489823=CARTESIAN_POINT('Origin',(30.35,34.039294,0.035)); #489824=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #489825=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #489826=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #489827=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #489828=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #489829=CARTESIAN_POINT('Origin',(30.35,33.960706,0.035)); #489830=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #489831=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #489832=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #489833=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #489834=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #489835=CARTESIAN_POINT('Origin',(30.34315,33.882416,0.035)); #489836=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #489837=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #489838=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #489839=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #489840=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #489841=CARTESIAN_POINT('Origin',(30.329503,33.805019,0.035)); #489842=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #489843=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #489844=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #489845=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #489846=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #489847=CARTESIAN_POINT('Origin',(30.309163,33.729106,0.035)); #489848=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #489849=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #489850=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #489851=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #489852=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #489853=CARTESIAN_POINT('Origin',(30.282284,33.655256,0.035)); #489854=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #489855=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #489856=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #489857=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #489858=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #489859=CARTESIAN_POINT('Origin',(30.249069,33.584031,0.035)); #489860=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #489861=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #489862=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #489863=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #489864=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #489865=CARTESIAN_POINT('Origin',(30.209775,33.515969,0.035)); #489866=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #489867=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #489868=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #489869=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #489870=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #489871=CARTESIAN_POINT('Origin',(30.164697,33.451594,0.035)); #489872=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #489873=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #489874=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #489875=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #489876=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #489877=CARTESIAN_POINT('Origin',(30.114181,33.391391,0.035)); #489878=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #489879=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #489880=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #489881=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #489882=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #489883=CARTESIAN_POINT('Origin',(30.058609,33.335819,0.035)); #489884=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #489885=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #489886=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #489887=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #489888=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #489889=CARTESIAN_POINT('Origin',(29.998406,33.285303,0.035)); #489890=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #489891=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #489892=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #489893=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #489894=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #489895=CARTESIAN_POINT('Origin',(29.934031,33.240225,0.035)); #489896=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #489897=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #489898=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #489899=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #489900=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #489901=CARTESIAN_POINT('Origin',(29.865969,33.200931,0.035)); #489902=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #489903=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #489904=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #489905=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #489906=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #489907=CARTESIAN_POINT('Origin',(29.794744,33.167716,0.035)); #489908=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #489909=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #489910=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #489911=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #489912=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #489913=CARTESIAN_POINT('Origin',(29.720894,33.140838,0.035)); #489914=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #489915=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #489916=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #489917=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #489918=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #489919=CARTESIAN_POINT('Origin',(29.644981,33.120497,0.035)); #489920=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #489921=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #489922=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #489923=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #489924=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #489925=CARTESIAN_POINT('Origin',(29.567584,33.10685,0.035)); #489926=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #489927=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #489928=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.07)); #489929=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.035)); #489930=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #489931=CARTESIAN_POINT('',(43.257,32.73,0.0349999999999895)); #489932=CARTESIAN_POINT('Origin',(43.73,32.73,0.0349999999999895)); #489933=CARTESIAN_POINT('',(43.257,32.73,0.0700000000000145)); #489934=CARTESIAN_POINT('',(43.257,32.73,-200.)); #489935=CARTESIAN_POINT('Origin',(43.73,32.73,0.0700000000000145)); #489936=CARTESIAN_POINT('Origin',(44.045628,31.968,0.035)); #489937=CARTESIAN_POINT('',(44.045628,31.968,0.035)); #489938=CARTESIAN_POINT('',(44.492,32.414372,0.035)); #489939=CARTESIAN_POINT('',(44.045628,31.968,0.035)); #489940=CARTESIAN_POINT('',(44.492,32.414372,0.07)); #489941=CARTESIAN_POINT('',(44.492,32.414372,0.035)); #489942=CARTESIAN_POINT('',(44.045628,31.968,0.07)); #489943=CARTESIAN_POINT('',(44.045628,31.968,0.07)); #489944=CARTESIAN_POINT('',(44.045628,31.968,0.035)); #489945=CARTESIAN_POINT('Origin',(43.414372,31.968,0.035)); #489946=CARTESIAN_POINT('',(43.414372,31.968,0.035)); #489947=CARTESIAN_POINT('',(43.414372,31.968,0.035)); #489948=CARTESIAN_POINT('',(43.414372,31.968,0.07)); #489949=CARTESIAN_POINT('',(43.414372,31.968,0.07)); #489950=CARTESIAN_POINT('',(43.414372,31.968,0.035)); #489951=CARTESIAN_POINT('Origin',(42.968,32.414372,0.035)); #489952=CARTESIAN_POINT('',(42.968,32.414372,0.035)); #489953=CARTESIAN_POINT('',(42.968,32.414372,0.035)); #489954=CARTESIAN_POINT('',(42.968,32.414372,0.07)); #489955=CARTESIAN_POINT('',(42.968,32.414372,0.07)); #489956=CARTESIAN_POINT('',(42.968,32.414372,0.035)); #489957=CARTESIAN_POINT('Origin',(42.968,33.045628,0.035)); #489958=CARTESIAN_POINT('',(42.968,33.045628,0.035)); #489959=CARTESIAN_POINT('',(42.968,33.045628,0.035)); #489960=CARTESIAN_POINT('',(42.968,33.045628,0.07)); #489961=CARTESIAN_POINT('',(42.968,33.045628,0.07)); #489962=CARTESIAN_POINT('',(42.968,33.045628,0.035)); #489963=CARTESIAN_POINT('Origin',(43.414372,33.492,0.035)); #489964=CARTESIAN_POINT('',(43.414372,33.492,0.035)); #489965=CARTESIAN_POINT('',(43.414372,33.492,0.035)); #489966=CARTESIAN_POINT('',(43.414372,33.492,0.07)); #489967=CARTESIAN_POINT('',(43.414372,33.492,0.07)); #489968=CARTESIAN_POINT('',(43.414372,33.492,0.035)); #489969=CARTESIAN_POINT('Origin',(44.045628,33.492,0.035)); #489970=CARTESIAN_POINT('',(44.045628,33.492,0.035)); #489971=CARTESIAN_POINT('',(44.045628,33.492,0.035)); #489972=CARTESIAN_POINT('',(44.045628,33.492,0.07)); #489973=CARTESIAN_POINT('',(44.045628,33.492,0.07)); #489974=CARTESIAN_POINT('',(44.045628,33.492,0.035)); #489975=CARTESIAN_POINT('Origin',(44.492,33.045628,0.035)); #489976=CARTESIAN_POINT('',(44.492,33.045628,0.035)); #489977=CARTESIAN_POINT('',(44.492,33.045628,0.035)); #489978=CARTESIAN_POINT('',(44.492,33.045628,0.07)); #489979=CARTESIAN_POINT('',(44.492,33.045628,0.07)); #489980=CARTESIAN_POINT('',(44.492,33.045628,0.035)); #489981=CARTESIAN_POINT('Origin',(44.492,32.414372,0.035)); #489982=CARTESIAN_POINT('',(44.492,32.414372,0.035)); #489983=CARTESIAN_POINT('',(44.492,32.414372,0.07)); #489984=CARTESIAN_POINT('Origin',(43.73,32.73,0.07)); #489985=CARTESIAN_POINT('Origin',(43.73,32.73,0.035)); #489986=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #489987=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #489988=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #489989=CARTESIAN_POINT('',(31.035,25.25,0.0700000000000145)); #489990=CARTESIAN_POINT('',(31.035,25.25,-200.)); #489991=CARTESIAN_POINT('Origin',(31.5,25.25,0.0700000000000145)); #489992=CARTESIAN_POINT('Origin',(31.536844,24.5,0.035)); #489993=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #489994=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #489995=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #489996=CARTESIAN_POINT('',(31.610181,24.507222,0.07)); #489997=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #489998=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #489999=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #490000=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #490001=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #490002=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #490003=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #490004=CARTESIAN_POINT('',(31.389819,25.992778,0.07)); #490005=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.07)); #490006=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #490007=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #490008=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #490009=CARTESIAN_POINT('Origin',(31.5,25.25,0.07)); #490010=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.07)); #490011=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #490012=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #490013=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #490014=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #490015=CARTESIAN_POINT('',(33.535,25.25,0.0700000000000145)); #490016=CARTESIAN_POINT('',(33.535,25.25,-200.)); #490017=CARTESIAN_POINT('Origin',(34.,25.25,0.0700000000000145)); #490018=CARTESIAN_POINT('Origin',(34.036844,24.5,0.035)); #490019=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #490020=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #490021=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #490022=CARTESIAN_POINT('',(34.110181,24.507222,0.07)); #490023=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #490024=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #490025=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #490026=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #490027=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #490028=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #490029=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #490030=CARTESIAN_POINT('',(33.889819,25.992778,0.07)); #490031=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.07)); #490032=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #490033=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #490034=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #490035=CARTESIAN_POINT('Origin',(34.,25.25,0.07)); #490036=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.07)); #490037=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #490038=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #490039=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #490040=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #490041=CARTESIAN_POINT('',(36.035,25.25,0.0700000000000145)); #490042=CARTESIAN_POINT('',(36.035,25.25,-200.)); #490043=CARTESIAN_POINT('Origin',(36.5,25.25,0.0700000000000145)); #490044=CARTESIAN_POINT('Origin',(36.536844,24.5,0.035)); #490045=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #490046=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #490047=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #490048=CARTESIAN_POINT('',(36.610181,24.507222,0.07)); #490049=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #490050=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #490051=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #490052=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #490053=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #490054=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #490055=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #490056=CARTESIAN_POINT('',(36.389819,25.992778,0.07)); #490057=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.07)); #490058=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #490059=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #490060=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #490061=CARTESIAN_POINT('Origin',(36.5,25.25,0.07)); #490062=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.07)); #490063=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #490064=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #490065=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #490066=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #490067=CARTESIAN_POINT('',(29.625,45.,0.0700000000000145)); #490068=CARTESIAN_POINT('',(29.625,45.,-200.)); #490069=CARTESIAN_POINT('Origin',(30.19,45.,0.0700000000000145)); #490070=CARTESIAN_POINT('Origin',(31.09,44.1,0.035)); #490071=CARTESIAN_POINT('',(31.09,44.1,0.035)); #490072=CARTESIAN_POINT('',(31.09,45.9,0.035)); #490073=CARTESIAN_POINT('',(31.09,44.1,0.035)); #490074=CARTESIAN_POINT('',(31.09,45.9,0.07)); #490075=CARTESIAN_POINT('',(31.09,45.9,0.035)); #490076=CARTESIAN_POINT('',(31.09,44.1,0.07)); #490077=CARTESIAN_POINT('',(31.09,44.1,0.07)); #490078=CARTESIAN_POINT('',(31.09,44.1,0.035)); #490079=CARTESIAN_POINT('Origin',(29.29,44.1,0.035)); #490080=CARTESIAN_POINT('',(29.29,44.1,0.035)); #490081=CARTESIAN_POINT('',(29.29,44.1,0.035)); #490082=CARTESIAN_POINT('',(29.29,44.1,0.07)); #490083=CARTESIAN_POINT('',(29.29,44.1,0.07)); #490084=CARTESIAN_POINT('',(29.29,44.1,0.035)); #490085=CARTESIAN_POINT('Origin',(29.29,45.9,0.035)); #490086=CARTESIAN_POINT('',(29.29,45.9,0.035)); #490087=CARTESIAN_POINT('',(29.29,45.9,0.035)); #490088=CARTESIAN_POINT('',(29.29,45.9,0.07)); #490089=CARTESIAN_POINT('',(29.29,45.9,0.07)); #490090=CARTESIAN_POINT('',(29.29,45.9,0.035)); #490091=CARTESIAN_POINT('Origin',(31.09,45.9,0.035)); #490092=CARTESIAN_POINT('',(31.09,45.9,0.035)); #490093=CARTESIAN_POINT('',(31.09,45.9,0.07)); #490094=CARTESIAN_POINT('Origin',(30.19,45.,0.07)); #490095=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #490096=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #490097=CARTESIAN_POINT('',(45.797,32.73,0.0349999999999895)); #490098=CARTESIAN_POINT('Origin',(46.27,32.73,0.0349999999999895)); #490099=CARTESIAN_POINT('',(45.797,32.73,0.0700000000000145)); #490100=CARTESIAN_POINT('',(45.797,32.73,-200.)); #490101=CARTESIAN_POINT('Origin',(46.27,32.73,0.0700000000000145)); #490102=CARTESIAN_POINT('Origin',(46.585628,31.968,0.035)); #490103=CARTESIAN_POINT('',(46.585628,31.968,0.035)); #490104=CARTESIAN_POINT('',(47.032,32.414372,0.035)); #490105=CARTESIAN_POINT('',(46.585628,31.968,0.035)); #490106=CARTESIAN_POINT('',(47.032,32.414372,0.07)); #490107=CARTESIAN_POINT('',(47.032,32.414372,0.035)); #490108=CARTESIAN_POINT('',(46.585628,31.968,0.07)); #490109=CARTESIAN_POINT('',(46.585628,31.968,0.07)); #490110=CARTESIAN_POINT('',(46.585628,31.968,0.035)); #490111=CARTESIAN_POINT('Origin',(45.954372,31.968,0.035)); #490112=CARTESIAN_POINT('',(45.954372,31.968,0.035)); #490113=CARTESIAN_POINT('',(45.954372,31.968,0.035)); #490114=CARTESIAN_POINT('',(45.954372,31.968,0.07)); #490115=CARTESIAN_POINT('',(45.954372,31.968,0.07)); #490116=CARTESIAN_POINT('',(45.954372,31.968,0.035)); #490117=CARTESIAN_POINT('Origin',(45.508,32.414372,0.035)); #490118=CARTESIAN_POINT('',(45.508,32.414372,0.035)); #490119=CARTESIAN_POINT('',(45.508,32.414372,0.035)); #490120=CARTESIAN_POINT('',(45.508,32.414372,0.07)); #490121=CARTESIAN_POINT('',(45.508,32.414372,0.07)); #490122=CARTESIAN_POINT('',(45.508,32.414372,0.035)); #490123=CARTESIAN_POINT('Origin',(45.508,33.045628,0.035)); #490124=CARTESIAN_POINT('',(45.508,33.045628,0.035)); #490125=CARTESIAN_POINT('',(45.508,33.045628,0.035)); #490126=CARTESIAN_POINT('',(45.508,33.045628,0.07)); #490127=CARTESIAN_POINT('',(45.508,33.045628,0.07)); #490128=CARTESIAN_POINT('',(45.508,33.045628,0.035)); #490129=CARTESIAN_POINT('Origin',(45.954372,33.492,0.035)); #490130=CARTESIAN_POINT('',(45.954372,33.492,0.035)); #490131=CARTESIAN_POINT('',(45.954372,33.492,0.035)); #490132=CARTESIAN_POINT('',(45.954372,33.492,0.07)); #490133=CARTESIAN_POINT('',(45.954372,33.492,0.07)); #490134=CARTESIAN_POINT('',(45.954372,33.492,0.035)); #490135=CARTESIAN_POINT('Origin',(46.585628,33.492,0.035)); #490136=CARTESIAN_POINT('',(46.585628,33.492,0.035)); #490137=CARTESIAN_POINT('',(46.585628,33.492,0.035)); #490138=CARTESIAN_POINT('',(46.585628,33.492,0.07)); #490139=CARTESIAN_POINT('',(46.585628,33.492,0.07)); #490140=CARTESIAN_POINT('',(46.585628,33.492,0.035)); #490141=CARTESIAN_POINT('Origin',(47.032,33.045628,0.035)); #490142=CARTESIAN_POINT('',(47.032,33.045628,0.035)); #490143=CARTESIAN_POINT('',(47.032,33.045628,0.035)); #490144=CARTESIAN_POINT('',(47.032,33.045628,0.07)); #490145=CARTESIAN_POINT('',(47.032,33.045628,0.07)); #490146=CARTESIAN_POINT('',(47.032,33.045628,0.035)); #490147=CARTESIAN_POINT('Origin',(47.032,32.414372,0.035)); #490148=CARTESIAN_POINT('',(47.032,32.414372,0.035)); #490149=CARTESIAN_POINT('',(47.032,32.414372,0.07)); #490150=CARTESIAN_POINT('Origin',(46.27,32.73,0.07)); #490151=CARTESIAN_POINT('Origin',(46.27,32.73,0.035)); #490152=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #490153=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #490154=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #490155=CARTESIAN_POINT('',(36.035,27.75,0.0700000000000145)); #490156=CARTESIAN_POINT('',(36.035,27.75,-200.)); #490157=CARTESIAN_POINT('Origin',(36.5,27.75,0.0700000000000145)); #490158=CARTESIAN_POINT('Origin',(36.536844,27.,0.035)); #490159=CARTESIAN_POINT('',(36.536844,27.,0.035)); #490160=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #490161=CARTESIAN_POINT('',(36.536844,27.,0.035)); #490162=CARTESIAN_POINT('',(36.610181,27.007222,0.07)); #490163=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #490164=CARTESIAN_POINT('',(36.536844,27.,0.07)); #490165=CARTESIAN_POINT('',(36.536844,27.,0.07)); #490166=CARTESIAN_POINT('',(36.536844,27.,0.035)); #490167=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #490168=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #490169=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #490170=CARTESIAN_POINT('',(36.389819,28.492778,0.07)); #490171=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.07)); #490172=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #490173=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #490174=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #490175=CARTESIAN_POINT('Origin',(36.5,27.75,0.07)); #490176=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.07)); #490177=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #490178=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #490179=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #490180=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #490181=CARTESIAN_POINT('',(51.785,34.,0.0700000000000145)); #490182=CARTESIAN_POINT('',(51.785,34.,-200.)); #490183=CARTESIAN_POINT('Origin',(52.25,34.,0.0700000000000145)); #490184=CARTESIAN_POINT('Origin',(52.286844,33.25,0.035)); #490185=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #490186=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #490187=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #490188=CARTESIAN_POINT('',(52.360181,33.257222,0.07)); #490189=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #490190=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #490191=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #490192=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #490193=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #490194=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #490195=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #490196=CARTESIAN_POINT('',(52.139819,34.742778,0.07)); #490197=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.07)); #490198=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #490199=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #490200=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #490201=CARTESIAN_POINT('Origin',(52.25,34.,0.07)); #490202=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.07)); #490203=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.035)); #490204=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.035)); #490205=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #490206=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #490207=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #490208=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #490209=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #490210=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #490211=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #490212=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #490213=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.035)); #490214=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #490215=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #490216=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #490217=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #490218=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #490219=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.035)); #490220=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #490221=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #490222=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #490223=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #490224=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #490225=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.035)); #490226=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #490227=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #490228=CARTESIAN_POINT('Origin',(30.6,18.25,0.07)); #490229=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #490230=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.035)); #490231=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #490232=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #490233=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #490234=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #490235=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #490236=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #490237=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #490238=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #490239=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.035)); #490240=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #490241=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #490242=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #490243=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #490244=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #490245=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.035)); #490246=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #490247=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #490248=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #490249=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #490250=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #490251=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.035)); #490252=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #490253=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #490254=CARTESIAN_POINT('Origin',(37.4,15.25,0.07)); #490255=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #490256=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.035)); #490257=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #490258=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #490259=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #490260=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #490261=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #490262=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #490263=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #490264=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #490265=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.035)); #490266=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #490267=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #490268=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #490269=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #490270=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #490271=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.035)); #490272=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #490273=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #490274=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #490275=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #490276=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #490277=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.035)); #490278=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #490279=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #490280=CARTESIAN_POINT('Origin',(36.75,13.6,0.07)); #490281=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #490282=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.035)); #490283=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #490284=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #490285=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #490286=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #490287=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #490288=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #490289=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #490290=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #490291=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.035)); #490292=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #490293=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #490294=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #490295=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #490296=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #490297=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.035)); #490298=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #490299=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #490300=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #490301=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #490302=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #490303=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.035)); #490304=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #490305=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #490306=CARTESIAN_POINT('Origin',(37.4,16.75,0.07)); #490307=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #490308=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.035)); #490309=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #490310=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #490311=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #490312=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #490313=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #490314=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #490315=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #490316=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #490317=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.035)); #490318=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #490319=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #490320=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #490321=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #490322=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #490323=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.035)); #490324=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #490325=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #490326=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #490327=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #490328=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #490329=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.035)); #490330=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #490331=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #490332=CARTESIAN_POINT('Origin',(34.75,13.6,0.07)); #490333=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #490334=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.035)); #490335=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #490336=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #490337=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #490338=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #490339=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #490340=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #490341=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #490342=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #490343=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.035)); #490344=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #490345=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #490346=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #490347=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #490348=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #490349=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.035)); #490350=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #490351=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #490352=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #490353=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #490354=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #490355=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.035)); #490356=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #490357=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #490358=CARTESIAN_POINT('Origin',(37.4,16.25,0.07)); #490359=CARTESIAN_POINT('Origin',(37.4,16.25,0.035)); #490360=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.035)); #490361=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #490362=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #490363=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #490364=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #490365=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #490366=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #490367=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #490368=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #490369=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.035)); #490370=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #490371=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #490372=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #490373=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #490374=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #490375=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.035)); #490376=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #490377=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #490378=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #490379=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #490380=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #490381=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.035)); #490382=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #490383=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #490384=CARTESIAN_POINT('Origin',(35.25,13.6,0.07)); #490385=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #490386=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.035)); #490387=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #490388=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #490389=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #490390=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #490391=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #490392=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #490393=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #490394=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #490395=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.035)); #490396=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #490397=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #490398=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #490399=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #490400=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #490401=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.035)); #490402=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #490403=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #490404=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #490405=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #490406=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #490407=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.035)); #490408=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #490409=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #490410=CARTESIAN_POINT('Origin',(37.4,17.25,0.07)); #490411=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #490412=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.035)); #490413=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #490414=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #490415=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #490416=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #490417=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #490418=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #490419=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #490420=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #490421=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.035)); #490422=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #490423=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #490424=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #490425=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #490426=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #490427=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.035)); #490428=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #490429=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #490430=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #490431=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #490432=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #490433=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.035)); #490434=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #490435=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #490436=CARTESIAN_POINT('Origin',(37.4,14.75,0.07)); #490437=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #490438=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.035)); #490439=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #490440=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #490441=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #490442=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #490443=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #490444=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #490445=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #490446=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #490447=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.035)); #490448=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #490449=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #490450=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #490451=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #490452=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #490453=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.035)); #490454=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #490455=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #490456=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #490457=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #490458=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #490459=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.035)); #490460=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #490461=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #490462=CARTESIAN_POINT('Origin',(30.6,16.75,0.07)); #490463=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #490464=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.035)); #490465=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #490466=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #490467=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #490468=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #490469=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #490470=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #490471=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #490472=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #490473=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.035)); #490474=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #490475=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #490476=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #490477=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #490478=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #490479=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.035)); #490480=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #490481=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #490482=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #490483=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #490484=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #490485=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.035)); #490486=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #490487=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #490488=CARTESIAN_POINT('Origin',(37.4,14.25,0.07)); #490489=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #490490=CARTESIAN_POINT('Origin',(12.6571,50.0555,0.035)); #490491=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #490492=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #490493=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #490494=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #490495=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #490496=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #490497=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #490498=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #490499=CARTESIAN_POINT('Origin',(11.8189,50.0555,0.035)); #490500=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #490501=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #490502=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #490503=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #490504=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #490505=CARTESIAN_POINT('Origin',(11.8189,50.9445,0.035)); #490506=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #490507=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #490508=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #490509=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #490510=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #490511=CARTESIAN_POINT('Origin',(12.6571,50.9445,0.035)); #490512=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #490513=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #490514=CARTESIAN_POINT('Origin',(12.238,50.5,0.07)); #490515=CARTESIAN_POINT('Origin',(12.238,50.5,0.035)); #490516=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.035)); #490517=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #490518=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #490519=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #490520=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #490521=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #490522=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #490523=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #490524=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #490525=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.035)); #490526=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #490527=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #490528=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #490529=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #490530=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #490531=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.035)); #490532=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #490533=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #490534=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #490535=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #490536=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #490537=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.035)); #490538=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #490539=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #490540=CARTESIAN_POINT('Origin',(30.6,19.25,0.07)); #490541=CARTESIAN_POINT('Origin',(30.6,19.25,0.035)); #490542=CARTESIAN_POINT('Origin',(16.3622,24.6665,0.035)); #490543=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #490544=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #490545=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #490546=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #490547=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #490548=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #490549=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #490550=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #490551=CARTESIAN_POINT('Origin',(14.6858,24.6665,0.035)); #490552=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #490553=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #490554=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #490555=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #490556=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #490557=CARTESIAN_POINT('Origin',(14.6858,27.3335,0.035)); #490558=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #490559=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #490560=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #490561=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #490562=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #490563=CARTESIAN_POINT('Origin',(16.3622,27.3335,0.035)); #490564=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #490565=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #490566=CARTESIAN_POINT('Origin',(15.524,26.,0.07)); #490567=CARTESIAN_POINT('Origin',(15.524,26.,0.035)); #490568=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #490569=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #490570=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #490571=CARTESIAN_POINT('',(15.86,20.25,0.0700000000000145)); #490572=CARTESIAN_POINT('',(15.86,20.25,-200.)); #490573=CARTESIAN_POINT('Origin',(16.,20.25,0.0700000000000145)); #490574=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #490575=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #490576=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #490577=CARTESIAN_POINT('',(15.11,21.,0.0700000000000145)); #490578=CARTESIAN_POINT('',(15.11,21.,-200.)); #490579=CARTESIAN_POINT('Origin',(15.25,21.,0.0700000000000145)); #490580=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #490581=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #490582=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #490583=CARTESIAN_POINT('',(15.11,20.25,0.0700000000000145)); #490584=CARTESIAN_POINT('',(15.11,20.25,-200.)); #490585=CARTESIAN_POINT('Origin',(15.25,20.25,0.0700000000000145)); #490586=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #490587=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #490588=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #490589=CARTESIAN_POINT('',(15.11,21.75,0.0700000000000145)); #490590=CARTESIAN_POINT('',(15.11,21.75,-200.)); #490591=CARTESIAN_POINT('Origin',(15.25,21.75,0.0700000000000145)); #490592=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #490593=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #490594=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #490595=CARTESIAN_POINT('',(15.86,21.75,0.0700000000000145)); #490596=CARTESIAN_POINT('',(15.86,21.75,-200.)); #490597=CARTESIAN_POINT('Origin',(16.,21.75,0.0700000000000145)); #490598=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #490599=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #490600=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #490601=CARTESIAN_POINT('',(15.86,21.,0.0700000000000145)); #490602=CARTESIAN_POINT('',(15.86,21.,-200.)); #490603=CARTESIAN_POINT('Origin',(16.,21.,0.0700000000000145)); #490604=CARTESIAN_POINT('Origin',(16.3622,19.6665,0.035)); #490605=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #490606=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #490607=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #490608=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #490609=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #490610=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #490611=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #490612=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #490613=CARTESIAN_POINT('Origin',(14.6858,19.6665,0.035)); #490614=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #490615=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #490616=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #490617=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #490618=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #490619=CARTESIAN_POINT('Origin',(14.6858,22.3335,0.035)); #490620=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #490621=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #490622=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #490623=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #490624=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #490625=CARTESIAN_POINT('Origin',(16.3622,22.3335,0.035)); #490626=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #490627=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #490628=CARTESIAN_POINT('Origin',(15.524,21.,0.07)); #490629=CARTESIAN_POINT('Origin',(15.524,21.,0.035)); #490630=CARTESIAN_POINT('Origin',(14.3142,46.1745,0.035)); #490631=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #490632=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #490633=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #490634=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #490635=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #490636=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #490637=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #490638=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #490639=CARTESIAN_POINT('Origin',(12.6378,46.1745,0.035)); #490640=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #490641=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #490642=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #490643=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #490644=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #490645=CARTESIAN_POINT('Origin',(12.6378,47.8255,0.035)); #490646=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #490647=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #490648=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #490649=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #490650=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #490651=CARTESIAN_POINT('Origin',(14.3142,47.8255,0.035)); #490652=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #490653=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #490654=CARTESIAN_POINT('Origin',(13.476,47.,0.07)); #490655=CARTESIAN_POINT('Origin',(13.476,47.,0.035)); #490656=CARTESIAN_POINT('Origin',(22.6571,25.5555,0.035)); #490657=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #490658=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #490659=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #490660=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #490661=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #490662=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #490663=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #490664=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #490665=CARTESIAN_POINT('Origin',(21.8189,25.5555,0.035)); #490666=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #490667=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #490668=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #490669=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #490670=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #490671=CARTESIAN_POINT('Origin',(21.8189,26.4445,0.035)); #490672=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #490673=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #490674=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #490675=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #490676=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #490677=CARTESIAN_POINT('Origin',(22.6571,26.4445,0.035)); #490678=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #490679=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #490680=CARTESIAN_POINT('Origin',(22.238,26.,0.07)); #490681=CARTESIAN_POINT('Origin',(22.238,26.,0.035)); #490682=CARTESIAN_POINT('Origin',(26.1811,14.5555,0.035)); #490683=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #490684=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #490685=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #490686=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #490687=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #490688=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #490689=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #490690=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #490691=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.035)); #490692=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #490693=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #490694=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #490695=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #490696=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #490697=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.035)); #490698=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #490699=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #490700=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #490701=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #490702=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #490703=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.035)); #490704=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #490705=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #490706=CARTESIAN_POINT('Origin',(25.762,15.,0.07)); #490707=CARTESIAN_POINT('Origin',(25.762,15.,0.035)); #490708=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.035)); #490709=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #490710=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #490711=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #490712=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #490713=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #490714=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #490715=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #490716=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #490717=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.035)); #490718=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #490719=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #490720=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #490721=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #490722=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #490723=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.035)); #490724=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #490725=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #490726=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #490727=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #490728=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #490729=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.035)); #490730=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #490731=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #490732=CARTESIAN_POINT('Origin',(35.75,13.6,0.07)); #490733=CARTESIAN_POINT('Origin',(35.75,13.6,0.035)); #490734=CARTESIAN_POINT('Origin',(26.1811,20.5555,0.035)); #490735=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #490736=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #490737=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #490738=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #490739=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #490740=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #490741=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #490742=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #490743=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.035)); #490744=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #490745=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #490746=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #490747=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #490748=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #490749=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.035)); #490750=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #490751=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #490752=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #490753=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #490754=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #490755=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.035)); #490756=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #490757=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #490758=CARTESIAN_POINT('Origin',(25.762,21.,0.07)); #490759=CARTESIAN_POINT('Origin',(25.762,21.,0.035)); #490760=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.035)); #490761=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #490762=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #490763=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #490764=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #490765=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #490766=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #490767=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #490768=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #490769=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.035)); #490770=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #490771=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #490772=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #490773=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #490774=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #490775=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.035)); #490776=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #490777=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #490778=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #490779=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #490780=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #490781=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.035)); #490782=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #490783=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #490784=CARTESIAN_POINT('Origin',(30.6,15.75,0.07)); #490785=CARTESIAN_POINT('Origin',(30.6,15.75,0.035)); #490786=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.035)); #490787=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #490788=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #490789=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #490790=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #490791=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #490792=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #490793=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #490794=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #490795=CARTESIAN_POINT('Origin',(38.3429,37.5555,0.035)); #490796=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #490797=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #490798=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #490799=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #490800=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #490801=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.035)); #490802=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #490803=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #490804=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #490805=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #490806=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #490807=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.035)); #490808=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #490809=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #490810=CARTESIAN_POINT('Origin',(38.762,38.,0.07)); #490811=CARTESIAN_POINT('Origin',(38.762,38.,0.035)); #490812=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.035)); #490813=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #490814=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #490815=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #490816=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #490817=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #490818=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #490819=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #490820=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #490821=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.035)); #490822=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #490823=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #490824=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #490825=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #490826=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #490827=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.035)); #490828=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #490829=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #490830=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #490831=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #490832=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #490833=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.035)); #490834=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #490835=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #490836=CARTESIAN_POINT('Origin',(34.75,20.4,0.07)); #490837=CARTESIAN_POINT('Origin',(34.75,20.4,0.035)); #490838=CARTESIAN_POINT('Origin',(36.9967,30.4031,0.035)); #490839=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #490840=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #490841=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #490842=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #490843=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #490844=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #490845=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #490846=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #490847=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.035)); #490848=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #490849=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #490850=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #490851=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #490852=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #490853=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.035)); #490854=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #490855=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #490856=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #490857=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #490858=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #490859=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.035)); #490860=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #490861=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #490862=CARTESIAN_POINT('Origin',(36.3998,31.,0.07)); #490863=CARTESIAN_POINT('Origin',(36.3998,31.,0.035)); #490864=CARTESIAN_POINT('Origin',(29.6571,37.5555,0.035)); #490865=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #490866=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #490867=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #490868=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #490869=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #490870=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #490871=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #490872=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #490873=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.035)); #490874=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #490875=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #490876=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #490877=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #490878=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #490879=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.035)); #490880=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #490881=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #490882=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #490883=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #490884=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #490885=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.035)); #490886=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #490887=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #490888=CARTESIAN_POINT('Origin',(29.238,38.,0.07)); #490889=CARTESIAN_POINT('Origin',(29.238,38.,0.035)); #490890=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.035)); #490891=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #490892=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #490893=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #490894=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #490895=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #490896=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #490897=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #490898=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #490899=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.035)); #490900=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #490901=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #490902=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #490903=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #490904=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #490905=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.035)); #490906=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #490907=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #490908=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #490909=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #490910=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #490911=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.035)); #490912=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #490913=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #490914=CARTESIAN_POINT('Origin',(32.25,20.4,0.07)); #490915=CARTESIAN_POINT('Origin',(32.25,20.4,0.035)); #490916=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.035)); #490917=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #490918=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #490919=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #490920=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #490921=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #490922=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #490923=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #490924=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #490925=CARTESIAN_POINT('Origin',(31.0033,30.4031,0.035)); #490926=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #490927=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #490928=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #490929=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #490930=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #490931=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.035)); #490932=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #490933=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #490934=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #490935=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #490936=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #490937=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.035)); #490938=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #490939=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #490940=CARTESIAN_POINT('Origin',(31.6002,31.,0.07)); #490941=CARTESIAN_POINT('Origin',(31.6002,31.,0.035)); #490942=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.035)); #490943=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #490944=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #490945=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #490946=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #490947=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #490948=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #490949=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #490950=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #490951=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.035)); #490952=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #490953=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #490954=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #490955=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #490956=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #490957=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.035)); #490958=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #490959=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #490960=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #490961=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #490962=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #490963=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.035)); #490964=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #490965=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #490966=CARTESIAN_POINT('Origin',(33.25,13.6,0.07)); #490967=CARTESIAN_POINT('Origin',(33.25,13.6,0.035)); #490968=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.035)); #490969=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #490970=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #490971=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #490972=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #490973=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #490974=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #490975=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #490976=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #490977=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.035)); #490978=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #490979=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #490980=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #490981=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #490982=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #490983=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.035)); #490984=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #490985=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #490986=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #490987=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #490988=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #490989=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.035)); #490990=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #490991=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #490992=CARTESIAN_POINT('Origin',(31.75,13.6,0.07)); #490993=CARTESIAN_POINT('Origin',(31.75,13.6,0.035)); #490994=CARTESIAN_POINT('Origin',(33.6571,59.8055,0.035)); #490995=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #490996=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #490997=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #490998=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #490999=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #491000=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #491001=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #491002=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #491003=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.035)); #491004=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #491005=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #491006=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #491007=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #491008=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #491009=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.035)); #491010=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #491011=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #491012=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #491013=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #491014=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #491015=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.035)); #491016=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #491017=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #491018=CARTESIAN_POINT('Origin',(33.238,60.25,0.07)); #491019=CARTESIAN_POINT('Origin',(33.238,60.25,0.035)); #491020=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.035)); #491021=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #491022=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #491023=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #491024=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #491025=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #491026=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #491027=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #491028=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #491029=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.035)); #491030=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #491031=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #491032=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #491033=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #491034=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #491035=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.035)); #491036=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #491037=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #491038=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #491039=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #491040=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #491041=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.035)); #491042=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #491043=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #491044=CARTESIAN_POINT('Origin',(34.25,13.6,0.07)); #491045=CARTESIAN_POINT('Origin',(34.25,13.6,0.035)); #491046=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.035)); #491047=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #491048=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #491049=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #491050=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #491051=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #491052=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #491053=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #491054=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #491055=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.035)); #491056=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #491057=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #491058=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #491059=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #491060=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #491061=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.035)); #491062=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #491063=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #491064=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #491065=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #491066=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #491067=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.035)); #491068=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #491069=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #491070=CARTESIAN_POINT('Origin',(32.75,13.6,0.07)); #491071=CARTESIAN_POINT('Origin',(32.75,13.6,0.035)); #491072=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.035)); #491073=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #491074=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #491075=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #491076=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #491077=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #491078=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #491079=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #491080=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #491081=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.035)); #491082=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #491083=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #491084=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #491085=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #491086=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #491087=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.035)); #491088=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #491089=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #491090=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #491091=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #491092=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #491093=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.035)); #491094=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #491095=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #491096=CARTESIAN_POINT('Origin',(32.25,13.6,0.07)); #491097=CARTESIAN_POINT('Origin',(32.25,13.6,0.035)); #491098=CARTESIAN_POINT('Origin',(22.1811,20.5555,0.035)); #491099=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #491100=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #491101=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #491102=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #491103=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #491104=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #491105=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #491106=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #491107=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.035)); #491108=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #491109=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #491110=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #491111=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #491112=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #491113=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.035)); #491114=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #491115=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #491116=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #491117=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #491118=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #491119=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.035)); #491120=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #491121=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #491122=CARTESIAN_POINT('Origin',(21.762,21.,0.07)); #491123=CARTESIAN_POINT('Origin',(21.762,21.,0.035)); #491124=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.035)); #491125=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #491126=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #491127=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #491128=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #491129=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #491130=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #491131=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #491132=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #491133=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.035)); #491134=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #491135=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #491136=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #491137=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #491138=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #491139=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.035)); #491140=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #491141=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #491142=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #491143=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #491144=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #491145=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.035)); #491146=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #491147=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #491148=CARTESIAN_POINT('Origin',(30.6,15.25,0.07)); #491149=CARTESIAN_POINT('Origin',(30.6,15.25,0.035)); #491150=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.035)); #491151=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #491152=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #491153=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #491154=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #491155=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #491156=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #491157=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #491158=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #491159=CARTESIAN_POINT('Origin',(36.8189,59.8055,0.035)); #491160=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #491161=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #491162=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #491163=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #491164=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #491165=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.035)); #491166=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #491167=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #491168=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #491169=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #491170=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #491171=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.035)); #491172=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #491173=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #491174=CARTESIAN_POINT('Origin',(37.238,60.25,0.07)); #491175=CARTESIAN_POINT('Origin',(37.238,60.25,0.035)); #491176=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.035)); #491177=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #491178=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #491179=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #491180=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #491181=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #491182=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #491183=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #491184=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #491185=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.035)); #491186=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #491187=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #491188=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #491189=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #491190=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #491191=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.035)); #491192=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #491193=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #491194=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #491195=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #491196=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #491197=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.035)); #491198=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #491199=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #491200=CARTESIAN_POINT('Origin',(37.4,15.75,0.07)); #491201=CARTESIAN_POINT('Origin',(37.4,15.75,0.035)); #491202=CARTESIAN_POINT('Origin',(40.4311,56.0555,0.035)); #491203=CARTESIAN_POINT('',(40.4311,56.0555,0.035)); #491204=CARTESIAN_POINT('',(40.4311,56.9445,0.035)); #491205=CARTESIAN_POINT('',(40.4311,56.0555,0.035)); #491206=CARTESIAN_POINT('',(40.4311,56.9445,0.07)); #491207=CARTESIAN_POINT('',(40.4311,56.9445,0.035)); #491208=CARTESIAN_POINT('',(40.4311,56.0555,0.07)); #491209=CARTESIAN_POINT('',(40.4311,56.0555,0.07)); #491210=CARTESIAN_POINT('',(40.4311,56.0555,0.035)); #491211=CARTESIAN_POINT('Origin',(39.5929,56.0555,0.035)); #491212=CARTESIAN_POINT('',(39.5929,56.0555,0.035)); #491213=CARTESIAN_POINT('',(39.5929,56.0555,0.035)); #491214=CARTESIAN_POINT('',(39.5929,56.0555,0.07)); #491215=CARTESIAN_POINT('',(39.5929,56.0555,0.07)); #491216=CARTESIAN_POINT('',(39.5929,56.0555,0.035)); #491217=CARTESIAN_POINT('Origin',(39.5929,56.9445,0.035)); #491218=CARTESIAN_POINT('',(39.5929,56.9445,0.035)); #491219=CARTESIAN_POINT('',(39.5929,56.9445,0.035)); #491220=CARTESIAN_POINT('',(39.5929,56.9445,0.07)); #491221=CARTESIAN_POINT('',(39.5929,56.9445,0.07)); #491222=CARTESIAN_POINT('',(39.5929,56.9445,0.035)); #491223=CARTESIAN_POINT('Origin',(40.4311,56.9445,0.035)); #491224=CARTESIAN_POINT('',(40.4311,56.9445,0.035)); #491225=CARTESIAN_POINT('',(40.4311,56.9445,0.07)); #491226=CARTESIAN_POINT('Origin',(40.012,56.5,0.07)); #491227=CARTESIAN_POINT('Origin',(40.012,56.5,0.035)); #491228=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #491229=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #491230=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #491231=CARTESIAN_POINT('',(12.61,20.25,0.0700000000000145)); #491232=CARTESIAN_POINT('',(12.61,20.25,-200.)); #491233=CARTESIAN_POINT('Origin',(12.75,20.25,0.0700000000000145)); #491234=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #491235=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #491236=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #491237=CARTESIAN_POINT('',(12.61,21.75,0.0700000000000145)); #491238=CARTESIAN_POINT('',(12.61,21.75,-200.)); #491239=CARTESIAN_POINT('Origin',(12.75,21.75,0.0700000000000145)); #491240=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #491241=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #491242=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #491243=CARTESIAN_POINT('',(12.61,21.,0.0700000000000145)); #491244=CARTESIAN_POINT('',(12.61,21.,-200.)); #491245=CARTESIAN_POINT('Origin',(12.75,21.,0.0700000000000145)); #491246=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #491247=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #491248=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #491249=CARTESIAN_POINT('',(11.86,21.,0.0700000000000145)); #491250=CARTESIAN_POINT('',(11.86,21.,-200.)); #491251=CARTESIAN_POINT('Origin',(12.,21.,0.0700000000000145)); #491252=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #491253=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #491254=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #491255=CARTESIAN_POINT('',(11.86,20.25,0.0700000000000145)); #491256=CARTESIAN_POINT('',(11.86,20.25,-200.)); #491257=CARTESIAN_POINT('Origin',(12.,20.25,0.0700000000000145)); #491258=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #491259=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #491260=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #491261=CARTESIAN_POINT('',(11.86,21.75,0.0700000000000145)); #491262=CARTESIAN_POINT('',(11.86,21.75,-200.)); #491263=CARTESIAN_POINT('Origin',(12.,21.75,0.0700000000000145)); #491264=CARTESIAN_POINT('Origin',(13.3142,19.6665,0.035)); #491265=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #491266=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #491267=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #491268=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #491269=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #491270=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #491271=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #491272=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #491273=CARTESIAN_POINT('Origin',(11.6378,19.6665,0.035)); #491274=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #491275=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #491276=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #491277=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #491278=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #491279=CARTESIAN_POINT('Origin',(11.6378,22.3335,0.035)); #491280=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #491281=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #491282=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #491283=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #491284=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #491285=CARTESIAN_POINT('Origin',(13.3142,22.3335,0.035)); #491286=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #491287=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #491288=CARTESIAN_POINT('Origin',(12.476,21.,0.07)); #491289=CARTESIAN_POINT('Origin',(12.476,21.,0.035)); #491290=CARTESIAN_POINT('Origin',(21.4445,29.3429,0.035)); #491291=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #491292=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #491293=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #491294=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #491295=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #491296=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #491297=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #491298=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #491299=CARTESIAN_POINT('Origin',(20.5555,29.3429,0.035)); #491300=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #491301=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #491302=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #491303=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #491304=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #491305=CARTESIAN_POINT('Origin',(20.5555,30.1811,0.035)); #491306=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #491307=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #491308=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #491309=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #491310=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #491311=CARTESIAN_POINT('Origin',(21.4445,30.1811,0.035)); #491312=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #491313=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #491314=CARTESIAN_POINT('Origin',(21.,29.762,0.07)); #491315=CARTESIAN_POINT('Origin',(21.,29.762,0.035)); #491316=CARTESIAN_POINT('Origin',(24.6571,14.5555,0.035)); #491317=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #491318=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #491319=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #491320=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #491321=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #491322=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #491323=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #491324=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #491325=CARTESIAN_POINT('Origin',(23.8189,14.5555,0.035)); #491326=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #491327=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #491328=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #491329=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #491330=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #491331=CARTESIAN_POINT('Origin',(23.8189,15.4445,0.035)); #491332=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #491333=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #491334=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #491335=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #491336=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #491337=CARTESIAN_POINT('Origin',(24.6571,15.4445,0.035)); #491338=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #491339=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #491340=CARTESIAN_POINT('Origin',(24.238,15.,0.07)); #491341=CARTESIAN_POINT('Origin',(24.238,15.,0.035)); #491342=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #491343=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #491344=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #491345=CARTESIAN_POINT('',(55.86,21.,0.0700000000000145)); #491346=CARTESIAN_POINT('',(55.86,21.,-200.)); #491347=CARTESIAN_POINT('Origin',(56.,21.,0.0700000000000145)); #491348=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #491349=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #491350=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #491351=CARTESIAN_POINT('',(55.86,21.75,0.0700000000000145)); #491352=CARTESIAN_POINT('',(55.86,21.75,-200.)); #491353=CARTESIAN_POINT('Origin',(56.,21.75,0.0700000000000145)); #491354=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #491355=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #491356=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #491357=CARTESIAN_POINT('',(55.11,21.75,0.0700000000000145)); #491358=CARTESIAN_POINT('',(55.11,21.75,-200.)); #491359=CARTESIAN_POINT('Origin',(55.25,21.75,0.0700000000000145)); #491360=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #491361=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #491362=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #491363=CARTESIAN_POINT('',(55.11,21.,0.0700000000000145)); #491364=CARTESIAN_POINT('',(55.11,21.,-200.)); #491365=CARTESIAN_POINT('Origin',(55.25,21.,0.0700000000000145)); #491366=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #491367=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #491368=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #491369=CARTESIAN_POINT('',(55.11,20.25,0.0700000000000145)); #491370=CARTESIAN_POINT('',(55.11,20.25,-200.)); #491371=CARTESIAN_POINT('Origin',(55.25,20.25,0.0700000000000145)); #491372=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #491373=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #491374=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #491375=CARTESIAN_POINT('',(55.86,20.25,0.0700000000000145)); #491376=CARTESIAN_POINT('',(55.86,20.25,-200.)); #491377=CARTESIAN_POINT('Origin',(56.,20.25,0.0700000000000145)); #491378=CARTESIAN_POINT('Origin',(56.3622,19.6665,0.035)); #491379=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #491380=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #491381=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #491382=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #491383=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #491384=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #491385=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #491386=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #491387=CARTESIAN_POINT('Origin',(54.6858,19.6665,0.035)); #491388=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #491389=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #491390=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #491391=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #491392=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #491393=CARTESIAN_POINT('Origin',(54.6858,22.3335,0.035)); #491394=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #491395=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #491396=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #491397=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #491398=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #491399=CARTESIAN_POINT('Origin',(56.3622,22.3335,0.035)); #491400=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #491401=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #491402=CARTESIAN_POINT('Origin',(55.524,21.,0.07)); #491403=CARTESIAN_POINT('Origin',(55.524,21.,0.035)); #491404=CARTESIAN_POINT('Origin',(47.3622,11.4245,0.035)); #491405=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #491406=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #491407=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #491408=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #491409=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #491410=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #491411=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #491412=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #491413=CARTESIAN_POINT('Origin',(45.6858,11.4245,0.035)); #491414=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #491415=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #491416=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #491417=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #491418=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #491419=CARTESIAN_POINT('Origin',(45.6858,13.0755,0.035)); #491420=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #491421=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #491422=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #491423=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #491424=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #491425=CARTESIAN_POINT('Origin',(47.3622,13.0755,0.035)); #491426=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #491427=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #491428=CARTESIAN_POINT('Origin',(46.524,12.25,0.07)); #491429=CARTESIAN_POINT('Origin',(46.524,12.25,0.035)); #491430=CARTESIAN_POINT('Origin',(36.286,53.6745,0.035)); #491431=CARTESIAN_POINT('',(36.286,53.6745,0.035)); #491432=CARTESIAN_POINT('',(36.286,55.3255,0.035)); #491433=CARTESIAN_POINT('',(36.286,53.6745,0.035)); #491434=CARTESIAN_POINT('',(36.286,55.3255,0.07)); #491435=CARTESIAN_POINT('',(36.286,55.3255,0.035)); #491436=CARTESIAN_POINT('',(36.286,53.6745,0.07)); #491437=CARTESIAN_POINT('',(36.286,53.6745,0.07)); #491438=CARTESIAN_POINT('',(36.286,53.6745,0.035)); #491439=CARTESIAN_POINT('Origin',(35.016,53.6745,0.035)); #491440=CARTESIAN_POINT('',(35.016,53.6745,0.035)); #491441=CARTESIAN_POINT('',(35.016,53.6745,0.035)); #491442=CARTESIAN_POINT('',(35.016,53.6745,0.07)); #491443=CARTESIAN_POINT('',(35.016,53.6745,0.07)); #491444=CARTESIAN_POINT('',(35.016,53.6745,0.035)); #491445=CARTESIAN_POINT('Origin',(35.016,55.3255,0.035)); #491446=CARTESIAN_POINT('',(35.016,55.3255,0.035)); #491447=CARTESIAN_POINT('',(35.016,55.3255,0.035)); #491448=CARTESIAN_POINT('',(35.016,55.3255,0.07)); #491449=CARTESIAN_POINT('',(35.016,55.3255,0.07)); #491450=CARTESIAN_POINT('',(35.016,55.3255,0.035)); #491451=CARTESIAN_POINT('Origin',(36.286,55.3255,0.035)); #491452=CARTESIAN_POINT('',(36.286,55.3255,0.035)); #491453=CARTESIAN_POINT('',(36.286,55.3255,0.07)); #491454=CARTESIAN_POINT('Origin',(35.651,54.5,0.07)); #491455=CARTESIAN_POINT('Origin',(35.651,54.5,0.035)); #491456=CARTESIAN_POINT('Origin',(24.6571,17.5555,0.035)); #491457=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #491458=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #491459=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #491460=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #491461=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #491462=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #491463=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #491464=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #491465=CARTESIAN_POINT('Origin',(23.8189,17.5555,0.035)); #491466=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #491467=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #491468=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #491469=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #491470=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #491471=CARTESIAN_POINT('Origin',(23.8189,18.4445,0.035)); #491472=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #491473=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #491474=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #491475=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #491476=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #491477=CARTESIAN_POINT('Origin',(24.6571,18.4445,0.035)); #491478=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #491479=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #491480=CARTESIAN_POINT('Origin',(24.238,18.,0.07)); #491481=CARTESIAN_POINT('Origin',(24.238,18.,0.035)); #491482=CARTESIAN_POINT('Origin',(13.3142,24.6665,0.035)); #491483=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #491484=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #491485=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #491486=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #491487=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #491488=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #491489=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #491490=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #491491=CARTESIAN_POINT('Origin',(11.6378,24.6665,0.035)); #491492=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #491493=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #491494=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #491495=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #491496=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #491497=CARTESIAN_POINT('Origin',(11.6378,27.3335,0.035)); #491498=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #491499=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #491500=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #491501=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #491502=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #491503=CARTESIAN_POINT('Origin',(13.3142,27.3335,0.035)); #491504=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #491505=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #491506=CARTESIAN_POINT('Origin',(12.476,26.,0.07)); #491507=CARTESIAN_POINT('Origin',(12.476,26.,0.035)); #491508=CARTESIAN_POINT('Origin',(20.6571,17.5555,0.035)); #491509=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #491510=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #491511=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #491512=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #491513=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #491514=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #491515=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #491516=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #491517=CARTESIAN_POINT('Origin',(19.8189,17.5555,0.035)); #491518=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #491519=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #491520=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #491521=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #491522=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #491523=CARTESIAN_POINT('Origin',(19.8189,18.4445,0.035)); #491524=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #491525=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #491526=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #491527=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #491528=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #491529=CARTESIAN_POINT('Origin',(20.6571,18.4445,0.035)); #491530=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #491531=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #491532=CARTESIAN_POINT('Origin',(20.238,18.,0.07)); #491533=CARTESIAN_POINT('Origin',(20.238,18.,0.035)); #491534=CARTESIAN_POINT('Origin',(28.9967,30.4031,0.035)); #491535=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #491536=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #491537=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #491538=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #491539=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #491540=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #491541=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #491542=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #491543=CARTESIAN_POINT('Origin',(27.8029,30.4031,0.035)); #491544=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #491545=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #491546=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #491547=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #491548=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #491549=CARTESIAN_POINT('Origin',(27.8029,31.5969,0.035)); #491550=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #491551=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #491552=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #491553=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #491554=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #491555=CARTESIAN_POINT('Origin',(28.9967,31.5969,0.035)); #491556=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #491557=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #491558=CARTESIAN_POINT('Origin',(28.3998,31.,0.07)); #491559=CARTESIAN_POINT('Origin',(28.3998,31.,0.035)); #491560=CARTESIAN_POINT('Origin',(30.9125,17.1125,0.035)); #491561=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #491562=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #491563=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #491564=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #491565=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #491566=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #491567=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #491568=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #491569=CARTESIAN_POINT('Origin',(30.2875,17.1125,0.035)); #491570=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #491571=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #491572=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #491573=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #491574=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #491575=CARTESIAN_POINT('Origin',(30.2875,17.3875,0.035)); #491576=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #491577=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #491578=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #491579=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #491580=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #491581=CARTESIAN_POINT('Origin',(30.9125,17.3875,0.035)); #491582=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #491583=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #491584=CARTESIAN_POINT('Origin',(30.6,17.25,0.07)); #491585=CARTESIAN_POINT('Origin',(30.6,17.25,0.035)); #491586=CARTESIAN_POINT('Origin',(44.6571,25.5555,0.035)); #491587=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #491588=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #491589=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #491590=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #491591=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #491592=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #491593=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #491594=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #491595=CARTESIAN_POINT('Origin',(43.8189,25.5555,0.035)); #491596=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #491597=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #491598=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #491599=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #491600=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #491601=CARTESIAN_POINT('Origin',(43.8189,26.4445,0.035)); #491602=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #491603=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #491604=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #491605=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #491606=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #491607=CARTESIAN_POINT('Origin',(44.6571,26.4445,0.035)); #491608=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #491609=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #491610=CARTESIAN_POINT('Origin',(44.238,26.,0.07)); #491611=CARTESIAN_POINT('Origin',(44.238,26.,0.035)); #491612=CARTESIAN_POINT('Origin',(20.6571,14.5555,0.035)); #491613=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #491614=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #491615=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #491616=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #491617=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #491618=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #491619=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #491620=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #491621=CARTESIAN_POINT('Origin',(19.8189,14.5555,0.035)); #491622=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #491623=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #491624=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #491625=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #491626=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #491627=CARTESIAN_POINT('Origin',(19.8189,15.4445,0.035)); #491628=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #491629=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #491630=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #491631=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #491632=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #491633=CARTESIAN_POINT('Origin',(20.6571,15.4445,0.035)); #491634=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #491635=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #491636=CARTESIAN_POINT('Origin',(20.238,15.,0.07)); #491637=CARTESIAN_POINT('Origin',(20.238,15.,0.035)); #491638=CARTESIAN_POINT('Origin',(28.4071,56.0555,0.035)); #491639=CARTESIAN_POINT('',(28.4071,56.0555,0.035)); #491640=CARTESIAN_POINT('',(28.4071,56.9445,0.035)); #491641=CARTESIAN_POINT('',(28.4071,56.0555,0.035)); #491642=CARTESIAN_POINT('',(28.4071,56.9445,0.07)); #491643=CARTESIAN_POINT('',(28.4071,56.9445,0.035)); #491644=CARTESIAN_POINT('',(28.4071,56.0555,0.07)); #491645=CARTESIAN_POINT('',(28.4071,56.0555,0.07)); #491646=CARTESIAN_POINT('',(28.4071,56.0555,0.035)); #491647=CARTESIAN_POINT('Origin',(27.5689,56.0555,0.035)); #491648=CARTESIAN_POINT('',(27.5689,56.0555,0.035)); #491649=CARTESIAN_POINT('',(27.5689,56.0555,0.035)); #491650=CARTESIAN_POINT('',(27.5689,56.0555,0.07)); #491651=CARTESIAN_POINT('',(27.5689,56.0555,0.07)); #491652=CARTESIAN_POINT('',(27.5689,56.0555,0.035)); #491653=CARTESIAN_POINT('Origin',(27.5689,56.9445,0.035)); #491654=CARTESIAN_POINT('',(27.5689,56.9445,0.035)); #491655=CARTESIAN_POINT('',(27.5689,56.9445,0.035)); #491656=CARTESIAN_POINT('',(27.5689,56.9445,0.07)); #491657=CARTESIAN_POINT('',(27.5689,56.9445,0.07)); #491658=CARTESIAN_POINT('',(27.5689,56.9445,0.035)); #491659=CARTESIAN_POINT('Origin',(28.4071,56.9445,0.035)); #491660=CARTESIAN_POINT('',(28.4071,56.9445,0.035)); #491661=CARTESIAN_POINT('',(28.4071,56.9445,0.07)); #491662=CARTESIAN_POINT('Origin',(27.988,56.5,0.07)); #491663=CARTESIAN_POINT('Origin',(27.988,56.5,0.035)); #491664=CARTESIAN_POINT('Origin',(24.6571,20.5555,0.035)); #491665=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #491666=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #491667=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #491668=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #491669=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #491670=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #491671=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #491672=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #491673=CARTESIAN_POINT('Origin',(23.8189,20.5555,0.035)); #491674=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #491675=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #491676=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #491677=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #491678=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #491679=CARTESIAN_POINT('Origin',(23.8189,21.4445,0.035)); #491680=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #491681=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #491682=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #491683=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #491684=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #491685=CARTESIAN_POINT('Origin',(24.6571,21.4445,0.035)); #491686=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #491687=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #491688=CARTESIAN_POINT('Origin',(24.238,21.,0.07)); #491689=CARTESIAN_POINT('Origin',(24.238,21.,0.035)); #491690=CARTESIAN_POINT('Origin',(25.4445,29.3429,0.035)); #491691=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #491692=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #491693=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #491694=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #491695=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #491696=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #491697=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #491698=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #491699=CARTESIAN_POINT('Origin',(24.5555,29.3429,0.035)); #491700=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #491701=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #491702=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #491703=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #491704=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #491705=CARTESIAN_POINT('Origin',(24.5555,30.1811,0.035)); #491706=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #491707=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #491708=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #491709=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #491710=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #491711=CARTESIAN_POINT('Origin',(25.4445,30.1811,0.035)); #491712=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #491713=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #491714=CARTESIAN_POINT('Origin',(25.,29.762,0.07)); #491715=CARTESIAN_POINT('Origin',(25.,29.762,0.035)); #491716=CARTESIAN_POINT('Origin',(20.6571,20.5555,0.035)); #491717=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #491718=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #491719=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #491720=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #491721=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #491722=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #491723=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #491724=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #491725=CARTESIAN_POINT('Origin',(19.8189,20.5555,0.035)); #491726=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #491727=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #491728=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #491729=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #491730=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #491731=CARTESIAN_POINT('Origin',(19.8189,21.4445,0.035)); #491732=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #491733=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #491734=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #491735=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #491736=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #491737=CARTESIAN_POINT('Origin',(20.6571,21.4445,0.035)); #491738=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #491739=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #491740=CARTESIAN_POINT('Origin',(20.238,21.,0.07)); #491741=CARTESIAN_POINT('Origin',(20.238,21.,0.035)); #491742=CARTESIAN_POINT('Origin',(40.1971,30.4031,0.035)); #491743=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #491744=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #491745=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #491746=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #491747=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #491748=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #491749=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #491750=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #491751=CARTESIAN_POINT('Origin',(39.0033,30.4031,0.035)); #491752=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #491753=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #491754=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #491755=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #491756=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #491757=CARTESIAN_POINT('Origin',(39.0033,31.5969,0.035)); #491758=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #491759=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #491760=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #491761=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #491762=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #491763=CARTESIAN_POINT('Origin',(40.1971,31.5969,0.035)); #491764=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #491765=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #491766=CARTESIAN_POINT('Origin',(39.6002,31.,0.07)); #491767=CARTESIAN_POINT('Origin',(39.6002,31.,0.035)); #491768=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #491769=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #491770=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #491771=CARTESIAN_POINT('',(33.86,18.5,0.0700000000000145)); #491772=CARTESIAN_POINT('',(33.86,18.5,-200.)); #491773=CARTESIAN_POINT('Origin',(34.,18.5,0.0700000000000145)); #491774=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #491775=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #491776=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #491777=CARTESIAN_POINT('',(34.61,18.5,0.0700000000000145)); #491778=CARTESIAN_POINT('',(34.61,18.5,-200.)); #491779=CARTESIAN_POINT('Origin',(34.75,18.5,0.0700000000000145)); #491780=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #491781=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #491782=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #491783=CARTESIAN_POINT('',(35.36,17.75,0.0700000000000145)); #491784=CARTESIAN_POINT('',(35.36,17.75,-200.)); #491785=CARTESIAN_POINT('Origin',(35.5,17.75,0.0700000000000145)); #491786=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #491787=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #491788=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #491789=CARTESIAN_POINT('',(35.36,18.5,0.0700000000000145)); #491790=CARTESIAN_POINT('',(35.36,18.5,-200.)); #491791=CARTESIAN_POINT('Origin',(35.5,18.5,0.0700000000000145)); #491792=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #491793=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #491794=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #491795=CARTESIAN_POINT('',(31.61,19.25,0.0700000000000145)); #491796=CARTESIAN_POINT('',(31.61,19.25,-200.)); #491797=CARTESIAN_POINT('Origin',(31.75,19.25,0.0700000000000145)); #491798=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #491799=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #491800=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #491801=CARTESIAN_POINT('',(33.11,17.75,0.0700000000000145)); #491802=CARTESIAN_POINT('',(33.11,17.75,-200.)); #491803=CARTESIAN_POINT('Origin',(33.25,17.75,0.0700000000000145)); #491804=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #491805=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #491806=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #491807=CARTESIAN_POINT('',(31.61,18.5,0.0700000000000145)); #491808=CARTESIAN_POINT('',(31.61,18.5,-200.)); #491809=CARTESIAN_POINT('Origin',(31.75,18.5,0.0700000000000145)); #491810=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #491811=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #491812=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #491813=CARTESIAN_POINT('',(31.61,17.,0.0700000000000145)); #491814=CARTESIAN_POINT('',(31.61,17.,-200.)); #491815=CARTESIAN_POINT('Origin',(31.75,17.,0.0700000000000145)); #491816=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #491817=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #491818=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #491819=CARTESIAN_POINT('',(34.61,17.75,0.0700000000000145)); #491820=CARTESIAN_POINT('',(34.61,17.75,-200.)); #491821=CARTESIAN_POINT('Origin',(34.75,17.75,0.0700000000000145)); #491822=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #491823=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #491824=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #491825=CARTESIAN_POINT('',(31.61,17.75,0.0700000000000145)); #491826=CARTESIAN_POINT('',(31.61,17.75,-200.)); #491827=CARTESIAN_POINT('Origin',(31.75,17.75,0.0700000000000145)); #491828=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #491829=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #491830=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #491831=CARTESIAN_POINT('',(33.11,16.25,0.0700000000000145)); #491832=CARTESIAN_POINT('',(33.11,16.25,-200.)); #491833=CARTESIAN_POINT('Origin',(33.25,16.25,0.0700000000000145)); #491834=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #491835=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #491836=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #491837=CARTESIAN_POINT('',(34.61,16.25,0.0700000000000145)); #491838=CARTESIAN_POINT('',(34.61,16.25,-200.)); #491839=CARTESIAN_POINT('Origin',(34.75,16.25,0.0700000000000145)); #491840=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #491841=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #491842=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #491843=CARTESIAN_POINT('',(36.11,14.75,0.0700000000000145)); #491844=CARTESIAN_POINT('',(36.11,14.75,-200.)); #491845=CARTESIAN_POINT('Origin',(36.25,14.75,0.0700000000000145)); #491846=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #491847=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #491848=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #491849=CARTESIAN_POINT('',(33.86,17.,0.0700000000000145)); #491850=CARTESIAN_POINT('',(33.86,17.,-200.)); #491851=CARTESIAN_POINT('Origin',(34.,17.,0.0700000000000145)); #491852=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #491853=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #491854=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #491855=CARTESIAN_POINT('',(36.11,15.5,0.0700000000000145)); #491856=CARTESIAN_POINT('',(36.11,15.5,-200.)); #491857=CARTESIAN_POINT('Origin',(36.25,15.5,0.0700000000000145)); #491858=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #491859=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #491860=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #491861=CARTESIAN_POINT('',(36.11,17.,0.0700000000000145)); #491862=CARTESIAN_POINT('',(36.11,17.,-200.)); #491863=CARTESIAN_POINT('Origin',(36.25,17.,0.0700000000000145)); #491864=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #491865=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #491866=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #491867=CARTESIAN_POINT('',(36.11,16.25,0.0700000000000145)); #491868=CARTESIAN_POINT('',(36.11,16.25,-200.)); #491869=CARTESIAN_POINT('Origin',(36.25,16.25,0.0700000000000145)); #491870=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #491871=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #491872=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #491873=CARTESIAN_POINT('',(36.11,19.25,0.0700000000000145)); #491874=CARTESIAN_POINT('',(36.11,19.25,-200.)); #491875=CARTESIAN_POINT('Origin',(36.25,19.25,0.0700000000000145)); #491876=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #491877=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #491878=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #491879=CARTESIAN_POINT('',(35.36,19.25,0.0700000000000145)); #491880=CARTESIAN_POINT('',(35.36,19.25,-200.)); #491881=CARTESIAN_POINT('Origin',(35.5,19.25,0.0700000000000145)); #491882=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #491883=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #491884=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #491885=CARTESIAN_POINT('',(36.11,18.5,0.0700000000000145)); #491886=CARTESIAN_POINT('',(36.11,18.5,-200.)); #491887=CARTESIAN_POINT('Origin',(36.25,18.5,0.0700000000000145)); #491888=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #491889=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #491890=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #491891=CARTESIAN_POINT('',(36.11,17.75,0.0700000000000145)); #491892=CARTESIAN_POINT('',(36.11,17.75,-200.)); #491893=CARTESIAN_POINT('Origin',(36.25,17.75,0.0700000000000145)); #491894=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #491895=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #491896=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #491897=CARTESIAN_POINT('',(33.11,14.75,0.0700000000000145)); #491898=CARTESIAN_POINT('',(33.11,14.75,-200.)); #491899=CARTESIAN_POINT('Origin',(33.25,14.75,0.0700000000000145)); #491900=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #491901=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #491902=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #491903=CARTESIAN_POINT('',(34.61,17.,0.0700000000000145)); #491904=CARTESIAN_POINT('',(34.61,17.,-200.)); #491905=CARTESIAN_POINT('Origin',(34.75,17.,0.0700000000000145)); #491906=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #491907=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #491908=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #491909=CARTESIAN_POINT('',(33.86,14.75,0.0700000000000145)); #491910=CARTESIAN_POINT('',(33.86,14.75,-200.)); #491911=CARTESIAN_POINT('Origin',(34.,14.75,0.0700000000000145)); #491912=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #491913=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #491914=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #491915=CARTESIAN_POINT('',(35.36,14.75,0.0700000000000145)); #491916=CARTESIAN_POINT('',(35.36,14.75,-200.)); #491917=CARTESIAN_POINT('Origin',(35.5,14.75,0.0700000000000145)); #491918=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #491919=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #491920=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #491921=CARTESIAN_POINT('',(33.86,17.75,0.0700000000000145)); #491922=CARTESIAN_POINT('',(33.86,17.75,-200.)); #491923=CARTESIAN_POINT('Origin',(34.,17.75,0.0700000000000145)); #491924=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #491925=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #491926=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #491927=CARTESIAN_POINT('',(34.61,14.75,0.0700000000000145)); #491928=CARTESIAN_POINT('',(34.61,14.75,-200.)); #491929=CARTESIAN_POINT('Origin',(34.75,14.75,0.0700000000000145)); #491930=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #491931=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #491932=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #491933=CARTESIAN_POINT('',(31.61,14.75,0.0700000000000145)); #491934=CARTESIAN_POINT('',(31.61,14.75,-200.)); #491935=CARTESIAN_POINT('Origin',(31.75,14.75,0.0700000000000145)); #491936=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #491937=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #491938=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #491939=CARTESIAN_POINT('',(33.86,16.25,0.0700000000000145)); #491940=CARTESIAN_POINT('',(33.86,16.25,-200.)); #491941=CARTESIAN_POINT('Origin',(34.,16.25,0.0700000000000145)); #491942=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #491943=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #491944=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #491945=CARTESIAN_POINT('',(32.36,14.75,0.0700000000000145)); #491946=CARTESIAN_POINT('',(32.36,14.75,-200.)); #491947=CARTESIAN_POINT('Origin',(32.5,14.75,0.0700000000000145)); #491948=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #491949=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #491950=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #491951=CARTESIAN_POINT('',(31.61,15.5,0.0700000000000145)); #491952=CARTESIAN_POINT('',(31.61,15.5,-200.)); #491953=CARTESIAN_POINT('Origin',(31.75,15.5,0.0700000000000145)); #491954=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #491955=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #491956=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #491957=CARTESIAN_POINT('',(33.11,17.,0.0700000000000145)); #491958=CARTESIAN_POINT('',(33.11,17.,-200.)); #491959=CARTESIAN_POINT('Origin',(33.25,17.,0.0700000000000145)); #491960=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #491961=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #491962=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #491963=CARTESIAN_POINT('',(31.61,16.25,0.0700000000000145)); #491964=CARTESIAN_POINT('',(31.61,16.25,-200.)); #491965=CARTESIAN_POINT('Origin',(31.75,16.25,0.0700000000000145)); #491966=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #491967=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #491968=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #491969=CARTESIAN_POINT('',(33.86,15.5,0.0700000000000145)); #491970=CARTESIAN_POINT('',(33.86,15.5,-200.)); #491971=CARTESIAN_POINT('Origin',(34.,15.5,0.0700000000000145)); #491972=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #491973=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #491974=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #491975=CARTESIAN_POINT('',(33.11,15.5,0.0700000000000145)); #491976=CARTESIAN_POINT('',(33.11,15.5,-200.)); #491977=CARTESIAN_POINT('Origin',(33.25,15.5,0.0700000000000145)); #491978=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #491979=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #491980=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #491981=CARTESIAN_POINT('',(32.36,16.25,0.0700000000000145)); #491982=CARTESIAN_POINT('',(32.36,16.25,-200.)); #491983=CARTESIAN_POINT('Origin',(32.5,16.25,0.0700000000000145)); #491984=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #491985=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #491986=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #491987=CARTESIAN_POINT('',(32.36,15.5,0.0700000000000145)); #491988=CARTESIAN_POINT('',(32.36,15.5,-200.)); #491989=CARTESIAN_POINT('Origin',(32.5,15.5,0.0700000000000145)); #491990=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #491991=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #491992=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #491993=CARTESIAN_POINT('',(32.36,18.5,0.0700000000000145)); #491994=CARTESIAN_POINT('',(32.36,18.5,-200.)); #491995=CARTESIAN_POINT('Origin',(32.5,18.5,0.0700000000000145)); #491996=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #491997=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #491998=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #491999=CARTESIAN_POINT('',(33.11,18.5,0.0700000000000145)); #492000=CARTESIAN_POINT('',(33.11,18.5,-200.)); #492001=CARTESIAN_POINT('Origin',(33.25,18.5,0.0700000000000145)); #492002=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #492003=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #492004=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #492005=CARTESIAN_POINT('',(32.36,17.75,0.0700000000000145)); #492006=CARTESIAN_POINT('',(32.36,17.75,-200.)); #492007=CARTESIAN_POINT('Origin',(32.5,17.75,0.0700000000000145)); #492008=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #492009=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #492010=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #492011=CARTESIAN_POINT('',(32.36,17.,0.0700000000000145)); #492012=CARTESIAN_POINT('',(32.36,17.,-200.)); #492013=CARTESIAN_POINT('Origin',(32.5,17.,0.0700000000000145)); #492014=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #492015=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #492016=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #492017=CARTESIAN_POINT('',(35.36,17.,0.0700000000000145)); #492018=CARTESIAN_POINT('',(35.36,17.,-200.)); #492019=CARTESIAN_POINT('Origin',(35.5,17.,0.0700000000000145)); #492020=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #492021=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #492022=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #492023=CARTESIAN_POINT('',(35.36,16.25,0.0700000000000145)); #492024=CARTESIAN_POINT('',(35.36,16.25,-200.)); #492025=CARTESIAN_POINT('Origin',(35.5,16.25,0.0700000000000145)); #492026=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #492027=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #492028=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #492029=CARTESIAN_POINT('',(34.61,15.5,0.0700000000000145)); #492030=CARTESIAN_POINT('',(34.61,15.5,-200.)); #492031=CARTESIAN_POINT('Origin',(34.75,15.5,0.0700000000000145)); #492032=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #492033=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #492034=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #492035=CARTESIAN_POINT('',(35.36,15.5,0.0700000000000145)); #492036=CARTESIAN_POINT('',(35.36,15.5,-200.)); #492037=CARTESIAN_POINT('Origin',(35.5,15.5,0.0700000000000145)); #492038=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #492039=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #492040=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #492041=CARTESIAN_POINT('',(33.11,19.25,0.0700000000000145)); #492042=CARTESIAN_POINT('',(33.11,19.25,-200.)); #492043=CARTESIAN_POINT('Origin',(33.25,19.25,0.0700000000000145)); #492044=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #492045=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #492046=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #492047=CARTESIAN_POINT('',(32.36,19.25,0.0700000000000145)); #492048=CARTESIAN_POINT('',(32.36,19.25,-200.)); #492049=CARTESIAN_POINT('Origin',(32.5,19.25,0.0700000000000145)); #492050=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #492051=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #492052=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #492053=CARTESIAN_POINT('',(33.86,19.25,0.0700000000000145)); #492054=CARTESIAN_POINT('',(33.86,19.25,-200.)); #492055=CARTESIAN_POINT('Origin',(34.,19.25,0.0700000000000145)); #492056=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #492057=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #492058=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #492059=CARTESIAN_POINT('',(34.61,19.25,0.0700000000000145)); #492060=CARTESIAN_POINT('',(34.61,19.25,-200.)); #492061=CARTESIAN_POINT('Origin',(34.75,19.25,0.0700000000000145)); #492062=CARTESIAN_POINT('Origin',(36.7,14.3,0.035)); #492063=CARTESIAN_POINT('',(36.7,14.3,0.035)); #492064=CARTESIAN_POINT('',(36.7,19.7,0.035)); #492065=CARTESIAN_POINT('',(36.7,14.3,0.035)); #492066=CARTESIAN_POINT('',(36.7,19.7,0.07)); #492067=CARTESIAN_POINT('',(36.7,19.7,0.035)); #492068=CARTESIAN_POINT('',(36.7,14.3,0.07)); #492069=CARTESIAN_POINT('',(36.7,14.3,0.07)); #492070=CARTESIAN_POINT('',(36.7,14.3,0.035)); #492071=CARTESIAN_POINT('Origin',(31.3,14.3,0.035)); #492072=CARTESIAN_POINT('',(31.3,14.3,0.035)); #492073=CARTESIAN_POINT('',(31.3,14.3,0.035)); #492074=CARTESIAN_POINT('',(31.3,14.3,0.07)); #492075=CARTESIAN_POINT('',(31.3,14.3,0.07)); #492076=CARTESIAN_POINT('',(31.3,14.3,0.035)); #492077=CARTESIAN_POINT('Origin',(31.3,19.7,0.035)); #492078=CARTESIAN_POINT('',(31.3,19.7,0.035)); #492079=CARTESIAN_POINT('',(31.3,19.7,0.035)); #492080=CARTESIAN_POINT('',(31.3,19.7,0.07)); #492081=CARTESIAN_POINT('',(31.3,19.7,0.07)); #492082=CARTESIAN_POINT('',(31.3,19.7,0.035)); #492083=CARTESIAN_POINT('Origin',(36.7,19.7,0.035)); #492084=CARTESIAN_POINT('',(36.7,19.7,0.035)); #492085=CARTESIAN_POINT('',(36.7,19.7,0.07)); #492086=CARTESIAN_POINT('Origin',(34.,17.,0.07)); #492087=CARTESIAN_POINT('Origin',(34.,17.,0.035)); #492088=CARTESIAN_POINT('Origin',(56.3622,24.6665,0.035)); #492089=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #492090=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #492091=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #492092=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #492093=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #492094=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #492095=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #492096=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #492097=CARTESIAN_POINT('Origin',(54.6858,24.6665,0.035)); #492098=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #492099=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #492100=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #492101=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #492102=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #492103=CARTESIAN_POINT('Origin',(54.6858,27.3335,0.035)); #492104=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #492105=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #492106=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #492107=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #492108=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #492109=CARTESIAN_POINT('Origin',(56.3622,27.3335,0.035)); #492110=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #492111=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #492112=CARTESIAN_POINT('Origin',(55.524,26.,0.07)); #492113=CARTESIAN_POINT('Origin',(55.524,26.,0.035)); #492114=CARTESIAN_POINT('Origin',(37.7125,19.1125,0.035)); #492115=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #492116=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #492117=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #492118=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #492119=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #492120=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #492121=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #492122=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #492123=CARTESIAN_POINT('Origin',(37.0875,19.1125,0.035)); #492124=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #492125=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #492126=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #492127=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #492128=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #492129=CARTESIAN_POINT('Origin',(37.0875,19.3875,0.035)); #492130=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #492131=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #492132=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #492133=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #492134=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #492135=CARTESIAN_POINT('Origin',(37.7125,19.3875,0.035)); #492136=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #492137=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #492138=CARTESIAN_POINT('Origin',(37.4,19.25,0.07)); #492139=CARTESIAN_POINT('Origin',(37.4,19.25,0.035)); #492140=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.035)); #492141=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #492142=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #492143=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #492144=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #492145=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #492146=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #492147=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #492148=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #492149=CARTESIAN_POINT('Origin',(47.5555,39.8189,0.035)); #492150=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #492151=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #492152=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #492153=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #492154=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #492155=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.035)); #492156=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #492157=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #492158=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #492159=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #492160=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #492161=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.035)); #492162=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #492163=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #492164=CARTESIAN_POINT('Origin',(48.,40.238,0.07)); #492165=CARTESIAN_POINT('Origin',(48.,40.238,0.035)); #492166=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.035)); #492167=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #492168=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #492169=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #492170=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #492171=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #492172=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #492173=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #492174=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #492175=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.035)); #492176=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #492177=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #492178=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #492179=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #492180=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #492181=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.035)); #492182=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #492183=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #492184=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #492185=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #492186=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #492187=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.035)); #492188=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #492189=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #492190=CARTESIAN_POINT('Origin',(35.25,20.4,0.07)); #492191=CARTESIAN_POINT('Origin',(35.25,20.4,0.035)); #492192=CARTESIAN_POINT('Origin',(20.4445,39.8189,0.035)); #492193=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #492194=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #492195=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #492196=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #492197=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #492198=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #492199=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #492200=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #492201=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.035)); #492202=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #492203=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #492204=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #492205=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #492206=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #492207=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.035)); #492208=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #492209=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #492210=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #492211=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #492212=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #492213=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.035)); #492214=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #492215=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #492216=CARTESIAN_POINT('Origin',(20.,40.238,0.07)); #492217=CARTESIAN_POINT('Origin',(20.,40.238,0.035)); #492218=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.035)); #492219=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #492220=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #492221=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #492222=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #492223=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #492224=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #492225=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #492226=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #492227=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.035)); #492228=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #492229=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #492230=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #492231=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #492232=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #492233=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.035)); #492234=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #492235=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #492236=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #492237=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #492238=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #492239=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.035)); #492240=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #492241=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #492242=CARTESIAN_POINT('Origin',(31.75,20.4,0.07)); #492243=CARTESIAN_POINT('Origin',(31.75,20.4,0.035)); #492244=CARTESIAN_POINT('Origin',(22.1811,17.5555,0.035)); #492245=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #492246=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #492247=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #492248=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #492249=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #492250=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #492251=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #492252=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #492253=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.035)); #492254=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #492255=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #492256=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #492257=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #492258=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #492259=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.035)); #492260=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #492261=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #492262=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #492263=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #492264=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #492265=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.035)); #492266=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #492267=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #492268=CARTESIAN_POINT('Origin',(21.762,18.,0.07)); #492269=CARTESIAN_POINT('Origin',(21.762,18.,0.035)); #492270=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.035)); #492271=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #492272=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #492273=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #492274=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #492275=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #492276=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #492277=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #492278=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #492279=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.035)); #492280=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #492281=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #492282=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #492283=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #492284=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #492285=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.035)); #492286=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #492287=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #492288=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #492289=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #492290=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #492291=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.035)); #492292=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #492293=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #492294=CARTESIAN_POINT('Origin',(30.6,14.25,0.07)); #492295=CARTESIAN_POINT('Origin',(30.6,14.25,0.035)); #492296=CARTESIAN_POINT('Origin',(22.1811,14.5555,0.035)); #492297=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #492298=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #492299=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #492300=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #492301=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #492302=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #492303=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #492304=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #492305=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.035)); #492306=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #492307=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #492308=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #492309=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #492310=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #492311=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.035)); #492312=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #492313=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #492314=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #492315=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #492316=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #492317=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.035)); #492318=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #492319=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #492320=CARTESIAN_POINT('Origin',(21.762,15.,0.07)); #492321=CARTESIAN_POINT('Origin',(21.762,15.,0.035)); #492322=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.035)); #492323=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #492324=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #492325=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #492326=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #492327=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #492328=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #492329=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #492330=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #492331=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.035)); #492332=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #492333=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #492334=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #492335=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #492336=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #492337=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.035)); #492338=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #492339=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #492340=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #492341=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #492342=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #492343=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.035)); #492344=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #492345=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #492346=CARTESIAN_POINT('Origin',(36.25,13.6,0.07)); #492347=CARTESIAN_POINT('Origin',(36.25,13.6,0.035)); #492348=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.035)); #492349=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #492350=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #492351=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #492352=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #492353=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #492354=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #492355=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #492356=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #492357=CARTESIAN_POINT('Origin',(43.5555,39.8189,0.035)); #492358=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #492359=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #492360=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #492361=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #492362=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #492363=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.035)); #492364=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #492365=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #492366=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #492367=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #492368=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #492369=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.035)); #492370=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #492371=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #492372=CARTESIAN_POINT('Origin',(44.,40.238,0.07)); #492373=CARTESIAN_POINT('Origin',(44.,40.238,0.035)); #492374=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.035)); #492375=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #492376=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #492377=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #492378=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #492379=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #492380=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #492381=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #492382=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #492383=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.035)); #492384=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #492385=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #492386=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #492387=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #492388=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #492389=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.035)); #492390=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #492391=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #492392=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #492393=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #492394=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #492395=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.035)); #492396=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #492397=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #492398=CARTESIAN_POINT('Origin',(33.75,20.4,0.07)); #492399=CARTESIAN_POINT('Origin',(33.75,20.4,0.035)); #492400=CARTESIAN_POINT('Origin',(24.4445,39.8189,0.035)); #492401=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #492402=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #492403=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #492404=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #492405=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #492406=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #492407=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #492408=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #492409=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.035)); #492410=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #492411=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #492412=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #492413=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #492414=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #492415=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.035)); #492416=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #492417=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #492418=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #492419=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #492420=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #492421=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.035)); #492422=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #492423=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #492424=CARTESIAN_POINT('Origin',(24.,40.238,0.07)); #492425=CARTESIAN_POINT('Origin',(24.,40.238,0.035)); #492426=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.035)); #492427=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #492428=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #492429=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #492430=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #492431=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #492432=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #492433=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #492434=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #492435=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.035)); #492436=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #492437=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #492438=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #492439=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #492440=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #492441=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.035)); #492442=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #492443=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #492444=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #492445=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #492446=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #492447=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.035)); #492448=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #492449=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #492450=CARTESIAN_POINT('Origin',(33.25,20.4,0.07)); #492451=CARTESIAN_POINT('Origin',(33.25,20.4,0.035)); #492452=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #492453=CARTESIAN_POINT('',(39.872,52.5,0.0349999999999895)); #492454=CARTESIAN_POINT('Origin',(40.012,52.5,0.0349999999999895)); #492455=CARTESIAN_POINT('',(39.872,52.5,0.0700000000000145)); #492456=CARTESIAN_POINT('',(39.872,52.5,-200.)); #492457=CARTESIAN_POINT('Origin',(40.012,52.5,0.0700000000000145)); #492458=CARTESIAN_POINT('Origin',(40.4311,52.0555,0.035)); #492459=CARTESIAN_POINT('',(40.4311,52.0555,0.035)); #492460=CARTESIAN_POINT('',(40.4311,52.9445,0.035)); #492461=CARTESIAN_POINT('',(40.4311,52.0555,0.035)); #492462=CARTESIAN_POINT('',(40.4311,52.9445,0.07)); #492463=CARTESIAN_POINT('',(40.4311,52.9445,0.035)); #492464=CARTESIAN_POINT('',(40.4311,52.0555,0.07)); #492465=CARTESIAN_POINT('',(40.4311,52.0555,0.07)); #492466=CARTESIAN_POINT('',(40.4311,52.0555,0.035)); #492467=CARTESIAN_POINT('Origin',(39.5929,52.0555,0.035)); #492468=CARTESIAN_POINT('',(39.5929,52.0555,0.035)); #492469=CARTESIAN_POINT('',(39.5929,52.0555,0.035)); #492470=CARTESIAN_POINT('',(39.5929,52.0555,0.07)); #492471=CARTESIAN_POINT('',(39.5929,52.0555,0.07)); #492472=CARTESIAN_POINT('',(39.5929,52.0555,0.035)); #492473=CARTESIAN_POINT('Origin',(39.5929,52.9445,0.035)); #492474=CARTESIAN_POINT('',(39.5929,52.9445,0.035)); #492475=CARTESIAN_POINT('',(39.5929,52.9445,0.035)); #492476=CARTESIAN_POINT('',(39.5929,52.9445,0.07)); #492477=CARTESIAN_POINT('',(39.5929,52.9445,0.07)); #492478=CARTESIAN_POINT('',(39.5929,52.9445,0.035)); #492479=CARTESIAN_POINT('Origin',(40.4311,52.9445,0.035)); #492480=CARTESIAN_POINT('',(40.4311,52.9445,0.035)); #492481=CARTESIAN_POINT('',(40.4311,52.9445,0.07)); #492482=CARTESIAN_POINT('Origin',(40.012,52.5,0.07)); #492483=CARTESIAN_POINT('Origin',(40.012,52.5,0.035)); #492484=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.035)); #492485=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #492486=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #492487=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #492488=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #492489=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #492490=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #492491=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #492492=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #492493=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.035)); #492494=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #492495=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #492496=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #492497=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #492498=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #492499=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.035)); #492500=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #492501=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #492502=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #492503=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #492504=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #492505=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.035)); #492506=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #492507=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #492508=CARTESIAN_POINT('Origin',(34.25,20.4,0.07)); #492509=CARTESIAN_POINT('Origin',(34.25,20.4,0.035)); #492510=CARTESIAN_POINT('Origin',(37.6571,37.5555,0.035)); #492511=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #492512=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #492513=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #492514=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #492515=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #492516=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #492517=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #492518=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #492519=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.035)); #492520=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #492521=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #492522=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #492523=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #492524=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #492525=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.035)); #492526=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #492527=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #492528=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #492529=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #492530=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #492531=CARTESIAN_POINT('Origin',(37.6571,38.4445,0.035)); #492532=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #492533=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #492534=CARTESIAN_POINT('Origin',(37.238,38.,0.07)); #492535=CARTESIAN_POINT('Origin',(37.238,38.,0.035)); #492536=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #492537=CARTESIAN_POINT('',(27.848,52.5,0.0349999999999895)); #492538=CARTESIAN_POINT('Origin',(27.988,52.5,0.0349999999999895)); #492539=CARTESIAN_POINT('',(27.848,52.5,0.0700000000000145)); #492540=CARTESIAN_POINT('',(27.848,52.5,-200.)); #492541=CARTESIAN_POINT('Origin',(27.988,52.5,0.0700000000000145)); #492542=CARTESIAN_POINT('Origin',(28.4071,52.0555,0.035)); #492543=CARTESIAN_POINT('',(28.4071,52.0555,0.035)); #492544=CARTESIAN_POINT('',(28.4071,52.9445,0.035)); #492545=CARTESIAN_POINT('',(28.4071,52.0555,0.035)); #492546=CARTESIAN_POINT('',(28.4071,52.9445,0.07)); #492547=CARTESIAN_POINT('',(28.4071,52.9445,0.035)); #492548=CARTESIAN_POINT('',(28.4071,52.0555,0.07)); #492549=CARTESIAN_POINT('',(28.4071,52.0555,0.07)); #492550=CARTESIAN_POINT('',(28.4071,52.0555,0.035)); #492551=CARTESIAN_POINT('Origin',(27.5689,52.0555,0.035)); #492552=CARTESIAN_POINT('',(27.5689,52.0555,0.035)); #492553=CARTESIAN_POINT('',(27.5689,52.0555,0.035)); #492554=CARTESIAN_POINT('',(27.5689,52.0555,0.07)); #492555=CARTESIAN_POINT('',(27.5689,52.0555,0.07)); #492556=CARTESIAN_POINT('',(27.5689,52.0555,0.035)); #492557=CARTESIAN_POINT('Origin',(27.5689,52.9445,0.035)); #492558=CARTESIAN_POINT('',(27.5689,52.9445,0.035)); #492559=CARTESIAN_POINT('',(27.5689,52.9445,0.035)); #492560=CARTESIAN_POINT('',(27.5689,52.9445,0.07)); #492561=CARTESIAN_POINT('',(27.5689,52.9445,0.07)); #492562=CARTESIAN_POINT('',(27.5689,52.9445,0.035)); #492563=CARTESIAN_POINT('Origin',(28.4071,52.9445,0.035)); #492564=CARTESIAN_POINT('',(28.4071,52.9445,0.035)); #492565=CARTESIAN_POINT('',(28.4071,52.9445,0.07)); #492566=CARTESIAN_POINT('Origin',(27.988,52.5,0.07)); #492567=CARTESIAN_POINT('Origin',(27.988,52.5,0.035)); #492568=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.035)); #492569=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #492570=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #492571=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #492572=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #492573=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #492574=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #492575=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #492576=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #492577=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.035)); #492578=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #492579=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #492580=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #492581=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #492582=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #492583=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.035)); #492584=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #492585=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #492586=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #492587=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #492588=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #492589=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.035)); #492590=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #492591=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #492592=CARTESIAN_POINT('Origin',(32.75,20.4,0.07)); #492593=CARTESIAN_POINT('Origin',(32.75,20.4,0.035)); #492594=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.035)); #492595=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #492596=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #492597=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #492598=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #492599=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #492600=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #492601=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #492602=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #492603=CARTESIAN_POINT('Origin',(30.3429,37.5555,0.035)); #492604=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #492605=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #492606=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #492607=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #492608=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #492609=CARTESIAN_POINT('Origin',(30.3429,38.4445,0.035)); #492610=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #492611=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #492612=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #492613=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #492614=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #492615=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.035)); #492616=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #492617=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #492618=CARTESIAN_POINT('Origin',(30.762,38.,0.07)); #492619=CARTESIAN_POINT('Origin',(30.762,38.,0.035)); #492620=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.035)); #492621=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #492622=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #492623=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #492624=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #492625=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #492626=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #492627=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #492628=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #492629=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.035)); #492630=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #492631=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #492632=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #492633=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #492634=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #492635=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.035)); #492636=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #492637=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #492638=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #492639=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #492640=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #492641=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.035)); #492642=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #492643=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #492644=CARTESIAN_POINT('Origin',(37.4,18.25,0.07)); #492645=CARTESIAN_POINT('Origin',(37.4,18.25,0.035)); #492646=CARTESIAN_POINT('Origin',(43.9,15.825,0.035)); #492647=CARTESIAN_POINT('',(43.9,15.825,0.035)); #492648=CARTESIAN_POINT('',(43.9,16.225,0.035)); #492649=CARTESIAN_POINT('',(43.9,15.825,0.035)); #492650=CARTESIAN_POINT('',(43.9,16.225,0.07)); #492651=CARTESIAN_POINT('',(43.9,16.225,0.035)); #492652=CARTESIAN_POINT('',(43.9,15.825,0.07)); #492653=CARTESIAN_POINT('',(43.9,15.825,0.07)); #492654=CARTESIAN_POINT('',(43.9,15.825,0.035)); #492655=CARTESIAN_POINT('Origin',(43.1,15.825,0.035)); #492656=CARTESIAN_POINT('',(43.1,15.825,0.035)); #492657=CARTESIAN_POINT('',(43.1,15.825,0.035)); #492658=CARTESIAN_POINT('',(43.1,15.825,0.07)); #492659=CARTESIAN_POINT('',(43.1,15.825,0.07)); #492660=CARTESIAN_POINT('',(43.1,15.825,0.035)); #492661=CARTESIAN_POINT('Origin',(43.1,16.225,0.035)); #492662=CARTESIAN_POINT('',(43.1,16.225,0.035)); #492663=CARTESIAN_POINT('',(43.1,16.225,0.035)); #492664=CARTESIAN_POINT('',(43.1,16.225,0.07)); #492665=CARTESIAN_POINT('',(43.1,16.225,0.07)); #492666=CARTESIAN_POINT('',(43.1,16.225,0.035)); #492667=CARTESIAN_POINT('Origin',(43.9,16.225,0.035)); #492668=CARTESIAN_POINT('',(43.9,16.225,0.035)); #492669=CARTESIAN_POINT('',(43.9,16.225,0.07)); #492670=CARTESIAN_POINT('Origin',(43.5,16.025,0.07)); #492671=CARTESIAN_POINT('Origin',(43.5,16.025,0.035)); #492672=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.035)); #492673=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #492674=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #492675=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #492676=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #492677=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #492678=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #492679=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #492680=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #492681=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.035)); #492682=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #492683=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #492684=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #492685=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #492686=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #492687=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.035)); #492688=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #492689=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #492690=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #492691=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #492692=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #492693=CARTESIAN_POINT('Origin',(20.4445,42.1811,0.035)); #492694=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #492695=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #492696=CARTESIAN_POINT('Origin',(20.,41.762,0.07)); #492697=CARTESIAN_POINT('Origin',(20.,41.762,0.035)); #492698=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.035)); #492699=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #492700=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #492701=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #492702=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #492703=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #492704=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #492705=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #492706=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #492707=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.035)); #492708=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #492709=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #492710=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #492711=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #492712=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #492713=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.035)); #492714=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #492715=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #492716=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #492717=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #492718=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #492719=CARTESIAN_POINT('Origin',(24.4445,42.1811,0.035)); #492720=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #492721=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #492722=CARTESIAN_POINT('Origin',(24.,41.762,0.07)); #492723=CARTESIAN_POINT('Origin',(24.,41.762,0.035)); #492724=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.035)); #492725=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #492726=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #492727=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #492728=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #492729=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #492730=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #492731=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #492732=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #492733=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.035)); #492734=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #492735=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #492736=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #492737=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #492738=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #492739=CARTESIAN_POINT('Origin',(47.5555,42.1811,0.035)); #492740=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #492741=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #492742=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #492743=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #492744=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #492745=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.035)); #492746=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #492747=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #492748=CARTESIAN_POINT('Origin',(48.,41.762,0.07)); #492749=CARTESIAN_POINT('Origin',(48.,41.762,0.035)); #492750=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.035)); #492751=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #492752=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #492753=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #492754=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #492755=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #492756=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #492757=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #492758=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #492759=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.035)); #492760=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #492761=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #492762=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #492763=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #492764=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #492765=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.035)); #492766=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #492767=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #492768=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #492769=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #492770=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #492771=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.035)); #492772=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #492773=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #492774=CARTESIAN_POINT('Origin',(23.762,26.,0.07)); #492775=CARTESIAN_POINT('Origin',(23.762,26.,0.035)); #492776=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.035)); #492777=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #492778=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #492779=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #492780=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #492781=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #492782=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #492783=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #492784=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #492785=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.035)); #492786=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #492787=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #492788=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #492789=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #492790=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #492791=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.035)); #492792=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #492793=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #492794=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #492795=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #492796=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #492797=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.035)); #492798=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #492799=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #492800=CARTESIAN_POINT('Origin',(30.6,18.75,0.07)); #492801=CARTESIAN_POINT('Origin',(30.6,18.75,0.035)); #492802=CARTESIAN_POINT('Origin',(18.1811,50.0555,0.035)); #492803=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #492804=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #492805=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #492806=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #492807=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #492808=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #492809=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #492810=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #492811=CARTESIAN_POINT('Origin',(17.3429,50.0555,0.035)); #492812=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #492813=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #492814=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #492815=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #492816=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #492817=CARTESIAN_POINT('Origin',(17.3429,50.9445,0.035)); #492818=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #492819=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #492820=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #492821=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #492822=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #492823=CARTESIAN_POINT('Origin',(18.1811,50.9445,0.035)); #492824=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #492825=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #492826=CARTESIAN_POINT('Origin',(17.762,50.5,0.07)); #492827=CARTESIAN_POINT('Origin',(17.762,50.5,0.035)); #492828=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.035)); #492829=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #492830=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #492831=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #492832=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #492833=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #492834=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #492835=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #492836=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #492837=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.035)); #492838=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #492839=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #492840=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #492841=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #492842=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #492843=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.035)); #492844=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #492845=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #492846=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #492847=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #492848=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #492849=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.035)); #492850=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #492851=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #492852=CARTESIAN_POINT('Origin',(31.25,20.4,0.07)); #492853=CARTESIAN_POINT('Origin',(31.25,20.4,0.035)); #492854=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #492855=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #492856=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #492857=CARTESIAN_POINT('',(16.485,47.,0.0700000000000145)); #492858=CARTESIAN_POINT('',(16.485,47.,-200.)); #492859=CARTESIAN_POINT('Origin',(16.625,47.,0.0700000000000145)); #492860=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #492861=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0349999999999895)); #492862=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0700000000000145)); #492863=CARTESIAN_POINT('',(16.5071027990154,46.1745,-200.)); #492864=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0349999999999895)); #492865=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #492866=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0700000000000145)); #492867=CARTESIAN_POINT('',(16.7428972009846,46.1745,-200.)); #492868=CARTESIAN_POINT('Origin',(16.625,46.25,0.0700000000000145)); #492869=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #492870=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0700000000000145)); #492871=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0349999999999895)); #492872=CARTESIAN_POINT('',(15.6858,46.8755879427065,-200.)); #492873=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0700000000000145)); #492874=CARTESIAN_POINT('Origin',(15.75,47.,0.0700000000000145)); #492875=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0349999999999895)); #492876=CARTESIAN_POINT('',(15.6858,47.1244120572935,-200.)); #492877=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #492878=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #492879=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0349999999999895)); #492880=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0700000000000145)); #492881=CARTESIAN_POINT('',(15.6858,46.3744120572935,-200.)); #492882=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0349999999999895)); #492883=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #492884=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0700000000000145)); #492885=CARTESIAN_POINT('',(15.8678972009846,46.1745,-200.)); #492886=CARTESIAN_POINT('Origin',(15.75,46.25,0.0700000000000145)); #492887=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #492888=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0349999999999895)); #492889=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0700000000000145)); #492890=CARTESIAN_POINT('',(15.8678972009846,47.8255,-200.)); #492891=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0349999999999895)); #492892=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #492893=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0700000000000145)); #492894=CARTESIAN_POINT('',(15.6858,47.6255879427065,-200.)); #492895=CARTESIAN_POINT('Origin',(15.75,47.75,0.0700000000000145)); #492896=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #492897=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0349999999999895)); #492898=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0700000000000145)); #492899=CARTESIAN_POINT('',(16.7428972009846,47.8255,-200.)); #492900=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0349999999999895)); #492901=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #492902=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0700000000000145)); #492903=CARTESIAN_POINT('',(16.5071027990154,47.8255,-200.)); #492904=CARTESIAN_POINT('Origin',(16.625,47.75,0.0700000000000145)); #492905=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #492906=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0349999999999895)); #492907=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0700000000000145)); #492908=CARTESIAN_POINT('',(17.3622,47.7252783495696,-200.)); #492909=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0349999999999895)); #492910=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #492911=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0700000000000145)); #492912=CARTESIAN_POINT('',(17.3622,47.7747216504304,-200.)); #492913=CARTESIAN_POINT('Origin',(17.5,47.75,0.0700000000000145)); #492914=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #492915=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0349999999999895)); #492916=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0700000000000145)); #492917=CARTESIAN_POINT('',(17.3622,46.9752783495696,-200.)); #492918=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0349999999999895)); #492919=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #492920=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0700000000000145)); #492921=CARTESIAN_POINT('',(17.3622,47.0247216504304,-200.)); #492922=CARTESIAN_POINT('Origin',(17.5,47.,0.0700000000000145)); #492923=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #492924=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0349999999999895)); #492925=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0700000000000145)); #492926=CARTESIAN_POINT('',(17.3622,46.2252783495696,-200.)); #492927=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0349999999999895)); #492928=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #492929=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0700000000000145)); #492930=CARTESIAN_POINT('',(17.3622,46.2747216504304,-200.)); #492931=CARTESIAN_POINT('Origin',(17.5,46.25,0.0700000000000145)); #492932=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #492933=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #492934=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #492935=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #492936=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492937=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #492938=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #492939=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492940=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #492941=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #492942=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #492943=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #492944=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #492945=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #492946=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #492947=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #492948=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #492949=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #492950=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #492951=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #492952=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #492953=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #492954=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #492955=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492956=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #492957=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #492958=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492959=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #492960=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #492961=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492962=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #492963=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #492964=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #492965=CARTESIAN_POINT('Origin',(16.524,47.,0.035)); #492966=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #492967=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #492968=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #492969=CARTESIAN_POINT('Origin',(16.524,47.,0.07)); #492970=CARTESIAN_POINT('Origin',(32.857,53.6745,0.035)); #492971=CARTESIAN_POINT('',(32.857,53.6745,0.035)); #492972=CARTESIAN_POINT('',(32.857,55.3255,0.035)); #492973=CARTESIAN_POINT('',(32.857,53.6745,0.035)); #492974=CARTESIAN_POINT('',(32.857,55.3255,0.07)); #492975=CARTESIAN_POINT('',(32.857,55.3255,0.035)); #492976=CARTESIAN_POINT('',(32.857,53.6745,0.07)); #492977=CARTESIAN_POINT('',(32.857,53.6745,0.07)); #492978=CARTESIAN_POINT('',(32.857,53.6745,0.035)); #492979=CARTESIAN_POINT('Origin',(31.841,53.6745,0.035)); #492980=CARTESIAN_POINT('',(31.841,53.6745,0.035)); #492981=CARTESIAN_POINT('',(31.841,53.6745,0.035)); #492982=CARTESIAN_POINT('',(31.841,53.6745,0.07)); #492983=CARTESIAN_POINT('',(31.841,53.6745,0.07)); #492984=CARTESIAN_POINT('',(31.841,53.6745,0.035)); #492985=CARTESIAN_POINT('Origin',(31.841,55.3255,0.035)); #492986=CARTESIAN_POINT('',(31.841,55.3255,0.035)); #492987=CARTESIAN_POINT('',(31.841,55.3255,0.035)); #492988=CARTESIAN_POINT('',(31.841,55.3255,0.07)); #492989=CARTESIAN_POINT('',(31.841,55.3255,0.07)); #492990=CARTESIAN_POINT('',(31.841,55.3255,0.035)); #492991=CARTESIAN_POINT('Origin',(32.857,55.3255,0.035)); #492992=CARTESIAN_POINT('',(32.857,55.3255,0.035)); #492993=CARTESIAN_POINT('',(32.857,55.3255,0.07)); #492994=CARTESIAN_POINT('Origin',(32.349,54.5,0.07)); #492995=CARTESIAN_POINT('Origin',(32.349,54.5,0.035)); #492996=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #492997=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #492998=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #492999=CARTESIAN_POINT('',(30.622,60.25,0.0700000000000145)); #493000=CARTESIAN_POINT('',(30.622,60.25,-200.)); #493001=CARTESIAN_POINT('Origin',(30.762,60.25,0.0700000000000145)); #493002=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.035)); #493003=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #493004=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #493005=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #493006=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #493007=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #493008=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #493009=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #493010=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #493011=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.035)); #493012=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #493013=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #493014=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #493015=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #493016=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #493017=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.035)); #493018=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #493019=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #493020=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #493021=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #493022=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #493023=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.035)); #493024=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #493025=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #493026=CARTESIAN_POINT('Origin',(30.762,60.25,0.07)); #493027=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #493028=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.035)); #493029=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #493030=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #493031=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #493032=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #493033=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #493034=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #493035=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #493036=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #493037=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.035)); #493038=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #493039=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #493040=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #493041=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #493042=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #493043=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.035)); #493044=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #493045=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #493046=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #493047=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #493048=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #493049=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.035)); #493050=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #493051=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #493052=CARTESIAN_POINT('Origin',(54.238,50.5,0.07)); #493053=CARTESIAN_POINT('Origin',(54.238,50.5,0.035)); #493054=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.035)); #493055=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #493056=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #493057=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #493058=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #493059=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #493060=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #493061=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #493062=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #493063=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.035)); #493064=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #493065=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #493066=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #493067=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #493068=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #493069=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.035)); #493070=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #493071=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #493072=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #493073=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #493074=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #493075=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.035)); #493076=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #493077=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #493078=CARTESIAN_POINT('Origin',(36.25,20.4,0.07)); #493079=CARTESIAN_POINT('Origin',(36.25,20.4,0.035)); #493080=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.035)); #493081=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #493082=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #493083=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #493084=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #493085=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #493086=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #493087=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #493088=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #493089=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.035)); #493090=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #493091=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #493092=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #493093=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #493094=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #493095=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.035)); #493096=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #493097=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #493098=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #493099=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #493100=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #493101=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.035)); #493102=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #493103=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #493104=CARTESIAN_POINT('Origin',(51.762,50.5,0.07)); #493105=CARTESIAN_POINT('Origin',(51.762,50.5,0.035)); #493106=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #493107=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #493108=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #493109=CARTESIAN_POINT('',(34.622,60.25,0.0700000000000145)); #493110=CARTESIAN_POINT('',(34.622,60.25,-200.)); #493111=CARTESIAN_POINT('Origin',(34.762,60.25,0.0700000000000145)); #493112=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.035)); #493113=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #493114=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #493115=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #493116=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #493117=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #493118=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #493119=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #493120=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #493121=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.035)); #493122=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #493123=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #493124=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #493125=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #493126=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #493127=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.035)); #493128=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #493129=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #493130=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #493131=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #493132=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #493133=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.035)); #493134=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #493135=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #493136=CARTESIAN_POINT('Origin',(34.762,60.25,0.07)); #493137=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #493138=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #493139=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #493140=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #493141=CARTESIAN_POINT('',(38.622,60.25,0.0700000000000145)); #493142=CARTESIAN_POINT('',(38.622,60.25,-200.)); #493143=CARTESIAN_POINT('Origin',(38.762,60.25,0.0700000000000145)); #493144=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.035)); #493145=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #493146=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #493147=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #493148=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #493149=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #493150=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #493151=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #493152=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #493153=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.035)); #493154=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #493155=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #493156=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #493157=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #493158=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #493159=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.035)); #493160=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #493161=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #493162=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #493163=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #493164=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #493165=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.035)); #493166=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #493167=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #493168=CARTESIAN_POINT('Origin',(38.762,60.25,0.07)); #493169=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #493170=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.035)); #493171=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #493172=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #493173=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #493174=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #493175=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #493176=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #493177=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #493178=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #493179=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.035)); #493180=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #493181=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #493182=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #493183=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #493184=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #493185=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.035)); #493186=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #493187=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #493188=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #493189=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #493190=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #493191=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.035)); #493192=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #493193=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #493194=CARTESIAN_POINT('Origin',(33.75,13.6,0.07)); #493195=CARTESIAN_POINT('Origin',(33.75,13.6,0.035)); #493196=CARTESIAN_POINT('Origin',(50.6571,50.0555,0.035)); #493197=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #493198=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #493199=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #493200=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #493201=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #493202=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #493203=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #493204=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #493205=CARTESIAN_POINT('Origin',(49.8189,50.0555,0.035)); #493206=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #493207=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #493208=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #493209=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #493210=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #493211=CARTESIAN_POINT('Origin',(49.8189,50.9445,0.035)); #493212=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #493213=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #493214=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #493215=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #493216=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #493217=CARTESIAN_POINT('Origin',(50.6571,50.9445,0.035)); #493218=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #493219=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #493220=CARTESIAN_POINT('Origin',(50.238,50.5,0.07)); #493221=CARTESIAN_POINT('Origin',(50.238,50.5,0.035)); #493222=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.035)); #493223=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #493224=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #493225=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #493226=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #493227=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #493228=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #493229=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #493230=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #493231=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.035)); #493232=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #493233=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #493234=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #493235=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #493236=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #493237=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.035)); #493238=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #493239=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #493240=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #493241=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #493242=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #493243=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.035)); #493244=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #493245=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #493246=CARTESIAN_POINT('Origin',(35.75,20.4,0.07)); #493247=CARTESIAN_POINT('Origin',(35.75,20.4,0.035)); #493248=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #493249=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0349999999999895)); #493250=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0700000000000145)); #493251=CARTESIAN_POINT('',(52.0071027990154,46.1745,-200.)); #493252=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0349999999999895)); #493253=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #493254=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0700000000000145)); #493255=CARTESIAN_POINT('',(52.2428972009846,46.1745,-200.)); #493256=CARTESIAN_POINT('Origin',(52.125,46.25,0.0700000000000145)); #493257=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #493258=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0349999999999895)); #493259=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0700000000000145)); #493260=CARTESIAN_POINT('',(51.1321027990154,46.1745,-200.)); #493261=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0349999999999895)); #493262=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #493263=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0700000000000145)); #493264=CARTESIAN_POINT('',(51.3678972009846,46.1745,-200.)); #493265=CARTESIAN_POINT('Origin',(51.25,46.25,0.0700000000000145)); #493266=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #493267=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0349999999999895)); #493268=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0700000000000145)); #493269=CARTESIAN_POINT('',(51.3678972009846,47.8255,-200.)); #493270=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0349999999999895)); #493271=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #493272=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0700000000000145)); #493273=CARTESIAN_POINT('',(51.1321027990154,47.8255,-200.)); #493274=CARTESIAN_POINT('Origin',(51.25,47.75,0.0700000000000145)); #493275=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #493276=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #493277=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #493278=CARTESIAN_POINT('',(51.11,47.,0.0700000000000145)); #493279=CARTESIAN_POINT('',(51.11,47.,-200.)); #493280=CARTESIAN_POINT('Origin',(51.25,47.,0.0700000000000145)); #493281=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #493282=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0349999999999895)); #493283=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0700000000000145)); #493284=CARTESIAN_POINT('',(52.2428972009846,47.8255,-200.)); #493285=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0349999999999895)); #493286=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #493287=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0700000000000145)); #493288=CARTESIAN_POINT('',(52.0071027990154,47.8255,-200.)); #493289=CARTESIAN_POINT('Origin',(52.125,47.75,0.0700000000000145)); #493290=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #493291=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #493292=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #493293=CARTESIAN_POINT('',(51.985,47.,0.0700000000000145)); #493294=CARTESIAN_POINT('',(51.985,47.,-200.)); #493295=CARTESIAN_POINT('Origin',(52.125,47.,0.0700000000000145)); #493296=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #493297=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #493298=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #493299=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #493300=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #493301=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #493302=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #493303=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #493304=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #493305=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #493306=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #493307=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #493308=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #493309=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #493310=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #493311=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #493312=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #493313=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #493314=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #493315=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #493316=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #493317=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #493318=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #493319=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #493320=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #493321=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #493322=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #493323=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #493324=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #493325=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #493326=CARTESIAN_POINT('Origin',(51.476,47.,0.035)); #493327=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #493328=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #493329=CARTESIAN_POINT('Origin',(52.3142,46.1745,0.035)); #493330=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #493331=CARTESIAN_POINT('Origin',(50.6378,47.8255,0.035)); #493332=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #493333=CARTESIAN_POINT('Origin',(51.476,47.,0.07)); #493334=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.035)); #493335=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #493336=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #493337=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #493338=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #493339=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #493340=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #493341=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #493342=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #493343=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.035)); #493344=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #493345=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #493346=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #493347=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #493348=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #493349=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.035)); #493350=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #493351=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #493352=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #493353=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #493354=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #493355=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.035)); #493356=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #493357=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #493358=CARTESIAN_POINT('Origin',(13.762,50.5,0.07)); #493359=CARTESIAN_POINT('Origin',(13.762,50.5,0.035)); #493360=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.035)); #493361=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #493362=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #493363=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #493364=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #493365=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #493366=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #493367=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #493368=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #493369=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.035)); #493370=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #493371=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #493372=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #493373=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #493374=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #493375=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.035)); #493376=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #493377=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #493378=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #493379=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #493380=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #493381=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.035)); #493382=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #493383=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #493384=CARTESIAN_POINT('Origin',(30.6,19.75,0.07)); #493385=CARTESIAN_POINT('Origin',(30.6,19.75,0.035)); #493386=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.035)); #493387=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #493388=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #493389=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #493390=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #493391=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #493392=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #493393=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #493394=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #493395=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.035)); #493396=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #493397=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #493398=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #493399=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #493400=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #493401=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.035)); #493402=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #493403=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #493404=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #493405=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #493406=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #493407=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.035)); #493408=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #493409=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #493410=CARTESIAN_POINT('Origin',(16.238,50.5,0.07)); #493411=CARTESIAN_POINT('Origin',(16.238,50.5,0.035)); #493412=CARTESIAN_POINT('Origin',(29.9311,56.0555,0.035)); #493413=CARTESIAN_POINT('',(29.9311,56.0555,0.035)); #493414=CARTESIAN_POINT('',(29.9311,56.9445,0.035)); #493415=CARTESIAN_POINT('',(29.9311,56.0555,0.035)); #493416=CARTESIAN_POINT('',(29.9311,56.9445,0.07)); #493417=CARTESIAN_POINT('',(29.9311,56.9445,0.035)); #493418=CARTESIAN_POINT('',(29.9311,56.0555,0.07)); #493419=CARTESIAN_POINT('',(29.9311,56.0555,0.07)); #493420=CARTESIAN_POINT('',(29.9311,56.0555,0.035)); #493421=CARTESIAN_POINT('Origin',(29.0929,56.0555,0.035)); #493422=CARTESIAN_POINT('',(29.0929,56.0555,0.035)); #493423=CARTESIAN_POINT('',(29.0929,56.0555,0.035)); #493424=CARTESIAN_POINT('',(29.0929,56.0555,0.07)); #493425=CARTESIAN_POINT('',(29.0929,56.0555,0.07)); #493426=CARTESIAN_POINT('',(29.0929,56.0555,0.035)); #493427=CARTESIAN_POINT('Origin',(29.0929,56.9445,0.035)); #493428=CARTESIAN_POINT('',(29.0929,56.9445,0.035)); #493429=CARTESIAN_POINT('',(29.0929,56.9445,0.035)); #493430=CARTESIAN_POINT('',(29.0929,56.9445,0.07)); #493431=CARTESIAN_POINT('',(29.0929,56.9445,0.07)); #493432=CARTESIAN_POINT('',(29.0929,56.9445,0.035)); #493433=CARTESIAN_POINT('Origin',(29.9311,56.9445,0.035)); #493434=CARTESIAN_POINT('',(29.9311,56.9445,0.035)); #493435=CARTESIAN_POINT('',(29.9311,56.9445,0.07)); #493436=CARTESIAN_POINT('Origin',(29.512,56.5,0.07)); #493437=CARTESIAN_POINT('Origin',(29.512,56.5,0.035)); #493438=CARTESIAN_POINT('Origin',(29.9311,52.0555,0.035)); #493439=CARTESIAN_POINT('',(29.9311,52.0555,0.035)); #493440=CARTESIAN_POINT('',(29.9311,52.9445,0.035)); #493441=CARTESIAN_POINT('',(29.9311,52.0555,0.035)); #493442=CARTESIAN_POINT('',(29.9311,52.9445,0.07)); #493443=CARTESIAN_POINT('',(29.9311,52.9445,0.035)); #493444=CARTESIAN_POINT('',(29.9311,52.0555,0.07)); #493445=CARTESIAN_POINT('',(29.9311,52.0555,0.07)); #493446=CARTESIAN_POINT('',(29.9311,52.0555,0.035)); #493447=CARTESIAN_POINT('Origin',(29.0929,52.0555,0.035)); #493448=CARTESIAN_POINT('',(29.0929,52.0555,0.035)); #493449=CARTESIAN_POINT('',(29.0929,52.0555,0.035)); #493450=CARTESIAN_POINT('',(29.0929,52.0555,0.07)); #493451=CARTESIAN_POINT('',(29.0929,52.0555,0.07)); #493452=CARTESIAN_POINT('',(29.0929,52.0555,0.035)); #493453=CARTESIAN_POINT('Origin',(29.0929,52.9445,0.035)); #493454=CARTESIAN_POINT('',(29.0929,52.9445,0.035)); #493455=CARTESIAN_POINT('',(29.0929,52.9445,0.035)); #493456=CARTESIAN_POINT('',(29.0929,52.9445,0.07)); #493457=CARTESIAN_POINT('',(29.0929,52.9445,0.07)); #493458=CARTESIAN_POINT('',(29.0929,52.9445,0.035)); #493459=CARTESIAN_POINT('Origin',(29.9311,52.9445,0.035)); #493460=CARTESIAN_POINT('',(29.9311,52.9445,0.035)); #493461=CARTESIAN_POINT('',(29.9311,52.9445,0.07)); #493462=CARTESIAN_POINT('Origin',(29.512,52.5,0.07)); #493463=CARTESIAN_POINT('Origin',(29.512,52.5,0.035)); #493464=CARTESIAN_POINT('Origin',(38.9071,56.0555,0.035)); #493465=CARTESIAN_POINT('',(38.9071,56.0555,0.035)); #493466=CARTESIAN_POINT('',(38.9071,56.9445,0.035)); #493467=CARTESIAN_POINT('',(38.9071,56.0555,0.035)); #493468=CARTESIAN_POINT('',(38.9071,56.9445,0.07)); #493469=CARTESIAN_POINT('',(38.9071,56.9445,0.035)); #493470=CARTESIAN_POINT('',(38.9071,56.0555,0.07)); #493471=CARTESIAN_POINT('',(38.9071,56.0555,0.07)); #493472=CARTESIAN_POINT('',(38.9071,56.0555,0.035)); #493473=CARTESIAN_POINT('Origin',(38.0689,56.0555,0.035)); #493474=CARTESIAN_POINT('',(38.0689,56.0555,0.035)); #493475=CARTESIAN_POINT('',(38.0689,56.0555,0.035)); #493476=CARTESIAN_POINT('',(38.0689,56.0555,0.07)); #493477=CARTESIAN_POINT('',(38.0689,56.0555,0.07)); #493478=CARTESIAN_POINT('',(38.0689,56.0555,0.035)); #493479=CARTESIAN_POINT('Origin',(38.0689,56.9445,0.035)); #493480=CARTESIAN_POINT('',(38.0689,56.9445,0.035)); #493481=CARTESIAN_POINT('',(38.0689,56.9445,0.035)); #493482=CARTESIAN_POINT('',(38.0689,56.9445,0.07)); #493483=CARTESIAN_POINT('',(38.0689,56.9445,0.07)); #493484=CARTESIAN_POINT('',(38.0689,56.9445,0.035)); #493485=CARTESIAN_POINT('Origin',(38.9071,56.9445,0.035)); #493486=CARTESIAN_POINT('',(38.9071,56.9445,0.035)); #493487=CARTESIAN_POINT('',(38.9071,56.9445,0.07)); #493488=CARTESIAN_POINT('Origin',(38.488,56.5,0.07)); #493489=CARTESIAN_POINT('Origin',(38.488,56.5,0.035)); #493490=CARTESIAN_POINT('Origin',(38.9071,52.0555,0.035)); #493491=CARTESIAN_POINT('',(38.9071,52.0555,0.035)); #493492=CARTESIAN_POINT('',(38.9071,52.9445,0.035)); #493493=CARTESIAN_POINT('',(38.9071,52.0555,0.035)); #493494=CARTESIAN_POINT('',(38.9071,52.9445,0.07)); #493495=CARTESIAN_POINT('',(38.9071,52.9445,0.035)); #493496=CARTESIAN_POINT('',(38.9071,52.0555,0.07)); #493497=CARTESIAN_POINT('',(38.9071,52.0555,0.07)); #493498=CARTESIAN_POINT('',(38.9071,52.0555,0.035)); #493499=CARTESIAN_POINT('Origin',(38.0689,52.0555,0.035)); #493500=CARTESIAN_POINT('',(38.0689,52.0555,0.035)); #493501=CARTESIAN_POINT('',(38.0689,52.0555,0.035)); #493502=CARTESIAN_POINT('',(38.0689,52.0555,0.07)); #493503=CARTESIAN_POINT('',(38.0689,52.0555,0.07)); #493504=CARTESIAN_POINT('',(38.0689,52.0555,0.035)); #493505=CARTESIAN_POINT('Origin',(38.0689,52.9445,0.035)); #493506=CARTESIAN_POINT('',(38.0689,52.9445,0.035)); #493507=CARTESIAN_POINT('',(38.0689,52.9445,0.035)); #493508=CARTESIAN_POINT('',(38.0689,52.9445,0.07)); #493509=CARTESIAN_POINT('',(38.0689,52.9445,0.07)); #493510=CARTESIAN_POINT('',(38.0689,52.9445,0.035)); #493511=CARTESIAN_POINT('Origin',(38.9071,52.9445,0.035)); #493512=CARTESIAN_POINT('',(38.9071,52.9445,0.035)); #493513=CARTESIAN_POINT('',(38.9071,52.9445,0.07)); #493514=CARTESIAN_POINT('Origin',(38.488,52.5,0.07)); #493515=CARTESIAN_POINT('Origin',(38.488,52.5,0.035)); #493516=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.035)); #493517=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #493518=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #493519=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #493520=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #493521=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #493522=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #493523=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #493524=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #493525=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.035)); #493526=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #493527=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #493528=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #493529=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #493530=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #493531=CARTESIAN_POINT('Origin',(43.5555,42.1811,0.035)); #493532=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #493533=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #493534=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #493535=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #493536=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #493537=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.035)); #493538=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #493539=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #493540=CARTESIAN_POINT('Origin',(44.,41.762,0.07)); #493541=CARTESIAN_POINT('Origin',(44.,41.762,0.035)); #493542=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.035)); #493543=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #493544=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #493545=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #493546=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #493547=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #493548=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #493549=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #493550=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #493551=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.035)); #493552=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #493553=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #493554=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #493555=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #493556=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #493557=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.035)); #493558=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #493559=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #493560=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #493561=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #493562=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #493563=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.035)); #493564=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #493565=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #493566=CARTESIAN_POINT('Origin',(45.762,26.,0.07)); #493567=CARTESIAN_POINT('Origin',(45.762,26.,0.035)); #493568=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.035)); #493569=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #493570=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #493571=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #493572=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #493573=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #493574=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #493575=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #493576=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #493577=CARTESIAN_POINT('Origin',(31.1125,13.2875,0.035)); #493578=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #493579=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #493580=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #493581=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #493582=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #493583=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.035)); #493584=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #493585=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #493586=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #493587=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #493588=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #493589=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.035)); #493590=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #493591=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #493592=CARTESIAN_POINT('Origin',(31.25,13.6,0.07)); #493593=CARTESIAN_POINT('Origin',(31.25,13.6,0.035)); #493594=CARTESIAN_POINT('Origin',(43.9,17.775,0.035)); #493595=CARTESIAN_POINT('',(43.9,17.775,0.035)); #493596=CARTESIAN_POINT('',(43.9,18.175,0.035)); #493597=CARTESIAN_POINT('',(43.9,17.775,0.035)); #493598=CARTESIAN_POINT('',(43.9,18.175,0.07)); #493599=CARTESIAN_POINT('',(43.9,18.175,0.035)); #493600=CARTESIAN_POINT('',(43.9,17.775,0.07)); #493601=CARTESIAN_POINT('',(43.9,17.775,0.07)); #493602=CARTESIAN_POINT('',(43.9,17.775,0.035)); #493603=CARTESIAN_POINT('Origin',(43.1,17.775,0.035)); #493604=CARTESIAN_POINT('',(43.1,17.775,0.035)); #493605=CARTESIAN_POINT('',(43.1,17.775,0.035)); #493606=CARTESIAN_POINT('',(43.1,17.775,0.07)); #493607=CARTESIAN_POINT('',(43.1,17.775,0.07)); #493608=CARTESIAN_POINT('',(43.1,17.775,0.035)); #493609=CARTESIAN_POINT('Origin',(43.1,18.175,0.035)); #493610=CARTESIAN_POINT('',(43.1,18.175,0.035)); #493611=CARTESIAN_POINT('',(43.1,18.175,0.035)); #493612=CARTESIAN_POINT('',(43.1,18.175,0.07)); #493613=CARTESIAN_POINT('',(43.1,18.175,0.07)); #493614=CARTESIAN_POINT('',(43.1,18.175,0.035)); #493615=CARTESIAN_POINT('Origin',(43.9,18.175,0.035)); #493616=CARTESIAN_POINT('',(43.9,18.175,0.035)); #493617=CARTESIAN_POINT('',(43.9,18.175,0.07)); #493618=CARTESIAN_POINT('Origin',(43.5,17.975,0.07)); #493619=CARTESIAN_POINT('Origin',(43.5,17.975,0.035)); #493620=CARTESIAN_POINT('Origin',(43.9,17.125,0.035)); #493621=CARTESIAN_POINT('',(43.9,17.125,0.035)); #493622=CARTESIAN_POINT('',(43.9,17.525,0.035)); #493623=CARTESIAN_POINT('',(43.9,17.125,0.035)); #493624=CARTESIAN_POINT('',(43.9,17.525,0.07)); #493625=CARTESIAN_POINT('',(43.9,17.525,0.035)); #493626=CARTESIAN_POINT('',(43.9,17.125,0.07)); #493627=CARTESIAN_POINT('',(43.9,17.125,0.07)); #493628=CARTESIAN_POINT('',(43.9,17.125,0.035)); #493629=CARTESIAN_POINT('Origin',(43.1,17.125,0.035)); #493630=CARTESIAN_POINT('',(43.1,17.125,0.035)); #493631=CARTESIAN_POINT('',(43.1,17.125,0.035)); #493632=CARTESIAN_POINT('',(43.1,17.125,0.07)); #493633=CARTESIAN_POINT('',(43.1,17.125,0.07)); #493634=CARTESIAN_POINT('',(43.1,17.125,0.035)); #493635=CARTESIAN_POINT('Origin',(43.1,17.525,0.035)); #493636=CARTESIAN_POINT('',(43.1,17.525,0.035)); #493637=CARTESIAN_POINT('',(43.1,17.525,0.035)); #493638=CARTESIAN_POINT('',(43.1,17.525,0.07)); #493639=CARTESIAN_POINT('',(43.1,17.525,0.07)); #493640=CARTESIAN_POINT('',(43.1,17.525,0.035)); #493641=CARTESIAN_POINT('Origin',(43.9,17.525,0.035)); #493642=CARTESIAN_POINT('',(43.9,17.525,0.035)); #493643=CARTESIAN_POINT('',(43.9,17.525,0.07)); #493644=CARTESIAN_POINT('Origin',(43.5,17.325,0.07)); #493645=CARTESIAN_POINT('Origin',(43.5,17.325,0.035)); #493646=CARTESIAN_POINT('Origin',(44.3142,11.4245,0.035)); #493647=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #493648=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #493649=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #493650=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #493651=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #493652=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #493653=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #493654=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #493655=CARTESIAN_POINT('Origin',(42.6378,11.4245,0.035)); #493656=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #493657=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #493658=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #493659=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #493660=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #493661=CARTESIAN_POINT('Origin',(42.6378,13.0755,0.035)); #493662=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #493663=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #493664=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #493665=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #493666=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #493667=CARTESIAN_POINT('Origin',(44.3142,13.0755,0.035)); #493668=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #493669=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #493670=CARTESIAN_POINT('Origin',(43.476,12.25,0.07)); #493671=CARTESIAN_POINT('Origin',(43.476,12.25,0.035)); #493672=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.035)); #493673=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #493674=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #493675=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #493676=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #493677=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #493678=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #493679=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #493680=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #493681=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.035)); #493682=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #493683=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #493684=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #493685=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #493686=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #493687=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.035)); #493688=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #493689=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #493690=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #493691=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #493692=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #493693=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.035)); #493694=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #493695=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #493696=CARTESIAN_POINT('Origin',(37.4,17.75,0.07)); #493697=CARTESIAN_POINT('Origin',(37.4,17.75,0.035)); #493698=CARTESIAN_POINT('Origin',(43.9,16.475,0.035)); #493699=CARTESIAN_POINT('',(43.9,16.475,0.035)); #493700=CARTESIAN_POINT('',(43.9,16.875,0.035)); #493701=CARTESIAN_POINT('',(43.9,16.475,0.035)); #493702=CARTESIAN_POINT('',(43.9,16.875,0.07)); #493703=CARTESIAN_POINT('',(43.9,16.875,0.035)); #493704=CARTESIAN_POINT('',(43.9,16.475,0.07)); #493705=CARTESIAN_POINT('',(43.9,16.475,0.07)); #493706=CARTESIAN_POINT('',(43.9,16.475,0.035)); #493707=CARTESIAN_POINT('Origin',(43.1,16.475,0.035)); #493708=CARTESIAN_POINT('',(43.1,16.475,0.035)); #493709=CARTESIAN_POINT('',(43.1,16.475,0.035)); #493710=CARTESIAN_POINT('',(43.1,16.475,0.07)); #493711=CARTESIAN_POINT('',(43.1,16.475,0.07)); #493712=CARTESIAN_POINT('',(43.1,16.475,0.035)); #493713=CARTESIAN_POINT('Origin',(43.1,16.875,0.035)); #493714=CARTESIAN_POINT('',(43.1,16.875,0.035)); #493715=CARTESIAN_POINT('',(43.1,16.875,0.035)); #493716=CARTESIAN_POINT('',(43.1,16.875,0.07)); #493717=CARTESIAN_POINT('',(43.1,16.875,0.07)); #493718=CARTESIAN_POINT('',(43.1,16.875,0.035)); #493719=CARTESIAN_POINT('Origin',(43.9,16.875,0.035)); #493720=CARTESIAN_POINT('',(43.9,16.875,0.035)); #493721=CARTESIAN_POINT('',(43.9,16.875,0.07)); #493722=CARTESIAN_POINT('Origin',(43.5,16.675,0.07)); #493723=CARTESIAN_POINT('Origin',(43.5,16.675,0.035)); #493724=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.035)); #493725=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #493726=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #493727=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #493728=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #493729=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #493730=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #493731=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #493732=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #493733=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.035)); #493734=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #493735=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #493736=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #493737=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #493738=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #493739=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.035)); #493740=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #493741=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #493742=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #493743=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #493744=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #493745=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.035)); #493746=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #493747=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #493748=CARTESIAN_POINT('Origin',(21.,28.238,0.07)); #493749=CARTESIAN_POINT('Origin',(21.,28.238,0.035)); #493750=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.035)); #493751=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #493752=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #493753=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #493754=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #493755=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #493756=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #493757=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #493758=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #493759=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.035)); #493760=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #493761=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #493762=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #493763=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #493764=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #493765=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.035)); #493766=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #493767=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #493768=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #493769=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #493770=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #493771=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.035)); #493772=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #493773=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #493774=CARTESIAN_POINT('Origin',(30.6,17.75,0.07)); #493775=CARTESIAN_POINT('Origin',(30.6,17.75,0.035)); #493776=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.035)); #493777=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #493778=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #493779=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #493780=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #493781=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #493782=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #493783=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #493784=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #493785=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.035)); #493786=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #493787=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #493788=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #493789=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #493790=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #493791=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.035)); #493792=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #493793=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #493794=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #493795=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #493796=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #493797=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.035)); #493798=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #493799=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #493800=CARTESIAN_POINT('Origin',(25.,28.238,0.07)); #493801=CARTESIAN_POINT('Origin',(25.,28.238,0.035)); #493802=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.035)); #493803=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #493804=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #493805=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #493806=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #493807=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #493808=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #493809=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #493810=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #493811=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.035)); #493812=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #493813=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #493814=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #493815=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #493816=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #493817=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.035)); #493818=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #493819=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #493820=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #493821=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #493822=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #493823=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.035)); #493824=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #493825=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #493826=CARTESIAN_POINT('Origin',(30.6,16.25,0.07)); #493827=CARTESIAN_POINT('Origin',(30.6,16.25,0.035)); #493828=CARTESIAN_POINT('Origin',(29.6571,59.8055,0.035)); #493829=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #493830=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #493831=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #493832=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #493833=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #493834=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #493835=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #493836=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #493837=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.035)); #493838=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #493839=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #493840=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #493841=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #493842=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #493843=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.035)); #493844=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #493845=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #493846=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #493847=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #493848=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #493849=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.035)); #493850=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #493851=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #493852=CARTESIAN_POINT('Origin',(29.238,60.25,0.07)); #493853=CARTESIAN_POINT('Origin',(29.238,60.25,0.035)); #493854=CARTESIAN_POINT('Origin',(46.9,16.475,0.035)); #493855=CARTESIAN_POINT('',(46.9,16.475,0.035)); #493856=CARTESIAN_POINT('',(46.9,16.875,0.035)); #493857=CARTESIAN_POINT('',(46.9,16.475,0.035)); #493858=CARTESIAN_POINT('',(46.9,16.875,0.07)); #493859=CARTESIAN_POINT('',(46.9,16.875,0.035)); #493860=CARTESIAN_POINT('',(46.9,16.475,0.07)); #493861=CARTESIAN_POINT('',(46.9,16.475,0.07)); #493862=CARTESIAN_POINT('',(46.9,16.475,0.035)); #493863=CARTESIAN_POINT('Origin',(46.1,16.475,0.035)); #493864=CARTESIAN_POINT('',(46.1,16.475,0.035)); #493865=CARTESIAN_POINT('',(46.1,16.475,0.035)); #493866=CARTESIAN_POINT('',(46.1,16.475,0.07)); #493867=CARTESIAN_POINT('',(46.1,16.475,0.07)); #493868=CARTESIAN_POINT('',(46.1,16.475,0.035)); #493869=CARTESIAN_POINT('Origin',(46.1,16.875,0.035)); #493870=CARTESIAN_POINT('',(46.1,16.875,0.035)); #493871=CARTESIAN_POINT('',(46.1,16.875,0.035)); #493872=CARTESIAN_POINT('',(46.1,16.875,0.07)); #493873=CARTESIAN_POINT('',(46.1,16.875,0.07)); #493874=CARTESIAN_POINT('',(46.1,16.875,0.035)); #493875=CARTESIAN_POINT('Origin',(46.9,16.875,0.035)); #493876=CARTESIAN_POINT('',(46.9,16.875,0.035)); #493877=CARTESIAN_POINT('',(46.9,16.875,0.07)); #493878=CARTESIAN_POINT('Origin',(46.5,16.675,0.07)); #493879=CARTESIAN_POINT('Origin',(46.5,16.675,0.035)); #493880=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.035)); #493881=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #493882=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #493883=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #493884=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #493885=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #493886=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #493887=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #493888=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #493889=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.035)); #493890=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #493891=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #493892=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #493893=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #493894=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #493895=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.035)); #493896=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #493897=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #493898=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #493899=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #493900=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #493901=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.035)); #493902=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #493903=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #493904=CARTESIAN_POINT('Origin',(36.75,20.4,0.07)); #493905=CARTESIAN_POINT('Origin',(36.75,20.4,0.035)); #493906=CARTESIAN_POINT('Origin',(46.9,17.125,0.035)); #493907=CARTESIAN_POINT('',(46.9,17.125,0.035)); #493908=CARTESIAN_POINT('',(46.9,17.525,0.035)); #493909=CARTESIAN_POINT('',(46.9,17.125,0.035)); #493910=CARTESIAN_POINT('',(46.9,17.525,0.07)); #493911=CARTESIAN_POINT('',(46.9,17.525,0.035)); #493912=CARTESIAN_POINT('',(46.9,17.125,0.07)); #493913=CARTESIAN_POINT('',(46.9,17.125,0.07)); #493914=CARTESIAN_POINT('',(46.9,17.125,0.035)); #493915=CARTESIAN_POINT('Origin',(46.1,17.125,0.035)); #493916=CARTESIAN_POINT('',(46.1,17.125,0.035)); #493917=CARTESIAN_POINT('',(46.1,17.125,0.035)); #493918=CARTESIAN_POINT('',(46.1,17.125,0.07)); #493919=CARTESIAN_POINT('',(46.1,17.125,0.07)); #493920=CARTESIAN_POINT('',(46.1,17.125,0.035)); #493921=CARTESIAN_POINT('Origin',(46.1,17.525,0.035)); #493922=CARTESIAN_POINT('',(46.1,17.525,0.035)); #493923=CARTESIAN_POINT('',(46.1,17.525,0.035)); #493924=CARTESIAN_POINT('',(46.1,17.525,0.07)); #493925=CARTESIAN_POINT('',(46.1,17.525,0.07)); #493926=CARTESIAN_POINT('',(46.1,17.525,0.035)); #493927=CARTESIAN_POINT('Origin',(46.9,17.525,0.035)); #493928=CARTESIAN_POINT('',(46.9,17.525,0.035)); #493929=CARTESIAN_POINT('',(46.9,17.525,0.07)); #493930=CARTESIAN_POINT('Origin',(46.5,17.325,0.07)); #493931=CARTESIAN_POINT('Origin',(46.5,17.325,0.035)); #493932=CARTESIAN_POINT('Origin',(55.3622,46.1745,0.035)); #493933=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #493934=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #493935=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #493936=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #493937=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #493938=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #493939=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #493940=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #493941=CARTESIAN_POINT('Origin',(53.6858,46.1745,0.035)); #493942=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #493943=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #493944=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #493945=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #493946=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #493947=CARTESIAN_POINT('Origin',(53.6858,47.8255,0.035)); #493948=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #493949=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #493950=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #493951=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #493952=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #493953=CARTESIAN_POINT('Origin',(55.3622,47.8255,0.035)); #493954=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #493955=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #493956=CARTESIAN_POINT('Origin',(54.524,47.,0.07)); #493957=CARTESIAN_POINT('Origin',(54.524,47.,0.035)); #493958=CARTESIAN_POINT('Origin',(46.9,17.775,0.035)); #493959=CARTESIAN_POINT('',(46.9,17.775,0.035)); #493960=CARTESIAN_POINT('',(46.9,18.175,0.035)); #493961=CARTESIAN_POINT('',(46.9,17.775,0.035)); #493962=CARTESIAN_POINT('',(46.9,18.175,0.07)); #493963=CARTESIAN_POINT('',(46.9,18.175,0.035)); #493964=CARTESIAN_POINT('',(46.9,17.775,0.07)); #493965=CARTESIAN_POINT('',(46.9,17.775,0.07)); #493966=CARTESIAN_POINT('',(46.9,17.775,0.035)); #493967=CARTESIAN_POINT('Origin',(46.1,17.775,0.035)); #493968=CARTESIAN_POINT('',(46.1,17.775,0.035)); #493969=CARTESIAN_POINT('',(46.1,17.775,0.035)); #493970=CARTESIAN_POINT('',(46.1,17.775,0.07)); #493971=CARTESIAN_POINT('',(46.1,17.775,0.07)); #493972=CARTESIAN_POINT('',(46.1,17.775,0.035)); #493973=CARTESIAN_POINT('Origin',(46.1,18.175,0.035)); #493974=CARTESIAN_POINT('',(46.1,18.175,0.035)); #493975=CARTESIAN_POINT('',(46.1,18.175,0.035)); #493976=CARTESIAN_POINT('',(46.1,18.175,0.07)); #493977=CARTESIAN_POINT('',(46.1,18.175,0.07)); #493978=CARTESIAN_POINT('',(46.1,18.175,0.035)); #493979=CARTESIAN_POINT('Origin',(46.9,18.175,0.035)); #493980=CARTESIAN_POINT('',(46.9,18.175,0.035)); #493981=CARTESIAN_POINT('',(46.9,18.175,0.07)); #493982=CARTESIAN_POINT('Origin',(46.5,17.975,0.07)); #493983=CARTESIAN_POINT('Origin',(46.5,17.975,0.035)); #493984=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #493985=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #493986=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #493987=CARTESIAN_POINT('',(51.86,20.25,0.0700000000000145)); #493988=CARTESIAN_POINT('',(51.86,20.25,-200.)); #493989=CARTESIAN_POINT('Origin',(52.,20.25,0.0700000000000145)); #493990=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #493991=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #493992=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #493993=CARTESIAN_POINT('',(51.86,21.75,0.0700000000000145)); #493994=CARTESIAN_POINT('',(51.86,21.75,-200.)); #493995=CARTESIAN_POINT('Origin',(52.,21.75,0.0700000000000145)); #493996=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #493997=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #493998=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #493999=CARTESIAN_POINT('',(52.61,20.25,0.0700000000000145)); #494000=CARTESIAN_POINT('',(52.61,20.25,-200.)); #494001=CARTESIAN_POINT('Origin',(52.75,20.25,0.0700000000000145)); #494002=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #494003=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #494004=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #494005=CARTESIAN_POINT('',(52.61,21.75,0.0700000000000145)); #494006=CARTESIAN_POINT('',(52.61,21.75,-200.)); #494007=CARTESIAN_POINT('Origin',(52.75,21.75,0.0700000000000145)); #494008=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #494009=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #494010=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #494011=CARTESIAN_POINT('',(51.86,21.,0.0700000000000145)); #494012=CARTESIAN_POINT('',(51.86,21.,-200.)); #494013=CARTESIAN_POINT('Origin',(52.,21.,0.0700000000000145)); #494014=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #494015=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #494016=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #494017=CARTESIAN_POINT('',(52.61,21.,0.0700000000000145)); #494018=CARTESIAN_POINT('',(52.61,21.,-200.)); #494019=CARTESIAN_POINT('Origin',(52.75,21.,0.0700000000000145)); #494020=CARTESIAN_POINT('Origin',(53.3142,19.6665,0.035)); #494021=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #494022=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #494023=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #494024=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #494025=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #494026=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #494027=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #494028=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #494029=CARTESIAN_POINT('Origin',(51.6378,19.6665,0.035)); #494030=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #494031=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #494032=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #494033=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #494034=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #494035=CARTESIAN_POINT('Origin',(51.6378,22.3335,0.035)); #494036=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #494037=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #494038=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #494039=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #494040=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #494041=CARTESIAN_POINT('Origin',(53.3142,22.3335,0.035)); #494042=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #494043=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #494044=CARTESIAN_POINT('Origin',(52.476,21.,0.07)); #494045=CARTESIAN_POINT('Origin',(52.476,21.,0.035)); #494046=CARTESIAN_POINT('Origin',(45.625,15.825,0.035)); #494047=CARTESIAN_POINT('',(45.625,15.825,0.035)); #494048=CARTESIAN_POINT('',(45.625,18.175,0.035)); #494049=CARTESIAN_POINT('',(45.625,15.825,0.035)); #494050=CARTESIAN_POINT('',(45.625,18.175,0.07)); #494051=CARTESIAN_POINT('',(45.625,18.175,0.035)); #494052=CARTESIAN_POINT('',(45.625,15.825,0.07)); #494053=CARTESIAN_POINT('',(45.625,15.825,0.07)); #494054=CARTESIAN_POINT('',(45.625,15.825,0.035)); #494055=CARTESIAN_POINT('Origin',(44.375,15.825,0.035)); #494056=CARTESIAN_POINT('',(44.375,15.825,0.035)); #494057=CARTESIAN_POINT('',(44.375,15.825,0.035)); #494058=CARTESIAN_POINT('',(44.375,15.825,0.07)); #494059=CARTESIAN_POINT('',(44.375,15.825,0.07)); #494060=CARTESIAN_POINT('',(44.375,15.825,0.035)); #494061=CARTESIAN_POINT('Origin',(44.375,18.175,0.035)); #494062=CARTESIAN_POINT('',(44.375,18.175,0.035)); #494063=CARTESIAN_POINT('',(44.375,18.175,0.035)); #494064=CARTESIAN_POINT('',(44.375,18.175,0.07)); #494065=CARTESIAN_POINT('',(44.375,18.175,0.07)); #494066=CARTESIAN_POINT('',(44.375,18.175,0.035)); #494067=CARTESIAN_POINT('Origin',(45.625,18.175,0.035)); #494068=CARTESIAN_POINT('',(45.625,18.175,0.035)); #494069=CARTESIAN_POINT('',(45.625,18.175,0.07)); #494070=CARTESIAN_POINT('Origin',(45.,17.,0.07)); #494071=CARTESIAN_POINT('Origin',(45.,17.,0.035)); #494072=CARTESIAN_POINT('Origin',(56.1811,50.0555,0.035)); #494073=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #494074=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #494075=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #494076=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #494077=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #494078=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #494079=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #494080=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #494081=CARTESIAN_POINT('Origin',(55.3429,50.0555,0.035)); #494082=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #494083=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #494084=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #494085=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #494086=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #494087=CARTESIAN_POINT('Origin',(55.3429,50.9445,0.035)); #494088=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #494089=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #494090=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #494091=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #494092=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #494093=CARTESIAN_POINT('Origin',(56.1811,50.9445,0.035)); #494094=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #494095=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #494096=CARTESIAN_POINT('Origin',(55.762,50.5,0.07)); #494097=CARTESIAN_POINT('Origin',(55.762,50.5,0.035)); #494098=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.035)); #494099=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #494100=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #494101=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #494102=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #494103=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #494104=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #494105=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #494106=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #494107=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.035)); #494108=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #494109=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #494110=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #494111=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #494112=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #494113=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.035)); #494114=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #494115=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #494116=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #494117=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #494118=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #494119=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.035)); #494120=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #494121=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #494122=CARTESIAN_POINT('Origin',(37.4,19.75,0.07)); #494123=CARTESIAN_POINT('Origin',(37.4,19.75,0.035)); #494124=CARTESIAN_POINT('Origin',(46.9,15.825,0.035)); #494125=CARTESIAN_POINT('',(46.9,15.825,0.035)); #494126=CARTESIAN_POINT('',(46.9,16.225,0.035)); #494127=CARTESIAN_POINT('',(46.9,15.825,0.035)); #494128=CARTESIAN_POINT('',(46.9,16.225,0.07)); #494129=CARTESIAN_POINT('',(46.9,16.225,0.035)); #494130=CARTESIAN_POINT('',(46.9,15.825,0.07)); #494131=CARTESIAN_POINT('',(46.9,15.825,0.07)); #494132=CARTESIAN_POINT('',(46.9,15.825,0.035)); #494133=CARTESIAN_POINT('Origin',(46.1,15.825,0.035)); #494134=CARTESIAN_POINT('',(46.1,15.825,0.035)); #494135=CARTESIAN_POINT('',(46.1,15.825,0.035)); #494136=CARTESIAN_POINT('',(46.1,15.825,0.07)); #494137=CARTESIAN_POINT('',(46.1,15.825,0.07)); #494138=CARTESIAN_POINT('',(46.1,15.825,0.035)); #494139=CARTESIAN_POINT('Origin',(46.1,16.225,0.035)); #494140=CARTESIAN_POINT('',(46.1,16.225,0.035)); #494141=CARTESIAN_POINT('',(46.1,16.225,0.035)); #494142=CARTESIAN_POINT('',(46.1,16.225,0.07)); #494143=CARTESIAN_POINT('',(46.1,16.225,0.07)); #494144=CARTESIAN_POINT('',(46.1,16.225,0.035)); #494145=CARTESIAN_POINT('Origin',(46.9,16.225,0.035)); #494146=CARTESIAN_POINT('',(46.9,16.225,0.035)); #494147=CARTESIAN_POINT('',(46.9,16.225,0.07)); #494148=CARTESIAN_POINT('Origin',(46.5,16.025,0.07)); #494149=CARTESIAN_POINT('Origin',(46.5,16.025,0.035)); #494150=CARTESIAN_POINT('Origin',(53.3142,24.6665,0.035)); #494151=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #494152=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #494153=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #494154=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #494155=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #494156=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #494157=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #494158=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #494159=CARTESIAN_POINT('Origin',(51.6378,24.6665,0.035)); #494160=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #494161=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #494162=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #494163=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #494164=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #494165=CARTESIAN_POINT('Origin',(51.6378,27.3335,0.035)); #494166=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #494167=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #494168=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #494169=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #494170=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #494171=CARTESIAN_POINT('Origin',(53.3142,27.3335,0.035)); #494172=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #494173=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #494174=CARTESIAN_POINT('Origin',(52.476,26.,0.07)); #494175=CARTESIAN_POINT('Origin',(52.476,26.,0.035)); #494176=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.035)); #494177=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #494178=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #494179=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #494180=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #494181=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #494182=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #494183=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #494184=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #494185=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.035)); #494186=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #494187=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #494188=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #494189=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #494190=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #494191=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.035)); #494192=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #494193=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #494194=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #494195=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #494196=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #494197=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.035)); #494198=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #494199=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #494200=CARTESIAN_POINT('Origin',(37.4,18.75,0.07)); #494201=CARTESIAN_POINT('Origin',(37.4,18.75,0.035)); #494202=CARTESIAN_POINT('Origin',(26.1811,17.5555,0.035)); #494203=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #494204=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #494205=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #494206=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #494207=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #494208=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #494209=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #494210=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #494211=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.035)); #494212=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #494213=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #494214=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #494215=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #494216=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #494217=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.035)); #494218=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #494219=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #494220=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #494221=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #494222=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #494223=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.035)); #494224=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #494225=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #494226=CARTESIAN_POINT('Origin',(25.762,18.,0.07)); #494227=CARTESIAN_POINT('Origin',(25.762,18.,0.035)); #494228=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.035)); #494229=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #494230=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #494231=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #494232=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #494233=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #494234=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #494235=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #494236=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #494237=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.035)); #494238=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #494239=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #494240=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #494241=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #494242=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #494243=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.035)); #494244=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #494245=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #494246=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #494247=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #494248=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #494249=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.035)); #494250=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #494251=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #494252=CARTESIAN_POINT('Origin',(30.6,14.75,0.07)); #494253=CARTESIAN_POINT('Origin',(30.6,14.75,0.035)); #494254=CARTESIAN_POINT('',(0.,0.,0.)); #494255=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #494256=CARTESIAN_POINT('',(32.165,45.,0.)); #494257=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #494258=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #494259=CARTESIAN_POINT('',(32.165,45.,-200.)); #494260=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #494261=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #494262=CARTESIAN_POINT('',(32.769294,44.1,0.)); #494263=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #494264=CARTESIAN_POINT('',(32.769294,44.1,0.)); #494265=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #494266=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #494267=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #494268=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #494269=CARTESIAN_POINT('',(32.769294,44.1,0.)); #494270=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #494271=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #494272=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #494273=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #494274=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #494275=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #494276=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #494277=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #494278=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #494279=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #494280=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #494281=CARTESIAN_POINT('Origin',(21.2675,21.215,0.)); #494282=CARTESIAN_POINT('',(21.2675,21.215,0.)); #494283=CARTESIAN_POINT('',(21.2675,22.485,0.)); #494284=CARTESIAN_POINT('',(21.2675,21.215,0.)); #494285=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #494286=CARTESIAN_POINT('',(21.2675,22.485,0.)); #494287=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #494288=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #494289=CARTESIAN_POINT('',(21.2675,21.215,0.)); #494290=CARTESIAN_POINT('Origin',(20.6325,21.215,0.)); #494291=CARTESIAN_POINT('',(20.6325,21.215,0.)); #494292=CARTESIAN_POINT('',(20.6325,21.215,0.)); #494293=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #494294=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #494295=CARTESIAN_POINT('',(20.6325,21.215,0.)); #494296=CARTESIAN_POINT('Origin',(20.6325,22.485,0.)); #494297=CARTESIAN_POINT('',(20.6325,22.485,0.)); #494298=CARTESIAN_POINT('',(20.6325,22.485,0.)); #494299=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #494300=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #494301=CARTESIAN_POINT('',(20.6325,22.485,0.)); #494302=CARTESIAN_POINT('Origin',(21.2675,22.485,0.)); #494303=CARTESIAN_POINT('',(21.2675,22.485,0.)); #494304=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #494305=CARTESIAN_POINT('Origin',(20.95,21.85,0.035)); #494306=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #494307=CARTESIAN_POINT('Origin',(32.9,6.67,0.)); #494308=CARTESIAN_POINT('',(32.9,6.67,0.)); #494309=CARTESIAN_POINT('',(32.9,7.82,0.)); #494310=CARTESIAN_POINT('',(32.9,6.67,0.)); #494311=CARTESIAN_POINT('',(32.9,7.82,0.035)); #494312=CARTESIAN_POINT('',(32.9,7.82,0.)); #494313=CARTESIAN_POINT('',(32.9,6.67,0.035)); #494314=CARTESIAN_POINT('',(32.9,6.67,0.035)); #494315=CARTESIAN_POINT('',(32.9,6.67,0.)); #494316=CARTESIAN_POINT('Origin',(32.6,6.67,0.)); #494317=CARTESIAN_POINT('',(32.6,6.67,0.)); #494318=CARTESIAN_POINT('',(32.6,6.67,0.)); #494319=CARTESIAN_POINT('',(32.6,6.67,0.035)); #494320=CARTESIAN_POINT('',(32.6,6.67,0.035)); #494321=CARTESIAN_POINT('',(32.6,6.67,0.)); #494322=CARTESIAN_POINT('Origin',(32.6,7.82,0.)); #494323=CARTESIAN_POINT('',(32.6,7.82,0.)); #494324=CARTESIAN_POINT('',(32.6,7.82,0.)); #494325=CARTESIAN_POINT('',(32.6,7.82,0.035)); #494326=CARTESIAN_POINT('',(32.6,7.82,0.035)); #494327=CARTESIAN_POINT('',(32.6,7.82,0.)); #494328=CARTESIAN_POINT('Origin',(32.9,7.82,0.)); #494329=CARTESIAN_POINT('',(32.9,7.82,0.)); #494330=CARTESIAN_POINT('',(32.9,7.82,0.035)); #494331=CARTESIAN_POINT('Origin',(32.75,7.245,0.035)); #494332=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #494333=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #494334=CARTESIAN_POINT('',(36.285,7.1,0.)); #494335=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #494336=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #494337=CARTESIAN_POINT('',(36.285,7.1,-200.)); #494338=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #494339=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #494340=CARTESIAN_POINT('',(36.285,7.6,0.)); #494341=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #494342=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #494343=CARTESIAN_POINT('',(36.285,7.6,-200.)); #494344=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #494345=CARTESIAN_POINT('Origin',(36.705,6.665,0.)); #494346=CARTESIAN_POINT('',(36.705,6.665,0.)); #494347=CARTESIAN_POINT('',(36.705,7.825,0.)); #494348=CARTESIAN_POINT('',(36.705,6.665,0.)); #494349=CARTESIAN_POINT('',(36.705,7.825,0.035)); #494350=CARTESIAN_POINT('',(36.705,7.825,0.)); #494351=CARTESIAN_POINT('',(36.705,6.665,0.035)); #494352=CARTESIAN_POINT('',(36.705,6.665,0.035)); #494353=CARTESIAN_POINT('',(36.705,6.665,0.)); #494354=CARTESIAN_POINT('Origin',(36.095,6.665,0.)); #494355=CARTESIAN_POINT('',(36.095,6.665,0.)); #494356=CARTESIAN_POINT('',(36.095,6.665,0.)); #494357=CARTESIAN_POINT('',(36.095,6.665,0.035)); #494358=CARTESIAN_POINT('',(36.095,6.665,0.035)); #494359=CARTESIAN_POINT('',(36.095,6.665,0.)); #494360=CARTESIAN_POINT('Origin',(36.095,7.825,0.)); #494361=CARTESIAN_POINT('',(36.095,7.825,0.)); #494362=CARTESIAN_POINT('',(36.095,7.825,0.)); #494363=CARTESIAN_POINT('',(36.095,7.825,0.035)); #494364=CARTESIAN_POINT('',(36.095,7.825,0.035)); #494365=CARTESIAN_POINT('',(36.095,7.825,0.)); #494366=CARTESIAN_POINT('Origin',(36.705,7.825,0.)); #494367=CARTESIAN_POINT('',(36.705,7.825,0.)); #494368=CARTESIAN_POINT('',(36.705,7.825,0.035)); #494369=CARTESIAN_POINT('Origin',(36.4,7.245,0.035)); #494370=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #494371=CARTESIAN_POINT('Origin',(46.3998,17.5585,0.)); #494372=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #494373=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #494374=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #494375=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #494376=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #494377=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #494378=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #494379=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #494380=CARTESIAN_POINT('Origin',(45.7902,17.5585,0.)); #494381=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #494382=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #494383=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #494384=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #494385=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #494386=CARTESIAN_POINT('Origin',(45.7902,19.2095,0.)); #494387=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #494388=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #494389=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #494390=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #494391=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #494392=CARTESIAN_POINT('Origin',(46.3998,19.2095,0.)); #494393=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #494394=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #494395=CARTESIAN_POINT('Origin',(46.095,18.384,0.035)); #494396=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #494397=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #494398=CARTESIAN_POINT('',(31.485,7.6,0.)); #494399=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #494400=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #494401=CARTESIAN_POINT('',(31.485,7.6,-200.)); #494402=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #494403=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #494404=CARTESIAN_POINT('',(31.485,7.1,0.)); #494405=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #494406=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #494407=CARTESIAN_POINT('',(31.485,7.1,-200.)); #494408=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #494409=CARTESIAN_POINT('Origin',(31.905,6.665,0.)); #494410=CARTESIAN_POINT('',(31.905,6.665,0.)); #494411=CARTESIAN_POINT('',(31.905,7.825,0.)); #494412=CARTESIAN_POINT('',(31.905,6.665,0.)); #494413=CARTESIAN_POINT('',(31.905,7.825,0.035)); #494414=CARTESIAN_POINT('',(31.905,7.825,0.)); #494415=CARTESIAN_POINT('',(31.905,6.665,0.035)); #494416=CARTESIAN_POINT('',(31.905,6.665,0.035)); #494417=CARTESIAN_POINT('',(31.905,6.665,0.)); #494418=CARTESIAN_POINT('Origin',(31.295,6.665,0.)); #494419=CARTESIAN_POINT('',(31.295,6.665,0.)); #494420=CARTESIAN_POINT('',(31.295,6.665,0.)); #494421=CARTESIAN_POINT('',(31.295,6.665,0.035)); #494422=CARTESIAN_POINT('',(31.295,6.665,0.035)); #494423=CARTESIAN_POINT('',(31.295,6.665,0.)); #494424=CARTESIAN_POINT('Origin',(31.295,7.825,0.)); #494425=CARTESIAN_POINT('',(31.295,7.825,0.)); #494426=CARTESIAN_POINT('',(31.295,7.825,0.)); #494427=CARTESIAN_POINT('',(31.295,7.825,0.035)); #494428=CARTESIAN_POINT('',(31.295,7.825,0.035)); #494429=CARTESIAN_POINT('',(31.295,7.825,0.)); #494430=CARTESIAN_POINT('Origin',(31.905,7.825,0.)); #494431=CARTESIAN_POINT('',(31.905,7.825,0.)); #494432=CARTESIAN_POINT('',(31.905,7.825,0.035)); #494433=CARTESIAN_POINT('Origin',(31.6,7.245,0.035)); #494434=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #494435=CARTESIAN_POINT('Origin',(35.9,6.67,0.)); #494436=CARTESIAN_POINT('',(35.9,6.67,0.)); #494437=CARTESIAN_POINT('',(35.9,7.82,0.)); #494438=CARTESIAN_POINT('',(35.9,6.67,0.)); #494439=CARTESIAN_POINT('',(35.9,7.82,0.035)); #494440=CARTESIAN_POINT('',(35.9,7.82,0.)); #494441=CARTESIAN_POINT('',(35.9,6.67,0.035)); #494442=CARTESIAN_POINT('',(35.9,6.67,0.035)); #494443=CARTESIAN_POINT('',(35.9,6.67,0.)); #494444=CARTESIAN_POINT('Origin',(35.6,6.67,0.)); #494445=CARTESIAN_POINT('',(35.6,6.67,0.)); #494446=CARTESIAN_POINT('',(35.6,6.67,0.)); #494447=CARTESIAN_POINT('',(35.6,6.67,0.035)); #494448=CARTESIAN_POINT('',(35.6,6.67,0.035)); #494449=CARTESIAN_POINT('',(35.6,6.67,0.)); #494450=CARTESIAN_POINT('Origin',(35.6,7.82,0.)); #494451=CARTESIAN_POINT('',(35.6,7.82,0.)); #494452=CARTESIAN_POINT('',(35.6,7.82,0.)); #494453=CARTESIAN_POINT('',(35.6,7.82,0.035)); #494454=CARTESIAN_POINT('',(35.6,7.82,0.035)); #494455=CARTESIAN_POINT('',(35.6,7.82,0.)); #494456=CARTESIAN_POINT('Origin',(35.9,7.82,0.)); #494457=CARTESIAN_POINT('',(35.9,7.82,0.)); #494458=CARTESIAN_POINT('',(35.9,7.82,0.035)); #494459=CARTESIAN_POINT('Origin',(35.75,7.245,0.035)); #494460=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #494461=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #494462=CARTESIAN_POINT('',(37.085,7.1,0.)); #494463=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #494464=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #494465=CARTESIAN_POINT('',(37.085,7.1,-200.)); #494466=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #494467=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #494468=CARTESIAN_POINT('',(37.085,7.6,0.)); #494469=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #494470=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #494471=CARTESIAN_POINT('',(37.085,7.6,-200.)); #494472=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #494473=CARTESIAN_POINT('Origin',(37.505,6.665,0.)); #494474=CARTESIAN_POINT('',(37.505,6.665,0.)); #494475=CARTESIAN_POINT('',(37.505,7.825,0.)); #494476=CARTESIAN_POINT('',(37.505,6.665,0.)); #494477=CARTESIAN_POINT('',(37.505,7.825,0.035)); #494478=CARTESIAN_POINT('',(37.505,7.825,0.)); #494479=CARTESIAN_POINT('',(37.505,6.665,0.035)); #494480=CARTESIAN_POINT('',(37.505,6.665,0.035)); #494481=CARTESIAN_POINT('',(37.505,6.665,0.)); #494482=CARTESIAN_POINT('Origin',(36.895,6.665,0.)); #494483=CARTESIAN_POINT('',(36.895,6.665,0.)); #494484=CARTESIAN_POINT('',(36.895,6.665,0.)); #494485=CARTESIAN_POINT('',(36.895,6.665,0.035)); #494486=CARTESIAN_POINT('',(36.895,6.665,0.035)); #494487=CARTESIAN_POINT('',(36.895,6.665,0.)); #494488=CARTESIAN_POINT('Origin',(36.895,7.825,0.)); #494489=CARTESIAN_POINT('',(36.895,7.825,0.)); #494490=CARTESIAN_POINT('',(36.895,7.825,0.)); #494491=CARTESIAN_POINT('',(36.895,7.825,0.035)); #494492=CARTESIAN_POINT('',(36.895,7.825,0.035)); #494493=CARTESIAN_POINT('',(36.895,7.825,0.)); #494494=CARTESIAN_POINT('Origin',(37.505,7.825,0.)); #494495=CARTESIAN_POINT('',(37.505,7.825,0.)); #494496=CARTESIAN_POINT('',(37.505,7.825,0.035)); #494497=CARTESIAN_POINT('Origin',(37.2,7.245,0.035)); #494498=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #494499=CARTESIAN_POINT('Origin',(50.2098,17.5585,0.)); #494500=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #494501=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #494502=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #494503=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #494504=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #494505=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #494506=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #494507=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #494508=CARTESIAN_POINT('Origin',(49.6002,17.5585,0.)); #494509=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #494510=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #494511=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #494512=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #494513=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #494514=CARTESIAN_POINT('Origin',(49.6002,19.2095,0.)); #494515=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #494516=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #494517=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #494518=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #494519=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #494520=CARTESIAN_POINT('Origin',(50.2098,19.2095,0.)); #494521=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #494522=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #494523=CARTESIAN_POINT('Origin',(49.905,18.384,0.035)); #494524=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #494525=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #494526=CARTESIAN_POINT('',(30.685,7.6,0.)); #494527=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #494528=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #494529=CARTESIAN_POINT('',(30.685,7.6,-200.)); #494530=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #494531=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #494532=CARTESIAN_POINT('',(30.685,7.1,0.)); #494533=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #494534=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #494535=CARTESIAN_POINT('',(30.685,7.1,-200.)); #494536=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #494537=CARTESIAN_POINT('Origin',(31.105,6.665,0.)); #494538=CARTESIAN_POINT('',(31.105,6.665,0.)); #494539=CARTESIAN_POINT('',(31.105,7.825,0.)); #494540=CARTESIAN_POINT('',(31.105,6.665,0.)); #494541=CARTESIAN_POINT('',(31.105,7.825,0.035)); #494542=CARTESIAN_POINT('',(31.105,7.825,0.)); #494543=CARTESIAN_POINT('',(31.105,6.665,0.035)); #494544=CARTESIAN_POINT('',(31.105,6.665,0.035)); #494545=CARTESIAN_POINT('',(31.105,6.665,0.)); #494546=CARTESIAN_POINT('Origin',(30.495,6.665,0.)); #494547=CARTESIAN_POINT('',(30.495,6.665,0.)); #494548=CARTESIAN_POINT('',(30.495,6.665,0.)); #494549=CARTESIAN_POINT('',(30.495,6.665,0.035)); #494550=CARTESIAN_POINT('',(30.495,6.665,0.035)); #494551=CARTESIAN_POINT('',(30.495,6.665,0.)); #494552=CARTESIAN_POINT('Origin',(30.495,7.825,0.)); #494553=CARTESIAN_POINT('',(30.495,7.825,0.)); #494554=CARTESIAN_POINT('',(30.495,7.825,0.)); #494555=CARTESIAN_POINT('',(30.495,7.825,0.035)); #494556=CARTESIAN_POINT('',(30.495,7.825,0.035)); #494557=CARTESIAN_POINT('',(30.495,7.825,0.)); #494558=CARTESIAN_POINT('Origin',(31.105,7.825,0.)); #494559=CARTESIAN_POINT('',(31.105,7.825,0.)); #494560=CARTESIAN_POINT('',(31.105,7.825,0.035)); #494561=CARTESIAN_POINT('Origin',(30.8,7.245,0.035)); #494562=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #494563=CARTESIAN_POINT('Origin',(48.9398,17.5585,0.)); #494564=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #494565=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #494566=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #494567=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #494568=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #494569=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #494570=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #494571=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #494572=CARTESIAN_POINT('Origin',(48.3302,17.5585,0.)); #494573=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #494574=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #494575=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #494576=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #494577=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #494578=CARTESIAN_POINT('Origin',(48.3302,19.2095,0.)); #494579=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #494580=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #494581=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #494582=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #494583=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #494584=CARTESIAN_POINT('Origin',(48.9398,19.2095,0.)); #494585=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #494586=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #494587=CARTESIAN_POINT('Origin',(48.635,18.384,0.035)); #494588=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #494589=CARTESIAN_POINT('Origin',(19.6571,19.5555,0.)); #494590=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #494591=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #494592=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #494593=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #494594=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #494595=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #494596=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #494597=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #494598=CARTESIAN_POINT('Origin',(18.8189,19.5555,0.)); #494599=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #494600=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #494601=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #494602=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #494603=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #494604=CARTESIAN_POINT('Origin',(18.8189,20.4445,0.)); #494605=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #494606=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #494607=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #494608=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #494609=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #494610=CARTESIAN_POINT('Origin',(19.111,20.4445,0.)); #494611=CARTESIAN_POINT('',(19.111,20.4445,0.)); #494612=CARTESIAN_POINT('',(19.111,20.4445,0.)); #494613=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #494614=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #494615=CARTESIAN_POINT('',(19.111,20.4445,0.)); #494616=CARTESIAN_POINT('Origin',(19.111,20.646894,0.)); #494617=CARTESIAN_POINT('',(19.111,20.646894,0.)); #494618=CARTESIAN_POINT('',(19.111,20.646894,0.)); #494619=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #494620=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #494621=CARTESIAN_POINT('',(19.111,20.646894,0.)); #494622=CARTESIAN_POINT('Origin',(18.910197,20.847697,0.)); #494623=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #494624=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #494625=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #494626=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #494627=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #494628=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #494629=CARTESIAN_POINT('',(18.873,20.9375,0.)); #494630=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #494631=CARTESIAN_POINT('',(18.873,20.9375,0.035)); #494632=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.035)); #494633=CARTESIAN_POINT('',(18.873,20.9375,0.)); #494634=CARTESIAN_POINT('Origin',(18.873,21.215,0.)); #494635=CARTESIAN_POINT('',(18.873,21.215,0.)); #494636=CARTESIAN_POINT('',(18.873,21.215,0.)); #494637=CARTESIAN_POINT('',(18.873,21.215,0.035)); #494638=CARTESIAN_POINT('',(18.873,21.215,0.035)); #494639=CARTESIAN_POINT('',(18.873,21.215,0.)); #494640=CARTESIAN_POINT('Origin',(18.7325,21.215,0.)); #494641=CARTESIAN_POINT('',(18.7325,21.215,0.)); #494642=CARTESIAN_POINT('',(18.7325,21.215,0.)); #494643=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #494644=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #494645=CARTESIAN_POINT('',(18.7325,21.215,0.)); #494646=CARTESIAN_POINT('Origin',(18.7325,22.485,0.)); #494647=CARTESIAN_POINT('',(18.7325,22.485,0.)); #494648=CARTESIAN_POINT('',(18.7325,22.485,0.)); #494649=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #494650=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #494651=CARTESIAN_POINT('',(18.7325,22.485,0.)); #494652=CARTESIAN_POINT('Origin',(19.009403,22.485,0.)); #494653=CARTESIAN_POINT('',(19.009403,22.485,0.)); #494654=CARTESIAN_POINT('',(19.009403,22.485,0.)); #494655=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #494656=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #494657=CARTESIAN_POINT('',(19.009403,22.485,0.)); #494658=CARTESIAN_POINT('Origin',(19.428659,22.868688,0.)); #494659=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #494660=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #494661=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #494662=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #494663=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #494664=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #494665=CARTESIAN_POINT('',(19.5144,22.902,0.)); #494666=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #494667=CARTESIAN_POINT('',(19.5144,22.902,0.035)); #494668=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.035)); #494669=CARTESIAN_POINT('',(19.5144,22.902,0.)); #494670=CARTESIAN_POINT('Origin',(20.7135,22.902,0.)); #494671=CARTESIAN_POINT('',(20.7135,22.902,0.)); #494672=CARTESIAN_POINT('',(20.7135,22.902,0.)); #494673=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #494674=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #494675=CARTESIAN_POINT('',(20.7135,22.902,0.)); #494676=CARTESIAN_POINT('Origin',(20.912288,23.091844,0.)); #494677=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #494678=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #494679=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #494680=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #494681=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #494682=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #494683=CARTESIAN_POINT('',(21.,23.127,0.)); #494684=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #494685=CARTESIAN_POINT('',(21.,23.127,0.035)); #494686=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.035)); #494687=CARTESIAN_POINT('',(21.,23.127,0.)); #494688=CARTESIAN_POINT('Origin',(25.8189,23.127,0.)); #494689=CARTESIAN_POINT('',(25.8189,23.127,0.)); #494690=CARTESIAN_POINT('',(25.8189,23.127,0.)); #494691=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #494692=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #494693=CARTESIAN_POINT('',(25.8189,23.127,0.)); #494694=CARTESIAN_POINT('Origin',(25.8189,23.4445,0.)); #494695=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #494696=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #494697=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #494698=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #494699=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #494700=CARTESIAN_POINT('Origin',(26.6571,23.4445,0.)); #494701=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #494702=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #494703=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #494704=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #494705=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #494706=CARTESIAN_POINT('Origin',(26.6571,22.748663,0.)); #494707=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #494708=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #494709=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #494710=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #494711=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #494712=CARTESIAN_POINT('Origin',(27.015828,22.377,0.)); #494713=CARTESIAN_POINT('',(27.015828,22.377,0.)); #494714=CARTESIAN_POINT('',(27.015828,22.377,0.)); #494715=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #494716=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #494717=CARTESIAN_POINT('',(27.015828,22.377,0.)); #494718=CARTESIAN_POINT('Origin',(30.285338,22.377,0.)); #494719=CARTESIAN_POINT('',(30.285338,22.377,0.)); #494720=CARTESIAN_POINT('',(30.285338,22.377,0.)); #494721=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #494722=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #494723=CARTESIAN_POINT('',(30.285338,22.377,0.)); #494724=CARTESIAN_POINT('Origin',(31.721913,23.839013,0.)); #494725=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #494726=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #494727=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #494728=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #494729=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #494730=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #494731=CARTESIAN_POINT('',(31.8125,23.877,0.)); #494732=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #494733=CARTESIAN_POINT('',(31.8125,23.877,0.035)); #494734=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.035)); #494735=CARTESIAN_POINT('',(31.8125,23.877,0.)); #494736=CARTESIAN_POINT('Origin',(36.1875,23.877,0.)); #494737=CARTESIAN_POINT('',(36.1875,23.877,0.)); #494738=CARTESIAN_POINT('',(36.1875,23.877,0.)); #494739=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #494740=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #494741=CARTESIAN_POINT('',(36.1875,23.877,0.)); #494742=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #494743=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #494744=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #494745=CARTESIAN_POINT('',(36.277303,23.839803,0.035)); #494746=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.035)); #494747=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #494748=CARTESIAN_POINT('Origin',(37.740106,22.377,0.)); #494749=CARTESIAN_POINT('',(37.740106,22.377,0.)); #494750=CARTESIAN_POINT('',(37.740106,22.377,0.)); #494751=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #494752=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #494753=CARTESIAN_POINT('',(37.740106,22.377,0.)); #494754=CARTESIAN_POINT('Origin',(49.134894,22.377,0.)); #494755=CARTESIAN_POINT('',(49.134894,22.377,0.)); #494756=CARTESIAN_POINT('',(49.134894,22.377,0.)); #494757=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #494758=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #494759=CARTESIAN_POINT('',(49.134894,22.377,0.)); #494760=CARTESIAN_POINT('Origin',(49.6002,22.842306,0.)); #494761=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #494762=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #494763=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #494764=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #494765=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #494766=CARTESIAN_POINT('Origin',(49.6002,24.4415,0.)); #494767=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #494768=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #494769=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #494770=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #494771=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #494772=CARTESIAN_POINT('Origin',(50.2098,24.4415,0.)); #494773=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #494774=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #494775=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #494776=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #494777=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #494778=CARTESIAN_POINT('Origin',(50.2098,22.7905,0.)); #494779=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #494780=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #494781=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #494782=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #494783=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #494784=CARTESIAN_POINT('Origin',(49.907606,22.7905,0.)); #494785=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #494786=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #494787=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #494788=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #494789=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #494790=CARTESIAN_POINT('Origin',(49.277303,22.160197,0.)); #494791=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #494792=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #494793=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #494794=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #494795=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #494796=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #494797=CARTESIAN_POINT('',(49.1875,22.123,0.)); #494798=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #494799=CARTESIAN_POINT('',(49.1875,22.123,0.035)); #494800=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.035)); #494801=CARTESIAN_POINT('',(49.1875,22.123,0.)); #494802=CARTESIAN_POINT('Origin',(37.6875,22.123,0.)); #494803=CARTESIAN_POINT('',(37.6875,22.123,0.)); #494804=CARTESIAN_POINT('',(37.6875,22.123,0.)); #494805=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #494806=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #494807=CARTESIAN_POINT('',(37.6875,22.123,0.)); #494808=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #494809=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #494810=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #494811=CARTESIAN_POINT('',(37.597697,22.160197,0.035)); #494812=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.035)); #494813=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #494814=CARTESIAN_POINT('Origin',(36.134894,23.623,0.)); #494815=CARTESIAN_POINT('',(36.134894,23.623,0.)); #494816=CARTESIAN_POINT('',(36.134894,23.623,0.)); #494817=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #494818=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #494819=CARTESIAN_POINT('',(36.134894,23.623,0.)); #494820=CARTESIAN_POINT('Origin',(31.865763,23.623,0.)); #494821=CARTESIAN_POINT('',(31.865763,23.623,0.)); #494822=CARTESIAN_POINT('',(31.865763,23.623,0.)); #494823=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #494824=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #494825=CARTESIAN_POINT('',(31.865763,23.623,0.)); #494826=CARTESIAN_POINT('Origin',(30.429188,22.160988,0.)); #494827=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #494828=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #494829=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #494830=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #494831=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #494832=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #494833=CARTESIAN_POINT('',(30.3386,22.123,0.)); #494834=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #494835=CARTESIAN_POINT('',(30.3386,22.123,0.035)); #494836=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.035)); #494837=CARTESIAN_POINT('',(30.3386,22.123,0.)); #494838=CARTESIAN_POINT('Origin',(26.9619,22.123,0.)); #494839=CARTESIAN_POINT('',(26.9619,22.123,0.)); #494840=CARTESIAN_POINT('',(26.9619,22.123,0.)); #494841=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #494842=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #494843=CARTESIAN_POINT('',(26.9619,22.123,0.)); #494844=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #494845=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #494846=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #494847=CARTESIAN_POINT('',(26.870522,22.1618,0.035)); #494848=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.035)); #494849=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #494850=CARTESIAN_POINT('Origin',(26.490522,22.5555,0.)); #494851=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #494852=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #494853=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #494854=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #494855=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #494856=CARTESIAN_POINT('Origin',(25.8189,22.5555,0.)); #494857=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #494858=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #494859=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #494860=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #494861=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #494862=CARTESIAN_POINT('Origin',(25.8189,22.873,0.)); #494863=CARTESIAN_POINT('',(25.8189,22.873,0.)); #494864=CARTESIAN_POINT('',(25.8189,22.873,0.)); #494865=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #494866=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #494867=CARTESIAN_POINT('',(25.8189,22.873,0.)); #494868=CARTESIAN_POINT('Origin',(21.0509,22.873,0.)); #494869=CARTESIAN_POINT('',(21.0509,22.873,0.)); #494870=CARTESIAN_POINT('',(21.0509,22.873,0.)); #494871=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #494872=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #494873=CARTESIAN_POINT('',(21.0509,22.873,0.)); #494874=CARTESIAN_POINT('Origin',(20.852113,22.683156,0.)); #494875=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #494876=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #494877=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #494878=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #494879=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #494880=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #494881=CARTESIAN_POINT('',(20.7644,22.648,0.)); #494882=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #494883=CARTESIAN_POINT('',(20.7644,22.648,0.035)); #494884=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.035)); #494885=CARTESIAN_POINT('',(20.7644,22.648,0.)); #494886=CARTESIAN_POINT('Origin',(19.563741,22.648,0.)); #494887=CARTESIAN_POINT('',(19.563741,22.648,0.)); #494888=CARTESIAN_POINT('',(19.563741,22.648,0.)); #494889=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #494890=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #494891=CARTESIAN_POINT('',(19.563741,22.648,0.)); #494892=CARTESIAN_POINT('Origin',(19.3675,22.468409,0.)); #494893=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #494894=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #494895=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #494896=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #494897=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #494898=CARTESIAN_POINT('Origin',(19.3675,21.215,0.)); #494899=CARTESIAN_POINT('',(19.3675,21.215,0.)); #494900=CARTESIAN_POINT('',(19.3675,21.215,0.)); #494901=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #494902=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #494903=CARTESIAN_POINT('',(19.3675,21.215,0.)); #494904=CARTESIAN_POINT('Origin',(19.127,21.215,0.)); #494905=CARTESIAN_POINT('',(19.127,21.215,0.)); #494906=CARTESIAN_POINT('',(19.127,21.215,0.)); #494907=CARTESIAN_POINT('',(19.127,21.215,0.035)); #494908=CARTESIAN_POINT('',(19.127,21.215,0.035)); #494909=CARTESIAN_POINT('',(19.127,21.215,0.)); #494910=CARTESIAN_POINT('Origin',(19.127,20.990106,0.)); #494911=CARTESIAN_POINT('',(19.127,20.990106,0.)); #494912=CARTESIAN_POINT('',(19.127,20.990106,0.)); #494913=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #494914=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #494915=CARTESIAN_POINT('',(19.127,20.990106,0.)); #494916=CARTESIAN_POINT('Origin',(19.327803,20.789303,0.)); #494917=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #494918=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #494919=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #494920=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #494921=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #494922=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #494923=CARTESIAN_POINT('',(19.365,20.6995,0.)); #494924=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #494925=CARTESIAN_POINT('',(19.365,20.6995,0.035)); #494926=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.035)); #494927=CARTESIAN_POINT('',(19.365,20.6995,0.)); #494928=CARTESIAN_POINT('Origin',(19.365,20.4445,0.)); #494929=CARTESIAN_POINT('',(19.365,20.4445,0.)); #494930=CARTESIAN_POINT('',(19.365,20.4445,0.)); #494931=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #494932=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #494933=CARTESIAN_POINT('',(19.365,20.4445,0.)); #494934=CARTESIAN_POINT('Origin',(19.6571,20.4445,0.)); #494935=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #494936=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #494937=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.035)); #494938=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.)); #494939=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #494940=CARTESIAN_POINT('',(30.36,11.25,0.)); #494941=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #494942=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #494943=CARTESIAN_POINT('',(30.36,11.25,-200.)); #494944=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #494945=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #494946=CARTESIAN_POINT('',(35.61,11.25,0.)); #494947=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #494948=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #494949=CARTESIAN_POINT('',(35.61,11.25,-200.)); #494950=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #494951=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #494952=CARTESIAN_POINT('',(30.521644,10.975,0.)); #494953=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #494954=CARTESIAN_POINT('',(30.521644,10.975,0.)); #494955=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #494956=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #494957=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #494958=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #494959=CARTESIAN_POINT('',(30.521644,10.975,0.)); #494960=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #494961=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #494962=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #494963=CARTESIAN_POINT('',(30.245147,11.144438,0.035)); #494964=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.035)); #494965=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #494966=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #494967=CARTESIAN_POINT('',(30.743928,11.377,0.)); #494968=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #494969=CARTESIAN_POINT('',(30.743928,11.377,0.035)); #494970=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.035)); #494971=CARTESIAN_POINT('',(30.743928,11.377,0.)); #494972=CARTESIAN_POINT('Origin',(35.506072,11.377,0.)); #494973=CARTESIAN_POINT('',(35.506072,11.377,0.)); #494974=CARTESIAN_POINT('',(35.506072,11.377,0.)); #494975=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #494976=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #494977=CARTESIAN_POINT('',(35.506072,11.377,0.)); #494978=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #494979=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #494980=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #494981=CARTESIAN_POINT('',(36.004853,11.355563,0.035)); #494982=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.035)); #494983=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #494984=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #494985=CARTESIAN_POINT('',(35.506072,11.123,0.)); #494986=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #494987=CARTESIAN_POINT('',(35.506072,11.123,0.035)); #494988=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.035)); #494989=CARTESIAN_POINT('',(35.506072,11.123,0.)); #494990=CARTESIAN_POINT('Origin',(30.743928,11.123,0.)); #494991=CARTESIAN_POINT('',(30.743928,11.123,0.)); #494992=CARTESIAN_POINT('',(30.743928,11.123,0.)); #494993=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #494994=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #494995=CARTESIAN_POINT('',(30.743928,11.123,0.)); #494996=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #494997=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #494998=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.035)); #494999=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.035)); #495000=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.)); #495001=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #495002=CARTESIAN_POINT('',(35.11,6.25,0.)); #495003=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #495004=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #495005=CARTESIAN_POINT('',(35.11,6.25,-200.)); #495006=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #495007=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #495008=CARTESIAN_POINT('',(35.271644,5.975,0.)); #495009=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #495010=CARTESIAN_POINT('',(35.271644,5.975,0.)); #495011=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #495012=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #495013=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #495014=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #495015=CARTESIAN_POINT('',(35.271644,5.975,0.)); #495016=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #495017=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #495018=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #495019=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #495020=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #495021=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #495022=CARTESIAN_POINT('Origin',(35.123,6.67,0.)); #495023=CARTESIAN_POINT('',(35.123,6.67,0.)); #495024=CARTESIAN_POINT('',(35.123,6.67,0.)); #495025=CARTESIAN_POINT('',(35.123,6.67,0.035)); #495026=CARTESIAN_POINT('',(35.123,6.67,0.035)); #495027=CARTESIAN_POINT('',(35.123,6.67,0.)); #495028=CARTESIAN_POINT('Origin',(35.1,6.67,0.)); #495029=CARTESIAN_POINT('',(35.1,6.67,0.)); #495030=CARTESIAN_POINT('',(35.1,6.67,0.)); #495031=CARTESIAN_POINT('',(35.1,6.67,0.035)); #495032=CARTESIAN_POINT('',(35.1,6.67,0.035)); #495033=CARTESIAN_POINT('',(35.1,6.67,0.)); #495034=CARTESIAN_POINT('Origin',(35.1,7.82,0.)); #495035=CARTESIAN_POINT('',(35.1,7.82,0.)); #495036=CARTESIAN_POINT('',(35.1,7.82,0.)); #495037=CARTESIAN_POINT('',(35.1,7.82,0.035)); #495038=CARTESIAN_POINT('',(35.1,7.82,0.035)); #495039=CARTESIAN_POINT('',(35.1,7.82,0.)); #495040=CARTESIAN_POINT('Origin',(35.4,7.82,0.)); #495041=CARTESIAN_POINT('',(35.4,7.82,0.)); #495042=CARTESIAN_POINT('',(35.4,7.82,0.)); #495043=CARTESIAN_POINT('',(35.4,7.82,0.035)); #495044=CARTESIAN_POINT('',(35.4,7.82,0.035)); #495045=CARTESIAN_POINT('',(35.4,7.82,0.)); #495046=CARTESIAN_POINT('Origin',(35.4,6.67,0.)); #495047=CARTESIAN_POINT('',(35.4,6.67,0.)); #495048=CARTESIAN_POINT('',(35.4,6.67,0.)); #495049=CARTESIAN_POINT('',(35.4,6.67,0.035)); #495050=CARTESIAN_POINT('',(35.4,6.67,0.035)); #495051=CARTESIAN_POINT('',(35.4,6.67,0.)); #495052=CARTESIAN_POINT('Origin',(35.377,6.67,0.)); #495053=CARTESIAN_POINT('',(35.377,6.67,0.)); #495054=CARTESIAN_POINT('',(35.377,6.67,0.)); #495055=CARTESIAN_POINT('',(35.377,6.67,0.035)); #495056=CARTESIAN_POINT('',(35.377,6.67,0.035)); #495057=CARTESIAN_POINT('',(35.377,6.67,0.)); #495058=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #495059=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #495060=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #495061=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #495062=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #495063=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #495064=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #495065=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #495066=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #495067=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.035)); #495068=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.)); #495069=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #495070=CARTESIAN_POINT('',(32.61,8.25,0.)); #495071=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #495072=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #495073=CARTESIAN_POINT('',(32.61,8.25,-200.)); #495074=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #495075=CARTESIAN_POINT('Origin',(32.4,6.67,0.)); #495076=CARTESIAN_POINT('',(32.4,6.67,0.)); #495077=CARTESIAN_POINT('',(32.4,7.82,0.)); #495078=CARTESIAN_POINT('',(32.4,6.67,0.)); #495079=CARTESIAN_POINT('',(32.4,7.82,0.035)); #495080=CARTESIAN_POINT('',(32.4,7.82,0.)); #495081=CARTESIAN_POINT('',(32.4,6.67,0.035)); #495082=CARTESIAN_POINT('',(32.4,6.67,0.035)); #495083=CARTESIAN_POINT('',(32.4,6.67,0.)); #495084=CARTESIAN_POINT('Origin',(32.1,6.67,0.)); #495085=CARTESIAN_POINT('',(32.1,6.67,0.)); #495086=CARTESIAN_POINT('',(32.1,6.67,0.)); #495087=CARTESIAN_POINT('',(32.1,6.67,0.035)); #495088=CARTESIAN_POINT('',(32.1,6.67,0.035)); #495089=CARTESIAN_POINT('',(32.1,6.67,0.)); #495090=CARTESIAN_POINT('Origin',(32.1,7.82,0.)); #495091=CARTESIAN_POINT('',(32.1,7.82,0.)); #495092=CARTESIAN_POINT('',(32.1,7.82,0.)); #495093=CARTESIAN_POINT('',(32.1,7.82,0.035)); #495094=CARTESIAN_POINT('',(32.1,7.82,0.035)); #495095=CARTESIAN_POINT('',(32.1,7.82,0.)); #495096=CARTESIAN_POINT('Origin',(32.123,7.82,0.)); #495097=CARTESIAN_POINT('',(32.123,7.82,0.)); #495098=CARTESIAN_POINT('',(32.123,7.82,0.)); #495099=CARTESIAN_POINT('',(32.123,7.82,0.035)); #495100=CARTESIAN_POINT('',(32.123,7.82,0.035)); #495101=CARTESIAN_POINT('',(32.123,7.82,0.)); #495102=CARTESIAN_POINT('Origin',(32.123,8.,0.)); #495103=CARTESIAN_POINT('',(32.123,8.,0.)); #495104=CARTESIAN_POINT('',(32.123,8.,0.)); #495105=CARTESIAN_POINT('',(32.123,8.,0.035)); #495106=CARTESIAN_POINT('',(32.123,8.,0.035)); #495107=CARTESIAN_POINT('',(32.123,8.,0.)); #495108=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #495109=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #495110=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #495111=CARTESIAN_POINT('',(32.160197,8.0898031,0.035)); #495112=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.035)); #495113=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #495114=CARTESIAN_POINT('Origin',(32.410197,8.3398031,0.)); #495115=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #495116=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #495117=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #495118=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #495119=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #495120=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #495121=CARTESIAN_POINT('',(32.506072,8.377,0.)); #495122=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #495123=CARTESIAN_POINT('',(32.506072,8.377,0.035)); #495124=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.035)); #495125=CARTESIAN_POINT('',(32.506072,8.377,0.)); #495126=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #495127=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #495128=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #495129=CARTESIAN_POINT('',(32.9852,8.3941313,0.035)); #495130=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.035)); #495131=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #495132=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #495133=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #495134=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #495135=CARTESIAN_POINT('',(32.5235,8.0938938,0.035)); #495136=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.035)); #495137=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #495138=CARTESIAN_POINT('Origin',(32.377,7.9473938,0.)); #495139=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #495140=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #495141=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #495142=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #495143=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #495144=CARTESIAN_POINT('Origin',(32.377,7.82,0.)); #495145=CARTESIAN_POINT('',(32.377,7.82,0.)); #495146=CARTESIAN_POINT('',(32.377,7.82,0.)); #495147=CARTESIAN_POINT('',(32.377,7.82,0.035)); #495148=CARTESIAN_POINT('',(32.377,7.82,0.035)); #495149=CARTESIAN_POINT('',(32.377,7.82,0.)); #495150=CARTESIAN_POINT('Origin',(32.4,7.82,0.)); #495151=CARTESIAN_POINT('',(32.4,7.82,0.)); #495152=CARTESIAN_POINT('',(32.4,7.82,0.035)); #495153=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.035)); #495154=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.)); #495155=CARTESIAN_POINT('Origin',(34.,56.5,-200.)); #495156=CARTESIAN_POINT('',(33.86,56.5,0.)); #495157=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #495158=CARTESIAN_POINT('',(33.86,56.5,0.0349999999999895)); #495159=CARTESIAN_POINT('',(33.86,56.5,-200.)); #495160=CARTESIAN_POINT('Origin',(34.,56.5,0.0349999999999895)); #495161=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #495162=CARTESIAN_POINT('',(42.36,14.25,0.)); #495163=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #495164=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #495165=CARTESIAN_POINT('',(42.36,14.25,-200.)); #495166=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #495167=CARTESIAN_POINT('Origin',(42.521644,13.975,0.)); #495168=CARTESIAN_POINT('',(42.521644,13.975,0.)); #495169=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #495170=CARTESIAN_POINT('',(42.521644,13.975,0.)); #495171=CARTESIAN_POINT('',(42.564397,13.981772,0.035)); #495172=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #495173=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #495174=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #495175=CARTESIAN_POINT('',(42.521644,13.975,0.)); #495176=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #495177=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #495178=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #495179=CARTESIAN_POINT('',(42.245147,14.144438,0.035)); #495180=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.035)); #495181=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #495182=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #495183=CARTESIAN_POINT('',(42.743928,14.377,0.)); #495184=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #495185=CARTESIAN_POINT('',(42.743928,14.377,0.035)); #495186=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.035)); #495187=CARTESIAN_POINT('',(42.743928,14.377,0.)); #495188=CARTESIAN_POINT('Origin',(54.477725,14.377,0.)); #495189=CARTESIAN_POINT('',(54.477725,14.377,0.)); #495190=CARTESIAN_POINT('',(54.477725,14.377,0.)); #495191=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #495192=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #495193=CARTESIAN_POINT('',(54.477725,14.377,0.)); #495194=CARTESIAN_POINT('Origin',(59.748,19.647275,0.)); #495195=CARTESIAN_POINT('',(59.748,19.647275,0.)); #495196=CARTESIAN_POINT('',(59.748,19.647275,0.)); #495197=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #495198=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #495199=CARTESIAN_POINT('',(59.748,19.647275,0.)); #495200=CARTESIAN_POINT('Origin',(59.748,38.102725,0.)); #495201=CARTESIAN_POINT('',(59.748,38.102725,0.)); #495202=CARTESIAN_POINT('',(59.748,38.102725,0.)); #495203=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #495204=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #495205=CARTESIAN_POINT('',(59.748,38.102725,0.)); #495206=CARTESIAN_POINT('Origin',(57.602725,40.248,0.)); #495207=CARTESIAN_POINT('',(57.602725,40.248,0.)); #495208=CARTESIAN_POINT('',(57.602725,40.248,0.)); #495209=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #495210=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #495211=CARTESIAN_POINT('',(57.602725,40.248,0.)); #495212=CARTESIAN_POINT('Origin',(48.4375,40.248,0.)); #495213=CARTESIAN_POINT('',(48.4375,40.248,0.)); #495214=CARTESIAN_POINT('',(48.4375,40.248,0.)); #495215=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #495216=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #495217=CARTESIAN_POINT('',(48.4375,40.248,0.)); #495218=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #495219=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #495220=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #495221=CARTESIAN_POINT('',(48.347697,40.285197,0.035)); #495222=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.035)); #495223=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #495224=CARTESIAN_POINT('Origin',(46.884894,41.748,0.)); #495225=CARTESIAN_POINT('',(46.884894,41.748,0.)); #495226=CARTESIAN_POINT('',(46.884894,41.748,0.)); #495227=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #495228=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #495229=CARTESIAN_POINT('',(46.884894,41.748,0.)); #495230=CARTESIAN_POINT('Origin',(43.4375,41.748,0.)); #495231=CARTESIAN_POINT('',(43.4375,41.748,0.)); #495232=CARTESIAN_POINT('',(43.4375,41.748,0.)); #495233=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #495234=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #495235=CARTESIAN_POINT('',(43.4375,41.748,0.)); #495236=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #495237=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #495238=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #495239=CARTESIAN_POINT('',(43.347697,41.785197,0.035)); #495240=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.035)); #495241=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #495242=CARTESIAN_POINT('Origin',(42.285197,42.847697,0.)); #495243=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #495244=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #495245=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #495246=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #495247=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #495248=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #495249=CARTESIAN_POINT('',(42.248,42.9375,0.)); #495250=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #495251=CARTESIAN_POINT('',(42.248,42.9375,0.035)); #495252=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.035)); #495253=CARTESIAN_POINT('',(42.248,42.9375,0.)); #495254=CARTESIAN_POINT('Origin',(42.248,49.602731,0.)); #495255=CARTESIAN_POINT('',(42.248,49.602731,0.)); #495256=CARTESIAN_POINT('',(42.248,49.602731,0.)); #495257=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #495258=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #495259=CARTESIAN_POINT('',(42.248,49.602731,0.)); #495260=CARTESIAN_POINT('Origin',(41.352731,50.498,0.)); #495261=CARTESIAN_POINT('',(41.352731,50.498,0.)); #495262=CARTESIAN_POINT('',(41.352731,50.498,0.)); #495263=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #495264=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #495265=CARTESIAN_POINT('',(41.352731,50.498,0.)); #495266=CARTESIAN_POINT('Origin',(36.5,50.498,0.)); #495267=CARTESIAN_POINT('',(36.5,50.498,0.)); #495268=CARTESIAN_POINT('',(36.5,50.498,0.)); #495269=CARTESIAN_POINT('',(36.5,50.498,0.035)); #495270=CARTESIAN_POINT('',(36.5,50.498,0.035)); #495271=CARTESIAN_POINT('',(36.5,50.498,0.)); #495272=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #495273=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #495274=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #495275=CARTESIAN_POINT('',(36.410197,50.535197,0.035)); #495276=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.035)); #495277=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #495278=CARTESIAN_POINT('Origin',(33.910197,53.035197,0.)); #495279=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #495280=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #495281=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #495282=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #495283=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #495284=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #495285=CARTESIAN_POINT('',(33.873,53.125,0.)); #495286=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #495287=CARTESIAN_POINT('',(33.873,53.125,0.035)); #495288=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.035)); #495289=CARTESIAN_POINT('',(33.873,53.125,0.)); #495290=CARTESIAN_POINT('Origin',(33.873,56.256072,0.)); #495291=CARTESIAN_POINT('',(33.873,56.256072,0.)); #495292=CARTESIAN_POINT('',(33.873,56.256072,0.)); #495293=CARTESIAN_POINT('',(33.873,56.256072,0.035)); #495294=CARTESIAN_POINT('',(33.873,56.256072,0.035)); #495295=CARTESIAN_POINT('',(33.873,56.256072,0.)); #495296=CARTESIAN_POINT('Origin',(34.0000204601791,56.5004637716938,0.)); #495297=CARTESIAN_POINT('',(33.894438,56.754853,0.)); #495298=CARTESIAN_POINT('Origin',(34.0000204601791,56.5004637716938,0.)); #495299=CARTESIAN_POINT('',(33.894438,56.754853,0.035)); #495300=CARTESIAN_POINT('Origin',(34.0000204601791,56.5004637716938,0.035)); #495301=CARTESIAN_POINT('',(33.894438,56.754853,0.)); #495302=CARTESIAN_POINT('Origin',(33.9998222285952,56.500381763261,0.)); #495303=CARTESIAN_POINT('',(34.127,56.256072,0.)); #495304=CARTESIAN_POINT('Origin',(33.9998222285952,56.500381763261,0.)); #495305=CARTESIAN_POINT('',(34.127,56.256072,0.035)); #495306=CARTESIAN_POINT('Origin',(33.9998222285952,56.500381763261,0.035)); #495307=CARTESIAN_POINT('',(34.127,56.256072,0.)); #495308=CARTESIAN_POINT('Origin',(34.127,53.177606,0.)); #495309=CARTESIAN_POINT('',(34.127,53.177606,0.)); #495310=CARTESIAN_POINT('',(34.127,53.177606,0.)); #495311=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #495312=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #495313=CARTESIAN_POINT('',(34.127,53.177606,0.)); #495314=CARTESIAN_POINT('Origin',(36.552606,50.752,0.)); #495315=CARTESIAN_POINT('',(36.552606,50.752,0.)); #495316=CARTESIAN_POINT('',(36.552606,50.752,0.)); #495317=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #495318=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #495319=CARTESIAN_POINT('',(36.552606,50.752,0.)); #495320=CARTESIAN_POINT('Origin',(41.405338,50.752,0.)); #495321=CARTESIAN_POINT('',(41.405338,50.752,0.)); #495322=CARTESIAN_POINT('',(41.405338,50.752,0.)); #495323=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #495324=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #495325=CARTESIAN_POINT('',(41.405338,50.752,0.)); #495326=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #495327=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #495328=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #495329=CARTESIAN_POINT('',(41.495141,50.714803,0.035)); #495330=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.035)); #495331=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #495332=CARTESIAN_POINT('Origin',(42.464803,49.745141,0.)); #495333=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #495334=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #495335=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #495336=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #495337=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #495338=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #495339=CARTESIAN_POINT('',(42.502,49.655338,0.)); #495340=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #495341=CARTESIAN_POINT('',(42.502,49.655338,0.035)); #495342=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.035)); #495343=CARTESIAN_POINT('',(42.502,49.655338,0.)); #495344=CARTESIAN_POINT('Origin',(42.502,42.990106,0.)); #495345=CARTESIAN_POINT('',(42.502,42.990106,0.)); #495346=CARTESIAN_POINT('',(42.502,42.990106,0.)); #495347=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #495348=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #495349=CARTESIAN_POINT('',(42.502,42.990106,0.)); #495350=CARTESIAN_POINT('Origin',(43.490106,42.002,0.)); #495351=CARTESIAN_POINT('',(43.490106,42.002,0.)); #495352=CARTESIAN_POINT('',(43.490106,42.002,0.)); #495353=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #495354=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #495355=CARTESIAN_POINT('',(43.490106,42.002,0.)); #495356=CARTESIAN_POINT('Origin',(46.9375,42.002,0.)); #495357=CARTESIAN_POINT('',(46.9375,42.002,0.)); #495358=CARTESIAN_POINT('',(46.9375,42.002,0.)); #495359=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #495360=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #495361=CARTESIAN_POINT('',(46.9375,42.002,0.)); #495362=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #495363=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #495364=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #495365=CARTESIAN_POINT('',(47.027303,41.964803,0.035)); #495366=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.035)); #495367=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #495368=CARTESIAN_POINT('Origin',(48.490106,40.502,0.)); #495369=CARTESIAN_POINT('',(48.490106,40.502,0.)); #495370=CARTESIAN_POINT('',(48.490106,40.502,0.)); #495371=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #495372=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #495373=CARTESIAN_POINT('',(48.490106,40.502,0.)); #495374=CARTESIAN_POINT('Origin',(57.655331,40.502,0.)); #495375=CARTESIAN_POINT('',(57.655331,40.502,0.)); #495376=CARTESIAN_POINT('',(57.655331,40.502,0.)); #495377=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #495378=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #495379=CARTESIAN_POINT('',(57.655331,40.502,0.)); #495380=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #495381=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #495382=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #495383=CARTESIAN_POINT('',(57.745134,40.464803,0.035)); #495384=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.035)); #495385=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #495386=CARTESIAN_POINT('Origin',(59.964803,38.245134,0.)); #495387=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #495388=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #495389=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #495390=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #495391=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #495392=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #495393=CARTESIAN_POINT('',(60.002,38.155331,0.)); #495394=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #495395=CARTESIAN_POINT('',(60.002,38.155331,0.035)); #495396=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.035)); #495397=CARTESIAN_POINT('',(60.002,38.155331,0.)); #495398=CARTESIAN_POINT('Origin',(60.002,19.594669,0.)); #495399=CARTESIAN_POINT('',(60.002,19.594669,0.)); #495400=CARTESIAN_POINT('',(60.002,19.594669,0.)); #495401=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #495402=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #495403=CARTESIAN_POINT('',(60.002,19.594669,0.)); #495404=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #495405=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #495406=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #495407=CARTESIAN_POINT('',(59.964803,19.504866,0.035)); #495408=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.035)); #495409=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #495410=CARTESIAN_POINT('Origin',(54.620134,14.160197,0.)); #495411=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #495412=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #495413=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #495414=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #495415=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #495416=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #495417=CARTESIAN_POINT('',(54.530331,14.123,0.)); #495418=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #495419=CARTESIAN_POINT('',(54.530331,14.123,0.035)); #495420=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.035)); #495421=CARTESIAN_POINT('',(54.530331,14.123,0.)); #495422=CARTESIAN_POINT('Origin',(42.743928,14.123,0.)); #495423=CARTESIAN_POINT('',(42.743928,14.123,0.)); #495424=CARTESIAN_POINT('',(42.743928,14.123,0.)); #495425=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #495426=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #495427=CARTESIAN_POINT('',(42.743928,14.123,0.)); #495428=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #495429=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #495430=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.035)); #495431=CARTESIAN_POINT('Origin',(50.1135508097736,33.8687334372996,0.035)); #495432=CARTESIAN_POINT('Origin',(50.1135508097736,33.8687334372996,0.)); #495433=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #495434=CARTESIAN_POINT('',(41.11,13.0029,0.)); #495435=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #495436=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #495437=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #495438=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #495439=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #495440=CARTESIAN_POINT('',(34.7975,12.25,0.)); #495441=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #495442=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #495443=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #495444=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #495445=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #495446=CARTESIAN_POINT('',(34.959144,11.975,0.)); #495447=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #495448=CARTESIAN_POINT('',(34.959144,11.975,0.)); #495449=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #495450=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #495451=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #495452=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #495453=CARTESIAN_POINT('',(34.959144,11.975,0.)); #495454=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #495455=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #495456=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #495457=CARTESIAN_POINT('',(34.682647,12.144438,0.035)); #495458=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.035)); #495459=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #495460=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #495461=CARTESIAN_POINT('',(35.181428,12.377,0.)); #495462=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #495463=CARTESIAN_POINT('',(35.181428,12.377,0.035)); #495464=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.035)); #495465=CARTESIAN_POINT('',(35.181428,12.377,0.)); #495466=CARTESIAN_POINT('Origin',(40.444494,12.377,0.)); #495467=CARTESIAN_POINT('',(40.444494,12.377,0.)); #495468=CARTESIAN_POINT('',(40.444494,12.377,0.)); #495469=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #495470=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #495471=CARTESIAN_POINT('',(40.444494,12.377,0.)); #495472=CARTESIAN_POINT('Origin',(40.987713,12.920219,0.)); #495473=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #495474=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #495475=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #495476=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #495477=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #495478=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #495479=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #495480=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #495481=CARTESIAN_POINT('',(41.355563,13.257753,0.035)); #495482=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.035)); #495483=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #495484=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #495485=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #495486=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #495487=CARTESIAN_POINT('',(41.167319,12.740613,0.035)); #495488=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.035)); #495489=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #495490=CARTESIAN_POINT('Origin',(40.586903,12.160197,0.)); #495491=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #495492=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #495493=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #495494=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #495495=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #495496=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #495497=CARTESIAN_POINT('',(40.4971,12.123,0.)); #495498=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #495499=CARTESIAN_POINT('',(40.4971,12.123,0.035)); #495500=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.035)); #495501=CARTESIAN_POINT('',(40.4971,12.123,0.)); #495502=CARTESIAN_POINT('Origin',(35.181428,12.123,0.)); #495503=CARTESIAN_POINT('',(35.181428,12.123,0.)); #495504=CARTESIAN_POINT('',(35.181428,12.123,0.)); #495505=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #495506=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #495507=CARTESIAN_POINT('',(35.181428,12.123,0.)); #495508=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #495509=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #495510=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.035)); #495511=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.035)); #495512=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.)); #495513=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #495514=CARTESIAN_POINT('',(34.11,8.25,0.)); #495515=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #495516=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #495517=CARTESIAN_POINT('',(34.11,8.25,-200.)); #495518=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #495519=CARTESIAN_POINT('Origin',(33.9,6.67,0.)); #495520=CARTESIAN_POINT('',(33.9,6.67,0.)); #495521=CARTESIAN_POINT('',(33.9,7.82,0.)); #495522=CARTESIAN_POINT('',(33.9,6.67,0.)); #495523=CARTESIAN_POINT('',(33.9,7.82,0.035)); #495524=CARTESIAN_POINT('',(33.9,7.82,0.)); #495525=CARTESIAN_POINT('',(33.9,6.67,0.035)); #495526=CARTESIAN_POINT('',(33.9,6.67,0.035)); #495527=CARTESIAN_POINT('',(33.9,6.67,0.)); #495528=CARTESIAN_POINT('Origin',(33.6,6.67,0.)); #495529=CARTESIAN_POINT('',(33.6,6.67,0.)); #495530=CARTESIAN_POINT('',(33.6,6.67,0.)); #495531=CARTESIAN_POINT('',(33.6,6.67,0.035)); #495532=CARTESIAN_POINT('',(33.6,6.67,0.035)); #495533=CARTESIAN_POINT('',(33.6,6.67,0.)); #495534=CARTESIAN_POINT('Origin',(33.6,7.82,0.)); #495535=CARTESIAN_POINT('',(33.6,7.82,0.)); #495536=CARTESIAN_POINT('',(33.6,7.82,0.)); #495537=CARTESIAN_POINT('',(33.6,7.82,0.035)); #495538=CARTESIAN_POINT('',(33.6,7.82,0.035)); #495539=CARTESIAN_POINT('',(33.6,7.82,0.)); #495540=CARTESIAN_POINT('Origin',(33.623,7.82,0.)); #495541=CARTESIAN_POINT('',(33.623,7.82,0.)); #495542=CARTESIAN_POINT('',(33.623,7.82,0.)); #495543=CARTESIAN_POINT('',(33.623,7.82,0.035)); #495544=CARTESIAN_POINT('',(33.623,7.82,0.035)); #495545=CARTESIAN_POINT('',(33.623,7.82,0.)); #495546=CARTESIAN_POINT('Origin',(33.623,8.,0.)); #495547=CARTESIAN_POINT('',(33.623,8.,0.)); #495548=CARTESIAN_POINT('',(33.623,8.,0.)); #495549=CARTESIAN_POINT('',(33.623,8.,0.035)); #495550=CARTESIAN_POINT('',(33.623,8.,0.035)); #495551=CARTESIAN_POINT('',(33.623,8.,0.)); #495552=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #495553=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #495554=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #495555=CARTESIAN_POINT('',(33.660197,8.0898031,0.035)); #495556=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.035)); #495557=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #495558=CARTESIAN_POINT('Origin',(33.910197,8.3398031,0.)); #495559=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #495560=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #495561=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #495562=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #495563=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #495564=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #495565=CARTESIAN_POINT('',(34.006072,8.377,0.)); #495566=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #495567=CARTESIAN_POINT('',(34.006072,8.377,0.035)); #495568=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.035)); #495569=CARTESIAN_POINT('',(34.006072,8.377,0.)); #495570=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #495571=CARTESIAN_POINT('',(34.493928,8.377,0.)); #495572=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #495573=CARTESIAN_POINT('',(34.493928,8.377,0.035)); #495574=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.035)); #495575=CARTESIAN_POINT('',(34.493928,8.377,0.)); #495576=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #495577=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #495578=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #495579=CARTESIAN_POINT('',(34.589803,8.3398031,0.035)); #495580=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.035)); #495581=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #495582=CARTESIAN_POINT('Origin',(34.839803,8.0898031,0.)); #495583=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #495584=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #495585=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #495586=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #495587=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #495588=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #495589=CARTESIAN_POINT('',(34.877,8.,0.)); #495590=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #495591=CARTESIAN_POINT('',(34.877,8.,0.035)); #495592=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.035)); #495593=CARTESIAN_POINT('',(34.877,8.,0.)); #495594=CARTESIAN_POINT('Origin',(34.877,7.82,0.)); #495595=CARTESIAN_POINT('',(34.877,7.82,0.)); #495596=CARTESIAN_POINT('',(34.877,7.82,0.)); #495597=CARTESIAN_POINT('',(34.877,7.82,0.035)); #495598=CARTESIAN_POINT('',(34.877,7.82,0.035)); #495599=CARTESIAN_POINT('',(34.877,7.82,0.)); #495600=CARTESIAN_POINT('Origin',(34.9,7.82,0.)); #495601=CARTESIAN_POINT('',(34.9,7.82,0.)); #495602=CARTESIAN_POINT('',(34.9,7.82,0.)); #495603=CARTESIAN_POINT('',(34.9,7.82,0.035)); #495604=CARTESIAN_POINT('',(34.9,7.82,0.035)); #495605=CARTESIAN_POINT('',(34.9,7.82,0.)); #495606=CARTESIAN_POINT('Origin',(34.9,6.67,0.)); #495607=CARTESIAN_POINT('',(34.9,6.67,0.)); #495608=CARTESIAN_POINT('',(34.9,6.67,0.)); #495609=CARTESIAN_POINT('',(34.9,6.67,0.035)); #495610=CARTESIAN_POINT('',(34.9,6.67,0.035)); #495611=CARTESIAN_POINT('',(34.9,6.67,0.)); #495612=CARTESIAN_POINT('Origin',(34.6,6.67,0.)); #495613=CARTESIAN_POINT('',(34.6,6.67,0.)); #495614=CARTESIAN_POINT('',(34.6,6.67,0.)); #495615=CARTESIAN_POINT('',(34.6,6.67,0.035)); #495616=CARTESIAN_POINT('',(34.6,6.67,0.035)); #495617=CARTESIAN_POINT('',(34.6,6.67,0.)); #495618=CARTESIAN_POINT('Origin',(34.6,7.82,0.)); #495619=CARTESIAN_POINT('',(34.6,7.82,0.)); #495620=CARTESIAN_POINT('',(34.6,7.82,0.)); #495621=CARTESIAN_POINT('',(34.6,7.82,0.035)); #495622=CARTESIAN_POINT('',(34.6,7.82,0.035)); #495623=CARTESIAN_POINT('',(34.6,7.82,0.)); #495624=CARTESIAN_POINT('Origin',(34.623,7.82,0.)); #495625=CARTESIAN_POINT('',(34.623,7.82,0.)); #495626=CARTESIAN_POINT('',(34.623,7.82,0.)); #495627=CARTESIAN_POINT('',(34.623,7.82,0.035)); #495628=CARTESIAN_POINT('',(34.623,7.82,0.035)); #495629=CARTESIAN_POINT('',(34.623,7.82,0.)); #495630=CARTESIAN_POINT('Origin',(34.623,7.9473938,0.)); #495631=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #495632=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #495633=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #495634=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #495635=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #495636=CARTESIAN_POINT('Origin',(34.4765,8.0938938,0.)); #495637=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #495638=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #495639=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #495640=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #495641=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #495642=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #495643=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #495644=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #495645=CARTESIAN_POINT('',(34.0235,8.0938938,0.035)); #495646=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.035)); #495647=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #495648=CARTESIAN_POINT('Origin',(33.877,7.9473938,0.)); #495649=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #495650=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #495651=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #495652=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #495653=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #495654=CARTESIAN_POINT('Origin',(33.877,7.82,0.)); #495655=CARTESIAN_POINT('',(33.877,7.82,0.)); #495656=CARTESIAN_POINT('',(33.877,7.82,0.)); #495657=CARTESIAN_POINT('',(33.877,7.82,0.035)); #495658=CARTESIAN_POINT('',(33.877,7.82,0.035)); #495659=CARTESIAN_POINT('',(33.877,7.82,0.)); #495660=CARTESIAN_POINT('Origin',(33.9,7.82,0.)); #495661=CARTESIAN_POINT('',(33.9,7.82,0.)); #495662=CARTESIAN_POINT('',(33.9,7.82,0.035)); #495663=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.035)); #495664=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.)); #495665=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #495666=CARTESIAN_POINT('',(33.61,6.2426,0.)); #495667=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #495668=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #495669=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #495670=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #495671=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #495672=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #495673=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #495674=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #495675=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #495676=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #495677=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #495678=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #495679=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #495680=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #495681=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #495682=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #495683=CARTESIAN_POINT('',(33.505978,6.1157844,0.035)); #495684=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.035)); #495685=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #495686=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #495687=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #495688=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #495689=CARTESIAN_POINT('',(33.417597,6.1527969,0.035)); #495690=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.035)); #495691=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #495692=CARTESIAN_POINT('Origin',(33.160197,6.4101969,0.)); #495693=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #495694=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #495695=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #495696=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #495697=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #495698=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #495699=CARTESIAN_POINT('',(33.123,6.5,0.)); #495700=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #495701=CARTESIAN_POINT('',(33.123,6.5,0.035)); #495702=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.035)); #495703=CARTESIAN_POINT('',(33.123,6.5,0.)); #495704=CARTESIAN_POINT('Origin',(33.123,6.67,0.)); #495705=CARTESIAN_POINT('',(33.123,6.67,0.)); #495706=CARTESIAN_POINT('',(33.123,6.67,0.)); #495707=CARTESIAN_POINT('',(33.123,6.67,0.035)); #495708=CARTESIAN_POINT('',(33.123,6.67,0.035)); #495709=CARTESIAN_POINT('',(33.123,6.67,0.)); #495710=CARTESIAN_POINT('Origin',(33.1,6.67,0.)); #495711=CARTESIAN_POINT('',(33.1,6.67,0.)); #495712=CARTESIAN_POINT('',(33.1,6.67,0.)); #495713=CARTESIAN_POINT('',(33.1,6.67,0.035)); #495714=CARTESIAN_POINT('',(33.1,6.67,0.035)); #495715=CARTESIAN_POINT('',(33.1,6.67,0.)); #495716=CARTESIAN_POINT('Origin',(33.1,7.82,0.)); #495717=CARTESIAN_POINT('',(33.1,7.82,0.)); #495718=CARTESIAN_POINT('',(33.1,7.82,0.)); #495719=CARTESIAN_POINT('',(33.1,7.82,0.035)); #495720=CARTESIAN_POINT('',(33.1,7.82,0.035)); #495721=CARTESIAN_POINT('',(33.1,7.82,0.)); #495722=CARTESIAN_POINT('Origin',(33.4,7.82,0.)); #495723=CARTESIAN_POINT('',(33.4,7.82,0.)); #495724=CARTESIAN_POINT('',(33.4,7.82,0.)); #495725=CARTESIAN_POINT('',(33.4,7.82,0.035)); #495726=CARTESIAN_POINT('',(33.4,7.82,0.035)); #495727=CARTESIAN_POINT('',(33.4,7.82,0.)); #495728=CARTESIAN_POINT('Origin',(33.4,6.67,0.)); #495729=CARTESIAN_POINT('',(33.4,6.67,0.)); #495730=CARTESIAN_POINT('',(33.4,6.67,0.)); #495731=CARTESIAN_POINT('',(33.4,6.67,0.035)); #495732=CARTESIAN_POINT('',(33.4,6.67,0.035)); #495733=CARTESIAN_POINT('',(33.4,6.67,0.)); #495734=CARTESIAN_POINT('Origin',(33.377,6.67,0.)); #495735=CARTESIAN_POINT('',(33.377,6.67,0.)); #495736=CARTESIAN_POINT('',(33.377,6.67,0.)); #495737=CARTESIAN_POINT('',(33.377,6.67,0.035)); #495738=CARTESIAN_POINT('',(33.377,6.67,0.035)); #495739=CARTESIAN_POINT('',(33.377,6.67,0.)); #495740=CARTESIAN_POINT('Origin',(33.377,6.5526063,0.)); #495741=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #495742=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #495743=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #495744=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #495745=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #495746=CARTESIAN_POINT('Origin',(33.526612,6.4029938,0.)); #495747=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #495748=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #495749=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #495750=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #495751=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #495752=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #495753=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #495754=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #495755=CARTESIAN_POINT('',(33.973388,6.4029938,0.035)); #495756=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.035)); #495757=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #495758=CARTESIAN_POINT('Origin',(34.123,6.5526063,0.)); #495759=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #495760=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #495761=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #495762=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #495763=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #495764=CARTESIAN_POINT('Origin',(34.123,6.67,0.)); #495765=CARTESIAN_POINT('',(34.123,6.67,0.)); #495766=CARTESIAN_POINT('',(34.123,6.67,0.)); #495767=CARTESIAN_POINT('',(34.123,6.67,0.035)); #495768=CARTESIAN_POINT('',(34.123,6.67,0.035)); #495769=CARTESIAN_POINT('',(34.123,6.67,0.)); #495770=CARTESIAN_POINT('Origin',(34.1,6.67,0.)); #495771=CARTESIAN_POINT('',(34.1,6.67,0.)); #495772=CARTESIAN_POINT('',(34.1,6.67,0.)); #495773=CARTESIAN_POINT('',(34.1,6.67,0.035)); #495774=CARTESIAN_POINT('',(34.1,6.67,0.035)); #495775=CARTESIAN_POINT('',(34.1,6.67,0.)); #495776=CARTESIAN_POINT('Origin',(34.1,7.82,0.)); #495777=CARTESIAN_POINT('',(34.1,7.82,0.)); #495778=CARTESIAN_POINT('',(34.1,7.82,0.)); #495779=CARTESIAN_POINT('',(34.1,7.82,0.035)); #495780=CARTESIAN_POINT('',(34.1,7.82,0.035)); #495781=CARTESIAN_POINT('',(34.1,7.82,0.)); #495782=CARTESIAN_POINT('Origin',(34.4,7.82,0.)); #495783=CARTESIAN_POINT('',(34.4,7.82,0.)); #495784=CARTESIAN_POINT('',(34.4,7.82,0.)); #495785=CARTESIAN_POINT('',(34.4,7.82,0.035)); #495786=CARTESIAN_POINT('',(34.4,7.82,0.035)); #495787=CARTESIAN_POINT('',(34.4,7.82,0.)); #495788=CARTESIAN_POINT('Origin',(34.4,6.67,0.)); #495789=CARTESIAN_POINT('',(34.4,6.67,0.)); #495790=CARTESIAN_POINT('',(34.4,6.67,0.)); #495791=CARTESIAN_POINT('',(34.4,6.67,0.035)); #495792=CARTESIAN_POINT('',(34.4,6.67,0.035)); #495793=CARTESIAN_POINT('',(34.4,6.67,0.)); #495794=CARTESIAN_POINT('Origin',(34.377,6.67,0.)); #495795=CARTESIAN_POINT('',(34.377,6.67,0.)); #495796=CARTESIAN_POINT('',(34.377,6.67,0.)); #495797=CARTESIAN_POINT('',(34.377,6.67,0.035)); #495798=CARTESIAN_POINT('',(34.377,6.67,0.035)); #495799=CARTESIAN_POINT('',(34.377,6.67,0.)); #495800=CARTESIAN_POINT('Origin',(34.377,6.5,0.)); #495801=CARTESIAN_POINT('',(34.377,6.5,0.)); #495802=CARTESIAN_POINT('',(34.377,6.5,0.)); #495803=CARTESIAN_POINT('',(34.377,6.5,0.035)); #495804=CARTESIAN_POINT('',(34.377,6.5,0.035)); #495805=CARTESIAN_POINT('',(34.377,6.5,0.)); #495806=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #495807=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #495808=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #495809=CARTESIAN_POINT('',(34.339803,6.4101969,0.035)); #495810=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.035)); #495811=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #495812=CARTESIAN_POINT('Origin',(34.082403,6.1527969,0.)); #495813=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #495814=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #495815=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #495816=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #495817=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #495818=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #495819=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #495820=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #495821=CARTESIAN_POINT('',(33.994009,6.1157563,0.035)); #495822=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.035)); #495823=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #495824=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #495825=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #495826=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.035)); #495827=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.035)); #495828=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.)); #495829=CARTESIAN_POINT('Origin',(34.75,56.5,-200.)); #495830=CARTESIAN_POINT('',(34.61,56.5,0.)); #495831=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #495832=CARTESIAN_POINT('',(34.61,56.5,0.0349999999999895)); #495833=CARTESIAN_POINT('',(34.61,56.5,-200.)); #495834=CARTESIAN_POINT('Origin',(34.75,56.5,0.0349999999999895)); #495835=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #495836=CARTESIAN_POINT('',(39.11,15.75,0.)); #495837=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #495838=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #495839=CARTESIAN_POINT('',(39.11,15.75,-200.)); #495840=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #495841=CARTESIAN_POINT('Origin',(42.9375,13.498,0.)); #495842=CARTESIAN_POINT('',(42.9375,13.498,0.)); #495843=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #495844=CARTESIAN_POINT('',(42.9375,13.498,0.)); #495845=CARTESIAN_POINT('',(42.9429,13.498603,0.035)); #495846=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #495847=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #495848=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #495849=CARTESIAN_POINT('',(42.9375,13.498,0.)); #495850=CARTESIAN_POINT('Origin',(41.8721,13.498,0.)); #495851=CARTESIAN_POINT('',(41.8721,13.498,0.)); #495852=CARTESIAN_POINT('',(41.8721,13.498,0.)); #495853=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #495854=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #495855=CARTESIAN_POINT('',(41.8721,13.498,0.)); #495856=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #495857=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #495858=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #495859=CARTESIAN_POINT('',(41.782297,13.535197,0.035)); #495860=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.035)); #495861=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #495862=CARTESIAN_POINT('Origin',(39.694494,15.623,0.)); #495863=CARTESIAN_POINT('',(39.694494,15.623,0.)); #495864=CARTESIAN_POINT('',(39.694494,15.623,0.)); #495865=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #495866=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #495867=CARTESIAN_POINT('',(39.694494,15.623,0.)); #495868=CARTESIAN_POINT('Origin',(39.493928,15.623,0.)); #495869=CARTESIAN_POINT('',(39.493928,15.623,0.)); #495870=CARTESIAN_POINT('',(39.493928,15.623,0.)); #495871=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #495872=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #495873=CARTESIAN_POINT('',(39.493928,15.623,0.)); #495874=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #495875=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #495876=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #495877=CARTESIAN_POINT('',(38.995147,15.644438,0.035)); #495878=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.035)); #495879=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #495880=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #495881=CARTESIAN_POINT('',(39.493928,15.877,0.)); #495882=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #495883=CARTESIAN_POINT('',(39.493928,15.877,0.035)); #495884=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.035)); #495885=CARTESIAN_POINT('',(39.493928,15.877,0.)); #495886=CARTESIAN_POINT('Origin',(39.7471,15.877,0.)); #495887=CARTESIAN_POINT('',(39.7471,15.877,0.)); #495888=CARTESIAN_POINT('',(39.7471,15.877,0.)); #495889=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #495890=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #495891=CARTESIAN_POINT('',(39.7471,15.877,0.)); #495892=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #495893=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #495894=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #495895=CARTESIAN_POINT('',(39.836903,15.839803,0.035)); #495896=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.035)); #495897=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #495898=CARTESIAN_POINT('Origin',(41.924706,13.752,0.)); #495899=CARTESIAN_POINT('',(41.924706,13.752,0.)); #495900=CARTESIAN_POINT('',(41.924706,13.752,0.)); #495901=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #495902=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #495903=CARTESIAN_POINT('',(41.924706,13.752,0.)); #495904=CARTESIAN_POINT('Origin',(42.884894,13.752,0.)); #495905=CARTESIAN_POINT('',(42.884894,13.752,0.)); #495906=CARTESIAN_POINT('',(42.884894,13.752,0.)); #495907=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #495908=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #495909=CARTESIAN_POINT('',(42.884894,13.752,0.)); #495910=CARTESIAN_POINT('Origin',(43.097697,13.964803,0.)); #495911=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #495912=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #495913=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #495914=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #495915=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #495916=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #495917=CARTESIAN_POINT('',(43.1875,14.002,0.)); #495918=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #495919=CARTESIAN_POINT('',(43.1875,14.002,0.035)); #495920=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.035)); #495921=CARTESIAN_POINT('',(43.1875,14.002,0.)); #495922=CARTESIAN_POINT('Origin',(54.633056,14.002,0.)); #495923=CARTESIAN_POINT('',(54.633056,14.002,0.)); #495924=CARTESIAN_POINT('',(54.633056,14.002,0.)); #495925=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #495926=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #495927=CARTESIAN_POINT('',(54.633056,14.002,0.)); #495928=CARTESIAN_POINT('Origin',(60.123,19.491944,0.)); #495929=CARTESIAN_POINT('',(60.123,19.491944,0.)); #495930=CARTESIAN_POINT('',(60.123,19.491944,0.)); #495931=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #495932=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #495933=CARTESIAN_POINT('',(60.123,19.491944,0.)); #495934=CARTESIAN_POINT('Origin',(60.123,38.258056,0.)); #495935=CARTESIAN_POINT('',(60.123,38.258056,0.)); #495936=CARTESIAN_POINT('',(60.123,38.258056,0.)); #495937=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #495938=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #495939=CARTESIAN_POINT('',(60.123,38.258056,0.)); #495940=CARTESIAN_POINT('Origin',(57.758056,40.623,0.)); #495941=CARTESIAN_POINT('',(57.758056,40.623,0.)); #495942=CARTESIAN_POINT('',(57.758056,40.623,0.)); #495943=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #495944=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #495945=CARTESIAN_POINT('',(57.758056,40.623,0.)); #495946=CARTESIAN_POINT('Origin',(48.625,40.623,0.)); #495947=CARTESIAN_POINT('',(48.625,40.623,0.)); #495948=CARTESIAN_POINT('',(48.625,40.623,0.)); #495949=CARTESIAN_POINT('',(48.625,40.623,0.035)); #495950=CARTESIAN_POINT('',(48.625,40.623,0.035)); #495951=CARTESIAN_POINT('',(48.625,40.623,0.)); #495952=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #495953=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #495954=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #495955=CARTESIAN_POINT('',(48.535197,40.660197,0.035)); #495956=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.035)); #495957=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #495958=CARTESIAN_POINT('Origin',(47.072394,42.123,0.)); #495959=CARTESIAN_POINT('',(47.072394,42.123,0.)); #495960=CARTESIAN_POINT('',(47.072394,42.123,0.)); #495961=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #495962=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #495963=CARTESIAN_POINT('',(47.072394,42.123,0.)); #495964=CARTESIAN_POINT('Origin',(43.625,42.123,0.)); #495965=CARTESIAN_POINT('',(43.625,42.123,0.)); #495966=CARTESIAN_POINT('',(43.625,42.123,0.)); #495967=CARTESIAN_POINT('',(43.625,42.123,0.035)); #495968=CARTESIAN_POINT('',(43.625,42.123,0.035)); #495969=CARTESIAN_POINT('',(43.625,42.123,0.)); #495970=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #495971=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #495972=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #495973=CARTESIAN_POINT('',(43.535197,42.160197,0.035)); #495974=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.035)); #495975=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #495976=CARTESIAN_POINT('Origin',(42.660197,43.035197,0.)); #495977=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #495978=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #495979=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #495980=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #495981=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #495982=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #495983=CARTESIAN_POINT('',(42.623,43.125,0.)); #495984=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #495985=CARTESIAN_POINT('',(42.623,43.125,0.035)); #495986=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.035)); #495987=CARTESIAN_POINT('',(42.623,43.125,0.)); #495988=CARTESIAN_POINT('Origin',(42.623,49.758069,0.)); #495989=CARTESIAN_POINT('',(42.623,49.758069,0.)); #495990=CARTESIAN_POINT('',(42.623,49.758069,0.)); #495991=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #495992=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #495993=CARTESIAN_POINT('',(42.623,49.758069,0.)); #495994=CARTESIAN_POINT('Origin',(41.508069,50.873,0.)); #495995=CARTESIAN_POINT('',(41.508069,50.873,0.)); #495996=CARTESIAN_POINT('',(41.508069,50.873,0.)); #495997=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #495998=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #495999=CARTESIAN_POINT('',(41.508069,50.873,0.)); #496000=CARTESIAN_POINT('Origin',(36.6875,50.873,0.)); #496001=CARTESIAN_POINT('',(36.6875,50.873,0.)); #496002=CARTESIAN_POINT('',(36.6875,50.873,0.)); #496003=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #496004=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #496005=CARTESIAN_POINT('',(36.6875,50.873,0.)); #496006=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #496007=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #496008=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #496009=CARTESIAN_POINT('',(36.597697,50.910197,0.035)); #496010=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.035)); #496011=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #496012=CARTESIAN_POINT('Origin',(34.285197,53.222697,0.)); #496013=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #496014=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #496015=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #496016=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #496017=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #496018=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #496019=CARTESIAN_POINT('',(34.248,53.3125,0.)); #496020=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #496021=CARTESIAN_POINT('',(34.248,53.3125,0.035)); #496022=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.035)); #496023=CARTESIAN_POINT('',(34.248,53.3125,0.)); #496024=CARTESIAN_POINT('Origin',(34.248,55.5,0.)); #496025=CARTESIAN_POINT('',(34.248,55.5,0.)); #496026=CARTESIAN_POINT('',(34.248,55.5,0.)); #496027=CARTESIAN_POINT('',(34.248,55.5,0.035)); #496028=CARTESIAN_POINT('',(34.248,55.5,0.035)); #496029=CARTESIAN_POINT('',(34.248,55.5,0.)); #496030=CARTESIAN_POINT('Origin',(34.3750003087252,55.5000007497004,0.)); #496031=CARTESIAN_POINT('',(34.285197,55.589803,0.)); #496032=CARTESIAN_POINT('Origin',(34.3750003087252,55.5000007497004,0.)); #496033=CARTESIAN_POINT('',(34.285197,55.589803,0.035)); #496034=CARTESIAN_POINT('Origin',(34.3750003087252,55.5000007497004,0.035)); #496035=CARTESIAN_POINT('',(34.285197,55.589803,0.)); #496036=CARTESIAN_POINT('Origin',(34.623,55.927606,0.)); #496037=CARTESIAN_POINT('',(34.623,55.927606,0.)); #496038=CARTESIAN_POINT('',(34.623,55.927606,0.)); #496039=CARTESIAN_POINT('',(34.623,55.927606,0.035)); #496040=CARTESIAN_POINT('',(34.623,55.927606,0.035)); #496041=CARTESIAN_POINT('',(34.623,55.927606,0.)); #496042=CARTESIAN_POINT('Origin',(34.623,56.256072,0.)); #496043=CARTESIAN_POINT('',(34.623,56.256072,0.)); #496044=CARTESIAN_POINT('',(34.623,56.256072,0.)); #496045=CARTESIAN_POINT('',(34.623,56.256072,0.035)); #496046=CARTESIAN_POINT('',(34.623,56.256072,0.035)); #496047=CARTESIAN_POINT('',(34.623,56.256072,0.)); #496048=CARTESIAN_POINT('Origin',(34.7500204601791,56.5004637716938,0.)); #496049=CARTESIAN_POINT('',(34.644438,56.754853,0.)); #496050=CARTESIAN_POINT('Origin',(34.7500204601791,56.5004637716938,0.)); #496051=CARTESIAN_POINT('',(34.644438,56.754853,0.035)); #496052=CARTESIAN_POINT('Origin',(34.7500204601791,56.5004637716938,0.035)); #496053=CARTESIAN_POINT('',(34.644438,56.754853,0.)); #496054=CARTESIAN_POINT('Origin',(34.7498222285952,56.500381763261,0.)); #496055=CARTESIAN_POINT('',(34.877,56.256072,0.)); #496056=CARTESIAN_POINT('Origin',(34.7498222285952,56.500381763261,0.)); #496057=CARTESIAN_POINT('',(34.877,56.256072,0.035)); #496058=CARTESIAN_POINT('Origin',(34.7498222285952,56.500381763261,0.035)); #496059=CARTESIAN_POINT('',(34.877,56.256072,0.)); #496060=CARTESIAN_POINT('Origin',(34.877,55.875,0.)); #496061=CARTESIAN_POINT('',(34.877,55.875,0.)); #496062=CARTESIAN_POINT('',(34.877,55.875,0.)); #496063=CARTESIAN_POINT('',(34.877,55.875,0.035)); #496064=CARTESIAN_POINT('',(34.877,55.875,0.035)); #496065=CARTESIAN_POINT('',(34.877,55.875,0.)); #496066=CARTESIAN_POINT('Origin',(34.7499996912748,55.8749992502996,0.)); #496067=CARTESIAN_POINT('',(34.839803,55.785197,0.)); #496068=CARTESIAN_POINT('Origin',(34.7499996912748,55.8749992502996,0.)); #496069=CARTESIAN_POINT('',(34.839803,55.785197,0.035)); #496070=CARTESIAN_POINT('Origin',(34.7499996912748,55.8749992502996,0.035)); #496071=CARTESIAN_POINT('',(34.839803,55.785197,0.)); #496072=CARTESIAN_POINT('Origin',(34.502,55.447394,0.)); #496073=CARTESIAN_POINT('',(34.502,55.447394,0.)); #496074=CARTESIAN_POINT('',(34.502,55.447394,0.)); #496075=CARTESIAN_POINT('',(34.502,55.447394,0.035)); #496076=CARTESIAN_POINT('',(34.502,55.447394,0.035)); #496077=CARTESIAN_POINT('',(34.502,55.447394,0.)); #496078=CARTESIAN_POINT('Origin',(34.502,53.365106,0.)); #496079=CARTESIAN_POINT('',(34.502,53.365106,0.)); #496080=CARTESIAN_POINT('',(34.502,53.365106,0.)); #496081=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #496082=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #496083=CARTESIAN_POINT('',(34.502,53.365106,0.)); #496084=CARTESIAN_POINT('Origin',(36.740106,51.127,0.)); #496085=CARTESIAN_POINT('',(36.740106,51.127,0.)); #496086=CARTESIAN_POINT('',(36.740106,51.127,0.)); #496087=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #496088=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #496089=CARTESIAN_POINT('',(36.740106,51.127,0.)); #496090=CARTESIAN_POINT('Origin',(41.560675,51.127,0.)); #496091=CARTESIAN_POINT('',(41.560675,51.127,0.)); #496092=CARTESIAN_POINT('',(41.560675,51.127,0.)); #496093=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #496094=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #496095=CARTESIAN_POINT('',(41.560675,51.127,0.)); #496096=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #496097=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #496098=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #496099=CARTESIAN_POINT('',(41.650478,51.089803,0.035)); #496100=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.035)); #496101=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #496102=CARTESIAN_POINT('Origin',(42.839803,49.900478,0.)); #496103=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #496104=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #496105=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #496106=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #496107=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #496108=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #496109=CARTESIAN_POINT('',(42.877,49.810675,0.)); #496110=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #496111=CARTESIAN_POINT('',(42.877,49.810675,0.035)); #496112=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.035)); #496113=CARTESIAN_POINT('',(42.877,49.810675,0.)); #496114=CARTESIAN_POINT('Origin',(42.877,43.177606,0.)); #496115=CARTESIAN_POINT('',(42.877,43.177606,0.)); #496116=CARTESIAN_POINT('',(42.877,43.177606,0.)); #496117=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #496118=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #496119=CARTESIAN_POINT('',(42.877,43.177606,0.)); #496120=CARTESIAN_POINT('Origin',(43.677606,42.377,0.)); #496121=CARTESIAN_POINT('',(43.677606,42.377,0.)); #496122=CARTESIAN_POINT('',(43.677606,42.377,0.)); #496123=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #496124=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #496125=CARTESIAN_POINT('',(43.677606,42.377,0.)); #496126=CARTESIAN_POINT('Origin',(47.125,42.377,0.)); #496127=CARTESIAN_POINT('',(47.125,42.377,0.)); #496128=CARTESIAN_POINT('',(47.125,42.377,0.)); #496129=CARTESIAN_POINT('',(47.125,42.377,0.035)); #496130=CARTESIAN_POINT('',(47.125,42.377,0.035)); #496131=CARTESIAN_POINT('',(47.125,42.377,0.)); #496132=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #496133=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #496134=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #496135=CARTESIAN_POINT('',(47.214803,42.339803,0.035)); #496136=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.035)); #496137=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #496138=CARTESIAN_POINT('Origin',(48.677606,40.877,0.)); #496139=CARTESIAN_POINT('',(48.677606,40.877,0.)); #496140=CARTESIAN_POINT('',(48.677606,40.877,0.)); #496141=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #496142=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #496143=CARTESIAN_POINT('',(48.677606,40.877,0.)); #496144=CARTESIAN_POINT('Origin',(57.810662,40.877,0.)); #496145=CARTESIAN_POINT('',(57.810662,40.877,0.)); #496146=CARTESIAN_POINT('',(57.810662,40.877,0.)); #496147=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #496148=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #496149=CARTESIAN_POINT('',(57.810662,40.877,0.)); #496150=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #496151=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #496152=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #496153=CARTESIAN_POINT('',(57.900466,40.839803,0.035)); #496154=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.035)); #496155=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #496156=CARTESIAN_POINT('Origin',(60.339803,38.400466,0.)); #496157=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #496158=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #496159=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #496160=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #496161=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #496162=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #496163=CARTESIAN_POINT('',(60.377,38.310662,0.)); #496164=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #496165=CARTESIAN_POINT('',(60.377,38.310662,0.035)); #496166=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.035)); #496167=CARTESIAN_POINT('',(60.377,38.310662,0.)); #496168=CARTESIAN_POINT('Origin',(60.377,19.439338,0.)); #496169=CARTESIAN_POINT('',(60.377,19.439338,0.)); #496170=CARTESIAN_POINT('',(60.377,19.439338,0.)); #496171=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #496172=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #496173=CARTESIAN_POINT('',(60.377,19.439338,0.)); #496174=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #496175=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #496176=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #496177=CARTESIAN_POINT('',(60.339803,19.349534,0.035)); #496178=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.035)); #496179=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #496180=CARTESIAN_POINT('Origin',(54.775466,13.785197,0.)); #496181=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #496182=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #496183=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #496184=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #496185=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #496186=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #496187=CARTESIAN_POINT('',(54.685662,13.748,0.)); #496188=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #496189=CARTESIAN_POINT('',(54.685662,13.748,0.035)); #496190=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.035)); #496191=CARTESIAN_POINT('',(54.685662,13.748,0.)); #496192=CARTESIAN_POINT('Origin',(43.240106,13.748,0.)); #496193=CARTESIAN_POINT('',(43.240106,13.748,0.)); #496194=CARTESIAN_POINT('',(43.240106,13.748,0.)); #496195=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #496196=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #496197=CARTESIAN_POINT('',(43.240106,13.748,0.)); #496198=CARTESIAN_POINT('Origin',(43.027303,13.535197,0.)); #496199=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #496200=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #496201=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #496202=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #496203=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #496204=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #496205=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #496206=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.035)); #496207=CARTESIAN_POINT('Origin',(50.0212845351062,32.816299631812,0.035)); #496208=CARTESIAN_POINT('Origin',(50.0212845351062,32.816299631812,0.)); #496209=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #496210=CARTESIAN_POINT('',(30.36,10.5,0.)); #496211=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #496212=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #496213=CARTESIAN_POINT('',(30.36,10.5,-200.)); #496214=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #496215=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #496216=CARTESIAN_POINT('',(36.36,10.5,0.)); #496217=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #496218=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #496219=CARTESIAN_POINT('',(36.36,10.5,-200.)); #496220=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #496221=CARTESIAN_POINT('Origin',(30.521644,10.225,0.)); #496222=CARTESIAN_POINT('',(30.521644,10.225,0.)); #496223=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #496224=CARTESIAN_POINT('',(30.521644,10.225,0.)); #496225=CARTESIAN_POINT('',(30.564397,10.231772,0.035)); #496226=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #496227=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #496228=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #496229=CARTESIAN_POINT('',(30.521644,10.225,0.)); #496230=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #496231=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #496232=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #496233=CARTESIAN_POINT('',(30.245147,10.394438,0.035)); #496234=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.035)); #496235=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #496236=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #496237=CARTESIAN_POINT('',(30.743928,10.627,0.)); #496238=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #496239=CARTESIAN_POINT('',(30.743928,10.627,0.035)); #496240=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.035)); #496241=CARTESIAN_POINT('',(30.743928,10.627,0.)); #496242=CARTESIAN_POINT('Origin',(36.256072,10.627,0.)); #496243=CARTESIAN_POINT('',(36.256072,10.627,0.)); #496244=CARTESIAN_POINT('',(36.256072,10.627,0.)); #496245=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #496246=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #496247=CARTESIAN_POINT('',(36.256072,10.627,0.)); #496248=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #496249=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #496250=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #496251=CARTESIAN_POINT('',(36.754853,10.605563,0.035)); #496252=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.035)); #496253=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #496254=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #496255=CARTESIAN_POINT('',(36.256072,10.373,0.)); #496256=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #496257=CARTESIAN_POINT('',(36.256072,10.373,0.035)); #496258=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.035)); #496259=CARTESIAN_POINT('',(36.256072,10.373,0.)); #496260=CARTESIAN_POINT('Origin',(30.743928,10.373,0.)); #496261=CARTESIAN_POINT('',(30.743928,10.373,0.)); #496262=CARTESIAN_POINT('',(30.743928,10.373,0.)); #496263=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #496264=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #496265=CARTESIAN_POINT('',(30.743928,10.373,0.)); #496266=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #496267=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #496268=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.035)); #496269=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.035)); #496270=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.)); #496271=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #496272=CARTESIAN_POINT('',(37.0475,36.75,0.)); #496273=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #496274=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #496275=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #496276=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #496277=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #496278=CARTESIAN_POINT('',(38.61,36.75,0.)); #496279=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #496280=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #496281=CARTESIAN_POINT('',(38.61,36.75,-200.)); #496282=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #496283=CARTESIAN_POINT('Origin',(37.209144,36.475,0.)); #496284=CARTESIAN_POINT('',(37.209144,36.475,0.)); #496285=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #496286=CARTESIAN_POINT('',(37.209144,36.475,0.)); #496287=CARTESIAN_POINT('',(37.251897,36.481772,0.035)); #496288=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #496289=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #496290=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #496291=CARTESIAN_POINT('',(37.209144,36.475,0.)); #496292=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #496293=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #496294=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #496295=CARTESIAN_POINT('',(36.932647,36.644438,0.035)); #496296=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.035)); #496297=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #496298=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #496299=CARTESIAN_POINT('',(37.431428,36.877,0.)); #496300=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #496301=CARTESIAN_POINT('',(37.431428,36.877,0.035)); #496302=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.035)); #496303=CARTESIAN_POINT('',(37.431428,36.877,0.)); #496304=CARTESIAN_POINT('Origin',(38.506072,36.877,0.)); #496305=CARTESIAN_POINT('',(38.506072,36.877,0.)); #496306=CARTESIAN_POINT('',(38.506072,36.877,0.)); #496307=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #496308=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #496309=CARTESIAN_POINT('',(38.506072,36.877,0.)); #496310=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #496311=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #496312=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #496313=CARTESIAN_POINT('',(39.004853,36.855563,0.035)); #496314=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.035)); #496315=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #496316=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #496317=CARTESIAN_POINT('',(38.506072,36.623,0.)); #496318=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #496319=CARTESIAN_POINT('',(38.506072,36.623,0.035)); #496320=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.035)); #496321=CARTESIAN_POINT('',(38.506072,36.623,0.)); #496322=CARTESIAN_POINT('Origin',(37.431428,36.623,0.)); #496323=CARTESIAN_POINT('',(37.431428,36.623,0.)); #496324=CARTESIAN_POINT('',(37.431428,36.623,0.)); #496325=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #496326=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #496327=CARTESIAN_POINT('',(37.431428,36.623,0.)); #496328=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #496329=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #496330=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.035)); #496331=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.035)); #496332=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.)); #496333=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #496334=CARTESIAN_POINT('',(30.6725,36.75,0.)); #496335=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #496336=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #496337=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #496338=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #496339=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #496340=CARTESIAN_POINT('',(29.11,36.75,0.)); #496341=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #496342=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #496343=CARTESIAN_POINT('',(29.11,36.75,-200.)); #496344=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #496345=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #496346=CARTESIAN_POINT('',(29.271644,36.475,0.)); #496347=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #496348=CARTESIAN_POINT('',(29.271644,36.475,0.)); #496349=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #496350=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #496351=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #496352=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #496353=CARTESIAN_POINT('',(29.271644,36.475,0.)); #496354=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #496355=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #496356=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #496357=CARTESIAN_POINT('',(28.995147,36.644438,0.035)); #496358=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.035)); #496359=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #496360=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #496361=CARTESIAN_POINT('',(29.493928,36.877,0.)); #496362=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #496363=CARTESIAN_POINT('',(29.493928,36.877,0.035)); #496364=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.035)); #496365=CARTESIAN_POINT('',(29.493928,36.877,0.)); #496366=CARTESIAN_POINT('Origin',(30.568572,36.877,0.)); #496367=CARTESIAN_POINT('',(30.568572,36.877,0.)); #496368=CARTESIAN_POINT('',(30.568572,36.877,0.)); #496369=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #496370=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #496371=CARTESIAN_POINT('',(30.568572,36.877,0.)); #496372=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #496373=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #496374=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #496375=CARTESIAN_POINT('',(31.067353,36.855563,0.035)); #496376=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.035)); #496377=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #496378=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #496379=CARTESIAN_POINT('',(30.568572,36.623,0.)); #496380=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #496381=CARTESIAN_POINT('',(30.568572,36.623,0.035)); #496382=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.035)); #496383=CARTESIAN_POINT('',(30.568572,36.623,0.)); #496384=CARTESIAN_POINT('Origin',(29.493928,36.623,0.)); #496385=CARTESIAN_POINT('',(29.493928,36.623,0.)); #496386=CARTESIAN_POINT('',(29.493928,36.623,0.)); #496387=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #496388=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #496389=CARTESIAN_POINT('',(29.493928,36.623,0.)); #496390=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #496391=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #496392=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.035)); #496393=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.035)); #496394=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.)); #496395=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #496396=CARTESIAN_POINT('',(42.36,16.,0.)); #496397=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #496398=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #496399=CARTESIAN_POINT('',(42.36,16.,-200.)); #496400=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #496401=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #496402=CARTESIAN_POINT('',(39.11,18.25,0.)); #496403=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #496404=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #496405=CARTESIAN_POINT('',(39.11,18.25,-200.)); #496406=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #496407=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #496408=CARTESIAN_POINT('',(42.521644,15.725,0.)); #496409=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #496410=CARTESIAN_POINT('',(42.521644,15.725,0.)); #496411=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #496412=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #496413=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #496414=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #496415=CARTESIAN_POINT('',(42.521644,15.725,0.)); #496416=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #496417=CARTESIAN_POINT('',(42.373,16.243928,0.)); #496418=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #496419=CARTESIAN_POINT('',(42.373,16.243928,0.035)); #496420=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.035)); #496421=CARTESIAN_POINT('',(42.373,16.243928,0.)); #496422=CARTESIAN_POINT('Origin',(42.373,17.197394,0.)); #496423=CARTESIAN_POINT('',(42.373,17.197394,0.)); #496424=CARTESIAN_POINT('',(42.373,17.197394,0.)); #496425=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #496426=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #496427=CARTESIAN_POINT('',(42.373,17.197394,0.)); #496428=CARTESIAN_POINT('Origin',(41.447394,18.123,0.)); #496429=CARTESIAN_POINT('',(41.447394,18.123,0.)); #496430=CARTESIAN_POINT('',(41.447394,18.123,0.)); #496431=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #496432=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #496433=CARTESIAN_POINT('',(41.447394,18.123,0.)); #496434=CARTESIAN_POINT('Origin',(39.493928,18.123,0.)); #496435=CARTESIAN_POINT('',(39.493928,18.123,0.)); #496436=CARTESIAN_POINT('',(39.493928,18.123,0.)); #496437=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #496438=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #496439=CARTESIAN_POINT('',(39.493928,18.123,0.)); #496440=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #496441=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #496442=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #496443=CARTESIAN_POINT('',(38.995147,18.144438,0.035)); #496444=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.035)); #496445=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #496446=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #496447=CARTESIAN_POINT('',(39.493928,18.377,0.)); #496448=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #496449=CARTESIAN_POINT('',(39.493928,18.377,0.035)); #496450=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.035)); #496451=CARTESIAN_POINT('',(39.493928,18.377,0.)); #496452=CARTESIAN_POINT('Origin',(41.5,18.377,0.)); #496453=CARTESIAN_POINT('',(41.5,18.377,0.)); #496454=CARTESIAN_POINT('',(41.5,18.377,0.)); #496455=CARTESIAN_POINT('',(41.5,18.377,0.035)); #496456=CARTESIAN_POINT('',(41.5,18.377,0.035)); #496457=CARTESIAN_POINT('',(41.5,18.377,0.)); #496458=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #496459=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #496460=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #496461=CARTESIAN_POINT('',(41.589803,18.339803,0.035)); #496462=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.035)); #496463=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #496464=CARTESIAN_POINT('Origin',(42.589803,17.339803,0.)); #496465=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #496466=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #496467=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #496468=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #496469=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #496470=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #496471=CARTESIAN_POINT('',(42.627,17.25,0.)); #496472=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #496473=CARTESIAN_POINT('',(42.627,17.25,0.035)); #496474=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.035)); #496475=CARTESIAN_POINT('',(42.627,17.25,0.)); #496476=CARTESIAN_POINT('Origin',(42.627,16.243928,0.)); #496477=CARTESIAN_POINT('',(42.627,16.243928,0.)); #496478=CARTESIAN_POINT('',(42.627,16.243928,0.)); #496479=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #496480=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #496481=CARTESIAN_POINT('',(42.627,16.243928,0.)); #496482=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #496483=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #496484=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.035)); #496485=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.035)); #496486=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.)); #496487=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #496488=CARTESIAN_POINT('',(23.765,49.75,0.)); #496489=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #496490=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #496491=CARTESIAN_POINT('',(23.765,49.75,-200.)); #496492=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #496493=CARTESIAN_POINT('Origin',(24.205,49.15,0.)); #496494=CARTESIAN_POINT('',(24.205,49.15,0.)); #496495=CARTESIAN_POINT('',(24.205,50.35,0.)); #496496=CARTESIAN_POINT('',(24.205,49.15,0.)); #496497=CARTESIAN_POINT('',(24.205,50.35,0.035)); #496498=CARTESIAN_POINT('',(24.205,50.35,0.)); #496499=CARTESIAN_POINT('',(24.205,49.15,0.035)); #496500=CARTESIAN_POINT('',(24.205,49.15,0.035)); #496501=CARTESIAN_POINT('',(24.205,49.15,0.)); #496502=CARTESIAN_POINT('Origin',(23.605,49.15,0.)); #496503=CARTESIAN_POINT('',(23.605,49.15,0.)); #496504=CARTESIAN_POINT('',(23.605,49.15,0.)); #496505=CARTESIAN_POINT('',(23.605,49.15,0.035)); #496506=CARTESIAN_POINT('',(23.605,49.15,0.035)); #496507=CARTESIAN_POINT('',(23.605,49.15,0.)); #496508=CARTESIAN_POINT('Origin',(23.605,50.35,0.)); #496509=CARTESIAN_POINT('',(23.605,50.35,0.)); #496510=CARTESIAN_POINT('',(23.605,50.35,0.)); #496511=CARTESIAN_POINT('',(23.605,50.35,0.035)); #496512=CARTESIAN_POINT('',(23.605,50.35,0.035)); #496513=CARTESIAN_POINT('',(23.605,50.35,0.)); #496514=CARTESIAN_POINT('Origin',(24.205,50.35,0.)); #496515=CARTESIAN_POINT('',(24.205,50.35,0.)); #496516=CARTESIAN_POINT('',(24.205,50.35,0.035)); #496517=CARTESIAN_POINT('Origin',(23.905,49.75,0.035)); #496518=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #496519=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #496520=CARTESIAN_POINT('',(23.765,58.75,0.)); #496521=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #496522=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #496523=CARTESIAN_POINT('',(23.765,58.75,-200.)); #496524=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #496525=CARTESIAN_POINT('Origin',(24.205,58.15,0.)); #496526=CARTESIAN_POINT('',(24.205,58.15,0.)); #496527=CARTESIAN_POINT('',(24.205,59.35,0.)); #496528=CARTESIAN_POINT('',(24.205,58.15,0.)); #496529=CARTESIAN_POINT('',(24.205,59.35,0.035)); #496530=CARTESIAN_POINT('',(24.205,59.35,0.)); #496531=CARTESIAN_POINT('',(24.205,58.15,0.035)); #496532=CARTESIAN_POINT('',(24.205,58.15,0.035)); #496533=CARTESIAN_POINT('',(24.205,58.15,0.)); #496534=CARTESIAN_POINT('Origin',(23.605,58.15,0.)); #496535=CARTESIAN_POINT('',(23.605,58.15,0.)); #496536=CARTESIAN_POINT('',(23.605,58.15,0.)); #496537=CARTESIAN_POINT('',(23.605,58.15,0.035)); #496538=CARTESIAN_POINT('',(23.605,58.15,0.035)); #496539=CARTESIAN_POINT('',(23.605,58.15,0.)); #496540=CARTESIAN_POINT('Origin',(23.605,59.35,0.)); #496541=CARTESIAN_POINT('',(23.605,59.35,0.)); #496542=CARTESIAN_POINT('',(23.605,59.35,0.)); #496543=CARTESIAN_POINT('',(23.605,59.35,0.035)); #496544=CARTESIAN_POINT('',(23.605,59.35,0.035)); #496545=CARTESIAN_POINT('',(23.605,59.35,0.)); #496546=CARTESIAN_POINT('Origin',(24.205,59.35,0.)); #496547=CARTESIAN_POINT('',(24.205,59.35,0.)); #496548=CARTESIAN_POINT('',(24.205,59.35,0.035)); #496549=CARTESIAN_POINT('Origin',(23.905,58.75,0.035)); #496550=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #496551=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #496552=CARTESIAN_POINT('',(47.765,49.75,0.)); #496553=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #496554=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #496555=CARTESIAN_POINT('',(47.765,49.75,-200.)); #496556=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #496557=CARTESIAN_POINT('Origin',(48.205,49.15,0.)); #496558=CARTESIAN_POINT('',(48.205,49.15,0.)); #496559=CARTESIAN_POINT('',(48.205,50.35,0.)); #496560=CARTESIAN_POINT('',(48.205,49.15,0.)); #496561=CARTESIAN_POINT('',(48.205,50.35,0.035)); #496562=CARTESIAN_POINT('',(48.205,50.35,0.)); #496563=CARTESIAN_POINT('',(48.205,49.15,0.035)); #496564=CARTESIAN_POINT('',(48.205,49.15,0.035)); #496565=CARTESIAN_POINT('',(48.205,49.15,0.)); #496566=CARTESIAN_POINT('Origin',(47.605,49.15,0.)); #496567=CARTESIAN_POINT('',(47.605,49.15,0.)); #496568=CARTESIAN_POINT('',(47.605,49.15,0.)); #496569=CARTESIAN_POINT('',(47.605,49.15,0.035)); #496570=CARTESIAN_POINT('',(47.605,49.15,0.035)); #496571=CARTESIAN_POINT('',(47.605,49.15,0.)); #496572=CARTESIAN_POINT('Origin',(47.605,50.35,0.)); #496573=CARTESIAN_POINT('',(47.605,50.35,0.)); #496574=CARTESIAN_POINT('',(47.605,50.35,0.)); #496575=CARTESIAN_POINT('',(47.605,50.35,0.035)); #496576=CARTESIAN_POINT('',(47.605,50.35,0.035)); #496577=CARTESIAN_POINT('',(47.605,50.35,0.)); #496578=CARTESIAN_POINT('Origin',(48.205,50.35,0.)); #496579=CARTESIAN_POINT('',(48.205,50.35,0.)); #496580=CARTESIAN_POINT('',(48.205,50.35,0.035)); #496581=CARTESIAN_POINT('Origin',(47.905,49.75,0.035)); #496582=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #496583=CARTESIAN_POINT('Origin',(29.238,63.5,-200.)); #496584=CARTESIAN_POINT('',(29.098,63.5,0.)); #496585=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #496586=CARTESIAN_POINT('',(29.098,63.5,0.0349999999999895)); #496587=CARTESIAN_POINT('',(29.098,63.5,-200.)); #496588=CARTESIAN_POINT('Origin',(29.238,63.5,0.0349999999999895)); #496589=CARTESIAN_POINT('Origin',(29.259644,63.225,0.)); #496590=CARTESIAN_POINT('',(29.259644,63.225,0.)); #496591=CARTESIAN_POINT('',(29.302397,63.231772,0.)); #496592=CARTESIAN_POINT('',(29.259644,63.225,0.)); #496593=CARTESIAN_POINT('',(29.302397,63.231772,0.035)); #496594=CARTESIAN_POINT('',(29.302397,63.231772,0.)); #496595=CARTESIAN_POINT('',(29.259644,63.225,0.035)); #496596=CARTESIAN_POINT('',(29.259644,63.225,0.035)); #496597=CARTESIAN_POINT('',(29.259644,63.225,0.)); #496598=CARTESIAN_POINT('Origin',(29.238118471131,63.4995872515008,0.)); #496599=CARTESIAN_POINT('',(29.111,63.743928,0.)); #496600=CARTESIAN_POINT('Origin',(29.238118471131,63.4995872515008,0.)); #496601=CARTESIAN_POINT('',(29.111,63.743928,0.035)); #496602=CARTESIAN_POINT('Origin',(29.238118471131,63.4995872515008,0.035)); #496603=CARTESIAN_POINT('',(29.111,63.743928,0.)); #496604=CARTESIAN_POINT('Origin',(29.111,65.5555,0.)); #496605=CARTESIAN_POINT('',(29.111,65.5555,0.)); #496606=CARTESIAN_POINT('',(29.111,65.5555,0.)); #496607=CARTESIAN_POINT('',(29.111,65.5555,0.035)); #496608=CARTESIAN_POINT('',(29.111,65.5555,0.035)); #496609=CARTESIAN_POINT('',(29.111,65.5555,0.)); #496610=CARTESIAN_POINT('Origin',(28.8189,65.5555,0.)); #496611=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #496612=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #496613=CARTESIAN_POINT('',(28.8189,65.5555,0.035)); #496614=CARTESIAN_POINT('',(28.8189,65.5555,0.035)); #496615=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #496616=CARTESIAN_POINT('Origin',(28.8189,66.4445,0.)); #496617=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #496618=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #496619=CARTESIAN_POINT('',(28.8189,66.4445,0.035)); #496620=CARTESIAN_POINT('',(28.8189,66.4445,0.035)); #496621=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #496622=CARTESIAN_POINT('Origin',(29.6571,66.4445,0.)); #496623=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #496624=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #496625=CARTESIAN_POINT('',(29.6571,66.4445,0.035)); #496626=CARTESIAN_POINT('',(29.6571,66.4445,0.035)); #496627=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #496628=CARTESIAN_POINT('Origin',(29.6571,65.5555,0.)); #496629=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #496630=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #496631=CARTESIAN_POINT('',(29.6571,65.5555,0.035)); #496632=CARTESIAN_POINT('',(29.6571,65.5555,0.035)); #496633=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #496634=CARTESIAN_POINT('Origin',(29.365,65.5555,0.)); #496635=CARTESIAN_POINT('',(29.365,65.5555,0.)); #496636=CARTESIAN_POINT('',(29.365,65.5555,0.)); #496637=CARTESIAN_POINT('',(29.365,65.5555,0.035)); #496638=CARTESIAN_POINT('',(29.365,65.5555,0.035)); #496639=CARTESIAN_POINT('',(29.365,65.5555,0.)); #496640=CARTESIAN_POINT('Origin',(29.365,63.743928,0.)); #496641=CARTESIAN_POINT('',(29.365,63.743928,0.)); #496642=CARTESIAN_POINT('',(29.365,63.743928,0.)); #496643=CARTESIAN_POINT('',(29.365,63.743928,0.035)); #496644=CARTESIAN_POINT('',(29.365,63.743928,0.035)); #496645=CARTESIAN_POINT('',(29.365,63.743928,0.)); #496646=CARTESIAN_POINT('Origin',(29.2379453752955,63.499554310534,0.)); #496647=CARTESIAN_POINT('Origin',(29.2379453752955,63.499554310534,0.)); #496648=CARTESIAN_POINT('Origin',(29.2379453752955,63.499554310534,0.035)); #496649=CARTESIAN_POINT('Origin',(29.2383133768239,64.9732455771529,0.035)); #496650=CARTESIAN_POINT('Origin',(29.2383133768239,64.9732455771529,0.)); #496651=CARTESIAN_POINT('Origin',(32.349,63.5,-200.)); #496652=CARTESIAN_POINT('',(32.209,63.5,0.)); #496653=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #496654=CARTESIAN_POINT('',(32.209,63.5,0.0349999999999895)); #496655=CARTESIAN_POINT('',(32.209,63.5,-200.)); #496656=CARTESIAN_POINT('Origin',(32.349,63.5,0.0349999999999895)); #496657=CARTESIAN_POINT('Origin',(32.349,54.5,-200.)); #496658=CARTESIAN_POINT('',(32.209,54.5,0.)); #496659=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #496660=CARTESIAN_POINT('',(32.209,54.5,0.0349999999999895)); #496661=CARTESIAN_POINT('',(32.209,54.5,-200.)); #496662=CARTESIAN_POINT('Origin',(32.349,54.5,0.0349999999999895)); #496663=CARTESIAN_POINT('Origin',(32.370644,54.225,0.)); #496664=CARTESIAN_POINT('',(32.370644,54.225,0.)); #496665=CARTESIAN_POINT('',(32.413397,54.231772,0.)); #496666=CARTESIAN_POINT('',(32.370644,54.225,0.)); #496667=CARTESIAN_POINT('',(32.413397,54.231772,0.035)); #496668=CARTESIAN_POINT('',(32.413397,54.231772,0.)); #496669=CARTESIAN_POINT('',(32.370644,54.225,0.035)); #496670=CARTESIAN_POINT('',(32.370644,54.225,0.035)); #496671=CARTESIAN_POINT('',(32.370644,54.225,0.)); #496672=CARTESIAN_POINT('Origin',(32.349118471131,54.4995872515008,0.)); #496673=CARTESIAN_POINT('',(32.222,54.743928,0.)); #496674=CARTESIAN_POINT('Origin',(32.349118471131,54.4995872515008,0.)); #496675=CARTESIAN_POINT('',(32.222,54.743928,0.035)); #496676=CARTESIAN_POINT('Origin',(32.349118471131,54.4995872515008,0.035)); #496677=CARTESIAN_POINT('',(32.222,54.743928,0.)); #496678=CARTESIAN_POINT('Origin',(32.222,63.256072,0.)); #496679=CARTESIAN_POINT('',(32.222,63.256072,0.)); #496680=CARTESIAN_POINT('',(32.222,63.256072,0.)); #496681=CARTESIAN_POINT('',(32.222,63.256072,0.035)); #496682=CARTESIAN_POINT('',(32.222,63.256072,0.035)); #496683=CARTESIAN_POINT('',(32.222,63.256072,0.)); #496684=CARTESIAN_POINT('Origin',(32.3490199601791,63.5004640048652,0.)); #496685=CARTESIAN_POINT('',(32.243437,63.754853,0.)); #496686=CARTESIAN_POINT('Origin',(32.3490199601791,63.5004640048652,0.)); #496687=CARTESIAN_POINT('',(32.243437,63.754853,0.035)); #496688=CARTESIAN_POINT('Origin',(32.3490199601791,63.5004640048652,0.035)); #496689=CARTESIAN_POINT('',(32.243437,63.754853,0.)); #496690=CARTESIAN_POINT('Origin',(32.3488217285952,63.5003817414141,0.)); #496691=CARTESIAN_POINT('',(32.476,63.256072,0.)); #496692=CARTESIAN_POINT('Origin',(32.3488217285952,63.5003817414141,0.)); #496693=CARTESIAN_POINT('',(32.476,63.256072,0.035)); #496694=CARTESIAN_POINT('Origin',(32.3488217285952,63.5003817414141,0.035)); #496695=CARTESIAN_POINT('',(32.476,63.256072,0.)); #496696=CARTESIAN_POINT('Origin',(32.476,54.743928,0.)); #496697=CARTESIAN_POINT('',(32.476,54.743928,0.)); #496698=CARTESIAN_POINT('',(32.476,54.743928,0.)); #496699=CARTESIAN_POINT('',(32.476,54.743928,0.035)); #496700=CARTESIAN_POINT('',(32.476,54.743928,0.035)); #496701=CARTESIAN_POINT('',(32.476,54.743928,0.)); #496702=CARTESIAN_POINT('Origin',(32.3489453752955,54.499554310534,0.)); #496703=CARTESIAN_POINT('Origin',(32.3489453752955,54.499554310534,0.)); #496704=CARTESIAN_POINT('Origin',(32.3489453752955,54.499554310534,0.035)); #496705=CARTESIAN_POINT('Origin',(32.3488121117195,58.9998804988332,0.035)); #496706=CARTESIAN_POINT('Origin',(32.3488121117195,58.9998804988332,0.)); #496707=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #496708=CARTESIAN_POINT('',(30.622,60.25,0.)); #496709=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #496710=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #496711=CARTESIAN_POINT('',(30.622,60.25,-200.)); #496712=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #496713=CARTESIAN_POINT('Origin',(30.783644,59.975,0.)); #496714=CARTESIAN_POINT('',(30.783644,59.975,0.)); #496715=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #496716=CARTESIAN_POINT('',(30.783644,59.975,0.)); #496717=CARTESIAN_POINT('',(30.826397,59.981772,0.035)); #496718=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #496719=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #496720=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #496721=CARTESIAN_POINT('',(30.783644,59.975,0.)); #496722=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #496723=CARTESIAN_POINT('',(30.635,60.493928,0.)); #496724=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #496725=CARTESIAN_POINT('',(30.635,60.493928,0.035)); #496726=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.035)); #496727=CARTESIAN_POINT('',(30.635,60.493928,0.)); #496728=CARTESIAN_POINT('Origin',(30.635,65.5555,0.)); #496729=CARTESIAN_POINT('',(30.635,65.5555,0.)); #496730=CARTESIAN_POINT('',(30.635,65.5555,0.)); #496731=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #496732=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #496733=CARTESIAN_POINT('',(30.635,65.5555,0.)); #496734=CARTESIAN_POINT('Origin',(30.3429,65.5555,0.)); #496735=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #496736=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #496737=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #496738=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #496739=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #496740=CARTESIAN_POINT('Origin',(30.3429,66.4445,0.)); #496741=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #496742=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #496743=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #496744=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #496745=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #496746=CARTESIAN_POINT('Origin',(31.1811,66.4445,0.)); #496747=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #496748=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #496749=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #496750=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #496751=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #496752=CARTESIAN_POINT('Origin',(31.1811,65.5555,0.)); #496753=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #496754=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #496755=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #496756=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #496757=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #496758=CARTESIAN_POINT('Origin',(30.889,65.5555,0.)); #496759=CARTESIAN_POINT('',(30.889,65.5555,0.)); #496760=CARTESIAN_POINT('',(30.889,65.5555,0.)); #496761=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #496762=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #496763=CARTESIAN_POINT('',(30.889,65.5555,0.)); #496764=CARTESIAN_POINT('Origin',(30.889,60.493928,0.)); #496765=CARTESIAN_POINT('',(30.889,60.493928,0.)); #496766=CARTESIAN_POINT('',(30.889,60.493928,0.)); #496767=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #496768=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #496769=CARTESIAN_POINT('',(30.889,60.493928,0.)); #496770=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #496771=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #496772=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.035)); #496773=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.035)); #496774=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.)); #496775=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #496776=CARTESIAN_POINT('',(41.86,36.,0.)); #496777=CARTESIAN_POINT('Origin',(42.,36.,0.)); #496778=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #496779=CARTESIAN_POINT('',(41.86,36.,-200.)); #496780=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #496781=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #496782=CARTESIAN_POINT('',(41.86,31.,0.)); #496783=CARTESIAN_POINT('Origin',(42.,31.,0.)); #496784=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #496785=CARTESIAN_POINT('',(41.86,31.,-200.)); #496786=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #496787=CARTESIAN_POINT('Origin',(42.021644,30.725,0.)); #496788=CARTESIAN_POINT('',(42.021644,30.725,0.)); #496789=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #496790=CARTESIAN_POINT('',(42.021644,30.725,0.)); #496791=CARTESIAN_POINT('',(42.064397,30.731772,0.035)); #496792=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #496793=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #496794=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #496795=CARTESIAN_POINT('',(42.021644,30.725,0.)); #496796=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #496797=CARTESIAN_POINT('',(41.873,31.243928,0.)); #496798=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #496799=CARTESIAN_POINT('',(41.873,31.243928,0.035)); #496800=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.035)); #496801=CARTESIAN_POINT('',(41.873,31.243928,0.)); #496802=CARTESIAN_POINT('Origin',(41.873,35.756072,0.)); #496803=CARTESIAN_POINT('',(41.873,35.756072,0.)); #496804=CARTESIAN_POINT('',(41.873,35.756072,0.)); #496805=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #496806=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #496807=CARTESIAN_POINT('',(41.873,35.756072,0.)); #496808=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #496809=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #496810=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #496811=CARTESIAN_POINT('',(41.894438,36.254853,0.035)); #496812=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.035)); #496813=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #496814=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #496815=CARTESIAN_POINT('',(42.127,35.756072,0.)); #496816=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #496817=CARTESIAN_POINT('',(42.127,35.756072,0.035)); #496818=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.035)); #496819=CARTESIAN_POINT('',(42.127,35.756072,0.)); #496820=CARTESIAN_POINT('Origin',(42.127,31.243928,0.)); #496821=CARTESIAN_POINT('',(42.127,31.243928,0.)); #496822=CARTESIAN_POINT('',(42.127,31.243928,0.)); #496823=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #496824=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #496825=CARTESIAN_POINT('',(42.127,31.243928,0.)); #496826=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #496827=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #496828=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.035)); #496829=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.035)); #496830=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.)); #496831=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #496832=CARTESIAN_POINT('',(34.622,60.25,0.)); #496833=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #496834=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #496835=CARTESIAN_POINT('',(34.622,60.25,-200.)); #496836=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #496837=CARTESIAN_POINT('Origin',(34.783644,59.975,0.)); #496838=CARTESIAN_POINT('',(34.783644,59.975,0.)); #496839=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #496840=CARTESIAN_POINT('',(34.783644,59.975,0.)); #496841=CARTESIAN_POINT('',(34.826397,59.981772,0.035)); #496842=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #496843=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #496844=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #496845=CARTESIAN_POINT('',(34.783644,59.975,0.)); #496846=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #496847=CARTESIAN_POINT('',(34.635,60.493928,0.)); #496848=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #496849=CARTESIAN_POINT('',(34.635,60.493928,0.035)); #496850=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.035)); #496851=CARTESIAN_POINT('',(34.635,60.493928,0.)); #496852=CARTESIAN_POINT('Origin',(34.635,65.5555,0.)); #496853=CARTESIAN_POINT('',(34.635,65.5555,0.)); #496854=CARTESIAN_POINT('',(34.635,65.5555,0.)); #496855=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #496856=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #496857=CARTESIAN_POINT('',(34.635,65.5555,0.)); #496858=CARTESIAN_POINT('Origin',(34.3429,65.5555,0.)); #496859=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #496860=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #496861=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #496862=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #496863=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #496864=CARTESIAN_POINT('Origin',(34.3429,66.4445,0.)); #496865=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #496866=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #496867=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #496868=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #496869=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #496870=CARTESIAN_POINT('Origin',(35.1811,66.4445,0.)); #496871=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #496872=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #496873=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #496874=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #496875=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #496876=CARTESIAN_POINT('Origin',(35.1811,65.5555,0.)); #496877=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #496878=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #496879=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #496880=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #496881=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #496882=CARTESIAN_POINT('Origin',(34.889,65.5555,0.)); #496883=CARTESIAN_POINT('',(34.889,65.5555,0.)); #496884=CARTESIAN_POINT('',(34.889,65.5555,0.)); #496885=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #496886=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #496887=CARTESIAN_POINT('',(34.889,65.5555,0.)); #496888=CARTESIAN_POINT('Origin',(34.889,60.493928,0.)); #496889=CARTESIAN_POINT('',(34.889,60.493928,0.)); #496890=CARTESIAN_POINT('',(34.889,60.493928,0.)); #496891=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #496892=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #496893=CARTESIAN_POINT('',(34.889,60.493928,0.)); #496894=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #496895=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #496896=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.035)); #496897=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.035)); #496898=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.)); #496899=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #496900=CARTESIAN_POINT('',(38.622,60.25,0.)); #496901=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #496902=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #496903=CARTESIAN_POINT('',(38.622,60.25,-200.)); #496904=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #496905=CARTESIAN_POINT('Origin',(38.783644,59.975,0.)); #496906=CARTESIAN_POINT('',(38.783644,59.975,0.)); #496907=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #496908=CARTESIAN_POINT('',(38.783644,59.975,0.)); #496909=CARTESIAN_POINT('',(38.826397,59.981772,0.035)); #496910=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #496911=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #496912=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #496913=CARTESIAN_POINT('',(38.783644,59.975,0.)); #496914=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #496915=CARTESIAN_POINT('',(38.635,60.493928,0.)); #496916=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #496917=CARTESIAN_POINT('',(38.635,60.493928,0.035)); #496918=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.035)); #496919=CARTESIAN_POINT('',(38.635,60.493928,0.)); #496920=CARTESIAN_POINT('Origin',(38.635,65.5555,0.)); #496921=CARTESIAN_POINT('',(38.635,65.5555,0.)); #496922=CARTESIAN_POINT('',(38.635,65.5555,0.)); #496923=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #496924=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #496925=CARTESIAN_POINT('',(38.635,65.5555,0.)); #496926=CARTESIAN_POINT('Origin',(38.3429,65.5555,0.)); #496927=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #496928=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #496929=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #496930=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #496931=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #496932=CARTESIAN_POINT('Origin',(38.3429,66.4445,0.)); #496933=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #496934=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #496935=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #496936=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #496937=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #496938=CARTESIAN_POINT('Origin',(39.1811,66.4445,0.)); #496939=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #496940=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #496941=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #496942=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #496943=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #496944=CARTESIAN_POINT('Origin',(39.1811,65.5555,0.)); #496945=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #496946=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #496947=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #496948=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #496949=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #496950=CARTESIAN_POINT('Origin',(38.889,65.5555,0.)); #496951=CARTESIAN_POINT('',(38.889,65.5555,0.)); #496952=CARTESIAN_POINT('',(38.889,65.5555,0.)); #496953=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #496954=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #496955=CARTESIAN_POINT('',(38.889,65.5555,0.)); #496956=CARTESIAN_POINT('Origin',(38.889,60.493928,0.)); #496957=CARTESIAN_POINT('',(38.889,60.493928,0.)); #496958=CARTESIAN_POINT('',(38.889,60.493928,0.)); #496959=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #496960=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #496961=CARTESIAN_POINT('',(38.889,60.493928,0.)); #496962=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #496963=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #496964=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.035)); #496965=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.035)); #496966=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.)); #496967=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #496968=CARTESIAN_POINT('',(33.9225,12.25,0.)); #496969=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #496970=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #496971=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #496972=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #496973=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #496974=CARTESIAN_POINT('',(34.084144,11.975,0.)); #496975=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #496976=CARTESIAN_POINT('',(34.084144,11.975,0.)); #496977=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #496978=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #496979=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #496980=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #496981=CARTESIAN_POINT('',(34.084144,11.975,0.)); #496982=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #496983=CARTESIAN_POINT('',(33.818572,12.123,0.)); #496984=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #496985=CARTESIAN_POINT('',(33.818572,12.123,0.035)); #496986=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.035)); #496987=CARTESIAN_POINT('',(33.818572,12.123,0.)); #496988=CARTESIAN_POINT('Origin',(29.939338,12.123,0.)); #496989=CARTESIAN_POINT('',(29.939338,12.123,0.)); #496990=CARTESIAN_POINT('',(29.939338,12.123,0.)); #496991=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #496992=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #496993=CARTESIAN_POINT('',(29.939338,12.123,0.)); #496994=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #496995=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #496996=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #496997=CARTESIAN_POINT('',(29.849534,12.160197,0.035)); #496998=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.035)); #496999=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #497000=CARTESIAN_POINT('Origin',(26.148197,15.861534,0.)); #497001=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #497002=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #497003=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #497004=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #497005=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #497006=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #497007=CARTESIAN_POINT('',(26.111,15.951338,0.)); #497008=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #497009=CARTESIAN_POINT('',(26.111,15.951338,0.035)); #497010=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.035)); #497011=CARTESIAN_POINT('',(26.111,15.951338,0.)); #497012=CARTESIAN_POINT('Origin',(26.111,18.5555,0.)); #497013=CARTESIAN_POINT('',(26.111,18.5555,0.)); #497014=CARTESIAN_POINT('',(26.111,18.5555,0.)); #497015=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #497016=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #497017=CARTESIAN_POINT('',(26.111,18.5555,0.)); #497018=CARTESIAN_POINT('Origin',(25.8189,18.5555,0.)); #497019=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #497020=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #497021=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #497022=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #497023=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #497024=CARTESIAN_POINT('Origin',(25.8189,19.4445,0.)); #497025=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #497026=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #497027=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #497028=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #497029=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #497030=CARTESIAN_POINT('Origin',(26.6571,19.4445,0.)); #497031=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #497032=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #497033=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #497034=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #497035=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #497036=CARTESIAN_POINT('Origin',(26.6571,18.5555,0.)); #497037=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #497038=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #497039=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #497040=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #497041=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #497042=CARTESIAN_POINT('Origin',(26.365,18.5555,0.)); #497043=CARTESIAN_POINT('',(26.365,18.5555,0.)); #497044=CARTESIAN_POINT('',(26.365,18.5555,0.)); #497045=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #497046=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #497047=CARTESIAN_POINT('',(26.365,18.5555,0.)); #497048=CARTESIAN_POINT('Origin',(26.365,16.003944,0.)); #497049=CARTESIAN_POINT('',(26.365,16.003944,0.)); #497050=CARTESIAN_POINT('',(26.365,16.003944,0.)); #497051=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #497052=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #497053=CARTESIAN_POINT('',(26.365,16.003944,0.)); #497054=CARTESIAN_POINT('Origin',(29.991944,12.377,0.)); #497055=CARTESIAN_POINT('',(29.991944,12.377,0.)); #497056=CARTESIAN_POINT('',(29.991944,12.377,0.)); #497057=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #497058=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #497059=CARTESIAN_POINT('',(29.991944,12.377,0.)); #497060=CARTESIAN_POINT('Origin',(33.818572,12.377,0.)); #497061=CARTESIAN_POINT('',(33.818572,12.377,0.)); #497062=CARTESIAN_POINT('',(33.818572,12.377,0.)); #497063=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #497064=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #497065=CARTESIAN_POINT('',(33.818572,12.377,0.)); #497066=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #497067=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #497068=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #497069=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #497070=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.035)); #497071=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #497072=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #497073=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #497074=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #497075=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.035)); #497076=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.)); #497077=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #497078=CARTESIAN_POINT('',(31.035,25.25,0.)); #497079=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #497080=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #497081=CARTESIAN_POINT('',(31.035,25.25,-200.)); #497082=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #497083=CARTESIAN_POINT('Origin',(28.1811,22.5555,0.)); #497084=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #497085=CARTESIAN_POINT('',(28.1811,22.873,0.)); #497086=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #497087=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #497088=CARTESIAN_POINT('',(28.1811,22.873,0.)); #497089=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #497090=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #497091=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #497092=CARTESIAN_POINT('Origin',(27.3429,22.5555,0.)); #497093=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #497094=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #497095=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #497096=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #497097=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #497098=CARTESIAN_POINT('Origin',(27.3429,23.4445,0.)); #497099=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #497100=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #497101=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #497102=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #497103=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #497104=CARTESIAN_POINT('Origin',(28.1811,23.4445,0.)); #497105=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #497106=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #497107=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #497108=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #497109=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #497110=CARTESIAN_POINT('Origin',(28.1811,23.127,0.)); #497111=CARTESIAN_POINT('',(28.1811,23.127,0.)); #497112=CARTESIAN_POINT('',(28.1811,23.127,0.)); #497113=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #497114=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #497115=CARTESIAN_POINT('',(28.1811,23.127,0.)); #497116=CARTESIAN_POINT('Origin',(30.447394,23.127,0.)); #497117=CARTESIAN_POINT('',(30.447394,23.127,0.)); #497118=CARTESIAN_POINT('',(30.447394,23.127,0.)); #497119=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #497120=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #497121=CARTESIAN_POINT('',(30.447394,23.127,0.)); #497122=CARTESIAN_POINT('Origin',(31.373,24.052606,0.)); #497123=CARTESIAN_POINT('',(31.373,24.052606,0.)); #497124=CARTESIAN_POINT('',(31.373,24.052606,0.)); #497125=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #497126=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #497127=CARTESIAN_POINT('',(31.373,24.052606,0.)); #497128=CARTESIAN_POINT('Origin',(31.373,24.510569,0.)); #497129=CARTESIAN_POINT('',(31.373,24.510569,0.)); #497130=CARTESIAN_POINT('',(31.373,24.510569,0.)); #497131=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #497132=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #497133=CARTESIAN_POINT('',(31.373,24.510569,0.)); #497134=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #497135=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #497136=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #497137=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #497138=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.035)); #497139=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #497140=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #497141=CARTESIAN_POINT('',(31.627,24.510569,0.)); #497142=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #497143=CARTESIAN_POINT('',(31.627,24.510569,0.035)); #497144=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.035)); #497145=CARTESIAN_POINT('',(31.627,24.510569,0.)); #497146=CARTESIAN_POINT('Origin',(31.627,24.,0.)); #497147=CARTESIAN_POINT('',(31.627,24.,0.)); #497148=CARTESIAN_POINT('',(31.627,24.,0.)); #497149=CARTESIAN_POINT('',(31.627,24.,0.035)); #497150=CARTESIAN_POINT('',(31.627,24.,0.035)); #497151=CARTESIAN_POINT('',(31.627,24.,0.)); #497152=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #497153=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #497154=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #497155=CARTESIAN_POINT('',(31.589803,23.910197,0.035)); #497156=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.035)); #497157=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #497158=CARTESIAN_POINT('Origin',(30.589803,22.910197,0.)); #497159=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #497160=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #497161=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #497162=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #497163=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #497164=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #497165=CARTESIAN_POINT('',(30.5,22.873,0.)); #497166=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #497167=CARTESIAN_POINT('',(30.5,22.873,0.035)); #497168=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.035)); #497169=CARTESIAN_POINT('',(30.5,22.873,0.)); #497170=CARTESIAN_POINT('Origin',(28.1811,22.873,0.)); #497171=CARTESIAN_POINT('',(28.1811,22.873,0.)); #497172=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #497173=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.035)); #497174=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.)); #497175=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #497176=CARTESIAN_POINT('',(33.535,25.25,0.)); #497177=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #497178=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #497179=CARTESIAN_POINT('',(33.535,25.25,-200.)); #497180=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #497181=CARTESIAN_POINT('Origin',(34.036844,24.5,0.)); #497182=CARTESIAN_POINT('',(34.036844,24.5,0.)); #497183=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #497184=CARTESIAN_POINT('',(34.036844,24.5,0.)); #497185=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #497186=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #497187=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #497188=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #497189=CARTESIAN_POINT('',(34.036844,24.5,0.)); #497190=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #497191=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #497192=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #497193=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #497194=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #497195=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #497196=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #497197=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #497198=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #497199=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #497200=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #497201=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #497202=CARTESIAN_POINT('',(33.86,23.125,0.)); #497203=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #497204=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #497205=CARTESIAN_POINT('',(33.86,23.125,-200.)); #497206=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #497207=CARTESIAN_POINT('Origin',(47.6698,17.5585,0.)); #497208=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #497209=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #497210=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #497211=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #497212=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #497213=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #497214=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #497215=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #497216=CARTESIAN_POINT('Origin',(47.0602,17.5585,0.)); #497217=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #497218=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #497219=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #497220=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #497221=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #497222=CARTESIAN_POINT('Origin',(47.0602,19.2095,0.)); #497223=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #497224=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #497225=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #497226=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #497227=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #497228=CARTESIAN_POINT('Origin',(47.238,19.2095,0.)); #497229=CARTESIAN_POINT('',(47.238,19.2095,0.)); #497230=CARTESIAN_POINT('',(47.238,19.2095,0.)); #497231=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #497232=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #497233=CARTESIAN_POINT('',(47.238,19.2095,0.)); #497234=CARTESIAN_POINT('Origin',(47.238,20.957394,0.)); #497235=CARTESIAN_POINT('',(47.238,20.957394,0.)); #497236=CARTESIAN_POINT('',(47.238,20.957394,0.)); #497237=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #497238=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #497239=CARTESIAN_POINT('',(47.238,20.957394,0.)); #497240=CARTESIAN_POINT('Origin',(46.447394,21.748,0.)); #497241=CARTESIAN_POINT('',(46.447394,21.748,0.)); #497242=CARTESIAN_POINT('',(46.447394,21.748,0.)); #497243=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #497244=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #497245=CARTESIAN_POINT('',(46.447394,21.748,0.)); #497246=CARTESIAN_POINT('Origin',(37.5,21.748,0.)); #497247=CARTESIAN_POINT('',(37.5,21.748,0.)); #497248=CARTESIAN_POINT('',(37.5,21.748,0.)); #497249=CARTESIAN_POINT('',(37.5,21.748,0.035)); #497250=CARTESIAN_POINT('',(37.5,21.748,0.035)); #497251=CARTESIAN_POINT('',(37.5,21.748,0.)); #497252=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #497253=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #497254=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #497255=CARTESIAN_POINT('',(37.410197,21.785197,0.035)); #497256=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.035)); #497257=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #497258=CARTESIAN_POINT('Origin',(36.197394,22.998,0.)); #497259=CARTESIAN_POINT('',(36.197394,22.998,0.)); #497260=CARTESIAN_POINT('',(36.197394,22.998,0.)); #497261=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #497262=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #497263=CARTESIAN_POINT('',(36.197394,22.998,0.)); #497264=CARTESIAN_POINT('Origin',(34.243928,22.998,0.)); #497265=CARTESIAN_POINT('',(34.243928,22.998,0.)); #497266=CARTESIAN_POINT('',(34.243928,22.998,0.)); #497267=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #497268=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #497269=CARTESIAN_POINT('',(34.243928,22.998,0.)); #497270=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #497271=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #497272=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #497273=CARTESIAN_POINT('',(33.745147,23.019438,0.035)); #497274=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.035)); #497275=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #497276=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #497277=CARTESIAN_POINT('',(34.243928,23.252,0.)); #497278=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #497279=CARTESIAN_POINT('',(34.243928,23.252,0.035)); #497280=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.035)); #497281=CARTESIAN_POINT('',(34.243928,23.252,0.)); #497282=CARTESIAN_POINT('Origin',(36.25,23.252,0.)); #497283=CARTESIAN_POINT('',(36.25,23.252,0.)); #497284=CARTESIAN_POINT('',(36.25,23.252,0.)); #497285=CARTESIAN_POINT('',(36.25,23.252,0.035)); #497286=CARTESIAN_POINT('',(36.25,23.252,0.035)); #497287=CARTESIAN_POINT('',(36.25,23.252,0.)); #497288=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #497289=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #497290=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #497291=CARTESIAN_POINT('',(36.339803,23.214803,0.035)); #497292=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.035)); #497293=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #497294=CARTESIAN_POINT('Origin',(37.552606,22.002,0.)); #497295=CARTESIAN_POINT('',(37.552606,22.002,0.)); #497296=CARTESIAN_POINT('',(37.552606,22.002,0.)); #497297=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #497298=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #497299=CARTESIAN_POINT('',(37.552606,22.002,0.)); #497300=CARTESIAN_POINT('Origin',(46.5,22.002,0.)); #497301=CARTESIAN_POINT('',(46.5,22.002,0.)); #497302=CARTESIAN_POINT('',(46.5,22.002,0.)); #497303=CARTESIAN_POINT('',(46.5,22.002,0.035)); #497304=CARTESIAN_POINT('',(46.5,22.002,0.035)); #497305=CARTESIAN_POINT('',(46.5,22.002,0.)); #497306=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #497307=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #497308=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #497309=CARTESIAN_POINT('',(46.589803,21.964803,0.035)); #497310=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.035)); #497311=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #497312=CARTESIAN_POINT('Origin',(47.454803,21.099803,0.)); #497313=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #497314=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #497315=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #497316=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #497317=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #497318=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #497319=CARTESIAN_POINT('',(47.492,21.01,0.)); #497320=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #497321=CARTESIAN_POINT('',(47.492,21.01,0.035)); #497322=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.035)); #497323=CARTESIAN_POINT('',(47.492,21.01,0.)); #497324=CARTESIAN_POINT('Origin',(47.492,19.2095,0.)); #497325=CARTESIAN_POINT('',(47.492,19.2095,0.)); #497326=CARTESIAN_POINT('',(47.492,19.2095,0.)); #497327=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #497328=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #497329=CARTESIAN_POINT('',(47.492,19.2095,0.)); #497330=CARTESIAN_POINT('Origin',(47.6698,19.2095,0.)); #497331=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #497332=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #497333=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.035)); #497334=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.)); #497335=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #497336=CARTESIAN_POINT('',(36.035,25.25,0.)); #497337=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #497338=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #497339=CARTESIAN_POINT('',(36.035,25.25,-200.)); #497340=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #497341=CARTESIAN_POINT('Origin',(40.6571,22.5555,0.)); #497342=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #497343=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #497344=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #497345=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #497346=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #497347=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #497348=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #497349=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #497350=CARTESIAN_POINT('Origin',(39.8189,22.5555,0.)); #497351=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #497352=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #497353=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #497354=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #497355=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #497356=CARTESIAN_POINT('Origin',(39.8189,22.873,0.)); #497357=CARTESIAN_POINT('',(39.8189,22.873,0.)); #497358=CARTESIAN_POINT('',(39.8189,22.873,0.)); #497359=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #497360=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #497361=CARTESIAN_POINT('',(39.8189,22.873,0.)); #497362=CARTESIAN_POINT('Origin',(37.5,22.873,0.)); #497363=CARTESIAN_POINT('',(37.5,22.873,0.)); #497364=CARTESIAN_POINT('',(37.5,22.873,0.)); #497365=CARTESIAN_POINT('',(37.5,22.873,0.035)); #497366=CARTESIAN_POINT('',(37.5,22.873,0.035)); #497367=CARTESIAN_POINT('',(37.5,22.873,0.)); #497368=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #497369=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #497370=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #497371=CARTESIAN_POINT('',(37.410197,22.910197,0.035)); #497372=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.035)); #497373=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #497374=CARTESIAN_POINT('Origin',(36.410197,23.910197,0.)); #497375=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #497376=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #497377=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #497378=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #497379=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #497380=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #497381=CARTESIAN_POINT('',(36.373,24.,0.)); #497382=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #497383=CARTESIAN_POINT('',(36.373,24.,0.035)); #497384=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.035)); #497385=CARTESIAN_POINT('',(36.373,24.,0.)); #497386=CARTESIAN_POINT('Origin',(36.373,24.510569,0.)); #497387=CARTESIAN_POINT('',(36.373,24.510569,0.)); #497388=CARTESIAN_POINT('',(36.373,24.510569,0.)); #497389=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #497390=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #497391=CARTESIAN_POINT('',(36.373,24.510569,0.)); #497392=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #497393=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #497394=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #497395=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #497396=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.035)); #497397=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #497398=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #497399=CARTESIAN_POINT('',(36.627,24.510569,0.)); #497400=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #497401=CARTESIAN_POINT('',(36.627,24.510569,0.035)); #497402=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.035)); #497403=CARTESIAN_POINT('',(36.627,24.510569,0.)); #497404=CARTESIAN_POINT('Origin',(36.627,24.052606,0.)); #497405=CARTESIAN_POINT('',(36.627,24.052606,0.)); #497406=CARTESIAN_POINT('',(36.627,24.052606,0.)); #497407=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #497408=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #497409=CARTESIAN_POINT('',(36.627,24.052606,0.)); #497410=CARTESIAN_POINT('Origin',(37.552606,23.127,0.)); #497411=CARTESIAN_POINT('',(37.552606,23.127,0.)); #497412=CARTESIAN_POINT('',(37.552606,23.127,0.)); #497413=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #497414=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #497415=CARTESIAN_POINT('',(37.552606,23.127,0.)); #497416=CARTESIAN_POINT('Origin',(39.8189,23.127,0.)); #497417=CARTESIAN_POINT('',(39.8189,23.127,0.)); #497418=CARTESIAN_POINT('',(39.8189,23.127,0.)); #497419=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #497420=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #497421=CARTESIAN_POINT('',(39.8189,23.127,0.)); #497422=CARTESIAN_POINT('Origin',(39.8189,23.4445,0.)); #497423=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #497424=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #497425=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #497426=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #497427=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #497428=CARTESIAN_POINT('Origin',(40.6571,23.4445,0.)); #497429=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #497430=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #497431=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.035)); #497432=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.)); #497433=CARTESIAN_POINT('Origin',(48.9398,22.7905,0.)); #497434=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #497435=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #497436=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #497437=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #497438=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #497439=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #497440=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #497441=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #497442=CARTESIAN_POINT('Origin',(48.3302,22.7905,0.)); #497443=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #497444=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #497445=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #497446=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #497447=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #497448=CARTESIAN_POINT('Origin',(48.3302,24.4415,0.)); #497449=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #497450=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #497451=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #497452=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #497453=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #497454=CARTESIAN_POINT('Origin',(48.508,24.4415,0.)); #497455=CARTESIAN_POINT('',(48.508,24.4415,0.)); #497456=CARTESIAN_POINT('',(48.508,24.4415,0.)); #497457=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #497458=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #497459=CARTESIAN_POINT('',(48.508,24.4415,0.)); #497460=CARTESIAN_POINT('Origin',(48.508,25.623,0.)); #497461=CARTESIAN_POINT('',(48.508,25.623,0.)); #497462=CARTESIAN_POINT('',(48.508,25.623,0.)); #497463=CARTESIAN_POINT('',(48.508,25.623,0.035)); #497464=CARTESIAN_POINT('',(48.508,25.623,0.035)); #497465=CARTESIAN_POINT('',(48.508,25.623,0.)); #497466=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #497467=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #497468=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #497469=CARTESIAN_POINT('',(48.545197,25.712803,0.035)); #497470=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.035)); #497471=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #497472=CARTESIAN_POINT('Origin',(48.8055,25.973106,0.)); #497473=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #497474=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #497475=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #497476=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #497477=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #497478=CARTESIAN_POINT('Origin',(48.8055,26.6571,0.)); #497479=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #497480=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #497481=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #497482=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #497483=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #497484=CARTESIAN_POINT('Origin',(49.6945,26.6571,0.)); #497485=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #497486=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #497487=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #497488=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #497489=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #497490=CARTESIAN_POINT('Origin',(49.6945,25.8189,0.)); #497491=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #497492=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #497493=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #497494=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #497495=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #497496=CARTESIAN_POINT('Origin',(49.010506,25.8189,0.)); #497497=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #497498=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #497499=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #497500=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #497501=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #497502=CARTESIAN_POINT('Origin',(48.762,25.570394,0.)); #497503=CARTESIAN_POINT('',(48.762,25.570394,0.)); #497504=CARTESIAN_POINT('',(48.762,25.570394,0.)); #497505=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #497506=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #497507=CARTESIAN_POINT('',(48.762,25.570394,0.)); #497508=CARTESIAN_POINT('Origin',(48.762,24.4415,0.)); #497509=CARTESIAN_POINT('',(48.762,24.4415,0.)); #497510=CARTESIAN_POINT('',(48.762,24.4415,0.)); #497511=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #497512=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #497513=CARTESIAN_POINT('',(48.762,24.4415,0.)); #497514=CARTESIAN_POINT('Origin',(48.9398,24.4415,0.)); #497515=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #497516=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #497517=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.035)); #497518=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.)); #497519=CARTESIAN_POINT('Origin',(47.6698,22.7905,0.)); #497520=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #497521=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #497522=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #497523=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #497524=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #497525=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #497526=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #497527=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #497528=CARTESIAN_POINT('Origin',(47.0602,22.7905,0.)); #497529=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #497530=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #497531=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #497532=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #497533=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #497534=CARTESIAN_POINT('Origin',(47.0602,24.4415,0.)); #497535=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #497536=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #497537=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #497538=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #497539=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #497540=CARTESIAN_POINT('Origin',(47.238,24.4415,0.)); #497541=CARTESIAN_POINT('',(47.238,24.4415,0.)); #497542=CARTESIAN_POINT('',(47.238,24.4415,0.)); #497543=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #497544=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #497545=CARTESIAN_POINT('',(47.238,24.4415,0.)); #497546=CARTESIAN_POINT('Origin',(47.238,25.570394,0.)); #497547=CARTESIAN_POINT('',(47.238,25.570394,0.)); #497548=CARTESIAN_POINT('',(47.238,25.570394,0.)); #497549=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #497550=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #497551=CARTESIAN_POINT('',(47.238,25.570394,0.)); #497552=CARTESIAN_POINT('Origin',(46.989494,25.8189,0.)); #497553=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #497554=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #497555=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #497556=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #497557=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #497558=CARTESIAN_POINT('Origin',(46.3055,25.8189,0.)); #497559=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #497560=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #497561=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #497562=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #497563=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #497564=CARTESIAN_POINT('Origin',(46.3055,26.6571,0.)); #497565=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #497566=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #497567=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #497568=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #497569=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #497570=CARTESIAN_POINT('Origin',(47.1945,26.6571,0.)); #497571=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #497572=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #497573=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #497574=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #497575=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #497576=CARTESIAN_POINT('Origin',(47.1945,25.973106,0.)); #497577=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #497578=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #497579=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #497580=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #497581=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #497582=CARTESIAN_POINT('Origin',(47.454803,25.712803,0.)); #497583=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #497584=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #497585=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #497586=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #497587=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #497588=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #497589=CARTESIAN_POINT('',(47.492,25.623,0.)); #497590=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #497591=CARTESIAN_POINT('',(47.492,25.623,0.035)); #497592=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.035)); #497593=CARTESIAN_POINT('',(47.492,25.623,0.)); #497594=CARTESIAN_POINT('Origin',(47.492,24.4415,0.)); #497595=CARTESIAN_POINT('',(47.492,24.4415,0.)); #497596=CARTESIAN_POINT('',(47.492,24.4415,0.)); #497597=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #497598=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #497599=CARTESIAN_POINT('',(47.492,24.4415,0.)); #497600=CARTESIAN_POINT('Origin',(47.6698,24.4415,0.)); #497601=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #497602=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #497603=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.035)); #497604=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.)); #497605=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #497606=CARTESIAN_POINT('',(29.625,45.,0.)); #497607=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #497608=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #497609=CARTESIAN_POINT('',(29.625,45.,-200.)); #497610=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #497611=CARTESIAN_POINT('Origin',(47.1945,27.3429,0.)); #497612=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #497613=CARTESIAN_POINT('',(47.1945,27.635,0.)); #497614=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #497615=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #497616=CARTESIAN_POINT('',(47.1945,27.635,0.)); #497617=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #497618=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #497619=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #497620=CARTESIAN_POINT('Origin',(46.3055,27.3429,0.)); #497621=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #497622=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #497623=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #497624=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #497625=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #497626=CARTESIAN_POINT('Origin',(46.3055,28.1811,0.)); #497627=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #497628=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #497629=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #497630=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #497631=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #497632=CARTESIAN_POINT('Origin',(46.623,28.1811,0.)); #497633=CARTESIAN_POINT('',(46.623,28.1811,0.)); #497634=CARTESIAN_POINT('',(46.623,28.1811,0.)); #497635=CARTESIAN_POINT('',(46.623,28.1811,0.035)); #497636=CARTESIAN_POINT('',(46.623,28.1811,0.035)); #497637=CARTESIAN_POINT('',(46.623,28.1811,0.)); #497638=CARTESIAN_POINT('Origin',(46.623,29.262,0.)); #497639=CARTESIAN_POINT('',(46.623,29.262,0.)); #497640=CARTESIAN_POINT('',(46.623,29.262,0.)); #497641=CARTESIAN_POINT('',(46.623,29.262,0.035)); #497642=CARTESIAN_POINT('',(46.623,29.262,0.035)); #497643=CARTESIAN_POINT('',(46.623,29.262,0.)); #497644=CARTESIAN_POINT('Origin',(46.7500003087252,29.2620007497004,0.)); #497645=CARTESIAN_POINT('',(46.660197,29.351803,0.)); #497646=CARTESIAN_POINT('Origin',(46.7500003087252,29.2620007497004,0.)); #497647=CARTESIAN_POINT('',(46.660197,29.351803,0.035)); #497648=CARTESIAN_POINT('Origin',(46.7500003087252,29.2620007497004,0.035)); #497649=CARTESIAN_POINT('',(46.660197,29.351803,0.)); #497650=CARTESIAN_POINT('Origin',(47.498,30.189606,0.)); #497651=CARTESIAN_POINT('',(47.498,30.189606,0.)); #497652=CARTESIAN_POINT('',(47.498,30.189606,0.)); #497653=CARTESIAN_POINT('',(47.498,30.189606,0.035)); #497654=CARTESIAN_POINT('',(47.498,30.189606,0.035)); #497655=CARTESIAN_POINT('',(47.498,30.189606,0.)); #497656=CARTESIAN_POINT('Origin',(47.498,37.072394,0.)); #497657=CARTESIAN_POINT('',(47.498,37.072394,0.)); #497658=CARTESIAN_POINT('',(47.498,37.072394,0.)); #497659=CARTESIAN_POINT('',(47.498,37.072394,0.035)); #497660=CARTESIAN_POINT('',(47.498,37.072394,0.035)); #497661=CARTESIAN_POINT('',(47.498,37.072394,0.)); #497662=CARTESIAN_POINT('Origin',(45.697394,38.873,0.)); #497663=CARTESIAN_POINT('',(45.697394,38.873,0.)); #497664=CARTESIAN_POINT('',(45.697394,38.873,0.)); #497665=CARTESIAN_POINT('',(45.697394,38.873,0.035)); #497666=CARTESIAN_POINT('',(45.697394,38.873,0.035)); #497667=CARTESIAN_POINT('',(45.697394,38.873,0.)); #497668=CARTESIAN_POINT('Origin',(32.625,38.873,0.)); #497669=CARTESIAN_POINT('',(32.625,38.873,0.)); #497670=CARTESIAN_POINT('',(32.625,38.873,0.)); #497671=CARTESIAN_POINT('',(32.625,38.873,0.035)); #497672=CARTESIAN_POINT('',(32.625,38.873,0.035)); #497673=CARTESIAN_POINT('',(32.625,38.873,0.)); #497674=CARTESIAN_POINT('Origin',(32.6249992502996,39.0000003087252,0.)); #497675=CARTESIAN_POINT('',(32.535197,38.910197,0.)); #497676=CARTESIAN_POINT('Origin',(32.6249992502996,39.0000003087252,0.)); #497677=CARTESIAN_POINT('',(32.535197,38.910197,0.035)); #497678=CARTESIAN_POINT('Origin',(32.6249992502996,39.0000003087252,0.035)); #497679=CARTESIAN_POINT('',(32.535197,38.910197,0.)); #497680=CARTESIAN_POINT('Origin',(30.100197,41.345197,0.)); #497681=CARTESIAN_POINT('',(30.100197,41.345197,0.)); #497682=CARTESIAN_POINT('',(30.100197,41.345197,0.)); #497683=CARTESIAN_POINT('',(30.100197,41.345197,0.035)); #497684=CARTESIAN_POINT('',(30.100197,41.345197,0.035)); #497685=CARTESIAN_POINT('',(30.100197,41.345197,0.)); #497686=CARTESIAN_POINT('Origin',(30.1900003087252,41.4349992502996,0.)); #497687=CARTESIAN_POINT('',(30.063,41.435,0.)); #497688=CARTESIAN_POINT('Origin',(30.1900003087252,41.4349992502996,0.)); #497689=CARTESIAN_POINT('',(30.063,41.435,0.035)); #497690=CARTESIAN_POINT('Origin',(30.1900003087252,41.4349992502996,0.035)); #497691=CARTESIAN_POINT('',(30.063,41.435,0.)); #497692=CARTESIAN_POINT('Origin',(30.063,44.1,0.)); #497693=CARTESIAN_POINT('',(30.063,44.1,0.)); #497694=CARTESIAN_POINT('',(30.063,44.1,0.)); #497695=CARTESIAN_POINT('',(30.063,44.1,0.035)); #497696=CARTESIAN_POINT('',(30.063,44.1,0.035)); #497697=CARTESIAN_POINT('',(30.063,44.1,0.)); #497698=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #497699=CARTESIAN_POINT('',(29.29,44.1,0.)); #497700=CARTESIAN_POINT('',(29.29,44.1,0.)); #497701=CARTESIAN_POINT('',(29.29,44.1,0.035)); #497702=CARTESIAN_POINT('',(29.29,44.1,0.035)); #497703=CARTESIAN_POINT('',(29.29,44.1,0.)); #497704=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #497705=CARTESIAN_POINT('',(29.29,45.9,0.)); #497706=CARTESIAN_POINT('',(29.29,45.9,0.)); #497707=CARTESIAN_POINT('',(29.29,45.9,0.035)); #497708=CARTESIAN_POINT('',(29.29,45.9,0.035)); #497709=CARTESIAN_POINT('',(29.29,45.9,0.)); #497710=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #497711=CARTESIAN_POINT('',(31.09,45.9,0.)); #497712=CARTESIAN_POINT('',(31.09,45.9,0.)); #497713=CARTESIAN_POINT('',(31.09,45.9,0.035)); #497714=CARTESIAN_POINT('',(31.09,45.9,0.035)); #497715=CARTESIAN_POINT('',(31.09,45.9,0.)); #497716=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #497717=CARTESIAN_POINT('',(31.09,44.1,0.)); #497718=CARTESIAN_POINT('',(31.09,44.1,0.)); #497719=CARTESIAN_POINT('',(31.09,44.1,0.035)); #497720=CARTESIAN_POINT('',(31.09,44.1,0.035)); #497721=CARTESIAN_POINT('',(31.09,44.1,0.)); #497722=CARTESIAN_POINT('Origin',(30.317,44.1,0.)); #497723=CARTESIAN_POINT('',(30.317,44.1,0.)); #497724=CARTESIAN_POINT('',(30.317,44.1,0.)); #497725=CARTESIAN_POINT('',(30.317,44.1,0.035)); #497726=CARTESIAN_POINT('',(30.317,44.1,0.035)); #497727=CARTESIAN_POINT('',(30.317,44.1,0.)); #497728=CARTESIAN_POINT('Origin',(30.317,41.487606,0.)); #497729=CARTESIAN_POINT('',(30.317,41.487606,0.)); #497730=CARTESIAN_POINT('',(30.317,41.487606,0.)); #497731=CARTESIAN_POINT('',(30.317,41.487606,0.035)); #497732=CARTESIAN_POINT('',(30.317,41.487606,0.035)); #497733=CARTESIAN_POINT('',(30.317,41.487606,0.)); #497734=CARTESIAN_POINT('Origin',(32.677606,39.127,0.)); #497735=CARTESIAN_POINT('',(32.677606,39.127,0.)); #497736=CARTESIAN_POINT('',(32.677606,39.127,0.)); #497737=CARTESIAN_POINT('',(32.677606,39.127,0.035)); #497738=CARTESIAN_POINT('',(32.677606,39.127,0.035)); #497739=CARTESIAN_POINT('',(32.677606,39.127,0.)); #497740=CARTESIAN_POINT('Origin',(45.75,39.127,0.)); #497741=CARTESIAN_POINT('',(45.75,39.127,0.)); #497742=CARTESIAN_POINT('',(45.75,39.127,0.)); #497743=CARTESIAN_POINT('',(45.75,39.127,0.035)); #497744=CARTESIAN_POINT('',(45.75,39.127,0.035)); #497745=CARTESIAN_POINT('',(45.75,39.127,0.)); #497746=CARTESIAN_POINT('Origin',(45.7500007497004,38.9999996912748,0.)); #497747=CARTESIAN_POINT('',(45.839803,39.089803,0.)); #497748=CARTESIAN_POINT('Origin',(45.7500007497004,38.9999996912748,0.)); #497749=CARTESIAN_POINT('',(45.839803,39.089803,0.035)); #497750=CARTESIAN_POINT('Origin',(45.7500007497004,38.9999996912748,0.035)); #497751=CARTESIAN_POINT('',(45.839803,39.089803,0.)); #497752=CARTESIAN_POINT('Origin',(47.714803,37.214803,0.)); #497753=CARTESIAN_POINT('',(47.714803,37.214803,0.)); #497754=CARTESIAN_POINT('',(47.714803,37.214803,0.)); #497755=CARTESIAN_POINT('',(47.714803,37.214803,0.035)); #497756=CARTESIAN_POINT('',(47.714803,37.214803,0.035)); #497757=CARTESIAN_POINT('',(47.714803,37.214803,0.)); #497758=CARTESIAN_POINT('Origin',(47.6249996912748,37.1250007497004,0.)); #497759=CARTESIAN_POINT('',(47.752,37.125,0.)); #497760=CARTESIAN_POINT('Origin',(47.6249996912748,37.1250007497004,0.)); #497761=CARTESIAN_POINT('',(47.752,37.125,0.035)); #497762=CARTESIAN_POINT('Origin',(47.6249996912748,37.1250007497004,0.035)); #497763=CARTESIAN_POINT('',(47.752,37.125,0.)); #497764=CARTESIAN_POINT('Origin',(47.752,30.137,0.)); #497765=CARTESIAN_POINT('',(47.752,30.137,0.)); #497766=CARTESIAN_POINT('',(47.752,30.137,0.)); #497767=CARTESIAN_POINT('',(47.752,30.137,0.035)); #497768=CARTESIAN_POINT('',(47.752,30.137,0.035)); #497769=CARTESIAN_POINT('',(47.752,30.137,0.)); #497770=CARTESIAN_POINT('Origin',(47.6249996912748,30.1369992502996,0.)); #497771=CARTESIAN_POINT('',(47.714803,30.047197,0.)); #497772=CARTESIAN_POINT('Origin',(47.6249996912748,30.1369992502996,0.)); #497773=CARTESIAN_POINT('',(47.714803,30.047197,0.035)); #497774=CARTESIAN_POINT('Origin',(47.6249996912748,30.1369992502996,0.035)); #497775=CARTESIAN_POINT('',(47.714803,30.047197,0.)); #497776=CARTESIAN_POINT('Origin',(46.877,29.209394,0.)); #497777=CARTESIAN_POINT('',(46.877,29.209394,0.)); #497778=CARTESIAN_POINT('',(46.877,29.209394,0.)); #497779=CARTESIAN_POINT('',(46.877,29.209394,0.035)); #497780=CARTESIAN_POINT('',(46.877,29.209394,0.035)); #497781=CARTESIAN_POINT('',(46.877,29.209394,0.)); #497782=CARTESIAN_POINT('Origin',(46.877,28.1811,0.)); #497783=CARTESIAN_POINT('',(46.877,28.1811,0.)); #497784=CARTESIAN_POINT('',(46.877,28.1811,0.)); #497785=CARTESIAN_POINT('',(46.877,28.1811,0.035)); #497786=CARTESIAN_POINT('',(46.877,28.1811,0.035)); #497787=CARTESIAN_POINT('',(46.877,28.1811,0.)); #497788=CARTESIAN_POINT('Origin',(47.1945,28.1811,0.)); #497789=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #497790=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #497791=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #497792=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #497793=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #497794=CARTESIAN_POINT('Origin',(47.1945,27.889,0.)); #497795=CARTESIAN_POINT('',(47.1945,27.889,0.)); #497796=CARTESIAN_POINT('',(47.1945,27.889,0.)); #497797=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #497798=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #497799=CARTESIAN_POINT('',(47.1945,27.889,0.)); #497800=CARTESIAN_POINT('Origin',(48.8055,27.889,0.)); #497801=CARTESIAN_POINT('',(48.8055,27.889,0.)); #497802=CARTESIAN_POINT('',(48.8055,27.889,0.)); #497803=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #497804=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #497805=CARTESIAN_POINT('',(48.8055,27.889,0.)); #497806=CARTESIAN_POINT('Origin',(48.8055,28.1811,0.)); #497807=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #497808=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #497809=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #497810=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #497811=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #497812=CARTESIAN_POINT('Origin',(49.6945,28.1811,0.)); #497813=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #497814=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #497815=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #497816=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #497817=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #497818=CARTESIAN_POINT('Origin',(49.6945,27.3429,0.)); #497819=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #497820=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #497821=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #497822=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #497823=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #497824=CARTESIAN_POINT('Origin',(48.8055,27.3429,0.)); #497825=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #497826=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #497827=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #497828=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #497829=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #497830=CARTESIAN_POINT('Origin',(48.8055,27.635,0.)); #497831=CARTESIAN_POINT('',(48.8055,27.635,0.)); #497832=CARTESIAN_POINT('',(48.8055,27.635,0.)); #497833=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #497834=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #497835=CARTESIAN_POINT('',(48.8055,27.635,0.)); #497836=CARTESIAN_POINT('Origin',(47.1945,27.635,0.)); #497837=CARTESIAN_POINT('',(47.1945,27.635,0.)); #497838=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #497839=CARTESIAN_POINT('Origin',(40.6189416375432,36.9638980946885,0.035)); #497840=CARTESIAN_POINT('Origin',(40.6189416375432,36.9638980946885,0.)); #497841=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #497842=CARTESIAN_POINT('',(25.86,36.,0.)); #497843=CARTESIAN_POINT('Origin',(26.,36.,0.)); #497844=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #497845=CARTESIAN_POINT('',(25.86,36.,-200.)); #497846=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #497847=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #497848=CARTESIAN_POINT('',(25.86,31.,0.)); #497849=CARTESIAN_POINT('Origin',(26.,31.,0.)); #497850=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #497851=CARTESIAN_POINT('',(25.86,31.,-200.)); #497852=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #497853=CARTESIAN_POINT('Origin',(26.021644,30.725,0.)); #497854=CARTESIAN_POINT('',(26.021644,30.725,0.)); #497855=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #497856=CARTESIAN_POINT('',(26.021644,30.725,0.)); #497857=CARTESIAN_POINT('',(26.064397,30.731772,0.035)); #497858=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #497859=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #497860=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #497861=CARTESIAN_POINT('',(26.021644,30.725,0.)); #497862=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #497863=CARTESIAN_POINT('',(25.873,31.243928,0.)); #497864=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #497865=CARTESIAN_POINT('',(25.873,31.243928,0.035)); #497866=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.035)); #497867=CARTESIAN_POINT('',(25.873,31.243928,0.)); #497868=CARTESIAN_POINT('Origin',(25.873,35.756072,0.)); #497869=CARTESIAN_POINT('',(25.873,35.756072,0.)); #497870=CARTESIAN_POINT('',(25.873,35.756072,0.)); #497871=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #497872=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #497873=CARTESIAN_POINT('',(25.873,35.756072,0.)); #497874=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #497875=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #497876=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #497877=CARTESIAN_POINT('',(25.894438,36.254853,0.035)); #497878=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.035)); #497879=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #497880=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #497881=CARTESIAN_POINT('',(26.127,35.756072,0.)); #497882=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #497883=CARTESIAN_POINT('',(26.127,35.756072,0.035)); #497884=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.035)); #497885=CARTESIAN_POINT('',(26.127,35.756072,0.)); #497886=CARTESIAN_POINT('Origin',(26.127,31.243928,0.)); #497887=CARTESIAN_POINT('',(26.127,31.243928,0.)); #497888=CARTESIAN_POINT('',(26.127,31.243928,0.)); #497889=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #497890=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #497891=CARTESIAN_POINT('',(26.127,31.243928,0.)); #497892=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #497893=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #497894=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.035)); #497895=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.035)); #497896=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.)); #497897=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #497898=CARTESIAN_POINT('',(47.765,58.75,0.)); #497899=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #497900=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #497901=CARTESIAN_POINT('',(47.765,58.75,-200.)); #497902=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #497903=CARTESIAN_POINT('Origin',(48.205,58.15,0.)); #497904=CARTESIAN_POINT('',(48.205,58.15,0.)); #497905=CARTESIAN_POINT('',(48.205,59.35,0.)); #497906=CARTESIAN_POINT('',(48.205,58.15,0.)); #497907=CARTESIAN_POINT('',(48.205,59.35,0.035)); #497908=CARTESIAN_POINT('',(48.205,59.35,0.)); #497909=CARTESIAN_POINT('',(48.205,58.15,0.035)); #497910=CARTESIAN_POINT('',(48.205,58.15,0.035)); #497911=CARTESIAN_POINT('',(48.205,58.15,0.)); #497912=CARTESIAN_POINT('Origin',(47.605,58.15,0.)); #497913=CARTESIAN_POINT('',(47.605,58.15,0.)); #497914=CARTESIAN_POINT('',(47.605,58.15,0.)); #497915=CARTESIAN_POINT('',(47.605,58.15,0.035)); #497916=CARTESIAN_POINT('',(47.605,58.15,0.035)); #497917=CARTESIAN_POINT('',(47.605,58.15,0.)); #497918=CARTESIAN_POINT('Origin',(47.605,59.35,0.)); #497919=CARTESIAN_POINT('',(47.605,59.35,0.)); #497920=CARTESIAN_POINT('',(47.605,59.35,0.)); #497921=CARTESIAN_POINT('',(47.605,59.35,0.035)); #497922=CARTESIAN_POINT('',(47.605,59.35,0.035)); #497923=CARTESIAN_POINT('',(47.605,59.35,0.)); #497924=CARTESIAN_POINT('Origin',(48.205,59.35,0.)); #497925=CARTESIAN_POINT('',(48.205,59.35,0.)); #497926=CARTESIAN_POINT('',(48.205,59.35,0.035)); #497927=CARTESIAN_POINT('Origin',(47.905,58.75,0.035)); #497928=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #497929=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #497930=CARTESIAN_POINT('',(37.36,21.25,0.)); #497931=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #497932=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #497933=CARTESIAN_POINT('',(37.36,21.25,-200.)); #497934=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #497935=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #497936=CARTESIAN_POINT('',(30.86,13.,0.)); #497937=CARTESIAN_POINT('Origin',(31.,13.,0.)); #497938=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #497939=CARTESIAN_POINT('',(30.86,13.,-200.)); #497940=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #497941=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #497942=CARTESIAN_POINT('',(31.021644,12.725,0.)); #497943=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #497944=CARTESIAN_POINT('',(31.021644,12.725,0.)); #497945=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #497946=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #497947=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #497948=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #497949=CARTESIAN_POINT('',(31.021644,12.725,0.)); #497950=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #497951=CARTESIAN_POINT('',(30.756072,12.873,0.)); #497952=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #497953=CARTESIAN_POINT('',(30.756072,12.873,0.035)); #497954=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.035)); #497955=CARTESIAN_POINT('',(30.756072,12.873,0.)); #497956=CARTESIAN_POINT('Origin',(30.25,12.873,0.)); #497957=CARTESIAN_POINT('',(30.25,12.873,0.)); #497958=CARTESIAN_POINT('',(30.25,12.873,0.)); #497959=CARTESIAN_POINT('',(30.25,12.873,0.035)); #497960=CARTESIAN_POINT('',(30.25,12.873,0.035)); #497961=CARTESIAN_POINT('',(30.25,12.873,0.)); #497962=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #497963=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #497964=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #497965=CARTESIAN_POINT('',(30.160197,12.910197,0.035)); #497966=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.035)); #497967=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #497968=CARTESIAN_POINT('Origin',(26.910197,16.160197,0.)); #497969=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #497970=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #497971=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #497972=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #497973=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #497974=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #497975=CARTESIAN_POINT('',(26.873,16.25,0.)); #497976=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #497977=CARTESIAN_POINT('',(26.873,16.25,0.035)); #497978=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.035)); #497979=CARTESIAN_POINT('',(26.873,16.25,0.)); #497980=CARTESIAN_POINT('Origin',(26.873,20.875,0.)); #497981=CARTESIAN_POINT('',(26.873,20.875,0.)); #497982=CARTESIAN_POINT('',(26.873,20.875,0.)); #497983=CARTESIAN_POINT('',(26.873,20.875,0.035)); #497984=CARTESIAN_POINT('',(26.873,20.875,0.035)); #497985=CARTESIAN_POINT('',(26.873,20.875,0.)); #497986=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #497987=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #497988=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #497989=CARTESIAN_POINT('',(26.910197,20.964803,0.035)); #497990=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.035)); #497991=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #497992=CARTESIAN_POINT('Origin',(27.910197,21.964803,0.)); #497993=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #497994=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #497995=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #497996=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #497997=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #497998=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #497999=CARTESIAN_POINT('',(28.,22.002,0.)); #498000=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #498001=CARTESIAN_POINT('',(28.,22.002,0.035)); #498002=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.035)); #498003=CARTESIAN_POINT('',(28.,22.002,0.)); #498004=CARTESIAN_POINT('Origin',(36.875,22.002,0.)); #498005=CARTESIAN_POINT('',(36.875,22.002,0.)); #498006=CARTESIAN_POINT('',(36.875,22.002,0.)); #498007=CARTESIAN_POINT('',(36.875,22.002,0.035)); #498008=CARTESIAN_POINT('',(36.875,22.002,0.035)); #498009=CARTESIAN_POINT('',(36.875,22.002,0.)); #498010=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #498011=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #498012=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #498013=CARTESIAN_POINT('',(36.964803,21.964803,0.035)); #498014=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.035)); #498015=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #498016=CARTESIAN_POINT('Origin',(37.417319,21.512287,0.)); #498017=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #498018=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #498019=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #498020=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #498021=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #498022=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #498023=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #498024=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #498025=CARTESIAN_POINT('',(37.754853,21.144438,0.035)); #498026=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.035)); #498027=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #498028=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #498029=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #498030=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #498031=CARTESIAN_POINT('',(37.237713,21.332681,0.035)); #498032=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.035)); #498033=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #498034=CARTESIAN_POINT('Origin',(36.822394,21.748,0.)); #498035=CARTESIAN_POINT('',(36.822394,21.748,0.)); #498036=CARTESIAN_POINT('',(36.822394,21.748,0.)); #498037=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #498038=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #498039=CARTESIAN_POINT('',(36.822394,21.748,0.)); #498040=CARTESIAN_POINT('Origin',(28.052606,21.748,0.)); #498041=CARTESIAN_POINT('',(28.052606,21.748,0.)); #498042=CARTESIAN_POINT('',(28.052606,21.748,0.)); #498043=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #498044=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #498045=CARTESIAN_POINT('',(28.052606,21.748,0.)); #498046=CARTESIAN_POINT('Origin',(27.127,20.822394,0.)); #498047=CARTESIAN_POINT('',(27.127,20.822394,0.)); #498048=CARTESIAN_POINT('',(27.127,20.822394,0.)); #498049=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #498050=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #498051=CARTESIAN_POINT('',(27.127,20.822394,0.)); #498052=CARTESIAN_POINT('Origin',(27.127,16.302606,0.)); #498053=CARTESIAN_POINT('',(27.127,16.302606,0.)); #498054=CARTESIAN_POINT('',(27.127,16.302606,0.)); #498055=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #498056=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #498057=CARTESIAN_POINT('',(27.127,16.302606,0.)); #498058=CARTESIAN_POINT('Origin',(30.302606,13.127,0.)); #498059=CARTESIAN_POINT('',(30.302606,13.127,0.)); #498060=CARTESIAN_POINT('',(30.302606,13.127,0.)); #498061=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #498062=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #498063=CARTESIAN_POINT('',(30.302606,13.127,0.)); #498064=CARTESIAN_POINT('Origin',(30.756072,13.127,0.)); #498065=CARTESIAN_POINT('',(30.756072,13.127,0.)); #498066=CARTESIAN_POINT('',(30.756072,13.127,0.)); #498067=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #498068=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #498069=CARTESIAN_POINT('',(30.756072,13.127,0.)); #498070=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #498071=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #498072=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #498073=CARTESIAN_POINT('',(30.935603,13.268228,0.035)); #498074=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.035)); #498075=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #498076=CARTESIAN_POINT('Origin',(31.,13.,0.)); #498077=CARTESIAN_POINT('Origin',(31.,13.,0.)); #498078=CARTESIAN_POINT('Origin',(31.,13.,0.035)); #498079=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.035)); #498080=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.)); #498081=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #498082=CARTESIAN_POINT('',(27.11,24.375,0.)); #498083=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #498084=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #498085=CARTESIAN_POINT('',(27.11,24.375,-200.)); #498086=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #498087=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #498088=CARTESIAN_POINT('',(24.86,26.625,0.)); #498089=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #498090=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #498091=CARTESIAN_POINT('',(24.86,26.625,-200.)); #498092=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #498093=CARTESIAN_POINT('Origin',(27.271644,24.1,0.)); #498094=CARTESIAN_POINT('',(27.271644,24.1,0.)); #498095=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #498096=CARTESIAN_POINT('',(27.271644,24.1,0.)); #498097=CARTESIAN_POINT('',(27.314397,24.106772,0.035)); #498098=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #498099=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #498100=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #498101=CARTESIAN_POINT('',(27.271644,24.1,0.)); #498102=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #498103=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #498104=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #498105=CARTESIAN_POINT('',(26.987713,24.457681,0.035)); #498106=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.035)); #498107=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #498108=CARTESIAN_POINT('Origin',(25.082681,26.362713,0.)); #498109=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #498110=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #498111=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #498112=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #498113=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #498114=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #498115=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #498116=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #498117=CARTESIAN_POINT('',(24.745147,26.730563,0.035)); #498118=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.035)); #498119=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #498120=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #498121=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #498122=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #498123=CARTESIAN_POINT('',(25.262288,26.542319,0.035)); #498124=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.035)); #498125=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #498126=CARTESIAN_POINT('Origin',(27.167319,24.637288,0.)); #498127=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #498128=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #498129=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #498130=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #498131=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #498132=CARTESIAN_POINT('Origin',(27.185603,24.643228,0.)); #498133=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #498134=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #498135=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #498136=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #498137=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #498138=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #498139=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #498140=CARTESIAN_POINT('Origin',(27.25,24.375,0.035)); #498141=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.035)); #498142=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.)); #498143=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #498144=CARTESIAN_POINT('',(27.622,19.,0.)); #498145=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #498146=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #498147=CARTESIAN_POINT('',(27.622,19.,-200.)); #498148=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #498149=CARTESIAN_POINT('Origin',(28.1811,18.5555,0.)); #498150=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #498151=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #498152=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #498153=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #498154=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #498155=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #498156=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #498157=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #498158=CARTESIAN_POINT('Origin',(27.3429,18.5555,0.)); #498159=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #498160=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #498161=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #498162=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #498163=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #498164=CARTESIAN_POINT('Origin',(27.3429,19.4445,0.)); #498165=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #498166=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #498167=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #498168=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #498169=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #498170=CARTESIAN_POINT('Origin',(28.1811,19.4445,0.)); #498171=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #498172=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #498173=CARTESIAN_POINT('Origin',(27.762,19.,0.035)); #498174=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #498175=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #498176=CARTESIAN_POINT('',(34.705,45.,0.)); #498177=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #498178=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #498179=CARTESIAN_POINT('',(34.705,45.,-200.)); #498180=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #498181=CARTESIAN_POINT('Origin',(35.309294,44.1,0.)); #498182=CARTESIAN_POINT('',(35.309294,44.1,0.)); #498183=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #498184=CARTESIAN_POINT('',(35.309294,44.1,0.)); #498185=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #498186=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #498187=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #498188=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #498189=CARTESIAN_POINT('',(35.309294,44.1,0.)); #498190=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #498191=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #498192=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #498193=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #498194=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #498195=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #498196=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #498197=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #498198=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #498199=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #498200=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #498201=CARTESIAN_POINT('Origin',(34.375,29.625,-200.)); #498202=CARTESIAN_POINT('',(34.235,29.625,0.)); #498203=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #498204=CARTESIAN_POINT('',(34.235,29.625,0.0349999999999895)); #498205=CARTESIAN_POINT('',(34.235,29.625,-200.)); #498206=CARTESIAN_POINT('Origin',(34.375,29.625,0.0349999999999895)); #498207=CARTESIAN_POINT('Origin',(33.625,29.625,-200.)); #498208=CARTESIAN_POINT('',(33.485,29.625,0.)); #498209=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #498210=CARTESIAN_POINT('',(33.485,29.625,0.0349999999999895)); #498211=CARTESIAN_POINT('',(33.485,29.625,-200.)); #498212=CARTESIAN_POINT('Origin',(33.625,29.625,0.0349999999999895)); #498213=CARTESIAN_POINT('Origin',(34.375,28.875,-200.)); #498214=CARTESIAN_POINT('',(34.235,28.875,0.)); #498215=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #498216=CARTESIAN_POINT('',(34.235,28.875,0.0349999999999895)); #498217=CARTESIAN_POINT('',(34.235,28.875,-200.)); #498218=CARTESIAN_POINT('Origin',(34.375,28.875,0.0349999999999895)); #498219=CARTESIAN_POINT('Origin',(33.625,28.875,-200.)); #498220=CARTESIAN_POINT('',(33.485,28.875,0.)); #498221=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #498222=CARTESIAN_POINT('',(33.485,28.875,0.0349999999999895)); #498223=CARTESIAN_POINT('',(33.485,28.875,-200.)); #498224=CARTESIAN_POINT('Origin',(33.625,28.875,0.0349999999999895)); #498225=CARTESIAN_POINT('Origin',(33.625,27.375,-200.)); #498226=CARTESIAN_POINT('',(33.485,27.375,0.)); #498227=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #498228=CARTESIAN_POINT('',(33.485,27.375,0.0349999999999895)); #498229=CARTESIAN_POINT('',(33.485,27.375,-200.)); #498230=CARTESIAN_POINT('Origin',(33.625,27.375,0.0349999999999895)); #498231=CARTESIAN_POINT('Origin',(34.375,27.375,-200.)); #498232=CARTESIAN_POINT('',(34.235,27.375,0.)); #498233=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #498234=CARTESIAN_POINT('',(34.235,27.375,0.0349999999999895)); #498235=CARTESIAN_POINT('',(34.235,27.375,-200.)); #498236=CARTESIAN_POINT('Origin',(34.375,27.375,0.0349999999999895)); #498237=CARTESIAN_POINT('Origin',(33.625,28.125,-200.)); #498238=CARTESIAN_POINT('',(33.485,28.125,0.)); #498239=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #498240=CARTESIAN_POINT('',(33.485,28.125,0.0349999999999895)); #498241=CARTESIAN_POINT('',(33.485,28.125,-200.)); #498242=CARTESIAN_POINT('Origin',(33.625,28.125,0.0349999999999895)); #498243=CARTESIAN_POINT('Origin',(34.375,28.125,-200.)); #498244=CARTESIAN_POINT('',(34.235,28.125,0.)); #498245=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #498246=CARTESIAN_POINT('',(34.235,28.125,0.0349999999999895)); #498247=CARTESIAN_POINT('',(34.235,28.125,-200.)); #498248=CARTESIAN_POINT('Origin',(34.375,28.125,0.0349999999999895)); #498249=CARTESIAN_POINT('Origin',(33.625,26.625,-200.)); #498250=CARTESIAN_POINT('',(33.485,26.625,0.)); #498251=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #498252=CARTESIAN_POINT('',(33.485,26.625,0.0349999999999895)); #498253=CARTESIAN_POINT('',(33.485,26.625,-200.)); #498254=CARTESIAN_POINT('Origin',(33.625,26.625,0.0349999999999895)); #498255=CARTESIAN_POINT('Origin',(34.375,30.375,-200.)); #498256=CARTESIAN_POINT('',(34.235,30.375,0.)); #498257=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #498258=CARTESIAN_POINT('',(34.235,30.375,0.0349999999999895)); #498259=CARTESIAN_POINT('',(34.235,30.375,-200.)); #498260=CARTESIAN_POINT('Origin',(34.375,30.375,0.0349999999999895)); #498261=CARTESIAN_POINT('Origin',(33.625,30.375,-200.)); #498262=CARTESIAN_POINT('',(33.485,30.375,0.)); #498263=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #498264=CARTESIAN_POINT('',(33.485,30.375,0.0349999999999895)); #498265=CARTESIAN_POINT('',(33.485,30.375,-200.)); #498266=CARTESIAN_POINT('Origin',(33.625,30.375,0.0349999999999895)); #498267=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #498268=CARTESIAN_POINT('',(31.035,27.75,0.)); #498269=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #498270=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #498271=CARTESIAN_POINT('',(31.035,27.75,-200.)); #498272=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #498273=CARTESIAN_POINT('Origin',(34.375,26.625,-200.)); #498274=CARTESIAN_POINT('',(34.235,26.625,0.)); #498275=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #498276=CARTESIAN_POINT('',(34.235,26.625,0.0349999999999895)); #498277=CARTESIAN_POINT('',(34.235,26.625,-200.)); #498278=CARTESIAN_POINT('Origin',(34.375,26.625,0.0349999999999895)); #498279=CARTESIAN_POINT('Origin',(34.179494,24.3476,0.)); #498280=CARTESIAN_POINT('',(34.179494,24.3476,0.)); #498281=CARTESIAN_POINT('',(33.820506,24.3476,0.)); #498282=CARTESIAN_POINT('',(34.179494,24.3476,0.)); #498283=CARTESIAN_POINT('',(33.820506,24.3476,0.035)); #498284=CARTESIAN_POINT('',(33.820506,24.3476,0.)); #498285=CARTESIAN_POINT('',(34.179494,24.3476,0.035)); #498286=CARTESIAN_POINT('',(34.179494,24.3476,0.035)); #498287=CARTESIAN_POINT('',(34.179494,24.3476,0.)); #498288=CARTESIAN_POINT('Origin',(34.511169,24.484981,0.)); #498289=CARTESIAN_POINT('',(34.511169,24.484981,0.)); #498290=CARTESIAN_POINT('',(34.511169,24.484981,0.)); #498291=CARTESIAN_POINT('',(34.511169,24.484981,0.035)); #498292=CARTESIAN_POINT('',(34.511169,24.484981,0.035)); #498293=CARTESIAN_POINT('',(34.511169,24.484981,0.)); #498294=CARTESIAN_POINT('Origin',(34.765019,24.738831,0.)); #498295=CARTESIAN_POINT('',(34.765019,24.738831,0.)); #498296=CARTESIAN_POINT('',(34.765019,24.738831,0.)); #498297=CARTESIAN_POINT('',(34.765019,24.738831,0.035)); #498298=CARTESIAN_POINT('',(34.765019,24.738831,0.035)); #498299=CARTESIAN_POINT('',(34.765019,24.738831,0.)); #498300=CARTESIAN_POINT('Origin',(34.9024,25.070506,0.)); #498301=CARTESIAN_POINT('',(34.9024,25.070506,0.)); #498302=CARTESIAN_POINT('',(34.9024,25.070506,0.)); #498303=CARTESIAN_POINT('',(34.9024,25.070506,0.035)); #498304=CARTESIAN_POINT('',(34.9024,25.070506,0.035)); #498305=CARTESIAN_POINT('',(34.9024,25.070506,0.)); #498306=CARTESIAN_POINT('Origin',(34.9024,25.429494,0.)); #498307=CARTESIAN_POINT('',(34.9024,25.429494,0.)); #498308=CARTESIAN_POINT('',(34.9024,25.429494,0.)); #498309=CARTESIAN_POINT('',(34.9024,25.429494,0.035)); #498310=CARTESIAN_POINT('',(34.9024,25.429494,0.035)); #498311=CARTESIAN_POINT('',(34.9024,25.429494,0.)); #498312=CARTESIAN_POINT('Origin',(34.765019,25.761169,0.)); #498313=CARTESIAN_POINT('',(34.765019,25.761169,0.)); #498314=CARTESIAN_POINT('',(34.765019,25.761169,0.)); #498315=CARTESIAN_POINT('',(34.765019,25.761169,0.035)); #498316=CARTESIAN_POINT('',(34.765019,25.761169,0.035)); #498317=CARTESIAN_POINT('',(34.765019,25.761169,0.)); #498318=CARTESIAN_POINT('Origin',(34.511169,26.015019,0.)); #498319=CARTESIAN_POINT('',(34.511169,26.015019,0.)); #498320=CARTESIAN_POINT('',(34.511169,26.015019,0.)); #498321=CARTESIAN_POINT('',(34.511169,26.015019,0.035)); #498322=CARTESIAN_POINT('',(34.511169,26.015019,0.035)); #498323=CARTESIAN_POINT('',(34.511169,26.015019,0.)); #498324=CARTESIAN_POINT('Origin',(34.179494,26.1524,0.)); #498325=CARTESIAN_POINT('',(34.179494,26.1524,0.)); #498326=CARTESIAN_POINT('',(34.179494,26.1524,0.)); #498327=CARTESIAN_POINT('',(34.179494,26.1524,0.035)); #498328=CARTESIAN_POINT('',(34.179494,26.1524,0.035)); #498329=CARTESIAN_POINT('',(34.179494,26.1524,0.)); #498330=CARTESIAN_POINT('Origin',(33.820506,26.1524,0.)); #498331=CARTESIAN_POINT('',(33.820506,26.1524,0.)); #498332=CARTESIAN_POINT('',(33.820506,26.1524,0.)); #498333=CARTESIAN_POINT('',(33.820506,26.1524,0.035)); #498334=CARTESIAN_POINT('',(33.820506,26.1524,0.035)); #498335=CARTESIAN_POINT('',(33.820506,26.1524,0.)); #498336=CARTESIAN_POINT('Origin',(33.488831,26.015019,0.)); #498337=CARTESIAN_POINT('',(33.488831,26.015019,0.)); #498338=CARTESIAN_POINT('',(33.488831,26.015019,0.)); #498339=CARTESIAN_POINT('',(33.488831,26.015019,0.035)); #498340=CARTESIAN_POINT('',(33.488831,26.015019,0.035)); #498341=CARTESIAN_POINT('',(33.488831,26.015019,0.)); #498342=CARTESIAN_POINT('Origin',(33.234981,25.761169,0.)); #498343=CARTESIAN_POINT('',(33.234981,25.761169,0.)); #498344=CARTESIAN_POINT('',(33.234981,25.761169,0.)); #498345=CARTESIAN_POINT('',(33.234981,25.761169,0.035)); #498346=CARTESIAN_POINT('',(33.234981,25.761169,0.035)); #498347=CARTESIAN_POINT('',(33.234981,25.761169,0.)); #498348=CARTESIAN_POINT('Origin',(33.0976,25.429494,0.)); #498349=CARTESIAN_POINT('',(33.0976,25.429494,0.)); #498350=CARTESIAN_POINT('',(33.0976,25.429494,0.)); #498351=CARTESIAN_POINT('',(33.0976,25.429494,0.035)); #498352=CARTESIAN_POINT('',(33.0976,25.429494,0.035)); #498353=CARTESIAN_POINT('',(33.0976,25.429494,0.)); #498354=CARTESIAN_POINT('Origin',(33.0976,25.070506,0.)); #498355=CARTESIAN_POINT('',(33.0976,25.070506,0.)); #498356=CARTESIAN_POINT('',(33.0976,25.070506,0.)); #498357=CARTESIAN_POINT('',(33.0976,25.070506,0.035)); #498358=CARTESIAN_POINT('',(33.0976,25.070506,0.035)); #498359=CARTESIAN_POINT('',(33.0976,25.070506,0.)); #498360=CARTESIAN_POINT('Origin',(33.234981,24.738831,0.)); #498361=CARTESIAN_POINT('',(33.234981,24.738831,0.)); #498362=CARTESIAN_POINT('',(33.234981,24.738831,0.)); #498363=CARTESIAN_POINT('',(33.234981,24.738831,0.035)); #498364=CARTESIAN_POINT('',(33.234981,24.738831,0.035)); #498365=CARTESIAN_POINT('',(33.234981,24.738831,0.)); #498366=CARTESIAN_POINT('Origin',(33.488831,24.484981,0.)); #498367=CARTESIAN_POINT('',(33.488831,24.484981,0.)); #498368=CARTESIAN_POINT('',(33.488831,24.484981,0.)); #498369=CARTESIAN_POINT('',(33.488831,24.484981,0.035)); #498370=CARTESIAN_POINT('',(33.488831,24.484981,0.035)); #498371=CARTESIAN_POINT('',(33.488831,24.484981,0.)); #498372=CARTESIAN_POINT('Origin',(33.820506,24.3476,0.)); #498373=CARTESIAN_POINT('',(33.820506,24.3476,0.)); #498374=CARTESIAN_POINT('',(33.820506,24.3476,0.035)); #498375=CARTESIAN_POINT('Origin',(20.4856,23.098,0.)); #498376=CARTESIAN_POINT('',(20.4856,23.098,0.)); #498377=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #498378=CARTESIAN_POINT('',(20.4856,23.098,0.)); #498379=CARTESIAN_POINT('',(20.491697,23.098678,0.035)); #498380=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #498381=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #498382=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #498383=CARTESIAN_POINT('',(20.4856,23.098,0.)); #498384=CARTESIAN_POINT('Origin',(19.5144,23.098,0.)); #498385=CARTESIAN_POINT('',(19.5144,23.098,0.)); #498386=CARTESIAN_POINT('',(19.5144,23.098,0.)); #498387=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #498388=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #498389=CARTESIAN_POINT('',(19.5144,23.098,0.)); #498390=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #498391=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #498392=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #498393=CARTESIAN_POINT('',(19.423591,23.136216,0.035)); #498394=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.035)); #498395=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #498396=CARTESIAN_POINT('Origin',(19.053259,23.515,0.)); #498397=CARTESIAN_POINT('',(19.053259,23.515,0.)); #498398=CARTESIAN_POINT('',(19.053259,23.515,0.)); #498399=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #498400=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #498401=CARTESIAN_POINT('',(19.053259,23.515,0.)); #498402=CARTESIAN_POINT('Origin',(18.7325,23.515,0.)); #498403=CARTESIAN_POINT('',(18.7325,23.515,0.)); #498404=CARTESIAN_POINT('',(18.7325,23.515,0.)); #498405=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #498406=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #498407=CARTESIAN_POINT('',(18.7325,23.515,0.)); #498408=CARTESIAN_POINT('Origin',(18.7325,24.785,0.)); #498409=CARTESIAN_POINT('',(18.7325,24.785,0.)); #498410=CARTESIAN_POINT('',(18.7325,24.785,0.)); #498411=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #498412=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #498413=CARTESIAN_POINT('',(18.7325,24.785,0.)); #498414=CARTESIAN_POINT('Origin',(19.3675,24.785,0.)); #498415=CARTESIAN_POINT('',(19.3675,24.785,0.)); #498416=CARTESIAN_POINT('',(19.3675,24.785,0.)); #498417=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #498418=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #498419=CARTESIAN_POINT('',(19.3675,24.785,0.)); #498420=CARTESIAN_POINT('Origin',(19.3675,23.556919,0.)); #498421=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #498422=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #498423=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #498424=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #498425=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #498426=CARTESIAN_POINT('Origin',(19.567847,23.352,0.)); #498427=CARTESIAN_POINT('',(19.567847,23.352,0.)); #498428=CARTESIAN_POINT('',(19.567847,23.352,0.)); #498429=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #498430=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #498431=CARTESIAN_POINT('',(19.567847,23.352,0.)); #498432=CARTESIAN_POINT('Origin',(20.432153,23.352,0.)); #498433=CARTESIAN_POINT('',(20.432153,23.352,0.)); #498434=CARTESIAN_POINT('',(20.432153,23.352,0.)); #498435=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #498436=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #498437=CARTESIAN_POINT('',(20.432153,23.352,0.)); #498438=CARTESIAN_POINT('Origin',(20.6325,23.556919,0.)); #498439=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #498440=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #498441=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #498442=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #498443=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #498444=CARTESIAN_POINT('Origin',(20.6325,24.785,0.)); #498445=CARTESIAN_POINT('',(20.6325,24.785,0.)); #498446=CARTESIAN_POINT('',(20.6325,24.785,0.)); #498447=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #498448=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #498449=CARTESIAN_POINT('',(20.6325,24.785,0.)); #498450=CARTESIAN_POINT('Origin',(20.823,24.785,0.)); #498451=CARTESIAN_POINT('',(20.823,24.785,0.)); #498452=CARTESIAN_POINT('',(20.823,24.785,0.)); #498453=CARTESIAN_POINT('',(20.823,24.785,0.035)); #498454=CARTESIAN_POINT('',(20.823,24.785,0.035)); #498455=CARTESIAN_POINT('',(20.823,24.785,0.)); #498456=CARTESIAN_POINT('Origin',(20.823,25.5555,0.)); #498457=CARTESIAN_POINT('',(20.823,25.5555,0.)); #498458=CARTESIAN_POINT('',(20.823,25.5555,0.)); #498459=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #498460=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #498461=CARTESIAN_POINT('',(20.823,25.5555,0.)); #498462=CARTESIAN_POINT('Origin',(20.3429,25.5555,0.)); #498463=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #498464=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #498465=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #498466=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #498467=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #498468=CARTESIAN_POINT('Origin',(20.3429,26.4445,0.)); #498469=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #498470=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #498471=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #498472=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #498473=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #498474=CARTESIAN_POINT('Origin',(21.1811,26.4445,0.)); #498475=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #498476=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #498477=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #498478=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #498479=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #498480=CARTESIAN_POINT('Origin',(21.1811,25.5555,0.)); #498481=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #498482=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #498483=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #498484=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #498485=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #498486=CARTESIAN_POINT('Origin',(21.077,25.5555,0.)); #498487=CARTESIAN_POINT('',(21.077,25.5555,0.)); #498488=CARTESIAN_POINT('',(21.077,25.5555,0.)); #498489=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #498490=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #498491=CARTESIAN_POINT('',(21.077,25.5555,0.)); #498492=CARTESIAN_POINT('Origin',(21.077,24.785,0.)); #498493=CARTESIAN_POINT('',(21.077,24.785,0.)); #498494=CARTESIAN_POINT('',(21.077,24.785,0.)); #498495=CARTESIAN_POINT('',(21.077,24.785,0.035)); #498496=CARTESIAN_POINT('',(21.077,24.785,0.035)); #498497=CARTESIAN_POINT('',(21.077,24.785,0.)); #498498=CARTESIAN_POINT('Origin',(21.2675,24.785,0.)); #498499=CARTESIAN_POINT('',(21.2675,24.785,0.)); #498500=CARTESIAN_POINT('',(21.2675,24.785,0.)); #498501=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #498502=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #498503=CARTESIAN_POINT('',(21.2675,24.785,0.)); #498504=CARTESIAN_POINT('Origin',(21.2675,24.277,0.)); #498505=CARTESIAN_POINT('',(21.2675,24.277,0.)); #498506=CARTESIAN_POINT('',(21.2675,24.277,0.)); #498507=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #498508=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #498509=CARTESIAN_POINT('',(21.2675,24.277,0.)); #498510=CARTESIAN_POINT('Origin',(23.35,24.277,0.)); #498511=CARTESIAN_POINT('',(23.35,24.277,0.)); #498512=CARTESIAN_POINT('',(23.35,24.277,0.)); #498513=CARTESIAN_POINT('',(23.35,24.277,0.035)); #498514=CARTESIAN_POINT('',(23.35,24.277,0.035)); #498515=CARTESIAN_POINT('',(23.35,24.277,0.)); #498516=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #498517=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #498518=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #498519=CARTESIAN_POINT('',(23.439803,24.239803,0.035)); #498520=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.035)); #498521=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #498522=CARTESIAN_POINT('Origin',(23.802606,23.877,0.)); #498523=CARTESIAN_POINT('',(23.802606,23.877,0.)); #498524=CARTESIAN_POINT('',(23.802606,23.877,0.)); #498525=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #498526=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #498527=CARTESIAN_POINT('',(23.802606,23.877,0.)); #498528=CARTESIAN_POINT('Origin',(28.697394,23.877,0.)); #498529=CARTESIAN_POINT('',(28.697394,23.877,0.)); #498530=CARTESIAN_POINT('',(28.697394,23.877,0.)); #498531=CARTESIAN_POINT('',(28.697394,23.877,0.035)); #498532=CARTESIAN_POINT('',(28.697394,23.877,0.035)); #498533=CARTESIAN_POINT('',(28.697394,23.877,0.)); #498534=CARTESIAN_POINT('Origin',(30.25,25.429606,0.)); #498535=CARTESIAN_POINT('',(30.25,25.429606,0.)); #498536=CARTESIAN_POINT('',(30.25,25.429606,0.)); #498537=CARTESIAN_POINT('',(30.25,25.429606,0.035)); #498538=CARTESIAN_POINT('',(30.25,25.429606,0.035)); #498539=CARTESIAN_POINT('',(30.25,25.429606,0.)); #498540=CARTESIAN_POINT('Origin',(30.25,30.322394,0.)); #498541=CARTESIAN_POINT('',(30.25,30.322394,0.)); #498542=CARTESIAN_POINT('',(30.25,30.322394,0.)); #498543=CARTESIAN_POINT('',(30.25,30.322394,0.035)); #498544=CARTESIAN_POINT('',(30.25,30.322394,0.035)); #498545=CARTESIAN_POINT('',(30.25,30.322394,0.)); #498546=CARTESIAN_POINT('Origin',(30.259284,30.370056,0.)); #498547=CARTESIAN_POINT('',(30.259284,30.370056,0.)); #498548=CARTESIAN_POINT('',(30.259284,30.370056,0.)); #498549=CARTESIAN_POINT('',(30.259284,30.370056,0.035)); #498550=CARTESIAN_POINT('',(30.259284,30.370056,0.035)); #498551=CARTESIAN_POINT('',(30.259284,30.370056,0.)); #498552=CARTESIAN_POINT('Origin',(30.287197,30.412197,0.)); #498553=CARTESIAN_POINT('',(30.287197,30.412197,0.)); #498554=CARTESIAN_POINT('',(30.287197,30.412197,0.)); #498555=CARTESIAN_POINT('',(30.287197,30.412197,0.035)); #498556=CARTESIAN_POINT('',(30.287197,30.412197,0.035)); #498557=CARTESIAN_POINT('',(30.287197,30.412197,0.)); #498558=CARTESIAN_POINT('Origin',(31.087803,31.212803,0.)); #498559=CARTESIAN_POINT('',(31.087803,31.212803,0.)); #498560=CARTESIAN_POINT('',(31.087803,31.212803,0.)); #498561=CARTESIAN_POINT('',(31.087803,31.212803,0.035)); #498562=CARTESIAN_POINT('',(31.087803,31.212803,0.035)); #498563=CARTESIAN_POINT('',(31.087803,31.212803,0.)); #498564=CARTESIAN_POINT('Origin',(31.128072,31.239941,0.)); #498565=CARTESIAN_POINT('',(31.128072,31.239941,0.)); #498566=CARTESIAN_POINT('',(31.128072,31.239941,0.)); #498567=CARTESIAN_POINT('',(31.128072,31.239941,0.035)); #498568=CARTESIAN_POINT('',(31.128072,31.239941,0.035)); #498569=CARTESIAN_POINT('',(31.128072,31.239941,0.)); #498570=CARTESIAN_POINT('Origin',(31.177606,31.25,0.)); #498571=CARTESIAN_POINT('',(31.177606,31.25,0.)); #498572=CARTESIAN_POINT('',(31.177606,31.25,0.)); #498573=CARTESIAN_POINT('',(31.177606,31.25,0.035)); #498574=CARTESIAN_POINT('',(31.177606,31.25,0.035)); #498575=CARTESIAN_POINT('',(31.177606,31.25,0.)); #498576=CARTESIAN_POINT('Origin',(35.0605,31.25,0.)); #498577=CARTESIAN_POINT('',(35.0605,31.25,0.)); #498578=CARTESIAN_POINT('',(35.0605,31.25,0.)); #498579=CARTESIAN_POINT('',(35.0605,31.25,0.035)); #498580=CARTESIAN_POINT('',(35.0605,31.25,0.035)); #498581=CARTESIAN_POINT('',(35.0605,31.25,0.)); #498582=CARTESIAN_POINT('Origin',(35.106281,31.241463,0.)); #498583=CARTESIAN_POINT('',(35.106281,31.241463,0.)); #498584=CARTESIAN_POINT('',(35.106281,31.241463,0.)); #498585=CARTESIAN_POINT('',(35.106281,31.241463,0.035)); #498586=CARTESIAN_POINT('',(35.106281,31.241463,0.035)); #498587=CARTESIAN_POINT('',(35.106281,31.241463,0.)); #498588=CARTESIAN_POINT('Origin',(35.148859,31.214222,0.)); #498589=CARTESIAN_POINT('',(35.148859,31.214222,0.)); #498590=CARTESIAN_POINT('',(35.148859,31.214222,0.)); #498591=CARTESIAN_POINT('',(35.148859,31.214222,0.035)); #498592=CARTESIAN_POINT('',(35.148859,31.214222,0.035)); #498593=CARTESIAN_POINT('',(35.148859,31.214222,0.)); #498594=CARTESIAN_POINT('Origin',(35.177441,31.172534,0.)); #498595=CARTESIAN_POINT('',(35.177441,31.172534,0.)); #498596=CARTESIAN_POINT('',(35.177441,31.172534,0.)); #498597=CARTESIAN_POINT('',(35.177441,31.172534,0.035)); #498598=CARTESIAN_POINT('',(35.177441,31.172534,0.035)); #498599=CARTESIAN_POINT('',(35.177441,31.172534,0.)); #498600=CARTESIAN_POINT('Origin',(35.1875,31.123,0.)); #498601=CARTESIAN_POINT('',(35.1875,31.123,0.)); #498602=CARTESIAN_POINT('',(35.1875,31.123,0.)); #498603=CARTESIAN_POINT('',(35.1875,31.123,0.035)); #498604=CARTESIAN_POINT('',(35.1875,31.123,0.035)); #498605=CARTESIAN_POINT('',(35.1875,31.123,0.)); #498606=CARTESIAN_POINT('Origin',(35.1875,24.1056,0.)); #498607=CARTESIAN_POINT('',(35.1875,24.1056,0.)); #498608=CARTESIAN_POINT('',(35.1875,24.1056,0.)); #498609=CARTESIAN_POINT('',(35.1875,24.1056,0.035)); #498610=CARTESIAN_POINT('',(35.1875,24.1056,0.035)); #498611=CARTESIAN_POINT('',(35.1875,24.1056,0.)); #498612=CARTESIAN_POINT('Origin',(35.178963,24.059819,0.)); #498613=CARTESIAN_POINT('',(35.178963,24.059819,0.)); #498614=CARTESIAN_POINT('',(35.178963,24.059819,0.)); #498615=CARTESIAN_POINT('',(35.178963,24.059819,0.035)); #498616=CARTESIAN_POINT('',(35.178963,24.059819,0.035)); #498617=CARTESIAN_POINT('',(35.178963,24.059819,0.)); #498618=CARTESIAN_POINT('Origin',(35.151722,24.017241,0.)); #498619=CARTESIAN_POINT('',(35.151722,24.017241,0.)); #498620=CARTESIAN_POINT('',(35.151722,24.017241,0.)); #498621=CARTESIAN_POINT('',(35.151722,24.017241,0.035)); #498622=CARTESIAN_POINT('',(35.151722,24.017241,0.035)); #498623=CARTESIAN_POINT('',(35.151722,24.017241,0.)); #498624=CARTESIAN_POINT('Origin',(35.110034,23.988659,0.)); #498625=CARTESIAN_POINT('',(35.110034,23.988659,0.)); #498626=CARTESIAN_POINT('',(35.110034,23.988659,0.)); #498627=CARTESIAN_POINT('',(35.110034,23.988659,0.035)); #498628=CARTESIAN_POINT('',(35.110034,23.988659,0.035)); #498629=CARTESIAN_POINT('',(35.110034,23.988659,0.)); #498630=CARTESIAN_POINT('Origin',(35.0605,23.9786,0.)); #498631=CARTESIAN_POINT('',(35.0605,23.9786,0.)); #498632=CARTESIAN_POINT('',(35.0605,23.9786,0.)); #498633=CARTESIAN_POINT('',(35.0605,23.9786,0.035)); #498634=CARTESIAN_POINT('',(35.0605,23.9786,0.035)); #498635=CARTESIAN_POINT('',(35.0605,23.9786,0.)); #498636=CARTESIAN_POINT('Origin',(31.957969,23.9786,0.)); #498637=CARTESIAN_POINT('',(31.957969,23.9786,0.)); #498638=CARTESIAN_POINT('',(31.957969,23.9786,0.)); #498639=CARTESIAN_POINT('',(31.957969,23.9786,0.035)); #498640=CARTESIAN_POINT('',(31.957969,23.9786,0.035)); #498641=CARTESIAN_POINT('',(31.957969,23.9786,0.)); #498642=CARTESIAN_POINT('Origin',(31.951512,23.979828,0.)); #498643=CARTESIAN_POINT('',(31.951512,23.979828,0.)); #498644=CARTESIAN_POINT('',(31.951512,23.979828,0.)); #498645=CARTESIAN_POINT('',(31.951512,23.979828,0.035)); #498646=CARTESIAN_POINT('',(31.951512,23.979828,0.035)); #498647=CARTESIAN_POINT('',(31.951512,23.979828,0.)); #498648=CARTESIAN_POINT('Origin',(31.856716,23.978997,0.)); #498649=CARTESIAN_POINT('',(31.856716,23.978997,0.)); #498650=CARTESIAN_POINT('',(31.856716,23.978997,0.)); #498651=CARTESIAN_POINT('',(31.856716,23.978997,0.035)); #498652=CARTESIAN_POINT('',(31.856716,23.978997,0.035)); #498653=CARTESIAN_POINT('',(31.856716,23.978997,0.)); #498654=CARTESIAN_POINT('Origin',(31.809819,23.987528,0.)); #498655=CARTESIAN_POINT('',(31.809819,23.987528,0.)); #498656=CARTESIAN_POINT('',(31.809819,23.987528,0.)); #498657=CARTESIAN_POINT('',(31.809819,23.987528,0.035)); #498658=CARTESIAN_POINT('',(31.809819,23.987528,0.035)); #498659=CARTESIAN_POINT('',(31.809819,23.987528,0.)); #498660=CARTESIAN_POINT('Origin',(31.767241,24.014769,0.)); #498661=CARTESIAN_POINT('',(31.767241,24.014769,0.)); #498662=CARTESIAN_POINT('',(31.767241,24.014769,0.)); #498663=CARTESIAN_POINT('',(31.767241,24.014769,0.035)); #498664=CARTESIAN_POINT('',(31.767241,24.014769,0.035)); #498665=CARTESIAN_POINT('',(31.767241,24.014769,0.)); #498666=CARTESIAN_POINT('Origin',(31.738659,24.056456,0.)); #498667=CARTESIAN_POINT('',(31.738659,24.056456,0.)); #498668=CARTESIAN_POINT('',(31.738659,24.056456,0.)); #498669=CARTESIAN_POINT('',(31.738659,24.056456,0.035)); #498670=CARTESIAN_POINT('',(31.738659,24.056456,0.035)); #498671=CARTESIAN_POINT('',(31.738659,24.056456,0.)); #498672=CARTESIAN_POINT('Origin',(31.7286,24.105991,0.)); #498673=CARTESIAN_POINT('',(31.7286,24.105991,0.)); #498674=CARTESIAN_POINT('',(31.7286,24.105991,0.)); #498675=CARTESIAN_POINT('',(31.7286,24.105991,0.035)); #498676=CARTESIAN_POINT('',(31.7286,24.105991,0.035)); #498677=CARTESIAN_POINT('',(31.7286,24.105991,0.)); #498678=CARTESIAN_POINT('Origin',(31.7286,24.283078,0.)); #498679=CARTESIAN_POINT('',(31.7286,24.283078,0.)); #498680=CARTESIAN_POINT('',(31.7286,24.283078,0.)); #498681=CARTESIAN_POINT('',(31.7286,24.283078,0.035)); #498682=CARTESIAN_POINT('',(31.7286,24.283078,0.035)); #498683=CARTESIAN_POINT('',(31.7286,24.283078,0.)); #498684=CARTESIAN_POINT('Origin',(31.737506,24.329803,0.)); #498685=CARTESIAN_POINT('',(31.737506,24.329803,0.)); #498686=CARTESIAN_POINT('',(31.737506,24.329803,0.)); #498687=CARTESIAN_POINT('',(31.737506,24.329803,0.035)); #498688=CARTESIAN_POINT('',(31.737506,24.329803,0.035)); #498689=CARTESIAN_POINT('',(31.737506,24.329803,0.)); #498690=CARTESIAN_POINT('Origin',(31.765084,24.372163,0.)); #498691=CARTESIAN_POINT('',(31.765084,24.372163,0.)); #498692=CARTESIAN_POINT('',(31.765084,24.372163,0.)); #498693=CARTESIAN_POINT('',(31.765084,24.372163,0.035)); #498694=CARTESIAN_POINT('',(31.765084,24.372163,0.035)); #498695=CARTESIAN_POINT('',(31.765084,24.372163,0.)); #498696=CARTESIAN_POINT('Origin',(31.807,24.400409,0.)); #498697=CARTESIAN_POINT('',(31.807,24.400409,0.)); #498698=CARTESIAN_POINT('',(31.807,24.400409,0.)); #498699=CARTESIAN_POINT('',(31.807,24.400409,0.035)); #498700=CARTESIAN_POINT('',(31.807,24.400409,0.035)); #498701=CARTESIAN_POINT('',(31.807,24.400409,0.)); #498702=CARTESIAN_POINT('Origin',(32.011166,24.484978,0.)); #498703=CARTESIAN_POINT('',(32.011166,24.484978,0.)); #498704=CARTESIAN_POINT('',(32.011166,24.484978,0.)); #498705=CARTESIAN_POINT('',(32.011166,24.484978,0.035)); #498706=CARTESIAN_POINT('',(32.011166,24.484978,0.035)); #498707=CARTESIAN_POINT('',(32.011166,24.484978,0.)); #498708=CARTESIAN_POINT('Origin',(32.265019,24.738831,0.)); #498709=CARTESIAN_POINT('',(32.265019,24.738831,0.)); #498710=CARTESIAN_POINT('',(32.265019,24.738831,0.)); #498711=CARTESIAN_POINT('',(32.265019,24.738831,0.035)); #498712=CARTESIAN_POINT('',(32.265019,24.738831,0.035)); #498713=CARTESIAN_POINT('',(32.265019,24.738831,0.)); #498714=CARTESIAN_POINT('Origin',(32.4024,25.070506,0.)); #498715=CARTESIAN_POINT('',(32.4024,25.070506,0.)); #498716=CARTESIAN_POINT('',(32.4024,25.070506,0.)); #498717=CARTESIAN_POINT('',(32.4024,25.070506,0.035)); #498718=CARTESIAN_POINT('',(32.4024,25.070506,0.035)); #498719=CARTESIAN_POINT('',(32.4024,25.070506,0.)); #498720=CARTESIAN_POINT('Origin',(32.4024,25.429494,0.)); #498721=CARTESIAN_POINT('',(32.4024,25.429494,0.)); #498722=CARTESIAN_POINT('',(32.4024,25.429494,0.)); #498723=CARTESIAN_POINT('',(32.4024,25.429494,0.035)); #498724=CARTESIAN_POINT('',(32.4024,25.429494,0.035)); #498725=CARTESIAN_POINT('',(32.4024,25.429494,0.)); #498726=CARTESIAN_POINT('Origin',(32.265019,25.761169,0.)); #498727=CARTESIAN_POINT('',(32.265019,25.761169,0.)); #498728=CARTESIAN_POINT('',(32.265019,25.761169,0.)); #498729=CARTESIAN_POINT('',(32.265019,25.761169,0.035)); #498730=CARTESIAN_POINT('',(32.265019,25.761169,0.035)); #498731=CARTESIAN_POINT('',(32.265019,25.761169,0.)); #498732=CARTESIAN_POINT('Origin',(32.011169,26.015019,0.)); #498733=CARTESIAN_POINT('',(32.011169,26.015019,0.)); #498734=CARTESIAN_POINT('',(32.011169,26.015019,0.)); #498735=CARTESIAN_POINT('',(32.011169,26.015019,0.035)); #498736=CARTESIAN_POINT('',(32.011169,26.015019,0.035)); #498737=CARTESIAN_POINT('',(32.011169,26.015019,0.)); #498738=CARTESIAN_POINT('Origin',(31.679494,26.1524,0.)); #498739=CARTESIAN_POINT('',(31.679494,26.1524,0.)); #498740=CARTESIAN_POINT('',(31.679494,26.1524,0.)); #498741=CARTESIAN_POINT('',(31.679494,26.1524,0.035)); #498742=CARTESIAN_POINT('',(31.679494,26.1524,0.035)); #498743=CARTESIAN_POINT('',(31.679494,26.1524,0.)); #498744=CARTESIAN_POINT('Origin',(31.320506,26.1524,0.)); #498745=CARTESIAN_POINT('',(31.320506,26.1524,0.)); #498746=CARTESIAN_POINT('',(31.320506,26.1524,0.)); #498747=CARTESIAN_POINT('',(31.320506,26.1524,0.035)); #498748=CARTESIAN_POINT('',(31.320506,26.1524,0.035)); #498749=CARTESIAN_POINT('',(31.320506,26.1524,0.)); #498750=CARTESIAN_POINT('Origin',(30.988831,26.015019,0.)); #498751=CARTESIAN_POINT('',(30.988831,26.015019,0.)); #498752=CARTESIAN_POINT('',(30.988831,26.015019,0.)); #498753=CARTESIAN_POINT('',(30.988831,26.015019,0.035)); #498754=CARTESIAN_POINT('',(30.988831,26.015019,0.035)); #498755=CARTESIAN_POINT('',(30.988831,26.015019,0.)); #498756=CARTESIAN_POINT('Origin',(30.734981,25.761169,0.)); #498757=CARTESIAN_POINT('',(30.734981,25.761169,0.)); #498758=CARTESIAN_POINT('',(30.734981,25.761169,0.)); #498759=CARTESIAN_POINT('',(30.734981,25.761169,0.035)); #498760=CARTESIAN_POINT('',(30.734981,25.761169,0.035)); #498761=CARTESIAN_POINT('',(30.734981,25.761169,0.)); #498762=CARTESIAN_POINT('Origin',(30.5976,25.429494,0.)); #498763=CARTESIAN_POINT('',(30.5976,25.429494,0.)); #498764=CARTESIAN_POINT('',(30.5976,25.429494,0.)); #498765=CARTESIAN_POINT('',(30.5976,25.429494,0.035)); #498766=CARTESIAN_POINT('',(30.5976,25.429494,0.035)); #498767=CARTESIAN_POINT('',(30.5976,25.429494,0.)); #498768=CARTESIAN_POINT('Origin',(30.5976,25.377,0.)); #498769=CARTESIAN_POINT('',(30.5976,25.377,0.)); #498770=CARTESIAN_POINT('',(30.5976,25.377,0.)); #498771=CARTESIAN_POINT('',(30.5976,25.377,0.035)); #498772=CARTESIAN_POINT('',(30.5976,25.377,0.035)); #498773=CARTESIAN_POINT('',(30.5976,25.377,0.)); #498774=CARTESIAN_POINT('Origin',(30.589063,25.331219,0.)); #498775=CARTESIAN_POINT('',(30.589063,25.331219,0.)); #498776=CARTESIAN_POINT('',(30.589063,25.331219,0.)); #498777=CARTESIAN_POINT('',(30.589063,25.331219,0.035)); #498778=CARTESIAN_POINT('',(30.589063,25.331219,0.035)); #498779=CARTESIAN_POINT('',(30.589063,25.331219,0.)); #498780=CARTESIAN_POINT('Origin',(30.561822,25.288641,0.)); #498781=CARTESIAN_POINT('',(30.561822,25.288641,0.)); #498782=CARTESIAN_POINT('',(30.561822,25.288641,0.)); #498783=CARTESIAN_POINT('',(30.561822,25.288641,0.035)); #498784=CARTESIAN_POINT('',(30.561822,25.288641,0.035)); #498785=CARTESIAN_POINT('',(30.561822,25.288641,0.)); #498786=CARTESIAN_POINT('Origin',(30.520134,25.260059,0.)); #498787=CARTESIAN_POINT('',(30.520134,25.260059,0.)); #498788=CARTESIAN_POINT('',(30.520134,25.260059,0.)); #498789=CARTESIAN_POINT('',(30.520134,25.260059,0.035)); #498790=CARTESIAN_POINT('',(30.520134,25.260059,0.035)); #498791=CARTESIAN_POINT('',(30.520134,25.260059,0.)); #498792=CARTESIAN_POINT('Origin',(30.4706,25.25,0.)); #498793=CARTESIAN_POINT('',(30.4706,25.25,0.)); #498794=CARTESIAN_POINT('',(30.4706,25.25,0.)); #498795=CARTESIAN_POINT('',(30.4706,25.25,0.035)); #498796=CARTESIAN_POINT('',(30.4706,25.25,0.035)); #498797=CARTESIAN_POINT('',(30.4706,25.25,0.)); #498798=CARTESIAN_POINT('Origin',(30.429606,25.25,0.)); #498799=CARTESIAN_POINT('',(30.429606,25.25,0.)); #498800=CARTESIAN_POINT('',(30.429606,25.25,0.)); #498801=CARTESIAN_POINT('',(30.429606,25.25,0.035)); #498802=CARTESIAN_POINT('',(30.429606,25.25,0.035)); #498803=CARTESIAN_POINT('',(30.429606,25.25,0.)); #498804=CARTESIAN_POINT('Origin',(28.839803,23.660197,0.)); #498805=CARTESIAN_POINT('',(28.839803,23.660197,0.)); #498806=CARTESIAN_POINT('',(28.839803,23.660197,0.)); #498807=CARTESIAN_POINT('',(28.839803,23.660197,0.035)); #498808=CARTESIAN_POINT('',(28.839803,23.660197,0.035)); #498809=CARTESIAN_POINT('',(28.839803,23.660197,0.)); #498810=CARTESIAN_POINT('Origin',(28.7500007497004,23.7500003087252,0.)); #498811=CARTESIAN_POINT('',(28.75,23.623,0.)); #498812=CARTESIAN_POINT('Origin',(28.7500007497004,23.7500003087252,0.)); #498813=CARTESIAN_POINT('',(28.75,23.623,0.035)); #498814=CARTESIAN_POINT('Origin',(28.7500007497004,23.7500003087252,0.035)); #498815=CARTESIAN_POINT('',(28.75,23.623,0.)); #498816=CARTESIAN_POINT('Origin',(23.75,23.623,0.)); #498817=CARTESIAN_POINT('',(23.75,23.623,0.)); #498818=CARTESIAN_POINT('',(23.75,23.623,0.)); #498819=CARTESIAN_POINT('',(23.75,23.623,0.035)); #498820=CARTESIAN_POINT('',(23.75,23.623,0.035)); #498821=CARTESIAN_POINT('',(23.75,23.623,0.)); #498822=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #498823=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #498824=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #498825=CARTESIAN_POINT('',(23.660197,23.660197,0.035)); #498826=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.035)); #498827=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #498828=CARTESIAN_POINT('Origin',(23.297394,24.023,0.)); #498829=CARTESIAN_POINT('',(23.297394,24.023,0.)); #498830=CARTESIAN_POINT('',(23.297394,24.023,0.)); #498831=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #498832=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #498833=CARTESIAN_POINT('',(23.297394,24.023,0.)); #498834=CARTESIAN_POINT('Origin',(21.2675,24.023,0.)); #498835=CARTESIAN_POINT('',(21.2675,24.023,0.)); #498836=CARTESIAN_POINT('',(21.2675,24.023,0.)); #498837=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #498838=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #498839=CARTESIAN_POINT('',(21.2675,24.023,0.)); #498840=CARTESIAN_POINT('Origin',(21.2675,23.515,0.)); #498841=CARTESIAN_POINT('',(21.2675,23.515,0.)); #498842=CARTESIAN_POINT('',(21.2675,23.515,0.)); #498843=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #498844=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #498845=CARTESIAN_POINT('',(21.2675,23.515,0.)); #498846=CARTESIAN_POINT('Origin',(20.946741,23.515,0.)); #498847=CARTESIAN_POINT('',(20.946741,23.515,0.)); #498848=CARTESIAN_POINT('',(20.946741,23.515,0.)); #498849=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #498850=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #498851=CARTESIAN_POINT('',(20.946741,23.515,0.)); #498852=CARTESIAN_POINT('Origin',(20.576409,23.136216,0.)); #498853=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #498854=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #498855=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #498856=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #498857=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #498858=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #498859=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #498860=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.035)); #498861=CARTESIAN_POINT('Origin',(27.4624189668499,25.612603111152,0.035)); #498862=CARTESIAN_POINT('Origin',(27.4624189668499,25.612603111152,0.)); #498863=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #498864=CARTESIAN_POINT('',(14.36,21.75,0.)); #498865=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #498866=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #498867=CARTESIAN_POINT('',(14.36,21.75,-200.)); #498868=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #498869=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #498870=CARTESIAN_POINT('',(14.36,21.,0.)); #498871=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #498872=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #498873=CARTESIAN_POINT('',(14.36,21.,-200.)); #498874=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #498875=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #498876=CARTESIAN_POINT('',(15.86,20.25,0.)); #498877=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #498878=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #498879=CARTESIAN_POINT('',(15.86,20.25,-200.)); #498880=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #498881=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #498882=CARTESIAN_POINT('',(14.36,20.25,0.)); #498883=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #498884=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #498885=CARTESIAN_POINT('',(14.36,20.25,-200.)); #498886=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #498887=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #498888=CARTESIAN_POINT('',(15.11,21.,0.)); #498889=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #498890=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #498891=CARTESIAN_POINT('',(15.11,21.,-200.)); #498892=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #498893=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #498894=CARTESIAN_POINT('',(15.11,20.25,0.)); #498895=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #498896=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #498897=CARTESIAN_POINT('',(15.11,20.25,-200.)); #498898=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #498899=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #498900=CARTESIAN_POINT('',(15.11,21.75,0.)); #498901=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #498902=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #498903=CARTESIAN_POINT('',(15.11,21.75,-200.)); #498904=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #498905=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #498906=CARTESIAN_POINT('',(15.86,21.75,0.)); #498907=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #498908=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #498909=CARTESIAN_POINT('',(15.86,21.75,-200.)); #498910=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #498911=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #498912=CARTESIAN_POINT('',(15.86,21.,0.)); #498913=CARTESIAN_POINT('Origin',(16.,21.,0.)); #498914=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #498915=CARTESIAN_POINT('',(15.86,21.,-200.)); #498916=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #498917=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #498918=CARTESIAN_POINT('',(21.235,34.,0.)); #498919=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #498920=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #498921=CARTESIAN_POINT('',(21.235,34.,-200.)); #498922=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #498923=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #498924=CARTESIAN_POINT('',(45.797,35.27,0.)); #498925=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #498926=CARTESIAN_POINT('',(45.797,35.27,0.0349999999999895)); #498927=CARTESIAN_POINT('',(45.797,35.27,-200.)); #498928=CARTESIAN_POINT('Origin',(46.27,35.27,0.0349999999999895)); #498929=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #498930=CARTESIAN_POINT('',(15.285,34.,0.)); #498931=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #498932=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #498933=CARTESIAN_POINT('',(15.285,34.,-200.)); #498934=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #498935=CARTESIAN_POINT('Origin',(29.659341,32.9476,0.)); #498936=CARTESIAN_POINT('',(29.659341,32.9476,0.)); #498937=CARTESIAN_POINT('',(28.640659,32.9476,0.)); #498938=CARTESIAN_POINT('',(29.659341,32.9476,0.)); #498939=CARTESIAN_POINT('',(28.640659,32.9476,0.035)); #498940=CARTESIAN_POINT('',(28.640659,32.9476,0.)); #498941=CARTESIAN_POINT('',(29.659341,32.9476,0.035)); #498942=CARTESIAN_POINT('',(29.659341,32.9476,0.035)); #498943=CARTESIAN_POINT('',(29.659341,32.9476,0.)); #498944=CARTESIAN_POINT('Origin',(30.046131,33.107816,0.)); #498945=CARTESIAN_POINT('',(30.046131,33.107816,0.)); #498946=CARTESIAN_POINT('',(30.046131,33.107816,0.)); #498947=CARTESIAN_POINT('',(30.046131,33.107816,0.035)); #498948=CARTESIAN_POINT('',(30.046131,33.107816,0.035)); #498949=CARTESIAN_POINT('',(30.046131,33.107816,0.)); #498950=CARTESIAN_POINT('Origin',(30.342184,33.403869,0.)); #498951=CARTESIAN_POINT('',(30.342184,33.403869,0.)); #498952=CARTESIAN_POINT('',(30.342184,33.403869,0.)); #498953=CARTESIAN_POINT('',(30.342184,33.403869,0.035)); #498954=CARTESIAN_POINT('',(30.342184,33.403869,0.035)); #498955=CARTESIAN_POINT('',(30.342184,33.403869,0.)); #498956=CARTESIAN_POINT('Origin',(30.5024,33.790659,0.)); #498957=CARTESIAN_POINT('',(30.5024,33.790659,0.)); #498958=CARTESIAN_POINT('',(30.5024,33.790659,0.)); #498959=CARTESIAN_POINT('',(30.5024,33.790659,0.035)); #498960=CARTESIAN_POINT('',(30.5024,33.790659,0.035)); #498961=CARTESIAN_POINT('',(30.5024,33.790659,0.)); #498962=CARTESIAN_POINT('Origin',(30.5024,34.209341,0.)); #498963=CARTESIAN_POINT('',(30.5024,34.209341,0.)); #498964=CARTESIAN_POINT('',(30.5024,34.209341,0.)); #498965=CARTESIAN_POINT('',(30.5024,34.209341,0.035)); #498966=CARTESIAN_POINT('',(30.5024,34.209341,0.035)); #498967=CARTESIAN_POINT('',(30.5024,34.209341,0.)); #498968=CARTESIAN_POINT('Origin',(30.342184,34.596131,0.)); #498969=CARTESIAN_POINT('',(30.342184,34.596131,0.)); #498970=CARTESIAN_POINT('',(30.342184,34.596131,0.)); #498971=CARTESIAN_POINT('',(30.342184,34.596131,0.035)); #498972=CARTESIAN_POINT('',(30.342184,34.596131,0.035)); #498973=CARTESIAN_POINT('',(30.342184,34.596131,0.)); #498974=CARTESIAN_POINT('Origin',(30.046131,34.892184,0.)); #498975=CARTESIAN_POINT('',(30.046131,34.892184,0.)); #498976=CARTESIAN_POINT('',(30.046131,34.892184,0.)); #498977=CARTESIAN_POINT('',(30.046131,34.892184,0.035)); #498978=CARTESIAN_POINT('',(30.046131,34.892184,0.035)); #498979=CARTESIAN_POINT('',(30.046131,34.892184,0.)); #498980=CARTESIAN_POINT('Origin',(29.659341,35.0524,0.)); #498981=CARTESIAN_POINT('',(29.659341,35.0524,0.)); #498982=CARTESIAN_POINT('',(29.659341,35.0524,0.)); #498983=CARTESIAN_POINT('',(29.659341,35.0524,0.035)); #498984=CARTESIAN_POINT('',(29.659341,35.0524,0.035)); #498985=CARTESIAN_POINT('',(29.659341,35.0524,0.)); #498986=CARTESIAN_POINT('Origin',(28.640659,35.0524,0.)); #498987=CARTESIAN_POINT('',(28.640659,35.0524,0.)); #498988=CARTESIAN_POINT('',(28.640659,35.0524,0.)); #498989=CARTESIAN_POINT('',(28.640659,35.0524,0.035)); #498990=CARTESIAN_POINT('',(28.640659,35.0524,0.035)); #498991=CARTESIAN_POINT('',(28.640659,35.0524,0.)); #498992=CARTESIAN_POINT('Origin',(28.253869,34.892184,0.)); #498993=CARTESIAN_POINT('',(28.253869,34.892184,0.)); #498994=CARTESIAN_POINT('',(28.253869,34.892184,0.)); #498995=CARTESIAN_POINT('',(28.253869,34.892184,0.035)); #498996=CARTESIAN_POINT('',(28.253869,34.892184,0.035)); #498997=CARTESIAN_POINT('',(28.253869,34.892184,0.)); #498998=CARTESIAN_POINT('Origin',(27.957816,34.596131,0.)); #498999=CARTESIAN_POINT('',(27.957816,34.596131,0.)); #499000=CARTESIAN_POINT('',(27.957816,34.596131,0.)); #499001=CARTESIAN_POINT('',(27.957816,34.596131,0.035)); #499002=CARTESIAN_POINT('',(27.957816,34.596131,0.035)); #499003=CARTESIAN_POINT('',(27.957816,34.596131,0.)); #499004=CARTESIAN_POINT('Origin',(27.7976,34.209341,0.)); #499005=CARTESIAN_POINT('',(27.7976,34.209341,0.)); #499006=CARTESIAN_POINT('',(27.7976,34.209341,0.)); #499007=CARTESIAN_POINT('',(27.7976,34.209341,0.035)); #499008=CARTESIAN_POINT('',(27.7976,34.209341,0.035)); #499009=CARTESIAN_POINT('',(27.7976,34.209341,0.)); #499010=CARTESIAN_POINT('Origin',(27.7976,33.790659,0.)); #499011=CARTESIAN_POINT('',(27.7976,33.790659,0.)); #499012=CARTESIAN_POINT('',(27.7976,33.790659,0.)); #499013=CARTESIAN_POINT('',(27.7976,33.790659,0.035)); #499014=CARTESIAN_POINT('',(27.7976,33.790659,0.035)); #499015=CARTESIAN_POINT('',(27.7976,33.790659,0.)); #499016=CARTESIAN_POINT('Origin',(27.957816,33.403869,0.)); #499017=CARTESIAN_POINT('',(27.957816,33.403869,0.)); #499018=CARTESIAN_POINT('',(27.957816,33.403869,0.)); #499019=CARTESIAN_POINT('',(27.957816,33.403869,0.035)); #499020=CARTESIAN_POINT('',(27.957816,33.403869,0.035)); #499021=CARTESIAN_POINT('',(27.957816,33.403869,0.)); #499022=CARTESIAN_POINT('Origin',(28.253869,33.107816,0.)); #499023=CARTESIAN_POINT('',(28.253869,33.107816,0.)); #499024=CARTESIAN_POINT('',(28.253869,33.107816,0.)); #499025=CARTESIAN_POINT('',(28.253869,33.107816,0.035)); #499026=CARTESIAN_POINT('',(28.253869,33.107816,0.035)); #499027=CARTESIAN_POINT('',(28.253869,33.107816,0.)); #499028=CARTESIAN_POINT('Origin',(28.640659,32.9476,0.)); #499029=CARTESIAN_POINT('',(28.640659,32.9476,0.)); #499030=CARTESIAN_POINT('',(28.640659,32.9476,0.035)); #499031=CARTESIAN_POINT('Origin',(39.359341,32.9476,0.)); #499032=CARTESIAN_POINT('',(39.359341,32.9476,0.)); #499033=CARTESIAN_POINT('',(38.340659,32.9476,0.)); #499034=CARTESIAN_POINT('',(39.359341,32.9476,0.)); #499035=CARTESIAN_POINT('',(38.340659,32.9476,0.035)); #499036=CARTESIAN_POINT('',(38.340659,32.9476,0.)); #499037=CARTESIAN_POINT('',(39.359341,32.9476,0.035)); #499038=CARTESIAN_POINT('',(39.359341,32.9476,0.035)); #499039=CARTESIAN_POINT('',(39.359341,32.9476,0.)); #499040=CARTESIAN_POINT('Origin',(39.746131,33.107816,0.)); #499041=CARTESIAN_POINT('',(39.746131,33.107816,0.)); #499042=CARTESIAN_POINT('',(39.746131,33.107816,0.)); #499043=CARTESIAN_POINT('',(39.746131,33.107816,0.035)); #499044=CARTESIAN_POINT('',(39.746131,33.107816,0.035)); #499045=CARTESIAN_POINT('',(39.746131,33.107816,0.)); #499046=CARTESIAN_POINT('Origin',(40.042184,33.403869,0.)); #499047=CARTESIAN_POINT('',(40.042184,33.403869,0.)); #499048=CARTESIAN_POINT('',(40.042184,33.403869,0.)); #499049=CARTESIAN_POINT('',(40.042184,33.403869,0.035)); #499050=CARTESIAN_POINT('',(40.042184,33.403869,0.035)); #499051=CARTESIAN_POINT('',(40.042184,33.403869,0.)); #499052=CARTESIAN_POINT('Origin',(40.2024,33.790659,0.)); #499053=CARTESIAN_POINT('',(40.2024,33.790659,0.)); #499054=CARTESIAN_POINT('',(40.2024,33.790659,0.)); #499055=CARTESIAN_POINT('',(40.2024,33.790659,0.035)); #499056=CARTESIAN_POINT('',(40.2024,33.790659,0.035)); #499057=CARTESIAN_POINT('',(40.2024,33.790659,0.)); #499058=CARTESIAN_POINT('Origin',(40.2024,34.209341,0.)); #499059=CARTESIAN_POINT('',(40.2024,34.209341,0.)); #499060=CARTESIAN_POINT('',(40.2024,34.209341,0.)); #499061=CARTESIAN_POINT('',(40.2024,34.209341,0.035)); #499062=CARTESIAN_POINT('',(40.2024,34.209341,0.035)); #499063=CARTESIAN_POINT('',(40.2024,34.209341,0.)); #499064=CARTESIAN_POINT('Origin',(40.042184,34.596131,0.)); #499065=CARTESIAN_POINT('',(40.042184,34.596131,0.)); #499066=CARTESIAN_POINT('',(40.042184,34.596131,0.)); #499067=CARTESIAN_POINT('',(40.042184,34.596131,0.035)); #499068=CARTESIAN_POINT('',(40.042184,34.596131,0.035)); #499069=CARTESIAN_POINT('',(40.042184,34.596131,0.)); #499070=CARTESIAN_POINT('Origin',(39.746131,34.892184,0.)); #499071=CARTESIAN_POINT('',(39.746131,34.892184,0.)); #499072=CARTESIAN_POINT('',(39.746131,34.892184,0.)); #499073=CARTESIAN_POINT('',(39.746131,34.892184,0.035)); #499074=CARTESIAN_POINT('',(39.746131,34.892184,0.035)); #499075=CARTESIAN_POINT('',(39.746131,34.892184,0.)); #499076=CARTESIAN_POINT('Origin',(39.359341,35.0524,0.)); #499077=CARTESIAN_POINT('',(39.359341,35.0524,0.)); #499078=CARTESIAN_POINT('',(39.359341,35.0524,0.)); #499079=CARTESIAN_POINT('',(39.359341,35.0524,0.035)); #499080=CARTESIAN_POINT('',(39.359341,35.0524,0.035)); #499081=CARTESIAN_POINT('',(39.359341,35.0524,0.)); #499082=CARTESIAN_POINT('Origin',(38.340659,35.0524,0.)); #499083=CARTESIAN_POINT('',(38.340659,35.0524,0.)); #499084=CARTESIAN_POINT('',(38.340659,35.0524,0.)); #499085=CARTESIAN_POINT('',(38.340659,35.0524,0.035)); #499086=CARTESIAN_POINT('',(38.340659,35.0524,0.035)); #499087=CARTESIAN_POINT('',(38.340659,35.0524,0.)); #499088=CARTESIAN_POINT('Origin',(37.953869,34.892184,0.)); #499089=CARTESIAN_POINT('',(37.953869,34.892184,0.)); #499090=CARTESIAN_POINT('',(37.953869,34.892184,0.)); #499091=CARTESIAN_POINT('',(37.953869,34.892184,0.035)); #499092=CARTESIAN_POINT('',(37.953869,34.892184,0.035)); #499093=CARTESIAN_POINT('',(37.953869,34.892184,0.)); #499094=CARTESIAN_POINT('Origin',(37.657816,34.596131,0.)); #499095=CARTESIAN_POINT('',(37.657816,34.596131,0.)); #499096=CARTESIAN_POINT('',(37.657816,34.596131,0.)); #499097=CARTESIAN_POINT('',(37.657816,34.596131,0.035)); #499098=CARTESIAN_POINT('',(37.657816,34.596131,0.035)); #499099=CARTESIAN_POINT('',(37.657816,34.596131,0.)); #499100=CARTESIAN_POINT('Origin',(37.4976,34.209341,0.)); #499101=CARTESIAN_POINT('',(37.4976,34.209341,0.)); #499102=CARTESIAN_POINT('',(37.4976,34.209341,0.)); #499103=CARTESIAN_POINT('',(37.4976,34.209341,0.035)); #499104=CARTESIAN_POINT('',(37.4976,34.209341,0.035)); #499105=CARTESIAN_POINT('',(37.4976,34.209341,0.)); #499106=CARTESIAN_POINT('Origin',(37.4976,33.790659,0.)); #499107=CARTESIAN_POINT('',(37.4976,33.790659,0.)); #499108=CARTESIAN_POINT('',(37.4976,33.790659,0.)); #499109=CARTESIAN_POINT('',(37.4976,33.790659,0.035)); #499110=CARTESIAN_POINT('',(37.4976,33.790659,0.035)); #499111=CARTESIAN_POINT('',(37.4976,33.790659,0.)); #499112=CARTESIAN_POINT('Origin',(37.657816,33.403869,0.)); #499113=CARTESIAN_POINT('',(37.657816,33.403869,0.)); #499114=CARTESIAN_POINT('',(37.657816,33.403869,0.)); #499115=CARTESIAN_POINT('',(37.657816,33.403869,0.035)); #499116=CARTESIAN_POINT('',(37.657816,33.403869,0.035)); #499117=CARTESIAN_POINT('',(37.657816,33.403869,0.)); #499118=CARTESIAN_POINT('Origin',(37.953869,33.107816,0.)); #499119=CARTESIAN_POINT('',(37.953869,33.107816,0.)); #499120=CARTESIAN_POINT('',(37.953869,33.107816,0.)); #499121=CARTESIAN_POINT('',(37.953869,33.107816,0.035)); #499122=CARTESIAN_POINT('',(37.953869,33.107816,0.035)); #499123=CARTESIAN_POINT('',(37.953869,33.107816,0.)); #499124=CARTESIAN_POINT('Origin',(38.340659,32.9476,0.)); #499125=CARTESIAN_POINT('',(38.340659,32.9476,0.)); #499126=CARTESIAN_POINT('',(38.340659,32.9476,0.035)); #499127=CARTESIAN_POINT('Origin',(44.108753,34.3556,0.)); #499128=CARTESIAN_POINT('',(44.108753,34.3556,0.)); #499129=CARTESIAN_POINT('',(43.351247,34.3556,0.)); #499130=CARTESIAN_POINT('',(44.108753,34.3556,0.)); #499131=CARTESIAN_POINT('',(43.351247,34.3556,0.035)); #499132=CARTESIAN_POINT('',(43.351247,34.3556,0.)); #499133=CARTESIAN_POINT('',(44.108753,34.3556,0.035)); #499134=CARTESIAN_POINT('',(44.108753,34.3556,0.035)); #499135=CARTESIAN_POINT('',(44.108753,34.3556,0.)); #499136=CARTESIAN_POINT('Origin',(44.6444,34.891247,0.)); #499137=CARTESIAN_POINT('',(44.6444,34.891247,0.)); #499138=CARTESIAN_POINT('',(44.6444,34.891247,0.)); #499139=CARTESIAN_POINT('',(44.6444,34.891247,0.035)); #499140=CARTESIAN_POINT('',(44.6444,34.891247,0.035)); #499141=CARTESIAN_POINT('',(44.6444,34.891247,0.)); #499142=CARTESIAN_POINT('Origin',(44.6444,35.648753,0.)); #499143=CARTESIAN_POINT('',(44.6444,35.648753,0.)); #499144=CARTESIAN_POINT('',(44.6444,35.648753,0.)); #499145=CARTESIAN_POINT('',(44.6444,35.648753,0.035)); #499146=CARTESIAN_POINT('',(44.6444,35.648753,0.035)); #499147=CARTESIAN_POINT('',(44.6444,35.648753,0.)); #499148=CARTESIAN_POINT('Origin',(44.108753,36.1844,0.)); #499149=CARTESIAN_POINT('',(44.108753,36.1844,0.)); #499150=CARTESIAN_POINT('',(44.108753,36.1844,0.)); #499151=CARTESIAN_POINT('',(44.108753,36.1844,0.035)); #499152=CARTESIAN_POINT('',(44.108753,36.1844,0.035)); #499153=CARTESIAN_POINT('',(44.108753,36.1844,0.)); #499154=CARTESIAN_POINT('Origin',(43.351247,36.1844,0.)); #499155=CARTESIAN_POINT('',(43.351247,36.1844,0.)); #499156=CARTESIAN_POINT('',(43.351247,36.1844,0.)); #499157=CARTESIAN_POINT('',(43.351247,36.1844,0.035)); #499158=CARTESIAN_POINT('',(43.351247,36.1844,0.035)); #499159=CARTESIAN_POINT('',(43.351247,36.1844,0.)); #499160=CARTESIAN_POINT('Origin',(42.8156,35.648753,0.)); #499161=CARTESIAN_POINT('',(42.8156,35.648753,0.)); #499162=CARTESIAN_POINT('',(42.8156,35.648753,0.)); #499163=CARTESIAN_POINT('',(42.8156,35.648753,0.035)); #499164=CARTESIAN_POINT('',(42.8156,35.648753,0.035)); #499165=CARTESIAN_POINT('',(42.8156,35.648753,0.)); #499166=CARTESIAN_POINT('Origin',(42.8156,34.891247,0.)); #499167=CARTESIAN_POINT('',(42.8156,34.891247,0.)); #499168=CARTESIAN_POINT('',(42.8156,34.891247,0.)); #499169=CARTESIAN_POINT('',(42.8156,34.891247,0.035)); #499170=CARTESIAN_POINT('',(42.8156,34.891247,0.035)); #499171=CARTESIAN_POINT('',(42.8156,34.891247,0.)); #499172=CARTESIAN_POINT('Origin',(43.351247,34.3556,0.)); #499173=CARTESIAN_POINT('',(43.351247,34.3556,0.)); #499174=CARTESIAN_POINT('',(43.351247,34.3556,0.035)); #499175=CARTESIAN_POINT('Origin',(29.427034,36.3226,0.)); #499176=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #499177=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #499178=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #499179=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #499180=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #499181=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #499182=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #499183=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #499184=CARTESIAN_POINT('Origin',(29.588638,36.484203,0.)); #499185=CARTESIAN_POINT('',(29.588638,36.484203,0.)); #499186=CARTESIAN_POINT('',(29.588638,36.484203,0.)); #499187=CARTESIAN_POINT('',(29.588638,36.484203,0.035)); #499188=CARTESIAN_POINT('',(29.588638,36.484203,0.035)); #499189=CARTESIAN_POINT('',(29.588638,36.484203,0.)); #499190=CARTESIAN_POINT('Origin',(29.628906,36.511341,0.)); #499191=CARTESIAN_POINT('',(29.628906,36.511341,0.)); #499192=CARTESIAN_POINT('',(29.628906,36.511341,0.)); #499193=CARTESIAN_POINT('',(29.628906,36.511341,0.035)); #499194=CARTESIAN_POINT('',(29.628906,36.511341,0.035)); #499195=CARTESIAN_POINT('',(29.628906,36.511341,0.)); #499196=CARTESIAN_POINT('Origin',(29.678441,36.5214,0.)); #499197=CARTESIAN_POINT('',(29.678441,36.5214,0.)); #499198=CARTESIAN_POINT('',(29.678441,36.5214,0.)); #499199=CARTESIAN_POINT('',(29.678441,36.5214,0.035)); #499200=CARTESIAN_POINT('',(29.678441,36.5214,0.035)); #499201=CARTESIAN_POINT('',(29.678441,36.5214,0.)); #499202=CARTESIAN_POINT('Origin',(30.384059,36.5214,0.)); #499203=CARTESIAN_POINT('',(30.384059,36.5214,0.)); #499204=CARTESIAN_POINT('',(30.384059,36.5214,0.)); #499205=CARTESIAN_POINT('',(30.384059,36.5214,0.035)); #499206=CARTESIAN_POINT('',(30.384059,36.5214,0.035)); #499207=CARTESIAN_POINT('',(30.384059,36.5214,0.)); #499208=CARTESIAN_POINT('Origin',(30.431722,36.512116,0.)); #499209=CARTESIAN_POINT('',(30.431722,36.512116,0.)); #499210=CARTESIAN_POINT('',(30.431722,36.512116,0.)); #499211=CARTESIAN_POINT('',(30.431722,36.512116,0.035)); #499212=CARTESIAN_POINT('',(30.431722,36.512116,0.035)); #499213=CARTESIAN_POINT('',(30.431722,36.512116,0.)); #499214=CARTESIAN_POINT('Origin',(30.473863,36.484203,0.)); #499215=CARTESIAN_POINT('',(30.473863,36.484203,0.)); #499216=CARTESIAN_POINT('',(30.473863,36.484203,0.)); #499217=CARTESIAN_POINT('',(30.473863,36.484203,0.035)); #499218=CARTESIAN_POINT('',(30.473863,36.484203,0.035)); #499219=CARTESIAN_POINT('',(30.473863,36.484203,0.)); #499220=CARTESIAN_POINT('Origin',(30.635466,36.3226,0.)); #499221=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #499222=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #499223=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #499224=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #499225=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #499226=CARTESIAN_POINT('Origin',(30.989534,36.3226,0.)); #499227=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #499228=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #499229=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #499230=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #499231=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #499232=CARTESIAN_POINT('Origin',(31.2399,36.572966,0.)); #499233=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #499234=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #499235=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #499236=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #499237=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #499238=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #499239=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #499240=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #499241=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #499242=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #499243=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #499244=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #499245=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #499246=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #499247=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #499248=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #499249=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #499250=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #499251=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #499252=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #499253=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #499254=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #499255=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #499256=CARTESIAN_POINT('Origin',(30.473863,37.015797,0.)); #499257=CARTESIAN_POINT('',(30.473863,37.015797,0.)); #499258=CARTESIAN_POINT('',(30.473863,37.015797,0.)); #499259=CARTESIAN_POINT('',(30.473863,37.015797,0.035)); #499260=CARTESIAN_POINT('',(30.473863,37.015797,0.035)); #499261=CARTESIAN_POINT('',(30.473863,37.015797,0.)); #499262=CARTESIAN_POINT('Origin',(30.433594,36.988659,0.)); #499263=CARTESIAN_POINT('',(30.433594,36.988659,0.)); #499264=CARTESIAN_POINT('',(30.433594,36.988659,0.)); #499265=CARTESIAN_POINT('',(30.433594,36.988659,0.035)); #499266=CARTESIAN_POINT('',(30.433594,36.988659,0.035)); #499267=CARTESIAN_POINT('',(30.433594,36.988659,0.)); #499268=CARTESIAN_POINT('Origin',(30.384059,36.9786,0.)); #499269=CARTESIAN_POINT('',(30.384059,36.9786,0.)); #499270=CARTESIAN_POINT('',(30.384059,36.9786,0.)); #499271=CARTESIAN_POINT('',(30.384059,36.9786,0.035)); #499272=CARTESIAN_POINT('',(30.384059,36.9786,0.035)); #499273=CARTESIAN_POINT('',(30.384059,36.9786,0.)); #499274=CARTESIAN_POINT('Origin',(29.678441,36.9786,0.)); #499275=CARTESIAN_POINT('',(29.678441,36.9786,0.)); #499276=CARTESIAN_POINT('',(29.678441,36.9786,0.)); #499277=CARTESIAN_POINT('',(29.678441,36.9786,0.035)); #499278=CARTESIAN_POINT('',(29.678441,36.9786,0.035)); #499279=CARTESIAN_POINT('',(29.678441,36.9786,0.)); #499280=CARTESIAN_POINT('Origin',(29.630778,36.987884,0.)); #499281=CARTESIAN_POINT('',(29.630778,36.987884,0.)); #499282=CARTESIAN_POINT('',(29.630778,36.987884,0.)); #499283=CARTESIAN_POINT('',(29.630778,36.987884,0.035)); #499284=CARTESIAN_POINT('',(29.630778,36.987884,0.035)); #499285=CARTESIAN_POINT('',(29.630778,36.987884,0.)); #499286=CARTESIAN_POINT('Origin',(29.588638,37.015797,0.)); #499287=CARTESIAN_POINT('',(29.588638,37.015797,0.)); #499288=CARTESIAN_POINT('',(29.588638,37.015797,0.)); #499289=CARTESIAN_POINT('',(29.588638,37.015797,0.035)); #499290=CARTESIAN_POINT('',(29.588638,37.015797,0.035)); #499291=CARTESIAN_POINT('',(29.588638,37.015797,0.)); #499292=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #499293=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #499294=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #499295=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #499296=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #499297=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #499298=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #499299=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #499300=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #499301=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #499302=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #499303=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #499304=CARTESIAN_POINT('Origin',(28.8226,36.927034,0.)); #499305=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #499306=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #499307=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #499308=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #499309=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #499310=CARTESIAN_POINT('Origin',(28.8226,36.572966,0.)); #499311=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #499312=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #499313=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #499314=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #499315=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #499316=CARTESIAN_POINT('Origin',(29.072966,36.3226,0.)); #499317=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #499318=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #499319=CARTESIAN_POINT('Origin',(37.364534,36.3226,0.)); #499320=CARTESIAN_POINT('',(37.364534,36.3226,0.)); #499321=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #499322=CARTESIAN_POINT('',(37.364534,36.3226,0.)); #499323=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #499324=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #499325=CARTESIAN_POINT('',(37.364534,36.3226,0.035)); #499326=CARTESIAN_POINT('',(37.364534,36.3226,0.035)); #499327=CARTESIAN_POINT('',(37.364534,36.3226,0.)); #499328=CARTESIAN_POINT('Origin',(37.526138,36.484203,0.)); #499329=CARTESIAN_POINT('',(37.526138,36.484203,0.)); #499330=CARTESIAN_POINT('',(37.526138,36.484203,0.)); #499331=CARTESIAN_POINT('',(37.526138,36.484203,0.035)); #499332=CARTESIAN_POINT('',(37.526138,36.484203,0.035)); #499333=CARTESIAN_POINT('',(37.526138,36.484203,0.)); #499334=CARTESIAN_POINT('Origin',(37.566406,36.511341,0.)); #499335=CARTESIAN_POINT('',(37.566406,36.511341,0.)); #499336=CARTESIAN_POINT('',(37.566406,36.511341,0.)); #499337=CARTESIAN_POINT('',(37.566406,36.511341,0.035)); #499338=CARTESIAN_POINT('',(37.566406,36.511341,0.035)); #499339=CARTESIAN_POINT('',(37.566406,36.511341,0.)); #499340=CARTESIAN_POINT('Origin',(37.615941,36.5214,0.)); #499341=CARTESIAN_POINT('',(37.615941,36.5214,0.)); #499342=CARTESIAN_POINT('',(37.615941,36.5214,0.)); #499343=CARTESIAN_POINT('',(37.615941,36.5214,0.035)); #499344=CARTESIAN_POINT('',(37.615941,36.5214,0.035)); #499345=CARTESIAN_POINT('',(37.615941,36.5214,0.)); #499346=CARTESIAN_POINT('Origin',(38.321559,36.5214,0.)); #499347=CARTESIAN_POINT('',(38.321559,36.5214,0.)); #499348=CARTESIAN_POINT('',(38.321559,36.5214,0.)); #499349=CARTESIAN_POINT('',(38.321559,36.5214,0.035)); #499350=CARTESIAN_POINT('',(38.321559,36.5214,0.035)); #499351=CARTESIAN_POINT('',(38.321559,36.5214,0.)); #499352=CARTESIAN_POINT('Origin',(38.369222,36.512116,0.)); #499353=CARTESIAN_POINT('',(38.369222,36.512116,0.)); #499354=CARTESIAN_POINT('',(38.369222,36.512116,0.)); #499355=CARTESIAN_POINT('',(38.369222,36.512116,0.035)); #499356=CARTESIAN_POINT('',(38.369222,36.512116,0.035)); #499357=CARTESIAN_POINT('',(38.369222,36.512116,0.)); #499358=CARTESIAN_POINT('Origin',(38.411363,36.484203,0.)); #499359=CARTESIAN_POINT('',(38.411363,36.484203,0.)); #499360=CARTESIAN_POINT('',(38.411363,36.484203,0.)); #499361=CARTESIAN_POINT('',(38.411363,36.484203,0.035)); #499362=CARTESIAN_POINT('',(38.411363,36.484203,0.035)); #499363=CARTESIAN_POINT('',(38.411363,36.484203,0.)); #499364=CARTESIAN_POINT('Origin',(38.572966,36.3226,0.)); #499365=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #499366=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #499367=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #499368=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #499369=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #499370=CARTESIAN_POINT('Origin',(38.927034,36.3226,0.)); #499371=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #499372=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #499373=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #499374=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #499375=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #499376=CARTESIAN_POINT('Origin',(39.1774,36.572966,0.)); #499377=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #499378=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #499379=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #499380=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #499381=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #499382=CARTESIAN_POINT('Origin',(39.1774,36.927034,0.)); #499383=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #499384=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #499385=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #499386=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #499387=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #499388=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #499389=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #499390=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #499391=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #499392=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #499393=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #499394=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #499395=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #499396=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #499397=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #499398=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #499399=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #499400=CARTESIAN_POINT('Origin',(38.411363,37.015797,0.)); #499401=CARTESIAN_POINT('',(38.411363,37.015797,0.)); #499402=CARTESIAN_POINT('',(38.411363,37.015797,0.)); #499403=CARTESIAN_POINT('',(38.411363,37.015797,0.035)); #499404=CARTESIAN_POINT('',(38.411363,37.015797,0.035)); #499405=CARTESIAN_POINT('',(38.411363,37.015797,0.)); #499406=CARTESIAN_POINT('Origin',(38.371094,36.988659,0.)); #499407=CARTESIAN_POINT('',(38.371094,36.988659,0.)); #499408=CARTESIAN_POINT('',(38.371094,36.988659,0.)); #499409=CARTESIAN_POINT('',(38.371094,36.988659,0.035)); #499410=CARTESIAN_POINT('',(38.371094,36.988659,0.035)); #499411=CARTESIAN_POINT('',(38.371094,36.988659,0.)); #499412=CARTESIAN_POINT('Origin',(38.321559,36.9786,0.)); #499413=CARTESIAN_POINT('',(38.321559,36.9786,0.)); #499414=CARTESIAN_POINT('',(38.321559,36.9786,0.)); #499415=CARTESIAN_POINT('',(38.321559,36.9786,0.035)); #499416=CARTESIAN_POINT('',(38.321559,36.9786,0.035)); #499417=CARTESIAN_POINT('',(38.321559,36.9786,0.)); #499418=CARTESIAN_POINT('Origin',(37.615941,36.9786,0.)); #499419=CARTESIAN_POINT('',(37.615941,36.9786,0.)); #499420=CARTESIAN_POINT('',(37.615941,36.9786,0.)); #499421=CARTESIAN_POINT('',(37.615941,36.9786,0.035)); #499422=CARTESIAN_POINT('',(37.615941,36.9786,0.035)); #499423=CARTESIAN_POINT('',(37.615941,36.9786,0.)); #499424=CARTESIAN_POINT('Origin',(37.568278,36.987884,0.)); #499425=CARTESIAN_POINT('',(37.568278,36.987884,0.)); #499426=CARTESIAN_POINT('',(37.568278,36.987884,0.)); #499427=CARTESIAN_POINT('',(37.568278,36.987884,0.035)); #499428=CARTESIAN_POINT('',(37.568278,36.987884,0.035)); #499429=CARTESIAN_POINT('',(37.568278,36.987884,0.)); #499430=CARTESIAN_POINT('Origin',(37.526138,37.015797,0.)); #499431=CARTESIAN_POINT('',(37.526138,37.015797,0.)); #499432=CARTESIAN_POINT('',(37.526138,37.015797,0.)); #499433=CARTESIAN_POINT('',(37.526138,37.015797,0.035)); #499434=CARTESIAN_POINT('',(37.526138,37.015797,0.035)); #499435=CARTESIAN_POINT('',(37.526138,37.015797,0.)); #499436=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #499437=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #499438=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #499439=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #499440=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #499441=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #499442=CARTESIAN_POINT('Origin',(37.010466,37.1774,0.)); #499443=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #499444=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #499445=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #499446=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #499447=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #499448=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #499449=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #499450=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #499451=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #499452=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #499453=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #499454=CARTESIAN_POINT('Origin',(36.7601,36.572966,0.)); #499455=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #499456=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #499457=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #499458=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #499459=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #499460=CARTESIAN_POINT('Origin',(37.010466,36.3226,0.)); #499461=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #499462=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #499463=CARTESIAN_POINT('Origin',(26.927034,30.5726,0.)); #499464=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #499465=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #499466=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #499467=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #499468=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #499469=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #499470=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #499471=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #499472=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #499473=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #499474=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #499475=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #499476=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #499477=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #499478=CARTESIAN_POINT('Origin',(27.1774,31.177034,0.)); #499479=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #499480=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #499481=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #499482=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #499483=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #499484=CARTESIAN_POINT('Origin',(27.015797,31.338638,0.)); #499485=CARTESIAN_POINT('',(27.015797,31.338638,0.)); #499486=CARTESIAN_POINT('',(27.015797,31.338638,0.)); #499487=CARTESIAN_POINT('',(27.015797,31.338638,0.035)); #499488=CARTESIAN_POINT('',(27.015797,31.338638,0.035)); #499489=CARTESIAN_POINT('',(27.015797,31.338638,0.)); #499490=CARTESIAN_POINT('Origin',(26.988659,31.378906,0.)); #499491=CARTESIAN_POINT('',(26.988659,31.378906,0.)); #499492=CARTESIAN_POINT('',(26.988659,31.378906,0.)); #499493=CARTESIAN_POINT('',(26.988659,31.378906,0.035)); #499494=CARTESIAN_POINT('',(26.988659,31.378906,0.035)); #499495=CARTESIAN_POINT('',(26.988659,31.378906,0.)); #499496=CARTESIAN_POINT('Origin',(26.9786,31.428441,0.)); #499497=CARTESIAN_POINT('',(26.9786,31.428441,0.)); #499498=CARTESIAN_POINT('',(26.9786,31.428441,0.)); #499499=CARTESIAN_POINT('',(26.9786,31.428441,0.035)); #499500=CARTESIAN_POINT('',(26.9786,31.428441,0.035)); #499501=CARTESIAN_POINT('',(26.9786,31.428441,0.)); #499502=CARTESIAN_POINT('Origin',(26.9786,35.571559,0.)); #499503=CARTESIAN_POINT('',(26.9786,35.571559,0.)); #499504=CARTESIAN_POINT('',(26.9786,35.571559,0.)); #499505=CARTESIAN_POINT('',(26.9786,35.571559,0.035)); #499506=CARTESIAN_POINT('',(26.9786,35.571559,0.035)); #499507=CARTESIAN_POINT('',(26.9786,35.571559,0.)); #499508=CARTESIAN_POINT('Origin',(26.987884,35.619222,0.)); #499509=CARTESIAN_POINT('',(26.987884,35.619222,0.)); #499510=CARTESIAN_POINT('',(26.987884,35.619222,0.)); #499511=CARTESIAN_POINT('',(26.987884,35.619222,0.035)); #499512=CARTESIAN_POINT('',(26.987884,35.619222,0.035)); #499513=CARTESIAN_POINT('',(26.987884,35.619222,0.)); #499514=CARTESIAN_POINT('Origin',(27.015797,35.661363,0.)); #499515=CARTESIAN_POINT('',(27.015797,35.661363,0.)); #499516=CARTESIAN_POINT('',(27.015797,35.661363,0.)); #499517=CARTESIAN_POINT('',(27.015797,35.661363,0.035)); #499518=CARTESIAN_POINT('',(27.015797,35.661363,0.035)); #499519=CARTESIAN_POINT('',(27.015797,35.661363,0.)); #499520=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #499521=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #499522=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #499523=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #499524=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #499525=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #499526=CARTESIAN_POINT('Origin',(27.1774,36.177041,0.)); #499527=CARTESIAN_POINT('',(27.1774,36.177041,0.)); #499528=CARTESIAN_POINT('',(27.1774,36.177041,0.)); #499529=CARTESIAN_POINT('',(27.1774,36.177041,0.035)); #499530=CARTESIAN_POINT('',(27.1774,36.177041,0.035)); #499531=CARTESIAN_POINT('',(27.1774,36.177041,0.)); #499532=CARTESIAN_POINT('Origin',(27.142022,36.212419,0.)); #499533=CARTESIAN_POINT('',(27.142022,36.212419,0.)); #499534=CARTESIAN_POINT('',(27.142022,36.212419,0.)); #499535=CARTESIAN_POINT('',(27.142022,36.212419,0.035)); #499536=CARTESIAN_POINT('',(27.142022,36.212419,0.035)); #499537=CARTESIAN_POINT('',(27.142022,36.212419,0.)); #499538=CARTESIAN_POINT('Origin',(27.115687,36.250825,0.)); #499539=CARTESIAN_POINT('',(27.115687,36.250825,0.)); #499540=CARTESIAN_POINT('',(27.115687,36.250825,0.)); #499541=CARTESIAN_POINT('',(27.115687,36.250825,0.035)); #499542=CARTESIAN_POINT('',(27.115687,36.250825,0.035)); #499543=CARTESIAN_POINT('',(27.115687,36.250825,0.)); #499544=CARTESIAN_POINT('Origin',(27.104841,36.300194,0.)); #499545=CARTESIAN_POINT('',(27.104841,36.300194,0.)); #499546=CARTESIAN_POINT('',(27.104841,36.300194,0.)); #499547=CARTESIAN_POINT('',(27.104841,36.300194,0.035)); #499548=CARTESIAN_POINT('',(27.104841,36.300194,0.035)); #499549=CARTESIAN_POINT('',(27.104841,36.300194,0.)); #499550=CARTESIAN_POINT('Origin',(27.114109,36.349881,0.)); #499551=CARTESIAN_POINT('',(27.114109,36.349881,0.)); #499552=CARTESIAN_POINT('',(27.114109,36.349881,0.)); #499553=CARTESIAN_POINT('',(27.114109,36.349881,0.035)); #499554=CARTESIAN_POINT('',(27.114109,36.349881,0.035)); #499555=CARTESIAN_POINT('',(27.114109,36.349881,0.)); #499556=CARTESIAN_POINT('Origin',(27.142022,36.392022,0.)); #499557=CARTESIAN_POINT('',(27.142022,36.392022,0.)); #499558=CARTESIAN_POINT('',(27.142022,36.392022,0.)); #499559=CARTESIAN_POINT('',(27.142022,36.392022,0.035)); #499560=CARTESIAN_POINT('',(27.142022,36.392022,0.035)); #499561=CARTESIAN_POINT('',(27.142022,36.392022,0.)); #499562=CARTESIAN_POINT('Origin',(29.462803,38.712803,0.)); #499563=CARTESIAN_POINT('',(29.462803,38.712803,0.)); #499564=CARTESIAN_POINT('',(29.462803,38.712803,0.)); #499565=CARTESIAN_POINT('',(29.462803,38.712803,0.035)); #499566=CARTESIAN_POINT('',(29.462803,38.712803,0.035)); #499567=CARTESIAN_POINT('',(29.462803,38.712803,0.)); #499568=CARTESIAN_POINT('Origin',(29.503072,38.739941,0.)); #499569=CARTESIAN_POINT('',(29.503072,38.739941,0.)); #499570=CARTESIAN_POINT('',(29.503072,38.739941,0.)); #499571=CARTESIAN_POINT('',(29.503072,38.739941,0.035)); #499572=CARTESIAN_POINT('',(29.503072,38.739941,0.035)); #499573=CARTESIAN_POINT('',(29.503072,38.739941,0.)); #499574=CARTESIAN_POINT('Origin',(29.552606,38.75,0.)); #499575=CARTESIAN_POINT('',(29.552606,38.75,0.)); #499576=CARTESIAN_POINT('',(29.552606,38.75,0.)); #499577=CARTESIAN_POINT('',(29.552606,38.75,0.035)); #499578=CARTESIAN_POINT('',(29.552606,38.75,0.035)); #499579=CARTESIAN_POINT('',(29.552606,38.75,0.)); #499580=CARTESIAN_POINT('Origin',(45.624106,38.75,0.)); #499581=CARTESIAN_POINT('',(45.624106,38.75,0.)); #499582=CARTESIAN_POINT('',(45.624106,38.75,0.)); #499583=CARTESIAN_POINT('',(45.624106,38.75,0.035)); #499584=CARTESIAN_POINT('',(45.624106,38.75,0.035)); #499585=CARTESIAN_POINT('',(45.624106,38.75,0.)); #499586=CARTESIAN_POINT('Origin',(45.671769,38.740716,0.)); #499587=CARTESIAN_POINT('',(45.671769,38.740716,0.)); #499588=CARTESIAN_POINT('',(45.671769,38.740716,0.)); #499589=CARTESIAN_POINT('',(45.671769,38.740716,0.035)); #499590=CARTESIAN_POINT('',(45.671769,38.740716,0.035)); #499591=CARTESIAN_POINT('',(45.671769,38.740716,0.)); #499592=CARTESIAN_POINT('Origin',(45.713909,38.712803,0.)); #499593=CARTESIAN_POINT('',(45.713909,38.712803,0.)); #499594=CARTESIAN_POINT('',(45.713909,38.712803,0.)); #499595=CARTESIAN_POINT('',(45.713909,38.712803,0.035)); #499596=CARTESIAN_POINT('',(45.713909,38.712803,0.035)); #499597=CARTESIAN_POINT('',(45.713909,38.712803,0.)); #499598=CARTESIAN_POINT('Origin',(47.359203,37.067509,0.)); #499599=CARTESIAN_POINT('',(47.359203,37.067509,0.)); #499600=CARTESIAN_POINT('',(47.359203,37.067509,0.)); #499601=CARTESIAN_POINT('',(47.359203,37.067509,0.035)); #499602=CARTESIAN_POINT('',(47.359203,37.067509,0.035)); #499603=CARTESIAN_POINT('',(47.359203,37.067509,0.)); #499604=CARTESIAN_POINT('Origin',(47.386341,37.027241,0.)); #499605=CARTESIAN_POINT('',(47.386341,37.027241,0.)); #499606=CARTESIAN_POINT('',(47.386341,37.027241,0.)); #499607=CARTESIAN_POINT('',(47.386341,37.027241,0.035)); #499608=CARTESIAN_POINT('',(47.386341,37.027241,0.035)); #499609=CARTESIAN_POINT('',(47.386341,37.027241,0.)); #499610=CARTESIAN_POINT('Origin',(47.3964,36.977706,0.)); #499611=CARTESIAN_POINT('',(47.3964,36.977706,0.)); #499612=CARTESIAN_POINT('',(47.3964,36.977706,0.)); #499613=CARTESIAN_POINT('',(47.3964,36.977706,0.035)); #499614=CARTESIAN_POINT('',(47.3964,36.977706,0.035)); #499615=CARTESIAN_POINT('',(47.3964,36.977706,0.)); #499616=CARTESIAN_POINT('Origin',(47.3964,34.1661,0.)); #499617=CARTESIAN_POINT('',(47.3964,34.1661,0.)); #499618=CARTESIAN_POINT('',(47.3964,34.1661,0.)); #499619=CARTESIAN_POINT('',(47.3964,34.1661,0.035)); #499620=CARTESIAN_POINT('',(47.3964,34.1661,0.035)); #499621=CARTESIAN_POINT('',(47.3964,34.1661,0.)); #499622=CARTESIAN_POINT('Origin',(47.387863,34.120319,0.)); #499623=CARTESIAN_POINT('',(47.387863,34.120319,0.)); #499624=CARTESIAN_POINT('',(47.387863,34.120319,0.)); #499625=CARTESIAN_POINT('',(47.387863,34.120319,0.035)); #499626=CARTESIAN_POINT('',(47.387863,34.120319,0.035)); #499627=CARTESIAN_POINT('',(47.387863,34.120319,0.)); #499628=CARTESIAN_POINT('Origin',(47.360622,34.077741,0.)); #499629=CARTESIAN_POINT('',(47.360622,34.077741,0.)); #499630=CARTESIAN_POINT('',(47.360622,34.077741,0.)); #499631=CARTESIAN_POINT('',(47.360622,34.077741,0.035)); #499632=CARTESIAN_POINT('',(47.360622,34.077741,0.035)); #499633=CARTESIAN_POINT('',(47.360622,34.077741,0.)); #499634=CARTESIAN_POINT('Origin',(47.318934,34.049159,0.)); #499635=CARTESIAN_POINT('',(47.318934,34.049159,0.)); #499636=CARTESIAN_POINT('',(47.318934,34.049159,0.)); #499637=CARTESIAN_POINT('',(47.318934,34.049159,0.035)); #499638=CARTESIAN_POINT('',(47.318934,34.049159,0.035)); #499639=CARTESIAN_POINT('',(47.318934,34.049159,0.)); #499640=CARTESIAN_POINT('Origin',(47.2694,34.0391,0.)); #499641=CARTESIAN_POINT('',(47.2694,34.0391,0.)); #499642=CARTESIAN_POINT('',(47.2694,34.0391,0.)); #499643=CARTESIAN_POINT('',(47.2694,34.0391,0.035)); #499644=CARTESIAN_POINT('',(47.2694,34.0391,0.035)); #499645=CARTESIAN_POINT('',(47.2694,34.0391,0.)); #499646=CARTESIAN_POINT('Origin',(42.3556,34.0391,0.)); #499647=CARTESIAN_POINT('',(42.3556,34.0391,0.)); #499648=CARTESIAN_POINT('',(42.3556,34.0391,0.)); #499649=CARTESIAN_POINT('',(42.3556,34.0391,0.035)); #499650=CARTESIAN_POINT('',(42.3556,34.0391,0.035)); #499651=CARTESIAN_POINT('',(42.3556,34.0391,0.)); #499652=CARTESIAN_POINT('Origin',(42.309819,34.047638,0.)); #499653=CARTESIAN_POINT('',(42.309819,34.047638,0.)); #499654=CARTESIAN_POINT('',(42.309819,34.047638,0.)); #499655=CARTESIAN_POINT('',(42.309819,34.047638,0.035)); #499656=CARTESIAN_POINT('',(42.309819,34.047638,0.035)); #499657=CARTESIAN_POINT('',(42.309819,34.047638,0.)); #499658=CARTESIAN_POINT('Origin',(42.267241,34.074878,0.)); #499659=CARTESIAN_POINT('',(42.267241,34.074878,0.)); #499660=CARTESIAN_POINT('',(42.267241,34.074878,0.)); #499661=CARTESIAN_POINT('',(42.267241,34.074878,0.035)); #499662=CARTESIAN_POINT('',(42.267241,34.074878,0.035)); #499663=CARTESIAN_POINT('',(42.267241,34.074878,0.)); #499664=CARTESIAN_POINT('Origin',(42.238659,34.116566,0.)); #499665=CARTESIAN_POINT('',(42.238659,34.116566,0.)); #499666=CARTESIAN_POINT('',(42.238659,34.116566,0.)); #499667=CARTESIAN_POINT('',(42.238659,34.116566,0.035)); #499668=CARTESIAN_POINT('',(42.238659,34.116566,0.035)); #499669=CARTESIAN_POINT('',(42.238659,34.116566,0.)); #499670=CARTESIAN_POINT('Origin',(42.2286,34.1661,0.)); #499671=CARTESIAN_POINT('',(42.2286,34.1661,0.)); #499672=CARTESIAN_POINT('',(42.2286,34.1661,0.)); #499673=CARTESIAN_POINT('',(42.2286,34.1661,0.035)); #499674=CARTESIAN_POINT('',(42.2286,34.1661,0.035)); #499675=CARTESIAN_POINT('',(42.2286,34.1661,0.)); #499676=CARTESIAN_POINT('Origin',(42.2286,35.571559,0.)); #499677=CARTESIAN_POINT('',(42.2286,35.571559,0.)); #499678=CARTESIAN_POINT('',(42.2286,35.571559,0.)); #499679=CARTESIAN_POINT('',(42.2286,35.571559,0.035)); #499680=CARTESIAN_POINT('',(42.2286,35.571559,0.035)); #499681=CARTESIAN_POINT('',(42.2286,35.571559,0.)); #499682=CARTESIAN_POINT('Origin',(42.237884,35.619222,0.)); #499683=CARTESIAN_POINT('',(42.237884,35.619222,0.)); #499684=CARTESIAN_POINT('',(42.237884,35.619222,0.)); #499685=CARTESIAN_POINT('',(42.237884,35.619222,0.035)); #499686=CARTESIAN_POINT('',(42.237884,35.619222,0.035)); #499687=CARTESIAN_POINT('',(42.237884,35.619222,0.)); #499688=CARTESIAN_POINT('Origin',(42.265797,35.661363,0.)); #499689=CARTESIAN_POINT('',(42.265797,35.661363,0.)); #499690=CARTESIAN_POINT('',(42.265797,35.661363,0.)); #499691=CARTESIAN_POINT('',(42.265797,35.661363,0.035)); #499692=CARTESIAN_POINT('',(42.265797,35.661363,0.035)); #499693=CARTESIAN_POINT('',(42.265797,35.661363,0.)); #499694=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #499695=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #499696=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #499697=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #499698=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #499699=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #499700=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #499701=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #499702=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #499703=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #499704=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #499705=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #499706=CARTESIAN_POINT('Origin',(42.177034,36.4274,0.)); #499707=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #499708=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #499709=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #499710=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #499711=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #499712=CARTESIAN_POINT('Origin',(41.822966,36.4274,0.)); #499713=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #499714=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #499715=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #499716=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #499717=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #499718=CARTESIAN_POINT('Origin',(41.714803,36.319237,0.)); #499719=CARTESIAN_POINT('',(41.714803,36.319237,0.)); #499720=CARTESIAN_POINT('',(41.714803,36.319237,0.)); #499721=CARTESIAN_POINT('',(41.714803,36.319237,0.035)); #499722=CARTESIAN_POINT('',(41.714803,36.319237,0.035)); #499723=CARTESIAN_POINT('',(41.714803,36.319237,0.)); #499724=CARTESIAN_POINT('Origin',(41.676394,36.292903,0.)); #499725=CARTESIAN_POINT('',(41.676394,36.292903,0.)); #499726=CARTESIAN_POINT('',(41.676394,36.292903,0.)); #499727=CARTESIAN_POINT('',(41.676394,36.292903,0.035)); #499728=CARTESIAN_POINT('',(41.676394,36.292903,0.035)); #499729=CARTESIAN_POINT('',(41.676394,36.292903,0.)); #499730=CARTESIAN_POINT('Origin',(41.627025,36.282056,0.)); #499731=CARTESIAN_POINT('',(41.627025,36.282056,0.)); #499732=CARTESIAN_POINT('',(41.627025,36.282056,0.)); #499733=CARTESIAN_POINT('',(41.627025,36.282056,0.035)); #499734=CARTESIAN_POINT('',(41.627025,36.282056,0.035)); #499735=CARTESIAN_POINT('',(41.627025,36.282056,0.)); #499736=CARTESIAN_POINT('Origin',(41.577337,36.291325,0.)); #499737=CARTESIAN_POINT('',(41.577337,36.291325,0.)); #499738=CARTESIAN_POINT('',(41.577337,36.291325,0.)); #499739=CARTESIAN_POINT('',(41.577337,36.291325,0.035)); #499740=CARTESIAN_POINT('',(41.577337,36.291325,0.035)); #499741=CARTESIAN_POINT('',(41.577337,36.291325,0.)); #499742=CARTESIAN_POINT('Origin',(41.535197,36.319237,0.)); #499743=CARTESIAN_POINT('',(41.535197,36.319237,0.)); #499744=CARTESIAN_POINT('',(41.535197,36.319237,0.)); #499745=CARTESIAN_POINT('',(41.535197,36.319237,0.035)); #499746=CARTESIAN_POINT('',(41.535197,36.319237,0.035)); #499747=CARTESIAN_POINT('',(41.535197,36.319237,0.)); #499748=CARTESIAN_POINT('Origin',(41.427034,36.4274,0.)); #499749=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #499750=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #499751=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #499752=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #499753=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #499754=CARTESIAN_POINT('Origin',(41.072966,36.4274,0.)); #499755=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #499756=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #499757=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #499758=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #499759=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #499760=CARTESIAN_POINT('Origin',(40.8226,36.177034,0.)); #499761=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #499762=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #499763=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #499764=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #499765=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #499766=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #499767=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #499768=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #499769=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #499770=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #499771=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #499772=CARTESIAN_POINT('Origin',(40.984203,35.661363,0.)); #499773=CARTESIAN_POINT('',(40.984203,35.661363,0.)); #499774=CARTESIAN_POINT('',(40.984203,35.661363,0.)); #499775=CARTESIAN_POINT('',(40.984203,35.661363,0.035)); #499776=CARTESIAN_POINT('',(40.984203,35.661363,0.035)); #499777=CARTESIAN_POINT('',(40.984203,35.661363,0.)); #499778=CARTESIAN_POINT('Origin',(41.011341,35.621094,0.)); #499779=CARTESIAN_POINT('',(41.011341,35.621094,0.)); #499780=CARTESIAN_POINT('',(41.011341,35.621094,0.)); #499781=CARTESIAN_POINT('',(41.011341,35.621094,0.035)); #499782=CARTESIAN_POINT('',(41.011341,35.621094,0.035)); #499783=CARTESIAN_POINT('',(41.011341,35.621094,0.)); #499784=CARTESIAN_POINT('Origin',(41.0214,35.571559,0.)); #499785=CARTESIAN_POINT('',(41.0214,35.571559,0.)); #499786=CARTESIAN_POINT('',(41.0214,35.571559,0.)); #499787=CARTESIAN_POINT('',(41.0214,35.571559,0.035)); #499788=CARTESIAN_POINT('',(41.0214,35.571559,0.035)); #499789=CARTESIAN_POINT('',(41.0214,35.571559,0.)); #499790=CARTESIAN_POINT('Origin',(41.0214,31.428441,0.)); #499791=CARTESIAN_POINT('',(41.0214,31.428441,0.)); #499792=CARTESIAN_POINT('',(41.0214,31.428441,0.)); #499793=CARTESIAN_POINT('',(41.0214,31.428441,0.035)); #499794=CARTESIAN_POINT('',(41.0214,31.428441,0.035)); #499795=CARTESIAN_POINT('',(41.0214,31.428441,0.)); #499796=CARTESIAN_POINT('Origin',(41.012116,31.380778,0.)); #499797=CARTESIAN_POINT('',(41.012116,31.380778,0.)); #499798=CARTESIAN_POINT('',(41.012116,31.380778,0.)); #499799=CARTESIAN_POINT('',(41.012116,31.380778,0.035)); #499800=CARTESIAN_POINT('',(41.012116,31.380778,0.035)); #499801=CARTESIAN_POINT('',(41.012116,31.380778,0.)); #499802=CARTESIAN_POINT('Origin',(40.984203,31.338638,0.)); #499803=CARTESIAN_POINT('',(40.984203,31.338638,0.)); #499804=CARTESIAN_POINT('',(40.984203,31.338638,0.)); #499805=CARTESIAN_POINT('',(40.984203,31.338638,0.035)); #499806=CARTESIAN_POINT('',(40.984203,31.338638,0.035)); #499807=CARTESIAN_POINT('',(40.984203,31.338638,0.)); #499808=CARTESIAN_POINT('Origin',(40.788625,31.143059,0.)); #499809=CARTESIAN_POINT('',(40.788625,31.143059,0.)); #499810=CARTESIAN_POINT('',(40.788625,31.143059,0.)); #499811=CARTESIAN_POINT('',(40.788625,31.143059,0.035)); #499812=CARTESIAN_POINT('',(40.788625,31.143059,0.035)); #499813=CARTESIAN_POINT('',(40.788625,31.143059,0.)); #499814=CARTESIAN_POINT('Origin',(40.786822,31.140241,0.)); #499815=CARTESIAN_POINT('',(40.786822,31.140241,0.)); #499816=CARTESIAN_POINT('',(40.786822,31.140241,0.)); #499817=CARTESIAN_POINT('',(40.786822,31.140241,0.035)); #499818=CARTESIAN_POINT('',(40.786822,31.140241,0.035)); #499819=CARTESIAN_POINT('',(40.786822,31.140241,0.)); #499820=CARTESIAN_POINT('Origin',(40.745134,31.111659,0.)); #499821=CARTESIAN_POINT('',(40.745134,31.111659,0.)); #499822=CARTESIAN_POINT('',(40.745134,31.111659,0.)); #499823=CARTESIAN_POINT('',(40.745134,31.111659,0.035)); #499824=CARTESIAN_POINT('',(40.745134,31.111659,0.035)); #499825=CARTESIAN_POINT('',(40.745134,31.111659,0.)); #499826=CARTESIAN_POINT('Origin',(40.6956,31.1016,0.)); #499827=CARTESIAN_POINT('',(40.6956,31.1016,0.)); #499828=CARTESIAN_POINT('',(40.6956,31.1016,0.)); #499829=CARTESIAN_POINT('',(40.6956,31.1016,0.035)); #499830=CARTESIAN_POINT('',(40.6956,31.1016,0.035)); #499831=CARTESIAN_POINT('',(40.6956,31.1016,0.)); #499832=CARTESIAN_POINT('Origin',(37.219687,31.1016,0.)); #499833=CARTESIAN_POINT('',(37.219687,31.1016,0.)); #499834=CARTESIAN_POINT('',(37.219687,31.1016,0.)); #499835=CARTESIAN_POINT('',(37.219687,31.1016,0.035)); #499836=CARTESIAN_POINT('',(37.219687,31.1016,0.035)); #499837=CARTESIAN_POINT('',(37.219687,31.1016,0.)); #499838=CARTESIAN_POINT('Origin',(37.172025,31.110884,0.)); #499839=CARTESIAN_POINT('',(37.172025,31.110884,0.)); #499840=CARTESIAN_POINT('',(37.172025,31.110884,0.)); #499841=CARTESIAN_POINT('',(37.172025,31.110884,0.035)); #499842=CARTESIAN_POINT('',(37.172025,31.110884,0.035)); #499843=CARTESIAN_POINT('',(37.172025,31.110884,0.)); #499844=CARTESIAN_POINT('Origin',(37.129884,31.138797,0.)); #499845=CARTESIAN_POINT('',(37.129884,31.138797,0.)); #499846=CARTESIAN_POINT('',(37.129884,31.138797,0.)); #499847=CARTESIAN_POINT('',(37.129884,31.138797,0.035)); #499848=CARTESIAN_POINT('',(37.129884,31.138797,0.035)); #499849=CARTESIAN_POINT('',(37.129884,31.138797,0.)); #499850=CARTESIAN_POINT('Origin',(36.951025,31.317656,0.)); #499851=CARTESIAN_POINT('',(36.951025,31.317656,0.)); #499852=CARTESIAN_POINT('',(36.951025,31.317656,0.)); #499853=CARTESIAN_POINT('',(36.951025,31.317656,0.035)); #499854=CARTESIAN_POINT('',(36.951025,31.317656,0.035)); #499855=CARTESIAN_POINT('',(36.951025,31.317656,0.)); #499856=CARTESIAN_POINT('Origin',(36.931909,31.333494,0.)); #499857=CARTESIAN_POINT('',(36.931909,31.333494,0.)); #499858=CARTESIAN_POINT('',(36.931909,31.333494,0.)); #499859=CARTESIAN_POINT('',(36.931909,31.333494,0.035)); #499860=CARTESIAN_POINT('',(36.931909,31.333494,0.035)); #499861=CARTESIAN_POINT('',(36.931909,31.333494,0.)); #499862=CARTESIAN_POINT('Origin',(36.915378,31.342625,0.)); #499863=CARTESIAN_POINT('',(36.915378,31.342625,0.)); #499864=CARTESIAN_POINT('',(36.915378,31.342625,0.)); #499865=CARTESIAN_POINT('',(36.915378,31.342625,0.035)); #499866=CARTESIAN_POINT('',(36.915378,31.342625,0.035)); #499867=CARTESIAN_POINT('',(36.915378,31.342625,0.)); #499868=CARTESIAN_POINT('Origin',(36.898563,31.348153,0.)); #499869=CARTESIAN_POINT('',(36.898563,31.348153,0.)); #499870=CARTESIAN_POINT('',(36.898563,31.348153,0.)); #499871=CARTESIAN_POINT('',(36.898563,31.348153,0.035)); #499872=CARTESIAN_POINT('',(36.898563,31.348153,0.035)); #499873=CARTESIAN_POINT('',(36.898563,31.348153,0.)); #499874=CARTESIAN_POINT('Origin',(36.867897,31.3516,0.)); #499875=CARTESIAN_POINT('',(36.867897,31.3516,0.)); #499876=CARTESIAN_POINT('',(36.867897,31.3516,0.)); #499877=CARTESIAN_POINT('',(36.867897,31.3516,0.035)); #499878=CARTESIAN_POINT('',(36.867897,31.3516,0.035)); #499879=CARTESIAN_POINT('',(36.867897,31.3516,0.)); #499880=CARTESIAN_POINT('Origin',(31.130934,31.3516,0.)); #499881=CARTESIAN_POINT('',(31.130934,31.3516,0.)); #499882=CARTESIAN_POINT('',(31.130934,31.3516,0.)); #499883=CARTESIAN_POINT('',(31.130934,31.3516,0.035)); #499884=CARTESIAN_POINT('',(31.130934,31.3516,0.035)); #499885=CARTESIAN_POINT('',(31.130934,31.3516,0.)); #499886=CARTESIAN_POINT('Origin',(31.106203,31.349278,0.)); #499887=CARTESIAN_POINT('',(31.106203,31.349278,0.)); #499888=CARTESIAN_POINT('',(31.106203,31.349278,0.)); #499889=CARTESIAN_POINT('',(31.106203,31.349278,0.035)); #499890=CARTESIAN_POINT('',(31.106203,31.349278,0.035)); #499891=CARTESIAN_POINT('',(31.106203,31.349278,0.)); #499892=CARTESIAN_POINT('Origin',(31.088059,31.344047,0.)); #499893=CARTESIAN_POINT('',(31.088059,31.344047,0.)); #499894=CARTESIAN_POINT('',(31.088059,31.344047,0.)); #499895=CARTESIAN_POINT('',(31.088059,31.344047,0.035)); #499896=CARTESIAN_POINT('',(31.088059,31.344047,0.035)); #499897=CARTESIAN_POINT('',(31.088059,31.344047,0.)); #499898=CARTESIAN_POINT('Origin',(31.072263,31.336066,0.)); #499899=CARTESIAN_POINT('',(31.072263,31.336066,0.)); #499900=CARTESIAN_POINT('',(31.072263,31.336066,0.)); #499901=CARTESIAN_POINT('',(31.072263,31.336066,0.035)); #499902=CARTESIAN_POINT('',(31.072263,31.336066,0.035)); #499903=CARTESIAN_POINT('',(31.072263,31.336066,0.)); #499904=CARTESIAN_POINT('Origin',(31.048141,31.316822,0.)); #499905=CARTESIAN_POINT('',(31.048141,31.316822,0.)); #499906=CARTESIAN_POINT('',(31.048141,31.316822,0.)); #499907=CARTESIAN_POINT('',(31.048141,31.316822,0.035)); #499908=CARTESIAN_POINT('',(31.048141,31.316822,0.035)); #499909=CARTESIAN_POINT('',(31.048141,31.316822,0.)); #499910=CARTESIAN_POINT('Origin',(30.182344,30.451025,0.)); #499911=CARTESIAN_POINT('',(30.182344,30.451025,0.)); #499912=CARTESIAN_POINT('',(30.182344,30.451025,0.)); #499913=CARTESIAN_POINT('',(30.182344,30.451025,0.035)); #499914=CARTESIAN_POINT('',(30.182344,30.451025,0.035)); #499915=CARTESIAN_POINT('',(30.182344,30.451025,0.)); #499916=CARTESIAN_POINT('Origin',(30.166506,30.431909,0.)); #499917=CARTESIAN_POINT('',(30.166506,30.431909,0.)); #499918=CARTESIAN_POINT('',(30.166506,30.431909,0.)); #499919=CARTESIAN_POINT('',(30.166506,30.431909,0.035)); #499920=CARTESIAN_POINT('',(30.166506,30.431909,0.035)); #499921=CARTESIAN_POINT('',(30.166506,30.431909,0.)); #499922=CARTESIAN_POINT('Origin',(30.157375,30.415378,0.)); #499923=CARTESIAN_POINT('',(30.157375,30.415378,0.)); #499924=CARTESIAN_POINT('',(30.157375,30.415378,0.)); #499925=CARTESIAN_POINT('',(30.157375,30.415378,0.035)); #499926=CARTESIAN_POINT('',(30.157375,30.415378,0.035)); #499927=CARTESIAN_POINT('',(30.157375,30.415378,0.)); #499928=CARTESIAN_POINT('Origin',(30.151847,30.398563,0.)); #499929=CARTESIAN_POINT('',(30.151847,30.398563,0.)); #499930=CARTESIAN_POINT('',(30.151847,30.398563,0.)); #499931=CARTESIAN_POINT('',(30.151847,30.398563,0.035)); #499932=CARTESIAN_POINT('',(30.151847,30.398563,0.035)); #499933=CARTESIAN_POINT('',(30.151847,30.398563,0.)); #499934=CARTESIAN_POINT('Origin',(30.1484,30.367897,0.)); #499935=CARTESIAN_POINT('',(30.1484,30.367897,0.)); #499936=CARTESIAN_POINT('',(30.1484,30.367897,0.)); #499937=CARTESIAN_POINT('',(30.1484,30.367897,0.035)); #499938=CARTESIAN_POINT('',(30.1484,30.367897,0.035)); #499939=CARTESIAN_POINT('',(30.1484,30.367897,0.)); #499940=CARTESIAN_POINT('Origin',(30.1484,25.524294,0.)); #499941=CARTESIAN_POINT('',(30.1484,25.524294,0.)); #499942=CARTESIAN_POINT('',(30.1484,25.524294,0.)); #499943=CARTESIAN_POINT('',(30.1484,25.524294,0.035)); #499944=CARTESIAN_POINT('',(30.1484,25.524294,0.035)); #499945=CARTESIAN_POINT('',(30.1484,25.524294,0.)); #499946=CARTESIAN_POINT('Origin',(30.139116,25.476631,0.)); #499947=CARTESIAN_POINT('',(30.139116,25.476631,0.)); #499948=CARTESIAN_POINT('',(30.139116,25.476631,0.)); #499949=CARTESIAN_POINT('',(30.139116,25.476631,0.035)); #499950=CARTESIAN_POINT('',(30.139116,25.476631,0.035)); #499951=CARTESIAN_POINT('',(30.139116,25.476631,0.)); #499952=CARTESIAN_POINT('Origin',(30.111203,25.434491,0.)); #499953=CARTESIAN_POINT('',(30.111203,25.434491,0.)); #499954=CARTESIAN_POINT('',(30.111203,25.434491,0.)); #499955=CARTESIAN_POINT('',(30.111203,25.434491,0.035)); #499956=CARTESIAN_POINT('',(30.111203,25.434491,0.035)); #499957=CARTESIAN_POINT('',(30.111203,25.434491,0.)); #499958=CARTESIAN_POINT('Origin',(28.692509,24.015797,0.)); #499959=CARTESIAN_POINT('',(28.692509,24.015797,0.)); #499960=CARTESIAN_POINT('',(28.692509,24.015797,0.)); #499961=CARTESIAN_POINT('',(28.692509,24.015797,0.035)); #499962=CARTESIAN_POINT('',(28.692509,24.015797,0.035)); #499963=CARTESIAN_POINT('',(28.692509,24.015797,0.)); #499964=CARTESIAN_POINT('Origin',(28.652241,23.988659,0.)); #499965=CARTESIAN_POINT('',(28.652241,23.988659,0.)); #499966=CARTESIAN_POINT('',(28.652241,23.988659,0.)); #499967=CARTESIAN_POINT('',(28.652241,23.988659,0.035)); #499968=CARTESIAN_POINT('',(28.652241,23.988659,0.035)); #499969=CARTESIAN_POINT('',(28.652241,23.988659,0.)); #499970=CARTESIAN_POINT('Origin',(28.602706,23.9786,0.)); #499971=CARTESIAN_POINT('',(28.602706,23.9786,0.)); #499972=CARTESIAN_POINT('',(28.602706,23.9786,0.)); #499973=CARTESIAN_POINT('',(28.602706,23.9786,0.035)); #499974=CARTESIAN_POINT('',(28.602706,23.9786,0.035)); #499975=CARTESIAN_POINT('',(28.602706,23.9786,0.)); #499976=CARTESIAN_POINT('Origin',(27.764641,23.9786,0.)); #499977=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #499978=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #499979=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #499980=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #499981=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #499982=CARTESIAN_POINT('Origin',(27.720753,23.986425,0.)); #499983=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #499984=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #499985=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #499986=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #499987=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #499988=CARTESIAN_POINT('Origin',(27.677747,24.012981,0.)); #499989=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #499990=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #499991=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #499992=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #499993=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #499994=CARTESIAN_POINT('Origin',(27.648503,24.054206,0.)); #499995=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #499996=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #499997=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #499998=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #499999=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #500000=CARTESIAN_POINT('Origin',(27.637656,24.103575,0.)); #500001=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #500002=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #500003=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #500004=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #500005=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #500006=CARTESIAN_POINT('Origin',(27.646925,24.153263,0.)); #500007=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #500008=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #500009=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #500010=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #500011=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #500012=CARTESIAN_POINT('Origin',(27.674838,24.195403,0.)); #500013=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #500014=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #500015=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #500016=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #500017=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #500018=CARTESIAN_POINT('Origin',(27.6774,24.197966,0.)); #500019=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #500020=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #500021=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #500022=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #500023=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #500024=CARTESIAN_POINT('Origin',(27.6774,24.552034,0.)); #500025=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #500026=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #500027=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #500028=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #500029=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #500030=CARTESIAN_POINT('Origin',(27.427034,24.8024,0.)); #500031=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #500032=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #500033=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #500034=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #500035=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #500036=CARTESIAN_POINT('Origin',(27.198494,24.8024,0.)); #500037=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #500038=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #500039=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #500040=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #500041=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #500042=CARTESIAN_POINT('Origin',(27.150831,24.811684,0.)); #500043=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #500044=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #500045=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #500046=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #500047=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #500048=CARTESIAN_POINT('Origin',(27.108691,24.839597,0.)); #500049=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #500050=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #500051=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #500052=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #500053=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #500054=CARTESIAN_POINT('Origin',(25.464597,26.483691,0.)); #500055=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #500056=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #500057=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #500058=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #500059=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #500060=CARTESIAN_POINT('Origin',(25.437459,26.523959,0.)); #500061=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #500062=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #500063=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #500064=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #500065=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #500066=CARTESIAN_POINT('Origin',(25.4274,26.573494,0.)); #500067=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #500068=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #500069=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #500070=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #500071=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #500072=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #500073=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #500074=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #500075=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #500076=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #500077=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #500078=CARTESIAN_POINT('Origin',(25.177034,27.0524,0.)); #500079=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #500080=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #500081=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #500082=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #500083=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #500084=CARTESIAN_POINT('Origin',(24.822966,27.0524,0.)); #500085=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #500086=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #500087=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #500088=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #500089=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #500090=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #500091=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #500092=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #500093=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #500094=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #500095=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #500096=CARTESIAN_POINT('Origin',(24.5726,26.752,0.)); #500097=CARTESIAN_POINT('',(24.5726,26.752,0.)); #500098=CARTESIAN_POINT('',(24.5726,26.752,0.)); #500099=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #500100=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #500101=CARTESIAN_POINT('',(24.5726,26.752,0.)); #500102=CARTESIAN_POINT('Origin',(24.564063,26.706219,0.)); #500103=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #500104=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #500105=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #500106=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #500107=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #500108=CARTESIAN_POINT('Origin',(24.536822,26.663641,0.)); #500109=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #500110=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #500111=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #500112=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #500113=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #500114=CARTESIAN_POINT('Origin',(24.495134,26.635059,0.)); #500115=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #500116=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #500117=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #500118=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #500119=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #500120=CARTESIAN_POINT('Origin',(24.4456,26.625,0.)); #500121=CARTESIAN_POINT('',(24.4456,26.625,0.)); #500122=CARTESIAN_POINT('',(24.4456,26.625,0.)); #500123=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #500124=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #500125=CARTESIAN_POINT('',(24.4456,26.625,0.)); #500126=CARTESIAN_POINT('Origin',(18.008994,26.625,0.)); #500127=CARTESIAN_POINT('',(18.008994,26.625,0.)); #500128=CARTESIAN_POINT('',(18.008994,26.625,0.)); #500129=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #500130=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #500131=CARTESIAN_POINT('',(18.008994,26.625,0.)); #500132=CARTESIAN_POINT('Origin',(17.999975,26.623716,0.)); #500133=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #500134=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #500135=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #500136=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #500137=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #500138=CARTESIAN_POINT('Origin',(17.994347,26.619347,0.)); #500139=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #500140=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #500141=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #500142=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #500143=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #500144=CARTESIAN_POINT('Origin',(16.756366,25.381366,0.)); #500145=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #500146=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #500147=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #500148=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #500149=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #500150=CARTESIAN_POINT('Origin',(16.750891,25.374072,0.)); #500151=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #500152=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #500153=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #500154=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #500155=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #500156=CARTESIAN_POINT('Origin',(16.75,25.367016,0.)); #500157=CARTESIAN_POINT('',(16.75,25.367016,0.)); #500158=CARTESIAN_POINT('',(16.75,25.367016,0.)); #500159=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #500160=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #500161=CARTESIAN_POINT('',(16.75,25.367016,0.)); #500162=CARTESIAN_POINT('Origin',(16.75,19.552606,0.)); #500163=CARTESIAN_POINT('',(16.75,19.552606,0.)); #500164=CARTESIAN_POINT('',(16.75,19.552606,0.)); #500165=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #500166=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #500167=CARTESIAN_POINT('',(16.75,19.552606,0.)); #500168=CARTESIAN_POINT('Origin',(16.740716,19.504944,0.)); #500169=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #500170=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #500171=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #500172=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #500173=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #500174=CARTESIAN_POINT('Origin',(16.712803,19.462803,0.)); #500175=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #500176=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #500177=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #500178=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #500179=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #500180=CARTESIAN_POINT('Origin',(16.537197,19.287197,0.)); #500181=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #500182=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #500183=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #500184=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #500185=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #500186=CARTESIAN_POINT('Origin',(16.496928,19.260059,0.)); #500187=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #500188=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #500189=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #500190=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #500191=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #500192=CARTESIAN_POINT('Origin',(16.447394,19.25,0.)); #500193=CARTESIAN_POINT('',(16.447394,19.25,0.)); #500194=CARTESIAN_POINT('',(16.447394,19.25,0.)); #500195=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #500196=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #500197=CARTESIAN_POINT('',(16.447394,19.25,0.)); #500198=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #500199=CARTESIAN_POINT('',(14.302606,19.25,0.)); #500200=CARTESIAN_POINT('',(14.302606,19.25,0.)); #500201=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #500202=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #500203=CARTESIAN_POINT('',(14.302606,19.25,0.)); #500204=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #500205=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #500206=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #500207=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #500208=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #500209=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #500210=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #500211=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #500212=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #500213=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #500214=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #500215=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #500216=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #500217=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #500218=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #500219=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #500220=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #500221=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #500222=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #500223=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #500224=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #500225=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #500226=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #500227=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #500228=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #500229=CARTESIAN_POINT('',(14.,19.552606,0.)); #500230=CARTESIAN_POINT('',(14.,19.552606,0.)); #500231=CARTESIAN_POINT('',(14.,19.552606,0.035)); #500232=CARTESIAN_POINT('',(14.,19.552606,0.035)); #500233=CARTESIAN_POINT('',(14.,19.552606,0.)); #500234=CARTESIAN_POINT('Origin',(14.,34.697394,0.)); #500235=CARTESIAN_POINT('',(14.,34.697394,0.)); #500236=CARTESIAN_POINT('',(14.,34.697394,0.)); #500237=CARTESIAN_POINT('',(14.,34.697394,0.035)); #500238=CARTESIAN_POINT('',(14.,34.697394,0.035)); #500239=CARTESIAN_POINT('',(14.,34.697394,0.)); #500240=CARTESIAN_POINT('Origin',(14.009284,34.745056,0.)); #500241=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #500242=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #500243=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #500244=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #500245=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #500246=CARTESIAN_POINT('Origin',(14.037197,34.787197,0.)); #500247=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #500248=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #500249=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #500250=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #500251=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #500252=CARTESIAN_POINT('Origin',(14.962803,35.712803,0.)); #500253=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #500254=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #500255=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #500256=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #500257=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #500258=CARTESIAN_POINT('Origin',(15.003072,35.739941,0.)); #500259=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #500260=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #500261=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #500262=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #500263=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #500264=CARTESIAN_POINT('Origin',(15.052606,35.75,0.)); #500265=CARTESIAN_POINT('',(15.052606,35.75,0.)); #500266=CARTESIAN_POINT('',(15.052606,35.75,0.)); #500267=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #500268=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #500269=CARTESIAN_POINT('',(15.052606,35.75,0.)); #500270=CARTESIAN_POINT('Origin',(21.947394,35.75,0.)); #500271=CARTESIAN_POINT('',(21.947394,35.75,0.)); #500272=CARTESIAN_POINT('',(21.947394,35.75,0.)); #500273=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #500274=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #500275=CARTESIAN_POINT('',(21.947394,35.75,0.)); #500276=CARTESIAN_POINT('Origin',(21.995056,35.740716,0.)); #500277=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #500278=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #500279=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #500280=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #500281=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #500282=CARTESIAN_POINT('Origin',(22.037197,35.712803,0.)); #500283=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #500284=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #500285=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #500286=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #500287=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #500288=CARTESIAN_POINT('Origin',(22.962803,34.787197,0.)); #500289=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #500290=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #500291=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #500292=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #500293=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #500294=CARTESIAN_POINT('Origin',(22.989941,34.746928,0.)); #500295=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #500296=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #500297=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #500298=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #500299=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #500300=CARTESIAN_POINT('Origin',(23.,34.697394,0.)); #500301=CARTESIAN_POINT('',(23.,34.697394,0.)); #500302=CARTESIAN_POINT('',(23.,34.697394,0.)); #500303=CARTESIAN_POINT('',(23.,34.697394,0.035)); #500304=CARTESIAN_POINT('',(23.,34.697394,0.035)); #500305=CARTESIAN_POINT('',(23.,34.697394,0.)); #500306=CARTESIAN_POINT('Origin',(23.,31.758994,0.)); #500307=CARTESIAN_POINT('',(23.,31.758994,0.)); #500308=CARTESIAN_POINT('',(23.,31.758994,0.)); #500309=CARTESIAN_POINT('',(23.,31.758994,0.035)); #500310=CARTESIAN_POINT('',(23.,31.758994,0.035)); #500311=CARTESIAN_POINT('',(23.,31.758994,0.)); #500312=CARTESIAN_POINT('Origin',(23.001284,31.749975,0.)); #500313=CARTESIAN_POINT('',(23.001284,31.749975,0.)); #500314=CARTESIAN_POINT('',(23.001284,31.749975,0.)); #500315=CARTESIAN_POINT('',(23.001284,31.749975,0.035)); #500316=CARTESIAN_POINT('',(23.001284,31.749975,0.035)); #500317=CARTESIAN_POINT('',(23.001284,31.749975,0.)); #500318=CARTESIAN_POINT('Origin',(23.005653,31.744347,0.)); #500319=CARTESIAN_POINT('',(23.005653,31.744347,0.)); #500320=CARTESIAN_POINT('',(23.005653,31.744347,0.)); #500321=CARTESIAN_POINT('',(23.005653,31.744347,0.035)); #500322=CARTESIAN_POINT('',(23.005653,31.744347,0.035)); #500323=CARTESIAN_POINT('',(23.005653,31.744347,0.)); #500324=CARTESIAN_POINT('Origin',(23.743634,31.006366,0.)); #500325=CARTESIAN_POINT('',(23.743634,31.006366,0.)); #500326=CARTESIAN_POINT('',(23.743634,31.006366,0.)); #500327=CARTESIAN_POINT('',(23.743634,31.006366,0.035)); #500328=CARTESIAN_POINT('',(23.743634,31.006366,0.035)); #500329=CARTESIAN_POINT('',(23.743634,31.006366,0.)); #500330=CARTESIAN_POINT('Origin',(23.750928,31.000891,0.)); #500331=CARTESIAN_POINT('',(23.750928,31.000891,0.)); #500332=CARTESIAN_POINT('',(23.750928,31.000891,0.)); #500333=CARTESIAN_POINT('',(23.750928,31.000891,0.035)); #500334=CARTESIAN_POINT('',(23.750928,31.000891,0.035)); #500335=CARTESIAN_POINT('',(23.750928,31.000891,0.)); #500336=CARTESIAN_POINT('Origin',(23.757984,31.,0.)); #500337=CARTESIAN_POINT('',(23.757984,31.,0.)); #500338=CARTESIAN_POINT('',(23.757984,31.,0.)); #500339=CARTESIAN_POINT('',(23.757984,31.,0.035)); #500340=CARTESIAN_POINT('',(23.757984,31.,0.035)); #500341=CARTESIAN_POINT('',(23.757984,31.,0.)); #500342=CARTESIAN_POINT('Origin',(25.4456,31.,0.)); #500343=CARTESIAN_POINT('',(25.4456,31.,0.)); #500344=CARTESIAN_POINT('',(25.4456,31.,0.)); #500345=CARTESIAN_POINT('',(25.4456,31.,0.035)); #500346=CARTESIAN_POINT('',(25.4456,31.,0.035)); #500347=CARTESIAN_POINT('',(25.4456,31.,0.)); #500348=CARTESIAN_POINT('Origin',(25.491381,30.991463,0.)); #500349=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #500350=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #500351=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #500352=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #500353=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #500354=CARTESIAN_POINT('Origin',(25.533959,30.964222,0.)); #500355=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #500356=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #500357=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #500358=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #500359=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #500360=CARTESIAN_POINT('Origin',(25.562541,30.922534,0.)); #500361=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #500362=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #500363=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #500364=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #500365=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #500366=CARTESIAN_POINT('Origin',(25.5726,30.873,0.)); #500367=CARTESIAN_POINT('',(25.5726,30.873,0.)); #500368=CARTESIAN_POINT('',(25.5726,30.873,0.)); #500369=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #500370=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #500371=CARTESIAN_POINT('',(25.5726,30.873,0.)); #500372=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #500373=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #500374=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #500375=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #500376=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #500377=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #500378=CARTESIAN_POINT('Origin',(25.822966,30.5726,0.)); #500379=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #500380=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #500381=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #500382=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #500383=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #500384=CARTESIAN_POINT('Origin',(26.177034,30.5726,0.)); #500385=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #500386=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #500387=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #500388=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #500389=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #500390=CARTESIAN_POINT('Origin',(26.285197,30.680763,0.)); #500391=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #500392=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #500393=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #500394=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #500395=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #500396=CARTESIAN_POINT('Origin',(26.323606,30.707097,0.)); #500397=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #500398=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #500399=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #500400=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #500401=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #500402=CARTESIAN_POINT('Origin',(26.372975,30.717944,0.)); #500403=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #500404=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #500405=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #500406=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #500407=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #500408=CARTESIAN_POINT('Origin',(26.422663,30.708675,0.)); #500409=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #500410=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #500411=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #500412=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #500413=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #500414=CARTESIAN_POINT('Origin',(26.464803,30.680763,0.)); #500415=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #500416=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #500417=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #500418=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #500419=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #500420=CARTESIAN_POINT('Origin',(26.572966,30.5726,0.)); #500421=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #500422=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #500423=CARTESIAN_POINT('Origin',(28.400747053804,31.4341934009653,0.035)); #500424=CARTESIAN_POINT('Origin',(28.400747053804,31.4341934009653,0.)); #500425=CARTESIAN_POINT('Origin',(41.627025,31.282056,0.)); #500426=CARTESIAN_POINT('',(41.627025,31.282056,0.)); #500427=CARTESIAN_POINT('',(41.676394,31.292903,0.)); #500428=CARTESIAN_POINT('',(41.627025,31.282056,0.)); #500429=CARTESIAN_POINT('',(41.676394,31.292903,0.035)); #500430=CARTESIAN_POINT('',(41.676394,31.292903,0.)); #500431=CARTESIAN_POINT('',(41.627025,31.282056,0.035)); #500432=CARTESIAN_POINT('',(41.627025,31.282056,0.035)); #500433=CARTESIAN_POINT('',(41.627025,31.282056,0.)); #500434=CARTESIAN_POINT('Origin',(41.577337,31.291325,0.)); #500435=CARTESIAN_POINT('',(41.577337,31.291325,0.)); #500436=CARTESIAN_POINT('',(41.577337,31.291325,0.)); #500437=CARTESIAN_POINT('',(41.577337,31.291325,0.035)); #500438=CARTESIAN_POINT('',(41.577337,31.291325,0.035)); #500439=CARTESIAN_POINT('',(41.577337,31.291325,0.)); #500440=CARTESIAN_POINT('Origin',(41.535197,31.319237,0.)); #500441=CARTESIAN_POINT('',(41.535197,31.319237,0.)); #500442=CARTESIAN_POINT('',(41.535197,31.319237,0.)); #500443=CARTESIAN_POINT('',(41.535197,31.319237,0.035)); #500444=CARTESIAN_POINT('',(41.535197,31.319237,0.035)); #500445=CARTESIAN_POINT('',(41.535197,31.319237,0.)); #500446=CARTESIAN_POINT('Origin',(41.515797,31.338638,0.)); #500447=CARTESIAN_POINT('',(41.515797,31.338638,0.)); #500448=CARTESIAN_POINT('',(41.515797,31.338638,0.)); #500449=CARTESIAN_POINT('',(41.515797,31.338638,0.035)); #500450=CARTESIAN_POINT('',(41.515797,31.338638,0.035)); #500451=CARTESIAN_POINT('',(41.515797,31.338638,0.)); #500452=CARTESIAN_POINT('Origin',(41.488659,31.378906,0.)); #500453=CARTESIAN_POINT('',(41.488659,31.378906,0.)); #500454=CARTESIAN_POINT('',(41.488659,31.378906,0.)); #500455=CARTESIAN_POINT('',(41.488659,31.378906,0.035)); #500456=CARTESIAN_POINT('',(41.488659,31.378906,0.035)); #500457=CARTESIAN_POINT('',(41.488659,31.378906,0.)); #500458=CARTESIAN_POINT('Origin',(41.4786,31.428441,0.)); #500459=CARTESIAN_POINT('',(41.4786,31.428441,0.)); #500460=CARTESIAN_POINT('',(41.4786,31.428441,0.)); #500461=CARTESIAN_POINT('',(41.4786,31.428441,0.035)); #500462=CARTESIAN_POINT('',(41.4786,31.428441,0.035)); #500463=CARTESIAN_POINT('',(41.4786,31.428441,0.)); #500464=CARTESIAN_POINT('Origin',(41.4786,35.571559,0.)); #500465=CARTESIAN_POINT('',(41.4786,35.571559,0.)); #500466=CARTESIAN_POINT('',(41.4786,35.571559,0.)); #500467=CARTESIAN_POINT('',(41.4786,35.571559,0.035)); #500468=CARTESIAN_POINT('',(41.4786,35.571559,0.035)); #500469=CARTESIAN_POINT('',(41.4786,35.571559,0.)); #500470=CARTESIAN_POINT('Origin',(41.487884,35.619222,0.)); #500471=CARTESIAN_POINT('',(41.487884,35.619222,0.)); #500472=CARTESIAN_POINT('',(41.487884,35.619222,0.)); #500473=CARTESIAN_POINT('',(41.487884,35.619222,0.035)); #500474=CARTESIAN_POINT('',(41.487884,35.619222,0.035)); #500475=CARTESIAN_POINT('',(41.487884,35.619222,0.)); #500476=CARTESIAN_POINT('Origin',(41.515797,35.661363,0.)); #500477=CARTESIAN_POINT('',(41.515797,35.661363,0.)); #500478=CARTESIAN_POINT('',(41.515797,35.661363,0.)); #500479=CARTESIAN_POINT('',(41.515797,35.661363,0.035)); #500480=CARTESIAN_POINT('',(41.515797,35.661363,0.035)); #500481=CARTESIAN_POINT('',(41.515797,35.661363,0.)); #500482=CARTESIAN_POINT('Origin',(41.535197,35.680763,0.)); #500483=CARTESIAN_POINT('',(41.535197,35.680763,0.)); #500484=CARTESIAN_POINT('',(41.535197,35.680763,0.)); #500485=CARTESIAN_POINT('',(41.535197,35.680763,0.035)); #500486=CARTESIAN_POINT('',(41.535197,35.680763,0.035)); #500487=CARTESIAN_POINT('',(41.535197,35.680763,0.)); #500488=CARTESIAN_POINT('Origin',(41.573606,35.707097,0.)); #500489=CARTESIAN_POINT('',(41.573606,35.707097,0.)); #500490=CARTESIAN_POINT('',(41.573606,35.707097,0.)); #500491=CARTESIAN_POINT('',(41.573606,35.707097,0.035)); #500492=CARTESIAN_POINT('',(41.573606,35.707097,0.035)); #500493=CARTESIAN_POINT('',(41.573606,35.707097,0.)); #500494=CARTESIAN_POINT('Origin',(41.622975,35.717944,0.)); #500495=CARTESIAN_POINT('',(41.622975,35.717944,0.)); #500496=CARTESIAN_POINT('',(41.622975,35.717944,0.)); #500497=CARTESIAN_POINT('',(41.622975,35.717944,0.035)); #500498=CARTESIAN_POINT('',(41.622975,35.717944,0.035)); #500499=CARTESIAN_POINT('',(41.622975,35.717944,0.)); #500500=CARTESIAN_POINT('Origin',(41.672663,35.708675,0.)); #500501=CARTESIAN_POINT('',(41.672663,35.708675,0.)); #500502=CARTESIAN_POINT('',(41.672663,35.708675,0.)); #500503=CARTESIAN_POINT('',(41.672663,35.708675,0.035)); #500504=CARTESIAN_POINT('',(41.672663,35.708675,0.035)); #500505=CARTESIAN_POINT('',(41.672663,35.708675,0.)); #500506=CARTESIAN_POINT('Origin',(41.714803,35.680763,0.)); #500507=CARTESIAN_POINT('',(41.714803,35.680763,0.)); #500508=CARTESIAN_POINT('',(41.714803,35.680763,0.)); #500509=CARTESIAN_POINT('',(41.714803,35.680763,0.035)); #500510=CARTESIAN_POINT('',(41.714803,35.680763,0.035)); #500511=CARTESIAN_POINT('',(41.714803,35.680763,0.)); #500512=CARTESIAN_POINT('Origin',(41.734203,35.661363,0.)); #500513=CARTESIAN_POINT('',(41.734203,35.661363,0.)); #500514=CARTESIAN_POINT('',(41.734203,35.661363,0.)); #500515=CARTESIAN_POINT('',(41.734203,35.661363,0.035)); #500516=CARTESIAN_POINT('',(41.734203,35.661363,0.035)); #500517=CARTESIAN_POINT('',(41.734203,35.661363,0.)); #500518=CARTESIAN_POINT('Origin',(41.761341,35.621094,0.)); #500519=CARTESIAN_POINT('',(41.761341,35.621094,0.)); #500520=CARTESIAN_POINT('',(41.761341,35.621094,0.)); #500521=CARTESIAN_POINT('',(41.761341,35.621094,0.035)); #500522=CARTESIAN_POINT('',(41.761341,35.621094,0.035)); #500523=CARTESIAN_POINT('',(41.761341,35.621094,0.)); #500524=CARTESIAN_POINT('Origin',(41.7714,35.571559,0.)); #500525=CARTESIAN_POINT('',(41.7714,35.571559,0.)); #500526=CARTESIAN_POINT('',(41.7714,35.571559,0.)); #500527=CARTESIAN_POINT('',(41.7714,35.571559,0.035)); #500528=CARTESIAN_POINT('',(41.7714,35.571559,0.035)); #500529=CARTESIAN_POINT('',(41.7714,35.571559,0.)); #500530=CARTESIAN_POINT('Origin',(41.7714,31.428441,0.)); #500531=CARTESIAN_POINT('',(41.7714,31.428441,0.)); #500532=CARTESIAN_POINT('',(41.7714,31.428441,0.)); #500533=CARTESIAN_POINT('',(41.7714,31.428441,0.035)); #500534=CARTESIAN_POINT('',(41.7714,31.428441,0.035)); #500535=CARTESIAN_POINT('',(41.7714,31.428441,0.)); #500536=CARTESIAN_POINT('Origin',(41.762116,31.380778,0.)); #500537=CARTESIAN_POINT('',(41.762116,31.380778,0.)); #500538=CARTESIAN_POINT('',(41.762116,31.380778,0.)); #500539=CARTESIAN_POINT('',(41.762116,31.380778,0.035)); #500540=CARTESIAN_POINT('',(41.762116,31.380778,0.035)); #500541=CARTESIAN_POINT('',(41.762116,31.380778,0.)); #500542=CARTESIAN_POINT('Origin',(41.734203,31.338638,0.)); #500543=CARTESIAN_POINT('',(41.734203,31.338638,0.)); #500544=CARTESIAN_POINT('',(41.734203,31.338638,0.)); #500545=CARTESIAN_POINT('',(41.734203,31.338638,0.035)); #500546=CARTESIAN_POINT('',(41.734203,31.338638,0.035)); #500547=CARTESIAN_POINT('',(41.734203,31.338638,0.)); #500548=CARTESIAN_POINT('Origin',(41.714803,31.319237,0.)); #500549=CARTESIAN_POINT('',(41.714803,31.319237,0.)); #500550=CARTESIAN_POINT('',(41.714803,31.319237,0.)); #500551=CARTESIAN_POINT('',(41.714803,31.319237,0.035)); #500552=CARTESIAN_POINT('',(41.714803,31.319237,0.035)); #500553=CARTESIAN_POINT('',(41.714803,31.319237,0.)); #500554=CARTESIAN_POINT('Origin',(41.676394,31.292903,0.)); #500555=CARTESIAN_POINT('',(41.676394,31.292903,0.)); #500556=CARTESIAN_POINT('',(41.676394,31.292903,0.035)); #500557=CARTESIAN_POINT('Origin',(41.6249999999056,33.5000000616613,0.035)); #500558=CARTESIAN_POINT('Origin',(41.6249999999056,33.5000000616613,0.)); #500559=CARTESIAN_POINT('Origin',(33.696416,55.784663,0.)); #500560=CARTESIAN_POINT('',(33.696416,55.784663,0.)); #500561=CARTESIAN_POINT('',(33.726034,55.791172,0.)); #500562=CARTESIAN_POINT('',(33.696416,55.784663,0.)); #500563=CARTESIAN_POINT('',(33.726034,55.791172,0.035)); #500564=CARTESIAN_POINT('',(33.726034,55.791172,0.)); #500565=CARTESIAN_POINT('',(33.696416,55.784663,0.035)); #500566=CARTESIAN_POINT('',(33.696416,55.784663,0.035)); #500567=CARTESIAN_POINT('',(33.696416,55.784663,0.)); #500568=CARTESIAN_POINT('Origin',(33.666603,55.790222,0.)); #500569=CARTESIAN_POINT('',(33.666603,55.790222,0.)); #500570=CARTESIAN_POINT('',(33.666603,55.790222,0.)); #500571=CARTESIAN_POINT('',(33.666603,55.790222,0.035)); #500572=CARTESIAN_POINT('',(33.666603,55.790222,0.035)); #500573=CARTESIAN_POINT('',(33.666603,55.790222,0.)); #500574=CARTESIAN_POINT('Origin',(33.641319,55.806972,0.)); #500575=CARTESIAN_POINT('',(33.641319,55.806972,0.)); #500576=CARTESIAN_POINT('',(33.641319,55.806972,0.)); #500577=CARTESIAN_POINT('',(33.641319,55.806972,0.035)); #500578=CARTESIAN_POINT('',(33.641319,55.806972,0.035)); #500579=CARTESIAN_POINT('',(33.641319,55.806972,0.)); #500580=CARTESIAN_POINT('Origin',(33.500919,55.947372,0.)); #500581=CARTESIAN_POINT('',(33.500919,55.947372,0.)); #500582=CARTESIAN_POINT('',(33.500919,55.947372,0.)); #500583=CARTESIAN_POINT('',(33.500919,55.947372,0.035)); #500584=CARTESIAN_POINT('',(33.500919,55.947372,0.035)); #500585=CARTESIAN_POINT('',(33.500919,55.947372,0.)); #500586=CARTESIAN_POINT('Origin',(33.484634,55.971531,0.)); #500587=CARTESIAN_POINT('',(33.484634,55.971531,0.)); #500588=CARTESIAN_POINT('',(33.484634,55.971531,0.)); #500589=CARTESIAN_POINT('',(33.484634,55.971531,0.035)); #500590=CARTESIAN_POINT('',(33.484634,55.971531,0.035)); #500591=CARTESIAN_POINT('',(33.484634,55.971531,0.)); #500592=CARTESIAN_POINT('Origin',(33.4786,56.001253,0.)); #500593=CARTESIAN_POINT('',(33.4786,56.001253,0.)); #500594=CARTESIAN_POINT('',(33.4786,56.001253,0.)); #500595=CARTESIAN_POINT('',(33.4786,56.001253,0.035)); #500596=CARTESIAN_POINT('',(33.4786,56.001253,0.035)); #500597=CARTESIAN_POINT('',(33.4786,56.001253,0.)); #500598=CARTESIAN_POINT('Origin',(33.4786,56.092603,0.)); #500599=CARTESIAN_POINT('',(33.4786,56.092603,0.)); #500600=CARTESIAN_POINT('',(33.4786,56.092603,0.)); #500601=CARTESIAN_POINT('',(33.4786,56.092603,0.035)); #500602=CARTESIAN_POINT('',(33.4786,56.092603,0.035)); #500603=CARTESIAN_POINT('',(33.4786,56.092603,0.)); #500604=CARTESIAN_POINT('Origin',(33.484169,56.1212,0.)); #500605=CARTESIAN_POINT('',(33.484169,56.1212,0.)); #500606=CARTESIAN_POINT('',(33.484169,56.1212,0.)); #500607=CARTESIAN_POINT('',(33.484169,56.1212,0.035)); #500608=CARTESIAN_POINT('',(33.484169,56.1212,0.035)); #500609=CARTESIAN_POINT('',(33.484169,56.1212,0.)); #500610=CARTESIAN_POINT('Origin',(33.500919,56.146484,0.)); #500611=CARTESIAN_POINT('',(33.500919,56.146484,0.)); #500612=CARTESIAN_POINT('',(33.500919,56.146484,0.)); #500613=CARTESIAN_POINT('',(33.500919,56.146484,0.035)); #500614=CARTESIAN_POINT('',(33.500919,56.146484,0.035)); #500615=CARTESIAN_POINT('',(33.500919,56.146484,0.)); #500616=CARTESIAN_POINT('Origin',(33.571119,56.216684,0.)); #500617=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #500618=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #500619=CARTESIAN_POINT('',(33.571119,56.216684,0.035)); #500620=CARTESIAN_POINT('',(33.571119,56.216684,0.035)); #500621=CARTESIAN_POINT('',(33.571119,56.216684,0.)); #500622=CARTESIAN_POINT('Origin',(33.594166,56.232484,0.)); #500623=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #500624=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #500625=CARTESIAN_POINT('',(33.594166,56.232484,0.035)); #500626=CARTESIAN_POINT('',(33.594166,56.232484,0.035)); #500627=CARTESIAN_POINT('',(33.594166,56.232484,0.)); #500628=CARTESIAN_POINT('Origin',(33.623784,56.238994,0.)); #500629=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #500630=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #500631=CARTESIAN_POINT('',(33.623784,56.238994,0.035)); #500632=CARTESIAN_POINT('',(33.623784,56.238994,0.035)); #500633=CARTESIAN_POINT('',(33.623784,56.238994,0.)); #500634=CARTESIAN_POINT('Origin',(33.653597,56.233434,0.)); #500635=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #500636=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #500637=CARTESIAN_POINT('',(33.653597,56.233434,0.035)); #500638=CARTESIAN_POINT('',(33.653597,56.233434,0.035)); #500639=CARTESIAN_POINT('',(33.653597,56.233434,0.)); #500640=CARTESIAN_POINT('Origin',(33.678881,56.216684,0.)); #500641=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #500642=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #500643=CARTESIAN_POINT('',(33.678881,56.216684,0.035)); #500644=CARTESIAN_POINT('',(33.678881,56.216684,0.035)); #500645=CARTESIAN_POINT('',(33.678881,56.216684,0.)); #500646=CARTESIAN_POINT('Origin',(33.749081,56.146484,0.)); #500647=CARTESIAN_POINT('',(33.749081,56.146484,0.)); #500648=CARTESIAN_POINT('',(33.749081,56.146484,0.)); #500649=CARTESIAN_POINT('',(33.749081,56.146484,0.035)); #500650=CARTESIAN_POINT('',(33.749081,56.146484,0.035)); #500651=CARTESIAN_POINT('',(33.749081,56.146484,0.)); #500652=CARTESIAN_POINT('Origin',(33.765366,56.122325,0.)); #500653=CARTESIAN_POINT('',(33.765366,56.122325,0.)); #500654=CARTESIAN_POINT('',(33.765366,56.122325,0.)); #500655=CARTESIAN_POINT('',(33.765366,56.122325,0.035)); #500656=CARTESIAN_POINT('',(33.765366,56.122325,0.035)); #500657=CARTESIAN_POINT('',(33.765366,56.122325,0.)); #500658=CARTESIAN_POINT('Origin',(33.7714,56.092603,0.)); #500659=CARTESIAN_POINT('',(33.7714,56.092603,0.)); #500660=CARTESIAN_POINT('',(33.7714,56.092603,0.)); #500661=CARTESIAN_POINT('',(33.7714,56.092603,0.035)); #500662=CARTESIAN_POINT('',(33.7714,56.092603,0.035)); #500663=CARTESIAN_POINT('',(33.7714,56.092603,0.)); #500664=CARTESIAN_POINT('Origin',(33.7714,55.860853,0.)); #500665=CARTESIAN_POINT('',(33.7714,55.860853,0.)); #500666=CARTESIAN_POINT('',(33.7714,55.860853,0.)); #500667=CARTESIAN_POINT('',(33.7714,55.860853,0.035)); #500668=CARTESIAN_POINT('',(33.7714,55.860853,0.035)); #500669=CARTESIAN_POINT('',(33.7714,55.860853,0.)); #500670=CARTESIAN_POINT('Origin',(33.766706,55.834522,0.)); #500671=CARTESIAN_POINT('',(33.766706,55.834522,0.)); #500672=CARTESIAN_POINT('',(33.766706,55.834522,0.)); #500673=CARTESIAN_POINT('',(33.766706,55.834522,0.035)); #500674=CARTESIAN_POINT('',(33.766706,55.834522,0.035)); #500675=CARTESIAN_POINT('',(33.766706,55.834522,0.)); #500676=CARTESIAN_POINT('Origin',(33.750772,55.808716,0.)); #500677=CARTESIAN_POINT('',(33.750772,55.808716,0.)); #500678=CARTESIAN_POINT('',(33.750772,55.808716,0.)); #500679=CARTESIAN_POINT('',(33.750772,55.808716,0.035)); #500680=CARTESIAN_POINT('',(33.750772,55.808716,0.035)); #500681=CARTESIAN_POINT('',(33.750772,55.808716,0.)); #500682=CARTESIAN_POINT('Origin',(33.726034,55.791172,0.)); #500683=CARTESIAN_POINT('',(33.726034,55.791172,0.)); #500684=CARTESIAN_POINT('',(33.726034,55.791172,0.035)); #500685=CARTESIAN_POINT('Origin',(33.6402238360341,56.0135390844825,0.035)); #500686=CARTESIAN_POINT('Origin',(33.6402238360341,56.0135390844825,0.)); #500687=CARTESIAN_POINT('Origin',(36.076647,23.3536,0.)); #500688=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #500689=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #500690=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #500691=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #500692=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #500693=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #500694=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #500695=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #500696=CARTESIAN_POINT('Origin',(34.407397,23.3536,0.)); #500697=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #500698=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #500699=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #500700=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #500701=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #500702=CARTESIAN_POINT('Origin',(34.3788,23.359169,0.)); #500703=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #500704=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #500705=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #500706=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #500707=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #500708=CARTESIAN_POINT('Origin',(34.353516,23.375919,0.)); #500709=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #500710=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #500711=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #500712=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #500713=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #500714=CARTESIAN_POINT('Origin',(34.338116,23.391319,0.)); #500715=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #500716=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #500717=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #500718=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #500719=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #500720=CARTESIAN_POINT('Origin',(34.322816,23.413256,0.)); #500721=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #500722=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #500723=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #500724=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #500725=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #500726=CARTESIAN_POINT('Origin',(34.315834,23.442772,0.)); #500727=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #500728=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #500729=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #500730=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #500731=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #500732=CARTESIAN_POINT('Origin',(34.320919,23.472669,0.)); #500733=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #500734=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #500735=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #500736=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #500737=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #500738=CARTESIAN_POINT('Origin',(34.337263,23.498216,0.)); #500739=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #500740=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #500741=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #500742=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #500743=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #500744=CARTESIAN_POINT('Origin',(34.362275,23.515366,0.)); #500745=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #500746=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #500747=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #500748=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #500749=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #500750=CARTESIAN_POINT('Origin',(34.391997,23.5214,0.)); #500751=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #500752=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #500753=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #500754=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #500755=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #500756=CARTESIAN_POINT('Origin',(36.061247,23.5214,0.)); #500757=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #500758=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #500759=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #500760=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #500761=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #500762=CARTESIAN_POINT('Origin',(36.089844,23.515831,0.)); #500763=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #500764=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #500765=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #500766=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #500767=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #500768=CARTESIAN_POINT('Origin',(36.115128,23.499081,0.)); #500769=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #500770=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #500771=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #500772=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #500773=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #500774=CARTESIAN_POINT('Origin',(36.130528,23.483681,0.)); #500775=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #500776=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #500777=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #500778=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #500779=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #500780=CARTESIAN_POINT('Origin',(36.145828,23.461744,0.)); #500781=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #500782=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #500783=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #500784=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #500785=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #500786=CARTESIAN_POINT('Origin',(36.152809,23.432228,0.)); #500787=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #500788=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #500789=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #500790=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #500791=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #500792=CARTESIAN_POINT('Origin',(36.147725,23.402331,0.)); #500793=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #500794=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #500795=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #500796=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #500797=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #500798=CARTESIAN_POINT('Origin',(36.131381,23.376784,0.)); #500799=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #500800=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #500801=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #500802=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #500803=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #500804=CARTESIAN_POINT('Origin',(36.106369,23.359634,0.)); #500805=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #500806=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #500807=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.035)); #500808=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.)); #500809=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #500810=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #500811=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #500812=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0350000000000072)); #500813=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #500814=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #500815=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #500816=CARTESIAN_POINT('',(29.3000000471749,34.565,0.)); #500817=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #500818=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #500819=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #500820=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #500821=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #500822=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #500823=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #500824=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #500825=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #500826=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0350000000000072)); #500827=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #500828=CARTESIAN_POINT('',(29.0000000017575,33.435,0.)); #500829=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #500830=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #500831=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #500832=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #500833=CARTESIAN_POINT('Origin',(29.489294,33.1,0.)); #500834=CARTESIAN_POINT('',(29.489294,33.1,0.)); #500835=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #500836=CARTESIAN_POINT('',(29.489294,33.1,0.)); #500837=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #500838=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #500839=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #500840=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #500841=CARTESIAN_POINT('',(29.489294,33.1,0.)); #500842=CARTESIAN_POINT('Origin',(28.810706,33.1,0.)); #500843=CARTESIAN_POINT('',(28.810706,33.1,0.)); #500844=CARTESIAN_POINT('',(28.810706,33.1,0.)); #500845=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #500846=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #500847=CARTESIAN_POINT('',(28.810706,33.1,0.)); #500848=CARTESIAN_POINT('Origin',(28.732416,33.10685,0.)); #500849=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #500850=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #500851=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #500852=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #500853=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #500854=CARTESIAN_POINT('Origin',(28.655019,33.120497,0.)); #500855=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #500856=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #500857=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #500858=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #500859=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #500860=CARTESIAN_POINT('Origin',(28.579106,33.140838,0.)); #500861=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #500862=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #500863=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #500864=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #500865=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #500866=CARTESIAN_POINT('Origin',(28.505256,33.167716,0.)); #500867=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #500868=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #500869=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #500870=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #500871=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #500872=CARTESIAN_POINT('Origin',(28.434031,33.200931,0.)); #500873=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #500874=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #500875=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #500876=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #500877=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #500878=CARTESIAN_POINT('Origin',(28.365969,33.240225,0.)); #500879=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #500880=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #500881=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #500882=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #500883=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #500884=CARTESIAN_POINT('Origin',(28.301594,33.285303,0.)); #500885=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #500886=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #500887=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #500888=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #500889=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #500890=CARTESIAN_POINT('Origin',(28.241391,33.335819,0.)); #500891=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #500892=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #500893=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #500894=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #500895=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #500896=CARTESIAN_POINT('Origin',(28.185819,33.391391,0.)); #500897=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #500898=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #500899=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #500900=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #500901=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #500902=CARTESIAN_POINT('Origin',(28.135303,33.451594,0.)); #500903=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #500904=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #500905=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #500906=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #500907=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #500908=CARTESIAN_POINT('Origin',(28.090225,33.515969,0.)); #500909=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #500910=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #500911=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #500912=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #500913=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #500914=CARTESIAN_POINT('Origin',(28.050931,33.584031,0.)); #500915=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #500916=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #500917=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #500918=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #500919=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #500920=CARTESIAN_POINT('Origin',(28.017716,33.655256,0.)); #500921=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #500922=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #500923=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #500924=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #500925=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #500926=CARTESIAN_POINT('Origin',(27.990838,33.729106,0.)); #500927=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #500928=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #500929=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #500930=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #500931=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #500932=CARTESIAN_POINT('Origin',(27.970497,33.805019,0.)); #500933=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #500934=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #500935=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #500936=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #500937=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #500938=CARTESIAN_POINT('Origin',(27.95685,33.882416,0.)); #500939=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #500940=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #500941=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #500942=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #500943=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #500944=CARTESIAN_POINT('Origin',(27.95,33.960706,0.)); #500945=CARTESIAN_POINT('',(27.95,33.960706,0.)); #500946=CARTESIAN_POINT('',(27.95,33.960706,0.)); #500947=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #500948=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #500949=CARTESIAN_POINT('',(27.95,33.960706,0.)); #500950=CARTESIAN_POINT('Origin',(27.95,34.039294,0.)); #500951=CARTESIAN_POINT('',(27.95,34.039294,0.)); #500952=CARTESIAN_POINT('',(27.95,34.039294,0.)); #500953=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #500954=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #500955=CARTESIAN_POINT('',(27.95,34.039294,0.)); #500956=CARTESIAN_POINT('Origin',(27.95685,34.117584,0.)); #500957=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #500958=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #500959=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #500960=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #500961=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #500962=CARTESIAN_POINT('Origin',(27.970497,34.194981,0.)); #500963=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #500964=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #500965=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #500966=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #500967=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #500968=CARTESIAN_POINT('Origin',(27.990838,34.270894,0.)); #500969=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #500970=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #500971=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #500972=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #500973=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #500974=CARTESIAN_POINT('Origin',(28.017716,34.344744,0.)); #500975=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #500976=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #500977=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #500978=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #500979=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #500980=CARTESIAN_POINT('Origin',(28.050931,34.415969,0.)); #500981=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #500982=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #500983=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #500984=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #500985=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #500986=CARTESIAN_POINT('Origin',(28.090225,34.484031,0.)); #500987=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #500988=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #500989=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #500990=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #500991=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #500992=CARTESIAN_POINT('Origin',(28.135303,34.548406,0.)); #500993=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #500994=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #500995=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #500996=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #500997=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #500998=CARTESIAN_POINT('Origin',(28.185819,34.608609,0.)); #500999=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #501000=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #501001=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #501002=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #501003=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #501004=CARTESIAN_POINT('Origin',(28.241391,34.664181,0.)); #501005=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #501006=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #501007=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #501008=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #501009=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #501010=CARTESIAN_POINT('Origin',(28.301594,34.714697,0.)); #501011=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #501012=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #501013=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #501014=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #501015=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #501016=CARTESIAN_POINT('Origin',(28.365969,34.759775,0.)); #501017=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #501018=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #501019=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #501020=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #501021=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #501022=CARTESIAN_POINT('Origin',(28.434031,34.799069,0.)); #501023=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #501024=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #501025=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #501026=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #501027=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #501028=CARTESIAN_POINT('Origin',(28.505256,34.832284,0.)); #501029=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #501030=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #501031=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #501032=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #501033=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #501034=CARTESIAN_POINT('Origin',(28.579106,34.859163,0.)); #501035=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #501036=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #501037=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #501038=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #501039=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #501040=CARTESIAN_POINT('Origin',(28.655019,34.879503,0.)); #501041=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #501042=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #501043=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #501044=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #501045=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #501046=CARTESIAN_POINT('Origin',(28.732416,34.89315,0.)); #501047=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #501048=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #501049=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #501050=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #501051=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #501052=CARTESIAN_POINT('Origin',(28.810706,34.9,0.)); #501053=CARTESIAN_POINT('',(28.810706,34.9,0.)); #501054=CARTESIAN_POINT('',(28.810706,34.9,0.)); #501055=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #501056=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #501057=CARTESIAN_POINT('',(28.810706,34.9,0.)); #501058=CARTESIAN_POINT('Origin',(29.489294,34.9,0.)); #501059=CARTESIAN_POINT('',(29.489294,34.9,0.)); #501060=CARTESIAN_POINT('',(29.489294,34.9,0.)); #501061=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #501062=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #501063=CARTESIAN_POINT('',(29.489294,34.9,0.)); #501064=CARTESIAN_POINT('Origin',(29.567584,34.89315,0.)); #501065=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #501066=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #501067=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #501068=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #501069=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #501070=CARTESIAN_POINT('Origin',(29.644981,34.879503,0.)); #501071=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #501072=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #501073=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #501074=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #501075=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #501076=CARTESIAN_POINT('Origin',(29.720894,34.859163,0.)); #501077=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #501078=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #501079=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #501080=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #501081=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #501082=CARTESIAN_POINT('Origin',(29.794744,34.832284,0.)); #501083=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #501084=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #501085=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #501086=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #501087=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #501088=CARTESIAN_POINT('Origin',(29.865969,34.799069,0.)); #501089=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #501090=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #501091=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #501092=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #501093=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #501094=CARTESIAN_POINT('Origin',(29.934031,34.759775,0.)); #501095=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #501096=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #501097=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #501098=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #501099=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #501100=CARTESIAN_POINT('Origin',(29.998406,34.714697,0.)); #501101=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #501102=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #501103=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #501104=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #501105=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #501106=CARTESIAN_POINT('Origin',(30.058609,34.664181,0.)); #501107=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #501108=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #501109=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #501110=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #501111=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #501112=CARTESIAN_POINT('Origin',(30.114181,34.608609,0.)); #501113=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #501114=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #501115=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #501116=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #501117=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #501118=CARTESIAN_POINT('Origin',(30.164697,34.548406,0.)); #501119=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #501120=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #501121=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #501122=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #501123=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #501124=CARTESIAN_POINT('Origin',(30.209775,34.484031,0.)); #501125=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #501126=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #501127=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #501128=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #501129=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #501130=CARTESIAN_POINT('Origin',(30.249069,34.415969,0.)); #501131=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #501132=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #501133=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #501134=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #501135=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #501136=CARTESIAN_POINT('Origin',(30.282284,34.344744,0.)); #501137=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #501138=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #501139=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #501140=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #501141=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #501142=CARTESIAN_POINT('Origin',(30.309163,34.270894,0.)); #501143=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #501144=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #501145=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #501146=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #501147=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #501148=CARTESIAN_POINT('Origin',(30.329503,34.194981,0.)); #501149=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #501150=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #501151=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #501152=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #501153=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #501154=CARTESIAN_POINT('Origin',(30.34315,34.117584,0.)); #501155=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #501156=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #501157=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #501158=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #501159=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #501160=CARTESIAN_POINT('Origin',(30.35,34.039294,0.)); #501161=CARTESIAN_POINT('',(30.35,34.039294,0.)); #501162=CARTESIAN_POINT('',(30.35,34.039294,0.)); #501163=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #501164=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #501165=CARTESIAN_POINT('',(30.35,34.039294,0.)); #501166=CARTESIAN_POINT('Origin',(30.35,33.960706,0.)); #501167=CARTESIAN_POINT('',(30.35,33.960706,0.)); #501168=CARTESIAN_POINT('',(30.35,33.960706,0.)); #501169=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #501170=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #501171=CARTESIAN_POINT('',(30.35,33.960706,0.)); #501172=CARTESIAN_POINT('Origin',(30.34315,33.882416,0.)); #501173=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #501174=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #501175=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #501176=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #501177=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #501178=CARTESIAN_POINT('Origin',(30.329503,33.805019,0.)); #501179=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #501180=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #501181=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #501182=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #501183=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #501184=CARTESIAN_POINT('Origin',(30.309163,33.729106,0.)); #501185=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #501186=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #501187=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #501188=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #501189=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #501190=CARTESIAN_POINT('Origin',(30.282284,33.655256,0.)); #501191=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #501192=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #501193=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #501194=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #501195=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #501196=CARTESIAN_POINT('Origin',(30.249069,33.584031,0.)); #501197=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #501198=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #501199=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #501200=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #501201=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #501202=CARTESIAN_POINT('Origin',(30.209775,33.515969,0.)); #501203=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #501204=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #501205=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #501206=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #501207=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #501208=CARTESIAN_POINT('Origin',(30.164697,33.451594,0.)); #501209=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #501210=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #501211=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #501212=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #501213=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #501214=CARTESIAN_POINT('Origin',(30.114181,33.391391,0.)); #501215=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #501216=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #501217=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #501218=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #501219=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #501220=CARTESIAN_POINT('Origin',(30.058609,33.335819,0.)); #501221=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #501222=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #501223=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #501224=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #501225=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #501226=CARTESIAN_POINT('Origin',(29.998406,33.285303,0.)); #501227=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #501228=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #501229=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #501230=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #501231=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #501232=CARTESIAN_POINT('Origin',(29.934031,33.240225,0.)); #501233=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #501234=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #501235=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #501236=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #501237=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #501238=CARTESIAN_POINT('Origin',(29.865969,33.200931,0.)); #501239=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #501240=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #501241=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #501242=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #501243=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #501244=CARTESIAN_POINT('Origin',(29.794744,33.167716,0.)); #501245=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #501246=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #501247=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #501248=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #501249=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #501250=CARTESIAN_POINT('Origin',(29.720894,33.140838,0.)); #501251=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #501252=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #501253=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #501254=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #501255=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #501256=CARTESIAN_POINT('Origin',(29.644981,33.120497,0.)); #501257=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #501258=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #501259=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #501260=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #501261=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #501262=CARTESIAN_POINT('Origin',(29.567584,33.10685,0.)); #501263=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #501264=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #501265=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.035)); #501266=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.)); #501267=CARTESIAN_POINT('Origin',(36.764147,22.1036,0.)); #501268=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #501269=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #501270=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #501271=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #501272=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #501273=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #501274=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #501275=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #501276=CARTESIAN_POINT('Origin',(30.696941,22.1036,0.)); #501277=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #501278=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #501279=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #501280=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #501281=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #501282=CARTESIAN_POINT('Origin',(30.671238,22.108066,0.)); #501283=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #501284=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #501285=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #501286=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #501287=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #501288=CARTESIAN_POINT('Origin',(30.645294,22.123772,0.)); #501289=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #501290=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #501291=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #501292=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #501293=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #501294=CARTESIAN_POINT('Origin',(30.627531,22.148353,0.)); #501295=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #501296=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #501297=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #501298=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #501299=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #501300=CARTESIAN_POINT('Origin',(30.620763,22.177916,0.)); #501301=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #501302=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #501303=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #501304=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #501305=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #501306=CARTESIAN_POINT('Origin',(30.626062,22.207778,0.)); #501307=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #501308=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #501309=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #501310=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #501311=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #501312=CARTESIAN_POINT('Origin',(30.642588,22.233206,0.)); #501313=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #501314=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #501315=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #501316=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #501317=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #501318=CARTESIAN_POINT('Origin',(31.885969,23.498606,0.)); #501319=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #501320=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #501321=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #501322=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #501323=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #501324=CARTESIAN_POINT('Origin',(31.9106,23.515366,0.)); #501325=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #501326=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #501327=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #501328=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #501329=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #501330=CARTESIAN_POINT('Origin',(31.940322,23.5214,0.)); #501331=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #501332=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #501333=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #501334=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #501335=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #501336=CARTESIAN_POINT('Origin',(33.608003,23.5214,0.)); #501337=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #501338=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #501339=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #501340=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #501341=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #501342=CARTESIAN_POINT('Origin',(33.634334,23.516706,0.)); #501343=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #501344=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #501345=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #501346=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #501347=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #501348=CARTESIAN_POINT('Origin',(33.660141,23.500772,0.)); #501349=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #501350=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #501351=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #501352=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #501353=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #501354=CARTESIAN_POINT('Origin',(33.677684,23.476034,0.)); #501355=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #501356=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #501357=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #501358=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #501359=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #501360=CARTESIAN_POINT('Origin',(33.684194,23.446416,0.)); #501361=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #501362=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #501363=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #501364=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #501365=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #501366=CARTESIAN_POINT('Origin',(33.678634,23.416603,0.)); #501367=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #501368=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #501369=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #501370=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #501371=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #501372=CARTESIAN_POINT('Origin',(33.661884,23.391319,0.)); #501373=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #501374=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #501375=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #501376=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #501377=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #501378=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #501379=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #501380=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #501381=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #501382=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #501383=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #501384=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #501385=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #501386=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #501387=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #501388=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #501389=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #501390=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #501391=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #501392=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #501393=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #501394=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #501395=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #501396=CARTESIAN_POINT('Origin',(34.177034,22.6976,0.)); #501397=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #501398=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #501399=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #501400=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #501401=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #501402=CARTESIAN_POINT('Origin',(34.353516,22.874081,0.)); #501403=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #501404=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #501405=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #501406=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #501407=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #501408=CARTESIAN_POINT('Origin',(34.377675,22.890366,0.)); #501409=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #501410=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #501411=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #501412=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #501413=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #501414=CARTESIAN_POINT('Origin',(34.407397,22.8964,0.)); #501415=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #501416=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #501417=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #501418=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #501419=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #501420=CARTESIAN_POINT('Origin',(36.123747,22.8964,0.)); #501421=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #501422=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #501423=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #501424=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #501425=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #501426=CARTESIAN_POINT('Origin',(36.152344,22.890831,0.)); #501427=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #501428=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #501429=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #501430=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #501431=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #501432=CARTESIAN_POINT('Origin',(36.177628,22.874081,0.)); #501433=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #501434=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #501435=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #501436=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #501437=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #501438=CARTESIAN_POINT('Origin',(36.818028,22.233681,0.)); #501439=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #501440=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #501441=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #501442=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #501443=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #501444=CARTESIAN_POINT('Origin',(36.833328,22.211744,0.)); #501445=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #501446=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #501447=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #501448=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #501449=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #501450=CARTESIAN_POINT('Origin',(36.840309,22.182228,0.)); #501451=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #501452=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #501453=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #501454=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #501455=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #501456=CARTESIAN_POINT('Origin',(36.835225,22.152331,0.)); #501457=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #501458=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #501459=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #501460=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #501461=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #501462=CARTESIAN_POINT('Origin',(36.818881,22.126784,0.)); #501463=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #501464=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #501465=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #501466=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #501467=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #501468=CARTESIAN_POINT('Origin',(36.793869,22.109634,0.)); #501469=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #501470=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #501471=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.035)); #501472=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.)); #501473=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #501474=CARTESIAN_POINT('',(43.257,35.27,0.)); #501475=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #501476=CARTESIAN_POINT('',(43.257,35.27,0.0349999999999895)); #501477=CARTESIAN_POINT('',(43.257,35.27,-200.)); #501478=CARTESIAN_POINT('Origin',(43.73,35.27,0.0349999999999895)); #501479=CARTESIAN_POINT('Origin',(44.045628,34.508,0.)); #501480=CARTESIAN_POINT('',(44.045628,34.508,0.)); #501481=CARTESIAN_POINT('',(44.492,34.954372,0.)); #501482=CARTESIAN_POINT('',(44.045628,34.508,0.)); #501483=CARTESIAN_POINT('',(44.492,34.954372,0.035)); #501484=CARTESIAN_POINT('',(44.492,34.954372,0.)); #501485=CARTESIAN_POINT('',(44.045628,34.508,0.035)); #501486=CARTESIAN_POINT('',(44.045628,34.508,0.035)); #501487=CARTESIAN_POINT('',(44.045628,34.508,0.)); #501488=CARTESIAN_POINT('Origin',(43.414372,34.508,0.)); #501489=CARTESIAN_POINT('',(43.414372,34.508,0.)); #501490=CARTESIAN_POINT('',(43.414372,34.508,0.)); #501491=CARTESIAN_POINT('',(43.414372,34.508,0.035)); #501492=CARTESIAN_POINT('',(43.414372,34.508,0.035)); #501493=CARTESIAN_POINT('',(43.414372,34.508,0.)); #501494=CARTESIAN_POINT('Origin',(42.968,34.954372,0.)); #501495=CARTESIAN_POINT('',(42.968,34.954372,0.)); #501496=CARTESIAN_POINT('',(42.968,34.954372,0.)); #501497=CARTESIAN_POINT('',(42.968,34.954372,0.035)); #501498=CARTESIAN_POINT('',(42.968,34.954372,0.035)); #501499=CARTESIAN_POINT('',(42.968,34.954372,0.)); #501500=CARTESIAN_POINT('Origin',(42.968,35.585628,0.)); #501501=CARTESIAN_POINT('',(42.968,35.585628,0.)); #501502=CARTESIAN_POINT('',(42.968,35.585628,0.)); #501503=CARTESIAN_POINT('',(42.968,35.585628,0.035)); #501504=CARTESIAN_POINT('',(42.968,35.585628,0.035)); #501505=CARTESIAN_POINT('',(42.968,35.585628,0.)); #501506=CARTESIAN_POINT('Origin',(43.414372,36.032,0.)); #501507=CARTESIAN_POINT('',(43.414372,36.032,0.)); #501508=CARTESIAN_POINT('',(43.414372,36.032,0.)); #501509=CARTESIAN_POINT('',(43.414372,36.032,0.035)); #501510=CARTESIAN_POINT('',(43.414372,36.032,0.035)); #501511=CARTESIAN_POINT('',(43.414372,36.032,0.)); #501512=CARTESIAN_POINT('Origin',(44.045628,36.032,0.)); #501513=CARTESIAN_POINT('',(44.045628,36.032,0.)); #501514=CARTESIAN_POINT('',(44.045628,36.032,0.)); #501515=CARTESIAN_POINT('',(44.045628,36.032,0.035)); #501516=CARTESIAN_POINT('',(44.045628,36.032,0.035)); #501517=CARTESIAN_POINT('',(44.045628,36.032,0.)); #501518=CARTESIAN_POINT('Origin',(44.492,35.585628,0.)); #501519=CARTESIAN_POINT('',(44.492,35.585628,0.)); #501520=CARTESIAN_POINT('',(44.492,35.585628,0.)); #501521=CARTESIAN_POINT('',(44.492,35.585628,0.035)); #501522=CARTESIAN_POINT('',(44.492,35.585628,0.035)); #501523=CARTESIAN_POINT('',(44.492,35.585628,0.)); #501524=CARTESIAN_POINT('Origin',(44.492,34.954372,0.)); #501525=CARTESIAN_POINT('',(44.492,34.954372,0.)); #501526=CARTESIAN_POINT('',(44.492,34.954372,0.035)); #501527=CARTESIAN_POINT('Origin',(43.73,35.27,0.035)); #501528=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #501529=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #501530=CARTESIAN_POINT('',(33.11,49.25,0.)); #501531=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #501532=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #501533=CARTESIAN_POINT('',(33.11,49.25,-200.)); #501534=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #501535=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #501536=CARTESIAN_POINT('',(33.86,18.5,0.)); #501537=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #501538=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #501539=CARTESIAN_POINT('',(33.86,18.5,-200.)); #501540=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #501541=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #501542=CARTESIAN_POINT('',(33.11,50.,0.)); #501543=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #501544=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #501545=CARTESIAN_POINT('',(33.11,50.,-200.)); #501546=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #501547=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #501548=CARTESIAN_POINT('',(34.61,50.,0.)); #501549=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #501550=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #501551=CARTESIAN_POINT('',(34.61,50.,-200.)); #501552=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #501553=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #501554=CARTESIAN_POINT('',(34.61,18.5,0.)); #501555=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #501556=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #501557=CARTESIAN_POINT('',(34.61,18.5,-200.)); #501558=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #501559=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #501560=CARTESIAN_POINT('',(33.86,50.,0.)); #501561=CARTESIAN_POINT('Origin',(34.,50.,0.)); #501562=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #501563=CARTESIAN_POINT('',(33.86,50.,-200.)); #501564=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #501565=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #501566=CARTESIAN_POINT('',(55.285,34.,0.)); #501567=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #501568=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #501569=CARTESIAN_POINT('',(55.285,34.,-200.)); #501570=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #501571=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #501572=CARTESIAN_POINT('',(33.86,49.25,0.)); #501573=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #501574=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #501575=CARTESIAN_POINT('',(33.86,49.25,-200.)); #501576=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #501577=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #501578=CARTESIAN_POINT('',(35.36,17.75,0.)); #501579=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #501580=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #501581=CARTESIAN_POINT('',(35.36,17.75,-200.)); #501582=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #501583=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #501584=CARTESIAN_POINT('',(34.61,49.25,0.)); #501585=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #501586=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #501587=CARTESIAN_POINT('',(34.61,49.25,-200.)); #501588=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #501589=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #501590=CARTESIAN_POINT('',(33.86,48.5,0.)); #501591=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #501592=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #501593=CARTESIAN_POINT('',(33.86,48.5,-200.)); #501594=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #501595=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #501596=CARTESIAN_POINT('',(35.36,18.5,0.)); #501597=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #501598=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #501599=CARTESIAN_POINT('',(35.36,18.5,-200.)); #501600=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #501601=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #501602=CARTESIAN_POINT('',(34.61,48.5,0.)); #501603=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #501604=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #501605=CARTESIAN_POINT('',(34.61,48.5,-200.)); #501606=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #501607=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #501608=CARTESIAN_POINT('',(23.735,34.,0.)); #501609=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #501610=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #501611=CARTESIAN_POINT('',(23.735,34.,-200.)); #501612=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #501613=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #501614=CARTESIAN_POINT('',(31.61,19.25,0.)); #501615=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #501616=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #501617=CARTESIAN_POINT('',(31.61,19.25,-200.)); #501618=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #501619=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #501620=CARTESIAN_POINT('',(33.11,17.75,0.)); #501621=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #501622=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #501623=CARTESIAN_POINT('',(33.11,17.75,-200.)); #501624=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #501625=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #501626=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #501627=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #501628=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #501629=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #501630=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #501631=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #501632=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #501633=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #501634=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #501635=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #501636=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #501637=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #501638=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #501639=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #501640=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #501641=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #501642=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #501643=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #501644=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #501645=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #501646=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #501647=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #501648=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #501649=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #501650=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #501651=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #501652=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #501653=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #501654=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #501655=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #501656=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #501657=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #501658=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #501659=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #501660=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #501661=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #501662=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #501663=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #501664=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #501665=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #501666=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #501667=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #501668=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #501669=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #501670=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #501671=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #501672=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #501673=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #501674=CARTESIAN_POINT('',(31.61,18.5,0.)); #501675=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #501676=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #501677=CARTESIAN_POINT('',(31.61,18.5,-200.)); #501678=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #501679=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #501680=CARTESIAN_POINT('',(30.685,7.6,0.)); #501681=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #501682=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #501683=CARTESIAN_POINT('',(30.685,7.6,-200.)); #501684=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #501685=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #501686=CARTESIAN_POINT('',(30.685,7.1,0.)); #501687=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #501688=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #501689=CARTESIAN_POINT('',(30.685,7.1,-200.)); #501690=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #501691=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #501692=CARTESIAN_POINT('',(31.61,17.,0.)); #501693=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #501694=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #501695=CARTESIAN_POINT('',(31.61,17.,-200.)); #501696=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #501697=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #501698=CARTESIAN_POINT('',(37.085,7.1,0.)); #501699=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #501700=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #501701=CARTESIAN_POINT('',(37.085,7.1,-200.)); #501702=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #501703=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #501704=CARTESIAN_POINT('',(37.085,7.6,0.)); #501705=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #501706=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #501707=CARTESIAN_POINT('',(37.085,7.6,-200.)); #501708=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #501709=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #501710=CARTESIAN_POINT('',(34.61,17.75,0.)); #501711=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #501712=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #501713=CARTESIAN_POINT('',(34.61,17.75,-200.)); #501714=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #501715=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #501716=CARTESIAN_POINT('',(31.61,17.75,0.)); #501717=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #501718=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #501719=CARTESIAN_POINT('',(31.61,17.75,-200.)); #501720=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #501721=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #501722=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #501723=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #501724=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #501725=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #501726=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #501727=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #501728=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #501729=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #501730=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #501731=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #501732=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #501733=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #501734=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #501735=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #501736=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #501737=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #501738=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #501739=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #501740=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #501741=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #501742=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #501743=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #501744=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #501745=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #501746=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #501747=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #501748=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #501749=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #501750=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #501751=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #501752=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #501753=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #501754=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #501755=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #501756=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #501757=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #501758=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #501759=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #501760=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #501761=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #501762=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #501763=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #501764=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #501765=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #501766=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #501767=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #501768=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #501769=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #501770=CARTESIAN_POINT('',(33.11,16.25,0.)); #501771=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #501772=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #501773=CARTESIAN_POINT('',(33.11,16.25,-200.)); #501774=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #501775=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #501776=CARTESIAN_POINT('',(34.61,16.25,0.)); #501777=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #501778=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #501779=CARTESIAN_POINT('',(34.61,16.25,-200.)); #501780=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #501781=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #501782=CARTESIAN_POINT('',(9.36,34.,0.)); #501783=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #501784=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #501785=CARTESIAN_POINT('',(9.36,34.,-200.)); #501786=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #501787=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #501788=CARTESIAN_POINT('',(36.11,14.75,0.)); #501789=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #501790=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #501791=CARTESIAN_POINT('',(36.11,14.75,-200.)); #501792=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #501793=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #501794=CARTESIAN_POINT('',(10.11,34.,0.)); #501795=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #501796=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #501797=CARTESIAN_POINT('',(10.11,34.,-200.)); #501798=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #501799=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #501800=CARTESIAN_POINT('',(33.86,17.,0.)); #501801=CARTESIAN_POINT('Origin',(34.,17.,0.)); #501802=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #501803=CARTESIAN_POINT('',(33.86,17.,-200.)); #501804=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #501805=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #501806=CARTESIAN_POINT('',(57.61,34.,0.)); #501807=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #501808=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #501809=CARTESIAN_POINT('',(57.61,34.,-200.)); #501810=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #501811=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #501812=CARTESIAN_POINT('',(36.11,15.5,0.)); #501813=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #501814=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #501815=CARTESIAN_POINT('',(36.11,15.5,-200.)); #501816=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #501817=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #501818=CARTESIAN_POINT('',(57.61,34.75,0.)); #501819=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #501820=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #501821=CARTESIAN_POINT('',(57.61,34.75,-200.)); #501822=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #501823=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #501824=CARTESIAN_POINT('',(61.86,34.,0.)); #501825=CARTESIAN_POINT('Origin',(62.,34.,0.)); #501826=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #501827=CARTESIAN_POINT('',(61.86,34.,-200.)); #501828=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #501829=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #501830=CARTESIAN_POINT('',(36.11,17.,0.)); #501831=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #501832=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #501833=CARTESIAN_POINT('',(36.11,17.,-200.)); #501834=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #501835=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #501836=CARTESIAN_POINT('',(61.86,34.75,0.)); #501837=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #501838=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #501839=CARTESIAN_POINT('',(61.86,34.75,-200.)); #501840=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #501841=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #501842=CARTESIAN_POINT('',(61.86,33.25,0.)); #501843=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #501844=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #501845=CARTESIAN_POINT('',(61.86,33.25,-200.)); #501846=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #501847=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #501848=CARTESIAN_POINT('',(36.11,16.25,0.)); #501849=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #501850=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #501851=CARTESIAN_POINT('',(36.11,16.25,-200.)); #501852=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #501853=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #501854=CARTESIAN_POINT('',(57.61,33.25,0.)); #501855=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #501856=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #501857=CARTESIAN_POINT('',(57.61,33.25,-200.)); #501858=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #501859=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #501860=CARTESIAN_POINT('',(58.36,34.75,0.)); #501861=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #501862=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #501863=CARTESIAN_POINT('',(58.36,34.75,-200.)); #501864=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #501865=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #501866=CARTESIAN_POINT('',(36.11,19.25,0.)); #501867=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #501868=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #501869=CARTESIAN_POINT('',(36.11,19.25,-200.)); #501870=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #501871=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #501872=CARTESIAN_POINT('',(58.36,34.,0.)); #501873=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #501874=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #501875=CARTESIAN_POINT('',(58.36,34.,-200.)); #501876=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #501877=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #501878=CARTESIAN_POINT('',(13.36,21.,0.)); #501879=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #501880=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #501881=CARTESIAN_POINT('',(13.36,21.,-200.)); #501882=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #501883=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #501884=CARTESIAN_POINT('',(35.36,19.25,0.)); #501885=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #501886=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #501887=CARTESIAN_POINT('',(35.36,19.25,-200.)); #501888=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #501889=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #501890=CARTESIAN_POINT('',(13.36,20.25,0.)); #501891=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #501892=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #501893=CARTESIAN_POINT('',(13.36,20.25,-200.)); #501894=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #501895=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #501896=CARTESIAN_POINT('',(61.11,33.25,0.)); #501897=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #501898=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #501899=CARTESIAN_POINT('',(61.11,33.25,-200.)); #501900=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #501901=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #501902=CARTESIAN_POINT('',(36.11,18.5,0.)); #501903=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #501904=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #501905=CARTESIAN_POINT('',(36.11,18.5,-200.)); #501906=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #501907=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #501908=CARTESIAN_POINT('',(58.36,33.25,0.)); #501909=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #501910=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #501911=CARTESIAN_POINT('',(58.36,33.25,-200.)); #501912=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #501913=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #501914=CARTESIAN_POINT('',(61.11,34.,0.)); #501915=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #501916=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #501917=CARTESIAN_POINT('',(61.11,34.,-200.)); #501918=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #501919=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #501920=CARTESIAN_POINT('',(36.11,17.75,0.)); #501921=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #501922=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #501923=CARTESIAN_POINT('',(36.11,17.75,-200.)); #501924=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #501925=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #501926=CARTESIAN_POINT('',(61.11,34.75,0.)); #501927=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #501928=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #501929=CARTESIAN_POINT('',(61.11,34.75,-200.)); #501930=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #501931=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #501932=CARTESIAN_POINT('',(6.36,33.25,0.)); #501933=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #501934=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #501935=CARTESIAN_POINT('',(6.36,33.25,-200.)); #501936=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #501937=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #501938=CARTESIAN_POINT('',(33.11,14.75,0.)); #501939=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #501940=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #501941=CARTESIAN_POINT('',(33.11,14.75,-200.)); #501942=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #501943=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #501944=CARTESIAN_POINT('',(7.11,33.25,0.)); #501945=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #501946=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #501947=CARTESIAN_POINT('',(7.11,33.25,-200.)); #501948=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #501949=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #501950=CARTESIAN_POINT('',(34.61,17.,0.)); #501951=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #501952=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #501953=CARTESIAN_POINT('',(34.61,17.,-200.)); #501954=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #501955=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #501956=CARTESIAN_POINT('',(7.11,34.75,0.)); #501957=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #501958=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #501959=CARTESIAN_POINT('',(7.11,34.75,-200.)); #501960=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #501961=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #501962=CARTESIAN_POINT('',(33.86,14.75,0.)); #501963=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #501964=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #501965=CARTESIAN_POINT('',(33.86,14.75,-200.)); #501966=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #501967=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #501968=CARTESIAN_POINT('',(7.11,34.,0.)); #501969=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #501970=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #501971=CARTESIAN_POINT('',(7.11,34.,-200.)); #501972=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #501973=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #501974=CARTESIAN_POINT('',(10.11,33.25,0.)); #501975=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #501976=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #501977=CARTESIAN_POINT('',(10.11,33.25,-200.)); #501978=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #501979=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #501980=CARTESIAN_POINT('',(35.36,14.75,0.)); #501981=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #501982=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #501983=CARTESIAN_POINT('',(35.36,14.75,-200.)); #501984=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #501985=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #501986=CARTESIAN_POINT('',(9.36,33.25,0.)); #501987=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #501988=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #501989=CARTESIAN_POINT('',(9.36,33.25,-200.)); #501990=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #501991=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #501992=CARTESIAN_POINT('',(33.86,17.75,0.)); #501993=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #501994=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #501995=CARTESIAN_POINT('',(33.86,17.75,-200.)); #501996=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #501997=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #501998=CARTESIAN_POINT('',(10.11,34.75,0.)); #501999=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #502000=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #502001=CARTESIAN_POINT('',(10.11,34.75,-200.)); #502002=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #502003=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #502004=CARTESIAN_POINT('',(34.61,14.75,0.)); #502005=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #502006=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #502007=CARTESIAN_POINT('',(34.61,14.75,-200.)); #502008=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #502009=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #502010=CARTESIAN_POINT('',(9.36,34.75,0.)); #502011=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #502012=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #502013=CARTESIAN_POINT('',(9.36,34.75,-200.)); #502014=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #502015=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #502016=CARTESIAN_POINT('',(31.61,14.75,0.)); #502017=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #502018=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #502019=CARTESIAN_POINT('',(31.61,14.75,-200.)); #502020=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #502021=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #502022=CARTESIAN_POINT('',(33.86,16.25,0.)); #502023=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #502024=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #502025=CARTESIAN_POINT('',(33.86,16.25,-200.)); #502026=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #502027=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #502028=CARTESIAN_POINT('',(6.36,34.,0.)); #502029=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #502030=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #502031=CARTESIAN_POINT('',(6.36,34.,-200.)); #502032=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #502033=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #502034=CARTESIAN_POINT('',(32.36,14.75,0.)); #502035=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #502036=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #502037=CARTESIAN_POINT('',(32.36,14.75,-200.)); #502038=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #502039=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #502040=CARTESIAN_POINT('',(6.36,34.75,0.)); #502041=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #502042=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #502043=CARTESIAN_POINT('',(6.36,34.75,-200.)); #502044=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #502045=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #502046=CARTESIAN_POINT('',(31.61,15.5,0.)); #502047=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #502048=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #502049=CARTESIAN_POINT('',(31.61,15.5,-200.)); #502050=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #502051=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #502052=CARTESIAN_POINT('',(33.11,17.,0.)); #502053=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #502054=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #502055=CARTESIAN_POINT('',(33.11,17.,-200.)); #502056=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #502057=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #502058=CARTESIAN_POINT('',(31.61,16.25,0.)); #502059=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #502060=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #502061=CARTESIAN_POINT('',(31.61,16.25,-200.)); #502062=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #502063=CARTESIAN_POINT('Origin',(40.125,39.625,-200.)); #502064=CARTESIAN_POINT('',(39.985,39.625,0.)); #502065=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #502066=CARTESIAN_POINT('',(39.985,39.625,0.0349999999999895)); #502067=CARTESIAN_POINT('',(39.985,39.625,-200.)); #502068=CARTESIAN_POINT('Origin',(40.125,39.625,0.0349999999999895)); #502069=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #502070=CARTESIAN_POINT('',(33.86,15.5,0.)); #502071=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #502072=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #502073=CARTESIAN_POINT('',(33.86,15.5,-200.)); #502074=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #502075=CARTESIAN_POINT('Origin',(40.875,39.625,-200.)); #502076=CARTESIAN_POINT('',(40.735,39.625,0.)); #502077=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #502078=CARTESIAN_POINT('',(40.735,39.625,0.0349999999999895)); #502079=CARTESIAN_POINT('',(40.735,39.625,-200.)); #502080=CARTESIAN_POINT('Origin',(40.875,39.625,0.0349999999999895)); #502081=CARTESIAN_POINT('Origin',(27.875,39.625,-200.)); #502082=CARTESIAN_POINT('',(27.735,39.625,0.)); #502083=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #502084=CARTESIAN_POINT('',(27.735,39.625,0.0349999999999895)); #502085=CARTESIAN_POINT('',(27.735,39.625,-200.)); #502086=CARTESIAN_POINT('Origin',(27.875,39.625,0.0349999999999895)); #502087=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #502088=CARTESIAN_POINT('',(33.11,15.5,0.)); #502089=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #502090=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #502091=CARTESIAN_POINT('',(33.11,15.5,-200.)); #502092=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #502093=CARTESIAN_POINT('Origin',(41.625,39.625,-200.)); #502094=CARTESIAN_POINT('',(41.485,39.625,0.)); #502095=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #502096=CARTESIAN_POINT('',(41.485,39.625,0.0349999999999895)); #502097=CARTESIAN_POINT('',(41.485,39.625,-200.)); #502098=CARTESIAN_POINT('Origin',(41.625,39.625,0.0349999999999895)); #502099=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #502100=CARTESIAN_POINT('',(11.785,34.,0.)); #502101=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #502102=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #502103=CARTESIAN_POINT('',(11.785,34.,-200.)); #502104=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #502105=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #502106=CARTESIAN_POINT('',(42.36,26.,0.)); #502107=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #502108=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #502109=CARTESIAN_POINT('',(42.36,26.,-200.)); #502110=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #502111=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #502112=CARTESIAN_POINT('',(32.36,16.25,0.)); #502113=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #502114=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #502115=CARTESIAN_POINT('',(32.36,16.25,-200.)); #502116=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #502117=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #502118=CARTESIAN_POINT('',(42.36,25.25,0.)); #502119=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #502120=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #502121=CARTESIAN_POINT('',(42.36,25.25,-200.)); #502122=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #502123=CARTESIAN_POINT('Origin',(26.375,39.625,-200.)); #502124=CARTESIAN_POINT('',(26.235,39.625,0.)); #502125=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #502126=CARTESIAN_POINT('',(26.235,39.625,0.0349999999999895)); #502127=CARTESIAN_POINT('',(26.235,39.625,-200.)); #502128=CARTESIAN_POINT('Origin',(26.375,39.625,0.0349999999999895)); #502129=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #502130=CARTESIAN_POINT('',(32.36,15.5,0.)); #502131=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #502132=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #502133=CARTESIAN_POINT('',(32.36,15.5,-200.)); #502134=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #502135=CARTESIAN_POINT('Origin',(27.125,39.625,-200.)); #502136=CARTESIAN_POINT('',(26.985,39.625,0.)); #502137=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #502138=CARTESIAN_POINT('',(26.985,39.625,0.0349999999999895)); #502139=CARTESIAN_POINT('',(26.985,39.625,-200.)); #502140=CARTESIAN_POINT('Origin',(27.125,39.625,0.0349999999999895)); #502141=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #502142=CARTESIAN_POINT('',(41.61,26.,0.)); #502143=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #502144=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #502145=CARTESIAN_POINT('',(41.61,26.,-200.)); #502146=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #502147=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #502148=CARTESIAN_POINT('',(32.36,18.5,0.)); #502149=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #502150=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #502151=CARTESIAN_POINT('',(32.36,18.5,-200.)); #502152=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #502153=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #502154=CARTESIAN_POINT('',(41.61,25.25,0.)); #502155=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #502156=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #502157=CARTESIAN_POINT('',(41.61,25.25,-200.)); #502158=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #502159=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #502160=CARTESIAN_POINT('',(33.11,48.5,0.)); #502161=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #502162=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #502163=CARTESIAN_POINT('',(33.11,48.5,-200.)); #502164=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #502165=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #502166=CARTESIAN_POINT('',(33.11,18.5,0.)); #502167=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #502168=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #502169=CARTESIAN_POINT('',(33.11,18.5,-200.)); #502170=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #502171=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #502172=CARTESIAN_POINT('',(40.86,25.25,0.)); #502173=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #502174=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #502175=CARTESIAN_POINT('',(40.86,25.25,-200.)); #502176=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #502177=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #502178=CARTESIAN_POINT('',(40.86,24.5,0.)); #502179=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #502180=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #502181=CARTESIAN_POINT('',(40.86,24.5,-200.)); #502182=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #502183=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #502184=CARTESIAN_POINT('',(32.36,17.75,0.)); #502185=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #502186=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #502187=CARTESIAN_POINT('',(32.36,17.75,-200.)); #502188=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #502189=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #502190=CARTESIAN_POINT('',(40.86,26.,0.)); #502191=CARTESIAN_POINT('Origin',(41.,26.,0.)); #502192=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #502193=CARTESIAN_POINT('',(40.86,26.,-200.)); #502194=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #502195=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #502196=CARTESIAN_POINT('',(41.61,24.5,0.)); #502197=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #502198=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #502199=CARTESIAN_POINT('',(41.61,24.5,-200.)); #502200=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #502201=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #502202=CARTESIAN_POINT('',(32.36,17.,0.)); #502203=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #502204=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #502205=CARTESIAN_POINT('',(32.36,17.,-200.)); #502206=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #502207=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #502208=CARTESIAN_POINT('',(42.36,24.5,0.)); #502209=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #502210=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #502211=CARTESIAN_POINT('',(42.36,24.5,-200.)); #502212=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #502213=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #502214=CARTESIAN_POINT('',(37.245,45.,0.)); #502215=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #502216=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #502217=CARTESIAN_POINT('',(37.245,45.,-200.)); #502218=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #502219=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #502220=CARTESIAN_POINT('',(55.86,21.,0.)); #502221=CARTESIAN_POINT('Origin',(56.,21.,0.)); #502222=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #502223=CARTESIAN_POINT('',(55.86,21.,-200.)); #502224=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #502225=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #502226=CARTESIAN_POINT('',(35.36,17.,0.)); #502227=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #502228=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #502229=CARTESIAN_POINT('',(35.36,17.,-200.)); #502230=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #502231=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #502232=CARTESIAN_POINT('',(55.86,21.75,0.)); #502233=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #502234=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #502235=CARTESIAN_POINT('',(55.86,21.75,-200.)); #502236=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #502237=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #502238=CARTESIAN_POINT('',(54.36,21.,0.)); #502239=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #502240=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #502241=CARTESIAN_POINT('',(54.36,21.,-200.)); #502242=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #502243=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #502244=CARTESIAN_POINT('',(35.36,16.25,0.)); #502245=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #502246=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #502247=CARTESIAN_POINT('',(35.36,16.25,-200.)); #502248=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #502249=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #502250=CARTESIAN_POINT('',(54.36,21.75,0.)); #502251=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #502252=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #502253=CARTESIAN_POINT('',(54.36,21.75,-200.)); #502254=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #502255=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #502256=CARTESIAN_POINT('',(55.11,21.75,0.)); #502257=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #502258=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #502259=CARTESIAN_POINT('',(55.11,21.75,-200.)); #502260=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #502261=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #502262=CARTESIAN_POINT('',(34.61,15.5,0.)); #502263=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #502264=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #502265=CARTESIAN_POINT('',(34.61,15.5,-200.)); #502266=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #502267=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #502268=CARTESIAN_POINT('',(55.11,21.,0.)); #502269=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #502270=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #502271=CARTESIAN_POINT('',(55.11,21.,-200.)); #502272=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #502273=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #502274=CARTESIAN_POINT('',(55.11,20.25,0.)); #502275=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #502276=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #502277=CARTESIAN_POINT('',(55.11,20.25,-200.)); #502278=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #502279=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #502280=CARTESIAN_POINT('',(35.36,15.5,0.)); #502281=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #502282=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #502283=CARTESIAN_POINT('',(35.36,15.5,-200.)); #502284=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #502285=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #502286=CARTESIAN_POINT('',(54.36,20.25,0.)); #502287=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #502288=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #502289=CARTESIAN_POINT('',(54.36,20.25,-200.)); #502290=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #502291=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #502292=CARTESIAN_POINT('',(12.61,20.25,0.)); #502293=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #502294=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #502295=CARTESIAN_POINT('',(12.61,20.25,-200.)); #502296=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #502297=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #502298=CARTESIAN_POINT('',(33.11,19.25,0.)); #502299=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #502300=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #502301=CARTESIAN_POINT('',(33.11,19.25,-200.)); #502302=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #502303=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #502304=CARTESIAN_POINT('',(12.61,21.75,0.)); #502305=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #502306=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #502307=CARTESIAN_POINT('',(12.61,21.75,-200.)); #502308=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #502309=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #502310=CARTESIAN_POINT('',(55.86,20.25,0.)); #502311=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #502312=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #502313=CARTESIAN_POINT('',(55.86,20.25,-200.)); #502314=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #502315=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #502316=CARTESIAN_POINT('',(32.36,19.25,0.)); #502317=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #502318=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #502319=CARTESIAN_POINT('',(32.36,19.25,-200.)); #502320=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #502321=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #502322=CARTESIAN_POINT('',(12.61,21.,0.)); #502323=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #502324=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #502325=CARTESIAN_POINT('',(12.61,21.,-200.)); #502326=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #502327=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #502328=CARTESIAN_POINT('',(11.86,21.,0.)); #502329=CARTESIAN_POINT('Origin',(12.,21.,0.)); #502330=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #502331=CARTESIAN_POINT('',(11.86,21.,-200.)); #502332=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #502333=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #502334=CARTESIAN_POINT('',(33.86,19.25,0.)); #502335=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #502336=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #502337=CARTESIAN_POINT('',(33.86,19.25,-200.)); #502338=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #502339=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #502340=CARTESIAN_POINT('',(11.86,20.25,0.)); #502341=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #502342=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #502343=CARTESIAN_POINT('',(11.86,20.25,-200.)); #502344=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #502345=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #502346=CARTESIAN_POINT('',(11.86,21.75,0.)); #502347=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #502348=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #502349=CARTESIAN_POINT('',(11.86,21.75,-200.)); #502350=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #502351=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #502352=CARTESIAN_POINT('',(34.61,19.25,0.)); #502353=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #502354=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #502355=CARTESIAN_POINT('',(34.61,19.25,-200.)); #502356=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #502357=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #502358=CARTESIAN_POINT('',(13.36,21.75,0.)); #502359=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #502360=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #502361=CARTESIAN_POINT('',(13.36,21.75,-200.)); #502362=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #502363=CARTESIAN_POINT('Origin',(31.1,6.67,0.)); #502364=CARTESIAN_POINT('',(31.1,6.67,0.)); #502365=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #502366=CARTESIAN_POINT('',(31.1,6.67,0.)); #502367=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #502368=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #502369=CARTESIAN_POINT('',(31.1,6.67,0.035)); #502370=CARTESIAN_POINT('',(31.1,6.67,0.035)); #502371=CARTESIAN_POINT('',(31.1,6.67,0.)); #502372=CARTESIAN_POINT('Origin',(30.716116,6.67,0.)); #502373=CARTESIAN_POINT('',(30.716116,6.67,0.)); #502374=CARTESIAN_POINT('',(30.716116,6.67,0.)); #502375=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #502376=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #502377=CARTESIAN_POINT('',(30.716116,6.67,0.)); #502378=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #502379=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #502380=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #502381=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #502382=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #502383=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #502384=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #502385=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #502386=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #502387=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #502388=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #502389=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #502390=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #502391=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #502392=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #502393=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #502394=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #502395=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #502396=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #502397=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #502398=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #502399=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #502400=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #502401=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #502402=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #502403=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #502404=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #502405=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #502406=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #502407=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #502408=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #502409=CARTESIAN_POINT('',(30.985678,5.555,0.)); #502410=CARTESIAN_POINT('',(30.985678,5.555,0.)); #502411=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #502412=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #502413=CARTESIAN_POINT('',(30.985678,5.555,0.)); #502414=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #502415=CARTESIAN_POINT('',(31.234322,5.555,0.)); #502416=CARTESIAN_POINT('',(31.234322,5.555,0.)); #502417=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #502418=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #502419=CARTESIAN_POINT('',(31.234322,5.555,0.)); #502420=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #502421=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #502422=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #502423=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #502424=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #502425=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #502426=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #502427=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #502428=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #502429=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #502430=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #502431=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #502432=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #502433=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #502434=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #502435=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #502436=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #502437=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #502438=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #502439=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #502440=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #502441=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #502442=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #502443=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #502444=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #502445=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #502446=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #502447=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #502448=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #502449=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #502450=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #502451=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #502452=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #502453=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #502454=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #502455=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #502456=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #502457=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #502458=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #502459=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #502460=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #502461=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #502462=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #502463=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #502464=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #502465=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #502466=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #502467=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #502468=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #502469=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #502470=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #502471=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #502472=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #502473=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #502474=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #502475=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #502476=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #502477=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #502478=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #502479=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #502480=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #502481=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #502482=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #502483=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #502484=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #502485=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #502486=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #502487=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #502488=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #502489=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #502490=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #502491=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #502492=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #502493=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #502494=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #502495=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #502496=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #502497=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #502498=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #502499=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #502500=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #502501=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #502502=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #502503=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #502504=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #502505=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #502506=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #502507=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #502508=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #502509=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #502510=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #502511=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #502512=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #502513=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #502514=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #502515=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #502516=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #502517=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #502518=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #502519=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #502520=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #502521=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #502522=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #502523=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #502524=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #502525=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #502526=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #502527=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #502528=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #502529=CARTESIAN_POINT('',(36.765678,5.555,0.)); #502530=CARTESIAN_POINT('',(36.765678,5.555,0.)); #502531=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #502532=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #502533=CARTESIAN_POINT('',(36.765678,5.555,0.)); #502534=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #502535=CARTESIAN_POINT('',(37.014322,5.555,0.)); #502536=CARTESIAN_POINT('',(37.014322,5.555,0.)); #502537=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #502538=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #502539=CARTESIAN_POINT('',(37.014322,5.555,0.)); #502540=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #502541=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #502542=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #502543=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #502544=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #502545=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #502546=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #502547=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #502548=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #502549=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #502550=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #502551=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #502552=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #502553=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #502554=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #502555=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #502556=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #502557=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #502558=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #502559=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #502560=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #502561=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #502562=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #502563=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #502564=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #502565=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #502566=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #502567=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #502568=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #502569=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #502570=CARTESIAN_POINT('Origin',(37.283884,6.67,0.)); #502571=CARTESIAN_POINT('',(37.283884,6.67,0.)); #502572=CARTESIAN_POINT('',(37.283884,6.67,0.)); #502573=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #502574=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #502575=CARTESIAN_POINT('',(37.283884,6.67,0.)); #502576=CARTESIAN_POINT('Origin',(36.9,6.67,0.)); #502577=CARTESIAN_POINT('',(36.9,6.67,0.)); #502578=CARTESIAN_POINT('',(36.9,6.67,0.)); #502579=CARTESIAN_POINT('',(36.9,6.67,0.035)); #502580=CARTESIAN_POINT('',(36.9,6.67,0.035)); #502581=CARTESIAN_POINT('',(36.9,6.67,0.)); #502582=CARTESIAN_POINT('Origin',(36.9,6.8140438,0.)); #502583=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #502584=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #502585=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #502586=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #502587=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #502588=CARTESIAN_POINT('Origin',(36.880584,6.8264656,0.)); #502589=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #502590=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #502591=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #502592=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #502593=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #502594=CARTESIAN_POINT('Origin',(36.863434,6.8514781,0.)); #502595=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #502596=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #502597=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #502598=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #502599=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #502600=CARTESIAN_POINT('Origin',(36.8574,6.8812,0.)); #502601=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #502602=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #502603=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #502604=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #502605=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #502606=CARTESIAN_POINT('Origin',(36.8574,7.8222,0.)); #502607=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #502608=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #502609=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #502610=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #502611=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #502612=CARTESIAN_POINT('Origin',(36.862522,7.8496688,0.)); #502613=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #502614=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #502615=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #502616=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #502617=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #502618=CARTESIAN_POINT('Origin',(36.878866,7.8752156,0.)); #502619=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #502620=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #502621=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #502622=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #502623=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #502624=CARTESIAN_POINT('Origin',(36.903878,7.8923656,0.)); #502625=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #502626=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #502627=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #502628=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #502629=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #502630=CARTESIAN_POINT('Origin',(36.9336,7.8984,0.)); #502631=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #502632=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #502633=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #502634=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #502635=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #502636=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #502637=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #502638=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #502639=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #502640=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #502641=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #502642=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #502643=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #502644=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #502645=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #502646=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #502647=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #502648=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #502649=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #502650=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #502651=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #502652=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #502653=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #502654=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #502655=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #502656=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #502657=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #502658=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #502659=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #502660=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #502661=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #502662=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #502663=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #502664=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #502665=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #502666=CARTESIAN_POINT('Origin',(37.818978,8.4252906,0.)); #502667=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #502668=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #502669=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #502670=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #502671=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #502672=CARTESIAN_POINT('Origin',(37.834997,8.4451313,0.)); #502673=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #502674=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #502675=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #502676=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #502677=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #502678=CARTESIAN_POINT('Origin',(37.844647,8.4642719,0.)); #502679=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #502680=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #502681=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #502682=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #502683=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #502684=CARTESIAN_POINT('Origin',(37.850359,8.4861844,0.)); #502685=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #502686=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #502687=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #502688=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #502689=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #502690=CARTESIAN_POINT('Origin',(37.8516,8.5028469,0.)); #502691=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #502692=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #502693=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #502694=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #502695=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #502696=CARTESIAN_POINT('Origin',(37.8516,9.9959531,0.)); #502697=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #502698=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #502699=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #502700=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #502701=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #502702=CARTESIAN_POINT('Origin',(37.8489,10.021309,0.)); #502703=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #502704=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #502705=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #502706=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #502707=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #502708=CARTESIAN_POINT('Origin',(37.842194,10.041663,0.)); #502709=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #502710=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #502711=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #502712=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #502713=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #502714=CARTESIAN_POINT('Origin',(37.830731,10.061197,0.)); #502715=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #502716=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #502717=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #502718=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #502719=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #502720=CARTESIAN_POINT('Origin',(37.819822,10.073866,0.)); #502721=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #502722=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #502723=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #502724=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #502725=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #502726=CARTESIAN_POINT('Origin',(37.324709,10.568978,0.)); #502727=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #502728=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #502729=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #502730=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #502731=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #502732=CARTESIAN_POINT('Origin',(37.304869,10.584997,0.)); #502733=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #502734=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #502735=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #502736=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #502737=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #502738=CARTESIAN_POINT('Origin',(37.285728,10.594647,0.)); #502739=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #502740=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #502741=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #502742=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #502743=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #502744=CARTESIAN_POINT('Origin',(37.263816,10.600359,0.)); #502745=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #502746=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #502747=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #502748=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #502749=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #502750=CARTESIAN_POINT('Origin',(37.247153,10.6016,0.)); #502751=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #502752=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #502753=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #502754=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #502755=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #502756=CARTESIAN_POINT('Origin',(37.0036,10.6016,0.)); #502757=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #502758=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #502759=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #502760=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #502761=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #502762=CARTESIAN_POINT('Origin',(36.976131,10.606722,0.)); #502763=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #502764=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #502765=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #502766=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #502767=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #502768=CARTESIAN_POINT('Origin',(36.950584,10.623066,0.)); #502769=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #502770=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #502771=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #502772=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #502773=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #502774=CARTESIAN_POINT('Origin',(36.933434,10.648078,0.)); #502775=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #502776=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #502777=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #502778=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #502779=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #502780=CARTESIAN_POINT('Origin',(36.927594,10.676841,0.)); #502781=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #502782=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #502783=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #502784=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #502785=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #502786=CARTESIAN_POINT('Origin',(36.677034,10.9274,0.)); #502787=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #502788=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #502789=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #502790=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #502791=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #502792=CARTESIAN_POINT('Origin',(36.322966,10.9274,0.)); #502793=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #502794=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #502795=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #502796=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #502797=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #502798=CARTESIAN_POINT('Origin',(36.146484,10.750919,0.)); #502799=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #502800=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #502801=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #502802=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #502803=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #502804=CARTESIAN_POINT('Origin',(36.122325,10.734634,0.)); #502805=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #502806=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #502807=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #502808=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #502809=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #502810=CARTESIAN_POINT('Origin',(36.092603,10.7286,0.)); #502811=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #502812=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #502813=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #502814=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #502815=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #502816=CARTESIAN_POINT('Origin',(36.016997,10.7286,0.)); #502817=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #502818=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #502819=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #502820=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #502821=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #502822=CARTESIAN_POINT('Origin',(35.990666,10.733294,0.)); #502823=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #502824=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #502825=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #502826=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #502827=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #502828=CARTESIAN_POINT('Origin',(35.964859,10.749228,0.)); #502829=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #502830=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #502831=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #502832=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #502833=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #502834=CARTESIAN_POINT('Origin',(35.947316,10.773966,0.)); #502835=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #502836=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #502837=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #502838=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #502839=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #502840=CARTESIAN_POINT('Origin',(35.940806,10.803584,0.)); #502841=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #502842=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #502843=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #502844=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #502845=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #502846=CARTESIAN_POINT('Origin',(35.946366,10.833397,0.)); #502847=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #502848=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #502849=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #502850=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #502851=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #502852=CARTESIAN_POINT('Origin',(35.963116,10.858681,0.)); #502853=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #502854=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #502855=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #502856=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #502857=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #502858=CARTESIAN_POINT('Origin',(36.1774,11.072966,0.)); #502859=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #502860=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #502861=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #502862=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #502863=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #502864=CARTESIAN_POINT('Origin',(36.1774,11.427034,0.)); #502865=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #502866=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #502867=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #502868=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #502869=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #502870=CARTESIAN_POINT('Origin',(35.927034,11.6774,0.)); #502871=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #502872=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #502873=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #502874=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #502875=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #502876=CARTESIAN_POINT('Origin',(35.572966,11.6774,0.)); #502877=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #502878=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #502879=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #502880=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #502881=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #502882=CARTESIAN_POINT('Origin',(35.396484,11.500919,0.)); #502883=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #502884=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #502885=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #502886=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #502887=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #502888=CARTESIAN_POINT('Origin',(35.372325,11.484634,0.)); #502889=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #502890=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #502891=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #502892=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #502893=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #502894=CARTESIAN_POINT('Origin',(35.342603,11.4786,0.)); #502895=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #502896=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #502897=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #502898=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #502899=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #502900=CARTESIAN_POINT('Origin',(30.907397,11.4786,0.)); #502901=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #502902=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #502903=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #502904=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #502905=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #502906=CARTESIAN_POINT('Origin',(30.8788,11.484169,0.)); #502907=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #502908=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #502909=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #502910=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #502911=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #502912=CARTESIAN_POINT('Origin',(30.853516,11.500919,0.)); #502913=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #502914=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #502915=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #502916=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #502917=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #502918=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #502919=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #502920=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #502921=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #502922=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #502923=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #502924=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #502925=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #502926=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #502927=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #502928=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #502929=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #502930=CARTESIAN_POINT('Origin',(30.0726,11.427034,0.)); #502931=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #502932=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #502933=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #502934=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #502935=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #502936=CARTESIAN_POINT('Origin',(30.0726,11.072966,0.)); #502937=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #502938=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #502939=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #502940=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #502941=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #502942=CARTESIAN_POINT('Origin',(30.216684,10.928881,0.)); #502943=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #502944=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #502945=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #502946=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #502947=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #502948=CARTESIAN_POINT('Origin',(30.232484,10.905834,0.)); #502949=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #502950=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #502951=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #502952=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #502953=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #502954=CARTESIAN_POINT('Origin',(30.238994,10.876216,0.)); #502955=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #502956=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #502957=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #502958=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #502959=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #502960=CARTESIAN_POINT('Origin',(30.233434,10.846403,0.)); #502961=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #502962=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #502963=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #502964=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #502965=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #502966=CARTESIAN_POINT('Origin',(30.216684,10.821119,0.)); #502967=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #502968=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #502969=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #502970=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #502971=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #502972=CARTESIAN_POINT('Origin',(30.0726,10.677034,0.)); #502973=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #502974=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #502975=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #502976=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #502977=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #502978=CARTESIAN_POINT('Origin',(30.0726,10.322966,0.)); #502979=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #502980=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #502981=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #502982=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #502983=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #502984=CARTESIAN_POINT('Origin',(30.197059,10.198509,0.)); #502985=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #502986=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #502987=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #502988=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #502989=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #502990=CARTESIAN_POINT('Origin',(30.212859,10.175463,0.)); #502991=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #502992=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #502993=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #502994=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #502995=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #502996=CARTESIAN_POINT('Origin',(30.219369,10.145844,0.)); #502997=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #502998=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #502999=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #503000=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #503001=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #503002=CARTESIAN_POINT('Origin',(30.213809,10.116031,0.)); #503003=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #503004=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #503005=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #503006=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #503007=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #503008=CARTESIAN_POINT('Origin',(30.197059,10.090747,0.)); #503009=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #503010=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #503011=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #503012=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #503013=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #503014=CARTESIAN_POINT('Origin',(30.181022,10.074709,0.)); #503015=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #503016=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #503017=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #503018=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #503019=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #503020=CARTESIAN_POINT('Origin',(30.165003,10.054869,0.)); #503021=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #503022=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #503023=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #503024=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #503025=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #503026=CARTESIAN_POINT('Origin',(30.155353,10.035728,0.)); #503027=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #503028=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #503029=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #503030=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #503031=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #503032=CARTESIAN_POINT('Origin',(30.149641,10.013816,0.)); #503033=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #503034=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #503035=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #503036=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #503037=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #503038=CARTESIAN_POINT('Origin',(30.1484,9.9971531,0.)); #503039=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #503040=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #503041=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #503042=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #503043=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #503044=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #503045=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #503046=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #503047=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #503048=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #503049=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #503050=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #503051=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #503052=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #503053=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #503054=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #503055=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #503056=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #503057=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #503058=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #503059=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #503060=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #503061=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #503062=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #503063=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #503064=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #503065=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #503066=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #503067=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #503068=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #503069=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #503070=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #503071=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #503072=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #503073=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #503074=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #503075=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #503076=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #503077=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #503078=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #503079=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #503080=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #503081=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #503082=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #503083=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #503084=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #503085=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #503086=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #503087=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #503088=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #503089=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #503090=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #503091=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #503092=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #503093=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #503094=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #503095=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #503096=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #503097=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #503098=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #503099=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #503100=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #503101=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #503102=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #503103=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #503104=CARTESIAN_POINT('Origin',(31.0664,7.8984,0.)); #503105=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #503106=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #503107=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #503108=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #503109=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #503110=CARTESIAN_POINT('Origin',(31.093869,7.8932781,0.)); #503111=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #503112=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #503113=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #503114=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #503115=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #503116=CARTESIAN_POINT('Origin',(31.119416,7.8769344,0.)); #503117=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #503118=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #503119=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #503120=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #503121=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #503122=CARTESIAN_POINT('Origin',(31.136566,7.8519219,0.)); #503123=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #503124=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #503125=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #503126=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #503127=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #503128=CARTESIAN_POINT('Origin',(31.1426,7.8222,0.)); #503129=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #503130=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #503131=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #503132=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #503133=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #503134=CARTESIAN_POINT('Origin',(31.1426,6.8812,0.)); #503135=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #503136=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #503137=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #503138=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #503139=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #503140=CARTESIAN_POINT('Origin',(31.137478,6.8537313,0.)); #503141=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #503142=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #503143=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #503144=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #503145=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #503146=CARTESIAN_POINT('Origin',(31.121134,6.8281844,0.)); #503147=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #503148=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #503149=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #503150=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #503151=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #503152=CARTESIAN_POINT('Origin',(31.1,6.8136938,0.)); #503153=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #503154=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #503155=CARTESIAN_POINT('Origin',(50.272928,17.4061,0.)); #503156=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #503157=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #503158=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #503159=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #503160=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #503161=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #503162=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #503163=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #503164=CARTESIAN_POINT('Origin',(50.3622,17.495372,0.)); #503165=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #503166=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #503167=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #503168=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #503169=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #503170=CARTESIAN_POINT('Origin',(50.3622,19.272628,0.)); #503171=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #503172=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #503173=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #503174=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #503175=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #503176=CARTESIAN_POINT('Origin',(50.272928,19.3619,0.)); #503177=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #503178=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #503179=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #503180=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #503181=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #503182=CARTESIAN_POINT('Origin',(49.537072,19.3619,0.)); #503183=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #503184=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #503185=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #503186=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #503187=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #503188=CARTESIAN_POINT('Origin',(49.4478,19.272628,0.)); #503189=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #503190=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #503191=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #503192=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #503193=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #503194=CARTESIAN_POINT('Origin',(49.4478,17.495372,0.)); #503195=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #503196=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #503197=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #503198=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #503199=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #503200=CARTESIAN_POINT('Origin',(49.537072,17.4061,0.)); #503201=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #503202=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #503203=CARTESIAN_POINT('Origin',(49.002928,17.4061,0.)); #503204=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #503205=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #503206=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #503207=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #503208=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #503209=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #503210=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #503211=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #503212=CARTESIAN_POINT('Origin',(49.0922,17.495372,0.)); #503213=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #503214=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #503215=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #503216=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #503217=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #503218=CARTESIAN_POINT('Origin',(49.0922,19.272628,0.)); #503219=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #503220=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #503221=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #503222=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #503223=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #503224=CARTESIAN_POINT('Origin',(49.002928,19.3619,0.)); #503225=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #503226=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #503227=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #503228=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #503229=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #503230=CARTESIAN_POINT('Origin',(48.267072,19.3619,0.)); #503231=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #503232=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #503233=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #503234=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #503235=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #503236=CARTESIAN_POINT('Origin',(48.1778,19.272628,0.)); #503237=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #503238=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #503239=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #503240=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #503241=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #503242=CARTESIAN_POINT('Origin',(48.1778,17.495372,0.)); #503243=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #503244=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #503245=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #503246=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #503247=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #503248=CARTESIAN_POINT('Origin',(48.267072,17.4061,0.)); #503249=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #503250=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #503251=CARTESIAN_POINT('Origin',(46.462928,17.4061,0.)); #503252=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #503253=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #503254=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #503255=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #503256=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #503257=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #503258=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #503259=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #503260=CARTESIAN_POINT('Origin',(46.5522,17.495372,0.)); #503261=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #503262=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #503263=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #503264=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #503265=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #503266=CARTESIAN_POINT('Origin',(46.5522,19.272628,0.)); #503267=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #503268=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #503269=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #503270=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #503271=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #503272=CARTESIAN_POINT('Origin',(46.462928,19.3619,0.)); #503273=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #503274=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #503275=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #503276=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #503277=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #503278=CARTESIAN_POINT('Origin',(45.727072,19.3619,0.)); #503279=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #503280=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #503281=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #503282=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #503283=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #503284=CARTESIAN_POINT('Origin',(45.6378,19.272628,0.)); #503285=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #503286=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #503287=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #503288=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #503289=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #503290=CARTESIAN_POINT('Origin',(45.6378,17.495372,0.)); #503291=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #503292=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #503293=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #503294=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #503295=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #503296=CARTESIAN_POINT('Origin',(45.727072,17.4061,0.)); #503297=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #503298=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #503299=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #503300=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #503301=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #503302=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #503303=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #503304=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #503305=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #503306=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #503307=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #503308=CARTESIAN_POINT('Origin',(42.9274,15.822966,0.)); #503309=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #503310=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #503311=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #503312=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #503313=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #503314=CARTESIAN_POINT('Origin',(42.9274,16.177034,0.)); #503315=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #503316=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #503317=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #503318=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #503319=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #503320=CARTESIAN_POINT('Origin',(42.750919,16.353516,0.)); #503321=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #503322=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #503323=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #503324=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #503325=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #503326=CARTESIAN_POINT('Origin',(42.734634,16.377675,0.)); #503327=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #503328=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #503329=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #503330=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #503331=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #503332=CARTESIAN_POINT('Origin',(42.7286,16.407397,0.)); #503333=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #503334=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #503335=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #503336=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #503337=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #503338=CARTESIAN_POINT('Origin',(42.7286,17.344691,0.)); #503339=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #503340=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #503341=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #503342=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #503343=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #503344=CARTESIAN_POINT('Origin',(41.594691,18.4786,0.)); #503345=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #503346=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #503347=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #503348=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #503349=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #503350=CARTESIAN_POINT('Origin',(39.657397,18.4786,0.)); #503351=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #503352=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #503353=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #503354=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #503355=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #503356=CARTESIAN_POINT('Origin',(39.6288,18.484169,0.)); #503357=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #503358=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #503359=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #503360=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #503361=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #503362=CARTESIAN_POINT('Origin',(39.603516,18.500919,0.)); #503363=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #503364=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #503365=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #503366=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #503367=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #503368=CARTESIAN_POINT('Origin',(39.533316,18.571119,0.)); #503369=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #503370=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #503371=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #503372=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #503373=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #503374=CARTESIAN_POINT('Origin',(39.517516,18.594166,0.)); #503375=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #503376=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #503377=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #503378=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #503379=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #503380=CARTESIAN_POINT('Origin',(39.511006,18.623784,0.)); #503381=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #503382=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #503383=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #503384=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #503385=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #503386=CARTESIAN_POINT('Origin',(39.516566,18.653597,0.)); #503387=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #503388=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #503389=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #503390=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #503391=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #503392=CARTESIAN_POINT('Origin',(39.533316,18.678881,0.)); #503393=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #503394=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #503395=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #503396=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #503397=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #503398=CARTESIAN_POINT('Origin',(39.6774,18.822966,0.)); #503399=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #503400=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #503401=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #503402=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #503403=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #503404=CARTESIAN_POINT('Origin',(39.6774,19.177034,0.)); #503405=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #503406=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #503407=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #503408=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #503409=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #503410=CARTESIAN_POINT('Origin',(39.427034,19.4274,0.)); #503411=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #503412=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #503413=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #503414=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #503415=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #503416=CARTESIAN_POINT('Origin',(39.072966,19.4274,0.)); #503417=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #503418=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #503419=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #503420=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #503421=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #503422=CARTESIAN_POINT('Origin',(38.8226,19.177034,0.)); #503423=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #503424=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #503425=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #503426=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #503427=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #503428=CARTESIAN_POINT('Origin',(38.8226,18.822966,0.)); #503429=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #503430=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #503431=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #503432=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #503433=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #503434=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #503435=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #503436=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #503437=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #503438=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #503439=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #503440=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #503441=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #503442=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #503443=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #503444=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #503445=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #503446=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #503447=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #503448=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #503449=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #503450=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #503451=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #503452=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #503453=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #503454=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #503455=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #503456=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #503457=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #503458=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #503459=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #503460=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #503461=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #503462=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #503463=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #503464=CARTESIAN_POINT('Origin',(38.8226,18.427034,0.)); #503465=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #503466=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #503467=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #503468=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #503469=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #503470=CARTESIAN_POINT('Origin',(38.8226,18.072966,0.)); #503471=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #503472=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #503473=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #503474=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #503475=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #503476=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #503477=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #503478=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #503479=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #503480=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #503481=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #503482=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #503483=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #503484=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #503485=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #503486=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #503487=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #503488=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #503489=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #503490=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #503491=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #503492=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #503493=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #503494=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #503495=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #503496=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #503497=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #503498=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #503499=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #503500=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #503501=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #503502=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #503503=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #503504=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #503505=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #503506=CARTESIAN_POINT('Origin',(38.8226,17.677034,0.)); #503507=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #503508=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #503509=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #503510=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #503511=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #503512=CARTESIAN_POINT('Origin',(38.8226,17.322966,0.)); #503513=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #503514=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #503515=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #503516=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #503517=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #503518=CARTESIAN_POINT('Origin',(39.072966,17.0726,0.)); #503519=CARTESIAN_POINT('',(39.072966,17.0726,0.)); #503520=CARTESIAN_POINT('',(39.072966,17.0726,0.)); #503521=CARTESIAN_POINT('',(39.072966,17.0726,0.035)); #503522=CARTESIAN_POINT('',(39.072966,17.0726,0.035)); #503523=CARTESIAN_POINT('',(39.072966,17.0726,0.)); #503524=CARTESIAN_POINT('Origin',(39.427034,17.0726,0.)); #503525=CARTESIAN_POINT('',(39.427034,17.0726,0.)); #503526=CARTESIAN_POINT('',(39.427034,17.0726,0.)); #503527=CARTESIAN_POINT('',(39.427034,17.0726,0.035)); #503528=CARTESIAN_POINT('',(39.427034,17.0726,0.035)); #503529=CARTESIAN_POINT('',(39.427034,17.0726,0.)); #503530=CARTESIAN_POINT('Origin',(39.6774,17.322966,0.)); #503531=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #503532=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #503533=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #503534=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #503535=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #503536=CARTESIAN_POINT('Origin',(39.6774,17.677034,0.)); #503537=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #503538=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #503539=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #503540=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #503541=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #503542=CARTESIAN_POINT('Origin',(39.533316,17.821119,0.)); #503543=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #503544=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #503545=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #503546=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #503547=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #503548=CARTESIAN_POINT('Origin',(39.517516,17.844166,0.)); #503549=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #503550=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #503551=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #503552=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #503553=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #503554=CARTESIAN_POINT('Origin',(39.511006,17.873784,0.)); #503555=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #503556=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #503557=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #503558=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #503559=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #503560=CARTESIAN_POINT('Origin',(39.516566,17.903597,0.)); #503561=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #503562=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #503563=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #503564=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #503565=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #503566=CARTESIAN_POINT('Origin',(39.533316,17.928881,0.)); #503567=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #503568=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #503569=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #503570=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #503571=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #503572=CARTESIAN_POINT('Origin',(39.603516,17.999081,0.)); #503573=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #503574=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #503575=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #503576=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #503577=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #503578=CARTESIAN_POINT('Origin',(39.627675,18.015366,0.)); #503579=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #503580=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #503581=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #503582=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #503583=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #503584=CARTESIAN_POINT('Origin',(39.657397,18.0214,0.)); #503585=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #503586=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #503587=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #503588=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #503589=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #503590=CARTESIAN_POINT('Origin',(41.373747,18.0214,0.)); #503591=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #503592=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #503593=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #503594=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #503595=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #503596=CARTESIAN_POINT('Origin',(41.402344,18.015831,0.)); #503597=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #503598=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #503599=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #503600=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #503601=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #503602=CARTESIAN_POINT('Origin',(41.427628,17.999081,0.)); #503603=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #503604=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #503605=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #503606=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #503607=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #503608=CARTESIAN_POINT('Origin',(42.249081,17.177628,0.)); #503609=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #503610=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #503611=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #503612=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #503613=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #503614=CARTESIAN_POINT('Origin',(42.265366,17.153469,0.)); #503615=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #503616=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #503617=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #503618=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #503619=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #503620=CARTESIAN_POINT('Origin',(42.2714,17.123747,0.)); #503621=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #503622=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #503623=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #503624=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #503625=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #503626=CARTESIAN_POINT('Origin',(42.2714,16.407397,0.)); #503627=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #503628=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #503629=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #503630=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #503631=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #503632=CARTESIAN_POINT('Origin',(42.265831,16.3788,0.)); #503633=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #503634=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #503635=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #503636=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #503637=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #503638=CARTESIAN_POINT('Origin',(42.249081,16.353516,0.)); #503639=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #503640=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #503641=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #503642=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #503643=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #503644=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #503645=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #503646=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #503647=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #503648=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #503649=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #503650=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #503651=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #503652=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #503653=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #503654=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #503655=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #503656=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #503657=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #503658=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #503659=CARTESIAN_POINT('Origin',(47.732928,22.6381,0.)); #503660=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #503661=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #503662=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #503663=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #503664=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #503665=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #503666=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #503667=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #503668=CARTESIAN_POINT('Origin',(47.8222,22.727372,0.)); #503669=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #503670=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #503671=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #503672=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #503673=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #503674=CARTESIAN_POINT('Origin',(47.8222,24.504628,0.)); #503675=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #503676=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #503677=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #503678=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #503679=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #503680=CARTESIAN_POINT('Origin',(47.732928,24.5939,0.)); #503681=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #503682=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #503683=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #503684=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #503685=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #503686=CARTESIAN_POINT('Origin',(47.6698,24.5939,0.)); #503687=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #503688=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #503689=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #503690=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #503691=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #503692=CARTESIAN_POINT('Origin',(47.642331,24.599022,0.)); #503693=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #503694=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #503695=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #503696=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #503697=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #503698=CARTESIAN_POINT('Origin',(47.616784,24.615366,0.)); #503699=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #503700=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #503701=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #503702=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #503703=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #503704=CARTESIAN_POINT('Origin',(47.599634,24.640378,0.)); #503705=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #503706=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #503707=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #503708=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #503709=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #503710=CARTESIAN_POINT('Origin',(47.5936,24.6701,0.)); #503711=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #503712=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #503713=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #503714=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #503715=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #503716=CARTESIAN_POINT('Origin',(47.5936,25.717691,0.)); #503717=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #503718=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #503719=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #503720=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #503721=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #503722=CARTESIAN_POINT('Origin',(47.369219,25.942072,0.)); #503723=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #503724=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #503725=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #503726=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #503727=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #503728=CARTESIAN_POINT('Origin',(47.352934,25.966231,0.)); #503729=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #503730=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #503731=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #503732=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #503733=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #503734=CARTESIAN_POINT('Origin',(47.3469,25.995953,0.)); #503735=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #503736=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #503737=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #503738=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #503739=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #503740=CARTESIAN_POINT('Origin',(47.3469,26.720228,0.)); #503741=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #503742=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #503743=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #503744=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #503745=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #503746=CARTESIAN_POINT('Origin',(47.257628,26.8095,0.)); #503747=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #503748=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #503749=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #503750=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #503751=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #503752=CARTESIAN_POINT('Origin',(46.242372,26.8095,0.)); #503753=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #503754=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #503755=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #503756=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #503757=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #503758=CARTESIAN_POINT('Origin',(46.1531,26.720228,0.)); #503759=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #503760=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #503761=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #503762=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #503763=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #503764=CARTESIAN_POINT('Origin',(46.1531,25.755772,0.)); #503765=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #503766=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #503767=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #503768=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #503769=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #503770=CARTESIAN_POINT('Origin',(46.242372,25.6665,0.)); #503771=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #503772=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #503773=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #503774=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #503775=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #503776=CARTESIAN_POINT('Origin',(46.966647,25.6665,0.)); #503777=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #503778=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #503779=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #503780=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #503781=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #503782=CARTESIAN_POINT('Origin',(46.995244,25.660931,0.)); #503783=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #503784=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #503785=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #503786=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #503787=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #503788=CARTESIAN_POINT('Origin',(47.020528,25.644181,0.)); #503789=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #503790=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #503791=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #503792=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #503793=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #503794=CARTESIAN_POINT('Origin',(47.114081,25.550628,0.)); #503795=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #503796=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #503797=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #503798=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #503799=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #503800=CARTESIAN_POINT('Origin',(47.130366,25.526469,0.)); #503801=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #503802=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #503803=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #503804=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #503805=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #503806=CARTESIAN_POINT('Origin',(47.1364,25.496747,0.)); #503807=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #503808=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #503809=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #503810=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #503811=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #503812=CARTESIAN_POINT('Origin',(47.1364,24.6701,0.)); #503813=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #503814=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #503815=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #503816=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #503817=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #503818=CARTESIAN_POINT('Origin',(47.131278,24.642631,0.)); #503819=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #503820=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #503821=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #503822=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #503823=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #503824=CARTESIAN_POINT('Origin',(47.114934,24.617084,0.)); #503825=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #503826=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #503827=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #503828=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #503829=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #503830=CARTESIAN_POINT('Origin',(47.089922,24.599934,0.)); #503831=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #503832=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #503833=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #503834=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #503835=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #503836=CARTESIAN_POINT('Origin',(47.0602,24.5939,0.)); #503837=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #503838=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #503839=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #503840=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #503841=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #503842=CARTESIAN_POINT('Origin',(46.997072,24.5939,0.)); #503843=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #503844=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #503845=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #503846=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #503847=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #503848=CARTESIAN_POINT('Origin',(46.9078,24.504628,0.)); #503849=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #503850=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #503851=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #503852=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #503853=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #503854=CARTESIAN_POINT('Origin',(46.9078,22.727372,0.)); #503855=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #503856=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #503857=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #503858=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #503859=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #503860=CARTESIAN_POINT('Origin',(46.997072,22.6381,0.)); #503861=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #503862=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #503863=CARTESIAN_POINT('Origin',(49.002928,22.6381,0.)); #503864=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #503865=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #503866=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #503867=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #503868=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #503869=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #503870=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #503871=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #503872=CARTESIAN_POINT('Origin',(49.0922,22.727372,0.)); #503873=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #503874=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #503875=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #503876=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #503877=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #503878=CARTESIAN_POINT('Origin',(49.0922,24.504628,0.)); #503879=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #503880=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #503881=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #503882=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #503883=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #503884=CARTESIAN_POINT('Origin',(49.002928,24.5939,0.)); #503885=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #503886=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #503887=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #503888=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #503889=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #503890=CARTESIAN_POINT('Origin',(48.9398,24.5939,0.)); #503891=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #503892=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #503893=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #503894=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #503895=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #503896=CARTESIAN_POINT('Origin',(48.912331,24.599022,0.)); #503897=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #503898=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #503899=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #503900=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #503901=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #503902=CARTESIAN_POINT('Origin',(48.886784,24.615366,0.)); #503903=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #503904=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #503905=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #503906=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #503907=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #503908=CARTESIAN_POINT('Origin',(48.869634,24.640378,0.)); #503909=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #503910=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #503911=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #503912=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #503913=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #503914=CARTESIAN_POINT('Origin',(48.8636,24.6701,0.)); #503915=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #503916=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #503917=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #503918=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #503919=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #503920=CARTESIAN_POINT('Origin',(48.8636,25.496747,0.)); #503921=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #503922=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #503923=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #503924=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #503925=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #503926=CARTESIAN_POINT('Origin',(48.869169,25.525344,0.)); #503927=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #503928=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #503929=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #503930=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #503931=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #503932=CARTESIAN_POINT('Origin',(48.885919,25.550628,0.)); #503933=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #503934=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #503935=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #503936=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #503937=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #503938=CARTESIAN_POINT('Origin',(48.979472,25.644181,0.)); #503939=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #503940=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #503941=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #503942=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #503943=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #503944=CARTESIAN_POINT('Origin',(49.003631,25.660466,0.)); #503945=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #503946=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #503947=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #503948=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #503949=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #503950=CARTESIAN_POINT('Origin',(49.033353,25.6665,0.)); #503951=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #503952=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #503953=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #503954=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #503955=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #503956=CARTESIAN_POINT('Origin',(49.757628,25.6665,0.)); #503957=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #503958=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #503959=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #503960=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #503961=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #503962=CARTESIAN_POINT('Origin',(49.8469,25.755772,0.)); #503963=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #503964=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #503965=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #503966=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #503967=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #503968=CARTESIAN_POINT('Origin',(49.8469,26.720228,0.)); #503969=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #503970=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #503971=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #503972=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #503973=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #503974=CARTESIAN_POINT('Origin',(49.757628,26.8095,0.)); #503975=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #503976=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #503977=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #503978=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #503979=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #503980=CARTESIAN_POINT('Origin',(48.742372,26.8095,0.)); #503981=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #503982=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #503983=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #503984=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #503985=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #503986=CARTESIAN_POINT('Origin',(48.6531,26.720228,0.)); #503987=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #503988=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #503989=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #503990=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #503991=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #503992=CARTESIAN_POINT('Origin',(48.6531,25.995953,0.)); #503993=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #503994=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #503995=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #503996=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #503997=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #503998=CARTESIAN_POINT('Origin',(48.647531,25.967356,0.)); #503999=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #504000=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #504001=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #504002=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #504003=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #504004=CARTESIAN_POINT('Origin',(48.630781,25.942072,0.)); #504005=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #504006=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #504007=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #504008=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #504009=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #504010=CARTESIAN_POINT('Origin',(48.4064,25.717691,0.)); #504011=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #504012=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #504013=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #504014=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #504015=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #504016=CARTESIAN_POINT('Origin',(48.4064,24.6701,0.)); #504017=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #504018=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #504019=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #504020=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #504021=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #504022=CARTESIAN_POINT('Origin',(48.401278,24.642631,0.)); #504023=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #504024=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #504025=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #504026=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #504027=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #504028=CARTESIAN_POINT('Origin',(48.384934,24.617084,0.)); #504029=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #504030=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #504031=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #504032=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #504033=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #504034=CARTESIAN_POINT('Origin',(48.359922,24.599934,0.)); #504035=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #504036=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #504037=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #504038=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #504039=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #504040=CARTESIAN_POINT('Origin',(48.3302,24.5939,0.)); #504041=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #504042=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #504043=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #504044=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #504045=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #504046=CARTESIAN_POINT('Origin',(48.267072,24.5939,0.)); #504047=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #504048=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #504049=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #504050=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #504051=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #504052=CARTESIAN_POINT('Origin',(48.1778,24.504628,0.)); #504053=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #504054=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #504055=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #504056=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #504057=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #504058=CARTESIAN_POINT('Origin',(48.1778,22.727372,0.)); #504059=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #504060=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #504061=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #504062=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #504063=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #504064=CARTESIAN_POINT('Origin',(48.267072,22.6381,0.)); #504065=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #504066=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #504067=CARTESIAN_POINT('Origin',(53.745953,19.1484,0.)); #504068=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #504069=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #504070=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #504071=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #504072=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #504073=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #504074=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #504075=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #504076=CARTESIAN_POINT('Origin',(53.771309,19.1511,0.)); #504077=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #504078=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #504079=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #504080=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #504081=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #504082=CARTESIAN_POINT('Origin',(53.791663,19.157806,0.)); #504083=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #504084=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #504085=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #504086=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #504087=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #504088=CARTESIAN_POINT('Origin',(53.811197,19.169269,0.)); #504089=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #504090=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #504091=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #504092=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #504093=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #504094=CARTESIAN_POINT('Origin',(53.823866,19.180178,0.)); #504095=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #504096=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #504097=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #504098=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #504099=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #504100=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #504101=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #504102=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #504103=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #504104=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #504105=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #504106=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #504107=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #504108=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #504109=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #504110=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #504111=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #504112=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #504113=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #504114=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #504115=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #504116=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #504117=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #504118=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #504119=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #504120=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #504121=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #504122=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #504123=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #504124=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #504125=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #504126=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #504127=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #504128=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #504129=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #504130=CARTESIAN_POINT('Origin',(54.1016,35.495953,0.)); #504131=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #504132=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #504133=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #504134=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #504135=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #504136=CARTESIAN_POINT('Origin',(54.0989,35.521309,0.)); #504137=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #504138=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #504139=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #504140=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #504141=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #504142=CARTESIAN_POINT('Origin',(54.092194,35.541663,0.)); #504143=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #504144=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #504145=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #504146=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #504147=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #504148=CARTESIAN_POINT('Origin',(54.080731,35.561197,0.)); #504149=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #504150=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #504151=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #504152=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #504153=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #504154=CARTESIAN_POINT('Origin',(54.069822,35.573866,0.)); #504155=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #504156=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #504157=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #504158=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #504159=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #504160=CARTESIAN_POINT('Origin',(53.824709,35.818978,0.)); #504161=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #504162=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #504163=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #504164=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #504165=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #504166=CARTESIAN_POINT('Origin',(53.804869,35.834997,0.)); #504167=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #504168=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #504169=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #504170=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #504171=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #504172=CARTESIAN_POINT('Origin',(53.785728,35.844647,0.)); #504173=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #504174=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #504175=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #504176=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #504177=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #504178=CARTESIAN_POINT('Origin',(53.763816,35.850359,0.)); #504179=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #504180=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #504181=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #504182=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #504183=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #504184=CARTESIAN_POINT('Origin',(53.747153,35.8516,0.)); #504185=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #504186=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #504187=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #504188=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #504189=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #504190=CARTESIAN_POINT('Origin',(51.504047,35.8516,0.)); #504191=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #504192=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #504193=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #504194=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #504195=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #504196=CARTESIAN_POINT('Origin',(51.478691,35.8489,0.)); #504197=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #504198=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #504199=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #504200=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #504201=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #504202=CARTESIAN_POINT('Origin',(51.458337,35.842194,0.)); #504203=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #504204=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #504205=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #504206=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #504207=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #504208=CARTESIAN_POINT('Origin',(51.438803,35.830731,0.)); #504209=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #504210=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #504211=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #504212=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #504213=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #504214=CARTESIAN_POINT('Origin',(51.426134,35.819822,0.)); #504215=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #504216=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #504217=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #504218=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #504219=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #504220=CARTESIAN_POINT('Origin',(51.181022,35.574709,0.)); #504221=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #504222=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #504223=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #504224=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #504225=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #504226=CARTESIAN_POINT('Origin',(51.165003,35.554869,0.)); #504227=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #504228=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #504229=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #504230=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #504231=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #504232=CARTESIAN_POINT('Origin',(51.155353,35.535728,0.)); #504233=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #504234=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #504235=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #504236=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #504237=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #504238=CARTESIAN_POINT('Origin',(51.149641,35.513816,0.)); #504239=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #504240=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #504241=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #504242=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #504243=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #504244=CARTESIAN_POINT('Origin',(51.1484,35.497153,0.)); #504245=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #504246=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #504247=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #504248=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #504249=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #504250=CARTESIAN_POINT('Origin',(51.1484,19.504047,0.)); #504251=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #504252=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #504253=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #504254=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #504255=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #504256=CARTESIAN_POINT('Origin',(51.1511,19.478691,0.)); #504257=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #504258=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #504259=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #504260=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #504261=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #504262=CARTESIAN_POINT('Origin',(51.157806,19.458338,0.)); #504263=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #504264=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #504265=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #504266=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #504267=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #504268=CARTESIAN_POINT('Origin',(51.169269,19.438803,0.)); #504269=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #504270=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #504271=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #504272=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #504273=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #504274=CARTESIAN_POINT('Origin',(51.180178,19.426134,0.)); #504275=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #504276=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #504277=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #504278=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #504279=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #504280=CARTESIAN_POINT('Origin',(51.425291,19.181022,0.)); #504281=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #504282=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #504283=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #504284=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #504285=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #504286=CARTESIAN_POINT('Origin',(51.445131,19.165003,0.)); #504287=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #504288=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #504289=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #504290=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #504291=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #504292=CARTESIAN_POINT('Origin',(51.464272,19.155353,0.)); #504293=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #504294=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #504295=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #504296=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #504297=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #504298=CARTESIAN_POINT('Origin',(51.486184,19.149641,0.)); #504299=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #504300=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #504301=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #504302=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #504303=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #504304=CARTESIAN_POINT('Origin',(51.502847,19.1484,0.)); #504305=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #504306=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #504307=CARTESIAN_POINT('Origin',(32.939338,43.9476,0.)); #504308=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #504309=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #504310=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #504311=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #504312=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #504313=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #504314=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #504315=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #504316=CARTESIAN_POINT('Origin',(33.326138,44.107819,0.)); #504317=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #504318=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #504319=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #504320=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #504321=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #504322=CARTESIAN_POINT('Origin',(33.622181,44.403863,0.)); #504323=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #504324=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #504325=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #504326=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #504327=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #504328=CARTESIAN_POINT('Origin',(33.7824,44.790663,0.)); #504329=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #504330=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #504331=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #504332=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #504333=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #504334=CARTESIAN_POINT('Origin',(33.7824,45.209338,0.)); #504335=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #504336=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #504337=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #504338=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #504339=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #504340=CARTESIAN_POINT('Origin',(33.622181,45.596138,0.)); #504341=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #504342=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #504343=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #504344=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #504345=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #504346=CARTESIAN_POINT('Origin',(33.326138,45.892181,0.)); #504347=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #504348=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #504349=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #504350=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #504351=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #504352=CARTESIAN_POINT('Origin',(32.939338,46.0524,0.)); #504353=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #504354=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #504355=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #504356=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #504357=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #504358=CARTESIAN_POINT('Origin',(32.520663,46.0524,0.)); #504359=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #504360=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #504361=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #504362=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #504363=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #504364=CARTESIAN_POINT('Origin',(32.133862,45.892181,0.)); #504365=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #504366=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #504367=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #504368=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #504369=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #504370=CARTESIAN_POINT('Origin',(31.837819,45.596138,0.)); #504371=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #504372=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #504373=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #504374=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #504375=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #504376=CARTESIAN_POINT('Origin',(31.6776,45.209338,0.)); #504377=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #504378=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #504379=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #504380=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #504381=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #504382=CARTESIAN_POINT('Origin',(31.6776,44.790663,0.)); #504383=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #504384=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #504385=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #504386=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #504387=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #504388=CARTESIAN_POINT('Origin',(31.837819,44.403863,0.)); #504389=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #504390=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #504391=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #504392=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #504393=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #504394=CARTESIAN_POINT('Origin',(32.133862,44.107819,0.)); #504395=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #504396=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #504397=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #504398=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #504399=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #504400=CARTESIAN_POINT('Origin',(32.520663,43.9476,0.)); #504401=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #504402=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #504403=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #504404=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #504405=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #504406=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #504407=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #504408=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #504409=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #504410=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #504411=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #504412=CARTESIAN_POINT('Origin',(34.446119,12.029184,0.)); #504413=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #504414=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #504415=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #504416=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #504417=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #504418=CARTESIAN_POINT('Origin',(34.469166,12.044984,0.)); #504419=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #504420=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #504421=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #504422=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #504423=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #504424=CARTESIAN_POINT('Origin',(34.498784,12.051494,0.)); #504425=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #504426=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #504427=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #504428=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #504429=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #504430=CARTESIAN_POINT('Origin',(34.528597,12.045934,0.)); #504431=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #504432=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #504433=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #504434=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #504435=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #504436=CARTESIAN_POINT('Origin',(34.553881,12.029184,0.)); #504437=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #504438=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #504439=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #504440=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #504441=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #504442=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #504443=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #504444=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #504445=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #504446=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #504447=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #504448=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #504449=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #504450=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #504451=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #504452=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #504453=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #504454=CARTESIAN_POINT('Origin',(35.291016,11.999081,0.)); #504455=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #504456=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #504457=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #504458=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #504459=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #504460=CARTESIAN_POINT('Origin',(35.315175,12.015366,0.)); #504461=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #504462=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #504463=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #504464=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #504465=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #504466=CARTESIAN_POINT('Origin',(35.344897,12.0214,0.)); #504467=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #504468=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #504469=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #504470=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #504471=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #504472=CARTESIAN_POINT('Origin',(40.591791,12.0214,0.)); #504473=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #504474=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #504475=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #504476=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #504477=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #504478=CARTESIAN_POINT('Origin',(41.123572,12.553181,0.)); #504479=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #504480=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #504481=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #504482=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #504483=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #504484=CARTESIAN_POINT('Origin',(41.147731,12.569466,0.)); #504485=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #504486=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #504487=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #504488=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #504489=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #504490=CARTESIAN_POINT('Origin',(41.177453,12.5755,0.)); #504491=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #504492=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #504493=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #504494=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #504495=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #504496=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #504497=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #504498=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #504499=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #504500=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #504501=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #504502=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #504503=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #504504=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #504505=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #504506=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #504507=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #504508=CARTESIAN_POINT('Origin',(41.6774,13.179934,0.)); #504509=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #504510=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #504511=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #504512=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #504513=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #504514=CARTESIAN_POINT('Origin',(41.427034,13.4303,0.)); #504515=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #504516=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #504517=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #504518=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #504519=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #504520=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #504521=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #504522=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #504523=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #504524=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #504525=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #504526=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #504527=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #504528=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #504529=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #504530=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #504531=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #504532=CARTESIAN_POINT('Origin',(40.8226,12.930353,0.)); #504533=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #504534=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #504535=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #504536=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #504537=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #504538=CARTESIAN_POINT('Origin',(40.817031,12.901756,0.)); #504539=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #504540=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #504541=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #504542=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #504543=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #504544=CARTESIAN_POINT('Origin',(40.800281,12.876472,0.)); #504545=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #504546=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #504547=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #504548=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #504549=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #504550=CARTESIAN_POINT('Origin',(40.424728,12.500919,0.)); #504551=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #504552=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #504553=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #504554=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #504555=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #504556=CARTESIAN_POINT('Origin',(40.400569,12.484634,0.)); #504557=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #504558=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #504559=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #504560=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #504561=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #504562=CARTESIAN_POINT('Origin',(40.370847,12.4786,0.)); #504563=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #504564=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #504565=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #504566=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #504567=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #504568=CARTESIAN_POINT('Origin',(35.344897,12.4786,0.)); #504569=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #504570=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #504571=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #504572=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #504573=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #504574=CARTESIAN_POINT('Origin',(35.3163,12.484169,0.)); #504575=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #504576=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #504577=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #504578=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #504579=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #504580=CARTESIAN_POINT('Origin',(35.291016,12.500919,0.)); #504581=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #504582=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #504583=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #504584=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #504585=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #504586=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #504587=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #504588=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #504589=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #504590=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #504591=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #504592=CARTESIAN_POINT('Origin',(34.760466,12.6774,0.)); #504593=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #504594=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #504595=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #504596=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #504597=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #504598=CARTESIAN_POINT('Origin',(34.553881,12.470816,0.)); #504599=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #504600=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #504601=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #504602=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #504603=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #504604=CARTESIAN_POINT('Origin',(34.530834,12.455016,0.)); #504605=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #504606=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #504607=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #504608=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #504609=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #504610=CARTESIAN_POINT('Origin',(34.501216,12.448506,0.)); #504611=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #504612=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #504613=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #504614=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #504615=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #504616=CARTESIAN_POINT('Origin',(34.471403,12.454066,0.)); #504617=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #504618=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #504619=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #504620=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #504621=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #504622=CARTESIAN_POINT('Origin',(34.446119,12.470816,0.)); #504623=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #504624=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #504625=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #504626=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #504627=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #504628=CARTESIAN_POINT('Origin',(34.239534,12.6774,0.)); #504629=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #504630=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #504631=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #504632=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #504633=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #504634=CARTESIAN_POINT('Origin',(33.885466,12.6774,0.)); #504635=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #504636=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #504637=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #504638=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #504639=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #504640=CARTESIAN_POINT('Origin',(33.708984,12.500919,0.)); #504641=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #504642=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #504643=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #504644=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #504645=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #504646=CARTESIAN_POINT('Origin',(33.684825,12.484634,0.)); #504647=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #504648=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #504649=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #504650=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #504651=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #504652=CARTESIAN_POINT('Origin',(33.655103,12.4786,0.)); #504653=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #504654=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #504655=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #504656=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #504657=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #504658=CARTESIAN_POINT('Origin',(31.266997,12.4786,0.)); #504659=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #504660=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #504661=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #504662=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #504663=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #504664=CARTESIAN_POINT('Origin',(31.240666,12.483294,0.)); #504665=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #504666=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #504667=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #504668=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #504669=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #504670=CARTESIAN_POINT('Origin',(31.214859,12.499228,0.)); #504671=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #504672=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #504673=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #504674=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #504675=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #504676=CARTESIAN_POINT('Origin',(31.197316,12.523966,0.)); #504677=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #504678=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #504679=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #504680=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #504681=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #504682=CARTESIAN_POINT('Origin',(31.190806,12.553584,0.)); #504683=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #504684=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #504685=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #504686=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #504687=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #504688=CARTESIAN_POINT('Origin',(31.196366,12.583397,0.)); #504689=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #504690=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #504691=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #504692=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #504693=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #504694=CARTESIAN_POINT('Origin',(31.213116,12.608681,0.)); #504695=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #504696=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #504697=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #504698=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #504699=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #504700=CARTESIAN_POINT('Origin',(31.4274,12.822966,0.)); #504701=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #504702=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #504703=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #504704=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #504705=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #504706=CARTESIAN_POINT('Origin',(31.4274,13.177034,0.)); #504707=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #504708=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #504709=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #504710=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #504711=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #504712=CARTESIAN_POINT('Origin',(31.177034,13.4274,0.)); #504713=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #504714=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #504715=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #504716=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #504717=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #504718=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #504719=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #504720=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #504721=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #504722=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #504723=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #504724=CARTESIAN_POINT('Origin',(30.646484,13.250919,0.)); #504725=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #504726=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #504727=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #504728=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #504729=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #504730=CARTESIAN_POINT('Origin',(30.622325,13.234634,0.)); #504731=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #504732=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #504733=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #504734=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #504735=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #504736=CARTESIAN_POINT('Origin',(30.592603,13.2286,0.)); #504737=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #504738=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #504739=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #504740=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #504741=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #504742=CARTESIAN_POINT('Origin',(30.376253,13.2286,0.)); #504743=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #504744=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #504745=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #504746=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #504747=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #504748=CARTESIAN_POINT('Origin',(30.347656,13.234169,0.)); #504749=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #504750=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #504751=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #504752=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #504753=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #504754=CARTESIAN_POINT('Origin',(30.322372,13.250919,0.)); #504755=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #504756=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #504757=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #504758=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #504759=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #504760=CARTESIAN_POINT('Origin',(27.250919,16.322372,0.)); #504761=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #504762=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #504763=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #504764=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #504765=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #504766=CARTESIAN_POINT('Origin',(27.234634,16.346531,0.)); #504767=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #504768=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #504769=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #504770=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #504771=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #504772=CARTESIAN_POINT('Origin',(27.2286,16.376253,0.)); #504773=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #504774=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #504775=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #504776=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #504777=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #504778=CARTESIAN_POINT('Origin',(27.2286,18.3269,0.)); #504779=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #504780=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #504781=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #504782=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #504783=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #504784=CARTESIAN_POINT('Origin',(27.233722,18.354369,0.)); #504785=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #504786=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #504787=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #504788=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #504789=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #504790=CARTESIAN_POINT('Origin',(27.250066,18.379916,0.)); #504791=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #504792=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #504793=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #504794=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #504795=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #504796=CARTESIAN_POINT('Origin',(27.275078,18.397066,0.)); #504797=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #504798=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #504799=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #504800=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #504801=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #504802=CARTESIAN_POINT('Origin',(27.3048,18.4031,0.)); #504803=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #504804=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #504805=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #504806=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #504807=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #504808=CARTESIAN_POINT('Origin',(28.244228,18.4031,0.)); #504809=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #504810=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #504811=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #504812=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #504813=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #504814=CARTESIAN_POINT('Origin',(28.3335,18.492372,0.)); #504815=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #504816=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #504817=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #504818=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #504819=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #504820=CARTESIAN_POINT('Origin',(28.3335,19.507628,0.)); #504821=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #504822=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #504823=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #504824=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #504825=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #504826=CARTESIAN_POINT('Origin',(28.244228,19.5969,0.)); #504827=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #504828=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #504829=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #504830=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #504831=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #504832=CARTESIAN_POINT('Origin',(27.3048,19.5969,0.)); #504833=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #504834=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #504835=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #504836=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #504837=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #504838=CARTESIAN_POINT('Origin',(27.277331,19.602022,0.)); #504839=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #504840=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #504841=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #504842=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #504843=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #504844=CARTESIAN_POINT('Origin',(27.251784,19.618366,0.)); #504845=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #504846=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #504847=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #504848=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #504849=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #504850=CARTESIAN_POINT('Origin',(27.234634,19.643378,0.)); #504851=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #504852=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #504853=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #504854=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #504855=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #504856=CARTESIAN_POINT('Origin',(27.2286,19.6731,0.)); #504857=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #504858=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #504859=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #504860=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #504861=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #504862=CARTESIAN_POINT('Origin',(27.2286,20.748747,0.)); #504863=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #504864=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #504865=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #504866=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #504867=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #504868=CARTESIAN_POINT('Origin',(27.234169,20.777344,0.)); #504869=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #504870=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #504871=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #504872=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #504873=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #504874=CARTESIAN_POINT('Origin',(27.250919,20.802628,0.)); #504875=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #504876=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #504877=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #504878=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #504879=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #504880=CARTESIAN_POINT('Origin',(28.072372,21.624081,0.)); #504881=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #504882=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #504883=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #504884=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #504885=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #504886=CARTESIAN_POINT('Origin',(28.096531,21.640366,0.)); #504887=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #504888=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #504889=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #504890=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #504891=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #504892=CARTESIAN_POINT('Origin',(28.126253,21.6464,0.)); #504893=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #504894=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #504895=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #504896=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #504897=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #504898=CARTESIAN_POINT('Origin',(36.748747,21.6464,0.)); #504899=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #504900=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #504901=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #504902=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #504903=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #504904=CARTESIAN_POINT('Origin',(36.777344,21.640831,0.)); #504905=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #504906=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #504907=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #504908=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #504909=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #504910=CARTESIAN_POINT('Origin',(36.802628,21.624081,0.)); #504911=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #504912=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #504913=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #504914=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #504915=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #504916=CARTESIAN_POINT('Origin',(37.050281,21.376428,0.)); #504917=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #504918=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #504919=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #504920=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #504921=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #504922=CARTESIAN_POINT('Origin',(37.066566,21.352269,0.)); #504923=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #504924=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #504925=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #504926=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #504927=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #504928=CARTESIAN_POINT('Origin',(37.0726,21.322547,0.)); #504929=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #504930=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #504931=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #504932=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #504933=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #504934=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #504935=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #504936=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #504937=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #504938=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #504939=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #504940=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #504941=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #504942=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #504943=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #504944=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #504945=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #504946=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #504947=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #504948=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #504949=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #504950=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #504951=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #504952=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #504953=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #504954=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #504955=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #504956=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #504957=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #504958=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #504959=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #504960=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #504961=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #504962=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #504963=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #504964=CARTESIAN_POINT('Origin',(37.838116,21.516319,0.)); #504965=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #504966=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #504967=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #504968=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #504969=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #504970=CARTESIAN_POINT('Origin',(37.822816,21.538256,0.)); #504971=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #504972=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #504973=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #504974=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #504975=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #504976=CARTESIAN_POINT('Origin',(37.815834,21.567772,0.)); #504977=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #504978=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #504979=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #504980=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #504981=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #504982=CARTESIAN_POINT('Origin',(37.820919,21.597669,0.)); #504983=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #504984=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #504985=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #504986=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #504987=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #504988=CARTESIAN_POINT('Origin',(37.837263,21.623216,0.)); #504989=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #504990=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #504991=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #504992=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #504993=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #504994=CARTESIAN_POINT('Origin',(37.862275,21.640366,0.)); #504995=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #504996=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #504997=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #504998=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #504999=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #505000=CARTESIAN_POINT('Origin',(37.891997,21.6464,0.)); #505001=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #505002=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #505003=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #505004=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #505005=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #505006=CARTESIAN_POINT('Origin',(46.373747,21.6464,0.)); #505007=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #505008=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #505009=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #505010=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #505011=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #505012=CARTESIAN_POINT('Origin',(46.402344,21.640831,0.)); #505013=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #505014=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #505015=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #505016=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #505017=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #505018=CARTESIAN_POINT('Origin',(46.427628,21.624081,0.)); #505019=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #505020=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #505021=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #505022=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #505023=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #505024=CARTESIAN_POINT('Origin',(47.114081,20.937628,0.)); #505025=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #505026=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #505027=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #505028=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #505029=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #505030=CARTESIAN_POINT('Origin',(47.130366,20.913469,0.)); #505031=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #505032=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #505033=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #505034=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #505035=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #505036=CARTESIAN_POINT('Origin',(47.1364,20.883747,0.)); #505037=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #505038=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #505039=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #505040=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #505041=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #505042=CARTESIAN_POINT('Origin',(47.1364,19.4381,0.)); #505043=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #505044=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #505045=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #505046=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #505047=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #505048=CARTESIAN_POINT('Origin',(47.131278,19.410631,0.)); #505049=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #505050=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #505051=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #505052=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #505053=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #505054=CARTESIAN_POINT('Origin',(47.114934,19.385084,0.)); #505055=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #505056=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #505057=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #505058=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #505059=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #505060=CARTESIAN_POINT('Origin',(47.089922,19.367934,0.)); #505061=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #505062=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #505063=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #505064=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #505065=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #505066=CARTESIAN_POINT('Origin',(47.0602,19.3619,0.)); #505067=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #505068=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #505069=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #505070=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #505071=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #505072=CARTESIAN_POINT('Origin',(46.997072,19.3619,0.)); #505073=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #505074=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #505075=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #505076=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #505077=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #505078=CARTESIAN_POINT('Origin',(46.9078,19.272628,0.)); #505079=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #505080=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #505081=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #505082=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #505083=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #505084=CARTESIAN_POINT('Origin',(46.9078,17.495372,0.)); #505085=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #505086=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #505087=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #505088=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #505089=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #505090=CARTESIAN_POINT('Origin',(46.997072,17.4061,0.)); #505091=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #505092=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #505093=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #505094=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #505095=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #505096=CARTESIAN_POINT('Origin',(47.732928,17.4061,0.)); #505097=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #505098=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #505099=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #505100=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #505101=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #505102=CARTESIAN_POINT('Origin',(47.8222,17.495372,0.)); #505103=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #505104=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #505105=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #505106=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #505107=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #505108=CARTESIAN_POINT('Origin',(47.8222,19.272628,0.)); #505109=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #505110=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #505111=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #505112=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #505113=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #505114=CARTESIAN_POINT('Origin',(47.732928,19.3619,0.)); #505115=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #505116=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #505117=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #505118=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #505119=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #505120=CARTESIAN_POINT('Origin',(47.6698,19.3619,0.)); #505121=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #505122=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #505123=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #505124=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #505125=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #505126=CARTESIAN_POINT('Origin',(47.642331,19.367022,0.)); #505127=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #505128=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #505129=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #505130=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #505131=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #505132=CARTESIAN_POINT('Origin',(47.616784,19.383366,0.)); #505133=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #505134=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #505135=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #505136=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #505137=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #505138=CARTESIAN_POINT('Origin',(47.599634,19.408378,0.)); #505139=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #505140=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #505141=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #505142=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #505143=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #505144=CARTESIAN_POINT('Origin',(47.5936,19.4381,0.)); #505145=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #505146=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #505147=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #505148=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #505149=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #505150=CARTESIAN_POINT('Origin',(47.5936,21.104691,0.)); #505151=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #505152=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #505153=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #505154=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #505155=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #505156=CARTESIAN_POINT('Origin',(46.806972,21.891319,0.)); #505157=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #505158=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #505159=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #505160=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #505161=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #505162=CARTESIAN_POINT('Origin',(46.791672,21.913256,0.)); #505163=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #505164=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #505165=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #505166=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #505167=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #505168=CARTESIAN_POINT('Origin',(46.784691,21.942772,0.)); #505169=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #505170=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #505171=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #505172=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #505173=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #505174=CARTESIAN_POINT('Origin',(46.789775,21.972669,0.)); #505175=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #505176=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #505177=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #505178=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #505179=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #505180=CARTESIAN_POINT('Origin',(46.806119,21.998216,0.)); #505181=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #505182=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #505183=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #505184=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #505185=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #505186=CARTESIAN_POINT('Origin',(46.831131,22.015366,0.)); #505187=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #505188=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #505189=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #505190=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #505191=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #505192=CARTESIAN_POINT('Origin',(46.860853,22.0214,0.)); #505193=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #505194=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #505195=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #505196=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #505197=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #505198=CARTESIAN_POINT('Origin',(49.282191,22.0214,0.)); #505199=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #505200=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #505201=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #505202=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #505203=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #505204=CARTESIAN_POINT('Origin',(49.876572,22.615781,0.)); #505205=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #505206=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #505207=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #505208=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #505209=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #505210=CARTESIAN_POINT('Origin',(49.900731,22.632066,0.)); #505211=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #505212=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #505213=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #505214=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #505215=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #505216=CARTESIAN_POINT('Origin',(49.930453,22.6381,0.)); #505217=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #505218=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #505219=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #505220=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #505221=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #505222=CARTESIAN_POINT('Origin',(50.272928,22.6381,0.)); #505223=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #505224=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #505225=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #505226=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #505227=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #505228=CARTESIAN_POINT('Origin',(50.3622,22.727372,0.)); #505229=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #505230=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #505231=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #505232=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #505233=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #505234=CARTESIAN_POINT('Origin',(50.3622,24.504628,0.)); #505235=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #505236=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #505237=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #505238=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #505239=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #505240=CARTESIAN_POINT('Origin',(50.272928,24.5939,0.)); #505241=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #505242=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #505243=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #505244=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #505245=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #505246=CARTESIAN_POINT('Origin',(49.537072,24.5939,0.)); #505247=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #505248=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #505249=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #505250=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #505251=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #505252=CARTESIAN_POINT('Origin',(49.4478,24.504628,0.)); #505253=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #505254=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #505255=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #505256=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #505257=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #505258=CARTESIAN_POINT('Origin',(49.4478,22.865153,0.)); #505259=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #505260=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #505261=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #505262=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #505263=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #505264=CARTESIAN_POINT('Origin',(49.442231,22.836556,0.)); #505265=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #505266=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #505267=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #505268=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #505269=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #505270=CARTESIAN_POINT('Origin',(49.425481,22.811272,0.)); #505271=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #505272=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #505273=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #505274=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #505275=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #505276=CARTESIAN_POINT('Origin',(49.115128,22.500919,0.)); #505277=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #505278=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #505279=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #505280=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #505281=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #505282=CARTESIAN_POINT('Origin',(49.090969,22.484634,0.)); #505283=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #505284=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #505285=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #505286=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #505287=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #505288=CARTESIAN_POINT('Origin',(49.061247,22.4786,0.)); #505289=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #505290=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #505291=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #505292=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #505293=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #505294=CARTESIAN_POINT('Origin',(40.8857,22.4786,0.)); #505295=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #505296=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #505297=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #505298=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #505299=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #505300=CARTESIAN_POINT('Origin',(40.858231,22.483722,0.)); #505301=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #505302=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #505303=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #505304=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #505305=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #505306=CARTESIAN_POINT('Origin',(40.832684,22.500066,0.)); #505307=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #505308=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #505309=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #505310=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #505311=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #505312=CARTESIAN_POINT('Origin',(40.815534,22.525078,0.)); #505313=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #505314=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #505315=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #505316=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #505317=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #505318=CARTESIAN_POINT('Origin',(40.8095,22.5548,0.)); #505319=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #505320=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #505321=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #505322=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #505323=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #505324=CARTESIAN_POINT('Origin',(40.8095,23.507628,0.)); #505325=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #505326=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #505327=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #505328=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #505329=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #505330=CARTESIAN_POINT('Origin',(40.720228,23.5969,0.)); #505331=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #505332=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #505333=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #505334=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #505335=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #505336=CARTESIAN_POINT('Origin',(39.755772,23.5969,0.)); #505337=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #505338=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #505339=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #505340=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #505341=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #505342=CARTESIAN_POINT('Origin',(39.6665,23.507628,0.)); #505343=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #505344=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #505345=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #505346=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #505347=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #505348=CARTESIAN_POINT('Origin',(39.6665,23.3048,0.)); #505349=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #505350=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #505351=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #505352=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #505353=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #505354=CARTESIAN_POINT('Origin',(39.661378,23.277331,0.)); #505355=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #505356=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #505357=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #505358=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #505359=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #505360=CARTESIAN_POINT('Origin',(39.645034,23.251784,0.)); #505361=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #505362=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #505363=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #505364=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #505365=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #505366=CARTESIAN_POINT('Origin',(39.620022,23.234634,0.)); #505367=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #505368=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #505369=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #505370=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #505371=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #505372=CARTESIAN_POINT('Origin',(39.5903,23.2286,0.)); #505373=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #505374=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #505375=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #505376=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #505377=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #505378=CARTESIAN_POINT('Origin',(37.626253,23.2286,0.)); #505379=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #505380=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #505381=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #505382=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #505383=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #505384=CARTESIAN_POINT('Origin',(37.597656,23.234169,0.)); #505385=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #505386=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #505387=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #505388=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #505389=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #505390=CARTESIAN_POINT('Origin',(37.572372,23.250919,0.)); #505391=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #505392=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #505393=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #505394=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #505395=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #505396=CARTESIAN_POINT('Origin',(36.750919,24.072372,0.)); #505397=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #505398=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #505399=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #505400=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #505401=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #505402=CARTESIAN_POINT('Origin',(36.734634,24.096531,0.)); #505403=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #505404=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #505405=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #505406=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #505407=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #505408=CARTESIAN_POINT('Origin',(36.7286,24.126253,0.)); #505409=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #505410=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #505411=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #505412=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #505413=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #505414=CARTESIAN_POINT('Origin',(36.7286,24.317025,0.)); #505415=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #505416=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #505417=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #505418=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #505419=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #505420=CARTESIAN_POINT('Origin',(36.733944,24.345059,0.)); #505421=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #505422=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #505423=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #505424=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #505425=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #505426=CARTESIAN_POINT('Origin',(36.750491,24.370475,0.)); #505427=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #505428=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #505429=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #505430=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #505431=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #505432=CARTESIAN_POINT('Origin',(36.775641,24.387425,0.)); #505433=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #505434=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #505435=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #505436=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #505437=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #505438=CARTESIAN_POINT('Origin',(37.011169,24.484984,0.)); #505439=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #505440=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #505441=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #505442=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #505443=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #505444=CARTESIAN_POINT('Origin',(37.265016,24.738831,0.)); #505445=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #505446=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #505447=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #505448=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #505449=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #505450=CARTESIAN_POINT('Origin',(37.4024,25.0705,0.)); #505451=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #505452=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #505453=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #505454=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #505455=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #505456=CARTESIAN_POINT('Origin',(37.4024,25.0722,0.)); #505457=CARTESIAN_POINT('',(37.4024,25.0722,0.)); #505458=CARTESIAN_POINT('',(37.4024,25.0722,0.)); #505459=CARTESIAN_POINT('',(37.4024,25.0722,0.035)); #505460=CARTESIAN_POINT('',(37.4024,25.0722,0.035)); #505461=CARTESIAN_POINT('',(37.4024,25.0722,0.)); #505462=CARTESIAN_POINT('Origin',(37.407522,25.099669,0.)); #505463=CARTESIAN_POINT('',(37.407522,25.099669,0.)); #505464=CARTESIAN_POINT('',(37.407522,25.099669,0.)); #505465=CARTESIAN_POINT('',(37.407522,25.099669,0.035)); #505466=CARTESIAN_POINT('',(37.407522,25.099669,0.035)); #505467=CARTESIAN_POINT('',(37.407522,25.099669,0.)); #505468=CARTESIAN_POINT('Origin',(37.423866,25.125216,0.)); #505469=CARTESIAN_POINT('',(37.423866,25.125216,0.)); #505470=CARTESIAN_POINT('',(37.423866,25.125216,0.)); #505471=CARTESIAN_POINT('',(37.423866,25.125216,0.035)); #505472=CARTESIAN_POINT('',(37.423866,25.125216,0.035)); #505473=CARTESIAN_POINT('',(37.423866,25.125216,0.)); #505474=CARTESIAN_POINT('Origin',(37.448878,25.142366,0.)); #505475=CARTESIAN_POINT('',(37.448878,25.142366,0.)); #505476=CARTESIAN_POINT('',(37.448878,25.142366,0.)); #505477=CARTESIAN_POINT('',(37.448878,25.142366,0.035)); #505478=CARTESIAN_POINT('',(37.448878,25.142366,0.035)); #505479=CARTESIAN_POINT('',(37.448878,25.142366,0.)); #505480=CARTESIAN_POINT('Origin',(37.4786,25.1484,0.)); #505481=CARTESIAN_POINT('',(37.4786,25.1484,0.)); #505482=CARTESIAN_POINT('',(37.4786,25.1484,0.)); #505483=CARTESIAN_POINT('',(37.4786,25.1484,0.035)); #505484=CARTESIAN_POINT('',(37.4786,25.1484,0.035)); #505485=CARTESIAN_POINT('',(37.4786,25.1484,0.)); #505486=CARTESIAN_POINT('Origin',(37.995953,25.1484,0.)); #505487=CARTESIAN_POINT('',(37.995953,25.1484,0.)); #505488=CARTESIAN_POINT('',(37.995953,25.1484,0.)); #505489=CARTESIAN_POINT('',(37.995953,25.1484,0.035)); #505490=CARTESIAN_POINT('',(37.995953,25.1484,0.035)); #505491=CARTESIAN_POINT('',(37.995953,25.1484,0.)); #505492=CARTESIAN_POINT('Origin',(38.021309,25.1511,0.)); #505493=CARTESIAN_POINT('',(38.021309,25.1511,0.)); #505494=CARTESIAN_POINT('',(38.021309,25.1511,0.)); #505495=CARTESIAN_POINT('',(38.021309,25.1511,0.035)); #505496=CARTESIAN_POINT('',(38.021309,25.1511,0.035)); #505497=CARTESIAN_POINT('',(38.021309,25.1511,0.)); #505498=CARTESIAN_POINT('Origin',(38.041663,25.157806,0.)); #505499=CARTESIAN_POINT('',(38.041663,25.157806,0.)); #505500=CARTESIAN_POINT('',(38.041663,25.157806,0.)); #505501=CARTESIAN_POINT('',(38.041663,25.157806,0.035)); #505502=CARTESIAN_POINT('',(38.041663,25.157806,0.035)); #505503=CARTESIAN_POINT('',(38.041663,25.157806,0.)); #505504=CARTESIAN_POINT('Origin',(38.061197,25.169269,0.)); #505505=CARTESIAN_POINT('',(38.061197,25.169269,0.)); #505506=CARTESIAN_POINT('',(38.061197,25.169269,0.)); #505507=CARTESIAN_POINT('',(38.061197,25.169269,0.035)); #505508=CARTESIAN_POINT('',(38.061197,25.169269,0.035)); #505509=CARTESIAN_POINT('',(38.061197,25.169269,0.)); #505510=CARTESIAN_POINT('Origin',(38.073866,25.180178,0.)); #505511=CARTESIAN_POINT('',(38.073866,25.180178,0.)); #505512=CARTESIAN_POINT('',(38.073866,25.180178,0.)); #505513=CARTESIAN_POINT('',(38.073866,25.180178,0.035)); #505514=CARTESIAN_POINT('',(38.073866,25.180178,0.035)); #505515=CARTESIAN_POINT('',(38.073866,25.180178,0.)); #505516=CARTESIAN_POINT('Origin',(40.519769,27.626081,0.)); #505517=CARTESIAN_POINT('',(40.519769,27.626081,0.)); #505518=CARTESIAN_POINT('',(40.519769,27.626081,0.)); #505519=CARTESIAN_POINT('',(40.519769,27.626081,0.035)); #505520=CARTESIAN_POINT('',(40.519769,27.626081,0.035)); #505521=CARTESIAN_POINT('',(40.519769,27.626081,0.)); #505522=CARTESIAN_POINT('Origin',(40.543928,27.642366,0.)); #505523=CARTESIAN_POINT('',(40.543928,27.642366,0.)); #505524=CARTESIAN_POINT('',(40.543928,27.642366,0.)); #505525=CARTESIAN_POINT('',(40.543928,27.642366,0.035)); #505526=CARTESIAN_POINT('',(40.543928,27.642366,0.035)); #505527=CARTESIAN_POINT('',(40.543928,27.642366,0.)); #505528=CARTESIAN_POINT('Origin',(40.57365,27.6484,0.)); #505529=CARTESIAN_POINT('',(40.57365,27.6484,0.)); #505530=CARTESIAN_POINT('',(40.57365,27.6484,0.)); #505531=CARTESIAN_POINT('',(40.57365,27.6484,0.035)); #505532=CARTESIAN_POINT('',(40.57365,27.6484,0.035)); #505533=CARTESIAN_POINT('',(40.57365,27.6484,0.)); #505534=CARTESIAN_POINT('Origin',(46.0769,27.6484,0.)); #505535=CARTESIAN_POINT('',(46.0769,27.6484,0.)); #505536=CARTESIAN_POINT('',(46.0769,27.6484,0.)); #505537=CARTESIAN_POINT('',(46.0769,27.6484,0.035)); #505538=CARTESIAN_POINT('',(46.0769,27.6484,0.035)); #505539=CARTESIAN_POINT('',(46.0769,27.6484,0.)); #505540=CARTESIAN_POINT('Origin',(46.104369,27.643278,0.)); #505541=CARTESIAN_POINT('',(46.104369,27.643278,0.)); #505542=CARTESIAN_POINT('',(46.104369,27.643278,0.)); #505543=CARTESIAN_POINT('',(46.104369,27.643278,0.035)); #505544=CARTESIAN_POINT('',(46.104369,27.643278,0.035)); #505545=CARTESIAN_POINT('',(46.104369,27.643278,0.)); #505546=CARTESIAN_POINT('Origin',(46.129916,27.626934,0.)); #505547=CARTESIAN_POINT('',(46.129916,27.626934,0.)); #505548=CARTESIAN_POINT('',(46.129916,27.626934,0.)); #505549=CARTESIAN_POINT('',(46.129916,27.626934,0.035)); #505550=CARTESIAN_POINT('',(46.129916,27.626934,0.035)); #505551=CARTESIAN_POINT('',(46.129916,27.626934,0.)); #505552=CARTESIAN_POINT('Origin',(46.147066,27.601922,0.)); #505553=CARTESIAN_POINT('',(46.147066,27.601922,0.)); #505554=CARTESIAN_POINT('',(46.147066,27.601922,0.)); #505555=CARTESIAN_POINT('',(46.147066,27.601922,0.035)); #505556=CARTESIAN_POINT('',(46.147066,27.601922,0.035)); #505557=CARTESIAN_POINT('',(46.147066,27.601922,0.)); #505558=CARTESIAN_POINT('Origin',(46.1531,27.5722,0.)); #505559=CARTESIAN_POINT('',(46.1531,27.5722,0.)); #505560=CARTESIAN_POINT('',(46.1531,27.5722,0.)); #505561=CARTESIAN_POINT('',(46.1531,27.5722,0.035)); #505562=CARTESIAN_POINT('',(46.1531,27.5722,0.035)); #505563=CARTESIAN_POINT('',(46.1531,27.5722,0.)); #505564=CARTESIAN_POINT('Origin',(46.1531,27.279772,0.)); #505565=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #505566=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #505567=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #505568=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #505569=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #505570=CARTESIAN_POINT('Origin',(46.242372,27.1905,0.)); #505571=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #505572=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #505573=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #505574=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #505575=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #505576=CARTESIAN_POINT('Origin',(47.257628,27.1905,0.)); #505577=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #505578=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #505579=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #505580=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #505581=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #505582=CARTESIAN_POINT('Origin',(47.3469,27.279772,0.)); #505583=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #505584=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #505585=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #505586=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #505587=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #505588=CARTESIAN_POINT('Origin',(47.3469,27.4572,0.)); #505589=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #505590=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #505591=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #505592=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #505593=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #505594=CARTESIAN_POINT('Origin',(47.352022,27.484669,0.)); #505595=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #505596=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #505597=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #505598=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #505599=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #505600=CARTESIAN_POINT('Origin',(47.368366,27.510216,0.)); #505601=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #505602=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #505603=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #505604=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #505605=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #505606=CARTESIAN_POINT('Origin',(47.393378,27.527366,0.)); #505607=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #505608=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #505609=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #505610=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #505611=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #505612=CARTESIAN_POINT('Origin',(47.4231,27.5334,0.)); #505613=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #505614=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #505615=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #505616=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #505617=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #505618=CARTESIAN_POINT('Origin',(48.5769,27.5334,0.)); #505619=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #505620=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #505621=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #505622=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #505623=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #505624=CARTESIAN_POINT('Origin',(48.604369,27.528278,0.)); #505625=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #505626=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #505627=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #505628=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #505629=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #505630=CARTESIAN_POINT('Origin',(48.629916,27.511934,0.)); #505631=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #505632=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #505633=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #505634=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #505635=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #505636=CARTESIAN_POINT('Origin',(48.647066,27.486922,0.)); #505637=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #505638=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #505639=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #505640=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #505641=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #505642=CARTESIAN_POINT('Origin',(48.6531,27.4572,0.)); #505643=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #505644=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #505645=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #505646=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #505647=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #505648=CARTESIAN_POINT('Origin',(48.6531,27.279772,0.)); #505649=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #505650=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #505651=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #505652=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #505653=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #505654=CARTESIAN_POINT('Origin',(48.742372,27.1905,0.)); #505655=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #505656=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #505657=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #505658=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #505659=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #505660=CARTESIAN_POINT('Origin',(49.757628,27.1905,0.)); #505661=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #505662=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #505663=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #505664=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #505665=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #505666=CARTESIAN_POINT('Origin',(49.8469,27.279772,0.)); #505667=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #505668=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #505669=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #505670=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #505671=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #505672=CARTESIAN_POINT('Origin',(49.8469,28.244228,0.)); #505673=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #505674=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #505675=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #505676=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #505677=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #505678=CARTESIAN_POINT('Origin',(49.757628,28.3335,0.)); #505679=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #505680=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #505681=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #505682=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #505683=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #505684=CARTESIAN_POINT('Origin',(48.742372,28.3335,0.)); #505685=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #505686=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #505687=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #505688=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #505689=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #505690=CARTESIAN_POINT('Origin',(48.6531,28.244228,0.)); #505691=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #505692=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #505693=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #505694=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #505695=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #505696=CARTESIAN_POINT('Origin',(48.6531,28.0668,0.)); #505697=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #505698=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #505699=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #505700=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #505701=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #505702=CARTESIAN_POINT('Origin',(48.647978,28.039331,0.)); #505703=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #505704=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #505705=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #505706=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #505707=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #505708=CARTESIAN_POINT('Origin',(48.631634,28.013784,0.)); #505709=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #505710=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #505711=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #505712=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #505713=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #505714=CARTESIAN_POINT('Origin',(48.606622,27.996634,0.)); #505715=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #505716=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #505717=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #505718=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #505719=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #505720=CARTESIAN_POINT('Origin',(48.5769,27.9906,0.)); #505721=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #505722=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #505723=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #505724=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #505725=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #505726=CARTESIAN_POINT('Origin',(47.4231,27.9906,0.)); #505727=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #505728=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #505729=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #505730=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #505731=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #505732=CARTESIAN_POINT('Origin',(47.395631,27.995722,0.)); #505733=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #505734=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #505735=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #505736=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #505737=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #505738=CARTESIAN_POINT('Origin',(47.370084,28.012066,0.)); #505739=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #505740=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #505741=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #505742=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #505743=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #505744=CARTESIAN_POINT('Origin',(47.352934,28.037078,0.)); #505745=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #505746=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #505747=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #505748=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #505749=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #505750=CARTESIAN_POINT('Origin',(47.3469,28.0668,0.)); #505751=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #505752=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #505753=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #505754=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #505755=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #505756=CARTESIAN_POINT('Origin',(47.3469,28.244228,0.)); #505757=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #505758=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #505759=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #505760=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #505761=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #505762=CARTESIAN_POINT('Origin',(47.257628,28.3335,0.)); #505763=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #505764=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #505765=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #505766=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #505767=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #505768=CARTESIAN_POINT('Origin',(47.0548,28.3335,0.)); #505769=CARTESIAN_POINT('',(47.0548,28.3335,0.)); #505770=CARTESIAN_POINT('',(47.0548,28.3335,0.)); #505771=CARTESIAN_POINT('',(47.0548,28.3335,0.035)); #505772=CARTESIAN_POINT('',(47.0548,28.3335,0.035)); #505773=CARTESIAN_POINT('',(47.0548,28.3335,0.)); #505774=CARTESIAN_POINT('Origin',(47.027331,28.338622,0.)); #505775=CARTESIAN_POINT('',(47.027331,28.338622,0.)); #505776=CARTESIAN_POINT('',(47.027331,28.338622,0.)); #505777=CARTESIAN_POINT('',(47.027331,28.338622,0.035)); #505778=CARTESIAN_POINT('',(47.027331,28.338622,0.035)); #505779=CARTESIAN_POINT('',(47.027331,28.338622,0.)); #505780=CARTESIAN_POINT('Origin',(47.001784,28.354966,0.)); #505781=CARTESIAN_POINT('',(47.001784,28.354966,0.)); #505782=CARTESIAN_POINT('',(47.001784,28.354966,0.)); #505783=CARTESIAN_POINT('',(47.001784,28.354966,0.035)); #505784=CARTESIAN_POINT('',(47.001784,28.354966,0.035)); #505785=CARTESIAN_POINT('',(47.001784,28.354966,0.)); #505786=CARTESIAN_POINT('Origin',(46.984634,28.379978,0.)); #505787=CARTESIAN_POINT('',(46.984634,28.379978,0.)); #505788=CARTESIAN_POINT('',(46.984634,28.379978,0.)); #505789=CARTESIAN_POINT('',(46.984634,28.379978,0.035)); #505790=CARTESIAN_POINT('',(46.984634,28.379978,0.035)); #505791=CARTESIAN_POINT('',(46.984634,28.379978,0.)); #505792=CARTESIAN_POINT('Origin',(46.9786,28.4097,0.)); #505793=CARTESIAN_POINT('',(46.9786,28.4097,0.)); #505794=CARTESIAN_POINT('',(46.9786,28.4097,0.)); #505795=CARTESIAN_POINT('',(46.9786,28.4097,0.035)); #505796=CARTESIAN_POINT('',(46.9786,28.4097,0.035)); #505797=CARTESIAN_POINT('',(46.9786,28.4097,0.)); #505798=CARTESIAN_POINT('Origin',(46.9786,29.135747,0.)); #505799=CARTESIAN_POINT('',(46.9786,29.135747,0.)); #505800=CARTESIAN_POINT('',(46.9786,29.135747,0.)); #505801=CARTESIAN_POINT('',(46.9786,29.135747,0.035)); #505802=CARTESIAN_POINT('',(46.9786,29.135747,0.035)); #505803=CARTESIAN_POINT('',(46.9786,29.135747,0.)); #505804=CARTESIAN_POINT('Origin',(46.984169,29.164344,0.)); #505805=CARTESIAN_POINT('',(46.984169,29.164344,0.)); #505806=CARTESIAN_POINT('',(46.984169,29.164344,0.)); #505807=CARTESIAN_POINT('',(46.984169,29.164344,0.035)); #505808=CARTESIAN_POINT('',(46.984169,29.164344,0.035)); #505809=CARTESIAN_POINT('',(46.984169,29.164344,0.)); #505810=CARTESIAN_POINT('Origin',(47.000919,29.189628,0.)); #505811=CARTESIAN_POINT('',(47.000919,29.189628,0.)); #505812=CARTESIAN_POINT('',(47.000919,29.189628,0.)); #505813=CARTESIAN_POINT('',(47.000919,29.189628,0.035)); #505814=CARTESIAN_POINT('',(47.000919,29.189628,0.035)); #505815=CARTESIAN_POINT('',(47.000919,29.189628,0.)); #505816=CARTESIAN_POINT('Origin',(47.8536,30.042309,0.)); #505817=CARTESIAN_POINT('',(47.8536,30.042309,0.)); #505818=CARTESIAN_POINT('',(47.8536,30.042309,0.)); #505819=CARTESIAN_POINT('',(47.8536,30.042309,0.035)); #505820=CARTESIAN_POINT('',(47.8536,30.042309,0.035)); #505821=CARTESIAN_POINT('',(47.8536,30.042309,0.)); #505822=CARTESIAN_POINT('Origin',(47.8536,37.219691,0.)); #505823=CARTESIAN_POINT('',(47.8536,37.219691,0.)); #505824=CARTESIAN_POINT('',(47.8536,37.219691,0.)); #505825=CARTESIAN_POINT('',(47.8536,37.219691,0.035)); #505826=CARTESIAN_POINT('',(47.8536,37.219691,0.035)); #505827=CARTESIAN_POINT('',(47.8536,37.219691,0.)); #505828=CARTESIAN_POINT('Origin',(45.844691,39.2286,0.)); #505829=CARTESIAN_POINT('',(45.844691,39.2286,0.)); #505830=CARTESIAN_POINT('',(45.844691,39.2286,0.)); #505831=CARTESIAN_POINT('',(45.844691,39.2286,0.035)); #505832=CARTESIAN_POINT('',(45.844691,39.2286,0.035)); #505833=CARTESIAN_POINT('',(45.844691,39.2286,0.)); #505834=CARTESIAN_POINT('Origin',(32.751253,39.2286,0.)); #505835=CARTESIAN_POINT('',(32.751253,39.2286,0.)); #505836=CARTESIAN_POINT('',(32.751253,39.2286,0.)); #505837=CARTESIAN_POINT('',(32.751253,39.2286,0.035)); #505838=CARTESIAN_POINT('',(32.751253,39.2286,0.035)); #505839=CARTESIAN_POINT('',(32.751253,39.2286,0.)); #505840=CARTESIAN_POINT('Origin',(32.722656,39.234169,0.)); #505841=CARTESIAN_POINT('',(32.722656,39.234169,0.)); #505842=CARTESIAN_POINT('',(32.722656,39.234169,0.)); #505843=CARTESIAN_POINT('',(32.722656,39.234169,0.035)); #505844=CARTESIAN_POINT('',(32.722656,39.234169,0.035)); #505845=CARTESIAN_POINT('',(32.722656,39.234169,0.)); #505846=CARTESIAN_POINT('Origin',(32.697372,39.250919,0.)); #505847=CARTESIAN_POINT('',(32.697372,39.250919,0.)); #505848=CARTESIAN_POINT('',(32.697372,39.250919,0.)); #505849=CARTESIAN_POINT('',(32.697372,39.250919,0.035)); #505850=CARTESIAN_POINT('',(32.697372,39.250919,0.035)); #505851=CARTESIAN_POINT('',(32.697372,39.250919,0.)); #505852=CARTESIAN_POINT('Origin',(30.440919,41.507372,0.)); #505853=CARTESIAN_POINT('',(30.440919,41.507372,0.)); #505854=CARTESIAN_POINT('',(30.440919,41.507372,0.)); #505855=CARTESIAN_POINT('',(30.440919,41.507372,0.035)); #505856=CARTESIAN_POINT('',(30.440919,41.507372,0.035)); #505857=CARTESIAN_POINT('',(30.440919,41.507372,0.)); #505858=CARTESIAN_POINT('Origin',(30.424634,41.531531,0.)); #505859=CARTESIAN_POINT('',(30.424634,41.531531,0.)); #505860=CARTESIAN_POINT('',(30.424634,41.531531,0.)); #505861=CARTESIAN_POINT('',(30.424634,41.531531,0.035)); #505862=CARTESIAN_POINT('',(30.424634,41.531531,0.035)); #505863=CARTESIAN_POINT('',(30.424634,41.531531,0.)); #505864=CARTESIAN_POINT('Origin',(30.4186,41.561253,0.)); #505865=CARTESIAN_POINT('',(30.4186,41.561253,0.)); #505866=CARTESIAN_POINT('',(30.4186,41.561253,0.)); #505867=CARTESIAN_POINT('',(30.4186,41.561253,0.035)); #505868=CARTESIAN_POINT('',(30.4186,41.561253,0.035)); #505869=CARTESIAN_POINT('',(30.4186,41.561253,0.)); #505870=CARTESIAN_POINT('Origin',(30.4186,43.8714,0.)); #505871=CARTESIAN_POINT('',(30.4186,43.8714,0.)); #505872=CARTESIAN_POINT('',(30.4186,43.8714,0.)); #505873=CARTESIAN_POINT('',(30.4186,43.8714,0.035)); #505874=CARTESIAN_POINT('',(30.4186,43.8714,0.035)); #505875=CARTESIAN_POINT('',(30.4186,43.8714,0.)); #505876=CARTESIAN_POINT('Origin',(30.423722,43.898869,0.)); #505877=CARTESIAN_POINT('',(30.423722,43.898869,0.)); #505878=CARTESIAN_POINT('',(30.423722,43.898869,0.)); #505879=CARTESIAN_POINT('',(30.423722,43.898869,0.035)); #505880=CARTESIAN_POINT('',(30.423722,43.898869,0.035)); #505881=CARTESIAN_POINT('',(30.423722,43.898869,0.)); #505882=CARTESIAN_POINT('Origin',(30.440066,43.924416,0.)); #505883=CARTESIAN_POINT('',(30.440066,43.924416,0.)); #505884=CARTESIAN_POINT('',(30.440066,43.924416,0.)); #505885=CARTESIAN_POINT('',(30.440066,43.924416,0.035)); #505886=CARTESIAN_POINT('',(30.440066,43.924416,0.035)); #505887=CARTESIAN_POINT('',(30.440066,43.924416,0.)); #505888=CARTESIAN_POINT('Origin',(30.465078,43.941566,0.)); #505889=CARTESIAN_POINT('',(30.465078,43.941566,0.)); #505890=CARTESIAN_POINT('',(30.465078,43.941566,0.)); #505891=CARTESIAN_POINT('',(30.465078,43.941566,0.035)); #505892=CARTESIAN_POINT('',(30.465078,43.941566,0.035)); #505893=CARTESIAN_POINT('',(30.465078,43.941566,0.)); #505894=CARTESIAN_POINT('Origin',(30.4948,43.9476,0.)); #505895=CARTESIAN_POINT('',(30.4948,43.9476,0.)); #505896=CARTESIAN_POINT('',(30.4948,43.9476,0.)); #505897=CARTESIAN_POINT('',(30.4948,43.9476,0.035)); #505898=CARTESIAN_POINT('',(30.4948,43.9476,0.035)); #505899=CARTESIAN_POINT('',(30.4948,43.9476,0.)); #505900=CARTESIAN_POINT('Origin',(31.153128,43.9476,0.)); #505901=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #505902=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #505903=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #505904=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #505905=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #505906=CARTESIAN_POINT('Origin',(31.2424,44.036872,0.)); #505907=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #505908=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #505909=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #505910=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #505911=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #505912=CARTESIAN_POINT('Origin',(31.2424,45.963128,0.)); #505913=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #505914=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #505915=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #505916=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #505917=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #505918=CARTESIAN_POINT('Origin',(31.153128,46.0524,0.)); #505919=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #505920=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #505921=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #505922=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #505923=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #505924=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #505925=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #505926=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #505927=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #505928=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #505929=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #505930=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #505931=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #505932=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #505933=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #505934=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #505935=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #505936=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #505937=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #505938=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #505939=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #505940=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #505941=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #505942=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #505943=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #505944=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #505945=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #505946=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #505947=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #505948=CARTESIAN_POINT('Origin',(29.8852,43.9476,0.)); #505949=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #505950=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #505951=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #505952=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #505953=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #505954=CARTESIAN_POINT('Origin',(29.912669,43.942478,0.)); #505955=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #505956=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #505957=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #505958=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #505959=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #505960=CARTESIAN_POINT('Origin',(29.938216,43.926134,0.)); #505961=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #505962=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #505963=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #505964=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #505965=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #505966=CARTESIAN_POINT('Origin',(29.955366,43.901122,0.)); #505967=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #505968=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #505969=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #505970=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #505971=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #505972=CARTESIAN_POINT('Origin',(29.9614,43.8714,0.)); #505973=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #505974=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #505975=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #505976=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #505977=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #505978=CARTESIAN_POINT('Origin',(29.9614,41.340309,0.)); #505979=CARTESIAN_POINT('',(29.9614,41.340309,0.)); #505980=CARTESIAN_POINT('',(29.9614,41.340309,0.)); #505981=CARTESIAN_POINT('',(29.9614,41.340309,0.035)); #505982=CARTESIAN_POINT('',(29.9614,41.340309,0.035)); #505983=CARTESIAN_POINT('',(29.9614,41.340309,0.)); #505984=CARTESIAN_POINT('Origin',(32.320028,38.981681,0.)); #505985=CARTESIAN_POINT('',(32.320028,38.981681,0.)); #505986=CARTESIAN_POINT('',(32.320028,38.981681,0.)); #505987=CARTESIAN_POINT('',(32.320028,38.981681,0.035)); #505988=CARTESIAN_POINT('',(32.320028,38.981681,0.035)); #505989=CARTESIAN_POINT('',(32.320028,38.981681,0.)); #505990=CARTESIAN_POINT('Origin',(32.335328,38.959744,0.)); #505991=CARTESIAN_POINT('',(32.335328,38.959744,0.)); #505992=CARTESIAN_POINT('',(32.335328,38.959744,0.)); #505993=CARTESIAN_POINT('',(32.335328,38.959744,0.035)); #505994=CARTESIAN_POINT('',(32.335328,38.959744,0.035)); #505995=CARTESIAN_POINT('',(32.335328,38.959744,0.)); #505996=CARTESIAN_POINT('Origin',(32.342309,38.930228,0.)); #505997=CARTESIAN_POINT('',(32.342309,38.930228,0.)); #505998=CARTESIAN_POINT('',(32.342309,38.930228,0.)); #505999=CARTESIAN_POINT('',(32.342309,38.930228,0.035)); #506000=CARTESIAN_POINT('',(32.342309,38.930228,0.035)); #506001=CARTESIAN_POINT('',(32.342309,38.930228,0.)); #506002=CARTESIAN_POINT('Origin',(32.337225,38.900331,0.)); #506003=CARTESIAN_POINT('',(32.337225,38.900331,0.)); #506004=CARTESIAN_POINT('',(32.337225,38.900331,0.)); #506005=CARTESIAN_POINT('',(32.337225,38.900331,0.035)); #506006=CARTESIAN_POINT('',(32.337225,38.900331,0.035)); #506007=CARTESIAN_POINT('',(32.337225,38.900331,0.)); #506008=CARTESIAN_POINT('Origin',(32.320881,38.874784,0.)); #506009=CARTESIAN_POINT('',(32.320881,38.874784,0.)); #506010=CARTESIAN_POINT('',(32.320881,38.874784,0.)); #506011=CARTESIAN_POINT('',(32.320881,38.874784,0.035)); #506012=CARTESIAN_POINT('',(32.320881,38.874784,0.035)); #506013=CARTESIAN_POINT('',(32.320881,38.874784,0.)); #506014=CARTESIAN_POINT('Origin',(32.295869,38.857634,0.)); #506015=CARTESIAN_POINT('',(32.295869,38.857634,0.)); #506016=CARTESIAN_POINT('',(32.295869,38.857634,0.)); #506017=CARTESIAN_POINT('',(32.295869,38.857634,0.035)); #506018=CARTESIAN_POINT('',(32.295869,38.857634,0.035)); #506019=CARTESIAN_POINT('',(32.295869,38.857634,0.)); #506020=CARTESIAN_POINT('Origin',(32.266147,38.8516,0.)); #506021=CARTESIAN_POINT('',(32.266147,38.8516,0.)); #506022=CARTESIAN_POINT('',(32.266147,38.8516,0.)); #506023=CARTESIAN_POINT('',(32.266147,38.8516,0.035)); #506024=CARTESIAN_POINT('',(32.266147,38.8516,0.035)); #506025=CARTESIAN_POINT('',(32.266147,38.8516,0.)); #506026=CARTESIAN_POINT('Origin',(29.504047,38.8516,0.)); #506027=CARTESIAN_POINT('',(29.504047,38.8516,0.)); #506028=CARTESIAN_POINT('',(29.504047,38.8516,0.)); #506029=CARTESIAN_POINT('',(29.504047,38.8516,0.035)); #506030=CARTESIAN_POINT('',(29.504047,38.8516,0.035)); #506031=CARTESIAN_POINT('',(29.504047,38.8516,0.)); #506032=CARTESIAN_POINT('Origin',(29.478691,38.8489,0.)); #506033=CARTESIAN_POINT('',(29.478691,38.8489,0.)); #506034=CARTESIAN_POINT('',(29.478691,38.8489,0.)); #506035=CARTESIAN_POINT('',(29.478691,38.8489,0.035)); #506036=CARTESIAN_POINT('',(29.478691,38.8489,0.035)); #506037=CARTESIAN_POINT('',(29.478691,38.8489,0.)); #506038=CARTESIAN_POINT('Origin',(29.458338,38.842194,0.)); #506039=CARTESIAN_POINT('',(29.458338,38.842194,0.)); #506040=CARTESIAN_POINT('',(29.458338,38.842194,0.)); #506041=CARTESIAN_POINT('',(29.458338,38.842194,0.035)); #506042=CARTESIAN_POINT('',(29.458338,38.842194,0.035)); #506043=CARTESIAN_POINT('',(29.458338,38.842194,0.)); #506044=CARTESIAN_POINT('Origin',(29.438803,38.830731,0.)); #506045=CARTESIAN_POINT('',(29.438803,38.830731,0.)); #506046=CARTESIAN_POINT('',(29.438803,38.830731,0.)); #506047=CARTESIAN_POINT('',(29.438803,38.830731,0.035)); #506048=CARTESIAN_POINT('',(29.438803,38.830731,0.035)); #506049=CARTESIAN_POINT('',(29.438803,38.830731,0.)); #506050=CARTESIAN_POINT('Origin',(29.426134,38.819822,0.)); #506051=CARTESIAN_POINT('',(29.426134,38.819822,0.)); #506052=CARTESIAN_POINT('',(29.426134,38.819822,0.)); #506053=CARTESIAN_POINT('',(29.426134,38.819822,0.035)); #506054=CARTESIAN_POINT('',(29.426134,38.819822,0.035)); #506055=CARTESIAN_POINT('',(29.426134,38.819822,0.)); #506056=CARTESIAN_POINT('Origin',(27.034256,36.427944,0.)); #506057=CARTESIAN_POINT('',(27.034256,36.427944,0.)); #506058=CARTESIAN_POINT('',(27.034256,36.427944,0.)); #506059=CARTESIAN_POINT('',(27.034256,36.427944,0.035)); #506060=CARTESIAN_POINT('',(27.034256,36.427944,0.035)); #506061=CARTESIAN_POINT('',(27.034256,36.427944,0.)); #506062=CARTESIAN_POINT('Origin',(27.011216,36.412144,0.)); #506063=CARTESIAN_POINT('',(27.011216,36.412144,0.)); #506064=CARTESIAN_POINT('',(27.011216,36.412144,0.)); #506065=CARTESIAN_POINT('',(27.011216,36.412144,0.035)); #506066=CARTESIAN_POINT('',(27.011216,36.412144,0.035)); #506067=CARTESIAN_POINT('',(27.011216,36.412144,0.)); #506068=CARTESIAN_POINT('Origin',(26.981594,36.405634,0.)); #506069=CARTESIAN_POINT('',(26.981594,36.405634,0.)); #506070=CARTESIAN_POINT('',(26.981594,36.405634,0.)); #506071=CARTESIAN_POINT('',(26.981594,36.405634,0.035)); #506072=CARTESIAN_POINT('',(26.981594,36.405634,0.035)); #506073=CARTESIAN_POINT('',(26.981594,36.405634,0.)); #506074=CARTESIAN_POINT('Origin',(26.951781,36.411194,0.)); #506075=CARTESIAN_POINT('',(26.951781,36.411194,0.)); #506076=CARTESIAN_POINT('',(26.951781,36.411194,0.)); #506077=CARTESIAN_POINT('',(26.951781,36.411194,0.035)); #506078=CARTESIAN_POINT('',(26.951781,36.411194,0.035)); #506079=CARTESIAN_POINT('',(26.951781,36.411194,0.)); #506080=CARTESIAN_POINT('Origin',(26.927313,36.4274,0.)); #506081=CARTESIAN_POINT('',(26.927313,36.4274,0.)); #506082=CARTESIAN_POINT('',(26.927313,36.4274,0.)); #506083=CARTESIAN_POINT('',(26.927313,36.4274,0.035)); #506084=CARTESIAN_POINT('',(26.927313,36.4274,0.035)); #506085=CARTESIAN_POINT('',(26.927313,36.4274,0.)); #506086=CARTESIAN_POINT('Origin',(26.572966,36.4274,0.)); #506087=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #506088=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #506089=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #506090=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #506091=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #506092=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #506093=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #506094=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #506095=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #506096=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #506097=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #506098=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #506099=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #506100=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #506101=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #506102=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #506103=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #506104=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #506105=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #506106=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #506107=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #506108=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #506109=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #506110=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #506111=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #506112=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #506113=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #506114=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #506115=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #506116=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #506117=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #506118=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #506119=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #506120=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #506121=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #506122=CARTESIAN_POINT('Origin',(26.177034,36.4274,0.)); #506123=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #506124=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #506125=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #506126=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #506127=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #506128=CARTESIAN_POINT('Origin',(25.822966,36.4274,0.)); #506129=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #506130=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #506131=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #506132=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #506133=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #506134=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #506135=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #506136=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #506137=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #506138=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #506139=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #506140=CARTESIAN_POINT('Origin',(25.5726,35.822966,0.)); #506141=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #506142=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #506143=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #506144=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #506145=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #506146=CARTESIAN_POINT('Origin',(25.749081,35.646484,0.)); #506147=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #506148=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #506149=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #506150=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #506151=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #506152=CARTESIAN_POINT('Origin',(25.765366,35.622325,0.)); #506153=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #506154=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #506155=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #506156=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #506157=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #506158=CARTESIAN_POINT('Origin',(25.7714,35.592603,0.)); #506159=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #506160=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #506161=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #506162=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #506163=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #506164=CARTESIAN_POINT('Origin',(25.7714,31.407397,0.)); #506165=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #506166=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #506167=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #506168=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #506169=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #506170=CARTESIAN_POINT('Origin',(25.765831,31.3788,0.)); #506171=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #506172=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #506173=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #506174=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #506175=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #506176=CARTESIAN_POINT('Origin',(25.749081,31.353516,0.)); #506177=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #506178=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #506179=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #506180=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #506181=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #506182=CARTESIAN_POINT('Origin',(25.572425,31.176859,0.)); #506183=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #506184=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #506185=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #506186=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #506187=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #506188=CARTESIAN_POINT('Origin',(25.567478,31.150331,0.)); #506189=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #506190=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #506191=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #506192=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #506193=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #506194=CARTESIAN_POINT('Origin',(25.551134,31.124784,0.)); #506195=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #506196=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #506197=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #506198=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #506199=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #506200=CARTESIAN_POINT('Origin',(25.526122,31.107634,0.)); #506201=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #506202=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #506203=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #506204=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #506205=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #506206=CARTESIAN_POINT('Origin',(25.4964,31.1016,0.)); #506207=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #506208=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #506209=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #506210=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #506211=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #506212=CARTESIAN_POINT('Origin',(23.82365,31.1016,0.)); #506213=CARTESIAN_POINT('',(23.82365,31.1016,0.)); #506214=CARTESIAN_POINT('',(23.82365,31.1016,0.)); #506215=CARTESIAN_POINT('',(23.82365,31.1016,0.035)); #506216=CARTESIAN_POINT('',(23.82365,31.1016,0.035)); #506217=CARTESIAN_POINT('',(23.82365,31.1016,0.)); #506218=CARTESIAN_POINT('Origin',(23.795053,31.107169,0.)); #506219=CARTESIAN_POINT('',(23.795053,31.107169,0.)); #506220=CARTESIAN_POINT('',(23.795053,31.107169,0.)); #506221=CARTESIAN_POINT('',(23.795053,31.107169,0.035)); #506222=CARTESIAN_POINT('',(23.795053,31.107169,0.035)); #506223=CARTESIAN_POINT('',(23.795053,31.107169,0.)); #506224=CARTESIAN_POINT('Origin',(23.769769,31.123919,0.)); #506225=CARTESIAN_POINT('',(23.769769,31.123919,0.)); #506226=CARTESIAN_POINT('',(23.769769,31.123919,0.)); #506227=CARTESIAN_POINT('',(23.769769,31.123919,0.035)); #506228=CARTESIAN_POINT('',(23.769769,31.123919,0.035)); #506229=CARTESIAN_POINT('',(23.769769,31.123919,0.)); #506230=CARTESIAN_POINT('Origin',(23.123919,31.769769,0.)); #506231=CARTESIAN_POINT('',(23.123919,31.769769,0.)); #506232=CARTESIAN_POINT('',(23.123919,31.769769,0.)); #506233=CARTESIAN_POINT('',(23.123919,31.769769,0.035)); #506234=CARTESIAN_POINT('',(23.123919,31.769769,0.035)); #506235=CARTESIAN_POINT('',(23.123919,31.769769,0.)); #506236=CARTESIAN_POINT('Origin',(23.107634,31.793928,0.)); #506237=CARTESIAN_POINT('',(23.107634,31.793928,0.)); #506238=CARTESIAN_POINT('',(23.107634,31.793928,0.)); #506239=CARTESIAN_POINT('',(23.107634,31.793928,0.035)); #506240=CARTESIAN_POINT('',(23.107634,31.793928,0.035)); #506241=CARTESIAN_POINT('',(23.107634,31.793928,0.)); #506242=CARTESIAN_POINT('Origin',(23.1016,31.82365,0.)); #506243=CARTESIAN_POINT('',(23.1016,31.82365,0.)); #506244=CARTESIAN_POINT('',(23.1016,31.82365,0.)); #506245=CARTESIAN_POINT('',(23.1016,31.82365,0.035)); #506246=CARTESIAN_POINT('',(23.1016,31.82365,0.035)); #506247=CARTESIAN_POINT('',(23.1016,31.82365,0.)); #506248=CARTESIAN_POINT('Origin',(23.1016,34.745953,0.)); #506249=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #506250=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #506251=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #506252=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #506253=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #506254=CARTESIAN_POINT('Origin',(23.0989,34.771309,0.)); #506255=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #506256=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #506257=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #506258=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #506259=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #506260=CARTESIAN_POINT('Origin',(23.092194,34.791663,0.)); #506261=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #506262=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #506263=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #506264=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #506265=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #506266=CARTESIAN_POINT('Origin',(23.080731,34.811197,0.)); #506267=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #506268=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #506269=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #506270=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #506271=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #506272=CARTESIAN_POINT('Origin',(23.069822,34.823866,0.)); #506273=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #506274=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #506275=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #506276=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #506277=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #506278=CARTESIAN_POINT('Origin',(22.074709,35.818978,0.)); #506279=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #506280=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #506281=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #506282=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #506283=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #506284=CARTESIAN_POINT('Origin',(22.054869,35.834997,0.)); #506285=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #506286=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #506287=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #506288=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #506289=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #506290=CARTESIAN_POINT('Origin',(22.035728,35.844647,0.)); #506291=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #506292=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #506293=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #506294=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #506295=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #506296=CARTESIAN_POINT('Origin',(22.013816,35.850359,0.)); #506297=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #506298=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #506299=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #506300=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #506301=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #506302=CARTESIAN_POINT('Origin',(21.997153,35.8516,0.)); #506303=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #506304=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #506305=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #506306=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #506307=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #506308=CARTESIAN_POINT('Origin',(15.004047,35.8516,0.)); #506309=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #506310=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #506311=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #506312=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #506313=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #506314=CARTESIAN_POINT('Origin',(14.978691,35.8489,0.)); #506315=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #506316=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #506317=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #506318=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #506319=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #506320=CARTESIAN_POINT('Origin',(14.958338,35.842194,0.)); #506321=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #506322=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #506323=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #506324=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #506325=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #506326=CARTESIAN_POINT('Origin',(14.938803,35.830731,0.)); #506327=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #506328=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #506329=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #506330=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #506331=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #506332=CARTESIAN_POINT('Origin',(14.926134,35.819822,0.)); #506333=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #506334=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #506335=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #506336=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #506337=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #506338=CARTESIAN_POINT('Origin',(13.931022,34.824709,0.)); #506339=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #506340=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #506341=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #506342=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #506343=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #506344=CARTESIAN_POINT('Origin',(13.915003,34.804869,0.)); #506345=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #506346=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #506347=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #506348=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #506349=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #506350=CARTESIAN_POINT('Origin',(13.905353,34.785728,0.)); #506351=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #506352=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #506353=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #506354=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #506355=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #506356=CARTESIAN_POINT('Origin',(13.899641,34.763816,0.)); #506357=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #506358=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #506359=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #506360=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #506361=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #506362=CARTESIAN_POINT('Origin',(13.8984,34.747153,0.)); #506363=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #506364=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #506365=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #506366=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #506367=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #506368=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #506369=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #506370=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #506371=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #506372=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #506373=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #506374=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #506375=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #506376=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #506377=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #506378=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #506379=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #506380=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #506381=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #506382=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #506383=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #506384=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #506385=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #506386=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #506387=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #506388=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #506389=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #506390=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #506391=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #506392=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #506393=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #506394=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #506395=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #506396=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #506397=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #506398=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #506399=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #506400=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #506401=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #506402=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #506403=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #506404=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #506405=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #506406=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #506407=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #506408=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #506409=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #506410=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #506411=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #506412=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #506413=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #506414=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #506415=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #506416=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #506417=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #506418=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #506419=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #506420=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #506421=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #506422=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #506423=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #506424=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #506425=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #506426=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #506427=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #506428=CARTESIAN_POINT('Origin',(16.495953,19.1484,0.)); #506429=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #506430=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #506431=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #506432=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #506433=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #506434=CARTESIAN_POINT('Origin',(16.521309,19.1511,0.)); #506435=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #506436=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #506437=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #506438=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #506439=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #506440=CARTESIAN_POINT('Origin',(16.541663,19.157806,0.)); #506441=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #506442=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #506443=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #506444=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #506445=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #506446=CARTESIAN_POINT('Origin',(16.561197,19.169269,0.)); #506447=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #506448=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #506449=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #506450=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #506451=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #506452=CARTESIAN_POINT('Origin',(16.573866,19.180178,0.)); #506453=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #506454=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #506455=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #506456=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #506457=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #506458=CARTESIAN_POINT('Origin',(16.818978,19.425291,0.)); #506459=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #506460=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #506461=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #506462=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #506463=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #506464=CARTESIAN_POINT('Origin',(16.834997,19.445131,0.)); #506465=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #506466=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #506467=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #506468=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #506469=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #506470=CARTESIAN_POINT('Origin',(16.844647,19.464272,0.)); #506471=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #506472=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #506473=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #506474=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #506475=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #506476=CARTESIAN_POINT('Origin',(16.850359,19.486184,0.)); #506477=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #506478=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #506479=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #506480=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #506481=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #506482=CARTESIAN_POINT('Origin',(16.8516,19.502847,0.)); #506483=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #506484=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #506485=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #506486=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #506487=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #506488=CARTESIAN_POINT('Origin',(16.8516,25.30135,0.)); #506489=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #506490=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #506491=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #506492=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #506493=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #506494=CARTESIAN_POINT('Origin',(16.857169,25.329947,0.)); #506495=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #506496=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #506497=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #506498=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #506499=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #506500=CARTESIAN_POINT('Origin',(16.873919,25.355231,0.)); #506501=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #506502=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #506503=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #506504=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #506505=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #506506=CARTESIAN_POINT('Origin',(18.019769,26.501081,0.)); #506507=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #506508=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #506509=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #506510=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #506511=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #506512=CARTESIAN_POINT('Origin',(18.043928,26.517366,0.)); #506513=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #506514=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #506515=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #506516=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #506517=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #506518=CARTESIAN_POINT('Origin',(18.07365,26.5234,0.)); #506519=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #506520=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #506521=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #506522=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #506523=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #506524=CARTESIAN_POINT('Origin',(20.1143,26.5234,0.)); #506525=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #506526=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #506527=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #506528=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #506529=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #506530=CARTESIAN_POINT('Origin',(20.141769,26.518278,0.)); #506531=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #506532=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #506533=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #506534=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #506535=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #506536=CARTESIAN_POINT('Origin',(20.167316,26.501934,0.)); #506537=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #506538=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #506539=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #506540=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #506541=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #506542=CARTESIAN_POINT('Origin',(20.184466,26.476922,0.)); #506543=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #506544=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #506545=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #506546=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #506547=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #506548=CARTESIAN_POINT('Origin',(20.1905,26.4472,0.)); #506549=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #506550=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #506551=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #506552=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #506553=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #506554=CARTESIAN_POINT('Origin',(20.1905,25.492372,0.)); #506555=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #506556=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #506557=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #506558=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #506559=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #506560=CARTESIAN_POINT('Origin',(20.279772,25.4031,0.)); #506561=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #506562=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #506563=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #506564=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #506565=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #506566=CARTESIAN_POINT('Origin',(20.6452,25.4031,0.)); #506567=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #506568=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #506569=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #506570=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #506571=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #506572=CARTESIAN_POINT('Origin',(20.672669,25.397978,0.)); #506573=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #506574=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #506575=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #506576=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #506577=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #506578=CARTESIAN_POINT('Origin',(20.698216,25.381634,0.)); #506579=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #506580=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #506581=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #506582=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #506583=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #506584=CARTESIAN_POINT('Origin',(20.715366,25.356622,0.)); #506585=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #506586=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #506587=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #506588=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #506589=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #506590=CARTESIAN_POINT('Origin',(20.7214,25.3269,0.)); #506591=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #506592=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #506593=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #506594=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #506595=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #506596=CARTESIAN_POINT('Origin',(20.7214,25.0136,0.)); #506597=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #506598=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #506599=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #506600=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #506601=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #506602=CARTESIAN_POINT('Origin',(20.716278,24.986131,0.)); #506603=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #506604=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #506605=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #506606=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #506607=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #506608=CARTESIAN_POINT('Origin',(20.699934,24.960584,0.)); #506609=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #506610=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #506611=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #506612=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #506613=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #506614=CARTESIAN_POINT('Origin',(20.674922,24.943434,0.)); #506615=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #506616=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #506617=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #506618=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #506619=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #506620=CARTESIAN_POINT('Origin',(20.6452,24.9374,0.)); #506621=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #506622=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #506623=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #506624=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #506625=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #506626=CARTESIAN_POINT('Origin',(20.569372,24.9374,0.)); #506627=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #506628=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #506629=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #506630=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #506631=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #506632=CARTESIAN_POINT('Origin',(20.4801,24.848128,0.)); #506633=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #506634=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #506635=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #506636=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #506637=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #506638=CARTESIAN_POINT('Origin',(20.4801,23.577438,0.)); #506639=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #506640=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #506641=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #506642=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #506643=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #506644=CARTESIAN_POINT('Origin',(20.474847,23.549638,0.)); #506645=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #506646=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #506647=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #506648=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #506649=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #506650=CARTESIAN_POINT('Origin',(20.458384,23.524166,0.)); #506651=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #506652=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #506653=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #506654=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #506655=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #506656=CARTESIAN_POINT('Origin',(20.411809,23.476528,0.)); #506657=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #506658=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #506659=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #506660=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #506661=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #506662=CARTESIAN_POINT('Origin',(20.387047,23.459634,0.)); #506663=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #506664=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #506665=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #506666=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #506667=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #506668=CARTESIAN_POINT('Origin',(20.357325,23.4536,0.)); #506669=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #506670=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #506671=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #506672=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #506673=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #506674=CARTESIAN_POINT('Origin',(19.642675,23.4536,0.)); #506675=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #506676=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #506677=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #506678=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #506679=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #506680=CARTESIAN_POINT('Origin',(19.613281,23.459497,0.)); #506681=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #506682=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #506683=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #506684=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #506685=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #506686=CARTESIAN_POINT('Origin',(19.588191,23.476528,0.)); #506687=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #506688=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #506689=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #506690=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #506691=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #506692=CARTESIAN_POINT('Origin',(19.541616,23.524166,0.)); #506693=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #506694=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #506695=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #506696=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #506697=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #506698=CARTESIAN_POINT('Origin',(19.525934,23.547716,0.)); #506699=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #506700=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #506701=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #506702=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #506703=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #506704=CARTESIAN_POINT('Origin',(19.5199,23.577438,0.)); #506705=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #506706=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #506707=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #506708=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #506709=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #506710=CARTESIAN_POINT('Origin',(19.5199,24.848128,0.)); #506711=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #506712=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #506713=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #506714=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #506715=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #506716=CARTESIAN_POINT('Origin',(19.430628,24.9374,0.)); #506717=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #506718=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #506719=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #506720=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #506721=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #506722=CARTESIAN_POINT('Origin',(18.669372,24.9374,0.)); #506723=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #506724=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #506725=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #506726=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #506727=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #506728=CARTESIAN_POINT('Origin',(18.5801,24.848128,0.)); #506729=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #506730=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #506731=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #506732=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #506733=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #506734=CARTESIAN_POINT('Origin',(18.5801,23.451872,0.)); #506735=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #506736=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #506737=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #506738=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #506739=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #506740=CARTESIAN_POINT('Origin',(18.669372,23.3626,0.)); #506741=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #506742=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #506743=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #506744=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #506745=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #506746=CARTESIAN_POINT('Origin',(19.0281,23.3626,0.)); #506747=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #506748=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #506749=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #506750=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #506751=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #506752=CARTESIAN_POINT('Origin',(19.057494,23.356703,0.)); #506753=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #506754=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #506755=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #506756=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #506757=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #506758=CARTESIAN_POINT('Origin',(19.082588,23.339669,0.)); #506759=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #506760=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #506761=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #506762=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #506763=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #506764=CARTESIAN_POINT('Origin',(19.264088,23.154025,0.)); #506765=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #506766=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #506767=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #506768=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #506769=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #506770=CARTESIAN_POINT('Origin',(19.268078,23.148031,0.)); #506771=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #506772=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #506773=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #506774=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #506775=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #506776=CARTESIAN_POINT('Origin',(19.351516,23.064594,0.)); #506777=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #506778=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #506779=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #506780=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #506781=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #506782=CARTESIAN_POINT('Origin',(19.352125,23.063978,0.)); #506783=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #506784=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #506785=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #506786=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #506787=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #506788=CARTESIAN_POINT('Origin',(19.362716,23.053144,0.)); #506789=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #506790=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #506791=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #506792=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #506793=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #506794=CARTESIAN_POINT('Origin',(19.377906,23.030712,0.)); #506795=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #506796=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #506797=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #506798=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #506799=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #506800=CARTESIAN_POINT('Origin',(19.384416,23.001094,0.)); #506801=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #506802=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #506803=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #506804=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #506805=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #506806=CARTESIAN_POINT('Origin',(19.378856,22.971281,0.)); #506807=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #506808=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #506809=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #506810=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #506811=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #506812=CARTESIAN_POINT('Origin',(19.362106,22.945997,0.)); #506813=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #506814=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #506815=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #506816=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #506817=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #506818=CARTESIAN_POINT('Origin',(19.357516,22.941406,0.)); #506819=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #506820=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #506821=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #506822=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #506823=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #506824=CARTESIAN_POINT('Origin',(19.355078,22.939075,0.)); #506825=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #506826=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #506827=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #506828=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #506829=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #506830=CARTESIAN_POINT('Origin',(19.047278,22.657388,0.)); #506831=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #506832=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #506833=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #506834=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #506835=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #506836=CARTESIAN_POINT('Origin',(19.025556,22.643434,0.)); #506837=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #506838=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #506839=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #506840=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #506841=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #506842=CARTESIAN_POINT('Origin',(18.995834,22.6374,0.)); #506843=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #506844=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #506845=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #506846=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #506847=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #506848=CARTESIAN_POINT('Origin',(18.669372,22.6374,0.)); #506849=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #506850=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #506851=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #506852=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #506853=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #506854=CARTESIAN_POINT('Origin',(18.5801,22.548128,0.)); #506855=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #506856=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #506857=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #506858=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #506859=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #506860=CARTESIAN_POINT('Origin',(18.5801,21.151872,0.)); #506861=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #506862=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #506863=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #506864=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #506865=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #506866=CARTESIAN_POINT('Origin',(18.669372,21.0626,0.)); #506867=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #506868=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #506869=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #506870=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #506871=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #506872=CARTESIAN_POINT('Origin',(18.6952,21.0626,0.)); #506873=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #506874=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #506875=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #506876=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #506877=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #506878=CARTESIAN_POINT('Origin',(18.722669,21.057478,0.)); #506879=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #506880=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #506881=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #506882=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #506883=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #506884=CARTESIAN_POINT('Origin',(18.748216,21.041134,0.)); #506885=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #506886=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #506887=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #506888=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #506889=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #506890=CARTESIAN_POINT('Origin',(18.765366,21.016122,0.)); #506891=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #506892=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #506893=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #506894=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #506895=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #506896=CARTESIAN_POINT('Origin',(18.7714,20.9864,0.)); #506897=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #506898=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #506899=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #506900=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #506901=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #506902=CARTESIAN_POINT('Origin',(18.7714,20.842809,0.)); #506903=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #506904=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #506905=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #506906=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #506907=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #506908=CARTESIAN_POINT('Origin',(18.887228,20.726981,0.)); #506909=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #506910=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #506911=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #506912=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #506913=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #506914=CARTESIAN_POINT('Origin',(18.902528,20.705044,0.)); #506915=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #506916=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #506917=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #506918=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #506919=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #506920=CARTESIAN_POINT('Origin',(18.909509,20.675528,0.)); #506921=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #506922=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #506923=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #506924=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #506925=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #506926=CARTESIAN_POINT('Origin',(18.904425,20.645631,0.)); #506927=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #506928=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #506929=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #506930=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #506931=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #506932=CARTESIAN_POINT('Origin',(18.888081,20.620084,0.)); #506933=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #506934=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #506935=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #506936=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #506937=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #506938=CARTESIAN_POINT('Origin',(18.863069,20.602934,0.)); #506939=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #506940=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #506941=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #506942=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #506943=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #506944=CARTESIAN_POINT('Origin',(18.833347,20.5969,0.)); #506945=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #506946=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #506947=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #506948=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #506949=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #506950=CARTESIAN_POINT('Origin',(18.755772,20.5969,0.)); #506951=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #506952=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #506953=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #506954=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #506955=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #506956=CARTESIAN_POINT('Origin',(18.6665,20.507628,0.)); #506957=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #506958=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #506959=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #506960=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #506961=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #506962=CARTESIAN_POINT('Origin',(18.6665,19.492372,0.)); #506963=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #506964=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #506965=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #506966=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #506967=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #506968=CARTESIAN_POINT('Origin',(18.755772,19.4031,0.)); #506969=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #506970=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #506971=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #506972=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #506973=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #506974=CARTESIAN_POINT('Origin',(19.720228,19.4031,0.)); #506975=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #506976=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #506977=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #506978=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #506979=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #506980=CARTESIAN_POINT('Origin',(19.8095,19.492372,0.)); #506981=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #506982=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #506983=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #506984=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #506985=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #506986=CARTESIAN_POINT('Origin',(19.8095,20.507628,0.)); #506987=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #506988=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #506989=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #506990=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #506991=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #506992=CARTESIAN_POINT('Origin',(19.720228,20.5969,0.)); #506993=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #506994=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #506995=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #506996=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #506997=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #506998=CARTESIAN_POINT('Origin',(19.5428,20.5969,0.)); #506999=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #507000=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #507001=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #507002=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #507003=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #507004=CARTESIAN_POINT('Origin',(19.515331,20.602022,0.)); #507005=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #507006=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #507007=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #507008=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #507009=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #507010=CARTESIAN_POINT('Origin',(19.489784,20.618366,0.)); #507011=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #507012=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #507013=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #507014=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #507015=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #507016=CARTESIAN_POINT('Origin',(19.472634,20.643378,0.)); #507017=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #507018=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #507019=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #507020=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #507021=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #507022=CARTESIAN_POINT('Origin',(19.4666,20.6731,0.)); #507023=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #507024=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #507025=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #507026=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #507027=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #507028=CARTESIAN_POINT('Origin',(19.4666,20.794191,0.)); #507029=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #507030=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #507031=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #507032=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #507033=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #507034=CARTESIAN_POINT('Origin',(19.328272,20.932519,0.)); #507035=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #507036=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #507037=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #507038=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #507039=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #507040=CARTESIAN_POINT('Origin',(19.312972,20.954456,0.)); #507041=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #507042=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #507043=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #507044=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #507045=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #507046=CARTESIAN_POINT('Origin',(19.305991,20.983972,0.)); #507047=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #507048=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #507049=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #507050=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #507051=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #507052=CARTESIAN_POINT('Origin',(19.311075,21.013869,0.)); #507053=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #507054=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #507055=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #507056=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #507057=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #507058=CARTESIAN_POINT('Origin',(19.327419,21.039416,0.)); #507059=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #507060=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #507061=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #507062=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #507063=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #507064=CARTESIAN_POINT('Origin',(19.352431,21.056566,0.)); #507065=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #507066=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #507067=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #507068=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #507069=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #507070=CARTESIAN_POINT('Origin',(19.382153,21.0626,0.)); #507071=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #507072=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #507073=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #507074=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #507075=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #507076=CARTESIAN_POINT('Origin',(19.430628,21.0626,0.)); #507077=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #507078=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #507079=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #507080=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #507081=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #507082=CARTESIAN_POINT('Origin',(19.5199,21.151872,0.)); #507083=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #507084=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #507085=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #507086=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #507087=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #507088=CARTESIAN_POINT('Origin',(19.5199,22.436597,0.)); #507089=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #507090=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #507091=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #507092=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #507093=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #507094=CARTESIAN_POINT('Origin',(19.526806,22.468294,0.)); #507095=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #507096=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #507097=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #507098=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #507099=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #507100=CARTESIAN_POINT('Origin',(19.544656,22.492809,0.)); #507101=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #507102=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #507103=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #507104=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #507105=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #507106=CARTESIAN_POINT('Origin',(19.581375,22.526413,0.)); #507107=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #507108=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #507109=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #507110=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #507111=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #507112=CARTESIAN_POINT('Origin',(19.603097,22.540366,0.)); #507113=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #507114=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #507115=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #507116=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #507117=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #507118=CARTESIAN_POINT('Origin',(19.632819,22.5464,0.)); #507119=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #507120=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #507121=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #507122=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #507123=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #507124=CARTESIAN_POINT('Origin',(20.4039,22.5464,0.)); #507125=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #507126=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #507127=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #507128=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #507129=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #507130=CARTESIAN_POINT('Origin',(20.431369,22.541278,0.)); #507131=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #507132=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #507133=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #507134=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #507135=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #507136=CARTESIAN_POINT('Origin',(20.456916,22.524934,0.)); #507137=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #507138=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #507139=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #507140=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #507141=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #507142=CARTESIAN_POINT('Origin',(20.474066,22.499922,0.)); #507143=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #507144=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #507145=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #507146=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #507147=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #507148=CARTESIAN_POINT('Origin',(20.4801,22.4702,0.)); #507149=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #507150=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #507151=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #507152=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #507153=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #507154=CARTESIAN_POINT('Origin',(20.4801,21.151872,0.)); #507155=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #507156=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #507157=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #507158=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #507159=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #507160=CARTESIAN_POINT('Origin',(20.569372,21.0626,0.)); #507161=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #507162=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #507163=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #507164=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #507165=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #507166=CARTESIAN_POINT('Origin',(21.330628,21.0626,0.)); #507167=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #507168=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #507169=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #507170=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #507171=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #507172=CARTESIAN_POINT('Origin',(21.4199,21.151872,0.)); #507173=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #507174=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #507175=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #507176=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #507177=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #507178=CARTESIAN_POINT('Origin',(21.4199,22.548128,0.)); #507179=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #507180=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #507181=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #507182=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #507183=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #507184=CARTESIAN_POINT('Origin',(21.326709,22.641319,0.)); #507185=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #507186=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #507187=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #507188=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #507189=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #507190=CARTESIAN_POINT('Origin',(21.311409,22.663256,0.)); #507191=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #507192=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #507193=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #507194=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #507195=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #507196=CARTESIAN_POINT('Origin',(21.304428,22.692772,0.)); #507197=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #507198=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #507199=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #507200=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #507201=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #507202=CARTESIAN_POINT('Origin',(21.309513,22.722669,0.)); #507203=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #507204=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #507205=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #507206=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #507207=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #507208=CARTESIAN_POINT('Origin',(21.325856,22.748216,0.)); #507209=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #507210=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #507211=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #507212=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #507213=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #507214=CARTESIAN_POINT('Origin',(21.350869,22.765366,0.)); #507215=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #507216=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #507217=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #507218=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #507219=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #507220=CARTESIAN_POINT('Origin',(21.380591,22.7714,0.)); #507221=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #507222=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #507223=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #507224=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #507225=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #507226=CARTESIAN_POINT('Origin',(25.5903,22.7714,0.)); #507227=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #507228=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #507229=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #507230=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #507231=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #507232=CARTESIAN_POINT('Origin',(25.617769,22.766278,0.)); #507233=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #507234=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #507235=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #507236=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #507237=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #507238=CARTESIAN_POINT('Origin',(25.643316,22.749934,0.)); #507239=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #507240=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #507241=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #507242=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #507243=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #507244=CARTESIAN_POINT('Origin',(25.660466,22.724922,0.)); #507245=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #507246=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #507247=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #507248=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #507249=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #507250=CARTESIAN_POINT('Origin',(25.6665,22.6952,0.)); #507251=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #507252=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #507253=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #507254=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #507255=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #507256=CARTESIAN_POINT('Origin',(25.6665,22.492372,0.)); #507257=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #507258=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #507259=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #507260=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #507261=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #507262=CARTESIAN_POINT('Origin',(25.755772,22.4031,0.)); #507263=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #507264=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #507265=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #507266=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #507267=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #507268=CARTESIAN_POINT('Origin',(26.464059,22.4031,0.)); #507269=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #507270=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #507271=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #507272=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #507273=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #507274=CARTESIAN_POINT('Origin',(26.493903,22.397013,0.)); #507275=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #507276=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #507277=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #507278=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #507279=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #507280=CARTESIAN_POINT('Origin',(26.518888,22.379819,0.)); #507281=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #507282=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #507283=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #507284=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #507285=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #507286=CARTESIAN_POINT('Origin',(26.711928,22.179819,0.)); #507287=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #507288=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #507289=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #507290=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #507291=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #507292=CARTESIAN_POINT('Origin',(26.71805,22.170559,0.)); #507293=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #507294=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #507295=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #507296=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #507297=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #507298=CARTESIAN_POINT('Origin',(26.798431,22.090178,0.)); #507299=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #507300=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #507301=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #507302=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #507303=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #507304=CARTESIAN_POINT('Origin',(26.799378,22.089216,0.)); #507305=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #507306=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #507307=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #507308=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #507309=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #507310=CARTESIAN_POINT('Origin',(26.864834,22.0214,0.)); #507311=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #507312=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #507313=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #507314=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #507315=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #507316=CARTESIAN_POINT('Origin',(26.959238,22.0214,0.)); #507317=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #507318=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #507319=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #507320=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #507321=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #507322=CARTESIAN_POINT('Origin',(26.960588,22.021387,0.)); #507323=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #507324=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #507325=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #507326=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #507327=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #507328=CARTESIAN_POINT('Origin',(27.074959,22.019362,0.)); #507329=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #507330=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #507331=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #507332=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #507333=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #507334=CARTESIAN_POINT('Origin',(27.085,22.0214,0.)); #507335=CARTESIAN_POINT('',(27.085,22.0214,0.)); #507336=CARTESIAN_POINT('',(27.085,22.0214,0.)); #507337=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #507338=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #507339=CARTESIAN_POINT('',(27.085,22.0214,0.)); #507340=CARTESIAN_POINT('Origin',(27.639147,22.0214,0.)); #507341=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #507342=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #507343=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #507344=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #507345=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #507346=CARTESIAN_POINT('Origin',(27.665478,22.016706,0.)); #507347=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #507348=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #507349=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #507350=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #507351=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #507352=CARTESIAN_POINT('Origin',(27.691284,22.000772,0.)); #507353=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #507354=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #507355=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #507356=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #507357=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #507358=CARTESIAN_POINT('Origin',(27.708828,21.976034,0.)); #507359=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #507360=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #507361=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #507362=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #507363=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #507364=CARTESIAN_POINT('Origin',(27.715338,21.946416,0.)); #507365=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #507366=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #507367=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #507368=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #507369=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #507370=CARTESIAN_POINT('Origin',(27.709778,21.916603,0.)); #507371=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #507372=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #507373=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #507374=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #507375=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #507376=CARTESIAN_POINT('Origin',(27.693028,21.891319,0.)); #507377=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #507378=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #507379=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #507380=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #507381=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #507382=CARTESIAN_POINT('Origin',(26.7714,20.969691,0.)); #507383=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #507384=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #507385=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #507386=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #507387=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #507388=CARTESIAN_POINT('Origin',(26.7714,19.6731,0.)); #507389=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #507390=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #507391=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #507392=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #507393=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #507394=CARTESIAN_POINT('Origin',(26.766278,19.645631,0.)); #507395=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #507396=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #507397=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #507398=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #507399=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #507400=CARTESIAN_POINT('Origin',(26.749934,19.620084,0.)); #507401=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #507402=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #507403=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #507404=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #507405=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #507406=CARTESIAN_POINT('Origin',(26.724922,19.602934,0.)); #507407=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #507408=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #507409=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #507410=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #507411=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #507412=CARTESIAN_POINT('Origin',(26.6952,19.5969,0.)); #507413=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #507414=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #507415=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #507416=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #507417=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #507418=CARTESIAN_POINT('Origin',(25.755772,19.5969,0.)); #507419=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #507420=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #507421=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #507422=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #507423=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #507424=CARTESIAN_POINT('Origin',(25.6665,19.507628,0.)); #507425=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #507426=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #507427=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #507428=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #507429=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #507430=CARTESIAN_POINT('Origin',(25.6665,18.492372,0.)); #507431=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #507432=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #507433=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #507434=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #507435=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #507436=CARTESIAN_POINT('Origin',(25.755772,18.4031,0.)); #507437=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #507438=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #507439=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #507440=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #507441=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #507442=CARTESIAN_POINT('Origin',(25.9332,18.4031,0.)); #507443=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #507444=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #507445=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #507446=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #507447=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #507448=CARTESIAN_POINT('Origin',(25.960669,18.397978,0.)); #507449=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #507450=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #507451=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #507452=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #507453=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #507454=CARTESIAN_POINT('Origin',(25.986216,18.381634,0.)); #507455=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #507456=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #507457=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #507458=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #507459=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #507460=CARTESIAN_POINT('Origin',(26.003366,18.356622,0.)); #507461=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #507462=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #507463=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #507464=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #507465=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #507466=CARTESIAN_POINT('Origin',(26.0094,18.3269,0.)); #507467=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #507468=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #507469=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #507470=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #507471=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #507472=CARTESIAN_POINT('Origin',(26.0094,15.856647,0.)); #507473=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #507474=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #507475=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #507476=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #507477=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #507478=CARTESIAN_POINT('Origin',(29.844647,12.0214,0.)); #507479=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #507480=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #507481=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #507482=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #507483=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #507484=CARTESIAN_POINT('Origin',(33.655103,12.0214,0.)); #507485=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #507486=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #507487=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #507488=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #507489=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #507490=CARTESIAN_POINT('Origin',(33.6837,12.015831,0.)); #507491=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #507492=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #507493=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #507494=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #507495=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #507496=CARTESIAN_POINT('Origin',(33.708984,11.999081,0.)); #507497=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #507498=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #507499=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #507500=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #507501=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #507502=CARTESIAN_POINT('Origin',(33.885466,11.8226,0.)); #507503=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #507504=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #507505=CARTESIAN_POINT('Origin',(35.479337,43.9476,0.)); #507506=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #507507=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #507508=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #507509=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #507510=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #507511=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #507512=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #507513=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #507514=CARTESIAN_POINT('Origin',(35.866138,44.107819,0.)); #507515=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #507516=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #507517=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #507518=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #507519=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #507520=CARTESIAN_POINT('Origin',(36.162181,44.403863,0.)); #507521=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #507522=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #507523=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #507524=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #507525=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #507526=CARTESIAN_POINT('Origin',(36.3224,44.790663,0.)); #507527=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #507528=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #507529=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #507530=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #507531=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #507532=CARTESIAN_POINT('Origin',(36.3224,45.209338,0.)); #507533=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #507534=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #507535=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #507536=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #507537=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #507538=CARTESIAN_POINT('Origin',(36.162181,45.596138,0.)); #507539=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #507540=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #507541=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #507542=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #507543=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #507544=CARTESIAN_POINT('Origin',(35.866138,45.892181,0.)); #507545=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #507546=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #507547=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #507548=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #507549=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #507550=CARTESIAN_POINT('Origin',(35.479337,46.0524,0.)); #507551=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #507552=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #507553=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #507554=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #507555=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #507556=CARTESIAN_POINT('Origin',(35.060662,46.0524,0.)); #507557=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #507558=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #507559=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #507560=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #507561=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #507562=CARTESIAN_POINT('Origin',(34.673862,45.892181,0.)); #507563=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #507564=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #507565=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #507566=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #507567=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #507568=CARTESIAN_POINT('Origin',(34.377819,45.596138,0.)); #507569=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #507570=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #507571=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #507572=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #507573=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #507574=CARTESIAN_POINT('Origin',(34.2176,45.209338,0.)); #507575=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #507576=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #507577=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #507578=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #507579=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #507580=CARTESIAN_POINT('Origin',(34.2176,44.790663,0.)); #507581=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #507582=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #507583=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #507584=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #507585=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #507586=CARTESIAN_POINT('Origin',(34.377819,44.403863,0.)); #507587=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #507588=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #507589=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #507590=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #507591=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #507592=CARTESIAN_POINT('Origin',(34.673862,44.107819,0.)); #507593=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #507594=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #507595=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #507596=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #507597=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #507598=CARTESIAN_POINT('Origin',(35.060662,43.9476,0.)); #507599=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #507600=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #507601=CARTESIAN_POINT('Origin',(43.032191,13.3964,0.)); #507602=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #507603=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #507604=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #507605=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #507606=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #507607=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #507608=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #507609=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #507610=CARTESIAN_POINT('Origin',(43.259872,13.624081,0.)); #507611=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #507612=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #507613=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #507614=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #507615=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #507616=CARTESIAN_POINT('Origin',(43.284031,13.640366,0.)); #507617=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #507618=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #507619=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #507620=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #507621=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #507622=CARTESIAN_POINT('Origin',(43.313753,13.6464,0.)); #507623=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #507624=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #507625=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #507626=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #507627=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #507628=CARTESIAN_POINT('Origin',(54.780353,13.6464,0.)); #507629=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #507630=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #507631=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #507632=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #507633=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #507634=CARTESIAN_POINT('Origin',(60.4786,19.344647,0.)); #507635=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #507636=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #507637=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #507638=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #507639=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #507640=CARTESIAN_POINT('Origin',(60.4786,38.405353,0.)); #507641=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #507642=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #507643=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #507644=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #507645=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #507646=CARTESIAN_POINT('Origin',(57.905353,40.9786,0.)); #507647=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #507648=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #507649=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #507650=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #507651=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #507652=CARTESIAN_POINT('Origin',(53.55625,40.9786,0.)); #507653=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #507654=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #507655=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #507656=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #507657=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #507658=CARTESIAN_POINT('Origin',(53.529919,40.983294,0.)); #507659=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #507660=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #507661=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #507662=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #507663=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #507664=CARTESIAN_POINT('Origin',(53.504113,40.999228,0.)); #507665=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #507666=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #507667=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #507668=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #507669=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #507670=CARTESIAN_POINT('Origin',(53.486569,41.023966,0.)); #507671=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #507672=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #507673=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #507674=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #507675=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #507676=CARTESIAN_POINT('Origin',(53.480059,41.053584,0.)); #507677=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #507678=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #507679=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #507680=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #507681=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #507682=CARTESIAN_POINT('Origin',(53.485619,41.083397,0.)); #507683=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #507684=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #507685=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #507686=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #507687=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #507688=CARTESIAN_POINT('Origin',(53.502369,41.108681,0.)); #507689=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #507690=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #507691=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #507692=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #507693=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #507694=CARTESIAN_POINT('Origin',(54.068978,41.675291,0.)); #507695=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #507696=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #507697=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #507698=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #507699=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #507700=CARTESIAN_POINT('Origin',(54.084997,41.695131,0.)); #507701=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #507702=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #507703=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #507704=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #507705=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #507706=CARTESIAN_POINT('Origin',(54.094647,41.714272,0.)); #507707=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #507708=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #507709=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #507710=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #507711=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #507712=CARTESIAN_POINT('Origin',(54.100359,41.736184,0.)); #507713=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #507714=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #507715=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #507716=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #507717=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #507718=CARTESIAN_POINT('Origin',(54.1016,41.752847,0.)); #507719=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #507720=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #507721=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #507722=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #507723=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #507724=CARTESIAN_POINT('Origin',(54.1016,48.245953,0.)); #507725=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #507726=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #507727=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #507728=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #507729=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #507730=CARTESIAN_POINT('Origin',(54.0989,48.271309,0.)); #507731=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #507732=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #507733=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #507734=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #507735=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #507736=CARTESIAN_POINT('Origin',(54.092194,48.291663,0.)); #507737=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #507738=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #507739=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #507740=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #507741=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #507742=CARTESIAN_POINT('Origin',(54.080731,48.311197,0.)); #507743=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #507744=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #507745=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #507746=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #507747=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #507748=CARTESIAN_POINT('Origin',(54.069822,48.323866,0.)); #507749=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #507750=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #507751=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #507752=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #507753=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #507754=CARTESIAN_POINT('Origin',(53.324709,49.068978,0.)); #507755=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #507756=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #507757=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #507758=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #507759=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #507760=CARTESIAN_POINT('Origin',(53.304869,49.084997,0.)); #507761=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #507762=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #507763=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #507764=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #507765=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #507766=CARTESIAN_POINT('Origin',(53.285728,49.094647,0.)); #507767=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #507768=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #507769=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #507770=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #507771=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #507772=CARTESIAN_POINT('Origin',(53.263816,49.100359,0.)); #507773=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #507774=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #507775=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #507776=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #507777=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #507778=CARTESIAN_POINT('Origin',(53.247153,49.1016,0.)); #507779=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #507780=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #507781=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #507782=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #507783=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #507784=CARTESIAN_POINT('Origin',(49.8028,49.1016,0.)); #507785=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #507786=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #507787=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #507788=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #507789=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #507790=CARTESIAN_POINT('Origin',(49.775331,49.106722,0.)); #507791=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #507792=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #507793=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #507794=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #507795=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #507796=CARTESIAN_POINT('Origin',(49.749784,49.123066,0.)); #507797=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #507798=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #507799=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #507800=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #507801=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #507802=CARTESIAN_POINT('Origin',(49.732634,49.148078,0.)); #507803=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #507804=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #507805=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #507806=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #507807=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #507808=CARTESIAN_POINT('Origin',(49.7266,49.1778,0.)); #507809=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #507810=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #507811=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #507812=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #507813=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #507814=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #507815=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #507816=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #507817=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #507818=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #507819=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #507820=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #507821=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #507822=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #507823=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #507824=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #507825=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #507826=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #507827=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #507828=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #507829=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #507830=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #507831=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #507832=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #507833=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #507834=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #507835=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #507836=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #507837=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #507838=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #507839=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #507840=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #507841=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #507842=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #507843=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #507844=CARTESIAN_POINT('Origin',(48.699709,58.068978,0.)); #507845=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #507846=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #507847=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #507848=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #507849=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #507850=CARTESIAN_POINT('Origin',(48.679869,58.084997,0.)); #507851=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #507852=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #507853=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #507854=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #507855=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #507856=CARTESIAN_POINT('Origin',(48.660728,58.094647,0.)); #507857=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #507858=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #507859=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #507860=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #507861=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #507862=CARTESIAN_POINT('Origin',(48.638816,58.100359,0.)); #507863=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #507864=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #507865=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #507866=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #507867=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #507868=CARTESIAN_POINT('Origin',(48.622153,58.1016,0.)); #507869=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #507870=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #507871=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #507872=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #507873=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #507874=CARTESIAN_POINT('Origin',(48.4336,58.1016,0.)); #507875=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #507876=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #507877=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #507878=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #507879=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #507880=CARTESIAN_POINT('Origin',(48.406131,58.106722,0.)); #507881=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #507882=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #507883=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #507884=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #507885=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #507886=CARTESIAN_POINT('Origin',(48.380584,58.123066,0.)); #507887=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #507888=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #507889=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #507890=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #507891=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #507892=CARTESIAN_POINT('Origin',(48.363434,58.148078,0.)); #507893=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #507894=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #507895=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #507896=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #507897=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #507898=CARTESIAN_POINT('Origin',(48.3574,58.1778,0.)); #507899=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #507900=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #507901=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #507902=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #507903=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #507904=CARTESIAN_POINT('Origin',(48.3574,59.413128,0.)); #507905=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #507906=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #507907=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #507908=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #507909=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #507910=CARTESIAN_POINT('Origin',(48.268128,59.5024,0.)); #507911=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #507912=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #507913=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #507914=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #507915=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #507916=CARTESIAN_POINT('Origin',(47.541872,59.5024,0.)); #507917=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #507918=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #507919=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #507920=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #507921=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #507922=CARTESIAN_POINT('Origin',(47.4526,59.413128,0.)); #507923=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #507924=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #507925=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #507926=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #507927=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #507928=CARTESIAN_POINT('Origin',(47.4526,58.1778,0.)); #507929=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #507930=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #507931=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #507932=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #507933=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #507934=CARTESIAN_POINT('Origin',(47.447478,58.150331,0.)); #507935=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #507936=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #507937=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #507938=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #507939=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #507940=CARTESIAN_POINT('Origin',(47.431134,58.124784,0.)); #507941=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #507942=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #507943=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #507944=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #507945=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #507946=CARTESIAN_POINT('Origin',(47.406122,58.107634,0.)); #507947=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #507948=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #507949=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #507950=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #507951=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #507952=CARTESIAN_POINT('Origin',(47.3764,58.1016,0.)); #507953=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #507954=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #507955=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #507956=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #507957=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #507958=CARTESIAN_POINT('Origin',(43.57365,58.1016,0.)); #507959=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #507960=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #507961=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #507962=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #507963=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #507964=CARTESIAN_POINT('Origin',(43.545053,58.107169,0.)); #507965=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #507966=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #507967=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #507968=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #507969=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #507970=CARTESIAN_POINT('Origin',(43.519769,58.123919,0.)); #507971=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #507972=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #507973=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #507974=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #507975=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #507976=CARTESIAN_POINT('Origin',(42.824709,58.818978,0.)); #507977=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #507978=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #507979=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #507980=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #507981=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #507982=CARTESIAN_POINT('Origin',(42.804869,58.834997,0.)); #507983=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #507984=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #507985=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #507986=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #507987=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #507988=CARTESIAN_POINT('Origin',(42.785728,58.844647,0.)); #507989=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #507990=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #507991=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #507992=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #507993=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #507994=CARTESIAN_POINT('Origin',(42.763816,58.850359,0.)); #507995=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #507996=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #507997=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #507998=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #507999=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #508000=CARTESIAN_POINT('Origin',(42.747153,58.8516,0.)); #508001=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #508002=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #508003=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #508004=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #508005=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #508006=CARTESIAN_POINT('Origin',(37.754047,58.8516,0.)); #508007=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #508008=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #508009=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #508010=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #508011=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #508012=CARTESIAN_POINT('Origin',(37.728691,58.8489,0.)); #508013=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #508014=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #508015=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #508016=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #508017=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #508018=CARTESIAN_POINT('Origin',(37.708337,58.842194,0.)); #508019=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #508020=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #508021=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #508022=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #508023=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #508024=CARTESIAN_POINT('Origin',(37.688803,58.830731,0.)); #508025=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #508026=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #508027=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #508028=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #508029=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #508030=CARTESIAN_POINT('Origin',(37.676134,58.819822,0.)); #508031=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #508032=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #508033=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #508034=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #508035=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #508036=CARTESIAN_POINT('Origin',(36.931022,58.074709,0.)); #508037=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #508038=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #508039=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #508040=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #508041=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #508042=CARTESIAN_POINT('Origin',(36.915003,58.054869,0.)); #508043=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #508044=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #508045=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #508046=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #508047=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #508048=CARTESIAN_POINT('Origin',(36.905353,58.035728,0.)); #508049=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #508050=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #508051=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #508052=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #508053=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #508054=CARTESIAN_POINT('Origin',(36.899641,58.013816,0.)); #508055=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #508056=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #508057=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #508058=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #508059=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #508060=CARTESIAN_POINT('Origin',(36.8984,57.997153,0.)); #508061=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #508062=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #508063=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #508064=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #508065=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #508066=CARTESIAN_POINT('Origin',(36.8984,52.004047,0.)); #508067=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #508068=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #508069=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #508070=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #508071=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #508072=CARTESIAN_POINT('Origin',(36.9011,51.978691,0.)); #508073=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #508074=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #508075=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #508076=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #508077=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #508078=CARTESIAN_POINT('Origin',(36.907806,51.958337,0.)); #508079=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #508080=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #508081=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #508082=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #508083=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #508084=CARTESIAN_POINT('Origin',(36.919269,51.938803,0.)); #508085=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #508086=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #508087=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #508088=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #508089=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #508090=CARTESIAN_POINT('Origin',(36.930178,51.926134,0.)); #508091=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #508092=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #508093=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #508094=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #508095=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #508096=CARTESIAN_POINT('Origin',(37.497631,51.358681,0.)); #508097=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #508098=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #508099=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #508100=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #508101=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #508102=CARTESIAN_POINT('Origin',(37.512931,51.336744,0.)); #508103=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #508104=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #508105=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #508106=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #508107=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #508108=CARTESIAN_POINT('Origin',(37.519913,51.307228,0.)); #508109=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #508110=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #508111=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #508112=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #508113=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #508114=CARTESIAN_POINT('Origin',(37.514828,51.277331,0.)); #508115=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #508116=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #508117=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #508118=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #508119=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #508120=CARTESIAN_POINT('Origin',(37.498484,51.251784,0.)); #508121=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #508122=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #508123=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #508124=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #508125=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #508126=CARTESIAN_POINT('Origin',(37.473472,51.234634,0.)); #508127=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #508128=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #508129=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #508130=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #508131=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #508132=CARTESIAN_POINT('Origin',(37.44375,51.2286,0.)); #508133=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #508134=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #508135=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #508136=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #508137=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #508138=CARTESIAN_POINT('Origin',(36.813753,51.2286,0.)); #508139=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #508140=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #508141=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #508142=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #508143=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #508144=CARTESIAN_POINT('Origin',(36.785156,51.234169,0.)); #508145=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #508146=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #508147=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #508148=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #508149=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #508150=CARTESIAN_POINT('Origin',(36.759872,51.250919,0.)); #508151=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #508152=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #508153=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #508154=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #508155=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #508156=CARTESIAN_POINT('Origin',(34.625919,53.384872,0.)); #508157=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #508158=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #508159=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #508160=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #508161=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #508162=CARTESIAN_POINT('Origin',(34.609634,53.409031,0.)); #508163=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #508164=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #508165=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #508166=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #508167=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #508168=CARTESIAN_POINT('Origin',(34.6036,53.438753,0.)); #508169=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #508170=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #508171=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #508172=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #508173=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #508174=CARTESIAN_POINT('Origin',(34.6036,55.373747,0.)); #508175=CARTESIAN_POINT('',(34.6036,55.373747,0.)); #508176=CARTESIAN_POINT('',(34.6036,55.373747,0.)); #508177=CARTESIAN_POINT('',(34.6036,55.373747,0.035)); #508178=CARTESIAN_POINT('',(34.6036,55.373747,0.035)); #508179=CARTESIAN_POINT('',(34.6036,55.373747,0.)); #508180=CARTESIAN_POINT('Origin',(34.609169,55.402344,0.)); #508181=CARTESIAN_POINT('',(34.609169,55.402344,0.)); #508182=CARTESIAN_POINT('',(34.609169,55.402344,0.)); #508183=CARTESIAN_POINT('',(34.609169,55.402344,0.035)); #508184=CARTESIAN_POINT('',(34.609169,55.402344,0.035)); #508185=CARTESIAN_POINT('',(34.609169,55.402344,0.)); #508186=CARTESIAN_POINT('Origin',(34.625919,55.427628,0.)); #508187=CARTESIAN_POINT('',(34.625919,55.427628,0.)); #508188=CARTESIAN_POINT('',(34.625919,55.427628,0.)); #508189=CARTESIAN_POINT('',(34.625919,55.427628,0.035)); #508190=CARTESIAN_POINT('',(34.625919,55.427628,0.035)); #508191=CARTESIAN_POINT('',(34.625919,55.427628,0.)); #508192=CARTESIAN_POINT('Origin',(34.9786,55.780309,0.)); #508193=CARTESIAN_POINT('',(34.9786,55.780309,0.)); #508194=CARTESIAN_POINT('',(34.9786,55.780309,0.)); #508195=CARTESIAN_POINT('',(34.9786,55.780309,0.035)); #508196=CARTESIAN_POINT('',(34.9786,55.780309,0.035)); #508197=CARTESIAN_POINT('',(34.9786,55.780309,0.)); #508198=CARTESIAN_POINT('Origin',(34.9786,56.092603,0.)); #508199=CARTESIAN_POINT('',(34.9786,56.092603,0.)); #508200=CARTESIAN_POINT('',(34.9786,56.092603,0.)); #508201=CARTESIAN_POINT('',(34.9786,56.092603,0.035)); #508202=CARTESIAN_POINT('',(34.9786,56.092603,0.035)); #508203=CARTESIAN_POINT('',(34.9786,56.092603,0.)); #508204=CARTESIAN_POINT('Origin',(34.984169,56.1212,0.)); #508205=CARTESIAN_POINT('',(34.984169,56.1212,0.)); #508206=CARTESIAN_POINT('',(34.984169,56.1212,0.)); #508207=CARTESIAN_POINT('',(34.984169,56.1212,0.035)); #508208=CARTESIAN_POINT('',(34.984169,56.1212,0.035)); #508209=CARTESIAN_POINT('',(34.984169,56.1212,0.)); #508210=CARTESIAN_POINT('Origin',(35.000919,56.146484,0.)); #508211=CARTESIAN_POINT('',(35.000919,56.146484,0.)); #508212=CARTESIAN_POINT('',(35.000919,56.146484,0.)); #508213=CARTESIAN_POINT('',(35.000919,56.146484,0.035)); #508214=CARTESIAN_POINT('',(35.000919,56.146484,0.035)); #508215=CARTESIAN_POINT('',(35.000919,56.146484,0.)); #508216=CARTESIAN_POINT('Origin',(35.1774,56.322966,0.)); #508217=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #508218=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #508219=CARTESIAN_POINT('',(35.1774,56.322966,0.035)); #508220=CARTESIAN_POINT('',(35.1774,56.322966,0.035)); #508221=CARTESIAN_POINT('',(35.1774,56.322966,0.)); #508222=CARTESIAN_POINT('Origin',(35.1774,56.677034,0.)); #508223=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #508224=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #508225=CARTESIAN_POINT('',(35.1774,56.677034,0.035)); #508226=CARTESIAN_POINT('',(35.1774,56.677034,0.035)); #508227=CARTESIAN_POINT('',(35.1774,56.677034,0.)); #508228=CARTESIAN_POINT('Origin',(34.927034,56.9274,0.)); #508229=CARTESIAN_POINT('',(34.927034,56.9274,0.)); #508230=CARTESIAN_POINT('',(34.927034,56.9274,0.)); #508231=CARTESIAN_POINT('',(34.927034,56.9274,0.035)); #508232=CARTESIAN_POINT('',(34.927034,56.9274,0.035)); #508233=CARTESIAN_POINT('',(34.927034,56.9274,0.)); #508234=CARTESIAN_POINT('Origin',(34.572966,56.9274,0.)); #508235=CARTESIAN_POINT('',(34.572966,56.9274,0.)); #508236=CARTESIAN_POINT('',(34.572966,56.9274,0.)); #508237=CARTESIAN_POINT('',(34.572966,56.9274,0.035)); #508238=CARTESIAN_POINT('',(34.572966,56.9274,0.035)); #508239=CARTESIAN_POINT('',(34.572966,56.9274,0.)); #508240=CARTESIAN_POINT('Origin',(34.428881,56.783316,0.)); #508241=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #508242=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #508243=CARTESIAN_POINT('',(34.428881,56.783316,0.035)); #508244=CARTESIAN_POINT('',(34.428881,56.783316,0.035)); #508245=CARTESIAN_POINT('',(34.428881,56.783316,0.)); #508246=CARTESIAN_POINT('Origin',(34.405834,56.767516,0.)); #508247=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #508248=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #508249=CARTESIAN_POINT('',(34.405834,56.767516,0.035)); #508250=CARTESIAN_POINT('',(34.405834,56.767516,0.035)); #508251=CARTESIAN_POINT('',(34.405834,56.767516,0.)); #508252=CARTESIAN_POINT('Origin',(34.376216,56.761006,0.)); #508253=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #508254=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #508255=CARTESIAN_POINT('',(34.376216,56.761006,0.035)); #508256=CARTESIAN_POINT('',(34.376216,56.761006,0.035)); #508257=CARTESIAN_POINT('',(34.376216,56.761006,0.)); #508258=CARTESIAN_POINT('Origin',(34.346403,56.766566,0.)); #508259=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #508260=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #508261=CARTESIAN_POINT('',(34.346403,56.766566,0.035)); #508262=CARTESIAN_POINT('',(34.346403,56.766566,0.035)); #508263=CARTESIAN_POINT('',(34.346403,56.766566,0.)); #508264=CARTESIAN_POINT('Origin',(34.321119,56.783316,0.)); #508265=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #508266=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #508267=CARTESIAN_POINT('',(34.321119,56.783316,0.035)); #508268=CARTESIAN_POINT('',(34.321119,56.783316,0.035)); #508269=CARTESIAN_POINT('',(34.321119,56.783316,0.)); #508270=CARTESIAN_POINT('Origin',(34.177034,56.9274,0.)); #508271=CARTESIAN_POINT('',(34.177034,56.9274,0.)); #508272=CARTESIAN_POINT('',(34.177034,56.9274,0.)); #508273=CARTESIAN_POINT('',(34.177034,56.9274,0.035)); #508274=CARTESIAN_POINT('',(34.177034,56.9274,0.035)); #508275=CARTESIAN_POINT('',(34.177034,56.9274,0.)); #508276=CARTESIAN_POINT('Origin',(33.822966,56.9274,0.)); #508277=CARTESIAN_POINT('',(33.822966,56.9274,0.)); #508278=CARTESIAN_POINT('',(33.822966,56.9274,0.)); #508279=CARTESIAN_POINT('',(33.822966,56.9274,0.035)); #508280=CARTESIAN_POINT('',(33.822966,56.9274,0.035)); #508281=CARTESIAN_POINT('',(33.822966,56.9274,0.)); #508282=CARTESIAN_POINT('Origin',(33.678881,56.783316,0.)); #508283=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #508284=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #508285=CARTESIAN_POINT('',(33.678881,56.783316,0.035)); #508286=CARTESIAN_POINT('',(33.678881,56.783316,0.035)); #508287=CARTESIAN_POINT('',(33.678881,56.783316,0.)); #508288=CARTESIAN_POINT('Origin',(33.655834,56.767516,0.)); #508289=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #508290=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #508291=CARTESIAN_POINT('',(33.655834,56.767516,0.035)); #508292=CARTESIAN_POINT('',(33.655834,56.767516,0.035)); #508293=CARTESIAN_POINT('',(33.655834,56.767516,0.)); #508294=CARTESIAN_POINT('Origin',(33.626216,56.761006,0.)); #508295=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #508296=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #508297=CARTESIAN_POINT('',(33.626216,56.761006,0.035)); #508298=CARTESIAN_POINT('',(33.626216,56.761006,0.035)); #508299=CARTESIAN_POINT('',(33.626216,56.761006,0.)); #508300=CARTESIAN_POINT('Origin',(33.596403,56.766566,0.)); #508301=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #508302=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #508303=CARTESIAN_POINT('',(33.596403,56.766566,0.035)); #508304=CARTESIAN_POINT('',(33.596403,56.766566,0.035)); #508305=CARTESIAN_POINT('',(33.596403,56.766566,0.)); #508306=CARTESIAN_POINT('Origin',(33.571119,56.783316,0.)); #508307=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #508308=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #508309=CARTESIAN_POINT('',(33.571119,56.783316,0.035)); #508310=CARTESIAN_POINT('',(33.571119,56.783316,0.035)); #508311=CARTESIAN_POINT('',(33.571119,56.783316,0.)); #508312=CARTESIAN_POINT('Origin',(33.427034,56.9274,0.)); #508313=CARTESIAN_POINT('',(33.427034,56.9274,0.)); #508314=CARTESIAN_POINT('',(33.427034,56.9274,0.)); #508315=CARTESIAN_POINT('',(33.427034,56.9274,0.035)); #508316=CARTESIAN_POINT('',(33.427034,56.9274,0.035)); #508317=CARTESIAN_POINT('',(33.427034,56.9274,0.)); #508318=CARTESIAN_POINT('Origin',(33.072966,56.9274,0.)); #508319=CARTESIAN_POINT('',(33.072966,56.9274,0.)); #508320=CARTESIAN_POINT('',(33.072966,56.9274,0.)); #508321=CARTESIAN_POINT('',(33.072966,56.9274,0.035)); #508322=CARTESIAN_POINT('',(33.072966,56.9274,0.035)); #508323=CARTESIAN_POINT('',(33.072966,56.9274,0.)); #508324=CARTESIAN_POINT('Origin',(32.8226,56.677034,0.)); #508325=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #508326=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #508327=CARTESIAN_POINT('',(32.8226,56.677034,0.035)); #508328=CARTESIAN_POINT('',(32.8226,56.677034,0.035)); #508329=CARTESIAN_POINT('',(32.8226,56.677034,0.)); #508330=CARTESIAN_POINT('Origin',(32.8226,56.322966,0.)); #508331=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #508332=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #508333=CARTESIAN_POINT('',(32.8226,56.322966,0.035)); #508334=CARTESIAN_POINT('',(32.8226,56.322966,0.035)); #508335=CARTESIAN_POINT('',(32.8226,56.322966,0.)); #508336=CARTESIAN_POINT('Origin',(32.999081,56.146484,0.)); #508337=CARTESIAN_POINT('',(32.999081,56.146484,0.)); #508338=CARTESIAN_POINT('',(32.999081,56.146484,0.)); #508339=CARTESIAN_POINT('',(32.999081,56.146484,0.035)); #508340=CARTESIAN_POINT('',(32.999081,56.146484,0.035)); #508341=CARTESIAN_POINT('',(32.999081,56.146484,0.)); #508342=CARTESIAN_POINT('Origin',(33.015366,56.122325,0.)); #508343=CARTESIAN_POINT('',(33.015366,56.122325,0.)); #508344=CARTESIAN_POINT('',(33.015366,56.122325,0.)); #508345=CARTESIAN_POINT('',(33.015366,56.122325,0.035)); #508346=CARTESIAN_POINT('',(33.015366,56.122325,0.035)); #508347=CARTESIAN_POINT('',(33.015366,56.122325,0.)); #508348=CARTESIAN_POINT('Origin',(33.0214,56.092603,0.)); #508349=CARTESIAN_POINT('',(33.0214,56.092603,0.)); #508350=CARTESIAN_POINT('',(33.0214,56.092603,0.)); #508351=CARTESIAN_POINT('',(33.0214,56.092603,0.035)); #508352=CARTESIAN_POINT('',(33.0214,56.092603,0.035)); #508353=CARTESIAN_POINT('',(33.0214,56.092603,0.)); #508354=CARTESIAN_POINT('Origin',(33.0214,55.780309,0.)); #508355=CARTESIAN_POINT('',(33.0214,55.780309,0.)); #508356=CARTESIAN_POINT('',(33.0214,55.780309,0.)); #508357=CARTESIAN_POINT('',(33.0214,55.780309,0.035)); #508358=CARTESIAN_POINT('',(33.0214,55.780309,0.035)); #508359=CARTESIAN_POINT('',(33.0214,55.780309,0.)); #508360=CARTESIAN_POINT('Origin',(33.374081,55.427628,0.)); #508361=CARTESIAN_POINT('',(33.374081,55.427628,0.)); #508362=CARTESIAN_POINT('',(33.374081,55.427628,0.)); #508363=CARTESIAN_POINT('',(33.374081,55.427628,0.035)); #508364=CARTESIAN_POINT('',(33.374081,55.427628,0.035)); #508365=CARTESIAN_POINT('',(33.374081,55.427628,0.)); #508366=CARTESIAN_POINT('Origin',(33.390366,55.403469,0.)); #508367=CARTESIAN_POINT('',(33.390366,55.403469,0.)); #508368=CARTESIAN_POINT('',(33.390366,55.403469,0.)); #508369=CARTESIAN_POINT('',(33.390366,55.403469,0.035)); #508370=CARTESIAN_POINT('',(33.390366,55.403469,0.035)); #508371=CARTESIAN_POINT('',(33.390366,55.403469,0.)); #508372=CARTESIAN_POINT('Origin',(33.3964,55.373747,0.)); #508373=CARTESIAN_POINT('',(33.3964,55.373747,0.)); #508374=CARTESIAN_POINT('',(33.3964,55.373747,0.)); #508375=CARTESIAN_POINT('',(33.3964,55.373747,0.035)); #508376=CARTESIAN_POINT('',(33.3964,55.373747,0.035)); #508377=CARTESIAN_POINT('',(33.3964,55.373747,0.)); #508378=CARTESIAN_POINT('Origin',(33.3964,52.842809,0.)); #508379=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #508380=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #508381=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #508382=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #508383=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #508384=CARTESIAN_POINT('Origin',(36.217809,50.0214,0.)); #508385=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #508386=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #508387=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #508388=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #508389=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #508390=CARTESIAN_POINT('Origin',(41.123747,50.0214,0.)); #508391=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #508392=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #508393=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #508394=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #508395=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #508396=CARTESIAN_POINT('Origin',(41.152344,50.015831,0.)); #508397=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #508398=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #508399=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #508400=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #508401=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #508402=CARTESIAN_POINT('Origin',(41.177628,49.999081,0.)); #508403=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #508404=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #508405=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #508406=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #508407=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #508408=CARTESIAN_POINT('Origin',(41.749081,49.427628,0.)); #508409=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #508410=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #508411=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #508412=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #508413=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #508414=CARTESIAN_POINT('Origin',(41.765366,49.403469,0.)); #508415=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #508416=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #508417=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #508418=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #508419=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #508420=CARTESIAN_POINT('Origin',(41.7714,49.373747,0.)); #508421=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #508422=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #508423=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #508424=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #508425=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #508426=CARTESIAN_POINT('Origin',(41.7714,42.655309,0.)); #508427=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #508428=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #508429=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #508430=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #508431=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #508432=CARTESIAN_POINT('Origin',(43.155309,41.2714,0.)); #508433=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #508434=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #508435=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #508436=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #508437=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #508438=CARTESIAN_POINT('Origin',(46.623747,41.2714,0.)); #508439=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #508440=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #508441=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #508442=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #508443=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #508444=CARTESIAN_POINT('Origin',(46.652344,41.265831,0.)); #508445=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #508446=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #508447=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #508448=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #508449=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #508450=CARTESIAN_POINT('Origin',(46.677628,41.249081,0.)); #508451=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #508452=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #508453=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #508454=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #508455=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #508456=CARTESIAN_POINT('Origin',(48.155309,39.7714,0.)); #508457=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #508458=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #508459=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #508460=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #508461=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #508462=CARTESIAN_POINT('Origin',(49.3714,39.7714,0.)); #508463=CARTESIAN_POINT('',(49.3714,39.7714,0.)); #508464=CARTESIAN_POINT('',(49.3714,39.7714,0.)); #508465=CARTESIAN_POINT('',(49.3714,39.7714,0.035)); #508466=CARTESIAN_POINT('',(49.3714,39.7714,0.035)); #508467=CARTESIAN_POINT('',(49.3714,39.7714,0.)); #508468=CARTESIAN_POINT('Origin',(49.398869,39.766278,0.)); #508469=CARTESIAN_POINT('',(49.398869,39.766278,0.)); #508470=CARTESIAN_POINT('',(49.398869,39.766278,0.)); #508471=CARTESIAN_POINT('',(49.398869,39.766278,0.035)); #508472=CARTESIAN_POINT('',(49.398869,39.766278,0.035)); #508473=CARTESIAN_POINT('',(49.398869,39.766278,0.)); #508474=CARTESIAN_POINT('Origin',(49.424416,39.749934,0.)); #508475=CARTESIAN_POINT('',(49.424416,39.749934,0.)); #508476=CARTESIAN_POINT('',(49.424416,39.749934,0.)); #508477=CARTESIAN_POINT('',(49.424416,39.749934,0.035)); #508478=CARTESIAN_POINT('',(49.424416,39.749934,0.035)); #508479=CARTESIAN_POINT('',(49.424416,39.749934,0.)); #508480=CARTESIAN_POINT('Origin',(49.441566,39.724922,0.)); #508481=CARTESIAN_POINT('',(49.441566,39.724922,0.)); #508482=CARTESIAN_POINT('',(49.441566,39.724922,0.)); #508483=CARTESIAN_POINT('',(49.441566,39.724922,0.035)); #508484=CARTESIAN_POINT('',(49.441566,39.724922,0.035)); #508485=CARTESIAN_POINT('',(49.441566,39.724922,0.)); #508486=CARTESIAN_POINT('Origin',(49.4476,39.6952,0.)); #508487=CARTESIAN_POINT('',(49.4476,39.6952,0.)); #508488=CARTESIAN_POINT('',(49.4476,39.6952,0.)); #508489=CARTESIAN_POINT('',(49.4476,39.6952,0.035)); #508490=CARTESIAN_POINT('',(49.4476,39.6952,0.035)); #508491=CARTESIAN_POINT('',(49.4476,39.6952,0.)); #508492=CARTESIAN_POINT('Origin',(49.4476,39.447966,0.)); #508493=CARTESIAN_POINT('',(49.4476,39.447966,0.)); #508494=CARTESIAN_POINT('',(49.4476,39.447966,0.)); #508495=CARTESIAN_POINT('',(49.4476,39.447966,0.035)); #508496=CARTESIAN_POINT('',(49.4476,39.447966,0.035)); #508497=CARTESIAN_POINT('',(49.4476,39.447966,0.)); #508498=CARTESIAN_POINT('Origin',(49.697966,39.1976,0.)); #508499=CARTESIAN_POINT('',(49.697966,39.1976,0.)); #508500=CARTESIAN_POINT('',(49.697966,39.1976,0.)); #508501=CARTESIAN_POINT('',(49.697966,39.1976,0.035)); #508502=CARTESIAN_POINT('',(49.697966,39.1976,0.035)); #508503=CARTESIAN_POINT('',(49.697966,39.1976,0.)); #508504=CARTESIAN_POINT('Origin',(50.052034,39.1976,0.)); #508505=CARTESIAN_POINT('',(50.052034,39.1976,0.)); #508506=CARTESIAN_POINT('',(50.052034,39.1976,0.)); #508507=CARTESIAN_POINT('',(50.052034,39.1976,0.035)); #508508=CARTESIAN_POINT('',(50.052034,39.1976,0.035)); #508509=CARTESIAN_POINT('',(50.052034,39.1976,0.)); #508510=CARTESIAN_POINT('Origin',(50.3024,39.447966,0.)); #508511=CARTESIAN_POINT('',(50.3024,39.447966,0.)); #508512=CARTESIAN_POINT('',(50.3024,39.447966,0.)); #508513=CARTESIAN_POINT('',(50.3024,39.447966,0.035)); #508514=CARTESIAN_POINT('',(50.3024,39.447966,0.035)); #508515=CARTESIAN_POINT('',(50.3024,39.447966,0.)); #508516=CARTESIAN_POINT('Origin',(50.3024,39.802034,0.)); #508517=CARTESIAN_POINT('',(50.3024,39.802034,0.)); #508518=CARTESIAN_POINT('',(50.3024,39.802034,0.)); #508519=CARTESIAN_POINT('',(50.3024,39.802034,0.035)); #508520=CARTESIAN_POINT('',(50.3024,39.802034,0.035)); #508521=CARTESIAN_POINT('',(50.3024,39.802034,0.)); #508522=CARTESIAN_POINT('Origin',(50.088116,40.016319,0.)); #508523=CARTESIAN_POINT('',(50.088116,40.016319,0.)); #508524=CARTESIAN_POINT('',(50.088116,40.016319,0.)); #508525=CARTESIAN_POINT('',(50.088116,40.016319,0.035)); #508526=CARTESIAN_POINT('',(50.088116,40.016319,0.035)); #508527=CARTESIAN_POINT('',(50.088116,40.016319,0.)); #508528=CARTESIAN_POINT('Origin',(50.072816,40.038256,0.)); #508529=CARTESIAN_POINT('',(50.072816,40.038256,0.)); #508530=CARTESIAN_POINT('',(50.072816,40.038256,0.)); #508531=CARTESIAN_POINT('',(50.072816,40.038256,0.035)); #508532=CARTESIAN_POINT('',(50.072816,40.038256,0.035)); #508533=CARTESIAN_POINT('',(50.072816,40.038256,0.)); #508534=CARTESIAN_POINT('Origin',(50.065834,40.067772,0.)); #508535=CARTESIAN_POINT('',(50.065834,40.067772,0.)); #508536=CARTESIAN_POINT('',(50.065834,40.067772,0.)); #508537=CARTESIAN_POINT('',(50.065834,40.067772,0.035)); #508538=CARTESIAN_POINT('',(50.065834,40.067772,0.035)); #508539=CARTESIAN_POINT('',(50.065834,40.067772,0.)); #508540=CARTESIAN_POINT('Origin',(50.070919,40.097669,0.)); #508541=CARTESIAN_POINT('',(50.070919,40.097669,0.)); #508542=CARTESIAN_POINT('',(50.070919,40.097669,0.)); #508543=CARTESIAN_POINT('',(50.070919,40.097669,0.035)); #508544=CARTESIAN_POINT('',(50.070919,40.097669,0.035)); #508545=CARTESIAN_POINT('',(50.070919,40.097669,0.)); #508546=CARTESIAN_POINT('Origin',(50.087263,40.123216,0.)); #508547=CARTESIAN_POINT('',(50.087263,40.123216,0.)); #508548=CARTESIAN_POINT('',(50.087263,40.123216,0.)); #508549=CARTESIAN_POINT('',(50.087263,40.123216,0.035)); #508550=CARTESIAN_POINT('',(50.087263,40.123216,0.035)); #508551=CARTESIAN_POINT('',(50.087263,40.123216,0.)); #508552=CARTESIAN_POINT('Origin',(50.112275,40.140366,0.)); #508553=CARTESIAN_POINT('',(50.112275,40.140366,0.)); #508554=CARTESIAN_POINT('',(50.112275,40.140366,0.)); #508555=CARTESIAN_POINT('',(50.112275,40.140366,0.035)); #508556=CARTESIAN_POINT('',(50.112275,40.140366,0.035)); #508557=CARTESIAN_POINT('',(50.112275,40.140366,0.)); #508558=CARTESIAN_POINT('Origin',(50.141997,40.1464,0.)); #508559=CARTESIAN_POINT('',(50.141997,40.1464,0.)); #508560=CARTESIAN_POINT('',(50.141997,40.1464,0.)); #508561=CARTESIAN_POINT('',(50.141997,40.1464,0.035)); #508562=CARTESIAN_POINT('',(50.141997,40.1464,0.035)); #508563=CARTESIAN_POINT('',(50.141997,40.1464,0.)); #508564=CARTESIAN_POINT('Origin',(57.529078,40.1464,0.)); #508565=CARTESIAN_POINT('',(57.529078,40.1464,0.)); #508566=CARTESIAN_POINT('',(57.529078,40.1464,0.)); #508567=CARTESIAN_POINT('',(57.529078,40.1464,0.035)); #508568=CARTESIAN_POINT('',(57.529078,40.1464,0.035)); #508569=CARTESIAN_POINT('',(57.529078,40.1464,0.)); #508570=CARTESIAN_POINT('Origin',(57.557675,40.140831,0.)); #508571=CARTESIAN_POINT('',(57.557675,40.140831,0.)); #508572=CARTESIAN_POINT('',(57.557675,40.140831,0.)); #508573=CARTESIAN_POINT('',(57.557675,40.140831,0.035)); #508574=CARTESIAN_POINT('',(57.557675,40.140831,0.035)); #508575=CARTESIAN_POINT('',(57.557675,40.140831,0.)); #508576=CARTESIAN_POINT('Origin',(57.582959,40.124081,0.)); #508577=CARTESIAN_POINT('',(57.582959,40.124081,0.)); #508578=CARTESIAN_POINT('',(57.582959,40.124081,0.)); #508579=CARTESIAN_POINT('',(57.582959,40.124081,0.035)); #508580=CARTESIAN_POINT('',(57.582959,40.124081,0.035)); #508581=CARTESIAN_POINT('',(57.582959,40.124081,0.)); #508582=CARTESIAN_POINT('Origin',(59.624081,38.082959,0.)); #508583=CARTESIAN_POINT('',(59.624081,38.082959,0.)); #508584=CARTESIAN_POINT('',(59.624081,38.082959,0.)); #508585=CARTESIAN_POINT('',(59.624081,38.082959,0.035)); #508586=CARTESIAN_POINT('',(59.624081,38.082959,0.035)); #508587=CARTESIAN_POINT('',(59.624081,38.082959,0.)); #508588=CARTESIAN_POINT('Origin',(59.640366,38.0588,0.)); #508589=CARTESIAN_POINT('',(59.640366,38.0588,0.)); #508590=CARTESIAN_POINT('',(59.640366,38.0588,0.)); #508591=CARTESIAN_POINT('',(59.640366,38.0588,0.035)); #508592=CARTESIAN_POINT('',(59.640366,38.0588,0.035)); #508593=CARTESIAN_POINT('',(59.640366,38.0588,0.)); #508594=CARTESIAN_POINT('Origin',(59.6464,38.029078,0.)); #508595=CARTESIAN_POINT('',(59.6464,38.029078,0.)); #508596=CARTESIAN_POINT('',(59.6464,38.029078,0.)); #508597=CARTESIAN_POINT('',(59.6464,38.029078,0.035)); #508598=CARTESIAN_POINT('',(59.6464,38.029078,0.035)); #508599=CARTESIAN_POINT('',(59.6464,38.029078,0.)); #508600=CARTESIAN_POINT('Origin',(59.6464,19.720922,0.)); #508601=CARTESIAN_POINT('',(59.6464,19.720922,0.)); #508602=CARTESIAN_POINT('',(59.6464,19.720922,0.)); #508603=CARTESIAN_POINT('',(59.6464,19.720922,0.035)); #508604=CARTESIAN_POINT('',(59.6464,19.720922,0.035)); #508605=CARTESIAN_POINT('',(59.6464,19.720922,0.)); #508606=CARTESIAN_POINT('Origin',(59.640831,19.692325,0.)); #508607=CARTESIAN_POINT('',(59.640831,19.692325,0.)); #508608=CARTESIAN_POINT('',(59.640831,19.692325,0.)); #508609=CARTESIAN_POINT('',(59.640831,19.692325,0.035)); #508610=CARTESIAN_POINT('',(59.640831,19.692325,0.035)); #508611=CARTESIAN_POINT('',(59.640831,19.692325,0.)); #508612=CARTESIAN_POINT('Origin',(59.624081,19.667041,0.)); #508613=CARTESIAN_POINT('',(59.624081,19.667041,0.)); #508614=CARTESIAN_POINT('',(59.624081,19.667041,0.)); #508615=CARTESIAN_POINT('',(59.624081,19.667041,0.035)); #508616=CARTESIAN_POINT('',(59.624081,19.667041,0.035)); #508617=CARTESIAN_POINT('',(59.624081,19.667041,0.)); #508618=CARTESIAN_POINT('Origin',(54.457959,14.500919,0.)); #508619=CARTESIAN_POINT('',(54.457959,14.500919,0.)); #508620=CARTESIAN_POINT('',(54.457959,14.500919,0.)); #508621=CARTESIAN_POINT('',(54.457959,14.500919,0.035)); #508622=CARTESIAN_POINT('',(54.457959,14.500919,0.035)); #508623=CARTESIAN_POINT('',(54.457959,14.500919,0.)); #508624=CARTESIAN_POINT('Origin',(54.4338,14.484634,0.)); #508625=CARTESIAN_POINT('',(54.4338,14.484634,0.)); #508626=CARTESIAN_POINT('',(54.4338,14.484634,0.)); #508627=CARTESIAN_POINT('',(54.4338,14.484634,0.035)); #508628=CARTESIAN_POINT('',(54.4338,14.484634,0.035)); #508629=CARTESIAN_POINT('',(54.4338,14.484634,0.)); #508630=CARTESIAN_POINT('Origin',(54.404078,14.4786,0.)); #508631=CARTESIAN_POINT('',(54.404078,14.4786,0.)); #508632=CARTESIAN_POINT('',(54.404078,14.4786,0.)); #508633=CARTESIAN_POINT('',(54.404078,14.4786,0.035)); #508634=CARTESIAN_POINT('',(54.404078,14.4786,0.035)); #508635=CARTESIAN_POINT('',(54.404078,14.4786,0.)); #508636=CARTESIAN_POINT('Origin',(42.907397,14.4786,0.)); #508637=CARTESIAN_POINT('',(42.907397,14.4786,0.)); #508638=CARTESIAN_POINT('',(42.907397,14.4786,0.)); #508639=CARTESIAN_POINT('',(42.907397,14.4786,0.035)); #508640=CARTESIAN_POINT('',(42.907397,14.4786,0.035)); #508641=CARTESIAN_POINT('',(42.907397,14.4786,0.)); #508642=CARTESIAN_POINT('Origin',(42.8788,14.484169,0.)); #508643=CARTESIAN_POINT('',(42.8788,14.484169,0.)); #508644=CARTESIAN_POINT('',(42.8788,14.484169,0.)); #508645=CARTESIAN_POINT('',(42.8788,14.484169,0.035)); #508646=CARTESIAN_POINT('',(42.8788,14.484169,0.035)); #508647=CARTESIAN_POINT('',(42.8788,14.484169,0.)); #508648=CARTESIAN_POINT('Origin',(42.853516,14.500919,0.)); #508649=CARTESIAN_POINT('',(42.853516,14.500919,0.)); #508650=CARTESIAN_POINT('',(42.853516,14.500919,0.)); #508651=CARTESIAN_POINT('',(42.853516,14.500919,0.035)); #508652=CARTESIAN_POINT('',(42.853516,14.500919,0.035)); #508653=CARTESIAN_POINT('',(42.853516,14.500919,0.)); #508654=CARTESIAN_POINT('Origin',(42.677034,14.6774,0.)); #508655=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #508656=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #508657=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #508658=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #508659=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #508660=CARTESIAN_POINT('Origin',(42.322966,14.6774,0.)); #508661=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #508662=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #508663=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #508664=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #508665=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #508666=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #508667=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #508668=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #508669=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #508670=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #508671=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #508672=CARTESIAN_POINT('Origin',(42.0726,14.072966,0.)); #508673=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #508674=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #508675=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #508676=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #508677=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #508678=CARTESIAN_POINT('Origin',(42.161884,13.983681,0.)); #508679=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #508680=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #508681=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #508682=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #508683=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #508684=CARTESIAN_POINT('Origin',(42.177184,13.961744,0.)); #508685=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #508686=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #508687=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #508688=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #508689=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #508690=CARTESIAN_POINT('Origin',(42.184166,13.932228,0.)); #508691=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #508692=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #508693=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #508694=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #508695=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #508696=CARTESIAN_POINT('Origin',(42.179081,13.902331,0.)); #508697=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #508698=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #508699=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #508700=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #508701=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #508702=CARTESIAN_POINT('Origin',(42.162737,13.876784,0.)); #508703=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #508704=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #508705=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #508706=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #508707=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #508708=CARTESIAN_POINT('Origin',(42.137725,13.859634,0.)); #508709=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #508710=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #508711=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #508712=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #508713=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #508714=CARTESIAN_POINT('Origin',(42.108003,13.8536,0.)); #508715=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #508716=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #508717=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #508718=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #508719=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #508720=CARTESIAN_POINT('Origin',(41.998353,13.8536,0.)); #508721=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #508722=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #508723=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #508724=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #508725=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #508726=CARTESIAN_POINT('Origin',(41.969756,13.859169,0.)); #508727=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #508728=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #508729=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #508730=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #508731=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #508732=CARTESIAN_POINT('Origin',(41.944472,13.875919,0.)); #508733=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #508734=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #508735=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #508736=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #508737=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #508738=CARTESIAN_POINT('Origin',(39.841791,15.9786,0.)); #508739=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #508740=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #508741=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #508742=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #508743=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #508744=CARTESIAN_POINT('Origin',(39.657397,15.9786,0.)); #508745=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #508746=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #508747=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #508748=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #508749=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #508750=CARTESIAN_POINT('Origin',(39.6288,15.984169,0.)); #508751=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #508752=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #508753=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #508754=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #508755=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #508756=CARTESIAN_POINT('Origin',(39.603516,16.000919,0.)); #508757=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #508758=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #508759=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #508760=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #508761=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #508762=CARTESIAN_POINT('Origin',(39.427034,16.1774,0.)); #508763=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #508764=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #508765=CARTESIAN_POINT('',(39.427034,16.1774,0.035)); #508766=CARTESIAN_POINT('',(39.427034,16.1774,0.035)); #508767=CARTESIAN_POINT('',(39.427034,16.1774,0.)); #508768=CARTESIAN_POINT('Origin',(39.072966,16.1774,0.)); #508769=CARTESIAN_POINT('',(39.072966,16.1774,0.)); #508770=CARTESIAN_POINT('',(39.072966,16.1774,0.)); #508771=CARTESIAN_POINT('',(39.072966,16.1774,0.035)); #508772=CARTESIAN_POINT('',(39.072966,16.1774,0.035)); #508773=CARTESIAN_POINT('',(39.072966,16.1774,0.)); #508774=CARTESIAN_POINT('Origin',(38.8226,15.927034,0.)); #508775=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #508776=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #508777=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #508778=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #508779=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #508780=CARTESIAN_POINT('Origin',(38.8226,15.572966,0.)); #508781=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #508782=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #508783=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #508784=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #508785=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #508786=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #508787=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #508788=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #508789=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #508790=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #508791=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #508792=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #508793=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #508794=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #508795=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #508796=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #508797=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #508798=CARTESIAN_POINT('Origin',(39.585241,15.480806,0.)); #508799=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #508800=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #508801=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #508802=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #508803=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #508804=CARTESIAN_POINT('Origin',(39.608288,15.496606,0.)); #508805=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #508806=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #508807=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #508808=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #508809=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #508810=CARTESIAN_POINT('Origin',(39.637906,15.503116,0.)); #508811=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #508812=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #508813=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #508814=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #508815=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #508816=CARTESIAN_POINT('Origin',(39.667719,15.497556,0.)); #508817=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #508818=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #508819=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #508820=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #508821=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #508822=CARTESIAN_POINT('Origin',(39.693003,15.480806,0.)); #508823=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #508824=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #508825=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #508826=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #508827=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #508828=CARTESIAN_POINT('Origin',(41.777409,13.3964,0.)); #508829=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #508830=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #508831=CARTESIAN_POINT('Origin',(18.995953,40.6484,0.)); #508832=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #508833=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #508834=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #508835=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #508836=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #508837=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #508838=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #508839=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #508840=CARTESIAN_POINT('Origin',(19.021309,40.6511,0.)); #508841=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #508842=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #508843=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #508844=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #508845=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #508846=CARTESIAN_POINT('Origin',(19.041663,40.657806,0.)); #508847=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #508848=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #508849=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #508850=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #508851=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #508852=CARTESIAN_POINT('Origin',(19.061197,40.669269,0.)); #508853=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #508854=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #508855=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #508856=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #508857=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #508858=CARTESIAN_POINT('Origin',(19.073866,40.680178,0.)); #508859=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #508860=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #508861=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #508862=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #508863=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #508864=CARTESIAN_POINT('Origin',(20.769769,42.376081,0.)); #508865=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #508866=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #508867=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #508868=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #508869=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #508870=CARTESIAN_POINT('Origin',(20.793928,42.392366,0.)); #508871=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #508872=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #508873=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #508874=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #508875=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #508876=CARTESIAN_POINT('Origin',(20.82365,42.3984,0.)); #508877=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #508878=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #508879=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #508880=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #508881=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #508882=CARTESIAN_POINT('Origin',(24.245953,42.3984,0.)); #508883=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #508884=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #508885=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #508886=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #508887=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #508888=CARTESIAN_POINT('Origin',(24.271309,42.4011,0.)); #508889=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #508890=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #508891=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #508892=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #508893=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #508894=CARTESIAN_POINT('Origin',(24.291663,42.407806,0.)); #508895=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #508896=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #508897=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #508898=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #508899=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #508900=CARTESIAN_POINT('Origin',(24.311197,42.419269,0.)); #508901=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #508902=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #508903=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #508904=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #508905=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #508906=CARTESIAN_POINT('Origin',(24.323866,42.430178,0.)); #508907=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #508908=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #508909=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #508910=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #508911=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #508912=CARTESIAN_POINT('Origin',(25.068978,43.175291,0.)); #508913=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #508914=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #508915=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #508916=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #508917=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #508918=CARTESIAN_POINT('Origin',(25.084997,43.195131,0.)); #508919=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #508920=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #508921=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #508922=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #508923=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #508924=CARTESIAN_POINT('Origin',(25.094647,43.214272,0.)); #508925=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #508926=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #508927=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #508928=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #508929=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #508930=CARTESIAN_POINT('Origin',(25.100359,43.236184,0.)); #508931=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #508932=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #508933=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #508934=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #508935=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #508936=CARTESIAN_POINT('Origin',(25.1016,43.252847,0.)); #508937=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #508938=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #508939=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #508940=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #508941=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #508942=CARTESIAN_POINT('Origin',(25.1016,49.80135,0.)); #508943=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #508944=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #508945=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #508946=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #508947=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #508948=CARTESIAN_POINT('Origin',(25.107169,49.829947,0.)); #508949=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #508950=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #508951=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #508952=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #508953=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #508954=CARTESIAN_POINT('Origin',(25.123919,49.855231,0.)); #508955=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #508956=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #508957=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #508958=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #508959=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #508960=CARTESIAN_POINT('Origin',(26.394769,51.126081,0.)); #508961=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #508962=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #508963=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #508964=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #508965=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #508966=CARTESIAN_POINT('Origin',(26.418928,51.142366,0.)); #508967=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #508968=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #508969=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #508970=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #508971=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #508972=CARTESIAN_POINT('Origin',(26.44865,51.1484,0.)); #508973=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #508974=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #508975=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #508976=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #508977=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #508978=CARTESIAN_POINT('Origin',(30.245953,51.1484,0.)); #508979=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #508980=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #508981=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #508982=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #508983=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #508984=CARTESIAN_POINT('Origin',(30.271309,51.1511,0.)); #508985=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #508986=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #508987=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #508988=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #508989=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #508990=CARTESIAN_POINT('Origin',(30.291663,51.157806,0.)); #508991=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #508992=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #508993=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #508994=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #508995=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #508996=CARTESIAN_POINT('Origin',(30.311197,51.169269,0.)); #508997=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #508998=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #508999=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #509000=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #509001=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #509002=CARTESIAN_POINT('Origin',(30.323866,51.180178,0.)); #509003=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #509004=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #509005=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #509006=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #509007=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #509008=CARTESIAN_POINT('Origin',(31.068978,51.925291,0.)); #509009=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #509010=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #509011=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #509012=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #509013=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #509014=CARTESIAN_POINT('Origin',(31.084997,51.945131,0.)); #509015=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #509016=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #509017=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #509018=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #509019=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #509020=CARTESIAN_POINT('Origin',(31.094647,51.964272,0.)); #509021=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #509022=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #509023=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #509024=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #509025=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #509026=CARTESIAN_POINT('Origin',(31.100359,51.986184,0.)); #509027=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #509028=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #509029=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #509030=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #509031=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #509032=CARTESIAN_POINT('Origin',(31.1016,52.002847,0.)); #509033=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #509034=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #509035=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #509036=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #509037=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #509038=CARTESIAN_POINT('Origin',(31.1016,57.995953,0.)); #509039=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #509040=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #509041=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #509042=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #509043=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #509044=CARTESIAN_POINT('Origin',(31.0989,58.021309,0.)); #509045=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #509046=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #509047=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #509048=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #509049=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #509050=CARTESIAN_POINT('Origin',(31.092194,58.041663,0.)); #509051=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #509052=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #509053=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #509054=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #509055=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #509056=CARTESIAN_POINT('Origin',(31.080731,58.061197,0.)); #509057=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #509058=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #509059=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #509060=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #509061=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #509062=CARTESIAN_POINT('Origin',(31.069822,58.073866,0.)); #509063=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #509064=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #509065=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #509066=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #509067=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #509068=CARTESIAN_POINT('Origin',(30.324709,58.818978,0.)); #509069=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #509070=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #509071=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #509072=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #509073=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #509074=CARTESIAN_POINT('Origin',(30.304869,58.834997,0.)); #509075=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #509076=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #509077=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #509078=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #509079=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #509080=CARTESIAN_POINT('Origin',(30.285728,58.844647,0.)); #509081=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #509082=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #509083=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #509084=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #509085=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #509086=CARTESIAN_POINT('Origin',(30.263816,58.850359,0.)); #509087=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #509088=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #509089=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #509090=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #509091=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #509092=CARTESIAN_POINT('Origin',(30.247153,58.8516,0.)); #509093=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #509094=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #509095=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #509096=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #509097=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #509098=CARTESIAN_POINT('Origin',(25.254047,58.8516,0.)); #509099=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #509100=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #509101=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #509102=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #509103=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #509104=CARTESIAN_POINT('Origin',(25.228691,58.8489,0.)); #509105=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #509106=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #509107=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #509108=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #509109=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #509110=CARTESIAN_POINT('Origin',(25.208338,58.842194,0.)); #509111=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #509112=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #509113=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #509114=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #509115=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #509116=CARTESIAN_POINT('Origin',(25.188803,58.830731,0.)); #509117=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #509118=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #509119=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #509120=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #509121=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #509122=CARTESIAN_POINT('Origin',(25.176134,58.819822,0.)); #509123=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #509124=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #509125=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #509126=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #509127=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #509128=CARTESIAN_POINT('Origin',(24.487481,58.131169,0.)); #509129=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #509130=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #509131=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #509132=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #509133=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #509134=CARTESIAN_POINT('Origin',(24.465544,58.115869,0.)); #509135=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #509136=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #509137=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #509138=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #509139=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #509140=CARTESIAN_POINT('Origin',(24.436028,58.108888,0.)); #509141=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #509142=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #509143=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #509144=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #509145=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #509146=CARTESIAN_POINT('Origin',(24.406131,58.113972,0.)); #509147=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #509148=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #509149=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #509150=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #509151=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #509152=CARTESIAN_POINT('Origin',(24.380584,58.130316,0.)); #509153=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #509154=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #509155=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #509156=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #509157=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #509158=CARTESIAN_POINT('Origin',(24.363434,58.155328,0.)); #509159=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #509160=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #509161=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #509162=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #509163=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #509164=CARTESIAN_POINT('Origin',(24.3574,58.18505,0.)); #509165=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #509166=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #509167=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #509168=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #509169=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #509170=CARTESIAN_POINT('Origin',(24.3574,59.413128,0.)); #509171=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #509172=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #509173=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #509174=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #509175=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #509176=CARTESIAN_POINT('Origin',(24.268128,59.5024,0.)); #509177=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #509178=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #509179=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #509180=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #509181=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #509182=CARTESIAN_POINT('Origin',(23.541872,59.5024,0.)); #509183=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #509184=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #509185=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #509186=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #509187=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #509188=CARTESIAN_POINT('Origin',(23.4526,59.413128,0.)); #509189=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #509190=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #509191=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #509192=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #509193=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #509194=CARTESIAN_POINT('Origin',(23.4526,58.1778,0.)); #509195=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #509196=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #509197=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #509198=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #509199=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #509200=CARTESIAN_POINT('Origin',(23.447478,58.150331,0.)); #509201=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #509202=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #509203=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #509204=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #509205=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #509206=CARTESIAN_POINT('Origin',(23.431134,58.124784,0.)); #509207=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #509208=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #509209=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #509210=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #509211=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #509212=CARTESIAN_POINT('Origin',(23.406122,58.107634,0.)); #509213=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #509214=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #509215=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #509216=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #509217=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #509218=CARTESIAN_POINT('Origin',(23.3764,58.1016,0.)); #509219=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #509220=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #509221=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #509222=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #509223=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #509224=CARTESIAN_POINT('Origin',(19.379047,58.1016,0.)); #509225=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #509226=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #509227=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #509228=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #509229=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #509230=CARTESIAN_POINT('Origin',(19.353691,58.0989,0.)); #509231=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #509232=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #509233=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #509234=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #509235=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #509236=CARTESIAN_POINT('Origin',(19.333338,58.092194,0.)); #509237=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #509238=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #509239=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #509240=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #509241=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #509242=CARTESIAN_POINT('Origin',(19.313803,58.080731,0.)); #509243=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #509244=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #509245=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #509246=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #509247=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #509248=CARTESIAN_POINT('Origin',(19.301134,58.069822,0.)); #509249=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #509250=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #509251=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #509252=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #509253=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #509254=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #509255=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #509256=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #509257=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #509258=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #509259=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #509260=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #509261=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #509262=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #509263=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #509264=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #509265=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #509266=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #509267=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #509268=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #509269=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #509270=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #509271=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #509272=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #509273=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #509274=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #509275=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #509276=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #509277=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #509278=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #509279=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #509280=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #509281=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #509282=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #509283=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #509284=CARTESIAN_POINT('Origin',(18.2734,49.1778,0.)); #509285=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #509286=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #509287=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #509288=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #509289=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #509290=CARTESIAN_POINT('Origin',(18.268278,49.150331,0.)); #509291=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #509292=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #509293=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #509294=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #509295=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #509296=CARTESIAN_POINT('Origin',(18.251934,49.124784,0.)); #509297=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #509298=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #509299=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #509300=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #509301=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #509302=CARTESIAN_POINT('Origin',(18.226922,49.107634,0.)); #509303=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #509304=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #509305=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #509306=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #509307=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #509308=CARTESIAN_POINT('Origin',(18.1972,49.1016,0.)); #509309=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #509310=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #509311=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #509312=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #509313=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #509314=CARTESIAN_POINT('Origin',(14.754047,49.1016,0.)); #509315=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #509316=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #509317=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #509318=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #509319=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #509320=CARTESIAN_POINT('Origin',(14.728691,49.0989,0.)); #509321=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #509322=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #509323=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #509324=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #509325=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #509326=CARTESIAN_POINT('Origin',(14.708338,49.092194,0.)); #509327=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #509328=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #509329=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #509330=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #509331=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #509332=CARTESIAN_POINT('Origin',(14.688803,49.080731,0.)); #509333=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #509334=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #509335=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #509336=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #509337=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #509338=CARTESIAN_POINT('Origin',(14.676134,49.069822,0.)); #509339=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #509340=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #509341=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #509342=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #509343=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #509344=CARTESIAN_POINT('Origin',(13.931022,48.324709,0.)); #509345=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #509346=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #509347=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #509348=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #509349=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #509350=CARTESIAN_POINT('Origin',(13.915003,48.304869,0.)); #509351=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #509352=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #509353=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #509354=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #509355=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #509356=CARTESIAN_POINT('Origin',(13.905353,48.285728,0.)); #509357=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #509358=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #509359=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #509360=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #509361=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #509362=CARTESIAN_POINT('Origin',(13.899641,48.263816,0.)); #509363=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #509364=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #509365=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #509366=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #509367=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #509368=CARTESIAN_POINT('Origin',(13.8984,48.247153,0.)); #509369=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #509370=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #509371=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #509372=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #509373=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #509374=CARTESIAN_POINT('Origin',(13.8984,41.504047,0.)); #509375=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #509376=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #509377=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #509378=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #509379=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #509380=CARTESIAN_POINT('Origin',(13.9011,41.478691,0.)); #509381=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #509382=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #509383=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #509384=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #509385=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #509386=CARTESIAN_POINT('Origin',(13.907806,41.458337,0.)); #509387=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #509388=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #509389=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #509390=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #509391=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #509392=CARTESIAN_POINT('Origin',(13.919269,41.438803,0.)); #509393=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #509394=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #509395=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #509396=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #509397=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #509398=CARTESIAN_POINT('Origin',(13.930178,41.426134,0.)); #509399=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #509400=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #509401=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #509402=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #509403=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #509404=CARTESIAN_POINT('Origin',(14.675291,40.681022,0.)); #509405=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #509406=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #509407=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #509408=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #509409=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #509410=CARTESIAN_POINT('Origin',(14.695131,40.665003,0.)); #509411=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #509412=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #509413=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #509414=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #509415=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #509416=CARTESIAN_POINT('Origin',(14.714272,40.655353,0.)); #509417=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #509418=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #509419=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #509420=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #509421=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #509422=CARTESIAN_POINT('Origin',(14.736184,40.649641,0.)); #509423=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #509424=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #509425=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #509426=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #509427=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #509428=CARTESIAN_POINT('Origin',(14.752847,40.6484,0.)); #509429=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #509430=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #509431=CARTESIAN_POINT('Origin',(32.526034,54.0726,0.)); #509432=CARTESIAN_POINT('',(32.526034,54.0726,0.)); #509433=CARTESIAN_POINT('',(32.171966,54.0726,0.)); #509434=CARTESIAN_POINT('',(32.526034,54.0726,0.)); #509435=CARTESIAN_POINT('',(32.171966,54.0726,0.035)); #509436=CARTESIAN_POINT('',(32.171966,54.0726,0.)); #509437=CARTESIAN_POINT('',(32.526034,54.0726,0.035)); #509438=CARTESIAN_POINT('',(32.526034,54.0726,0.035)); #509439=CARTESIAN_POINT('',(32.526034,54.0726,0.)); #509440=CARTESIAN_POINT('Origin',(32.7764,54.322966,0.)); #509441=CARTESIAN_POINT('',(32.7764,54.322966,0.)); #509442=CARTESIAN_POINT('',(32.7764,54.322966,0.)); #509443=CARTESIAN_POINT('',(32.7764,54.322966,0.035)); #509444=CARTESIAN_POINT('',(32.7764,54.322966,0.035)); #509445=CARTESIAN_POINT('',(32.7764,54.322966,0.)); #509446=CARTESIAN_POINT('Origin',(32.7764,54.677034,0.)); #509447=CARTESIAN_POINT('',(32.7764,54.677034,0.)); #509448=CARTESIAN_POINT('',(32.7764,54.677034,0.)); #509449=CARTESIAN_POINT('',(32.7764,54.677034,0.035)); #509450=CARTESIAN_POINT('',(32.7764,54.677034,0.035)); #509451=CARTESIAN_POINT('',(32.7764,54.677034,0.)); #509452=CARTESIAN_POINT('Origin',(32.599919,54.853516,0.)); #509453=CARTESIAN_POINT('',(32.599919,54.853516,0.)); #509454=CARTESIAN_POINT('',(32.599919,54.853516,0.)); #509455=CARTESIAN_POINT('',(32.599919,54.853516,0.035)); #509456=CARTESIAN_POINT('',(32.599919,54.853516,0.035)); #509457=CARTESIAN_POINT('',(32.599919,54.853516,0.)); #509458=CARTESIAN_POINT('Origin',(32.583634,54.877675,0.)); #509459=CARTESIAN_POINT('',(32.583634,54.877675,0.)); #509460=CARTESIAN_POINT('',(32.583634,54.877675,0.)); #509461=CARTESIAN_POINT('',(32.583634,54.877675,0.035)); #509462=CARTESIAN_POINT('',(32.583634,54.877675,0.035)); #509463=CARTESIAN_POINT('',(32.583634,54.877675,0.)); #509464=CARTESIAN_POINT('Origin',(32.5776,54.907397,0.)); #509465=CARTESIAN_POINT('',(32.5776,54.907397,0.)); #509466=CARTESIAN_POINT('',(32.5776,54.907397,0.)); #509467=CARTESIAN_POINT('',(32.5776,54.907397,0.035)); #509468=CARTESIAN_POINT('',(32.5776,54.907397,0.035)); #509469=CARTESIAN_POINT('',(32.5776,54.907397,0.)); #509470=CARTESIAN_POINT('Origin',(32.5776,63.092603,0.)); #509471=CARTESIAN_POINT('',(32.5776,63.092603,0.)); #509472=CARTESIAN_POINT('',(32.5776,63.092603,0.)); #509473=CARTESIAN_POINT('',(32.5776,63.092603,0.035)); #509474=CARTESIAN_POINT('',(32.5776,63.092603,0.035)); #509475=CARTESIAN_POINT('',(32.5776,63.092603,0.)); #509476=CARTESIAN_POINT('Origin',(32.583169,63.1212,0.)); #509477=CARTESIAN_POINT('',(32.583169,63.1212,0.)); #509478=CARTESIAN_POINT('',(32.583169,63.1212,0.)); #509479=CARTESIAN_POINT('',(32.583169,63.1212,0.035)); #509480=CARTESIAN_POINT('',(32.583169,63.1212,0.035)); #509481=CARTESIAN_POINT('',(32.583169,63.1212,0.)); #509482=CARTESIAN_POINT('Origin',(32.599919,63.146484,0.)); #509483=CARTESIAN_POINT('',(32.599919,63.146484,0.)); #509484=CARTESIAN_POINT('',(32.599919,63.146484,0.)); #509485=CARTESIAN_POINT('',(32.599919,63.146484,0.035)); #509486=CARTESIAN_POINT('',(32.599919,63.146484,0.035)); #509487=CARTESIAN_POINT('',(32.599919,63.146484,0.)); #509488=CARTESIAN_POINT('Origin',(32.7764,63.322966,0.)); #509489=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #509490=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #509491=CARTESIAN_POINT('',(32.7764,63.322966,0.035)); #509492=CARTESIAN_POINT('',(32.7764,63.322966,0.035)); #509493=CARTESIAN_POINT('',(32.7764,63.322966,0.)); #509494=CARTESIAN_POINT('Origin',(32.7764,63.677034,0.)); #509495=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #509496=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #509497=CARTESIAN_POINT('',(32.7764,63.677034,0.035)); #509498=CARTESIAN_POINT('',(32.7764,63.677034,0.035)); #509499=CARTESIAN_POINT('',(32.7764,63.677034,0.)); #509500=CARTESIAN_POINT('Origin',(32.526034,63.9274,0.)); #509501=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #509502=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #509503=CARTESIAN_POINT('',(32.526034,63.9274,0.035)); #509504=CARTESIAN_POINT('',(32.526034,63.9274,0.035)); #509505=CARTESIAN_POINT('',(32.526034,63.9274,0.)); #509506=CARTESIAN_POINT('Origin',(32.171966,63.9274,0.)); #509507=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #509508=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #509509=CARTESIAN_POINT('',(32.171966,63.9274,0.035)); #509510=CARTESIAN_POINT('',(32.171966,63.9274,0.035)); #509511=CARTESIAN_POINT('',(32.171966,63.9274,0.)); #509512=CARTESIAN_POINT('Origin',(31.9216,63.677034,0.)); #509513=CARTESIAN_POINT('',(31.9216,63.677034,0.)); #509514=CARTESIAN_POINT('',(31.9216,63.677034,0.)); #509515=CARTESIAN_POINT('',(31.9216,63.677034,0.035)); #509516=CARTESIAN_POINT('',(31.9216,63.677034,0.035)); #509517=CARTESIAN_POINT('',(31.9216,63.677034,0.)); #509518=CARTESIAN_POINT('Origin',(31.9216,63.322966,0.)); #509519=CARTESIAN_POINT('',(31.9216,63.322966,0.)); #509520=CARTESIAN_POINT('',(31.9216,63.322966,0.)); #509521=CARTESIAN_POINT('',(31.9216,63.322966,0.035)); #509522=CARTESIAN_POINT('',(31.9216,63.322966,0.035)); #509523=CARTESIAN_POINT('',(31.9216,63.322966,0.)); #509524=CARTESIAN_POINT('Origin',(32.098081,63.146484,0.)); #509525=CARTESIAN_POINT('',(32.098081,63.146484,0.)); #509526=CARTESIAN_POINT('',(32.098081,63.146484,0.)); #509527=CARTESIAN_POINT('',(32.098081,63.146484,0.035)); #509528=CARTESIAN_POINT('',(32.098081,63.146484,0.035)); #509529=CARTESIAN_POINT('',(32.098081,63.146484,0.)); #509530=CARTESIAN_POINT('Origin',(32.114366,63.122325,0.)); #509531=CARTESIAN_POINT('',(32.114366,63.122325,0.)); #509532=CARTESIAN_POINT('',(32.114366,63.122325,0.)); #509533=CARTESIAN_POINT('',(32.114366,63.122325,0.035)); #509534=CARTESIAN_POINT('',(32.114366,63.122325,0.035)); #509535=CARTESIAN_POINT('',(32.114366,63.122325,0.)); #509536=CARTESIAN_POINT('Origin',(32.1204,63.092603,0.)); #509537=CARTESIAN_POINT('',(32.1204,63.092603,0.)); #509538=CARTESIAN_POINT('',(32.1204,63.092603,0.)); #509539=CARTESIAN_POINT('',(32.1204,63.092603,0.035)); #509540=CARTESIAN_POINT('',(32.1204,63.092603,0.035)); #509541=CARTESIAN_POINT('',(32.1204,63.092603,0.)); #509542=CARTESIAN_POINT('Origin',(32.1204,54.907397,0.)); #509543=CARTESIAN_POINT('',(32.1204,54.907397,0.)); #509544=CARTESIAN_POINT('',(32.1204,54.907397,0.)); #509545=CARTESIAN_POINT('',(32.1204,54.907397,0.035)); #509546=CARTESIAN_POINT('',(32.1204,54.907397,0.035)); #509547=CARTESIAN_POINT('',(32.1204,54.907397,0.)); #509548=CARTESIAN_POINT('Origin',(32.114831,54.8788,0.)); #509549=CARTESIAN_POINT('',(32.114831,54.8788,0.)); #509550=CARTESIAN_POINT('',(32.114831,54.8788,0.)); #509551=CARTESIAN_POINT('',(32.114831,54.8788,0.035)); #509552=CARTESIAN_POINT('',(32.114831,54.8788,0.035)); #509553=CARTESIAN_POINT('',(32.114831,54.8788,0.)); #509554=CARTESIAN_POINT('Origin',(32.098081,54.853516,0.)); #509555=CARTESIAN_POINT('',(32.098081,54.853516,0.)); #509556=CARTESIAN_POINT('',(32.098081,54.853516,0.)); #509557=CARTESIAN_POINT('',(32.098081,54.853516,0.035)); #509558=CARTESIAN_POINT('',(32.098081,54.853516,0.035)); #509559=CARTESIAN_POINT('',(32.098081,54.853516,0.)); #509560=CARTESIAN_POINT('Origin',(31.9216,54.677034,0.)); #509561=CARTESIAN_POINT('',(31.9216,54.677034,0.)); #509562=CARTESIAN_POINT('',(31.9216,54.677034,0.)); #509563=CARTESIAN_POINT('',(31.9216,54.677034,0.035)); #509564=CARTESIAN_POINT('',(31.9216,54.677034,0.035)); #509565=CARTESIAN_POINT('',(31.9216,54.677034,0.)); #509566=CARTESIAN_POINT('Origin',(31.9216,54.322966,0.)); #509567=CARTESIAN_POINT('',(31.9216,54.322966,0.)); #509568=CARTESIAN_POINT('',(31.9216,54.322966,0.)); #509569=CARTESIAN_POINT('',(31.9216,54.322966,0.035)); #509570=CARTESIAN_POINT('',(31.9216,54.322966,0.035)); #509571=CARTESIAN_POINT('',(31.9216,54.322966,0.)); #509572=CARTESIAN_POINT('Origin',(32.171966,54.0726,0.)); #509573=CARTESIAN_POINT('',(32.171966,54.0726,0.)); #509574=CARTESIAN_POINT('',(32.171966,54.0726,0.035)); #509575=CARTESIAN_POINT('Origin',(29.415034,63.0726,0.)); #509576=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #509577=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #509578=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #509579=CARTESIAN_POINT('',(29.060966,63.0726,0.035)); #509580=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #509581=CARTESIAN_POINT('',(29.415034,63.0726,0.035)); #509582=CARTESIAN_POINT('',(29.415034,63.0726,0.035)); #509583=CARTESIAN_POINT('',(29.415034,63.0726,0.)); #509584=CARTESIAN_POINT('Origin',(29.6654,63.322966,0.)); #509585=CARTESIAN_POINT('',(29.6654,63.322966,0.)); #509586=CARTESIAN_POINT('',(29.6654,63.322966,0.)); #509587=CARTESIAN_POINT('',(29.6654,63.322966,0.035)); #509588=CARTESIAN_POINT('',(29.6654,63.322966,0.035)); #509589=CARTESIAN_POINT('',(29.6654,63.322966,0.)); #509590=CARTESIAN_POINT('Origin',(29.6654,63.677034,0.)); #509591=CARTESIAN_POINT('',(29.6654,63.677034,0.)); #509592=CARTESIAN_POINT('',(29.6654,63.677034,0.)); #509593=CARTESIAN_POINT('',(29.6654,63.677034,0.035)); #509594=CARTESIAN_POINT('',(29.6654,63.677034,0.035)); #509595=CARTESIAN_POINT('',(29.6654,63.677034,0.)); #509596=CARTESIAN_POINT('Origin',(29.488919,63.853516,0.)); #509597=CARTESIAN_POINT('',(29.488919,63.853516,0.)); #509598=CARTESIAN_POINT('',(29.488919,63.853516,0.)); #509599=CARTESIAN_POINT('',(29.488919,63.853516,0.035)); #509600=CARTESIAN_POINT('',(29.488919,63.853516,0.035)); #509601=CARTESIAN_POINT('',(29.488919,63.853516,0.)); #509602=CARTESIAN_POINT('Origin',(29.472634,63.877675,0.)); #509603=CARTESIAN_POINT('',(29.472634,63.877675,0.)); #509604=CARTESIAN_POINT('',(29.472634,63.877675,0.)); #509605=CARTESIAN_POINT('',(29.472634,63.877675,0.035)); #509606=CARTESIAN_POINT('',(29.472634,63.877675,0.035)); #509607=CARTESIAN_POINT('',(29.472634,63.877675,0.)); #509608=CARTESIAN_POINT('Origin',(29.4666,63.907397,0.)); #509609=CARTESIAN_POINT('',(29.4666,63.907397,0.)); #509610=CARTESIAN_POINT('',(29.4666,63.907397,0.)); #509611=CARTESIAN_POINT('',(29.4666,63.907397,0.035)); #509612=CARTESIAN_POINT('',(29.4666,63.907397,0.035)); #509613=CARTESIAN_POINT('',(29.4666,63.907397,0.)); #509614=CARTESIAN_POINT('Origin',(29.4666,65.3269,0.)); #509615=CARTESIAN_POINT('',(29.4666,65.3269,0.)); #509616=CARTESIAN_POINT('',(29.4666,65.3269,0.)); #509617=CARTESIAN_POINT('',(29.4666,65.3269,0.035)); #509618=CARTESIAN_POINT('',(29.4666,65.3269,0.035)); #509619=CARTESIAN_POINT('',(29.4666,65.3269,0.)); #509620=CARTESIAN_POINT('Origin',(29.471722,65.354369,0.)); #509621=CARTESIAN_POINT('',(29.471722,65.354369,0.)); #509622=CARTESIAN_POINT('',(29.471722,65.354369,0.)); #509623=CARTESIAN_POINT('',(29.471722,65.354369,0.035)); #509624=CARTESIAN_POINT('',(29.471722,65.354369,0.035)); #509625=CARTESIAN_POINT('',(29.471722,65.354369,0.)); #509626=CARTESIAN_POINT('Origin',(29.488066,65.379916,0.)); #509627=CARTESIAN_POINT('',(29.488066,65.379916,0.)); #509628=CARTESIAN_POINT('',(29.488066,65.379916,0.)); #509629=CARTESIAN_POINT('',(29.488066,65.379916,0.035)); #509630=CARTESIAN_POINT('',(29.488066,65.379916,0.035)); #509631=CARTESIAN_POINT('',(29.488066,65.379916,0.)); #509632=CARTESIAN_POINT('Origin',(29.513078,65.397066,0.)); #509633=CARTESIAN_POINT('',(29.513078,65.397066,0.)); #509634=CARTESIAN_POINT('',(29.513078,65.397066,0.)); #509635=CARTESIAN_POINT('',(29.513078,65.397066,0.035)); #509636=CARTESIAN_POINT('',(29.513078,65.397066,0.035)); #509637=CARTESIAN_POINT('',(29.513078,65.397066,0.)); #509638=CARTESIAN_POINT('Origin',(29.5428,65.4031,0.)); #509639=CARTESIAN_POINT('',(29.5428,65.4031,0.)); #509640=CARTESIAN_POINT('',(29.5428,65.4031,0.)); #509641=CARTESIAN_POINT('',(29.5428,65.4031,0.035)); #509642=CARTESIAN_POINT('',(29.5428,65.4031,0.035)); #509643=CARTESIAN_POINT('',(29.5428,65.4031,0.)); #509644=CARTESIAN_POINT('Origin',(29.720228,65.4031,0.)); #509645=CARTESIAN_POINT('',(29.720228,65.4031,0.)); #509646=CARTESIAN_POINT('',(29.720228,65.4031,0.)); #509647=CARTESIAN_POINT('',(29.720228,65.4031,0.035)); #509648=CARTESIAN_POINT('',(29.720228,65.4031,0.035)); #509649=CARTESIAN_POINT('',(29.720228,65.4031,0.)); #509650=CARTESIAN_POINT('Origin',(29.8095,65.492372,0.)); #509651=CARTESIAN_POINT('',(29.8095,65.492372,0.)); #509652=CARTESIAN_POINT('',(29.8095,65.492372,0.)); #509653=CARTESIAN_POINT('',(29.8095,65.492372,0.035)); #509654=CARTESIAN_POINT('',(29.8095,65.492372,0.035)); #509655=CARTESIAN_POINT('',(29.8095,65.492372,0.)); #509656=CARTESIAN_POINT('Origin',(29.8095,66.507628,0.)); #509657=CARTESIAN_POINT('',(29.8095,66.507628,0.)); #509658=CARTESIAN_POINT('',(29.8095,66.507628,0.)); #509659=CARTESIAN_POINT('',(29.8095,66.507628,0.035)); #509660=CARTESIAN_POINT('',(29.8095,66.507628,0.035)); #509661=CARTESIAN_POINT('',(29.8095,66.507628,0.)); #509662=CARTESIAN_POINT('Origin',(29.720228,66.5969,0.)); #509663=CARTESIAN_POINT('',(29.720228,66.5969,0.)); #509664=CARTESIAN_POINT('',(29.720228,66.5969,0.)); #509665=CARTESIAN_POINT('',(29.720228,66.5969,0.035)); #509666=CARTESIAN_POINT('',(29.720228,66.5969,0.035)); #509667=CARTESIAN_POINT('',(29.720228,66.5969,0.)); #509668=CARTESIAN_POINT('Origin',(28.755772,66.5969,0.)); #509669=CARTESIAN_POINT('',(28.755772,66.5969,0.)); #509670=CARTESIAN_POINT('',(28.755772,66.5969,0.)); #509671=CARTESIAN_POINT('',(28.755772,66.5969,0.035)); #509672=CARTESIAN_POINT('',(28.755772,66.5969,0.035)); #509673=CARTESIAN_POINT('',(28.755772,66.5969,0.)); #509674=CARTESIAN_POINT('Origin',(28.6665,66.507628,0.)); #509675=CARTESIAN_POINT('',(28.6665,66.507628,0.)); #509676=CARTESIAN_POINT('',(28.6665,66.507628,0.)); #509677=CARTESIAN_POINT('',(28.6665,66.507628,0.035)); #509678=CARTESIAN_POINT('',(28.6665,66.507628,0.035)); #509679=CARTESIAN_POINT('',(28.6665,66.507628,0.)); #509680=CARTESIAN_POINT('Origin',(28.6665,65.492372,0.)); #509681=CARTESIAN_POINT('',(28.6665,65.492372,0.)); #509682=CARTESIAN_POINT('',(28.6665,65.492372,0.)); #509683=CARTESIAN_POINT('',(28.6665,65.492372,0.035)); #509684=CARTESIAN_POINT('',(28.6665,65.492372,0.035)); #509685=CARTESIAN_POINT('',(28.6665,65.492372,0.)); #509686=CARTESIAN_POINT('Origin',(28.755772,65.4031,0.)); #509687=CARTESIAN_POINT('',(28.755772,65.4031,0.)); #509688=CARTESIAN_POINT('',(28.755772,65.4031,0.)); #509689=CARTESIAN_POINT('',(28.755772,65.4031,0.035)); #509690=CARTESIAN_POINT('',(28.755772,65.4031,0.035)); #509691=CARTESIAN_POINT('',(28.755772,65.4031,0.)); #509692=CARTESIAN_POINT('Origin',(28.9332,65.4031,0.)); #509693=CARTESIAN_POINT('',(28.9332,65.4031,0.)); #509694=CARTESIAN_POINT('',(28.9332,65.4031,0.)); #509695=CARTESIAN_POINT('',(28.9332,65.4031,0.035)); #509696=CARTESIAN_POINT('',(28.9332,65.4031,0.035)); #509697=CARTESIAN_POINT('',(28.9332,65.4031,0.)); #509698=CARTESIAN_POINT('Origin',(28.960669,65.397978,0.)); #509699=CARTESIAN_POINT('',(28.960669,65.397978,0.)); #509700=CARTESIAN_POINT('',(28.960669,65.397978,0.)); #509701=CARTESIAN_POINT('',(28.960669,65.397978,0.035)); #509702=CARTESIAN_POINT('',(28.960669,65.397978,0.035)); #509703=CARTESIAN_POINT('',(28.960669,65.397978,0.)); #509704=CARTESIAN_POINT('Origin',(28.986216,65.381634,0.)); #509705=CARTESIAN_POINT('',(28.986216,65.381634,0.)); #509706=CARTESIAN_POINT('',(28.986216,65.381634,0.)); #509707=CARTESIAN_POINT('',(28.986216,65.381634,0.035)); #509708=CARTESIAN_POINT('',(28.986216,65.381634,0.035)); #509709=CARTESIAN_POINT('',(28.986216,65.381634,0.)); #509710=CARTESIAN_POINT('Origin',(29.003366,65.356622,0.)); #509711=CARTESIAN_POINT('',(29.003366,65.356622,0.)); #509712=CARTESIAN_POINT('',(29.003366,65.356622,0.)); #509713=CARTESIAN_POINT('',(29.003366,65.356622,0.035)); #509714=CARTESIAN_POINT('',(29.003366,65.356622,0.035)); #509715=CARTESIAN_POINT('',(29.003366,65.356622,0.)); #509716=CARTESIAN_POINT('Origin',(29.0094,65.3269,0.)); #509717=CARTESIAN_POINT('',(29.0094,65.3269,0.)); #509718=CARTESIAN_POINT('',(29.0094,65.3269,0.)); #509719=CARTESIAN_POINT('',(29.0094,65.3269,0.035)); #509720=CARTESIAN_POINT('',(29.0094,65.3269,0.035)); #509721=CARTESIAN_POINT('',(29.0094,65.3269,0.)); #509722=CARTESIAN_POINT('Origin',(29.0094,63.907397,0.)); #509723=CARTESIAN_POINT('',(29.0094,63.907397,0.)); #509724=CARTESIAN_POINT('',(29.0094,63.907397,0.)); #509725=CARTESIAN_POINT('',(29.0094,63.907397,0.035)); #509726=CARTESIAN_POINT('',(29.0094,63.907397,0.035)); #509727=CARTESIAN_POINT('',(29.0094,63.907397,0.)); #509728=CARTESIAN_POINT('Origin',(29.003831,63.8788,0.)); #509729=CARTESIAN_POINT('',(29.003831,63.8788,0.)); #509730=CARTESIAN_POINT('',(29.003831,63.8788,0.)); #509731=CARTESIAN_POINT('',(29.003831,63.8788,0.035)); #509732=CARTESIAN_POINT('',(29.003831,63.8788,0.035)); #509733=CARTESIAN_POINT('',(29.003831,63.8788,0.)); #509734=CARTESIAN_POINT('Origin',(28.987081,63.853516,0.)); #509735=CARTESIAN_POINT('',(28.987081,63.853516,0.)); #509736=CARTESIAN_POINT('',(28.987081,63.853516,0.)); #509737=CARTESIAN_POINT('',(28.987081,63.853516,0.035)); #509738=CARTESIAN_POINT('',(28.987081,63.853516,0.035)); #509739=CARTESIAN_POINT('',(28.987081,63.853516,0.)); #509740=CARTESIAN_POINT('Origin',(28.8106,63.677034,0.)); #509741=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #509742=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #509743=CARTESIAN_POINT('',(28.8106,63.677034,0.035)); #509744=CARTESIAN_POINT('',(28.8106,63.677034,0.035)); #509745=CARTESIAN_POINT('',(28.8106,63.677034,0.)); #509746=CARTESIAN_POINT('Origin',(28.8106,63.322966,0.)); #509747=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #509748=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #509749=CARTESIAN_POINT('',(28.8106,63.322966,0.035)); #509750=CARTESIAN_POINT('',(28.8106,63.322966,0.035)); #509751=CARTESIAN_POINT('',(28.8106,63.322966,0.)); #509752=CARTESIAN_POINT('Origin',(29.060966,63.0726,0.)); #509753=CARTESIAN_POINT('',(29.060966,63.0726,0.)); #509754=CARTESIAN_POINT('',(29.060966,63.0726,0.035)); #509755=CARTESIAN_POINT('Origin',(30.939034,59.8226,0.)); #509756=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #509757=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #509758=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #509759=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #509760=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #509761=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #509762=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #509763=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #509764=CARTESIAN_POINT('Origin',(31.1894,60.072966,0.)); #509765=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #509766=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #509767=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #509768=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #509769=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #509770=CARTESIAN_POINT('Origin',(31.1894,60.427034,0.)); #509771=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #509772=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #509773=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #509774=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #509775=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #509776=CARTESIAN_POINT('Origin',(31.012919,60.603516,0.)); #509777=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #509778=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #509779=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #509780=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #509781=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #509782=CARTESIAN_POINT('Origin',(30.996634,60.627675,0.)); #509783=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #509784=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #509785=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #509786=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #509787=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #509788=CARTESIAN_POINT('Origin',(30.9906,60.657397,0.)); #509789=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #509790=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #509791=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #509792=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #509793=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #509794=CARTESIAN_POINT('Origin',(30.9906,65.3269,0.)); #509795=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #509796=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #509797=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #509798=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #509799=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #509800=CARTESIAN_POINT('Origin',(30.995722,65.354369,0.)); #509801=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #509802=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #509803=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #509804=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #509805=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #509806=CARTESIAN_POINT('Origin',(31.012066,65.379916,0.)); #509807=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #509808=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #509809=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #509810=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #509811=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #509812=CARTESIAN_POINT('Origin',(31.037078,65.397066,0.)); #509813=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #509814=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #509815=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #509816=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #509817=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #509818=CARTESIAN_POINT('Origin',(31.0668,65.4031,0.)); #509819=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #509820=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #509821=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #509822=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #509823=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #509824=CARTESIAN_POINT('Origin',(31.244228,65.4031,0.)); #509825=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #509826=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #509827=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #509828=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #509829=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #509830=CARTESIAN_POINT('Origin',(31.3335,65.492372,0.)); #509831=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #509832=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #509833=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #509834=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #509835=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #509836=CARTESIAN_POINT('Origin',(31.3335,66.507628,0.)); #509837=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #509838=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #509839=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #509840=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #509841=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #509842=CARTESIAN_POINT('Origin',(31.244228,66.5969,0.)); #509843=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #509844=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #509845=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #509846=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #509847=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #509848=CARTESIAN_POINT('Origin',(30.279772,66.5969,0.)); #509849=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #509850=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #509851=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #509852=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #509853=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #509854=CARTESIAN_POINT('Origin',(30.1905,66.507628,0.)); #509855=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #509856=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #509857=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #509858=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #509859=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #509860=CARTESIAN_POINT('Origin',(30.1905,65.492372,0.)); #509861=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #509862=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #509863=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #509864=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #509865=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #509866=CARTESIAN_POINT('Origin',(30.279772,65.4031,0.)); #509867=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #509868=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #509869=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #509870=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #509871=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #509872=CARTESIAN_POINT('Origin',(30.4572,65.4031,0.)); #509873=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #509874=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #509875=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #509876=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #509877=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #509878=CARTESIAN_POINT('Origin',(30.484669,65.397978,0.)); #509879=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #509880=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #509881=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #509882=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #509883=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #509884=CARTESIAN_POINT('Origin',(30.510216,65.381634,0.)); #509885=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #509886=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #509887=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #509888=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #509889=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #509890=CARTESIAN_POINT('Origin',(30.527366,65.356622,0.)); #509891=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #509892=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #509893=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #509894=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #509895=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #509896=CARTESIAN_POINT('Origin',(30.5334,65.3269,0.)); #509897=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #509898=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #509899=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #509900=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #509901=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #509902=CARTESIAN_POINT('Origin',(30.5334,60.657397,0.)); #509903=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #509904=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #509905=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #509906=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #509907=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #509908=CARTESIAN_POINT('Origin',(30.527831,60.6288,0.)); #509909=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #509910=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #509911=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #509912=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #509913=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #509914=CARTESIAN_POINT('Origin',(30.511081,60.603516,0.)); #509915=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #509916=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #509917=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #509918=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #509919=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #509920=CARTESIAN_POINT('Origin',(30.3346,60.427034,0.)); #509921=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #509922=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #509923=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #509924=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #509925=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #509926=CARTESIAN_POINT('Origin',(30.3346,60.072966,0.)); #509927=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #509928=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #509929=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #509930=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #509931=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #509932=CARTESIAN_POINT('Origin',(30.584966,59.8226,0.)); #509933=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #509934=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #509935=CARTESIAN_POINT('Origin',(34.939034,59.8226,0.)); #509936=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #509937=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #509938=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #509939=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #509940=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #509941=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #509942=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #509943=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #509944=CARTESIAN_POINT('Origin',(35.1894,60.072966,0.)); #509945=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #509946=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #509947=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #509948=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #509949=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #509950=CARTESIAN_POINT('Origin',(35.1894,60.427034,0.)); #509951=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #509952=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #509953=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #509954=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #509955=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #509956=CARTESIAN_POINT('Origin',(35.012919,60.603516,0.)); #509957=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #509958=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #509959=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #509960=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #509961=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #509962=CARTESIAN_POINT('Origin',(34.996634,60.627675,0.)); #509963=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #509964=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #509965=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #509966=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #509967=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #509968=CARTESIAN_POINT('Origin',(34.9906,60.657397,0.)); #509969=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #509970=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #509971=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #509972=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #509973=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #509974=CARTESIAN_POINT('Origin',(34.9906,65.3269,0.)); #509975=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #509976=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #509977=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #509978=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #509979=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #509980=CARTESIAN_POINT('Origin',(34.995722,65.354369,0.)); #509981=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #509982=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #509983=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #509984=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #509985=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #509986=CARTESIAN_POINT('Origin',(35.012066,65.379916,0.)); #509987=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #509988=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #509989=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #509990=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #509991=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #509992=CARTESIAN_POINT('Origin',(35.037078,65.397066,0.)); #509993=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #509994=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #509995=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #509996=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #509997=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #509998=CARTESIAN_POINT('Origin',(35.0668,65.4031,0.)); #509999=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #510000=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #510001=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #510002=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #510003=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #510004=CARTESIAN_POINT('Origin',(35.244228,65.4031,0.)); #510005=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #510006=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #510007=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #510008=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #510009=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #510010=CARTESIAN_POINT('Origin',(35.3335,65.492372,0.)); #510011=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #510012=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #510013=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #510014=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #510015=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #510016=CARTESIAN_POINT('Origin',(35.3335,66.507628,0.)); #510017=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #510018=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #510019=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #510020=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #510021=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #510022=CARTESIAN_POINT('Origin',(35.244228,66.5969,0.)); #510023=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #510024=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #510025=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #510026=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #510027=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #510028=CARTESIAN_POINT('Origin',(34.279772,66.5969,0.)); #510029=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #510030=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #510031=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #510032=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #510033=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #510034=CARTESIAN_POINT('Origin',(34.1905,66.507628,0.)); #510035=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #510036=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #510037=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #510038=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #510039=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #510040=CARTESIAN_POINT('Origin',(34.1905,65.492372,0.)); #510041=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #510042=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #510043=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #510044=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #510045=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #510046=CARTESIAN_POINT('Origin',(34.279772,65.4031,0.)); #510047=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #510048=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #510049=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #510050=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #510051=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #510052=CARTESIAN_POINT('Origin',(34.4572,65.4031,0.)); #510053=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #510054=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #510055=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #510056=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #510057=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #510058=CARTESIAN_POINT('Origin',(34.484669,65.397978,0.)); #510059=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #510060=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #510061=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #510062=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #510063=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #510064=CARTESIAN_POINT('Origin',(34.510216,65.381634,0.)); #510065=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #510066=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #510067=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #510068=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #510069=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #510070=CARTESIAN_POINT('Origin',(34.527366,65.356622,0.)); #510071=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #510072=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #510073=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #510074=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #510075=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #510076=CARTESIAN_POINT('Origin',(34.5334,65.3269,0.)); #510077=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #510078=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #510079=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #510080=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #510081=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #510082=CARTESIAN_POINT('Origin',(34.5334,60.657397,0.)); #510083=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #510084=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #510085=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #510086=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #510087=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #510088=CARTESIAN_POINT('Origin',(34.527831,60.6288,0.)); #510089=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #510090=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #510091=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #510092=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #510093=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #510094=CARTESIAN_POINT('Origin',(34.511081,60.603516,0.)); #510095=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #510096=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #510097=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #510098=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #510099=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #510100=CARTESIAN_POINT('Origin',(34.3346,60.427034,0.)); #510101=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #510102=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #510103=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #510104=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #510105=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #510106=CARTESIAN_POINT('Origin',(34.3346,60.072966,0.)); #510107=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #510108=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #510109=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #510110=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #510111=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #510112=CARTESIAN_POINT('Origin',(34.584966,59.8226,0.)); #510113=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #510114=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #510115=CARTESIAN_POINT('Origin',(38.939034,59.8226,0.)); #510116=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #510117=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #510118=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #510119=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #510120=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #510121=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #510122=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #510123=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #510124=CARTESIAN_POINT('Origin',(39.1894,60.072966,0.)); #510125=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #510126=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #510127=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #510128=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #510129=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #510130=CARTESIAN_POINT('Origin',(39.1894,60.427034,0.)); #510131=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #510132=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #510133=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #510134=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #510135=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #510136=CARTESIAN_POINT('Origin',(39.012919,60.603516,0.)); #510137=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #510138=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #510139=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #510140=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #510141=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #510142=CARTESIAN_POINT('Origin',(38.996634,60.627675,0.)); #510143=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #510144=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #510145=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #510146=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #510147=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #510148=CARTESIAN_POINT('Origin',(38.9906,60.657397,0.)); #510149=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #510150=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #510151=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #510152=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #510153=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #510154=CARTESIAN_POINT('Origin',(38.9906,65.3269,0.)); #510155=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #510156=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #510157=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #510158=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #510159=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #510160=CARTESIAN_POINT('Origin',(38.995722,65.354369,0.)); #510161=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #510162=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #510163=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #510164=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #510165=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #510166=CARTESIAN_POINT('Origin',(39.012066,65.379916,0.)); #510167=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #510168=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #510169=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #510170=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #510171=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #510172=CARTESIAN_POINT('Origin',(39.037078,65.397066,0.)); #510173=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #510174=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #510175=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #510176=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #510177=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #510178=CARTESIAN_POINT('Origin',(39.0668,65.4031,0.)); #510179=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #510180=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #510181=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #510182=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #510183=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #510184=CARTESIAN_POINT('Origin',(39.244228,65.4031,0.)); #510185=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #510186=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #510187=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #510188=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #510189=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #510190=CARTESIAN_POINT('Origin',(39.3335,65.492372,0.)); #510191=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #510192=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #510193=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #510194=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #510195=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #510196=CARTESIAN_POINT('Origin',(39.3335,66.507628,0.)); #510197=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #510198=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #510199=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #510200=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #510201=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #510202=CARTESIAN_POINT('Origin',(39.244228,66.5969,0.)); #510203=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #510204=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #510205=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #510206=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #510207=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #510208=CARTESIAN_POINT('Origin',(38.279772,66.5969,0.)); #510209=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #510210=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #510211=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #510212=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #510213=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #510214=CARTESIAN_POINT('Origin',(38.1905,66.507628,0.)); #510215=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #510216=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #510217=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #510218=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #510219=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #510220=CARTESIAN_POINT('Origin',(38.1905,65.492372,0.)); #510221=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #510222=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #510223=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #510224=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #510225=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #510226=CARTESIAN_POINT('Origin',(38.279772,65.4031,0.)); #510227=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #510228=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #510229=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #510230=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #510231=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #510232=CARTESIAN_POINT('Origin',(38.4572,65.4031,0.)); #510233=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #510234=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #510235=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #510236=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #510237=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #510238=CARTESIAN_POINT('Origin',(38.484669,65.397978,0.)); #510239=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #510240=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #510241=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #510242=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #510243=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #510244=CARTESIAN_POINT('Origin',(38.510216,65.381634,0.)); #510245=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #510246=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #510247=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #510248=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #510249=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #510250=CARTESIAN_POINT('Origin',(38.527366,65.356622,0.)); #510251=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #510252=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #510253=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #510254=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #510255=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #510256=CARTESIAN_POINT('Origin',(38.5334,65.3269,0.)); #510257=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #510258=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #510259=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #510260=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #510261=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #510262=CARTESIAN_POINT('Origin',(38.5334,60.657397,0.)); #510263=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #510264=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #510265=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #510266=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #510267=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #510268=CARTESIAN_POINT('Origin',(38.527831,60.6288,0.)); #510269=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #510270=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #510271=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #510272=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #510273=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #510274=CARTESIAN_POINT('Origin',(38.511081,60.603516,0.)); #510275=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #510276=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #510277=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #510278=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #510279=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #510280=CARTESIAN_POINT('Origin',(38.3346,60.427034,0.)); #510281=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #510282=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #510283=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #510284=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #510285=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #510286=CARTESIAN_POINT('Origin',(38.3346,60.072966,0.)); #510287=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #510288=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #510289=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #510290=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #510291=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #510292=CARTESIAN_POINT('Origin',(38.584966,59.8226,0.)); #510293=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #510294=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #510295=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #510296=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #510297=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #510298=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #510299=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #510300=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #510301=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #510302=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #510303=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #510304=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #510305=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #510306=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #510307=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #510308=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #510309=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #510310=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #510311=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #510312=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #510313=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #510314=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #510315=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #510316=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #510317=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #510318=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #510319=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #510320=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #510321=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #510322=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #510323=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #510324=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #510325=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #510326=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #510327=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #510328=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #510329=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #510330=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #510331=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #510332=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #510333=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #510334=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #510335=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #510336=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #510337=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #510338=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #510339=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #510340=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #510341=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #510342=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #510343=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #510344=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #510345=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #510346=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #510347=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #510348=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #510349=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #510350=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #510351=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #510352=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #510353=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #510354=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #510355=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #510356=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #510357=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #510358=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #510359=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #510360=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #510361=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #510362=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #510363=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #510364=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #510365=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #510366=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #510367=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #510368=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #510369=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #510370=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #510371=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #510372=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #510373=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #510374=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #510375=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #510376=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #510377=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #510378=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #510379=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #510380=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #510381=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #510382=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #510383=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #510384=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #510385=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #510386=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #510387=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #510388=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #510389=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #510390=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #510391=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #510392=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #510393=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #510394=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #510395=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #510396=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #510397=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #510398=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #510399=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #510400=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #510401=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #510402=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #510403=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #510404=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #510405=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #510406=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #510407=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #510408=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #510409=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #510410=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #510411=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #510412=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #510413=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #510414=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #510415=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #510416=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #510417=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #510418=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #510419=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #510420=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #510421=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #510422=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #510423=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #510424=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #510425=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #510426=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #510427=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #510428=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #510429=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #510430=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #510431=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #510432=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #510433=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #510434=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #510435=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #510436=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #510437=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #510438=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #510439=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #510440=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #510441=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #510442=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #510443=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #510444=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #510445=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #510446=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #510447=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #510448=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #510449=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #510450=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #510451=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #510452=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #510453=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #510454=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #510455=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #510456=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #510457=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #510458=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #510459=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #510460=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #510461=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #510462=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #510463=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #510464=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #510465=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #510466=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #510467=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #510468=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #510469=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #510470=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #510471=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #510472=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #510473=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #510474=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #510475=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #510476=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #510477=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #510478=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #510479=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #510480=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #510481=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #510482=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #510483=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #510484=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #510485=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #510486=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #510487=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #510488=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #510489=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #510490=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #510491=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #510492=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #510493=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #510494=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #510495=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #510496=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #510497=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #510498=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #510499=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #510500=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #510501=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #510502=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #510503=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #510504=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #510505=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #510506=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #510507=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #510508=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #510509=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #510510=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #510511=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #510512=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #510513=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #510514=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #510515=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #510516=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #510517=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #510518=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #510519=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #510520=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #510521=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #510522=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #510523=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #510524=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #510525=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #510526=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #510527=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #510528=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #510529=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #510530=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #510531=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #510532=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #510533=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #510534=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #510535=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #510536=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #510537=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #510538=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #510539=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #510540=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #510541=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #510542=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #510543=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #510544=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #510545=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #510546=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #510547=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #510548=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #510549=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #510550=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #510551=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #510552=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #510553=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #510554=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #510555=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #510556=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #510557=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #510558=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #510559=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #510560=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #510561=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #510562=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #510563=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #510564=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #510565=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #510566=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #510567=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #510568=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #510569=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #510570=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #510571=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #510572=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #510573=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #510574=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #510575=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #510576=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #510577=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #510578=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #510579=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #510580=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #510581=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #510582=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #510583=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #510584=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #510585=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #510586=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #510587=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #510588=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #510589=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #510590=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #510591=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #510592=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #510593=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #510594=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #510595=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #510596=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #510597=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #510598=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #510599=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #510600=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #510601=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #510602=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #510603=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #510604=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #510605=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #510606=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #510607=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #510608=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #510609=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #510610=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #510611=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #510612=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #510613=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #510614=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #510615=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #510616=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #510617=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #510618=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #510619=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #510620=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #510621=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #510622=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #510623=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #510624=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #510625=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #510626=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #510627=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #510628=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #510629=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #510630=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #510631=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #510632=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #510633=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #510634=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #510635=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #510636=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #510637=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #510638=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #510639=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #510640=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #510641=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #510642=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #510643=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #510644=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #510645=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #510646=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #510647=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #510648=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #510649=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #510650=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #510651=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #510652=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #510653=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #510654=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #510655=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #510656=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #510657=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #510658=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #510659=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #510660=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #510661=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #510662=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #510663=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #510664=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #510665=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #510666=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #510667=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #510668=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #510669=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #510670=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #510671=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #510672=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #510673=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #510674=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #510675=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #510676=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #510677=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #510678=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #510679=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #510680=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #510681=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #510682=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #510683=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #510684=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #510685=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #510686=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #510687=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #510688=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #510689=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #510690=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #510691=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #510692=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #510693=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #510694=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #510695=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #510696=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #510697=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #510698=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #510699=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #510700=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #510701=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #510702=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #510703=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #510704=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #510705=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #510706=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #510707=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #510708=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #510709=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #510710=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #510711=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #510712=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #510713=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #510714=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #510715=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #510716=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #510717=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #510718=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #510719=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #510720=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #510721=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #510722=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #510723=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #510724=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #510725=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #510726=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #510727=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #510728=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #510729=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #510730=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #510731=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #510732=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #510733=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #510734=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #510735=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #510736=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #510737=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #510738=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #510739=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #510740=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #510741=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #510742=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #510743=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #510744=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #510745=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #510746=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #510747=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #510748=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #510749=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #510750=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #510751=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #510752=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #510753=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #510754=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #510755=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #510756=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #510757=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #510758=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #510759=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #510760=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #510761=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #510762=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #510763=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #510764=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #510765=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #510766=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #510767=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #510768=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #510769=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #510770=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #510771=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #510772=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #510773=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #510774=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #510775=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #510776=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #510777=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #510778=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #510779=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #510780=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #510781=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #510782=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #510783=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #510784=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #510785=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #510786=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #510787=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #510788=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #510789=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #510790=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #510791=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #510792=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #510793=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #510794=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #510795=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #510796=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #510797=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #510798=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #510799=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #510800=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #510801=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #510802=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #510803=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #510804=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #510805=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #510806=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #510807=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #510808=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #510809=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #510810=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #510811=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #510812=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #510813=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #510814=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #510815=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #510816=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #510817=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #510818=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #510819=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #510820=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #510821=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #510822=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #510823=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #510824=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #510825=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #510826=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #510827=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #510828=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #510829=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #510830=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #510831=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #510832=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #510833=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #510834=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #510835=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #510836=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #510837=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #510838=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #510839=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #510840=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #510841=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #510842=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #510843=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #510844=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #510845=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #510846=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #510847=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #510848=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #510849=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #510850=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #510851=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #510852=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #510853=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #510854=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #510855=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #510856=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #510857=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #510858=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #510859=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #510860=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #510861=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #510862=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #510863=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #510864=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #510865=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #510866=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #510867=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #510868=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #510869=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #510870=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #510871=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #510872=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #510873=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #510874=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #510875=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #510876=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #510877=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #510878=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #510879=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #510880=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #510881=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #510882=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #510883=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #510884=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #510885=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #510886=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #510887=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #510888=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #510889=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #510890=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #510891=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #510892=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #510893=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #510894=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #510895=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #510896=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #510897=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #510898=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #510899=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #510900=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #510901=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #510902=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #510903=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #510904=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #510905=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #510906=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #510907=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #510908=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #510909=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #510910=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #510911=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #510912=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #510913=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #510914=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #510915=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #510916=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #510917=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #510918=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #510919=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #510920=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #510921=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #510922=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #510923=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #510924=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #510925=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #510926=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #510927=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #510928=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #510929=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #510930=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #510931=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #510932=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #510933=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #510934=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #510935=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #510936=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #510937=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #510938=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #510939=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #510940=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #510941=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #510942=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #510943=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #510944=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #510945=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #510946=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #510947=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #510948=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #510949=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #510950=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #510951=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #510952=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #510953=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #510954=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #510955=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #510956=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #510957=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #510958=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #510959=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #510960=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #510961=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #510962=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #510963=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #510964=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #510965=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #510966=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #510967=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #510968=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #510969=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #510970=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #510971=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #510972=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #510973=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #510974=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #510975=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #510976=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #510977=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #510978=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #510979=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #510980=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #510981=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #510982=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #510983=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #510984=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #510985=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #510986=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #510987=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #510988=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #510989=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #510990=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #510991=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #510992=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #510993=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #510994=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #510995=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #510996=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #510997=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #510998=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #510999=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #511000=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #511001=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #511002=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #511003=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #511004=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #511005=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #511006=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #511007=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #511008=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #511009=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #511010=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #511011=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #511012=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #511013=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #511014=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #511015=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #511016=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #511017=CARTESIAN_POINT('Origin',(30.373747,23.2286,0.)); #511018=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #511019=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #511020=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #511021=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #511022=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #511023=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #511024=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #511025=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #511026=CARTESIAN_POINT('Origin',(28.4097,23.2286,0.)); #511027=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #511028=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #511029=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #511030=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #511031=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #511032=CARTESIAN_POINT('Origin',(28.382231,23.233722,0.)); #511033=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #511034=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #511035=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #511036=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #511037=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #511038=CARTESIAN_POINT('Origin',(28.356684,23.250066,0.)); #511039=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #511040=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #511041=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #511042=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #511043=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #511044=CARTESIAN_POINT('Origin',(28.339534,23.275078,0.)); #511045=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #511046=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #511047=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #511048=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #511049=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #511050=CARTESIAN_POINT('Origin',(28.3335,23.3048,0.)); #511051=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #511052=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #511053=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #511054=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #511055=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #511056=CARTESIAN_POINT('Origin',(28.3335,23.4452,0.)); #511057=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #511058=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #511059=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #511060=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #511061=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #511062=CARTESIAN_POINT('Origin',(28.338622,23.472669,0.)); #511063=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #511064=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #511065=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #511066=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #511067=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #511068=CARTESIAN_POINT('Origin',(28.354966,23.498216,0.)); #511069=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #511070=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #511071=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #511072=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #511073=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #511074=CARTESIAN_POINT('Origin',(28.379978,23.515366,0.)); #511075=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #511076=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #511077=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #511078=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #511079=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #511080=CARTESIAN_POINT('Origin',(28.4097,23.5214,0.)); #511081=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #511082=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #511083=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #511084=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #511085=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #511086=CARTESIAN_POINT('Origin',(28.844691,23.5214,0.)); #511087=CARTESIAN_POINT('',(28.844691,23.5214,0.)); #511088=CARTESIAN_POINT('',(28.844691,23.5214,0.)); #511089=CARTESIAN_POINT('',(28.844691,23.5214,0.035)); #511090=CARTESIAN_POINT('',(28.844691,23.5214,0.035)); #511091=CARTESIAN_POINT('',(28.844691,23.5214,0.)); #511092=CARTESIAN_POINT('Origin',(30.449372,25.126081,0.)); #511093=CARTESIAN_POINT('',(30.449372,25.126081,0.)); #511094=CARTESIAN_POINT('',(30.449372,25.126081,0.)); #511095=CARTESIAN_POINT('',(30.449372,25.126081,0.035)); #511096=CARTESIAN_POINT('',(30.449372,25.126081,0.035)); #511097=CARTESIAN_POINT('',(30.449372,25.126081,0.)); #511098=CARTESIAN_POINT('Origin',(30.473531,25.142366,0.)); #511099=CARTESIAN_POINT('',(30.473531,25.142366,0.)); #511100=CARTESIAN_POINT('',(30.473531,25.142366,0.)); #511101=CARTESIAN_POINT('',(30.473531,25.142366,0.035)); #511102=CARTESIAN_POINT('',(30.473531,25.142366,0.035)); #511103=CARTESIAN_POINT('',(30.473531,25.142366,0.)); #511104=CARTESIAN_POINT('Origin',(30.503253,25.1484,0.)); #511105=CARTESIAN_POINT('',(30.503253,25.1484,0.)); #511106=CARTESIAN_POINT('',(30.503253,25.1484,0.)); #511107=CARTESIAN_POINT('',(30.503253,25.1484,0.035)); #511108=CARTESIAN_POINT('',(30.503253,25.1484,0.035)); #511109=CARTESIAN_POINT('',(30.503253,25.1484,0.)); #511110=CARTESIAN_POINT('Origin',(30.5214,25.1484,0.)); #511111=CARTESIAN_POINT('',(30.5214,25.1484,0.)); #511112=CARTESIAN_POINT('',(30.5214,25.1484,0.)); #511113=CARTESIAN_POINT('',(30.5214,25.1484,0.035)); #511114=CARTESIAN_POINT('',(30.5214,25.1484,0.035)); #511115=CARTESIAN_POINT('',(30.5214,25.1484,0.)); #511116=CARTESIAN_POINT('Origin',(30.548869,25.143278,0.)); #511117=CARTESIAN_POINT('',(30.548869,25.143278,0.)); #511118=CARTESIAN_POINT('',(30.548869,25.143278,0.)); #511119=CARTESIAN_POINT('',(30.548869,25.143278,0.035)); #511120=CARTESIAN_POINT('',(30.548869,25.143278,0.035)); #511121=CARTESIAN_POINT('',(30.548869,25.143278,0.)); #511122=CARTESIAN_POINT('Origin',(30.574416,25.126934,0.)); #511123=CARTESIAN_POINT('',(30.574416,25.126934,0.)); #511124=CARTESIAN_POINT('',(30.574416,25.126934,0.)); #511125=CARTESIAN_POINT('',(30.574416,25.126934,0.035)); #511126=CARTESIAN_POINT('',(30.574416,25.126934,0.035)); #511127=CARTESIAN_POINT('',(30.574416,25.126934,0.)); #511128=CARTESIAN_POINT('Origin',(30.591566,25.101922,0.)); #511129=CARTESIAN_POINT('',(30.591566,25.101922,0.)); #511130=CARTESIAN_POINT('',(30.591566,25.101922,0.)); #511131=CARTESIAN_POINT('',(30.591566,25.101922,0.035)); #511132=CARTESIAN_POINT('',(30.591566,25.101922,0.035)); #511133=CARTESIAN_POINT('',(30.591566,25.101922,0.)); #511134=CARTESIAN_POINT('Origin',(30.5976,25.0722,0.)); #511135=CARTESIAN_POINT('',(30.5976,25.0722,0.)); #511136=CARTESIAN_POINT('',(30.5976,25.0722,0.)); #511137=CARTESIAN_POINT('',(30.5976,25.0722,0.035)); #511138=CARTESIAN_POINT('',(30.5976,25.0722,0.035)); #511139=CARTESIAN_POINT('',(30.5976,25.0722,0.)); #511140=CARTESIAN_POINT('Origin',(30.5976,25.0705,0.)); #511141=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #511142=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #511143=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #511144=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #511145=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #511146=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #511147=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #511148=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #511149=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #511150=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #511151=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #511152=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #511153=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #511154=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #511155=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #511156=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #511157=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #511158=CARTESIAN_POINT('Origin',(31.224359,24.387425,0.)); #511159=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #511160=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #511161=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #511162=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #511163=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #511164=CARTESIAN_POINT('Origin',(31.248216,24.371759,0.)); #511165=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #511166=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #511167=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #511168=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #511169=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #511170=CARTESIAN_POINT('Origin',(31.265366,24.346747,0.)); #511171=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #511172=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #511173=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #511174=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #511175=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #511176=CARTESIAN_POINT('Origin',(31.2714,24.317025,0.)); #511177=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #511178=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #511179=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #511180=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #511181=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #511182=CARTESIAN_POINT('Origin',(31.2714,24.126253,0.)); #511183=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #511184=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #511185=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #511186=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #511187=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #511188=CARTESIAN_POINT('Origin',(31.265831,24.097656,0.)); #511189=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #511190=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #511191=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #511192=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #511193=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #511194=CARTESIAN_POINT('Origin',(31.249081,24.072372,0.)); #511195=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #511196=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #511197=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #511198=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #511199=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #511200=CARTESIAN_POINT('Origin',(30.427628,23.250919,0.)); #511201=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #511202=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #511203=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #511204=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #511205=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #511206=CARTESIAN_POINT('Origin',(30.403469,23.234634,0.)); #511207=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #511208=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #511209=CARTESIAN_POINT('Origin',(29.8904467852698,23.909467550785,0.035)); #511210=CARTESIAN_POINT('Origin',(29.8904467852698,23.909467550785,0.)); #511211=CARTESIAN_POINT('Origin',(35.483003,10.7286,0.)); #511212=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #511213=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #511214=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #511215=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #511216=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #511217=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #511218=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #511219=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #511220=CARTESIAN_POINT('Origin',(30.907397,10.7286,0.)); #511221=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #511222=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #511223=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #511224=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #511225=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #511226=CARTESIAN_POINT('Origin',(30.8788,10.734169,0.)); #511227=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #511228=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #511229=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #511230=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #511231=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #511232=CARTESIAN_POINT('Origin',(30.853516,10.750919,0.)); #511233=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #511234=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #511235=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #511236=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #511237=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #511238=CARTESIAN_POINT('Origin',(30.783316,10.821119,0.)); #511239=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #511240=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #511241=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #511242=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #511243=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #511244=CARTESIAN_POINT('Origin',(30.767516,10.844166,0.)); #511245=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #511246=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #511247=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #511248=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #511249=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #511250=CARTESIAN_POINT('Origin',(30.761006,10.873784,0.)); #511251=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #511252=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #511253=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #511254=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #511255=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #511256=CARTESIAN_POINT('Origin',(30.766566,10.903597,0.)); #511257=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #511258=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #511259=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #511260=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #511261=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #511262=CARTESIAN_POINT('Origin',(30.783316,10.928881,0.)); #511263=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #511264=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #511265=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #511266=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #511267=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #511268=CARTESIAN_POINT('Origin',(30.853516,10.999081,0.)); #511269=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #511270=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #511271=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #511272=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #511273=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #511274=CARTESIAN_POINT('Origin',(30.877675,11.015366,0.)); #511275=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #511276=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #511277=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #511278=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #511279=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #511280=CARTESIAN_POINT('Origin',(30.907397,11.0214,0.)); #511281=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #511282=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #511283=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #511284=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #511285=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #511286=CARTESIAN_POINT('Origin',(35.342603,11.0214,0.)); #511287=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #511288=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #511289=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #511290=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #511291=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #511292=CARTESIAN_POINT('Origin',(35.3712,11.015831,0.)); #511293=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #511294=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #511295=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #511296=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #511297=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #511298=CARTESIAN_POINT('Origin',(35.396484,10.999081,0.)); #511299=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #511300=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #511301=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #511302=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #511303=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #511304=CARTESIAN_POINT('Origin',(35.536884,10.858681,0.)); #511305=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #511306=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #511307=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #511308=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #511309=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #511310=CARTESIAN_POINT('Origin',(35.552184,10.836744,0.)); #511311=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #511312=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #511313=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #511314=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #511315=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #511316=CARTESIAN_POINT('Origin',(35.559166,10.807228,0.)); #511317=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #511318=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #511319=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #511320=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #511321=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #511322=CARTESIAN_POINT('Origin',(35.554081,10.777331,0.)); #511323=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #511324=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #511325=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #511326=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #511327=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #511328=CARTESIAN_POINT('Origin',(35.537737,10.751784,0.)); #511329=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #511330=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #511331=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #511332=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #511333=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #511334=CARTESIAN_POINT('Origin',(35.512725,10.734634,0.)); #511335=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #511336=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #511337=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.035)); #511338=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.)); #511339=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #511340=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #511341=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #511342=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0350000000000072)); #511343=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #511344=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #511345=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #511346=CARTESIAN_POINT('',(39.0000000471749,34.565,0.)); #511347=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #511348=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #511349=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #511350=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #511351=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #511352=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #511353=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #511354=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #511355=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #511356=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0350000000000072)); #511357=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #511358=CARTESIAN_POINT('',(38.7000000017575,33.435,0.)); #511359=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #511360=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #511361=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #511362=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #511363=CARTESIAN_POINT('Origin',(39.189294,33.1,0.)); #511364=CARTESIAN_POINT('',(39.189294,33.1,0.)); #511365=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #511366=CARTESIAN_POINT('',(39.189294,33.1,0.)); #511367=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #511368=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #511369=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #511370=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #511371=CARTESIAN_POINT('',(39.189294,33.1,0.)); #511372=CARTESIAN_POINT('Origin',(38.510706,33.1,0.)); #511373=CARTESIAN_POINT('',(38.510706,33.1,0.)); #511374=CARTESIAN_POINT('',(38.510706,33.1,0.)); #511375=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #511376=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #511377=CARTESIAN_POINT('',(38.510706,33.1,0.)); #511378=CARTESIAN_POINT('Origin',(38.432416,33.10685,0.)); #511379=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #511380=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #511381=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #511382=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #511383=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #511384=CARTESIAN_POINT('Origin',(38.355019,33.120497,0.)); #511385=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #511386=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #511387=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #511388=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #511389=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #511390=CARTESIAN_POINT('Origin',(38.279106,33.140838,0.)); #511391=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #511392=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #511393=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #511394=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #511395=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #511396=CARTESIAN_POINT('Origin',(38.205256,33.167716,0.)); #511397=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #511398=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #511399=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #511400=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #511401=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #511402=CARTESIAN_POINT('Origin',(38.134031,33.200931,0.)); #511403=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #511404=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #511405=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #511406=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #511407=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #511408=CARTESIAN_POINT('Origin',(38.065969,33.240225,0.)); #511409=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #511410=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #511411=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #511412=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #511413=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #511414=CARTESIAN_POINT('Origin',(38.001594,33.285303,0.)); #511415=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #511416=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #511417=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #511418=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #511419=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #511420=CARTESIAN_POINT('Origin',(37.941391,33.335819,0.)); #511421=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #511422=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #511423=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #511424=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #511425=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #511426=CARTESIAN_POINT('Origin',(37.885819,33.391391,0.)); #511427=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #511428=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #511429=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #511430=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #511431=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #511432=CARTESIAN_POINT('Origin',(37.835303,33.451594,0.)); #511433=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #511434=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #511435=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #511436=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #511437=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #511438=CARTESIAN_POINT('Origin',(37.790225,33.515969,0.)); #511439=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #511440=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #511441=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #511442=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #511443=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #511444=CARTESIAN_POINT('Origin',(37.750931,33.584031,0.)); #511445=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #511446=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #511447=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #511448=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #511449=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #511450=CARTESIAN_POINT('Origin',(37.717716,33.655256,0.)); #511451=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #511452=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #511453=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #511454=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #511455=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #511456=CARTESIAN_POINT('Origin',(37.690838,33.729106,0.)); #511457=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #511458=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #511459=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #511460=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #511461=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #511462=CARTESIAN_POINT('Origin',(37.670497,33.805019,0.)); #511463=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #511464=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #511465=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #511466=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #511467=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #511468=CARTESIAN_POINT('Origin',(37.65685,33.882416,0.)); #511469=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #511470=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #511471=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #511472=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #511473=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #511474=CARTESIAN_POINT('Origin',(37.65,33.960706,0.)); #511475=CARTESIAN_POINT('',(37.65,33.960706,0.)); #511476=CARTESIAN_POINT('',(37.65,33.960706,0.)); #511477=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #511478=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #511479=CARTESIAN_POINT('',(37.65,33.960706,0.)); #511480=CARTESIAN_POINT('Origin',(37.65,34.039294,0.)); #511481=CARTESIAN_POINT('',(37.65,34.039294,0.)); #511482=CARTESIAN_POINT('',(37.65,34.039294,0.)); #511483=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #511484=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #511485=CARTESIAN_POINT('',(37.65,34.039294,0.)); #511486=CARTESIAN_POINT('Origin',(37.65685,34.117584,0.)); #511487=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #511488=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #511489=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #511490=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #511491=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #511492=CARTESIAN_POINT('Origin',(37.670497,34.194981,0.)); #511493=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #511494=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #511495=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #511496=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #511497=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #511498=CARTESIAN_POINT('Origin',(37.690838,34.270894,0.)); #511499=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #511500=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #511501=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #511502=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #511503=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #511504=CARTESIAN_POINT('Origin',(37.717716,34.344744,0.)); #511505=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #511506=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #511507=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #511508=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #511509=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #511510=CARTESIAN_POINT('Origin',(37.750931,34.415969,0.)); #511511=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #511512=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #511513=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #511514=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #511515=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #511516=CARTESIAN_POINT('Origin',(37.790225,34.484031,0.)); #511517=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #511518=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #511519=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #511520=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #511521=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #511522=CARTESIAN_POINT('Origin',(37.835303,34.548406,0.)); #511523=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #511524=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #511525=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #511526=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #511527=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #511528=CARTESIAN_POINT('Origin',(37.885819,34.608609,0.)); #511529=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #511530=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #511531=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #511532=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #511533=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #511534=CARTESIAN_POINT('Origin',(37.941391,34.664181,0.)); #511535=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #511536=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #511537=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #511538=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #511539=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #511540=CARTESIAN_POINT('Origin',(38.001594,34.714697,0.)); #511541=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #511542=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #511543=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #511544=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #511545=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #511546=CARTESIAN_POINT('Origin',(38.065969,34.759775,0.)); #511547=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #511548=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #511549=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #511550=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #511551=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #511552=CARTESIAN_POINT('Origin',(38.134031,34.799069,0.)); #511553=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #511554=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #511555=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #511556=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #511557=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #511558=CARTESIAN_POINT('Origin',(38.205256,34.832284,0.)); #511559=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #511560=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #511561=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #511562=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #511563=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #511564=CARTESIAN_POINT('Origin',(38.279106,34.859163,0.)); #511565=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #511566=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #511567=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #511568=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #511569=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #511570=CARTESIAN_POINT('Origin',(38.355019,34.879503,0.)); #511571=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #511572=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #511573=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #511574=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #511575=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #511576=CARTESIAN_POINT('Origin',(38.432416,34.89315,0.)); #511577=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #511578=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #511579=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #511580=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #511581=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #511582=CARTESIAN_POINT('Origin',(38.510706,34.9,0.)); #511583=CARTESIAN_POINT('',(38.510706,34.9,0.)); #511584=CARTESIAN_POINT('',(38.510706,34.9,0.)); #511585=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #511586=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #511587=CARTESIAN_POINT('',(38.510706,34.9,0.)); #511588=CARTESIAN_POINT('Origin',(39.189294,34.9,0.)); #511589=CARTESIAN_POINT('',(39.189294,34.9,0.)); #511590=CARTESIAN_POINT('',(39.189294,34.9,0.)); #511591=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #511592=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #511593=CARTESIAN_POINT('',(39.189294,34.9,0.)); #511594=CARTESIAN_POINT('Origin',(39.267584,34.89315,0.)); #511595=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #511596=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #511597=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #511598=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #511599=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #511600=CARTESIAN_POINT('Origin',(39.344981,34.879503,0.)); #511601=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #511602=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #511603=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #511604=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #511605=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #511606=CARTESIAN_POINT('Origin',(39.420894,34.859163,0.)); #511607=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #511608=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #511609=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #511610=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #511611=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #511612=CARTESIAN_POINT('Origin',(39.494744,34.832284,0.)); #511613=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #511614=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #511615=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #511616=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #511617=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #511618=CARTESIAN_POINT('Origin',(39.565969,34.799069,0.)); #511619=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #511620=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #511621=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #511622=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #511623=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #511624=CARTESIAN_POINT('Origin',(39.634031,34.759775,0.)); #511625=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #511626=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #511627=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #511628=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #511629=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #511630=CARTESIAN_POINT('Origin',(39.698406,34.714697,0.)); #511631=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #511632=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #511633=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #511634=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #511635=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #511636=CARTESIAN_POINT('Origin',(39.758609,34.664181,0.)); #511637=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #511638=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #511639=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #511640=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #511641=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #511642=CARTESIAN_POINT('Origin',(39.814181,34.608609,0.)); #511643=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #511644=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #511645=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #511646=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #511647=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #511648=CARTESIAN_POINT('Origin',(39.864697,34.548406,0.)); #511649=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #511650=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #511651=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #511652=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #511653=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #511654=CARTESIAN_POINT('Origin',(39.909775,34.484031,0.)); #511655=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #511656=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #511657=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #511658=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #511659=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #511660=CARTESIAN_POINT('Origin',(39.949069,34.415969,0.)); #511661=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #511662=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #511663=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #511664=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #511665=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #511666=CARTESIAN_POINT('Origin',(39.982284,34.344744,0.)); #511667=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #511668=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #511669=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #511670=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #511671=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #511672=CARTESIAN_POINT('Origin',(40.009163,34.270894,0.)); #511673=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #511674=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #511675=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #511676=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #511677=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #511678=CARTESIAN_POINT('Origin',(40.029503,34.194981,0.)); #511679=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #511680=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #511681=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #511682=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #511683=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #511684=CARTESIAN_POINT('Origin',(40.04315,34.117584,0.)); #511685=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #511686=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #511687=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #511688=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #511689=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #511690=CARTESIAN_POINT('Origin',(40.05,34.039294,0.)); #511691=CARTESIAN_POINT('',(40.05,34.039294,0.)); #511692=CARTESIAN_POINT('',(40.05,34.039294,0.)); #511693=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #511694=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #511695=CARTESIAN_POINT('',(40.05,34.039294,0.)); #511696=CARTESIAN_POINT('Origin',(40.05,33.960706,0.)); #511697=CARTESIAN_POINT('',(40.05,33.960706,0.)); #511698=CARTESIAN_POINT('',(40.05,33.960706,0.)); #511699=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #511700=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #511701=CARTESIAN_POINT('',(40.05,33.960706,0.)); #511702=CARTESIAN_POINT('Origin',(40.04315,33.882416,0.)); #511703=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #511704=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #511705=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #511706=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #511707=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #511708=CARTESIAN_POINT('Origin',(40.029503,33.805019,0.)); #511709=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #511710=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #511711=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #511712=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #511713=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #511714=CARTESIAN_POINT('Origin',(40.009163,33.729106,0.)); #511715=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #511716=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #511717=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #511718=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #511719=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #511720=CARTESIAN_POINT('Origin',(39.982284,33.655256,0.)); #511721=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #511722=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #511723=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #511724=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #511725=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #511726=CARTESIAN_POINT('Origin',(39.949069,33.584031,0.)); #511727=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #511728=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #511729=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #511730=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #511731=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #511732=CARTESIAN_POINT('Origin',(39.909775,33.515969,0.)); #511733=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #511734=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #511735=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #511736=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #511737=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #511738=CARTESIAN_POINT('Origin',(39.864697,33.451594,0.)); #511739=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #511740=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #511741=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #511742=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #511743=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #511744=CARTESIAN_POINT('Origin',(39.814181,33.391391,0.)); #511745=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #511746=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #511747=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #511748=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #511749=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #511750=CARTESIAN_POINT('Origin',(39.758609,33.335819,0.)); #511751=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #511752=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #511753=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #511754=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #511755=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #511756=CARTESIAN_POINT('Origin',(39.698406,33.285303,0.)); #511757=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #511758=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #511759=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #511760=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #511761=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #511762=CARTESIAN_POINT('Origin',(39.634031,33.240225,0.)); #511763=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #511764=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #511765=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #511766=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #511767=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #511768=CARTESIAN_POINT('Origin',(39.565969,33.200931,0.)); #511769=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #511770=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #511771=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #511772=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #511773=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #511774=CARTESIAN_POINT('Origin',(39.494744,33.167716,0.)); #511775=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #511776=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #511777=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #511778=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #511779=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #511780=CARTESIAN_POINT('Origin',(39.420894,33.140838,0.)); #511781=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #511782=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #511783=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #511784=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #511785=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #511786=CARTESIAN_POINT('Origin',(39.344981,33.120497,0.)); #511787=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #511788=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #511789=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #511790=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #511791=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #511792=CARTESIAN_POINT('Origin',(39.267584,33.10685,0.)); #511793=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #511794=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #511795=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.035)); #511796=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.)); #511797=CARTESIAN_POINT('Origin',(25.5903,23.2286,0.)); #511798=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #511799=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #511800=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #511801=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #511802=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #511803=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #511804=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #511805=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #511806=CARTESIAN_POINT('Origin',(21.380591,23.2286,0.)); #511807=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #511808=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #511809=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #511810=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #511811=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #511812=CARTESIAN_POINT('Origin',(21.354259,23.233294,0.)); #511813=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #511814=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #511815=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #511816=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #511817=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #511818=CARTESIAN_POINT('Origin',(21.328453,23.249228,0.)); #511819=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #511820=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #511821=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #511822=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #511823=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #511824=CARTESIAN_POINT('Origin',(21.310909,23.273966,0.)); #511825=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #511826=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #511827=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #511828=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #511829=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #511830=CARTESIAN_POINT('Origin',(21.3044,23.303584,0.)); #511831=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #511832=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #511833=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #511834=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #511835=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #511836=CARTESIAN_POINT('Origin',(21.309959,23.333397,0.)); #511837=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #511838=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #511839=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #511840=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #511841=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #511842=CARTESIAN_POINT('Origin',(21.326709,23.358681,0.)); #511843=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #511844=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #511845=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #511846=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #511847=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #511848=CARTESIAN_POINT('Origin',(21.4199,23.451872,0.)); #511849=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #511850=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #511851=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #511852=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #511853=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #511854=CARTESIAN_POINT('Origin',(21.4199,23.8452,0.)); #511855=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #511856=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #511857=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #511858=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #511859=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #511860=CARTESIAN_POINT('Origin',(21.425022,23.872669,0.)); #511861=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #511862=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #511863=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #511864=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #511865=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #511866=CARTESIAN_POINT('Origin',(21.441366,23.898216,0.)); #511867=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #511868=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #511869=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #511870=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #511871=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #511872=CARTESIAN_POINT('Origin',(21.466378,23.915366,0.)); #511873=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #511874=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #511875=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #511876=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #511877=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #511878=CARTESIAN_POINT('Origin',(21.4961,23.9214,0.)); #511879=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #511880=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #511881=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #511882=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #511883=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #511884=CARTESIAN_POINT('Origin',(23.223747,23.9214,0.)); #511885=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #511886=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #511887=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #511888=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #511889=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #511890=CARTESIAN_POINT('Origin',(23.252344,23.915831,0.)); #511891=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #511892=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #511893=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #511894=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #511895=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #511896=CARTESIAN_POINT('Origin',(23.277628,23.899081,0.)); #511897=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #511898=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #511899=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #511900=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #511901=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #511902=CARTESIAN_POINT('Origin',(23.655309,23.5214,0.)); #511903=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #511904=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #511905=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #511906=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #511907=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #511908=CARTESIAN_POINT('Origin',(25.5903,23.5214,0.)); #511909=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #511910=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #511911=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #511912=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #511913=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #511914=CARTESIAN_POINT('Origin',(25.617769,23.516278,0.)); #511915=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #511916=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #511917=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #511918=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #511919=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #511920=CARTESIAN_POINT('Origin',(25.643316,23.499934,0.)); #511921=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #511922=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #511923=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #511924=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #511925=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #511926=CARTESIAN_POINT('Origin',(25.660466,23.474922,0.)); #511927=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #511928=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #511929=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #511930=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #511931=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #511932=CARTESIAN_POINT('Origin',(25.6665,23.4452,0.)); #511933=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #511934=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #511935=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #511936=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #511937=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #511938=CARTESIAN_POINT('Origin',(25.6665,23.3048,0.)); #511939=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #511940=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #511941=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #511942=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #511943=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #511944=CARTESIAN_POINT('Origin',(25.661378,23.277331,0.)); #511945=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #511946=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #511947=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #511948=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #511949=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #511950=CARTESIAN_POINT('Origin',(25.645034,23.251784,0.)); #511951=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #511952=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #511953=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #511954=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #511955=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #511956=CARTESIAN_POINT('Origin',(25.620022,23.234634,0.)); #511957=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #511958=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #511959=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.035)); #511960=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.)); #511961=CARTESIAN_POINT('Origin',(34.307228,55.784691,0.)); #511962=CARTESIAN_POINT('',(34.307228,55.784691,0.)); #511963=CARTESIAN_POINT('',(34.336744,55.791672,0.)); #511964=CARTESIAN_POINT('',(34.307228,55.784691,0.)); #511965=CARTESIAN_POINT('',(34.336744,55.791672,0.035)); #511966=CARTESIAN_POINT('',(34.336744,55.791672,0.)); #511967=CARTESIAN_POINT('',(34.307228,55.784691,0.035)); #511968=CARTESIAN_POINT('',(34.307228,55.784691,0.035)); #511969=CARTESIAN_POINT('',(34.307228,55.784691,0.)); #511970=CARTESIAN_POINT('Origin',(34.277331,55.789775,0.)); #511971=CARTESIAN_POINT('',(34.277331,55.789775,0.)); #511972=CARTESIAN_POINT('',(34.277331,55.789775,0.)); #511973=CARTESIAN_POINT('',(34.277331,55.789775,0.035)); #511974=CARTESIAN_POINT('',(34.277331,55.789775,0.035)); #511975=CARTESIAN_POINT('',(34.277331,55.789775,0.)); #511976=CARTESIAN_POINT('Origin',(34.251784,55.806119,0.)); #511977=CARTESIAN_POINT('',(34.251784,55.806119,0.)); #511978=CARTESIAN_POINT('',(34.251784,55.806119,0.)); #511979=CARTESIAN_POINT('',(34.251784,55.806119,0.035)); #511980=CARTESIAN_POINT('',(34.251784,55.806119,0.035)); #511981=CARTESIAN_POINT('',(34.251784,55.806119,0.)); #511982=CARTESIAN_POINT('Origin',(34.234634,55.831131,0.)); #511983=CARTESIAN_POINT('',(34.234634,55.831131,0.)); #511984=CARTESIAN_POINT('',(34.234634,55.831131,0.)); #511985=CARTESIAN_POINT('',(34.234634,55.831131,0.035)); #511986=CARTESIAN_POINT('',(34.234634,55.831131,0.035)); #511987=CARTESIAN_POINT('',(34.234634,55.831131,0.)); #511988=CARTESIAN_POINT('Origin',(34.2286,55.860853,0.)); #511989=CARTESIAN_POINT('',(34.2286,55.860853,0.)); #511990=CARTESIAN_POINT('',(34.2286,55.860853,0.)); #511991=CARTESIAN_POINT('',(34.2286,55.860853,0.035)); #511992=CARTESIAN_POINT('',(34.2286,55.860853,0.035)); #511993=CARTESIAN_POINT('',(34.2286,55.860853,0.)); #511994=CARTESIAN_POINT('Origin',(34.2286,56.092603,0.)); #511995=CARTESIAN_POINT('',(34.2286,56.092603,0.)); #511996=CARTESIAN_POINT('',(34.2286,56.092603,0.)); #511997=CARTESIAN_POINT('',(34.2286,56.092603,0.035)); #511998=CARTESIAN_POINT('',(34.2286,56.092603,0.035)); #511999=CARTESIAN_POINT('',(34.2286,56.092603,0.)); #512000=CARTESIAN_POINT('Origin',(34.234169,56.1212,0.)); #512001=CARTESIAN_POINT('',(34.234169,56.1212,0.)); #512002=CARTESIAN_POINT('',(34.234169,56.1212,0.)); #512003=CARTESIAN_POINT('',(34.234169,56.1212,0.035)); #512004=CARTESIAN_POINT('',(34.234169,56.1212,0.035)); #512005=CARTESIAN_POINT('',(34.234169,56.1212,0.)); #512006=CARTESIAN_POINT('Origin',(34.250919,56.146484,0.)); #512007=CARTESIAN_POINT('',(34.250919,56.146484,0.)); #512008=CARTESIAN_POINT('',(34.250919,56.146484,0.)); #512009=CARTESIAN_POINT('',(34.250919,56.146484,0.035)); #512010=CARTESIAN_POINT('',(34.250919,56.146484,0.035)); #512011=CARTESIAN_POINT('',(34.250919,56.146484,0.)); #512012=CARTESIAN_POINT('Origin',(34.321119,56.216684,0.)); #512013=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #512014=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #512015=CARTESIAN_POINT('',(34.321119,56.216684,0.035)); #512016=CARTESIAN_POINT('',(34.321119,56.216684,0.035)); #512017=CARTESIAN_POINT('',(34.321119,56.216684,0.)); #512018=CARTESIAN_POINT('Origin',(34.344166,56.232484,0.)); #512019=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #512020=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #512021=CARTESIAN_POINT('',(34.344166,56.232484,0.035)); #512022=CARTESIAN_POINT('',(34.344166,56.232484,0.035)); #512023=CARTESIAN_POINT('',(34.344166,56.232484,0.)); #512024=CARTESIAN_POINT('Origin',(34.373784,56.238994,0.)); #512025=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #512026=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #512027=CARTESIAN_POINT('',(34.373784,56.238994,0.035)); #512028=CARTESIAN_POINT('',(34.373784,56.238994,0.035)); #512029=CARTESIAN_POINT('',(34.373784,56.238994,0.)); #512030=CARTESIAN_POINT('Origin',(34.403597,56.233434,0.)); #512031=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #512032=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #512033=CARTESIAN_POINT('',(34.403597,56.233434,0.035)); #512034=CARTESIAN_POINT('',(34.403597,56.233434,0.035)); #512035=CARTESIAN_POINT('',(34.403597,56.233434,0.)); #512036=CARTESIAN_POINT('Origin',(34.428881,56.216684,0.)); #512037=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #512038=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #512039=CARTESIAN_POINT('',(34.428881,56.216684,0.035)); #512040=CARTESIAN_POINT('',(34.428881,56.216684,0.035)); #512041=CARTESIAN_POINT('',(34.428881,56.216684,0.)); #512042=CARTESIAN_POINT('Origin',(34.499081,56.146484,0.)); #512043=CARTESIAN_POINT('',(34.499081,56.146484,0.)); #512044=CARTESIAN_POINT('',(34.499081,56.146484,0.)); #512045=CARTESIAN_POINT('',(34.499081,56.146484,0.035)); #512046=CARTESIAN_POINT('',(34.499081,56.146484,0.035)); #512047=CARTESIAN_POINT('',(34.499081,56.146484,0.)); #512048=CARTESIAN_POINT('Origin',(34.515366,56.122325,0.)); #512049=CARTESIAN_POINT('',(34.515366,56.122325,0.)); #512050=CARTESIAN_POINT('',(34.515366,56.122325,0.)); #512051=CARTESIAN_POINT('',(34.515366,56.122325,0.035)); #512052=CARTESIAN_POINT('',(34.515366,56.122325,0.035)); #512053=CARTESIAN_POINT('',(34.515366,56.122325,0.)); #512054=CARTESIAN_POINT('Origin',(34.5214,56.092603,0.)); #512055=CARTESIAN_POINT('',(34.5214,56.092603,0.)); #512056=CARTESIAN_POINT('',(34.5214,56.092603,0.)); #512057=CARTESIAN_POINT('',(34.5214,56.092603,0.035)); #512058=CARTESIAN_POINT('',(34.5214,56.092603,0.035)); #512059=CARTESIAN_POINT('',(34.5214,56.092603,0.)); #512060=CARTESIAN_POINT('Origin',(34.5214,56.001253,0.)); #512061=CARTESIAN_POINT('',(34.5214,56.001253,0.)); #512062=CARTESIAN_POINT('',(34.5214,56.001253,0.)); #512063=CARTESIAN_POINT('',(34.5214,56.001253,0.035)); #512064=CARTESIAN_POINT('',(34.5214,56.001253,0.035)); #512065=CARTESIAN_POINT('',(34.5214,56.001253,0.)); #512066=CARTESIAN_POINT('Origin',(34.515831,55.972656,0.)); #512067=CARTESIAN_POINT('',(34.515831,55.972656,0.)); #512068=CARTESIAN_POINT('',(34.515831,55.972656,0.)); #512069=CARTESIAN_POINT('',(34.515831,55.972656,0.035)); #512070=CARTESIAN_POINT('',(34.515831,55.972656,0.035)); #512071=CARTESIAN_POINT('',(34.515831,55.972656,0.)); #512072=CARTESIAN_POINT('Origin',(34.499081,55.947372,0.)); #512073=CARTESIAN_POINT('',(34.499081,55.947372,0.)); #512074=CARTESIAN_POINT('',(34.499081,55.947372,0.)); #512075=CARTESIAN_POINT('',(34.499081,55.947372,0.035)); #512076=CARTESIAN_POINT('',(34.499081,55.947372,0.035)); #512077=CARTESIAN_POINT('',(34.499081,55.947372,0.)); #512078=CARTESIAN_POINT('Origin',(34.358681,55.806972,0.)); #512079=CARTESIAN_POINT('',(34.358681,55.806972,0.)); #512080=CARTESIAN_POINT('',(34.358681,55.806972,0.)); #512081=CARTESIAN_POINT('',(34.358681,55.806972,0.035)); #512082=CARTESIAN_POINT('',(34.358681,55.806972,0.035)); #512083=CARTESIAN_POINT('',(34.358681,55.806972,0.)); #512084=CARTESIAN_POINT('Origin',(34.336744,55.791672,0.)); #512085=CARTESIAN_POINT('',(34.336744,55.791672,0.)); #512086=CARTESIAN_POINT('',(34.336744,55.791672,0.035)); #512087=CARTESIAN_POINT('Origin',(34.3597813809394,56.0135348173605,0.035)); #512088=CARTESIAN_POINT('Origin',(34.3597813809394,56.0135348173605,0.)); #512089=CARTESIAN_POINT('Origin',(30.210778,22.4786,0.)); #512090=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #512091=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #512092=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #512093=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #512094=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #512095=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #512096=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #512097=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #512098=CARTESIAN_POINT('Origin',(28.4097,22.4786,0.)); #512099=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #512100=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #512101=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #512102=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #512103=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #512104=CARTESIAN_POINT('Origin',(28.382231,22.483722,0.)); #512105=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #512106=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #512107=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #512108=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #512109=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #512110=CARTESIAN_POINT('Origin',(28.356684,22.500066,0.)); #512111=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #512112=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #512113=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #512114=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #512115=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #512116=CARTESIAN_POINT('Origin',(28.339534,22.525078,0.)); #512117=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #512118=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #512119=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #512120=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #512121=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #512122=CARTESIAN_POINT('Origin',(28.3335,22.5548,0.)); #512123=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #512124=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #512125=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #512126=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #512127=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #512128=CARTESIAN_POINT('Origin',(28.3335,22.6952,0.)); #512129=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #512130=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #512131=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #512132=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #512133=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #512134=CARTESIAN_POINT('Origin',(28.338622,22.722669,0.)); #512135=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #512136=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #512137=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #512138=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #512139=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #512140=CARTESIAN_POINT('Origin',(28.354966,22.748216,0.)); #512141=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #512142=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #512143=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #512144=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #512145=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #512146=CARTESIAN_POINT('Origin',(28.379978,22.765366,0.)); #512147=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #512148=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #512149=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #512150=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #512151=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #512152=CARTESIAN_POINT('Origin',(28.4097,22.7714,0.)); #512153=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #512154=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #512155=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #512156=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #512157=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #512158=CARTESIAN_POINT('Origin',(30.348734,22.7714,0.)); #512159=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #512160=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #512161=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #512162=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #512163=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #512164=CARTESIAN_POINT('Origin',(30.374438,22.766934,0.)); #512165=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #512166=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #512167=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #512168=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #512169=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #512170=CARTESIAN_POINT('Origin',(30.400381,22.751228,0.)); #512171=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #512172=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #512173=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #512174=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #512175=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #512176=CARTESIAN_POINT('Origin',(30.418144,22.726647,0.)); #512177=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #512178=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #512179=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #512180=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #512181=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #512182=CARTESIAN_POINT('Origin',(30.424913,22.697084,0.)); #512183=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #512184=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #512185=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #512186=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #512187=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #512188=CARTESIAN_POINT('Origin',(30.419613,22.667222,0.)); #512189=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #512190=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #512191=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #512192=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #512193=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #512194=CARTESIAN_POINT('Origin',(30.403088,22.641794,0.)); #512195=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #512196=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #512197=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #512198=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #512199=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #512200=CARTESIAN_POINT('Origin',(30.265131,22.501394,0.)); #512201=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #512202=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #512203=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #512204=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #512205=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #512206=CARTESIAN_POINT('Origin',(30.2405,22.484634,0.)); #512207=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #512208=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #512209=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.035)); #512210=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.)); #512211=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #512212=CARTESIAN_POINT('',(43.257,32.73,0.)); #512213=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #512214=CARTESIAN_POINT('',(43.257,32.73,0.0349999999999895)); #512215=CARTESIAN_POINT('',(43.257,32.73,-200.)); #512216=CARTESIAN_POINT('Origin',(43.73,32.73,0.0349999999999895)); #512217=CARTESIAN_POINT('Origin',(44.045628,31.968,0.)); #512218=CARTESIAN_POINT('',(44.045628,31.968,0.)); #512219=CARTESIAN_POINT('',(44.492,32.414372,0.)); #512220=CARTESIAN_POINT('',(44.045628,31.968,0.)); #512221=CARTESIAN_POINT('',(44.492,32.414372,0.035)); #512222=CARTESIAN_POINT('',(44.492,32.414372,0.)); #512223=CARTESIAN_POINT('',(44.045628,31.968,0.035)); #512224=CARTESIAN_POINT('',(44.045628,31.968,0.035)); #512225=CARTESIAN_POINT('',(44.045628,31.968,0.)); #512226=CARTESIAN_POINT('Origin',(43.414372,31.968,0.)); #512227=CARTESIAN_POINT('',(43.414372,31.968,0.)); #512228=CARTESIAN_POINT('',(43.414372,31.968,0.)); #512229=CARTESIAN_POINT('',(43.414372,31.968,0.035)); #512230=CARTESIAN_POINT('',(43.414372,31.968,0.035)); #512231=CARTESIAN_POINT('',(43.414372,31.968,0.)); #512232=CARTESIAN_POINT('Origin',(42.968,32.414372,0.)); #512233=CARTESIAN_POINT('',(42.968,32.414372,0.)); #512234=CARTESIAN_POINT('',(42.968,32.414372,0.)); #512235=CARTESIAN_POINT('',(42.968,32.414372,0.035)); #512236=CARTESIAN_POINT('',(42.968,32.414372,0.035)); #512237=CARTESIAN_POINT('',(42.968,32.414372,0.)); #512238=CARTESIAN_POINT('Origin',(42.968,33.045628,0.)); #512239=CARTESIAN_POINT('',(42.968,33.045628,0.)); #512240=CARTESIAN_POINT('',(42.968,33.045628,0.)); #512241=CARTESIAN_POINT('',(42.968,33.045628,0.035)); #512242=CARTESIAN_POINT('',(42.968,33.045628,0.035)); #512243=CARTESIAN_POINT('',(42.968,33.045628,0.)); #512244=CARTESIAN_POINT('Origin',(43.414372,33.492,0.)); #512245=CARTESIAN_POINT('',(43.414372,33.492,0.)); #512246=CARTESIAN_POINT('',(43.414372,33.492,0.)); #512247=CARTESIAN_POINT('',(43.414372,33.492,0.035)); #512248=CARTESIAN_POINT('',(43.414372,33.492,0.035)); #512249=CARTESIAN_POINT('',(43.414372,33.492,0.)); #512250=CARTESIAN_POINT('Origin',(44.045628,33.492,0.)); #512251=CARTESIAN_POINT('',(44.045628,33.492,0.)); #512252=CARTESIAN_POINT('',(44.045628,33.492,0.)); #512253=CARTESIAN_POINT('',(44.045628,33.492,0.035)); #512254=CARTESIAN_POINT('',(44.045628,33.492,0.035)); #512255=CARTESIAN_POINT('',(44.045628,33.492,0.)); #512256=CARTESIAN_POINT('Origin',(44.492,33.045628,0.)); #512257=CARTESIAN_POINT('',(44.492,33.045628,0.)); #512258=CARTESIAN_POINT('',(44.492,33.045628,0.)); #512259=CARTESIAN_POINT('',(44.492,33.045628,0.035)); #512260=CARTESIAN_POINT('',(44.492,33.045628,0.035)); #512261=CARTESIAN_POINT('',(44.492,33.045628,0.)); #512262=CARTESIAN_POINT('Origin',(44.492,32.414372,0.)); #512263=CARTESIAN_POINT('',(44.492,32.414372,0.)); #512264=CARTESIAN_POINT('',(44.492,32.414372,0.035)); #512265=CARTESIAN_POINT('Origin',(43.73,32.73,0.035)); #512266=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #512267=CARTESIAN_POINT('Origin',(27.1143,22.4786,0.)); #512268=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #512269=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #512270=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #512271=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #512272=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #512273=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #512274=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #512275=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #512276=CARTESIAN_POINT('Origin',(27.091325,22.4786,0.)); #512277=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #512278=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #512279=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #512280=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #512281=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #512282=CARTESIAN_POINT('Origin',(27.061481,22.484687,0.)); #512283=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #512284=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #512285=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #512286=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #512287=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #512288=CARTESIAN_POINT('Origin',(27.036497,22.501881,0.)); #512289=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #512290=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #512291=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #512292=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #512293=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #512294=CARTESIAN_POINT('Origin',(26.830872,22.714919,0.)); #512295=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #512296=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #512297=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #512298=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #512299=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #512300=CARTESIAN_POINT('Origin',(26.815534,22.738116,0.)); #512301=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #512302=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #512303=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #512304=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #512305=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #512306=CARTESIAN_POINT('Origin',(26.8095,22.767838,0.)); #512307=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #512308=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #512309=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #512310=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #512311=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #512312=CARTESIAN_POINT('Origin',(26.8095,23.4452,0.)); #512313=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #512314=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #512315=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #512316=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #512317=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #512318=CARTESIAN_POINT('Origin',(26.814622,23.472669,0.)); #512319=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #512320=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #512321=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #512322=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #512323=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #512324=CARTESIAN_POINT('Origin',(26.830966,23.498216,0.)); #512325=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #512326=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #512327=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #512328=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #512329=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #512330=CARTESIAN_POINT('Origin',(26.855978,23.515366,0.)); #512331=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #512332=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #512333=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #512334=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #512335=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #512336=CARTESIAN_POINT('Origin',(26.8857,23.5214,0.)); #512337=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #512338=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #512339=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #512340=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #512341=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #512342=CARTESIAN_POINT('Origin',(27.1143,23.5214,0.)); #512343=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #512344=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #512345=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #512346=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #512347=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #512348=CARTESIAN_POINT('Origin',(27.141769,23.516278,0.)); #512349=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #512350=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #512351=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #512352=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #512353=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #512354=CARTESIAN_POINT('Origin',(27.167316,23.499934,0.)); #512355=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #512356=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #512357=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #512358=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #512359=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #512360=CARTESIAN_POINT('Origin',(27.184466,23.474922,0.)); #512361=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #512362=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #512363=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #512364=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #512365=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #512366=CARTESIAN_POINT('Origin',(27.1905,23.4452,0.)); #512367=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #512368=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #512369=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #512370=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #512371=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #512372=CARTESIAN_POINT('Origin',(27.1905,22.5548,0.)); #512373=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #512374=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #512375=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #512376=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #512377=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #512378=CARTESIAN_POINT('Origin',(27.185378,22.527331,0.)); #512379=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #512380=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #512381=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #512382=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #512383=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #512384=CARTESIAN_POINT('Origin',(27.169034,22.501784,0.)); #512385=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #512386=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #512387=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #512388=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #512389=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #512390=CARTESIAN_POINT('Origin',(27.144022,22.484634,0.)); #512391=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #512392=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #512393=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.035)); #512394=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.)); #512395=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #512396=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #512397=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #512398=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #512399=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #512400=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #512401=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #512402=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #512403=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #512404=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #512405=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #512406=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #512407=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #512408=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #512409=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #512410=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #512411=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #512412=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #512413=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #512414=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #512415=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #512416=CARTESIAN_POINT('Origin',(26.250919,31.353516,0.)); #512417=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #512418=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #512419=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #512420=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #512421=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #512422=CARTESIAN_POINT('Origin',(26.234634,31.377675,0.)); #512423=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #512424=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #512425=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #512426=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #512427=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #512428=CARTESIAN_POINT('Origin',(26.2286,31.407397,0.)); #512429=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #512430=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #512431=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #512432=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #512433=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #512434=CARTESIAN_POINT('Origin',(26.2286,35.592603,0.)); #512435=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #512436=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #512437=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #512438=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #512439=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #512440=CARTESIAN_POINT('Origin',(26.234169,35.6212,0.)); #512441=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #512442=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #512443=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #512444=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #512445=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #512446=CARTESIAN_POINT('Origin',(26.250919,35.646484,0.)); #512447=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #512448=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #512449=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #512450=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #512451=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #512452=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #512453=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #512454=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #512455=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #512456=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #512457=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #512458=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #512459=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #512460=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #512461=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #512462=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #512463=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #512464=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #512465=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #512466=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #512467=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #512468=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #512469=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #512470=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #512471=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #512472=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #512473=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #512474=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #512475=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #512476=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #512477=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #512478=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #512479=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #512480=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #512481=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #512482=CARTESIAN_POINT('Origin',(26.499081,35.646484,0.)); #512483=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #512484=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #512485=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #512486=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #512487=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #512488=CARTESIAN_POINT('Origin',(26.515366,35.622325,0.)); #512489=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #512490=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #512491=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #512492=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #512493=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #512494=CARTESIAN_POINT('Origin',(26.5214,35.592603,0.)); #512495=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #512496=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #512497=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #512498=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #512499=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #512500=CARTESIAN_POINT('Origin',(26.5214,31.407397,0.)); #512501=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #512502=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #512503=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #512504=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #512505=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #512506=CARTESIAN_POINT('Origin',(26.515831,31.3788,0.)); #512507=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #512508=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #512509=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #512510=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #512511=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #512512=CARTESIAN_POINT('Origin',(26.499081,31.353516,0.)); #512513=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #512514=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #512515=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #512516=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #512517=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #512518=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #512519=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #512520=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #512521=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #512522=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #512523=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #512524=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #512525=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #512526=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #512527=CARTESIAN_POINT('Origin',(26.375,33.5,0.035)); #512528=CARTESIAN_POINT('Origin',(26.375,33.5,0.)); #512529=CARTESIAN_POINT('Origin',(39.5903,22.4786,0.)); #512530=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #512531=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #512532=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #512533=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #512534=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #512535=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #512536=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #512537=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #512538=CARTESIAN_POINT('Origin',(37.813753,22.4786,0.)); #512539=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #512540=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #512541=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #512542=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #512543=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #512544=CARTESIAN_POINT('Origin',(37.785156,22.484169,0.)); #512545=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #512546=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #512547=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #512548=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #512549=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #512550=CARTESIAN_POINT('Origin',(37.759872,22.500919,0.)); #512551=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #512552=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #512553=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #512554=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #512555=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #512556=CARTESIAN_POINT('Origin',(37.619472,22.641319,0.)); #512557=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #512558=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #512559=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #512560=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #512561=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #512562=CARTESIAN_POINT('Origin',(37.604172,22.663256,0.)); #512563=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #512564=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #512565=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #512566=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #512567=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #512568=CARTESIAN_POINT('Origin',(37.597191,22.692772,0.)); #512569=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #512570=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #512571=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #512572=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #512573=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #512574=CARTESIAN_POINT('Origin',(37.602275,22.722669,0.)); #512575=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #512576=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #512577=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #512578=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #512579=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #512580=CARTESIAN_POINT('Origin',(37.618619,22.748216,0.)); #512581=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #512582=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #512583=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #512584=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #512585=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #512586=CARTESIAN_POINT('Origin',(37.643631,22.765366,0.)); #512587=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #512588=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #512589=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #512590=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #512591=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #512592=CARTESIAN_POINT('Origin',(37.673353,22.7714,0.)); #512593=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #512594=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #512595=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #512596=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #512597=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #512598=CARTESIAN_POINT('Origin',(39.5903,22.7714,0.)); #512599=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #512600=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #512601=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #512602=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #512603=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #512604=CARTESIAN_POINT('Origin',(39.617769,22.766278,0.)); #512605=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #512606=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #512607=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #512608=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #512609=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #512610=CARTESIAN_POINT('Origin',(39.643316,22.749934,0.)); #512611=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #512612=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #512613=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #512614=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #512615=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #512616=CARTESIAN_POINT('Origin',(39.660466,22.724922,0.)); #512617=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #512618=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #512619=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #512620=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #512621=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #512622=CARTESIAN_POINT('Origin',(39.6665,22.6952,0.)); #512623=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #512624=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #512625=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #512626=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #512627=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #512628=CARTESIAN_POINT('Origin',(39.6665,22.5548,0.)); #512629=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #512630=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #512631=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #512632=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #512633=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #512634=CARTESIAN_POINT('Origin',(39.661378,22.527331,0.)); #512635=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #512636=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #512637=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #512638=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #512639=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #512640=CARTESIAN_POINT('Origin',(39.645034,22.501784,0.)); #512641=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #512642=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #512643=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #512644=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #512645=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #512646=CARTESIAN_POINT('Origin',(39.620022,22.484634,0.)); #512647=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #512648=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #512649=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.035)); #512650=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.)); #512651=CARTESIAN_POINT('Origin',(26.858003,23.9786,0.)); #512652=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #512653=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #512654=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #512655=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #512656=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #512657=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #512658=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #512659=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #512660=CARTESIAN_POINT('Origin',(23.876253,23.9786,0.)); #512661=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #512662=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #512663=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #512664=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #512665=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #512666=CARTESIAN_POINT('Origin',(23.847656,23.984169,0.)); #512667=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #512668=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #512669=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #512670=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #512671=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #512672=CARTESIAN_POINT('Origin',(23.822372,24.000919,0.)); #512673=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #512674=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #512675=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #512676=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #512677=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #512678=CARTESIAN_POINT('Origin',(23.444691,24.3786,0.)); #512679=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #512680=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #512681=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #512682=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #512683=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #512684=CARTESIAN_POINT('Origin',(21.4961,24.3786,0.)); #512685=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #512686=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #512687=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #512688=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #512689=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #512690=CARTESIAN_POINT('Origin',(21.468631,24.383722,0.)); #512691=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #512692=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #512693=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #512694=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #512695=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #512696=CARTESIAN_POINT('Origin',(21.443084,24.400066,0.)); #512697=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #512698=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #512699=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #512700=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #512701=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #512702=CARTESIAN_POINT('Origin',(21.425934,24.425078,0.)); #512703=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #512704=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #512705=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #512706=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #512707=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #512708=CARTESIAN_POINT('Origin',(21.4199,24.4548,0.)); #512709=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #512710=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #512711=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #512712=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #512713=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #512714=CARTESIAN_POINT('Origin',(21.4199,24.848128,0.)); #512715=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #512716=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #512717=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #512718=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #512719=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #512720=CARTESIAN_POINT('Origin',(21.330628,24.9374,0.)); #512721=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #512722=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #512723=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #512724=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #512725=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #512726=CARTESIAN_POINT('Origin',(21.2548,24.9374,0.)); #512727=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #512728=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #512729=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #512730=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #512731=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #512732=CARTESIAN_POINT('Origin',(21.227331,24.942522,0.)); #512733=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #512734=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #512735=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #512736=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #512737=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #512738=CARTESIAN_POINT('Origin',(21.201784,24.958866,0.)); #512739=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #512740=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #512741=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #512742=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #512743=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #512744=CARTESIAN_POINT('Origin',(21.184634,24.983878,0.)); #512745=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #512746=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #512747=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #512748=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #512749=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #512750=CARTESIAN_POINT('Origin',(21.1786,25.0136,0.)); #512751=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #512752=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #512753=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #512754=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #512755=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #512756=CARTESIAN_POINT('Origin',(21.1786,25.3269,0.)); #512757=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #512758=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #512759=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #512760=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #512761=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #512762=CARTESIAN_POINT('Origin',(21.183722,25.354369,0.)); #512763=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #512764=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #512765=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #512766=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #512767=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #512768=CARTESIAN_POINT('Origin',(21.200066,25.379916,0.)); #512769=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #512770=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #512771=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #512772=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #512773=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #512774=CARTESIAN_POINT('Origin',(21.225078,25.397066,0.)); #512775=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #512776=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #512777=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #512778=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #512779=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #512780=CARTESIAN_POINT('Origin',(21.241534,25.400406,0.)); #512781=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #512782=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #512783=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #512784=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #512785=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #512786=CARTESIAN_POINT('Origin',(21.3335,25.492372,0.)); #512787=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #512788=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #512789=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #512790=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #512791=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #512792=CARTESIAN_POINT('Origin',(21.3335,26.4472,0.)); #512793=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #512794=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #512795=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #512796=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #512797=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #512798=CARTESIAN_POINT('Origin',(21.338622,26.474669,0.)); #512799=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #512800=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #512801=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #512802=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #512803=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #512804=CARTESIAN_POINT('Origin',(21.354966,26.500216,0.)); #512805=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #512806=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #512807=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #512808=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #512809=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #512810=CARTESIAN_POINT('Origin',(21.379978,26.517366,0.)); #512811=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #512812=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #512813=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #512814=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #512815=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #512816=CARTESIAN_POINT('Origin',(21.4097,26.5234,0.)); #512817=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #512818=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #512819=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #512820=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #512821=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #512822=CARTESIAN_POINT('Origin',(24.4964,26.5234,0.)); #512823=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #512824=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #512825=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #512826=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #512827=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #512828=CARTESIAN_POINT('Origin',(24.523869,26.518278,0.)); #512829=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #512830=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #512831=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #512832=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #512833=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #512834=CARTESIAN_POINT('Origin',(24.549416,26.501934,0.)); #512835=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #512836=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #512837=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #512838=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #512839=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #512840=CARTESIAN_POINT('Origin',(24.566566,26.476922,0.)); #512841=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #512842=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #512843=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #512844=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #512845=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #512846=CARTESIAN_POINT('Origin',(24.572406,26.448159,0.)); #512847=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #512848=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #512849=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #512850=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #512851=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #512852=CARTESIAN_POINT('Origin',(24.822966,26.1976,0.)); #512853=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #512854=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #512855=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #512856=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #512857=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #512858=CARTESIAN_POINT('Origin',(25.072547,26.1976,0.)); #512859=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #512860=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #512861=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #512862=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #512863=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #512864=CARTESIAN_POINT('Origin',(25.101144,26.192031,0.)); #512865=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #512866=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #512867=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #512868=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #512869=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #512870=CARTESIAN_POINT('Origin',(25.126428,26.175281,0.)); #512871=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #512872=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #512873=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #512874=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #512875=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #512876=CARTESIAN_POINT('Origin',(26.800281,24.501428,0.)); #512877=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #512878=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #512879=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #512880=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #512881=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #512882=CARTESIAN_POINT('Origin',(26.816566,24.477269,0.)); #512883=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #512884=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #512885=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #512886=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #512887=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #512888=CARTESIAN_POINT('Origin',(26.8226,24.447547,0.)); #512889=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #512890=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #512891=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #512892=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #512893=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #512894=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #512895=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #512896=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #512897=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #512898=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #512899=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #512900=CARTESIAN_POINT('Origin',(26.911884,24.108681,0.)); #512901=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #512902=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #512903=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #512904=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #512905=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #512906=CARTESIAN_POINT('Origin',(26.927184,24.086744,0.)); #512907=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #512908=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #512909=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #512910=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #512911=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #512912=CARTESIAN_POINT('Origin',(26.934166,24.057228,0.)); #512913=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #512914=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #512915=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #512916=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #512917=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #512918=CARTESIAN_POINT('Origin',(26.929081,24.027331,0.)); #512919=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #512920=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #512921=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #512922=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #512923=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #512924=CARTESIAN_POINT('Origin',(26.912738,24.001784,0.)); #512925=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #512926=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #512927=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #512928=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #512929=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #512930=CARTESIAN_POINT('Origin',(26.887725,23.984634,0.)); #512931=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #512932=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #512933=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.035)); #512934=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.)); #512935=CARTESIAN_POINT('Origin',(30.733003,12.4786,0.)); #512936=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #512937=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #512938=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #512939=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #512940=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #512941=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #512942=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #512943=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #512944=CARTESIAN_POINT('Origin',(30.065591,12.4786,0.)); #512945=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #512946=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #512947=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #512948=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #512949=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #512950=CARTESIAN_POINT('Origin',(30.036994,12.484169,0.)); #512951=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #512952=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #512953=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #512954=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #512955=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #512956=CARTESIAN_POINT('Origin',(30.011709,12.500919,0.)); #512957=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #512958=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #512959=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #512960=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #512961=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #512962=CARTESIAN_POINT('Origin',(26.488919,16.023709,0.)); #512963=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #512964=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #512965=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #512966=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #512967=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #512968=CARTESIAN_POINT('Origin',(26.472634,16.047869,0.)); #512969=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #512970=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #512971=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #512972=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #512973=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #512974=CARTESIAN_POINT('Origin',(26.4666,16.077591,0.)); #512975=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #512976=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #512977=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #512978=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #512979=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #512980=CARTESIAN_POINT('Origin',(26.4666,18.3269,0.)); #512981=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #512982=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #512983=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #512984=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #512985=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #512986=CARTESIAN_POINT('Origin',(26.471722,18.354369,0.)); #512987=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #512988=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #512989=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #512990=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #512991=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #512992=CARTESIAN_POINT('Origin',(26.488066,18.379916,0.)); #512993=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #512994=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #512995=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #512996=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #512997=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #512998=CARTESIAN_POINT('Origin',(26.513078,18.397066,0.)); #512999=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #513000=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #513001=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #513002=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #513003=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #513004=CARTESIAN_POINT('Origin',(26.5428,18.4031,0.)); #513005=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #513006=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #513007=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #513008=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #513009=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #513010=CARTESIAN_POINT('Origin',(26.6952,18.4031,0.)); #513011=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #513012=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #513013=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #513014=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #513015=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #513016=CARTESIAN_POINT('Origin',(26.722669,18.397978,0.)); #513017=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #513018=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #513019=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #513020=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #513021=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #513022=CARTESIAN_POINT('Origin',(26.748216,18.381634,0.)); #513023=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #513024=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #513025=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #513026=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #513027=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #513028=CARTESIAN_POINT('Origin',(26.765366,18.356622,0.)); #513029=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #513030=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #513031=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #513032=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #513033=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #513034=CARTESIAN_POINT('Origin',(26.7714,18.3269,0.)); #513035=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #513036=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #513037=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #513038=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #513039=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #513040=CARTESIAN_POINT('Origin',(26.7714,16.155309,0.)); #513041=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #513042=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #513043=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #513044=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #513045=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #513046=CARTESIAN_POINT('Origin',(30.155309,12.7714,0.)); #513047=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #513048=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #513049=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #513050=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #513051=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #513052=CARTESIAN_POINT('Origin',(30.592603,12.7714,0.)); #513053=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #513054=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #513055=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #513056=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #513057=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #513058=CARTESIAN_POINT('Origin',(30.6212,12.765831,0.)); #513059=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #513060=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #513061=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #513062=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #513063=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #513064=CARTESIAN_POINT('Origin',(30.646484,12.749081,0.)); #513065=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #513066=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #513067=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #513068=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #513069=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #513070=CARTESIAN_POINT('Origin',(30.786884,12.608681,0.)); #513071=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #513072=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #513073=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #513074=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #513075=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #513076=CARTESIAN_POINT('Origin',(30.802184,12.586744,0.)); #513077=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #513078=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #513079=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #513080=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #513081=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #513082=CARTESIAN_POINT('Origin',(30.809166,12.557228,0.)); #513083=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #513084=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #513085=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #513086=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #513087=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #513088=CARTESIAN_POINT('Origin',(30.804081,12.527331,0.)); #513089=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #513090=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #513091=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #513092=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #513093=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #513094=CARTESIAN_POINT('Origin',(30.787738,12.501784,0.)); #513095=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #513096=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #513097=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #513098=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #513099=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #513100=CARTESIAN_POINT('Origin',(30.762725,12.484634,0.)); #513101=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #513102=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #513103=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.035)); #513104=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.)); #513105=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #513106=CARTESIAN_POINT('',(47.61,56.,0.)); #513107=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #513108=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #513109=CARTESIAN_POINT('',(47.61,56.,-200.)); #513110=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #513111=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #513112=CARTESIAN_POINT('',(47.61,55.25,0.)); #513113=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #513114=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #513115=CARTESIAN_POINT('',(47.61,55.25,-200.)); #513116=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #513117=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #513118=CARTESIAN_POINT('',(45.86,56.75,0.)); #513119=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #513120=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #513121=CARTESIAN_POINT('',(45.86,56.75,-200.)); #513122=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #513123=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #513124=CARTESIAN_POINT('',(45.86,55.25,0.)); #513125=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #513126=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #513127=CARTESIAN_POINT('',(45.86,55.25,-200.)); #513128=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #513129=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #513130=CARTESIAN_POINT('',(44.11,55.25,0.)); #513131=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #513132=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #513133=CARTESIAN_POINT('',(44.11,55.25,-200.)); #513134=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #513135=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #513136=CARTESIAN_POINT('',(44.11,56.75,0.)); #513137=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #513138=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #513139=CARTESIAN_POINT('',(44.11,56.75,-200.)); #513140=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #513141=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #513142=CARTESIAN_POINT('',(44.11,56.,0.)); #513143=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #513144=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #513145=CARTESIAN_POINT('',(44.11,56.,-200.)); #513146=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #513147=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #513148=CARTESIAN_POINT('',(45.86,56.,0.)); #513149=CARTESIAN_POINT('Origin',(46.,56.,0.)); #513150=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #513151=CARTESIAN_POINT('',(45.86,56.,-200.)); #513152=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #513153=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #513154=CARTESIAN_POINT('',(44.985,55.25,0.)); #513155=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #513156=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #513157=CARTESIAN_POINT('',(44.985,55.25,-200.)); #513158=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #513159=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #513160=CARTESIAN_POINT('',(44.985,56.,0.)); #513161=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #513162=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #513163=CARTESIAN_POINT('',(44.985,56.,-200.)); #513164=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #513165=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #513166=CARTESIAN_POINT('',(47.61,56.75,0.)); #513167=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #513168=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #513169=CARTESIAN_POINT('',(47.61,56.75,-200.)); #513170=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #513171=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #513172=CARTESIAN_POINT('',(44.985,56.75,0.)); #513173=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #513174=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #513175=CARTESIAN_POINT('',(44.985,56.75,-200.)); #513176=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #513177=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #513178=CARTESIAN_POINT('',(46.735,56.,0.)); #513179=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #513180=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #513181=CARTESIAN_POINT('',(46.735,56.,-200.)); #513182=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #513183=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #513184=CARTESIAN_POINT('',(46.735,55.25,0.)); #513185=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #513186=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #513187=CARTESIAN_POINT('',(46.735,55.25,-200.)); #513188=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #513189=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #513190=CARTESIAN_POINT('',(46.735,56.75,0.)); #513191=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #513192=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #513193=CARTESIAN_POINT('',(46.735,56.75,-200.)); #513194=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #513195=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #513196=CARTESIAN_POINT('',(39.872,52.5,0.)); #513197=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #513198=CARTESIAN_POINT('',(39.872,52.5,0.0349999999999895)); #513199=CARTESIAN_POINT('',(39.872,52.5,-200.)); #513200=CARTESIAN_POINT('Origin',(40.012,52.5,0.0349999999999895)); #513201=CARTESIAN_POINT('Origin',(47.3256,49.1016,0.)); #513202=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #513203=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #513204=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #513205=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #513206=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #513207=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #513208=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #513209=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #513210=CARTESIAN_POINT('Origin',(43.127,49.1016,0.)); #513211=CARTESIAN_POINT('',(43.127,49.1016,0.)); #513212=CARTESIAN_POINT('',(43.127,49.1016,0.)); #513213=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #513214=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #513215=CARTESIAN_POINT('',(43.127,49.1016,0.)); #513216=CARTESIAN_POINT('Origin',(43.081219,49.110138,0.)); #513217=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #513218=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #513219=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #513220=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #513221=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #513222=CARTESIAN_POINT('Origin',(43.038641,49.137378,0.)); #513223=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #513224=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #513225=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #513226=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #513227=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #513228=CARTESIAN_POINT('Origin',(43.010059,49.179066,0.)); #513229=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #513230=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #513231=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #513232=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #513233=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #513234=CARTESIAN_POINT('Origin',(43.,49.2286,0.)); #513235=CARTESIAN_POINT('',(43.,49.2286,0.)); #513236=CARTESIAN_POINT('',(43.,49.2286,0.)); #513237=CARTESIAN_POINT('',(43.,49.2286,0.035)); #513238=CARTESIAN_POINT('',(43.,49.2286,0.035)); #513239=CARTESIAN_POINT('',(43.,49.2286,0.)); #513240=CARTESIAN_POINT('Origin',(43.,49.866006,0.)); #513241=CARTESIAN_POINT('',(43.,49.866006,0.)); #513242=CARTESIAN_POINT('',(43.,49.866006,0.)); #513243=CARTESIAN_POINT('',(43.,49.866006,0.035)); #513244=CARTESIAN_POINT('',(43.,49.866006,0.035)); #513245=CARTESIAN_POINT('',(43.,49.866006,0.)); #513246=CARTESIAN_POINT('Origin',(42.998622,49.875663,0.)); #513247=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #513248=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #513249=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #513250=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #513251=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #513252=CARTESIAN_POINT('Origin',(42.9949,49.884263,0.)); #513253=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #513254=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #513255=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #513256=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #513257=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #513258=CARTESIAN_POINT('Origin',(42.988659,49.894906,0.)); #513259=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #513260=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #513261=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #513262=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #513263=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #513264=CARTESIAN_POINT('Origin',(42.988559,49.895403,0.)); #513265=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #513266=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #513267=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #513268=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #513269=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #513270=CARTESIAN_POINT('Origin',(41.641172,51.242791,0.)); #513271=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #513272=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #513273=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #513274=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #513275=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #513276=CARTESIAN_POINT('Origin',(41.639556,51.243556,0.)); #513277=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #513278=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #513279=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #513280=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #513281=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #513282=CARTESIAN_POINT('Origin',(41.639422,51.243219,0.)); #513283=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #513284=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #513285=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #513286=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #513287=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #513288=CARTESIAN_POINT('Origin',(41.62475,51.249025,0.)); #513289=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #513290=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #513291=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #513292=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #513293=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #513294=CARTESIAN_POINT('Origin',(41.617016,51.25,0.)); #513295=CARTESIAN_POINT('',(41.617016,51.25,0.)); #513296=CARTESIAN_POINT('',(41.617016,51.25,0.)); #513297=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #513298=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #513299=CARTESIAN_POINT('',(41.617016,51.25,0.)); #513300=CARTESIAN_POINT('Origin',(37.802606,51.25,0.)); #513301=CARTESIAN_POINT('',(37.802606,51.25,0.)); #513302=CARTESIAN_POINT('',(37.802606,51.25,0.)); #513303=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #513304=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #513305=CARTESIAN_POINT('',(37.802606,51.25,0.)); #513306=CARTESIAN_POINT('Origin',(37.754944,51.259284,0.)); #513307=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #513308=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #513309=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #513310=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #513311=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #513312=CARTESIAN_POINT('Origin',(37.712803,51.287197,0.)); #513313=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #513314=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #513315=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #513316=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #513317=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #513318=CARTESIAN_POINT('Origin',(37.037197,51.962803,0.)); #513319=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #513320=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #513321=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #513322=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #513323=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #513324=CARTESIAN_POINT('Origin',(37.010059,52.003072,0.)); #513325=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #513326=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #513327=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #513328=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #513329=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #513330=CARTESIAN_POINT('Origin',(37.,52.052606,0.)); #513331=CARTESIAN_POINT('',(37.,52.052606,0.)); #513332=CARTESIAN_POINT('',(37.,52.052606,0.)); #513333=CARTESIAN_POINT('',(37.,52.052606,0.035)); #513334=CARTESIAN_POINT('',(37.,52.052606,0.035)); #513335=CARTESIAN_POINT('',(37.,52.052606,0.)); #513336=CARTESIAN_POINT('Origin',(37.,57.947394,0.)); #513337=CARTESIAN_POINT('',(37.,57.947394,0.)); #513338=CARTESIAN_POINT('',(37.,57.947394,0.)); #513339=CARTESIAN_POINT('',(37.,57.947394,0.035)); #513340=CARTESIAN_POINT('',(37.,57.947394,0.035)); #513341=CARTESIAN_POINT('',(37.,57.947394,0.)); #513342=CARTESIAN_POINT('Origin',(37.009284,57.995056,0.)); #513343=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #513344=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #513345=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #513346=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #513347=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #513348=CARTESIAN_POINT('Origin',(37.037197,58.037197,0.)); #513349=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #513350=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #513351=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #513352=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #513353=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #513354=CARTESIAN_POINT('Origin',(37.712803,58.712803,0.)); #513355=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #513356=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #513357=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #513358=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #513359=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #513360=CARTESIAN_POINT('Origin',(37.753072,58.739941,0.)); #513361=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #513362=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #513363=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #513364=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #513365=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #513366=CARTESIAN_POINT('Origin',(37.802606,58.75,0.)); #513367=CARTESIAN_POINT('',(37.802606,58.75,0.)); #513368=CARTESIAN_POINT('',(37.802606,58.75,0.)); #513369=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #513370=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #513371=CARTESIAN_POINT('',(37.802606,58.75,0.)); #513372=CARTESIAN_POINT('Origin',(42.697394,58.75,0.)); #513373=CARTESIAN_POINT('',(42.697394,58.75,0.)); #513374=CARTESIAN_POINT('',(42.697394,58.75,0.)); #513375=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #513376=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #513377=CARTESIAN_POINT('',(42.697394,58.75,0.)); #513378=CARTESIAN_POINT('Origin',(42.745056,58.740716,0.)); #513379=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #513380=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #513381=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #513382=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #513383=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #513384=CARTESIAN_POINT('Origin',(42.787197,58.712803,0.)); #513385=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #513386=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #513387=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #513388=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #513389=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #513390=CARTESIAN_POINT('Origin',(43.493634,58.006366,0.)); #513391=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #513392=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #513393=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #513394=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #513395=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #513396=CARTESIAN_POINT('Origin',(43.500928,58.000891,0.)); #513397=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #513398=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #513399=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #513400=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #513401=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #513402=CARTESIAN_POINT('Origin',(43.507984,58.,0.)); #513403=CARTESIAN_POINT('',(43.507984,58.,0.)); #513404=CARTESIAN_POINT('',(43.507984,58.,0.)); #513405=CARTESIAN_POINT('',(43.507984,58.,0.035)); #513406=CARTESIAN_POINT('',(43.507984,58.,0.035)); #513407=CARTESIAN_POINT('',(43.507984,58.,0.)); #513408=CARTESIAN_POINT('Origin',(43.676869,58.,0.)); #513409=CARTESIAN_POINT('',(43.676869,58.,0.)); #513410=CARTESIAN_POINT('',(43.676869,58.,0.)); #513411=CARTESIAN_POINT('',(43.676869,58.,0.035)); #513412=CARTESIAN_POINT('',(43.676869,58.,0.035)); #513413=CARTESIAN_POINT('',(43.676869,58.,0.)); #513414=CARTESIAN_POINT('Origin',(43.689191,57.9976,0.)); #513415=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #513416=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #513417=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #513418=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #513419=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #513420=CARTESIAN_POINT('Origin',(44.501313,57.9976,0.)); #513421=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #513422=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #513423=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #513424=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #513425=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #513426=CARTESIAN_POINT('Origin',(44.513131,58.,0.)); #513427=CARTESIAN_POINT('',(44.513131,58.,0.)); #513428=CARTESIAN_POINT('',(44.513131,58.,0.)); #513429=CARTESIAN_POINT('',(44.513131,58.,0.035)); #513430=CARTESIAN_POINT('',(44.513131,58.,0.035)); #513431=CARTESIAN_POINT('',(44.513131,58.,0.)); #513432=CARTESIAN_POINT('Origin',(44.946869,58.,0.)); #513433=CARTESIAN_POINT('',(44.946869,58.,0.)); #513434=CARTESIAN_POINT('',(44.946869,58.,0.)); #513435=CARTESIAN_POINT('',(44.946869,58.,0.035)); #513436=CARTESIAN_POINT('',(44.946869,58.,0.035)); #513437=CARTESIAN_POINT('',(44.946869,58.,0.)); #513438=CARTESIAN_POINT('Origin',(44.959191,57.9976,0.)); #513439=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #513440=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #513441=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #513442=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #513443=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #513444=CARTESIAN_POINT('Origin',(45.771313,57.9976,0.)); #513445=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #513446=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #513447=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #513448=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #513449=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #513450=CARTESIAN_POINT('Origin',(45.783131,58.,0.)); #513451=CARTESIAN_POINT('',(45.783131,58.,0.)); #513452=CARTESIAN_POINT('',(45.783131,58.,0.)); #513453=CARTESIAN_POINT('',(45.783131,58.,0.035)); #513454=CARTESIAN_POINT('',(45.783131,58.,0.035)); #513455=CARTESIAN_POINT('',(45.783131,58.,0.)); #513456=CARTESIAN_POINT('Origin',(46.216869,58.,0.)); #513457=CARTESIAN_POINT('',(46.216869,58.,0.)); #513458=CARTESIAN_POINT('',(46.216869,58.,0.)); #513459=CARTESIAN_POINT('',(46.216869,58.,0.035)); #513460=CARTESIAN_POINT('',(46.216869,58.,0.035)); #513461=CARTESIAN_POINT('',(46.216869,58.,0.)); #513462=CARTESIAN_POINT('Origin',(46.229191,57.9976,0.)); #513463=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #513464=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #513465=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #513466=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #513467=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #513468=CARTESIAN_POINT('Origin',(47.041313,57.9976,0.)); #513469=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #513470=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #513471=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #513472=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #513473=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #513474=CARTESIAN_POINT('Origin',(47.053131,58.,0.)); #513475=CARTESIAN_POINT('',(47.053131,58.,0.)); #513476=CARTESIAN_POINT('',(47.053131,58.,0.)); #513477=CARTESIAN_POINT('',(47.053131,58.,0.035)); #513478=CARTESIAN_POINT('',(47.053131,58.,0.035)); #513479=CARTESIAN_POINT('',(47.053131,58.,0.)); #513480=CARTESIAN_POINT('Origin',(47.486869,58.,0.)); #513481=CARTESIAN_POINT('',(47.486869,58.,0.)); #513482=CARTESIAN_POINT('',(47.486869,58.,0.)); #513483=CARTESIAN_POINT('',(47.486869,58.,0.035)); #513484=CARTESIAN_POINT('',(47.486869,58.,0.035)); #513485=CARTESIAN_POINT('',(47.486869,58.,0.)); #513486=CARTESIAN_POINT('Origin',(47.499191,57.9976,0.)); #513487=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #513488=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #513489=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #513490=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #513491=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #513492=CARTESIAN_POINT('Origin',(48.311312,57.9976,0.)); #513493=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #513494=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #513495=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #513496=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #513497=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #513498=CARTESIAN_POINT('Origin',(48.323131,58.,0.)); #513499=CARTESIAN_POINT('',(48.323131,58.,0.)); #513500=CARTESIAN_POINT('',(48.323131,58.,0.)); #513501=CARTESIAN_POINT('',(48.323131,58.,0.035)); #513502=CARTESIAN_POINT('',(48.323131,58.,0.035)); #513503=CARTESIAN_POINT('',(48.323131,58.,0.)); #513504=CARTESIAN_POINT('Origin',(48.572394,58.,0.)); #513505=CARTESIAN_POINT('',(48.572394,58.,0.)); #513506=CARTESIAN_POINT('',(48.572394,58.,0.)); #513507=CARTESIAN_POINT('',(48.572394,58.,0.035)); #513508=CARTESIAN_POINT('',(48.572394,58.,0.035)); #513509=CARTESIAN_POINT('',(48.572394,58.,0.)); #513510=CARTESIAN_POINT('Origin',(48.620056,57.990716,0.)); #513511=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #513512=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #513513=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #513514=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #513515=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #513516=CARTESIAN_POINT('Origin',(48.662197,57.962803,0.)); #513517=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #513518=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #513519=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #513520=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #513521=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #513522=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #513523=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #513524=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #513525=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #513526=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #513527=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #513528=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #513529=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #513530=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #513531=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #513532=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #513533=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #513534=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #513535=CARTESIAN_POINT('',(49.625,56.947394,0.)); #513536=CARTESIAN_POINT('',(49.625,56.947394,0.)); #513537=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #513538=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #513539=CARTESIAN_POINT('',(49.625,56.947394,0.)); #513540=CARTESIAN_POINT('Origin',(49.625,49.2286,0.)); #513541=CARTESIAN_POINT('',(49.625,49.2286,0.)); #513542=CARTESIAN_POINT('',(49.625,49.2286,0.)); #513543=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #513544=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #513545=CARTESIAN_POINT('',(49.625,49.2286,0.)); #513546=CARTESIAN_POINT('Origin',(49.616463,49.182819,0.)); #513547=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #513548=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #513549=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #513550=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #513551=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #513552=CARTESIAN_POINT('Origin',(49.589222,49.140241,0.)); #513553=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #513554=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #513555=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #513556=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #513557=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #513558=CARTESIAN_POINT('Origin',(49.547534,49.111659,0.)); #513559=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #513560=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #513561=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #513562=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #513563=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #513564=CARTESIAN_POINT('Origin',(49.498,49.1016,0.)); #513565=CARTESIAN_POINT('',(49.498,49.1016,0.)); #513566=CARTESIAN_POINT('',(49.498,49.1016,0.)); #513567=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #513568=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #513569=CARTESIAN_POINT('',(49.498,49.1016,0.)); #513570=CARTESIAN_POINT('Origin',(48.4844,49.1016,0.)); #513571=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #513572=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #513573=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #513574=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #513575=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #513576=CARTESIAN_POINT('Origin',(48.438619,49.110138,0.)); #513577=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #513578=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #513579=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #513580=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #513581=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #513582=CARTESIAN_POINT('Origin',(48.396041,49.137378,0.)); #513583=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #513584=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #513585=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #513586=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #513587=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #513588=CARTESIAN_POINT('Origin',(48.367459,49.179066,0.)); #513589=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #513590=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #513591=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #513592=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #513593=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #513594=CARTESIAN_POINT('Origin',(48.3574,49.2286,0.)); #513595=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #513596=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #513597=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #513598=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #513599=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #513600=CARTESIAN_POINT('Origin',(48.3574,50.413125,0.)); #513601=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #513602=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #513603=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #513604=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #513605=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #513606=CARTESIAN_POINT('Origin',(48.268125,50.5024,0.)); #513607=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #513608=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #513609=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #513610=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #513611=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #513612=CARTESIAN_POINT('Origin',(47.541875,50.5024,0.)); #513613=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #513614=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #513615=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #513616=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #513617=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #513618=CARTESIAN_POINT('Origin',(47.4526,50.413125,0.)); #513619=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #513620=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #513621=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #513622=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #513623=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #513624=CARTESIAN_POINT('Origin',(47.4526,49.2286,0.)); #513625=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #513626=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #513627=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #513628=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #513629=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #513630=CARTESIAN_POINT('Origin',(47.444063,49.182819,0.)); #513631=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #513632=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #513633=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #513634=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #513635=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #513636=CARTESIAN_POINT('Origin',(47.416822,49.140241,0.)); #513637=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #513638=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #513639=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #513640=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #513641=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #513642=CARTESIAN_POINT('Origin',(47.375134,49.111659,0.)); #513643=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #513644=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #513645=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.035)); #513646=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.)); #513647=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #513648=CARTESIAN_POINT('',(21.86,55.25,0.)); #513649=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #513650=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #513651=CARTESIAN_POINT('',(21.86,55.25,-200.)); #513652=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #513653=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #513654=CARTESIAN_POINT('',(21.86,56.75,0.)); #513655=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #513656=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #513657=CARTESIAN_POINT('',(21.86,56.75,-200.)); #513658=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #513659=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #513660=CARTESIAN_POINT('',(20.11,56.,0.)); #513661=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #513662=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #513663=CARTESIAN_POINT('',(20.11,56.,-200.)); #513664=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #513665=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #513666=CARTESIAN_POINT('',(21.86,56.,0.)); #513667=CARTESIAN_POINT('Origin',(22.,56.,0.)); #513668=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #513669=CARTESIAN_POINT('',(21.86,56.,-200.)); #513670=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #513671=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #513672=CARTESIAN_POINT('',(20.11,56.75,0.)); #513673=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #513674=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #513675=CARTESIAN_POINT('',(20.11,56.75,-200.)); #513676=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #513677=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #513678=CARTESIAN_POINT('',(20.11,55.25,0.)); #513679=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #513680=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #513681=CARTESIAN_POINT('',(20.11,55.25,-200.)); #513682=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #513683=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #513684=CARTESIAN_POINT('',(20.985,56.,0.)); #513685=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #513686=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #513687=CARTESIAN_POINT('',(20.985,56.,-200.)); #513688=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #513689=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #513690=CARTESIAN_POINT('',(20.985,55.25,0.)); #513691=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #513692=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #513693=CARTESIAN_POINT('',(20.985,55.25,-200.)); #513694=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #513695=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #513696=CARTESIAN_POINT('',(22.735,56.,0.)); #513697=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #513698=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #513699=CARTESIAN_POINT('',(22.735,56.,-200.)); #513700=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #513701=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #513702=CARTESIAN_POINT('',(22.735,55.25,0.)); #513703=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #513704=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #513705=CARTESIAN_POINT('',(22.735,55.25,-200.)); #513706=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #513707=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #513708=CARTESIAN_POINT('',(23.61,56.,0.)); #513709=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #513710=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #513711=CARTESIAN_POINT('',(23.61,56.,-200.)); #513712=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #513713=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #513714=CARTESIAN_POINT('',(23.61,55.25,0.)); #513715=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #513716=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #513717=CARTESIAN_POINT('',(23.61,55.25,-200.)); #513718=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #513719=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #513720=CARTESIAN_POINT('',(23.61,56.75,0.)); #513721=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #513722=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #513723=CARTESIAN_POINT('',(23.61,56.75,-200.)); #513724=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #513725=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #513726=CARTESIAN_POINT('',(22.735,56.75,0.)); #513727=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #513728=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #513729=CARTESIAN_POINT('',(22.735,56.75,-200.)); #513730=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #513731=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #513732=CARTESIAN_POINT('',(20.985,56.75,0.)); #513733=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #513734=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #513735=CARTESIAN_POINT('',(20.985,56.75,-200.)); #513736=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #513737=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #513738=CARTESIAN_POINT('',(27.848,52.5,0.)); #513739=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #513740=CARTESIAN_POINT('',(27.848,52.5,0.0349999999999895)); #513741=CARTESIAN_POINT('',(27.848,52.5,-200.)); #513742=CARTESIAN_POINT('Origin',(27.988,52.5,0.0349999999999895)); #513743=CARTESIAN_POINT('Origin',(23.3256,49.1016,0.)); #513744=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #513745=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #513746=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #513747=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #513748=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #513749=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #513750=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #513751=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #513752=CARTESIAN_POINT('Origin',(18.502,49.1016,0.)); #513753=CARTESIAN_POINT('',(18.502,49.1016,0.)); #513754=CARTESIAN_POINT('',(18.502,49.1016,0.)); #513755=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #513756=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #513757=CARTESIAN_POINT('',(18.502,49.1016,0.)); #513758=CARTESIAN_POINT('Origin',(18.456219,49.110138,0.)); #513759=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #513760=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #513761=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #513762=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #513763=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #513764=CARTESIAN_POINT('Origin',(18.413641,49.137378,0.)); #513765=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #513766=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #513767=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #513768=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #513769=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #513770=CARTESIAN_POINT('Origin',(18.385059,49.179066,0.)); #513771=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #513772=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #513773=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #513774=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #513775=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #513776=CARTESIAN_POINT('Origin',(18.375,49.2286,0.)); #513777=CARTESIAN_POINT('',(18.375,49.2286,0.)); #513778=CARTESIAN_POINT('',(18.375,49.2286,0.)); #513779=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #513780=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #513781=CARTESIAN_POINT('',(18.375,49.2286,0.)); #513782=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #513783=CARTESIAN_POINT('',(18.375,56.947394,0.)); #513784=CARTESIAN_POINT('',(18.375,56.947394,0.)); #513785=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #513786=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #513787=CARTESIAN_POINT('',(18.375,56.947394,0.)); #513788=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #513789=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #513790=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #513791=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #513792=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #513793=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #513794=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #513795=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #513796=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #513797=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #513798=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #513799=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #513800=CARTESIAN_POINT('Origin',(19.337803,57.962803,0.)); #513801=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #513802=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #513803=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #513804=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #513805=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #513806=CARTESIAN_POINT('Origin',(19.378072,57.989941,0.)); #513807=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #513808=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #513809=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #513810=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #513811=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #513812=CARTESIAN_POINT('Origin',(19.427606,58.,0.)); #513813=CARTESIAN_POINT('',(19.427606,58.,0.)); #513814=CARTESIAN_POINT('',(19.427606,58.,0.)); #513815=CARTESIAN_POINT('',(19.427606,58.,0.035)); #513816=CARTESIAN_POINT('',(19.427606,58.,0.035)); #513817=CARTESIAN_POINT('',(19.427606,58.,0.)); #513818=CARTESIAN_POINT('Origin',(19.676869,58.,0.)); #513819=CARTESIAN_POINT('',(19.676869,58.,0.)); #513820=CARTESIAN_POINT('',(19.676869,58.,0.)); #513821=CARTESIAN_POINT('',(19.676869,58.,0.035)); #513822=CARTESIAN_POINT('',(19.676869,58.,0.035)); #513823=CARTESIAN_POINT('',(19.676869,58.,0.)); #513824=CARTESIAN_POINT('Origin',(19.689191,57.9976,0.)); #513825=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #513826=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #513827=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #513828=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #513829=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #513830=CARTESIAN_POINT('Origin',(20.501313,57.9976,0.)); #513831=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #513832=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #513833=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #513834=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #513835=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #513836=CARTESIAN_POINT('Origin',(20.513131,58.,0.)); #513837=CARTESIAN_POINT('',(20.513131,58.,0.)); #513838=CARTESIAN_POINT('',(20.513131,58.,0.)); #513839=CARTESIAN_POINT('',(20.513131,58.,0.035)); #513840=CARTESIAN_POINT('',(20.513131,58.,0.035)); #513841=CARTESIAN_POINT('',(20.513131,58.,0.)); #513842=CARTESIAN_POINT('Origin',(20.946869,58.,0.)); #513843=CARTESIAN_POINT('',(20.946869,58.,0.)); #513844=CARTESIAN_POINT('',(20.946869,58.,0.)); #513845=CARTESIAN_POINT('',(20.946869,58.,0.035)); #513846=CARTESIAN_POINT('',(20.946869,58.,0.035)); #513847=CARTESIAN_POINT('',(20.946869,58.,0.)); #513848=CARTESIAN_POINT('Origin',(20.959191,57.9976,0.)); #513849=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #513850=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #513851=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #513852=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #513853=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #513854=CARTESIAN_POINT('Origin',(21.771313,57.9976,0.)); #513855=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #513856=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #513857=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #513858=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #513859=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #513860=CARTESIAN_POINT('Origin',(21.783131,58.,0.)); #513861=CARTESIAN_POINT('',(21.783131,58.,0.)); #513862=CARTESIAN_POINT('',(21.783131,58.,0.)); #513863=CARTESIAN_POINT('',(21.783131,58.,0.035)); #513864=CARTESIAN_POINT('',(21.783131,58.,0.035)); #513865=CARTESIAN_POINT('',(21.783131,58.,0.)); #513866=CARTESIAN_POINT('Origin',(22.216869,58.,0.)); #513867=CARTESIAN_POINT('',(22.216869,58.,0.)); #513868=CARTESIAN_POINT('',(22.216869,58.,0.)); #513869=CARTESIAN_POINT('',(22.216869,58.,0.035)); #513870=CARTESIAN_POINT('',(22.216869,58.,0.035)); #513871=CARTESIAN_POINT('',(22.216869,58.,0.)); #513872=CARTESIAN_POINT('Origin',(22.229191,57.9976,0.)); #513873=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #513874=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #513875=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #513876=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #513877=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #513878=CARTESIAN_POINT('Origin',(23.041313,57.9976,0.)); #513879=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #513880=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #513881=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #513882=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #513883=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #513884=CARTESIAN_POINT('Origin',(23.053131,58.,0.)); #513885=CARTESIAN_POINT('',(23.053131,58.,0.)); #513886=CARTESIAN_POINT('',(23.053131,58.,0.)); #513887=CARTESIAN_POINT('',(23.053131,58.,0.035)); #513888=CARTESIAN_POINT('',(23.053131,58.,0.035)); #513889=CARTESIAN_POINT('',(23.053131,58.,0.)); #513890=CARTESIAN_POINT('Origin',(23.486869,58.,0.)); #513891=CARTESIAN_POINT('',(23.486869,58.,0.)); #513892=CARTESIAN_POINT('',(23.486869,58.,0.)); #513893=CARTESIAN_POINT('',(23.486869,58.,0.035)); #513894=CARTESIAN_POINT('',(23.486869,58.,0.035)); #513895=CARTESIAN_POINT('',(23.486869,58.,0.)); #513896=CARTESIAN_POINT('Origin',(23.499191,57.9976,0.)); #513897=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #513898=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #513899=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #513900=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #513901=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #513902=CARTESIAN_POINT('Origin',(24.311312,57.9976,0.)); #513903=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #513904=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #513905=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #513906=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #513907=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #513908=CARTESIAN_POINT('Origin',(24.323131,58.,0.)); #513909=CARTESIAN_POINT('',(24.323131,58.,0.)); #513910=CARTESIAN_POINT('',(24.323131,58.,0.)); #513911=CARTESIAN_POINT('',(24.323131,58.,0.035)); #513912=CARTESIAN_POINT('',(24.323131,58.,0.035)); #513913=CARTESIAN_POINT('',(24.323131,58.,0.)); #513914=CARTESIAN_POINT('Origin',(24.491006,58.,0.)); #513915=CARTESIAN_POINT('',(24.491006,58.,0.)); #513916=CARTESIAN_POINT('',(24.491006,58.,0.)); #513917=CARTESIAN_POINT('',(24.491006,58.,0.035)); #513918=CARTESIAN_POINT('',(24.491006,58.,0.035)); #513919=CARTESIAN_POINT('',(24.491006,58.,0.)); #513920=CARTESIAN_POINT('Origin',(24.500025,58.001284,0.)); #513921=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #513922=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #513923=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #513924=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #513925=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #513926=CARTESIAN_POINT('Origin',(24.505653,58.005653,0.)); #513927=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #513928=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #513929=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #513930=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #513931=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #513932=CARTESIAN_POINT('Origin',(25.212803,58.712803,0.)); #513933=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #513934=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #513935=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #513936=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #513937=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #513938=CARTESIAN_POINT('Origin',(25.253072,58.739941,0.)); #513939=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #513940=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #513941=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #513942=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #513943=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #513944=CARTESIAN_POINT('Origin',(25.302606,58.75,0.)); #513945=CARTESIAN_POINT('',(25.302606,58.75,0.)); #513946=CARTESIAN_POINT('',(25.302606,58.75,0.)); #513947=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #513948=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #513949=CARTESIAN_POINT('',(25.302606,58.75,0.)); #513950=CARTESIAN_POINT('Origin',(30.197394,58.75,0.)); #513951=CARTESIAN_POINT('',(30.197394,58.75,0.)); #513952=CARTESIAN_POINT('',(30.197394,58.75,0.)); #513953=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #513954=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #513955=CARTESIAN_POINT('',(30.197394,58.75,0.)); #513956=CARTESIAN_POINT('Origin',(30.245056,58.740716,0.)); #513957=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #513958=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #513959=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #513960=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #513961=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #513962=CARTESIAN_POINT('Origin',(30.287197,58.712803,0.)); #513963=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #513964=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #513965=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #513966=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #513967=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #513968=CARTESIAN_POINT('Origin',(30.962803,58.037197,0.)); #513969=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #513970=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #513971=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #513972=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #513973=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #513974=CARTESIAN_POINT('Origin',(30.989941,57.996928,0.)); #513975=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #513976=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #513977=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #513978=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #513979=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #513980=CARTESIAN_POINT('Origin',(31.,57.947394,0.)); #513981=CARTESIAN_POINT('',(31.,57.947394,0.)); #513982=CARTESIAN_POINT('',(31.,57.947394,0.)); #513983=CARTESIAN_POINT('',(31.,57.947394,0.035)); #513984=CARTESIAN_POINT('',(31.,57.947394,0.035)); #513985=CARTESIAN_POINT('',(31.,57.947394,0.)); #513986=CARTESIAN_POINT('Origin',(31.,52.052606,0.)); #513987=CARTESIAN_POINT('',(31.,52.052606,0.)); #513988=CARTESIAN_POINT('',(31.,52.052606,0.)); #513989=CARTESIAN_POINT('',(31.,52.052606,0.035)); #513990=CARTESIAN_POINT('',(31.,52.052606,0.035)); #513991=CARTESIAN_POINT('',(31.,52.052606,0.)); #513992=CARTESIAN_POINT('Origin',(30.990716,52.004944,0.)); #513993=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #513994=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #513995=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #513996=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #513997=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #513998=CARTESIAN_POINT('Origin',(30.962803,51.962803,0.)); #513999=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #514000=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #514001=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #514002=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #514003=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #514004=CARTESIAN_POINT('Origin',(30.287197,51.287197,0.)); #514005=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #514006=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #514007=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #514008=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #514009=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #514010=CARTESIAN_POINT('Origin',(30.246928,51.260059,0.)); #514011=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #514012=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #514013=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #514014=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #514015=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #514016=CARTESIAN_POINT('Origin',(30.197394,51.25,0.)); #514017=CARTESIAN_POINT('',(30.197394,51.25,0.)); #514018=CARTESIAN_POINT('',(30.197394,51.25,0.)); #514019=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #514020=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #514021=CARTESIAN_POINT('',(30.197394,51.25,0.)); #514022=CARTESIAN_POINT('Origin',(26.383994,51.25,0.)); #514023=CARTESIAN_POINT('',(26.383994,51.25,0.)); #514024=CARTESIAN_POINT('',(26.383994,51.25,0.)); #514025=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #514026=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #514027=CARTESIAN_POINT('',(26.383994,51.25,0.)); #514028=CARTESIAN_POINT('Origin',(26.374975,51.248716,0.)); #514029=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #514030=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #514031=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #514032=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #514033=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #514034=CARTESIAN_POINT('Origin',(26.369347,51.244347,0.)); #514035=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #514036=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #514037=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #514038=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #514039=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #514040=CARTESIAN_POINT('Origin',(25.006366,49.881366,0.)); #514041=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #514042=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #514043=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #514044=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #514045=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #514046=CARTESIAN_POINT('Origin',(25.000891,49.874072,0.)); #514047=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #514048=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #514049=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #514050=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #514051=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #514052=CARTESIAN_POINT('Origin',(25.,49.867016,0.)); #514053=CARTESIAN_POINT('',(25.,49.867016,0.)); #514054=CARTESIAN_POINT('',(25.,49.867016,0.)); #514055=CARTESIAN_POINT('',(25.,49.867016,0.035)); #514056=CARTESIAN_POINT('',(25.,49.867016,0.035)); #514057=CARTESIAN_POINT('',(25.,49.867016,0.)); #514058=CARTESIAN_POINT('Origin',(25.,49.2286,0.)); #514059=CARTESIAN_POINT('',(25.,49.2286,0.)); #514060=CARTESIAN_POINT('',(25.,49.2286,0.)); #514061=CARTESIAN_POINT('',(25.,49.2286,0.035)); #514062=CARTESIAN_POINT('',(25.,49.2286,0.035)); #514063=CARTESIAN_POINT('',(25.,49.2286,0.)); #514064=CARTESIAN_POINT('Origin',(24.991463,49.182819,0.)); #514065=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #514066=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #514067=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #514068=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #514069=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #514070=CARTESIAN_POINT('Origin',(24.964222,49.140241,0.)); #514071=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #514072=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #514073=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #514074=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #514075=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #514076=CARTESIAN_POINT('Origin',(24.922534,49.111659,0.)); #514077=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #514078=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #514079=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #514080=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #514081=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #514082=CARTESIAN_POINT('Origin',(24.873,49.1016,0.)); #514083=CARTESIAN_POINT('',(24.873,49.1016,0.)); #514084=CARTESIAN_POINT('',(24.873,49.1016,0.)); #514085=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #514086=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #514087=CARTESIAN_POINT('',(24.873,49.1016,0.)); #514088=CARTESIAN_POINT('Origin',(24.4844,49.1016,0.)); #514089=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #514090=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #514091=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #514092=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #514093=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #514094=CARTESIAN_POINT('Origin',(24.438619,49.110138,0.)); #514095=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #514096=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #514097=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #514098=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #514099=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #514100=CARTESIAN_POINT('Origin',(24.396041,49.137378,0.)); #514101=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #514102=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #514103=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #514104=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #514105=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #514106=CARTESIAN_POINT('Origin',(24.367459,49.179066,0.)); #514107=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #514108=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #514109=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #514110=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #514111=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #514112=CARTESIAN_POINT('Origin',(24.3574,49.2286,0.)); #514113=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #514114=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #514115=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #514116=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #514117=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #514118=CARTESIAN_POINT('Origin',(24.3574,50.413125,0.)); #514119=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #514120=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #514121=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #514122=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #514123=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #514124=CARTESIAN_POINT('Origin',(24.268125,50.5024,0.)); #514125=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #514126=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #514127=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #514128=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #514129=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #514130=CARTESIAN_POINT('Origin',(23.541875,50.5024,0.)); #514131=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #514132=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #514133=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #514134=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #514135=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #514136=CARTESIAN_POINT('Origin',(23.4526,50.413125,0.)); #514137=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #514138=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #514139=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #514140=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #514141=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #514142=CARTESIAN_POINT('Origin',(23.4526,49.2286,0.)); #514143=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #514144=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #514145=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #514146=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #514147=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #514148=CARTESIAN_POINT('Origin',(23.444063,49.182819,0.)); #514149=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #514150=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #514151=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #514152=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #514153=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #514154=CARTESIAN_POINT('Origin',(23.416822,49.140241,0.)); #514155=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #514156=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #514157=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #514158=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #514159=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #514160=CARTESIAN_POINT('Origin',(23.375134,49.111659,0.)); #514161=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #514162=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #514163=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.035)); #514164=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.)); #514165=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #514166=CARTESIAN_POINT('',(16.485,47.,0.)); #514167=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #514168=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #514169=CARTESIAN_POINT('',(16.485,47.,-200.)); #514170=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #514171=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #514172=CARTESIAN_POINT('',(16.485,46.25,0.)); #514173=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #514174=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #514175=CARTESIAN_POINT('',(16.485,46.25,-200.)); #514176=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #514177=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #514178=CARTESIAN_POINT('',(15.61,47.,0.)); #514179=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #514180=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #514181=CARTESIAN_POINT('',(15.61,47.,-200.)); #514182=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #514183=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #514184=CARTESIAN_POINT('',(15.61,46.25,0.)); #514185=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #514186=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #514187=CARTESIAN_POINT('',(15.61,46.25,-200.)); #514188=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #514189=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #514190=CARTESIAN_POINT('',(15.61,47.75,0.)); #514191=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #514192=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #514193=CARTESIAN_POINT('',(15.61,47.75,-200.)); #514194=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #514195=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #514196=CARTESIAN_POINT('',(16.485,47.75,0.)); #514197=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #514198=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #514199=CARTESIAN_POINT('',(16.485,47.75,-200.)); #514200=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #514201=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #514202=CARTESIAN_POINT('',(19.235,47.,0.)); #514203=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #514204=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #514205=CARTESIAN_POINT('',(19.235,47.,-200.)); #514206=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #514207=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #514208=CARTESIAN_POINT('',(19.235,47.75,0.)); #514209=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #514210=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #514211=CARTESIAN_POINT('',(19.235,47.75,-200.)); #514212=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #514213=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #514214=CARTESIAN_POINT('',(22.735,47.,0.)); #514215=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #514216=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #514217=CARTESIAN_POINT('',(22.735,47.,-200.)); #514218=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #514219=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #514220=CARTESIAN_POINT('',(22.735,47.75,0.)); #514221=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #514222=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #514223=CARTESIAN_POINT('',(22.735,47.75,-200.)); #514224=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #514225=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #514226=CARTESIAN_POINT('',(17.36,47.75,0.)); #514227=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #514228=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #514229=CARTESIAN_POINT('',(17.36,47.75,-200.)); #514230=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #514231=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #514232=CARTESIAN_POINT('',(19.235,46.25,0.)); #514233=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #514234=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #514235=CARTESIAN_POINT('',(19.235,46.25,-200.)); #514236=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #514237=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #514238=CARTESIAN_POINT('',(17.36,47.,0.)); #514239=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #514240=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #514241=CARTESIAN_POINT('',(17.36,47.,-200.)); #514242=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #514243=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #514244=CARTESIAN_POINT('',(17.36,46.25,0.)); #514245=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #514246=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #514247=CARTESIAN_POINT('',(17.36,46.25,-200.)); #514248=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #514249=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #514250=CARTESIAN_POINT('',(21.86,46.25,0.)); #514251=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #514252=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #514253=CARTESIAN_POINT('',(21.86,46.25,-200.)); #514254=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #514255=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #514256=CARTESIAN_POINT('',(23.61,46.25,0.)); #514257=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #514258=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #514259=CARTESIAN_POINT('',(23.61,46.25,-200.)); #514260=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #514261=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #514262=CARTESIAN_POINT('',(20.11,47.75,0.)); #514263=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #514264=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #514265=CARTESIAN_POINT('',(20.11,47.75,-200.)); #514266=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #514267=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #514268=CARTESIAN_POINT('',(23.61,47.75,0.)); #514269=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #514270=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #514271=CARTESIAN_POINT('',(23.61,47.75,-200.)); #514272=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #514273=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #514274=CARTESIAN_POINT('',(18.36,46.25,0.)); #514275=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #514276=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #514277=CARTESIAN_POINT('',(18.36,46.25,-200.)); #514278=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #514279=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #514280=CARTESIAN_POINT('',(18.36,47.,0.)); #514281=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #514282=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #514283=CARTESIAN_POINT('',(18.36,47.,-200.)); #514284=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #514285=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #514286=CARTESIAN_POINT('',(18.36,47.75,0.)); #514287=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #514288=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #514289=CARTESIAN_POINT('',(18.36,47.75,-200.)); #514290=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #514291=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #514292=CARTESIAN_POINT('',(20.11,46.25,0.)); #514293=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #514294=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #514295=CARTESIAN_POINT('',(20.11,46.25,-200.)); #514296=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #514297=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #514298=CARTESIAN_POINT('',(21.86,47.75,0.)); #514299=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #514300=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #514301=CARTESIAN_POINT('',(21.86,47.75,-200.)); #514302=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #514303=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #514304=CARTESIAN_POINT('',(21.86,47.,0.)); #514305=CARTESIAN_POINT('Origin',(22.,47.,0.)); #514306=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #514307=CARTESIAN_POINT('',(21.86,47.,-200.)); #514308=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #514309=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #514310=CARTESIAN_POINT('',(20.11,47.,0.)); #514311=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #514312=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #514313=CARTESIAN_POINT('',(20.11,47.,-200.)); #514314=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #514315=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #514316=CARTESIAN_POINT('',(23.61,47.,0.)); #514317=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #514318=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #514319=CARTESIAN_POINT('',(23.61,47.,-200.)); #514320=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #514321=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #514322=CARTESIAN_POINT('',(20.985,47.,0.)); #514323=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #514324=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #514325=CARTESIAN_POINT('',(20.985,47.,-200.)); #514326=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #514327=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #514328=CARTESIAN_POINT('',(20.985,47.75,0.)); #514329=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #514330=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #514331=CARTESIAN_POINT('',(20.985,47.75,-200.)); #514332=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #514333=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #514334=CARTESIAN_POINT('',(20.985,46.25,0.)); #514335=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #514336=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #514337=CARTESIAN_POINT('',(20.985,46.25,-200.)); #514338=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #514339=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #514340=CARTESIAN_POINT('',(22.735,46.25,0.)); #514341=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #514342=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #514343=CARTESIAN_POINT('',(22.735,46.25,-200.)); #514344=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #514345=CARTESIAN_POINT('Origin',(18.947394,40.75,0.)); #514346=CARTESIAN_POINT('',(18.947394,40.75,0.)); #514347=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #514348=CARTESIAN_POINT('',(18.947394,40.75,0.)); #514349=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #514350=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #514351=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #514352=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #514353=CARTESIAN_POINT('',(18.947394,40.75,0.)); #514354=CARTESIAN_POINT('Origin',(14.802606,40.75,0.)); #514355=CARTESIAN_POINT('',(14.802606,40.75,0.)); #514356=CARTESIAN_POINT('',(14.802606,40.75,0.)); #514357=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #514358=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #514359=CARTESIAN_POINT('',(14.802606,40.75,0.)); #514360=CARTESIAN_POINT('Origin',(14.754944,40.759284,0.)); #514361=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #514362=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #514363=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #514364=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #514365=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #514366=CARTESIAN_POINT('Origin',(14.712803,40.787197,0.)); #514367=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #514368=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #514369=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #514370=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #514371=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #514372=CARTESIAN_POINT('Origin',(14.037197,41.462803,0.)); #514373=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #514374=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #514375=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #514376=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #514377=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #514378=CARTESIAN_POINT('Origin',(14.010059,41.503072,0.)); #514379=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #514380=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #514381=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #514382=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #514383=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #514384=CARTESIAN_POINT('Origin',(14.,41.552606,0.)); #514385=CARTESIAN_POINT('',(14.,41.552606,0.)); #514386=CARTESIAN_POINT('',(14.,41.552606,0.)); #514387=CARTESIAN_POINT('',(14.,41.552606,0.035)); #514388=CARTESIAN_POINT('',(14.,41.552606,0.035)); #514389=CARTESIAN_POINT('',(14.,41.552606,0.)); #514390=CARTESIAN_POINT('Origin',(14.,48.197394,0.)); #514391=CARTESIAN_POINT('',(14.,48.197394,0.)); #514392=CARTESIAN_POINT('',(14.,48.197394,0.)); #514393=CARTESIAN_POINT('',(14.,48.197394,0.035)); #514394=CARTESIAN_POINT('',(14.,48.197394,0.035)); #514395=CARTESIAN_POINT('',(14.,48.197394,0.)); #514396=CARTESIAN_POINT('Origin',(14.009284,48.245056,0.)); #514397=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #514398=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #514399=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #514400=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #514401=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #514402=CARTESIAN_POINT('Origin',(14.037197,48.287197,0.)); #514403=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #514404=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #514405=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #514406=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #514407=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #514408=CARTESIAN_POINT('Origin',(14.712803,48.962803,0.)); #514409=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #514410=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #514411=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #514412=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #514413=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #514414=CARTESIAN_POINT('Origin',(14.753072,48.989941,0.)); #514415=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #514416=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #514417=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #514418=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #514419=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #514420=CARTESIAN_POINT('Origin',(14.802606,49.,0.)); #514421=CARTESIAN_POINT('',(14.802606,49.,0.)); #514422=CARTESIAN_POINT('',(14.802606,49.,0.)); #514423=CARTESIAN_POINT('',(14.802606,49.,0.035)); #514424=CARTESIAN_POINT('',(14.802606,49.,0.035)); #514425=CARTESIAN_POINT('',(14.802606,49.,0.)); #514426=CARTESIAN_POINT('Origin',(19.676869,49.,0.)); #514427=CARTESIAN_POINT('',(19.676869,49.,0.)); #514428=CARTESIAN_POINT('',(19.676869,49.,0.)); #514429=CARTESIAN_POINT('',(19.676869,49.,0.035)); #514430=CARTESIAN_POINT('',(19.676869,49.,0.035)); #514431=CARTESIAN_POINT('',(19.676869,49.,0.)); #514432=CARTESIAN_POINT('Origin',(19.689191,48.9976,0.)); #514433=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #514434=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #514435=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #514436=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #514437=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #514438=CARTESIAN_POINT('Origin',(20.501313,48.9976,0.)); #514439=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #514440=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #514441=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #514442=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #514443=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #514444=CARTESIAN_POINT('Origin',(20.513131,49.,0.)); #514445=CARTESIAN_POINT('',(20.513131,49.,0.)); #514446=CARTESIAN_POINT('',(20.513131,49.,0.)); #514447=CARTESIAN_POINT('',(20.513131,49.,0.035)); #514448=CARTESIAN_POINT('',(20.513131,49.,0.035)); #514449=CARTESIAN_POINT('',(20.513131,49.,0.)); #514450=CARTESIAN_POINT('Origin',(20.946869,49.,0.)); #514451=CARTESIAN_POINT('',(20.946869,49.,0.)); #514452=CARTESIAN_POINT('',(20.946869,49.,0.)); #514453=CARTESIAN_POINT('',(20.946869,49.,0.035)); #514454=CARTESIAN_POINT('',(20.946869,49.,0.035)); #514455=CARTESIAN_POINT('',(20.946869,49.,0.)); #514456=CARTESIAN_POINT('Origin',(20.959191,48.9976,0.)); #514457=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #514458=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #514459=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #514460=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #514461=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #514462=CARTESIAN_POINT('Origin',(21.771313,48.9976,0.)); #514463=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #514464=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #514465=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #514466=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #514467=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #514468=CARTESIAN_POINT('Origin',(21.783131,49.,0.)); #514469=CARTESIAN_POINT('',(21.783131,49.,0.)); #514470=CARTESIAN_POINT('',(21.783131,49.,0.)); #514471=CARTESIAN_POINT('',(21.783131,49.,0.035)); #514472=CARTESIAN_POINT('',(21.783131,49.,0.035)); #514473=CARTESIAN_POINT('',(21.783131,49.,0.)); #514474=CARTESIAN_POINT('Origin',(22.216869,49.,0.)); #514475=CARTESIAN_POINT('',(22.216869,49.,0.)); #514476=CARTESIAN_POINT('',(22.216869,49.,0.)); #514477=CARTESIAN_POINT('',(22.216869,49.,0.035)); #514478=CARTESIAN_POINT('',(22.216869,49.,0.035)); #514479=CARTESIAN_POINT('',(22.216869,49.,0.)); #514480=CARTESIAN_POINT('Origin',(22.229191,48.9976,0.)); #514481=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #514482=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #514483=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #514484=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #514485=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #514486=CARTESIAN_POINT('Origin',(23.041313,48.9976,0.)); #514487=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #514488=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #514489=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #514490=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #514491=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #514492=CARTESIAN_POINT('Origin',(23.053131,49.,0.)); #514493=CARTESIAN_POINT('',(23.053131,49.,0.)); #514494=CARTESIAN_POINT('',(23.053131,49.,0.)); #514495=CARTESIAN_POINT('',(23.053131,49.,0.035)); #514496=CARTESIAN_POINT('',(23.053131,49.,0.035)); #514497=CARTESIAN_POINT('',(23.053131,49.,0.)); #514498=CARTESIAN_POINT('Origin',(23.486869,49.,0.)); #514499=CARTESIAN_POINT('',(23.486869,49.,0.)); #514500=CARTESIAN_POINT('',(23.486869,49.,0.)); #514501=CARTESIAN_POINT('',(23.486869,49.,0.035)); #514502=CARTESIAN_POINT('',(23.486869,49.,0.035)); #514503=CARTESIAN_POINT('',(23.486869,49.,0.)); #514504=CARTESIAN_POINT('Origin',(23.499191,48.9976,0.)); #514505=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #514506=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #514507=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #514508=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #514509=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #514510=CARTESIAN_POINT('Origin',(24.311312,48.9976,0.)); #514511=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #514512=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #514513=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #514514=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #514515=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #514516=CARTESIAN_POINT('Origin',(24.323131,49.,0.)); #514517=CARTESIAN_POINT('',(24.323131,49.,0.)); #514518=CARTESIAN_POINT('',(24.323131,49.,0.)); #514519=CARTESIAN_POINT('',(24.323131,49.,0.035)); #514520=CARTESIAN_POINT('',(24.323131,49.,0.035)); #514521=CARTESIAN_POINT('',(24.323131,49.,0.)); #514522=CARTESIAN_POINT('Origin',(24.873,49.,0.)); #514523=CARTESIAN_POINT('',(24.873,49.,0.)); #514524=CARTESIAN_POINT('',(24.873,49.,0.)); #514525=CARTESIAN_POINT('',(24.873,49.,0.035)); #514526=CARTESIAN_POINT('',(24.873,49.,0.035)); #514527=CARTESIAN_POINT('',(24.873,49.,0.)); #514528=CARTESIAN_POINT('Origin',(24.918781,48.991463,0.)); #514529=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #514530=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #514531=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #514532=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #514533=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #514534=CARTESIAN_POINT('Origin',(24.961359,48.964222,0.)); #514535=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #514536=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #514537=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #514538=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #514539=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #514540=CARTESIAN_POINT('Origin',(24.989941,48.922534,0.)); #514541=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #514542=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #514543=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #514544=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #514545=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #514546=CARTESIAN_POINT('Origin',(25.,48.873,0.)); #514547=CARTESIAN_POINT('',(25.,48.873,0.)); #514548=CARTESIAN_POINT('',(25.,48.873,0.)); #514549=CARTESIAN_POINT('',(25.,48.873,0.035)); #514550=CARTESIAN_POINT('',(25.,48.873,0.035)); #514551=CARTESIAN_POINT('',(25.,48.873,0.)); #514552=CARTESIAN_POINT('Origin',(25.,43.302606,0.)); #514553=CARTESIAN_POINT('',(25.,43.302606,0.)); #514554=CARTESIAN_POINT('',(25.,43.302606,0.)); #514555=CARTESIAN_POINT('',(25.,43.302606,0.035)); #514556=CARTESIAN_POINT('',(25.,43.302606,0.035)); #514557=CARTESIAN_POINT('',(25.,43.302606,0.)); #514558=CARTESIAN_POINT('Origin',(24.990716,43.254944,0.)); #514559=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #514560=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #514561=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #514562=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #514563=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #514564=CARTESIAN_POINT('Origin',(24.962803,43.212803,0.)); #514565=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #514566=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #514567=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #514568=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #514569=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #514570=CARTESIAN_POINT('Origin',(24.287197,42.537197,0.)); #514571=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #514572=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #514573=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #514574=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #514575=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #514576=CARTESIAN_POINT('Origin',(24.246928,42.510059,0.)); #514577=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #514578=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #514579=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #514580=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #514581=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #514582=CARTESIAN_POINT('Origin',(24.197394,42.5,0.)); #514583=CARTESIAN_POINT('',(24.197394,42.5,0.)); #514584=CARTESIAN_POINT('',(24.197394,42.5,0.)); #514585=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #514586=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #514587=CARTESIAN_POINT('',(24.197394,42.5,0.)); #514588=CARTESIAN_POINT('Origin',(20.758994,42.5,0.)); #514589=CARTESIAN_POINT('',(20.758994,42.5,0.)); #514590=CARTESIAN_POINT('',(20.758994,42.5,0.)); #514591=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #514592=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #514593=CARTESIAN_POINT('',(20.758994,42.5,0.)); #514594=CARTESIAN_POINT('Origin',(20.749975,42.498716,0.)); #514595=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #514596=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #514597=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #514598=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #514599=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #514600=CARTESIAN_POINT('Origin',(20.744347,42.494347,0.)); #514601=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #514602=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #514603=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #514604=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #514605=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #514606=CARTESIAN_POINT('Origin',(19.037197,40.787197,0.)); #514607=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #514608=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #514609=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #514610=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #514611=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #514612=CARTESIAN_POINT('Origin',(18.996928,40.760059,0.)); #514613=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #514614=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #514615=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.035)); #514616=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.)); #514617=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #514618=CARTESIAN_POINT('',(26.61,31.,0.)); #514619=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #514620=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #514621=CARTESIAN_POINT('',(26.61,31.,-200.)); #514622=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #514623=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #514624=CARTESIAN_POINT('',(26.61,36.,0.)); #514625=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #514626=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #514627=CARTESIAN_POINT('',(26.61,36.,-200.)); #514628=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #514629=CARTESIAN_POINT('Origin',(26.771644,30.725,0.)); #514630=CARTESIAN_POINT('',(26.771644,30.725,0.)); #514631=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #514632=CARTESIAN_POINT('',(26.771644,30.725,0.)); #514633=CARTESIAN_POINT('',(26.814397,30.731772,0.035)); #514634=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #514635=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #514636=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #514637=CARTESIAN_POINT('',(26.771644,30.725,0.)); #514638=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #514639=CARTESIAN_POINT('',(26.623,31.243928,0.)); #514640=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #514641=CARTESIAN_POINT('',(26.623,31.243928,0.035)); #514642=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.035)); #514643=CARTESIAN_POINT('',(26.623,31.243928,0.)); #514644=CARTESIAN_POINT('Origin',(26.623,35.756072,0.)); #514645=CARTESIAN_POINT('',(26.623,35.756072,0.)); #514646=CARTESIAN_POINT('',(26.623,35.756072,0.)); #514647=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #514648=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #514649=CARTESIAN_POINT('',(26.623,35.756072,0.)); #514650=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #514651=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #514652=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #514653=CARTESIAN_POINT('',(26.644438,36.254853,0.035)); #514654=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.035)); #514655=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #514656=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #514657=CARTESIAN_POINT('',(26.877,35.756072,0.)); #514658=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #514659=CARTESIAN_POINT('',(26.877,35.756072,0.035)); #514660=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.035)); #514661=CARTESIAN_POINT('',(26.877,35.756072,0.)); #514662=CARTESIAN_POINT('Origin',(26.877,31.243928,0.)); #514663=CARTESIAN_POINT('',(26.877,31.243928,0.)); #514664=CARTESIAN_POINT('',(26.877,31.243928,0.)); #514665=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #514666=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #514667=CARTESIAN_POINT('',(26.877,31.243928,0.)); #514668=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #514669=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #514670=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.035)); #514671=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.035)); #514672=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.)); #514673=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #514674=CARTESIAN_POINT('',(47.61,47.75,0.)); #514675=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #514676=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #514677=CARTESIAN_POINT('',(47.61,47.75,-200.)); #514678=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #514679=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #514680=CARTESIAN_POINT('',(45.86,47.75,0.)); #514681=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #514682=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #514683=CARTESIAN_POINT('',(45.86,47.75,-200.)); #514684=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #514685=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #514686=CARTESIAN_POINT('',(47.61,47.,0.)); #514687=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #514688=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #514689=CARTESIAN_POINT('',(47.61,47.,-200.)); #514690=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #514691=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #514692=CARTESIAN_POINT('',(44.11,47.,0.)); #514693=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #514694=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #514695=CARTESIAN_POINT('',(44.11,47.,-200.)); #514696=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #514697=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #514698=CARTESIAN_POINT('',(44.11,46.25,0.)); #514699=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #514700=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #514701=CARTESIAN_POINT('',(44.11,46.25,-200.)); #514702=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #514703=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #514704=CARTESIAN_POINT('',(44.11,47.75,0.)); #514705=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #514706=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #514707=CARTESIAN_POINT('',(44.11,47.75,-200.)); #514708=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #514709=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #514710=CARTESIAN_POINT('',(45.86,46.25,0.)); #514711=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #514712=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #514713=CARTESIAN_POINT('',(45.86,46.25,-200.)); #514714=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #514715=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #514716=CARTESIAN_POINT('',(47.61,46.25,0.)); #514717=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #514718=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #514719=CARTESIAN_POINT('',(47.61,46.25,-200.)); #514720=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #514721=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #514722=CARTESIAN_POINT('',(51.985,46.25,0.)); #514723=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #514724=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #514725=CARTESIAN_POINT('',(51.985,46.25,-200.)); #514726=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #514727=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #514728=CARTESIAN_POINT('',(51.11,46.25,0.)); #514729=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #514730=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #514731=CARTESIAN_POINT('',(51.11,46.25,-200.)); #514732=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #514733=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #514734=CARTESIAN_POINT('',(51.11,47.75,0.)); #514735=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #514736=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #514737=CARTESIAN_POINT('',(51.11,47.75,-200.)); #514738=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #514739=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #514740=CARTESIAN_POINT('',(51.11,47.,0.)); #514741=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #514742=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #514743=CARTESIAN_POINT('',(51.11,47.,-200.)); #514744=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #514745=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #514746=CARTESIAN_POINT('',(51.985,47.75,0.)); #514747=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #514748=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #514749=CARTESIAN_POINT('',(51.985,47.75,-200.)); #514750=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #514751=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #514752=CARTESIAN_POINT('',(51.985,47.,0.)); #514753=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #514754=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #514755=CARTESIAN_POINT('',(51.985,47.,-200.)); #514756=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #514757=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #514758=CARTESIAN_POINT('',(48.485,46.25,0.)); #514759=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #514760=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #514761=CARTESIAN_POINT('',(48.485,46.25,-200.)); #514762=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #514763=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #514764=CARTESIAN_POINT('',(46.735,47.75,0.)); #514765=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #514766=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #514767=CARTESIAN_POINT('',(46.735,47.75,-200.)); #514768=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #514769=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #514770=CARTESIAN_POINT('',(46.735,46.25,0.)); #514771=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #514772=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #514773=CARTESIAN_POINT('',(46.735,46.25,-200.)); #514774=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #514775=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #514776=CARTESIAN_POINT('',(46.735,47.,0.)); #514777=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #514778=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #514779=CARTESIAN_POINT('',(46.735,47.,-200.)); #514780=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #514781=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #514782=CARTESIAN_POINT('',(44.985,47.75,0.)); #514783=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #514784=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #514785=CARTESIAN_POINT('',(44.985,47.75,-200.)); #514786=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #514787=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #514788=CARTESIAN_POINT('',(45.86,47.,0.)); #514789=CARTESIAN_POINT('Origin',(46.,47.,0.)); #514790=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #514791=CARTESIAN_POINT('',(45.86,47.,-200.)); #514792=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #514793=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #514794=CARTESIAN_POINT('',(44.985,47.,0.)); #514795=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #514796=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #514797=CARTESIAN_POINT('',(44.985,47.,-200.)); #514798=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #514799=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #514800=CARTESIAN_POINT('',(44.985,46.25,0.)); #514801=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #514802=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #514803=CARTESIAN_POINT('',(44.985,46.25,-200.)); #514804=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #514805=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #514806=CARTESIAN_POINT('',(49.36,47.,0.)); #514807=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #514808=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #514809=CARTESIAN_POINT('',(49.36,47.,-200.)); #514810=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #514811=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #514812=CARTESIAN_POINT('',(49.36,47.75,0.)); #514813=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #514814=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #514815=CARTESIAN_POINT('',(49.36,47.75,-200.)); #514816=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #514817=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #514818=CARTESIAN_POINT('',(48.485,47.,0.)); #514819=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #514820=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #514821=CARTESIAN_POINT('',(48.485,47.,-200.)); #514822=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #514823=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #514824=CARTESIAN_POINT('',(48.485,47.75,0.)); #514825=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #514826=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #514827=CARTESIAN_POINT('',(48.485,47.75,-200.)); #514828=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #514829=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #514830=CARTESIAN_POINT('',(50.235,46.25,0.)); #514831=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #514832=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #514833=CARTESIAN_POINT('',(50.235,46.25,-200.)); #514834=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #514835=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #514836=CARTESIAN_POINT('',(49.36,46.25,0.)); #514837=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #514838=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #514839=CARTESIAN_POINT('',(49.36,46.25,-200.)); #514840=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #514841=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #514842=CARTESIAN_POINT('',(50.235,47.,0.)); #514843=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #514844=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #514845=CARTESIAN_POINT('',(50.235,47.,-200.)); #514846=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #514847=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #514848=CARTESIAN_POINT('',(50.235,47.75,0.)); #514849=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #514850=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #514851=CARTESIAN_POINT('',(50.235,47.75,-200.)); #514852=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #514853=CARTESIAN_POINT('Origin',(53.197394,41.,0.)); #514854=CARTESIAN_POINT('',(53.197394,41.,0.)); #514855=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #514856=CARTESIAN_POINT('',(53.197394,41.,0.)); #514857=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #514858=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #514859=CARTESIAN_POINT('',(53.197394,41.,0.035)); #514860=CARTESIAN_POINT('',(53.197394,41.,0.035)); #514861=CARTESIAN_POINT('',(53.197394,41.,0.)); #514862=CARTESIAN_POINT('Origin',(48.802606,41.,0.)); #514863=CARTESIAN_POINT('',(48.802606,41.,0.)); #514864=CARTESIAN_POINT('',(48.802606,41.,0.)); #514865=CARTESIAN_POINT('',(48.802606,41.,0.035)); #514866=CARTESIAN_POINT('',(48.802606,41.,0.035)); #514867=CARTESIAN_POINT('',(48.802606,41.,0.)); #514868=CARTESIAN_POINT('Origin',(48.754944,41.009284,0.)); #514869=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #514870=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #514871=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #514872=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #514873=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #514874=CARTESIAN_POINT('Origin',(48.712803,41.037197,0.)); #514875=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #514876=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #514877=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #514878=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #514879=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #514880=CARTESIAN_POINT('Origin',(47.256366,42.493634,0.)); #514881=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #514882=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #514883=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #514884=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #514885=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #514886=CARTESIAN_POINT('Origin',(47.249072,42.499109,0.)); #514887=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #514888=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #514889=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #514890=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #514891=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #514892=CARTESIAN_POINT('Origin',(47.242016,42.5,0.)); #514893=CARTESIAN_POINT('',(47.242016,42.5,0.)); #514894=CARTESIAN_POINT('',(47.242016,42.5,0.)); #514895=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #514896=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #514897=CARTESIAN_POINT('',(47.242016,42.5,0.)); #514898=CARTESIAN_POINT('Origin',(43.802606,42.5,0.)); #514899=CARTESIAN_POINT('',(43.802606,42.5,0.)); #514900=CARTESIAN_POINT('',(43.802606,42.5,0.)); #514901=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #514902=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #514903=CARTESIAN_POINT('',(43.802606,42.5,0.)); #514904=CARTESIAN_POINT('Origin',(43.754944,42.509284,0.)); #514905=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #514906=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #514907=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #514908=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #514909=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #514910=CARTESIAN_POINT('Origin',(43.712803,42.537197,0.)); #514911=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #514912=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #514913=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #514914=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #514915=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #514916=CARTESIAN_POINT('Origin',(43.037197,43.212803,0.)); #514917=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #514918=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #514919=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #514920=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #514921=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #514922=CARTESIAN_POINT('Origin',(43.010059,43.253072,0.)); #514923=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #514924=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #514925=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #514926=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #514927=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #514928=CARTESIAN_POINT('Origin',(43.,43.302606,0.)); #514929=CARTESIAN_POINT('',(43.,43.302606,0.)); #514930=CARTESIAN_POINT('',(43.,43.302606,0.)); #514931=CARTESIAN_POINT('',(43.,43.302606,0.035)); #514932=CARTESIAN_POINT('',(43.,43.302606,0.035)); #514933=CARTESIAN_POINT('',(43.,43.302606,0.)); #514934=CARTESIAN_POINT('Origin',(43.,48.873,0.)); #514935=CARTESIAN_POINT('',(43.,48.873,0.)); #514936=CARTESIAN_POINT('',(43.,48.873,0.)); #514937=CARTESIAN_POINT('',(43.,48.873,0.035)); #514938=CARTESIAN_POINT('',(43.,48.873,0.035)); #514939=CARTESIAN_POINT('',(43.,48.873,0.)); #514940=CARTESIAN_POINT('Origin',(43.008538,48.918781,0.)); #514941=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #514942=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #514943=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #514944=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #514945=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #514946=CARTESIAN_POINT('Origin',(43.035778,48.961359,0.)); #514947=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #514948=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #514949=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #514950=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #514951=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #514952=CARTESIAN_POINT('Origin',(43.077466,48.989941,0.)); #514953=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #514954=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #514955=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #514956=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #514957=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #514958=CARTESIAN_POINT('Origin',(43.127,49.,0.)); #514959=CARTESIAN_POINT('',(43.127,49.,0.)); #514960=CARTESIAN_POINT('',(43.127,49.,0.)); #514961=CARTESIAN_POINT('',(43.127,49.,0.035)); #514962=CARTESIAN_POINT('',(43.127,49.,0.035)); #514963=CARTESIAN_POINT('',(43.127,49.,0.)); #514964=CARTESIAN_POINT('Origin',(43.676869,49.,0.)); #514965=CARTESIAN_POINT('',(43.676869,49.,0.)); #514966=CARTESIAN_POINT('',(43.676869,49.,0.)); #514967=CARTESIAN_POINT('',(43.676869,49.,0.035)); #514968=CARTESIAN_POINT('',(43.676869,49.,0.035)); #514969=CARTESIAN_POINT('',(43.676869,49.,0.)); #514970=CARTESIAN_POINT('Origin',(43.689191,48.9976,0.)); #514971=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #514972=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #514973=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #514974=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #514975=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #514976=CARTESIAN_POINT('Origin',(44.501313,48.9976,0.)); #514977=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #514978=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #514979=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #514980=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #514981=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #514982=CARTESIAN_POINT('Origin',(44.513131,49.,0.)); #514983=CARTESIAN_POINT('',(44.513131,49.,0.)); #514984=CARTESIAN_POINT('',(44.513131,49.,0.)); #514985=CARTESIAN_POINT('',(44.513131,49.,0.035)); #514986=CARTESIAN_POINT('',(44.513131,49.,0.035)); #514987=CARTESIAN_POINT('',(44.513131,49.,0.)); #514988=CARTESIAN_POINT('Origin',(44.946869,49.,0.)); #514989=CARTESIAN_POINT('',(44.946869,49.,0.)); #514990=CARTESIAN_POINT('',(44.946869,49.,0.)); #514991=CARTESIAN_POINT('',(44.946869,49.,0.035)); #514992=CARTESIAN_POINT('',(44.946869,49.,0.035)); #514993=CARTESIAN_POINT('',(44.946869,49.,0.)); #514994=CARTESIAN_POINT('Origin',(44.959191,48.9976,0.)); #514995=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #514996=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #514997=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #514998=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #514999=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #515000=CARTESIAN_POINT('Origin',(45.771313,48.9976,0.)); #515001=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #515002=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #515003=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #515004=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #515005=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #515006=CARTESIAN_POINT('Origin',(45.783131,49.,0.)); #515007=CARTESIAN_POINT('',(45.783131,49.,0.)); #515008=CARTESIAN_POINT('',(45.783131,49.,0.)); #515009=CARTESIAN_POINT('',(45.783131,49.,0.035)); #515010=CARTESIAN_POINT('',(45.783131,49.,0.035)); #515011=CARTESIAN_POINT('',(45.783131,49.,0.)); #515012=CARTESIAN_POINT('Origin',(46.216869,49.,0.)); #515013=CARTESIAN_POINT('',(46.216869,49.,0.)); #515014=CARTESIAN_POINT('',(46.216869,49.,0.)); #515015=CARTESIAN_POINT('',(46.216869,49.,0.035)); #515016=CARTESIAN_POINT('',(46.216869,49.,0.035)); #515017=CARTESIAN_POINT('',(46.216869,49.,0.)); #515018=CARTESIAN_POINT('Origin',(46.229191,48.9976,0.)); #515019=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #515020=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #515021=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #515022=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #515023=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #515024=CARTESIAN_POINT('Origin',(47.041313,48.9976,0.)); #515025=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #515026=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #515027=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #515028=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #515029=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #515030=CARTESIAN_POINT('Origin',(47.053131,49.,0.)); #515031=CARTESIAN_POINT('',(47.053131,49.,0.)); #515032=CARTESIAN_POINT('',(47.053131,49.,0.)); #515033=CARTESIAN_POINT('',(47.053131,49.,0.035)); #515034=CARTESIAN_POINT('',(47.053131,49.,0.035)); #515035=CARTESIAN_POINT('',(47.053131,49.,0.)); #515036=CARTESIAN_POINT('Origin',(47.486869,49.,0.)); #515037=CARTESIAN_POINT('',(47.486869,49.,0.)); #515038=CARTESIAN_POINT('',(47.486869,49.,0.)); #515039=CARTESIAN_POINT('',(47.486869,49.,0.035)); #515040=CARTESIAN_POINT('',(47.486869,49.,0.035)); #515041=CARTESIAN_POINT('',(47.486869,49.,0.)); #515042=CARTESIAN_POINT('Origin',(47.499191,48.9976,0.)); #515043=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #515044=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #515045=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #515046=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #515047=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #515048=CARTESIAN_POINT('Origin',(48.311312,48.9976,0.)); #515049=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #515050=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #515051=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #515052=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #515053=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #515054=CARTESIAN_POINT('Origin',(48.323131,49.,0.)); #515055=CARTESIAN_POINT('',(48.323131,49.,0.)); #515056=CARTESIAN_POINT('',(48.323131,49.,0.)); #515057=CARTESIAN_POINT('',(48.323131,49.,0.035)); #515058=CARTESIAN_POINT('',(48.323131,49.,0.035)); #515059=CARTESIAN_POINT('',(48.323131,49.,0.)); #515060=CARTESIAN_POINT('Origin',(53.197394,49.,0.)); #515061=CARTESIAN_POINT('',(53.197394,49.,0.)); #515062=CARTESIAN_POINT('',(53.197394,49.,0.)); #515063=CARTESIAN_POINT('',(53.197394,49.,0.035)); #515064=CARTESIAN_POINT('',(53.197394,49.,0.035)); #515065=CARTESIAN_POINT('',(53.197394,49.,0.)); #515066=CARTESIAN_POINT('Origin',(53.245056,48.990716,0.)); #515067=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #515068=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #515069=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #515070=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #515071=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #515072=CARTESIAN_POINT('Origin',(53.287197,48.962803,0.)); #515073=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #515074=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #515075=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #515076=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #515077=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #515078=CARTESIAN_POINT('Origin',(53.962803,48.287197,0.)); #515079=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #515080=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #515081=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #515082=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #515083=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #515084=CARTESIAN_POINT('Origin',(53.989941,48.246928,0.)); #515085=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #515086=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #515087=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #515088=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #515089=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #515090=CARTESIAN_POINT('Origin',(54.,48.197394,0.)); #515091=CARTESIAN_POINT('',(54.,48.197394,0.)); #515092=CARTESIAN_POINT('',(54.,48.197394,0.)); #515093=CARTESIAN_POINT('',(54.,48.197394,0.035)); #515094=CARTESIAN_POINT('',(54.,48.197394,0.035)); #515095=CARTESIAN_POINT('',(54.,48.197394,0.)); #515096=CARTESIAN_POINT('Origin',(54.,41.802606,0.)); #515097=CARTESIAN_POINT('',(54.,41.802606,0.)); #515098=CARTESIAN_POINT('',(54.,41.802606,0.)); #515099=CARTESIAN_POINT('',(54.,41.802606,0.035)); #515100=CARTESIAN_POINT('',(54.,41.802606,0.035)); #515101=CARTESIAN_POINT('',(54.,41.802606,0.)); #515102=CARTESIAN_POINT('Origin',(53.990716,41.754944,0.)); #515103=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #515104=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #515105=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #515106=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #515107=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #515108=CARTESIAN_POINT('Origin',(53.962803,41.712803,0.)); #515109=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #515110=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #515111=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #515112=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #515113=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #515114=CARTESIAN_POINT('Origin',(53.287197,41.037197,0.)); #515115=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #515116=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #515117=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #515118=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #515119=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #515120=CARTESIAN_POINT('Origin',(53.246928,41.010059,0.)); #515121=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #515122=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #515123=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.035)); #515124=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.)); #515125=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #515126=CARTESIAN_POINT('',(41.11,36.,0.)); #515127=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #515128=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #515129=CARTESIAN_POINT('',(41.11,36.,-200.)); #515130=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #515131=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #515132=CARTESIAN_POINT('',(41.11,31.,0.)); #515133=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #515134=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #515135=CARTESIAN_POINT('',(41.11,31.,-200.)); #515136=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #515137=CARTESIAN_POINT('Origin',(41.271644,30.725,0.)); #515138=CARTESIAN_POINT('',(41.271644,30.725,0.)); #515139=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #515140=CARTESIAN_POINT('',(41.271644,30.725,0.)); #515141=CARTESIAN_POINT('',(41.314397,30.731772,0.035)); #515142=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #515143=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #515144=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #515145=CARTESIAN_POINT('',(41.271644,30.725,0.)); #515146=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #515147=CARTESIAN_POINT('',(41.123,31.243928,0.)); #515148=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #515149=CARTESIAN_POINT('',(41.123,31.243928,0.035)); #515150=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.035)); #515151=CARTESIAN_POINT('',(41.123,31.243928,0.)); #515152=CARTESIAN_POINT('Origin',(41.123,35.756072,0.)); #515153=CARTESIAN_POINT('',(41.123,35.756072,0.)); #515154=CARTESIAN_POINT('',(41.123,35.756072,0.)); #515155=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #515156=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #515157=CARTESIAN_POINT('',(41.123,35.756072,0.)); #515158=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #515159=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #515160=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #515161=CARTESIAN_POINT('',(41.144438,36.254853,0.035)); #515162=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.035)); #515163=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #515164=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #515165=CARTESIAN_POINT('',(41.377,35.756072,0.)); #515166=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #515167=CARTESIAN_POINT('',(41.377,35.756072,0.035)); #515168=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.035)); #515169=CARTESIAN_POINT('',(41.377,35.756072,0.)); #515170=CARTESIAN_POINT('Origin',(41.377,31.243928,0.)); #515171=CARTESIAN_POINT('',(41.377,31.243928,0.)); #515172=CARTESIAN_POINT('',(41.377,31.243928,0.)); #515173=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #515174=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #515175=CARTESIAN_POINT('',(41.377,31.243928,0.)); #515176=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #515177=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #515178=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.035)); #515179=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.035)); #515180=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.)); #515181=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #515182=CARTESIAN_POINT('',(36.035,27.75,0.)); #515183=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #515184=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #515185=CARTESIAN_POINT('',(36.035,27.75,-200.)); #515186=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #515187=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #515188=CARTESIAN_POINT('',(45.797,32.73,0.)); #515189=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #515190=CARTESIAN_POINT('',(45.797,32.73,0.0349999999999895)); #515191=CARTESIAN_POINT('',(45.797,32.73,-200.)); #515192=CARTESIAN_POINT('Origin',(46.27,32.73,0.0349999999999895)); #515193=CARTESIAN_POINT('Origin',(44.108753,31.8156,0.)); #515194=CARTESIAN_POINT('',(44.108753,31.8156,0.)); #515195=CARTESIAN_POINT('',(43.351247,31.8156,0.)); #515196=CARTESIAN_POINT('',(44.108753,31.8156,0.)); #515197=CARTESIAN_POINT('',(43.351247,31.8156,0.035)); #515198=CARTESIAN_POINT('',(43.351247,31.8156,0.)); #515199=CARTESIAN_POINT('',(44.108753,31.8156,0.035)); #515200=CARTESIAN_POINT('',(44.108753,31.8156,0.035)); #515201=CARTESIAN_POINT('',(44.108753,31.8156,0.)); #515202=CARTESIAN_POINT('Origin',(44.6444,32.351247,0.)); #515203=CARTESIAN_POINT('',(44.6444,32.351247,0.)); #515204=CARTESIAN_POINT('',(44.6444,32.351247,0.)); #515205=CARTESIAN_POINT('',(44.6444,32.351247,0.035)); #515206=CARTESIAN_POINT('',(44.6444,32.351247,0.035)); #515207=CARTESIAN_POINT('',(44.6444,32.351247,0.)); #515208=CARTESIAN_POINT('Origin',(44.6444,33.108753,0.)); #515209=CARTESIAN_POINT('',(44.6444,33.108753,0.)); #515210=CARTESIAN_POINT('',(44.6444,33.108753,0.)); #515211=CARTESIAN_POINT('',(44.6444,33.108753,0.035)); #515212=CARTESIAN_POINT('',(44.6444,33.108753,0.035)); #515213=CARTESIAN_POINT('',(44.6444,33.108753,0.)); #515214=CARTESIAN_POINT('Origin',(44.108753,33.6444,0.)); #515215=CARTESIAN_POINT('',(44.108753,33.6444,0.)); #515216=CARTESIAN_POINT('',(44.108753,33.6444,0.)); #515217=CARTESIAN_POINT('',(44.108753,33.6444,0.035)); #515218=CARTESIAN_POINT('',(44.108753,33.6444,0.035)); #515219=CARTESIAN_POINT('',(44.108753,33.6444,0.)); #515220=CARTESIAN_POINT('Origin',(43.351247,33.6444,0.)); #515221=CARTESIAN_POINT('',(43.351247,33.6444,0.)); #515222=CARTESIAN_POINT('',(43.351247,33.6444,0.)); #515223=CARTESIAN_POINT('',(43.351247,33.6444,0.035)); #515224=CARTESIAN_POINT('',(43.351247,33.6444,0.035)); #515225=CARTESIAN_POINT('',(43.351247,33.6444,0.)); #515226=CARTESIAN_POINT('Origin',(42.8156,33.108753,0.)); #515227=CARTESIAN_POINT('',(42.8156,33.108753,0.)); #515228=CARTESIAN_POINT('',(42.8156,33.108753,0.)); #515229=CARTESIAN_POINT('',(42.8156,33.108753,0.035)); #515230=CARTESIAN_POINT('',(42.8156,33.108753,0.035)); #515231=CARTESIAN_POINT('',(42.8156,33.108753,0.)); #515232=CARTESIAN_POINT('Origin',(42.8156,32.351247,0.)); #515233=CARTESIAN_POINT('',(42.8156,32.351247,0.)); #515234=CARTESIAN_POINT('',(42.8156,32.351247,0.)); #515235=CARTESIAN_POINT('',(42.8156,32.351247,0.035)); #515236=CARTESIAN_POINT('',(42.8156,32.351247,0.035)); #515237=CARTESIAN_POINT('',(42.8156,32.351247,0.)); #515238=CARTESIAN_POINT('Origin',(43.351247,31.8156,0.)); #515239=CARTESIAN_POINT('',(43.351247,31.8156,0.)); #515240=CARTESIAN_POINT('',(43.351247,31.8156,0.035)); #515241=CARTESIAN_POINT('Origin',(36.1444,23.9786,0.)); #515242=CARTESIAN_POINT('',(36.1444,23.9786,0.)); #515243=CARTESIAN_POINT('',(36.193934,23.988659,0.)); #515244=CARTESIAN_POINT('',(36.1444,23.9786,0.)); #515245=CARTESIAN_POINT('',(36.193934,23.988659,0.035)); #515246=CARTESIAN_POINT('',(36.193934,23.988659,0.)); #515247=CARTESIAN_POINT('',(36.1444,23.9786,0.035)); #515248=CARTESIAN_POINT('',(36.1444,23.9786,0.035)); #515249=CARTESIAN_POINT('',(36.1444,23.9786,0.)); #515250=CARTESIAN_POINT('Origin',(35.4161,23.9786,0.)); #515251=CARTESIAN_POINT('',(35.4161,23.9786,0.)); #515252=CARTESIAN_POINT('',(35.4161,23.9786,0.)); #515253=CARTESIAN_POINT('',(35.4161,23.9786,0.035)); #515254=CARTESIAN_POINT('',(35.4161,23.9786,0.035)); #515255=CARTESIAN_POINT('',(35.4161,23.9786,0.)); #515256=CARTESIAN_POINT('Origin',(35.370319,23.987138,0.)); #515257=CARTESIAN_POINT('',(35.370319,23.987138,0.)); #515258=CARTESIAN_POINT('',(35.370319,23.987138,0.)); #515259=CARTESIAN_POINT('',(35.370319,23.987138,0.035)); #515260=CARTESIAN_POINT('',(35.370319,23.987138,0.035)); #515261=CARTESIAN_POINT('',(35.370319,23.987138,0.)); #515262=CARTESIAN_POINT('Origin',(35.327741,24.014378,0.)); #515263=CARTESIAN_POINT('',(35.327741,24.014378,0.)); #515264=CARTESIAN_POINT('',(35.327741,24.014378,0.)); #515265=CARTESIAN_POINT('',(35.327741,24.014378,0.035)); #515266=CARTESIAN_POINT('',(35.327741,24.014378,0.035)); #515267=CARTESIAN_POINT('',(35.327741,24.014378,0.)); #515268=CARTESIAN_POINT('Origin',(35.299159,24.056066,0.)); #515269=CARTESIAN_POINT('',(35.299159,24.056066,0.)); #515270=CARTESIAN_POINT('',(35.299159,24.056066,0.)); #515271=CARTESIAN_POINT('',(35.299159,24.056066,0.035)); #515272=CARTESIAN_POINT('',(35.299159,24.056066,0.035)); #515273=CARTESIAN_POINT('',(35.299159,24.056066,0.)); #515274=CARTESIAN_POINT('Origin',(35.2891,24.1056,0.)); #515275=CARTESIAN_POINT('',(35.2891,24.1056,0.)); #515276=CARTESIAN_POINT('',(35.2891,24.1056,0.)); #515277=CARTESIAN_POINT('',(35.2891,24.1056,0.035)); #515278=CARTESIAN_POINT('',(35.2891,24.1056,0.035)); #515279=CARTESIAN_POINT('',(35.2891,24.1056,0.)); #515280=CARTESIAN_POINT('Origin',(35.2891,31.123,0.)); #515281=CARTESIAN_POINT('',(35.2891,31.123,0.)); #515282=CARTESIAN_POINT('',(35.2891,31.123,0.)); #515283=CARTESIAN_POINT('',(35.2891,31.123,0.035)); #515284=CARTESIAN_POINT('',(35.2891,31.123,0.035)); #515285=CARTESIAN_POINT('',(35.2891,31.123,0.)); #515286=CARTESIAN_POINT('Origin',(35.297638,31.168781,0.)); #515287=CARTESIAN_POINT('',(35.297638,31.168781,0.)); #515288=CARTESIAN_POINT('',(35.297638,31.168781,0.)); #515289=CARTESIAN_POINT('',(35.297638,31.168781,0.035)); #515290=CARTESIAN_POINT('',(35.297638,31.168781,0.035)); #515291=CARTESIAN_POINT('',(35.297638,31.168781,0.)); #515292=CARTESIAN_POINT('Origin',(35.324878,31.211359,0.)); #515293=CARTESIAN_POINT('',(35.324878,31.211359,0.)); #515294=CARTESIAN_POINT('',(35.324878,31.211359,0.)); #515295=CARTESIAN_POINT('',(35.324878,31.211359,0.035)); #515296=CARTESIAN_POINT('',(35.324878,31.211359,0.035)); #515297=CARTESIAN_POINT('',(35.324878,31.211359,0.)); #515298=CARTESIAN_POINT('Origin',(35.366566,31.239941,0.)); #515299=CARTESIAN_POINT('',(35.366566,31.239941,0.)); #515300=CARTESIAN_POINT('',(35.366566,31.239941,0.)); #515301=CARTESIAN_POINT('',(35.366566,31.239941,0.035)); #515302=CARTESIAN_POINT('',(35.366566,31.239941,0.035)); #515303=CARTESIAN_POINT('',(35.366566,31.239941,0.)); #515304=CARTESIAN_POINT('Origin',(35.4161,31.25,0.)); #515305=CARTESIAN_POINT('',(35.4161,31.25,0.)); #515306=CARTESIAN_POINT('',(35.4161,31.25,0.)); #515307=CARTESIAN_POINT('',(35.4161,31.25,0.035)); #515308=CARTESIAN_POINT('',(35.4161,31.25,0.035)); #515309=CARTESIAN_POINT('',(35.4161,31.25,0.)); #515310=CARTESIAN_POINT('Origin',(36.822394,31.25,0.)); #515311=CARTESIAN_POINT('',(36.822394,31.25,0.)); #515312=CARTESIAN_POINT('',(36.822394,31.25,0.)); #515313=CARTESIAN_POINT('',(36.822394,31.25,0.035)); #515314=CARTESIAN_POINT('',(36.822394,31.25,0.035)); #515315=CARTESIAN_POINT('',(36.822394,31.25,0.)); #515316=CARTESIAN_POINT('Origin',(36.870056,31.240716,0.)); #515317=CARTESIAN_POINT('',(36.870056,31.240716,0.)); #515318=CARTESIAN_POINT('',(36.870056,31.240716,0.)); #515319=CARTESIAN_POINT('',(36.870056,31.240716,0.035)); #515320=CARTESIAN_POINT('',(36.870056,31.240716,0.035)); #515321=CARTESIAN_POINT('',(36.870056,31.240716,0.)); #515322=CARTESIAN_POINT('Origin',(36.912197,31.212803,0.)); #515323=CARTESIAN_POINT('',(36.912197,31.212803,0.)); #515324=CARTESIAN_POINT('',(36.912197,31.212803,0.)); #515325=CARTESIAN_POINT('',(36.912197,31.212803,0.035)); #515326=CARTESIAN_POINT('',(36.912197,31.212803,0.035)); #515327=CARTESIAN_POINT('',(36.912197,31.212803,0.)); #515328=CARTESIAN_POINT('Origin',(37.118634,31.006366,0.)); #515329=CARTESIAN_POINT('',(37.118634,31.006366,0.)); #515330=CARTESIAN_POINT('',(37.118634,31.006366,0.)); #515331=CARTESIAN_POINT('',(37.118634,31.006366,0.035)); #515332=CARTESIAN_POINT('',(37.118634,31.006366,0.035)); #515333=CARTESIAN_POINT('',(37.118634,31.006366,0.)); #515334=CARTESIAN_POINT('Origin',(37.125928,31.000891,0.)); #515335=CARTESIAN_POINT('',(37.125928,31.000891,0.)); #515336=CARTESIAN_POINT('',(37.125928,31.000891,0.)); #515337=CARTESIAN_POINT('',(37.125928,31.000891,0.035)); #515338=CARTESIAN_POINT('',(37.125928,31.000891,0.035)); #515339=CARTESIAN_POINT('',(37.125928,31.000891,0.)); #515340=CARTESIAN_POINT('Origin',(37.132984,31.,0.)); #515341=CARTESIAN_POINT('',(37.132984,31.,0.)); #515342=CARTESIAN_POINT('',(37.132984,31.,0.)); #515343=CARTESIAN_POINT('',(37.132984,31.,0.035)); #515344=CARTESIAN_POINT('',(37.132984,31.,0.035)); #515345=CARTESIAN_POINT('',(37.132984,31.,0.)); #515346=CARTESIAN_POINT('Origin',(40.6956,31.,0.)); #515347=CARTESIAN_POINT('',(40.6956,31.,0.)); #515348=CARTESIAN_POINT('',(40.6956,31.,0.)); #515349=CARTESIAN_POINT('',(40.6956,31.,0.035)); #515350=CARTESIAN_POINT('',(40.6956,31.,0.035)); #515351=CARTESIAN_POINT('',(40.6956,31.,0.)); #515352=CARTESIAN_POINT('Origin',(40.741381,30.991463,0.)); #515353=CARTESIAN_POINT('',(40.741381,30.991463,0.)); #515354=CARTESIAN_POINT('',(40.741381,30.991463,0.)); #515355=CARTESIAN_POINT('',(40.741381,30.991463,0.035)); #515356=CARTESIAN_POINT('',(40.741381,30.991463,0.035)); #515357=CARTESIAN_POINT('',(40.741381,30.991463,0.)); #515358=CARTESIAN_POINT('Origin',(40.783959,30.964222,0.)); #515359=CARTESIAN_POINT('',(40.783959,30.964222,0.)); #515360=CARTESIAN_POINT('',(40.783959,30.964222,0.)); #515361=CARTESIAN_POINT('',(40.783959,30.964222,0.035)); #515362=CARTESIAN_POINT('',(40.783959,30.964222,0.035)); #515363=CARTESIAN_POINT('',(40.783959,30.964222,0.)); #515364=CARTESIAN_POINT('Origin',(40.812541,30.922534,0.)); #515365=CARTESIAN_POINT('',(40.812541,30.922534,0.)); #515366=CARTESIAN_POINT('',(40.812541,30.922534,0.)); #515367=CARTESIAN_POINT('',(40.812541,30.922534,0.035)); #515368=CARTESIAN_POINT('',(40.812541,30.922534,0.035)); #515369=CARTESIAN_POINT('',(40.812541,30.922534,0.)); #515370=CARTESIAN_POINT('Origin',(40.8226,30.873,0.)); #515371=CARTESIAN_POINT('',(40.8226,30.873,0.)); #515372=CARTESIAN_POINT('',(40.8226,30.873,0.)); #515373=CARTESIAN_POINT('',(40.8226,30.873,0.035)); #515374=CARTESIAN_POINT('',(40.8226,30.873,0.035)); #515375=CARTESIAN_POINT('',(40.8226,30.873,0.)); #515376=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #515377=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515378=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515379=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #515380=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #515381=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #515382=CARTESIAN_POINT('Origin',(41.072966,30.5726,0.)); #515383=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #515384=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #515385=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #515386=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #515387=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #515388=CARTESIAN_POINT('Origin',(41.427034,30.5726,0.)); #515389=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #515390=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #515391=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #515392=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #515393=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #515394=CARTESIAN_POINT('Origin',(41.535197,30.680763,0.)); #515395=CARTESIAN_POINT('',(41.535197,30.680763,0.)); #515396=CARTESIAN_POINT('',(41.535197,30.680763,0.)); #515397=CARTESIAN_POINT('',(41.535197,30.680763,0.035)); #515398=CARTESIAN_POINT('',(41.535197,30.680763,0.035)); #515399=CARTESIAN_POINT('',(41.535197,30.680763,0.)); #515400=CARTESIAN_POINT('Origin',(41.573606,30.707097,0.)); #515401=CARTESIAN_POINT('',(41.573606,30.707097,0.)); #515402=CARTESIAN_POINT('',(41.573606,30.707097,0.)); #515403=CARTESIAN_POINT('',(41.573606,30.707097,0.035)); #515404=CARTESIAN_POINT('',(41.573606,30.707097,0.035)); #515405=CARTESIAN_POINT('',(41.573606,30.707097,0.)); #515406=CARTESIAN_POINT('Origin',(41.622975,30.717944,0.)); #515407=CARTESIAN_POINT('',(41.622975,30.717944,0.)); #515408=CARTESIAN_POINT('',(41.622975,30.717944,0.)); #515409=CARTESIAN_POINT('',(41.622975,30.717944,0.035)); #515410=CARTESIAN_POINT('',(41.622975,30.717944,0.035)); #515411=CARTESIAN_POINT('',(41.622975,30.717944,0.)); #515412=CARTESIAN_POINT('Origin',(41.672663,30.708675,0.)); #515413=CARTESIAN_POINT('',(41.672663,30.708675,0.)); #515414=CARTESIAN_POINT('',(41.672663,30.708675,0.)); #515415=CARTESIAN_POINT('',(41.672663,30.708675,0.035)); #515416=CARTESIAN_POINT('',(41.672663,30.708675,0.035)); #515417=CARTESIAN_POINT('',(41.672663,30.708675,0.)); #515418=CARTESIAN_POINT('Origin',(41.714803,30.680763,0.)); #515419=CARTESIAN_POINT('',(41.714803,30.680763,0.)); #515420=CARTESIAN_POINT('',(41.714803,30.680763,0.)); #515421=CARTESIAN_POINT('',(41.714803,30.680763,0.035)); #515422=CARTESIAN_POINT('',(41.714803,30.680763,0.035)); #515423=CARTESIAN_POINT('',(41.714803,30.680763,0.)); #515424=CARTESIAN_POINT('Origin',(41.822966,30.5726,0.)); #515425=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #515426=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #515427=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #515428=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #515429=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #515430=CARTESIAN_POINT('Origin',(42.177034,30.5726,0.)); #515431=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #515432=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #515433=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #515434=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #515435=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #515436=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #515437=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515438=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515439=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #515440=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #515441=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #515442=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #515443=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515444=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515445=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #515446=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #515447=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #515448=CARTESIAN_POINT('Origin',(42.265797,31.338638,0.)); #515449=CARTESIAN_POINT('',(42.265797,31.338638,0.)); #515450=CARTESIAN_POINT('',(42.265797,31.338638,0.)); #515451=CARTESIAN_POINT('',(42.265797,31.338638,0.035)); #515452=CARTESIAN_POINT('',(42.265797,31.338638,0.035)); #515453=CARTESIAN_POINT('',(42.265797,31.338638,0.)); #515454=CARTESIAN_POINT('Origin',(42.238659,31.378906,0.)); #515455=CARTESIAN_POINT('',(42.238659,31.378906,0.)); #515456=CARTESIAN_POINT('',(42.238659,31.378906,0.)); #515457=CARTESIAN_POINT('',(42.238659,31.378906,0.035)); #515458=CARTESIAN_POINT('',(42.238659,31.378906,0.035)); #515459=CARTESIAN_POINT('',(42.238659,31.378906,0.)); #515460=CARTESIAN_POINT('Origin',(42.2286,31.428441,0.)); #515461=CARTESIAN_POINT('',(42.2286,31.428441,0.)); #515462=CARTESIAN_POINT('',(42.2286,31.428441,0.)); #515463=CARTESIAN_POINT('',(42.2286,31.428441,0.035)); #515464=CARTESIAN_POINT('',(42.2286,31.428441,0.035)); #515465=CARTESIAN_POINT('',(42.2286,31.428441,0.)); #515466=CARTESIAN_POINT('Origin',(42.2286,33.8105,0.)); #515467=CARTESIAN_POINT('',(42.2286,33.8105,0.)); #515468=CARTESIAN_POINT('',(42.2286,33.8105,0.)); #515469=CARTESIAN_POINT('',(42.2286,33.8105,0.035)); #515470=CARTESIAN_POINT('',(42.2286,33.8105,0.035)); #515471=CARTESIAN_POINT('',(42.2286,33.8105,0.)); #515472=CARTESIAN_POINT('Origin',(42.237138,33.856281,0.)); #515473=CARTESIAN_POINT('',(42.237138,33.856281,0.)); #515474=CARTESIAN_POINT('',(42.237138,33.856281,0.)); #515475=CARTESIAN_POINT('',(42.237138,33.856281,0.035)); #515476=CARTESIAN_POINT('',(42.237138,33.856281,0.035)); #515477=CARTESIAN_POINT('',(42.237138,33.856281,0.)); #515478=CARTESIAN_POINT('Origin',(42.264378,33.898859,0.)); #515479=CARTESIAN_POINT('',(42.264378,33.898859,0.)); #515480=CARTESIAN_POINT('',(42.264378,33.898859,0.)); #515481=CARTESIAN_POINT('',(42.264378,33.898859,0.035)); #515482=CARTESIAN_POINT('',(42.264378,33.898859,0.035)); #515483=CARTESIAN_POINT('',(42.264378,33.898859,0.)); #515484=CARTESIAN_POINT('Origin',(42.306066,33.927441,0.)); #515485=CARTESIAN_POINT('',(42.306066,33.927441,0.)); #515486=CARTESIAN_POINT('',(42.306066,33.927441,0.)); #515487=CARTESIAN_POINT('',(42.306066,33.927441,0.035)); #515488=CARTESIAN_POINT('',(42.306066,33.927441,0.035)); #515489=CARTESIAN_POINT('',(42.306066,33.927441,0.)); #515490=CARTESIAN_POINT('Origin',(42.3556,33.9375,0.)); #515491=CARTESIAN_POINT('',(42.3556,33.9375,0.)); #515492=CARTESIAN_POINT('',(42.3556,33.9375,0.)); #515493=CARTESIAN_POINT('',(42.3556,33.9375,0.035)); #515494=CARTESIAN_POINT('',(42.3556,33.9375,0.035)); #515495=CARTESIAN_POINT('',(42.3556,33.9375,0.)); #515496=CARTESIAN_POINT('Origin',(47.2694,33.9375,0.)); #515497=CARTESIAN_POINT('',(47.2694,33.9375,0.)); #515498=CARTESIAN_POINT('',(47.2694,33.9375,0.)); #515499=CARTESIAN_POINT('',(47.2694,33.9375,0.035)); #515500=CARTESIAN_POINT('',(47.2694,33.9375,0.035)); #515501=CARTESIAN_POINT('',(47.2694,33.9375,0.)); #515502=CARTESIAN_POINT('Origin',(47.315181,33.928963,0.)); #515503=CARTESIAN_POINT('',(47.315181,33.928963,0.)); #515504=CARTESIAN_POINT('',(47.315181,33.928963,0.)); #515505=CARTESIAN_POINT('',(47.315181,33.928963,0.035)); #515506=CARTESIAN_POINT('',(47.315181,33.928963,0.035)); #515507=CARTESIAN_POINT('',(47.315181,33.928963,0.)); #515508=CARTESIAN_POINT('Origin',(47.357759,33.901722,0.)); #515509=CARTESIAN_POINT('',(47.357759,33.901722,0.)); #515510=CARTESIAN_POINT('',(47.357759,33.901722,0.)); #515511=CARTESIAN_POINT('',(47.357759,33.901722,0.035)); #515512=CARTESIAN_POINT('',(47.357759,33.901722,0.035)); #515513=CARTESIAN_POINT('',(47.357759,33.901722,0.)); #515514=CARTESIAN_POINT('Origin',(47.386341,33.860034,0.)); #515515=CARTESIAN_POINT('',(47.386341,33.860034,0.)); #515516=CARTESIAN_POINT('',(47.386341,33.860034,0.)); #515517=CARTESIAN_POINT('',(47.386341,33.860034,0.035)); #515518=CARTESIAN_POINT('',(47.386341,33.860034,0.035)); #515519=CARTESIAN_POINT('',(47.386341,33.860034,0.)); #515520=CARTESIAN_POINT('Origin',(47.3964,33.8105,0.)); #515521=CARTESIAN_POINT('',(47.3964,33.8105,0.)); #515522=CARTESIAN_POINT('',(47.3964,33.8105,0.)); #515523=CARTESIAN_POINT('',(47.3964,33.8105,0.035)); #515524=CARTESIAN_POINT('',(47.3964,33.8105,0.035)); #515525=CARTESIAN_POINT('',(47.3964,33.8105,0.)); #515526=CARTESIAN_POINT('Origin',(47.3964,30.284294,0.)); #515527=CARTESIAN_POINT('',(47.3964,30.284294,0.)); #515528=CARTESIAN_POINT('',(47.3964,30.284294,0.)); #515529=CARTESIAN_POINT('',(47.3964,30.284294,0.035)); #515530=CARTESIAN_POINT('',(47.3964,30.284294,0.035)); #515531=CARTESIAN_POINT('',(47.3964,30.284294,0.)); #515532=CARTESIAN_POINT('Origin',(47.387116,30.236631,0.)); #515533=CARTESIAN_POINT('',(47.387116,30.236631,0.)); #515534=CARTESIAN_POINT('',(47.387116,30.236631,0.)); #515535=CARTESIAN_POINT('',(47.387116,30.236631,0.035)); #515536=CARTESIAN_POINT('',(47.387116,30.236631,0.035)); #515537=CARTESIAN_POINT('',(47.387116,30.236631,0.)); #515538=CARTESIAN_POINT('Origin',(47.359203,30.194491,0.)); #515539=CARTESIAN_POINT('',(47.359203,30.194491,0.)); #515540=CARTESIAN_POINT('',(47.359203,30.194491,0.)); #515541=CARTESIAN_POINT('',(47.359203,30.194491,0.035)); #515542=CARTESIAN_POINT('',(47.359203,30.194491,0.035)); #515543=CARTESIAN_POINT('',(47.359203,30.194491,0.)); #515544=CARTESIAN_POINT('Origin',(46.5214,29.356688,0.)); #515545=CARTESIAN_POINT('',(46.5214,29.356688,0.)); #515546=CARTESIAN_POINT('',(46.5214,29.356688,0.)); #515547=CARTESIAN_POINT('',(46.5214,29.356688,0.035)); #515548=CARTESIAN_POINT('',(46.5214,29.356688,0.035)); #515549=CARTESIAN_POINT('',(46.5214,29.356688,0.)); #515550=CARTESIAN_POINT('Origin',(46.5214,28.4605,0.)); #515551=CARTESIAN_POINT('',(46.5214,28.4605,0.)); #515552=CARTESIAN_POINT('',(46.5214,28.4605,0.)); #515553=CARTESIAN_POINT('',(46.5214,28.4605,0.035)); #515554=CARTESIAN_POINT('',(46.5214,28.4605,0.035)); #515555=CARTESIAN_POINT('',(46.5214,28.4605,0.)); #515556=CARTESIAN_POINT('Origin',(46.512863,28.414719,0.)); #515557=CARTESIAN_POINT('',(46.512863,28.414719,0.)); #515558=CARTESIAN_POINT('',(46.512863,28.414719,0.)); #515559=CARTESIAN_POINT('',(46.512863,28.414719,0.035)); #515560=CARTESIAN_POINT('',(46.512863,28.414719,0.035)); #515561=CARTESIAN_POINT('',(46.512863,28.414719,0.)); #515562=CARTESIAN_POINT('Origin',(46.485622,28.372141,0.)); #515563=CARTESIAN_POINT('',(46.485622,28.372141,0.)); #515564=CARTESIAN_POINT('',(46.485622,28.372141,0.)); #515565=CARTESIAN_POINT('',(46.485622,28.372141,0.035)); #515566=CARTESIAN_POINT('',(46.485622,28.372141,0.035)); #515567=CARTESIAN_POINT('',(46.485622,28.372141,0.)); #515568=CARTESIAN_POINT('Origin',(46.443934,28.343559,0.)); #515569=CARTESIAN_POINT('',(46.443934,28.343559,0.)); #515570=CARTESIAN_POINT('',(46.443934,28.343559,0.)); #515571=CARTESIAN_POINT('',(46.443934,28.343559,0.035)); #515572=CARTESIAN_POINT('',(46.443934,28.343559,0.035)); #515573=CARTESIAN_POINT('',(46.443934,28.343559,0.)); #515574=CARTESIAN_POINT('Origin',(46.3944,28.3335,0.)); #515575=CARTESIAN_POINT('',(46.3944,28.3335,0.)); #515576=CARTESIAN_POINT('',(46.3944,28.3335,0.)); #515577=CARTESIAN_POINT('',(46.3944,28.3335,0.035)); #515578=CARTESIAN_POINT('',(46.3944,28.3335,0.035)); #515579=CARTESIAN_POINT('',(46.3944,28.3335,0.)); #515580=CARTESIAN_POINT('Origin',(46.242375,28.3335,0.)); #515581=CARTESIAN_POINT('',(46.242375,28.3335,0.)); #515582=CARTESIAN_POINT('',(46.242375,28.3335,0.)); #515583=CARTESIAN_POINT('',(46.242375,28.3335,0.035)); #515584=CARTESIAN_POINT('',(46.242375,28.3335,0.035)); #515585=CARTESIAN_POINT('',(46.242375,28.3335,0.)); #515586=CARTESIAN_POINT('Origin',(46.1531,28.244225,0.)); #515587=CARTESIAN_POINT('',(46.1531,28.244225,0.)); #515588=CARTESIAN_POINT('',(46.1531,28.244225,0.)); #515589=CARTESIAN_POINT('',(46.1531,28.244225,0.035)); #515590=CARTESIAN_POINT('',(46.1531,28.244225,0.035)); #515591=CARTESIAN_POINT('',(46.1531,28.244225,0.)); #515592=CARTESIAN_POINT('Origin',(46.1531,27.877,0.)); #515593=CARTESIAN_POINT('',(46.1531,27.877,0.)); #515594=CARTESIAN_POINT('',(46.1531,27.877,0.)); #515595=CARTESIAN_POINT('',(46.1531,27.877,0.035)); #515596=CARTESIAN_POINT('',(46.1531,27.877,0.035)); #515597=CARTESIAN_POINT('',(46.1531,27.877,0.)); #515598=CARTESIAN_POINT('Origin',(46.144562,27.831219,0.)); #515599=CARTESIAN_POINT('',(46.144562,27.831219,0.)); #515600=CARTESIAN_POINT('',(46.144562,27.831219,0.)); #515601=CARTESIAN_POINT('',(46.144562,27.831219,0.035)); #515602=CARTESIAN_POINT('',(46.144562,27.831219,0.035)); #515603=CARTESIAN_POINT('',(46.144562,27.831219,0.)); #515604=CARTESIAN_POINT('Origin',(46.117322,27.788641,0.)); #515605=CARTESIAN_POINT('',(46.117322,27.788641,0.)); #515606=CARTESIAN_POINT('',(46.117322,27.788641,0.)); #515607=CARTESIAN_POINT('',(46.117322,27.788641,0.035)); #515608=CARTESIAN_POINT('',(46.117322,27.788641,0.035)); #515609=CARTESIAN_POINT('',(46.117322,27.788641,0.)); #515610=CARTESIAN_POINT('Origin',(46.075634,27.760059,0.)); #515611=CARTESIAN_POINT('',(46.075634,27.760059,0.)); #515612=CARTESIAN_POINT('',(46.075634,27.760059,0.)); #515613=CARTESIAN_POINT('',(46.075634,27.760059,0.035)); #515614=CARTESIAN_POINT('',(46.075634,27.760059,0.035)); #515615=CARTESIAN_POINT('',(46.075634,27.760059,0.)); #515616=CARTESIAN_POINT('Origin',(46.0261,27.75,0.)); #515617=CARTESIAN_POINT('',(46.0261,27.75,0.)); #515618=CARTESIAN_POINT('',(46.0261,27.75,0.)); #515619=CARTESIAN_POINT('',(46.0261,27.75,0.035)); #515620=CARTESIAN_POINT('',(46.0261,27.75,0.035)); #515621=CARTESIAN_POINT('',(46.0261,27.75,0.)); #515622=CARTESIAN_POINT('Origin',(40.508994,27.75,0.)); #515623=CARTESIAN_POINT('',(40.508994,27.75,0.)); #515624=CARTESIAN_POINT('',(40.508994,27.75,0.)); #515625=CARTESIAN_POINT('',(40.508994,27.75,0.035)); #515626=CARTESIAN_POINT('',(40.508994,27.75,0.035)); #515627=CARTESIAN_POINT('',(40.508994,27.75,0.)); #515628=CARTESIAN_POINT('Origin',(40.499975,27.748716,0.)); #515629=CARTESIAN_POINT('',(40.499975,27.748716,0.)); #515630=CARTESIAN_POINT('',(40.499975,27.748716,0.)); #515631=CARTESIAN_POINT('',(40.499975,27.748716,0.035)); #515632=CARTESIAN_POINT('',(40.499975,27.748716,0.035)); #515633=CARTESIAN_POINT('',(40.499975,27.748716,0.)); #515634=CARTESIAN_POINT('Origin',(40.494347,27.744347,0.)); #515635=CARTESIAN_POINT('',(40.494347,27.744347,0.)); #515636=CARTESIAN_POINT('',(40.494347,27.744347,0.)); #515637=CARTESIAN_POINT('',(40.494347,27.744347,0.035)); #515638=CARTESIAN_POINT('',(40.494347,27.744347,0.035)); #515639=CARTESIAN_POINT('',(40.494347,27.744347,0.)); #515640=CARTESIAN_POINT('Origin',(38.037197,25.287197,0.)); #515641=CARTESIAN_POINT('',(38.037197,25.287197,0.)); #515642=CARTESIAN_POINT('',(38.037197,25.287197,0.)); #515643=CARTESIAN_POINT('',(38.037197,25.287197,0.035)); #515644=CARTESIAN_POINT('',(38.037197,25.287197,0.035)); #515645=CARTESIAN_POINT('',(38.037197,25.287197,0.)); #515646=CARTESIAN_POINT('Origin',(37.996928,25.260059,0.)); #515647=CARTESIAN_POINT('',(37.996928,25.260059,0.)); #515648=CARTESIAN_POINT('',(37.996928,25.260059,0.)); #515649=CARTESIAN_POINT('',(37.996928,25.260059,0.035)); #515650=CARTESIAN_POINT('',(37.996928,25.260059,0.035)); #515651=CARTESIAN_POINT('',(37.996928,25.260059,0.)); #515652=CARTESIAN_POINT('Origin',(37.947394,25.25,0.)); #515653=CARTESIAN_POINT('',(37.947394,25.25,0.)); #515654=CARTESIAN_POINT('',(37.947394,25.25,0.)); #515655=CARTESIAN_POINT('',(37.947394,25.25,0.035)); #515656=CARTESIAN_POINT('',(37.947394,25.25,0.035)); #515657=CARTESIAN_POINT('',(37.947394,25.25,0.)); #515658=CARTESIAN_POINT('Origin',(37.5294,25.25,0.)); #515659=CARTESIAN_POINT('',(37.5294,25.25,0.)); #515660=CARTESIAN_POINT('',(37.5294,25.25,0.)); #515661=CARTESIAN_POINT('',(37.5294,25.25,0.035)); #515662=CARTESIAN_POINT('',(37.5294,25.25,0.035)); #515663=CARTESIAN_POINT('',(37.5294,25.25,0.)); #515664=CARTESIAN_POINT('Origin',(37.483619,25.258538,0.)); #515665=CARTESIAN_POINT('',(37.483619,25.258538,0.)); #515666=CARTESIAN_POINT('',(37.483619,25.258538,0.)); #515667=CARTESIAN_POINT('',(37.483619,25.258538,0.035)); #515668=CARTESIAN_POINT('',(37.483619,25.258538,0.035)); #515669=CARTESIAN_POINT('',(37.483619,25.258538,0.)); #515670=CARTESIAN_POINT('Origin',(37.441041,25.285778,0.)); #515671=CARTESIAN_POINT('',(37.441041,25.285778,0.)); #515672=CARTESIAN_POINT('',(37.441041,25.285778,0.)); #515673=CARTESIAN_POINT('',(37.441041,25.285778,0.035)); #515674=CARTESIAN_POINT('',(37.441041,25.285778,0.035)); #515675=CARTESIAN_POINT('',(37.441041,25.285778,0.)); #515676=CARTESIAN_POINT('Origin',(37.412459,25.327466,0.)); #515677=CARTESIAN_POINT('',(37.412459,25.327466,0.)); #515678=CARTESIAN_POINT('',(37.412459,25.327466,0.)); #515679=CARTESIAN_POINT('',(37.412459,25.327466,0.035)); #515680=CARTESIAN_POINT('',(37.412459,25.327466,0.035)); #515681=CARTESIAN_POINT('',(37.412459,25.327466,0.)); #515682=CARTESIAN_POINT('Origin',(37.4024,25.377,0.)); #515683=CARTESIAN_POINT('',(37.4024,25.377,0.)); #515684=CARTESIAN_POINT('',(37.4024,25.377,0.)); #515685=CARTESIAN_POINT('',(37.4024,25.377,0.035)); #515686=CARTESIAN_POINT('',(37.4024,25.377,0.035)); #515687=CARTESIAN_POINT('',(37.4024,25.377,0.)); #515688=CARTESIAN_POINT('Origin',(37.4024,25.429494,0.)); #515689=CARTESIAN_POINT('',(37.4024,25.429494,0.)); #515690=CARTESIAN_POINT('',(37.4024,25.429494,0.)); #515691=CARTESIAN_POINT('',(37.4024,25.429494,0.035)); #515692=CARTESIAN_POINT('',(37.4024,25.429494,0.035)); #515693=CARTESIAN_POINT('',(37.4024,25.429494,0.)); #515694=CARTESIAN_POINT('Origin',(37.265019,25.761169,0.)); #515695=CARTESIAN_POINT('',(37.265019,25.761169,0.)); #515696=CARTESIAN_POINT('',(37.265019,25.761169,0.)); #515697=CARTESIAN_POINT('',(37.265019,25.761169,0.035)); #515698=CARTESIAN_POINT('',(37.265019,25.761169,0.035)); #515699=CARTESIAN_POINT('',(37.265019,25.761169,0.)); #515700=CARTESIAN_POINT('Origin',(37.011169,26.015019,0.)); #515701=CARTESIAN_POINT('',(37.011169,26.015019,0.)); #515702=CARTESIAN_POINT('',(37.011169,26.015019,0.)); #515703=CARTESIAN_POINT('',(37.011169,26.015019,0.035)); #515704=CARTESIAN_POINT('',(37.011169,26.015019,0.035)); #515705=CARTESIAN_POINT('',(37.011169,26.015019,0.)); #515706=CARTESIAN_POINT('Origin',(36.679494,26.1524,0.)); #515707=CARTESIAN_POINT('',(36.679494,26.1524,0.)); #515708=CARTESIAN_POINT('',(36.679494,26.1524,0.)); #515709=CARTESIAN_POINT('',(36.679494,26.1524,0.035)); #515710=CARTESIAN_POINT('',(36.679494,26.1524,0.035)); #515711=CARTESIAN_POINT('',(36.679494,26.1524,0.)); #515712=CARTESIAN_POINT('Origin',(36.320506,26.1524,0.)); #515713=CARTESIAN_POINT('',(36.320506,26.1524,0.)); #515714=CARTESIAN_POINT('',(36.320506,26.1524,0.)); #515715=CARTESIAN_POINT('',(36.320506,26.1524,0.035)); #515716=CARTESIAN_POINT('',(36.320506,26.1524,0.035)); #515717=CARTESIAN_POINT('',(36.320506,26.1524,0.)); #515718=CARTESIAN_POINT('Origin',(35.988831,26.015019,0.)); #515719=CARTESIAN_POINT('',(35.988831,26.015019,0.)); #515720=CARTESIAN_POINT('',(35.988831,26.015019,0.)); #515721=CARTESIAN_POINT('',(35.988831,26.015019,0.035)); #515722=CARTESIAN_POINT('',(35.988831,26.015019,0.035)); #515723=CARTESIAN_POINT('',(35.988831,26.015019,0.)); #515724=CARTESIAN_POINT('Origin',(35.734981,25.761169,0.)); #515725=CARTESIAN_POINT('',(35.734981,25.761169,0.)); #515726=CARTESIAN_POINT('',(35.734981,25.761169,0.)); #515727=CARTESIAN_POINT('',(35.734981,25.761169,0.035)); #515728=CARTESIAN_POINT('',(35.734981,25.761169,0.035)); #515729=CARTESIAN_POINT('',(35.734981,25.761169,0.)); #515730=CARTESIAN_POINT('Origin',(35.5976,25.429494,0.)); #515731=CARTESIAN_POINT('',(35.5976,25.429494,0.)); #515732=CARTESIAN_POINT('',(35.5976,25.429494,0.)); #515733=CARTESIAN_POINT('',(35.5976,25.429494,0.035)); #515734=CARTESIAN_POINT('',(35.5976,25.429494,0.035)); #515735=CARTESIAN_POINT('',(35.5976,25.429494,0.)); #515736=CARTESIAN_POINT('Origin',(35.5976,25.070506,0.)); #515737=CARTESIAN_POINT('',(35.5976,25.070506,0.)); #515738=CARTESIAN_POINT('',(35.5976,25.070506,0.)); #515739=CARTESIAN_POINT('',(35.5976,25.070506,0.035)); #515740=CARTESIAN_POINT('',(35.5976,25.070506,0.035)); #515741=CARTESIAN_POINT('',(35.5976,25.070506,0.)); #515742=CARTESIAN_POINT('Origin',(35.734981,24.738831,0.)); #515743=CARTESIAN_POINT('',(35.734981,24.738831,0.)); #515744=CARTESIAN_POINT('',(35.734981,24.738831,0.)); #515745=CARTESIAN_POINT('',(35.734981,24.738831,0.035)); #515746=CARTESIAN_POINT('',(35.734981,24.738831,0.035)); #515747=CARTESIAN_POINT('',(35.734981,24.738831,0.)); #515748=CARTESIAN_POINT('Origin',(35.988834,24.484978,0.)); #515749=CARTESIAN_POINT('',(35.988834,24.484978,0.)); #515750=CARTESIAN_POINT('',(35.988834,24.484978,0.)); #515751=CARTESIAN_POINT('',(35.988834,24.484978,0.035)); #515752=CARTESIAN_POINT('',(35.988834,24.484978,0.035)); #515753=CARTESIAN_POINT('',(35.988834,24.484978,0.)); #515754=CARTESIAN_POINT('Origin',(36.193,24.400409,0.)); #515755=CARTESIAN_POINT('',(36.193,24.400409,0.)); #515756=CARTESIAN_POINT('',(36.193,24.400409,0.)); #515757=CARTESIAN_POINT('',(36.193,24.400409,0.035)); #515758=CARTESIAN_POINT('',(36.193,24.400409,0.035)); #515759=CARTESIAN_POINT('',(36.193,24.400409,0.)); #515760=CARTESIAN_POINT('Origin',(36.232759,24.3743,0.)); #515761=CARTESIAN_POINT('',(36.232759,24.3743,0.)); #515762=CARTESIAN_POINT('',(36.232759,24.3743,0.)); #515763=CARTESIAN_POINT('',(36.232759,24.3743,0.035)); #515764=CARTESIAN_POINT('',(36.232759,24.3743,0.035)); #515765=CARTESIAN_POINT('',(36.232759,24.3743,0.)); #515766=CARTESIAN_POINT('Origin',(36.261341,24.332612,0.)); #515767=CARTESIAN_POINT('',(36.261341,24.332612,0.)); #515768=CARTESIAN_POINT('',(36.261341,24.332612,0.)); #515769=CARTESIAN_POINT('',(36.261341,24.332612,0.035)); #515770=CARTESIAN_POINT('',(36.261341,24.332612,0.035)); #515771=CARTESIAN_POINT('',(36.261341,24.332612,0.)); #515772=CARTESIAN_POINT('Origin',(36.2714,24.283078,0.)); #515773=CARTESIAN_POINT('',(36.2714,24.283078,0.)); #515774=CARTESIAN_POINT('',(36.2714,24.283078,0.)); #515775=CARTESIAN_POINT('',(36.2714,24.283078,0.035)); #515776=CARTESIAN_POINT('',(36.2714,24.283078,0.035)); #515777=CARTESIAN_POINT('',(36.2714,24.283078,0.)); #515778=CARTESIAN_POINT('Origin',(36.2714,24.1056,0.)); #515779=CARTESIAN_POINT('',(36.2714,24.1056,0.)); #515780=CARTESIAN_POINT('',(36.2714,24.1056,0.)); #515781=CARTESIAN_POINT('',(36.2714,24.1056,0.035)); #515782=CARTESIAN_POINT('',(36.2714,24.1056,0.035)); #515783=CARTESIAN_POINT('',(36.2714,24.1056,0.)); #515784=CARTESIAN_POINT('Origin',(36.262863,24.059819,0.)); #515785=CARTESIAN_POINT('',(36.262863,24.059819,0.)); #515786=CARTESIAN_POINT('',(36.262863,24.059819,0.)); #515787=CARTESIAN_POINT('',(36.262863,24.059819,0.035)); #515788=CARTESIAN_POINT('',(36.262863,24.059819,0.035)); #515789=CARTESIAN_POINT('',(36.262863,24.059819,0.)); #515790=CARTESIAN_POINT('Origin',(36.235622,24.017241,0.)); #515791=CARTESIAN_POINT('',(36.235622,24.017241,0.)); #515792=CARTESIAN_POINT('',(36.235622,24.017241,0.)); #515793=CARTESIAN_POINT('',(36.235622,24.017241,0.035)); #515794=CARTESIAN_POINT('',(36.235622,24.017241,0.035)); #515795=CARTESIAN_POINT('',(36.235622,24.017241,0.)); #515796=CARTESIAN_POINT('Origin',(36.193934,23.988659,0.)); #515797=CARTESIAN_POINT('',(36.193934,23.988659,0.)); #515798=CARTESIAN_POINT('',(36.193934,23.988659,0.035)); #515799=CARTESIAN_POINT('Origin',(40.7143360890191,29.3075762794383,0.035)); #515800=CARTESIAN_POINT('Origin',(40.7143360890191,29.3075762794383,0.)); #515801=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #515802=CARTESIAN_POINT('',(39.11,17.5,0.)); #515803=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #515804=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #515805=CARTESIAN_POINT('',(39.11,17.5,-200.)); #515806=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #515807=CARTESIAN_POINT('Origin',(39.271644,17.225,0.)); #515808=CARTESIAN_POINT('',(39.271644,17.225,0.)); #515809=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #515810=CARTESIAN_POINT('',(39.271644,17.225,0.)); #515811=CARTESIAN_POINT('',(39.314397,17.231772,0.035)); #515812=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #515813=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #515814=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #515815=CARTESIAN_POINT('',(39.271644,17.225,0.)); #515816=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #515817=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #515818=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #515819=CARTESIAN_POINT('',(39.185603,17.768228,0.035)); #515820=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.035)); #515821=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #515822=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #515823=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #515824=CARTESIAN_POINT('Origin',(39.25,17.5,0.035)); #515825=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.035)); #515826=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.)); #515827=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #515828=CARTESIAN_POINT('',(37.11,8.75,0.)); #515829=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #515830=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #515831=CARTESIAN_POINT('',(37.11,8.75,-200.)); #515832=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #515833=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #515834=CARTESIAN_POINT('',(36.36,9.5,0.)); #515835=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #515836=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #515837=CARTESIAN_POINT('',(36.36,9.5,-200.)); #515838=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #515839=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #515840=CARTESIAN_POINT('',(37.11,9.5,0.)); #515841=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #515842=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #515843=CARTESIAN_POINT('',(37.11,9.5,-200.)); #515844=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #515845=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #515846=CARTESIAN_POINT('',(36.285,7.1,0.)); #515847=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #515848=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #515849=CARTESIAN_POINT('',(36.285,7.1,-200.)); #515850=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #515851=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #515852=CARTESIAN_POINT('',(36.285,7.6,0.)); #515853=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #515854=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #515855=CARTESIAN_POINT('',(36.285,7.6,-200.)); #515856=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #515857=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #515858=CARTESIAN_POINT('',(31.485,7.6,0.)); #515859=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #515860=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #515861=CARTESIAN_POINT('',(31.485,7.6,-200.)); #515862=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #515863=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #515864=CARTESIAN_POINT('',(31.485,7.1,0.)); #515865=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #515866=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #515867=CARTESIAN_POINT('',(31.485,7.1,-200.)); #515868=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #515869=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #515870=CARTESIAN_POINT('',(31.36,8.75,0.)); #515871=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #515872=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #515873=CARTESIAN_POINT('',(31.36,8.75,-200.)); #515874=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #515875=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #515876=CARTESIAN_POINT('',(34.61,5.5,0.)); #515877=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #515878=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #515879=CARTESIAN_POINT('',(34.61,5.5,-200.)); #515880=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #515881=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #515882=CARTESIAN_POINT('',(33.86,5.5,0.)); #515883=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #515884=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #515885=CARTESIAN_POINT('',(33.86,5.5,-200.)); #515886=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #515887=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #515888=CARTESIAN_POINT('',(33.11,5.5,0.)); #515889=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #515890=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #515891=CARTESIAN_POINT('',(33.11,5.5,-200.)); #515892=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #515893=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #515894=CARTESIAN_POINT('',(30.61,9.5,0.)); #515895=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #515896=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #515897=CARTESIAN_POINT('',(30.61,9.5,-200.)); #515898=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #515899=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #515900=CARTESIAN_POINT('',(30.61,8.75,0.)); #515901=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #515902=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #515903=CARTESIAN_POINT('',(30.61,8.75,-200.)); #515904=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #515905=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #515906=CARTESIAN_POINT('',(31.36,9.5,0.)); #515907=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #515908=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #515909=CARTESIAN_POINT('',(31.36,9.5,-200.)); #515910=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #515911=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #515912=CARTESIAN_POINT('',(36.36,8.75,0.)); #515913=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #515914=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #515915=CARTESIAN_POINT('',(36.36,8.75,-200.)); #515916=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #515917=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #515918=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #515919=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #515920=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #515921=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #515922=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #515923=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #515924=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #515925=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #515926=CARTESIAN_POINT('Origin',(34.1808,6.0689656,0.)); #515927=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #515928=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #515929=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #515930=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #515931=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #515932=CARTESIAN_POINT('Origin',(34.186684,6.0991688,0.)); #515933=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #515934=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #515935=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #515936=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #515937=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #515938=CARTESIAN_POINT('Origin',(34.214597,6.1413094,0.)); #515939=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #515940=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #515941=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #515942=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #515943=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #515944=CARTESIAN_POINT('Origin',(34.481972,6.4086844,0.)); #515945=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #515946=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #515947=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #515948=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #515949=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #515950=CARTESIAN_POINT('Origin',(34.487138,6.4363813,0.)); #515951=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #515952=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #515953=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #515954=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #515955=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #515956=CARTESIAN_POINT('Origin',(34.514378,6.4789594,0.)); #515957=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #515958=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #515959=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #515960=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #515961=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #515962=CARTESIAN_POINT('Origin',(34.556066,6.5075406,0.)); #515963=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #515964=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #515965=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #515966=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #515967=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #515968=CARTESIAN_POINT('Origin',(34.6056,6.5176,0.)); #515969=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #515970=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #515971=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #515972=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #515973=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #515974=CARTESIAN_POINT('Origin',(34.6956,6.5176,0.)); #515975=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #515976=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #515977=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #515978=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #515979=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #515980=CARTESIAN_POINT('Origin',(34.741381,6.5090625,0.)); #515981=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #515982=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #515983=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #515984=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #515985=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #515986=CARTESIAN_POINT('Origin',(34.783959,6.4818219,0.)); #515987=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #515988=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #515989=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #515990=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #515991=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #515992=CARTESIAN_POINT('Origin',(34.812541,6.4401344,0.)); #515993=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #515994=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #515995=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #515996=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #515997=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #515998=CARTESIAN_POINT('Origin',(34.8226,6.3906,0.)); #515999=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #516000=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #516001=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #516002=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #516003=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #516004=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #516005=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #516006=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #516007=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #516008=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #516009=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #516010=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #516011=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #516012=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #516013=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #516014=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #516015=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #516016=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #516017=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #516018=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #516019=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #516020=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #516021=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #516022=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #516023=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #516024=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #516025=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #516026=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #516027=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #516028=CARTESIAN_POINT('Origin',(35.6774,6.3906,0.)); #516029=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #516030=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #516031=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #516032=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #516033=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #516034=CARTESIAN_POINT('Origin',(35.685938,6.4363813,0.)); #516035=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #516036=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #516037=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #516038=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #516039=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #516040=CARTESIAN_POINT('Origin',(35.713178,6.4789594,0.)); #516041=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #516042=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #516043=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #516044=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #516045=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #516046=CARTESIAN_POINT('Origin',(35.754866,6.5075406,0.)); #516047=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #516048=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #516049=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #516050=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #516051=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #516052=CARTESIAN_POINT('Origin',(35.8044,6.5176,0.)); #516053=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #516054=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #516055=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #516056=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #516057=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #516058=CARTESIAN_POINT('Origin',(35.963125,6.5176,0.)); #516059=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #516060=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #516061=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #516062=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #516063=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #516064=CARTESIAN_POINT('Origin',(36.0524,6.606875,0.)); #516065=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #516066=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #516067=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #516068=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #516069=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #516070=CARTESIAN_POINT('Origin',(36.0524,7.883125,0.)); #516071=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #516072=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #516073=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #516074=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #516075=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #516076=CARTESIAN_POINT('Origin',(35.963125,7.9724,0.)); #516077=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #516078=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #516079=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #516080=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #516081=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #516082=CARTESIAN_POINT('Origin',(35.1056,7.9724,0.)); #516083=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #516084=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #516085=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #516086=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #516087=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #516088=CARTESIAN_POINT('Origin',(35.059819,7.9809375,0.)); #516089=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #516090=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #516091=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #516092=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #516093=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #516094=CARTESIAN_POINT('Origin',(35.017241,8.0081781,0.)); #516095=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #516096=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #516097=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #516098=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #516099=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #516100=CARTESIAN_POINT('Origin',(34.988659,8.0498656,0.)); #516101=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #516102=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #516103=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #516104=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #516105=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #516106=CARTESIAN_POINT('Origin',(34.9798,8.0934875,0.)); #516107=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #516108=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #516109=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #516110=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #516111=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #516112=CARTESIAN_POINT('Origin',(34.714597,8.3586906,0.)); #516113=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #516114=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #516115=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #516116=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #516117=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #516118=CARTESIAN_POINT('Origin',(34.687459,8.3989594,0.)); #516119=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #516120=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #516121=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #516122=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #516123=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #516124=CARTESIAN_POINT('Origin',(34.682869,8.4215656,0.)); #516125=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #516126=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #516127=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #516128=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #516129=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #516130=CARTESIAN_POINT('Origin',(34.427034,8.6774,0.)); #516131=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #516132=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #516133=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #516134=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #516135=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #516136=CARTESIAN_POINT('Origin',(34.072966,8.6774,0.)); #516137=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #516138=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #516139=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #516140=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #516141=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #516142=CARTESIAN_POINT('Origin',(33.817409,8.4218438,0.)); #516143=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #516144=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #516145=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #516146=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #516147=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #516148=CARTESIAN_POINT('Origin',(33.813316,8.4008312,0.)); #516149=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #516150=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #516151=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #516152=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #516153=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #516154=CARTESIAN_POINT('Origin',(33.785403,8.3586906,0.)); #516155=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #516156=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #516157=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #516158=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #516159=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #516160=CARTESIAN_POINT('Origin',(33.520319,8.0936063,0.)); #516161=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #516162=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #516163=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #516164=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #516165=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #516166=CARTESIAN_POINT('Origin',(33.512863,8.0536188,0.)); #516167=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #516168=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #516169=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #516170=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #516171=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #516172=CARTESIAN_POINT('Origin',(33.485622,8.0110406,0.)); #516173=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #516174=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #516175=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #516176=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #516177=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #516178=CARTESIAN_POINT('Origin',(33.443934,7.9824594,0.)); #516179=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #516180=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #516181=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #516182=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #516183=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #516184=CARTESIAN_POINT('Origin',(33.3944,7.9724,0.)); #516185=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #516186=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #516187=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #516188=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #516189=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #516190=CARTESIAN_POINT('Origin',(33.3044,7.9724,0.)); #516191=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #516192=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #516193=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #516194=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #516195=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #516196=CARTESIAN_POINT('Origin',(33.258619,7.9809375,0.)); #516197=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #516198=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #516199=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #516200=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #516201=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #516202=CARTESIAN_POINT('Origin',(33.216041,8.0081781,0.)); #516203=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #516204=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #516205=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #516206=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #516207=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #516208=CARTESIAN_POINT('Origin',(33.187459,8.0498656,0.)); #516209=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #516210=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #516211=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #516212=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #516213=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #516214=CARTESIAN_POINT('Origin',(33.1774,8.0994,0.)); #516215=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #516216=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #516217=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #516218=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #516219=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #516220=CARTESIAN_POINT('Origin',(33.1774,8.4270344,0.)); #516221=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #516222=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #516223=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #516224=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #516225=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #516226=CARTESIAN_POINT('Origin',(32.927034,8.6774,0.)); #516227=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #516228=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #516229=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #516230=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #516231=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #516232=CARTESIAN_POINT('Origin',(32.572966,8.6774,0.)); #516233=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #516234=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #516235=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #516236=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #516237=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #516238=CARTESIAN_POINT('Origin',(32.317409,8.4218438,0.)); #516239=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #516240=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #516241=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #516242=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #516243=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #516244=CARTESIAN_POINT('Origin',(32.313316,8.4008312,0.)); #516245=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #516246=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #516247=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #516248=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #516249=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #516250=CARTESIAN_POINT('Origin',(32.285403,8.3586906,0.)); #516251=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #516252=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #516253=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #516254=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #516255=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #516256=CARTESIAN_POINT('Origin',(32.0214,8.0946875,0.)); #516257=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #516258=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #516259=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #516260=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #516261=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #516262=CARTESIAN_POINT('Origin',(32.0214,8.0095313,0.)); #516263=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #516264=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #516265=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #516266=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #516267=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #516268=CARTESIAN_POINT('Origin',(32.012116,7.9618688,0.)); #516269=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #516270=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #516271=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #516272=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #516273=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #516274=CARTESIAN_POINT('Origin',(31.984203,7.9197281,0.)); #516275=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #516276=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #516277=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #516278=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #516279=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #516280=CARTESIAN_POINT('Origin',(31.9476,7.883125,0.)); #516281=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #516282=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #516283=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #516284=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #516285=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #516286=CARTESIAN_POINT('Origin',(31.9476,6.606875,0.)); #516287=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #516288=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #516289=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #516290=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #516291=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #516292=CARTESIAN_POINT('Origin',(32.036875,6.5176,0.)); #516293=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #516294=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #516295=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #516296=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #516297=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #516298=CARTESIAN_POINT('Origin',(32.8944,6.5176,0.)); #516299=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #516300=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #516301=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #516302=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #516303=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #516304=CARTESIAN_POINT('Origin',(32.940181,6.5090625,0.)); #516305=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #516306=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #516307=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #516308=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #516309=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #516310=CARTESIAN_POINT('Origin',(32.982759,6.4818219,0.)); #516311=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #516312=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #516313=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #516314=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #516315=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #516316=CARTESIAN_POINT('Origin',(33.011341,6.4401344,0.)); #516317=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #516318=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #516319=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #516320=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #516321=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #516322=CARTESIAN_POINT('Origin',(33.01765,6.4090625,0.)); #516323=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #516324=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #516325=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #516326=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #516327=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #516328=CARTESIAN_POINT('Origin',(33.285403,6.1413094,0.)); #516329=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #516330=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #516331=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #516332=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #516333=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #516334=CARTESIAN_POINT('Origin',(33.312541,6.1010406,0.)); #516335=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #516336=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #516337=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #516338=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #516339=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #516340=CARTESIAN_POINT('Origin',(33.319019,6.0691469,0.)); #516341=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #516342=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #516343=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #516344=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #516345=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #516346=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #516347=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #516348=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #516349=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #516350=CARTESIAN_POINT('',(35.697394,5.,0.)); #516351=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #516352=CARTESIAN_POINT('',(35.697394,5.,0.)); #516353=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #516354=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #516355=CARTESIAN_POINT('',(35.697394,5.,0.035)); #516356=CARTESIAN_POINT('',(35.697394,5.,0.035)); #516357=CARTESIAN_POINT('',(35.697394,5.,0.)); #516358=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #516359=CARTESIAN_POINT('',(32.302606,5.,0.)); #516360=CARTESIAN_POINT('',(32.302606,5.,0.)); #516361=CARTESIAN_POINT('',(32.302606,5.,0.035)); #516362=CARTESIAN_POINT('',(32.302606,5.,0.035)); #516363=CARTESIAN_POINT('',(32.302606,5.,0.)); #516364=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #516365=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #516366=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #516367=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #516368=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #516369=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #516370=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #516371=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #516372=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #516373=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #516374=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #516375=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #516376=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #516377=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #516378=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #516379=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #516380=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #516381=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #516382=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #516383=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #516384=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #516385=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #516386=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #516387=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #516388=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #516389=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #516390=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #516391=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #516392=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #516393=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #516394=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #516395=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #516396=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #516397=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #516398=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #516399=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #516400=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #516401=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #516402=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #516403=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #516404=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #516405=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #516406=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #516407=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #516408=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #516409=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #516410=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #516411=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #516412=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #516413=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #516414=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #516415=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #516416=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #516417=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #516418=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #516419=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #516420=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #516421=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #516422=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #516423=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #516424=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #516425=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #516426=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #516427=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #516428=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #516429=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #516430=CARTESIAN_POINT('Origin',(31.503884,6.67,0.)); #516431=CARTESIAN_POINT('',(31.503884,6.67,0.)); #516432=CARTESIAN_POINT('',(31.503884,6.67,0.)); #516433=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #516434=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #516435=CARTESIAN_POINT('',(31.503884,6.67,0.)); #516436=CARTESIAN_POINT('Origin',(31.3,6.67,0.)); #516437=CARTESIAN_POINT('',(31.3,6.67,0.)); #516438=CARTESIAN_POINT('',(31.3,6.67,0.)); #516439=CARTESIAN_POINT('',(31.3,6.67,0.035)); #516440=CARTESIAN_POINT('',(31.3,6.67,0.035)); #516441=CARTESIAN_POINT('',(31.3,6.67,0.)); #516442=CARTESIAN_POINT('Origin',(31.3,6.7864781,0.)); #516443=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #516444=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #516445=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #516446=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #516447=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #516448=CARTESIAN_POINT('Origin',(31.296041,6.7890781,0.)); #516449=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #516450=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #516451=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #516452=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #516453=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #516454=CARTESIAN_POINT('Origin',(31.267459,6.8307656,0.)); #516455=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #516456=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #516457=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #516458=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #516459=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #516460=CARTESIAN_POINT('Origin',(31.2574,6.8803,0.)); #516461=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #516462=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #516463=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #516464=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #516465=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #516466=CARTESIAN_POINT('Origin',(31.2574,7.8196406,0.)); #516467=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #516468=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #516469=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #516470=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #516471=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #516472=CARTESIAN_POINT('Origin',(31.253863,7.8613281,0.)); #516473=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #516474=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #516475=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #516476=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #516477=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #516478=CARTESIAN_POINT('Origin',(31.254263,7.8613625,0.)); #516479=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #516480=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #516481=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #516482=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #516483=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #516484=CARTESIAN_POINT('Origin',(31.2524,7.8759438,0.)); #516485=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #516486=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #516487=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #516488=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #516489=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #516490=CARTESIAN_POINT('Origin',(31.2524,7.9263125,0.)); #516491=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #516492=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #516493=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #516494=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #516495=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #516496=CARTESIAN_POINT('Origin',(31.25,7.9381313,0.)); #516497=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #516498=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #516499=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #516500=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #516501=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #516502=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #516503=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #516504=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #516505=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #516506=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #516507=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #516508=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #516509=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #516510=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #516511=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #516512=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #516513=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #516514=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #516515=CARTESIAN_POINT('',(31.242016,8.,0.)); #516516=CARTESIAN_POINT('',(31.242016,8.,0.)); #516517=CARTESIAN_POINT('',(31.242016,8.,0.035)); #516518=CARTESIAN_POINT('',(31.242016,8.,0.035)); #516519=CARTESIAN_POINT('',(31.242016,8.,0.)); #516520=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #516521=CARTESIAN_POINT('',(31.021684,8.,0.)); #516522=CARTESIAN_POINT('',(31.021684,8.,0.)); #516523=CARTESIAN_POINT('',(31.021684,8.,0.035)); #516524=CARTESIAN_POINT('',(31.021684,8.,0.035)); #516525=CARTESIAN_POINT('',(31.021684,8.,0.)); #516526=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #516527=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #516528=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #516529=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #516530=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #516531=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #516532=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #516533=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #516534=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #516535=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #516536=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #516537=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #516538=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #516539=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #516540=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #516541=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #516542=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #516543=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #516544=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #516545=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #516546=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #516547=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #516548=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #516549=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #516550=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #516551=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #516552=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #516553=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #516554=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #516555=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #516556=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #516557=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #516558=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #516559=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #516560=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #516561=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #516562=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #516563=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #516564=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #516565=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #516566=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #516567=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #516568=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #516569=CARTESIAN_POINT('',(30.25,9.9456,0.)); #516570=CARTESIAN_POINT('',(30.25,9.9456,0.)); #516571=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #516572=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #516573=CARTESIAN_POINT('',(30.25,9.9456,0.)); #516574=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #516575=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #516576=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #516577=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #516578=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #516579=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #516580=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #516581=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #516582=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #516583=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #516584=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #516585=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #516586=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #516587=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #516588=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #516589=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #516590=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #516591=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #516592=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #516593=CARTESIAN_POINT('',(30.377,10.0726,0.)); #516594=CARTESIAN_POINT('',(30.377,10.0726,0.)); #516595=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #516596=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #516597=CARTESIAN_POINT('',(30.377,10.0726,0.)); #516598=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #516599=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #516600=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #516601=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #516602=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #516603=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #516604=CARTESIAN_POINT('Origin',(30.838638,10.234203,0.)); #516605=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #516606=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #516607=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #516608=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #516609=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #516610=CARTESIAN_POINT('Origin',(30.878906,10.261341,0.)); #516611=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #516612=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #516613=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #516614=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #516615=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #516616=CARTESIAN_POINT('Origin',(30.928441,10.2714,0.)); #516617=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #516618=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #516619=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #516620=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #516621=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #516622=CARTESIAN_POINT('Origin',(36.071559,10.2714,0.)); #516623=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #516624=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #516625=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #516626=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #516627=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #516628=CARTESIAN_POINT('Origin',(36.119222,10.262116,0.)); #516629=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #516630=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #516631=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #516632=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #516633=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #516634=CARTESIAN_POINT('Origin',(36.161363,10.234203,0.)); #516635=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #516636=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #516637=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #516638=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #516639=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #516640=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #516641=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #516642=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #516643=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #516644=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #516645=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #516646=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #516647=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #516648=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #516649=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #516650=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #516651=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #516652=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #516653=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #516654=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #516655=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #516656=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #516657=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #516658=CARTESIAN_POINT('Origin',(36.9274,10.373,0.)); #516659=CARTESIAN_POINT('',(36.9274,10.373,0.)); #516660=CARTESIAN_POINT('',(36.9274,10.373,0.)); #516661=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #516662=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #516663=CARTESIAN_POINT('',(36.9274,10.373,0.)); #516664=CARTESIAN_POINT('Origin',(36.935938,10.418781,0.)); #516665=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #516666=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #516667=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #516668=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #516669=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #516670=CARTESIAN_POINT('Origin',(36.963178,10.461359,0.)); #516671=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #516672=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #516673=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #516674=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #516675=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #516676=CARTESIAN_POINT('Origin',(37.004866,10.489941,0.)); #516677=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #516678=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #516679=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #516680=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #516681=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #516682=CARTESIAN_POINT('Origin',(37.0544,10.5,0.)); #516683=CARTESIAN_POINT('',(37.0544,10.5,0.)); #516684=CARTESIAN_POINT('',(37.0544,10.5,0.)); #516685=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #516686=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #516687=CARTESIAN_POINT('',(37.0544,10.5,0.)); #516688=CARTESIAN_POINT('Origin',(37.197394,10.5,0.)); #516689=CARTESIAN_POINT('',(37.197394,10.5,0.)); #516690=CARTESIAN_POINT('',(37.197394,10.5,0.)); #516691=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #516692=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #516693=CARTESIAN_POINT('',(37.197394,10.5,0.)); #516694=CARTESIAN_POINT('Origin',(37.245056,10.490716,0.)); #516695=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #516696=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #516697=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #516698=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #516699=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #516700=CARTESIAN_POINT('Origin',(37.287197,10.462803,0.)); #516701=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #516702=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #516703=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #516704=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #516705=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #516706=CARTESIAN_POINT('Origin',(37.712803,10.037197,0.)); #516707=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #516708=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #516709=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #516710=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #516711=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #516712=CARTESIAN_POINT('Origin',(37.739941,9.9969281,0.)); #516713=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #516714=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #516715=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #516716=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #516717=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #516718=CARTESIAN_POINT('Origin',(37.75,9.9473937,0.)); #516719=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #516720=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #516721=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #516722=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #516723=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #516724=CARTESIAN_POINT('Origin',(37.75,8.5526063,0.)); #516725=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #516726=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #516727=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #516728=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #516729=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #516730=CARTESIAN_POINT('Origin',(37.740716,8.5049438,0.)); #516731=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #516732=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #516733=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #516734=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #516735=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #516736=CARTESIAN_POINT('Origin',(37.712803,8.4628031,0.)); #516737=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #516738=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #516739=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #516740=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #516741=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #516742=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #516743=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #516744=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #516745=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #516746=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #516747=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #516748=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #516749=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #516750=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #516751=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #516752=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #516753=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #516754=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #516755=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #516756=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #516757=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #516758=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #516759=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #516760=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #516761=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #516762=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #516763=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #516764=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #516765=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #516766=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #516767=CARTESIAN_POINT('',(36.978316,8.,0.)); #516768=CARTESIAN_POINT('',(36.978316,8.,0.)); #516769=CARTESIAN_POINT('',(36.978316,8.,0.035)); #516770=CARTESIAN_POINT('',(36.978316,8.,0.035)); #516771=CARTESIAN_POINT('',(36.978316,8.,0.)); #516772=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #516773=CARTESIAN_POINT('',(36.760022,8.,0.)); #516774=CARTESIAN_POINT('',(36.760022,8.,0.)); #516775=CARTESIAN_POINT('',(36.760022,8.,0.035)); #516776=CARTESIAN_POINT('',(36.760022,8.,0.035)); #516777=CARTESIAN_POINT('',(36.760022,8.,0.)); #516778=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #516779=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #516780=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #516781=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #516782=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #516783=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #516784=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #516785=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #516786=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #516787=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #516788=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #516789=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #516790=CARTESIAN_POINT('Origin',(36.75,7.9381313,0.)); #516791=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #516792=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #516793=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #516794=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #516795=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #516796=CARTESIAN_POINT('Origin',(36.7476,7.9258094,0.)); #516797=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #516798=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #516799=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #516800=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #516801=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #516802=CARTESIAN_POINT('Origin',(36.7476,7.8709656,0.)); #516803=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #516804=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #516805=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #516806=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #516807=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #516808=CARTESIAN_POINT('Origin',(36.746856,7.8572313,0.)); #516809=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #516810=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #516811=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #516812=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #516813=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #516814=CARTESIAN_POINT('Origin',(36.7426,7.8181031,0.)); #516815=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #516816=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #516817=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #516818=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #516819=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #516820=CARTESIAN_POINT('Origin',(36.7426,6.8803,0.)); #516821=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #516822=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #516823=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #516824=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #516825=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #516826=CARTESIAN_POINT('Origin',(36.733694,6.833575,0.)); #516827=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #516828=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #516829=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #516830=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #516831=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #516832=CARTESIAN_POINT('Origin',(36.706116,6.7912156,0.)); #516833=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #516834=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #516835=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #516836=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #516837=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #516838=CARTESIAN_POINT('Origin',(36.7,6.7870938,0.)); #516839=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #516840=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #516841=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #516842=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #516843=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #516844=CARTESIAN_POINT('Origin',(36.7,6.67,0.)); #516845=CARTESIAN_POINT('',(36.7,6.67,0.)); #516846=CARTESIAN_POINT('',(36.7,6.67,0.)); #516847=CARTESIAN_POINT('',(36.7,6.67,0.035)); #516848=CARTESIAN_POINT('',(36.7,6.67,0.035)); #516849=CARTESIAN_POINT('',(36.7,6.67,0.)); #516850=CARTESIAN_POINT('Origin',(36.496116,6.67,0.)); #516851=CARTESIAN_POINT('',(36.496116,6.67,0.)); #516852=CARTESIAN_POINT('',(36.496116,6.67,0.)); #516853=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #516854=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #516855=CARTESIAN_POINT('',(36.496116,6.67,0.)); #516856=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #516857=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #516858=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #516859=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #516860=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #516861=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #516862=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #516863=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #516864=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #516865=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #516866=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #516867=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #516868=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #516869=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #516870=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #516871=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #516872=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #516873=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #516874=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #516875=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #516876=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #516877=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #516878=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #516879=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #516880=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #516881=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #516882=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #516883=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #516884=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #516885=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #516886=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #516887=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #516888=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #516889=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #516890=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #516891=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #516892=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #516893=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #516894=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #516895=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #516896=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #516897=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #516898=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #516899=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #516900=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #516901=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #516902=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #516903=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #516904=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #516905=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #516906=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #516907=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #516908=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #516909=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #516910=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #516911=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #516912=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #516913=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #516914=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #516915=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #516916=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #516917=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #516918=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #516919=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.035)); #516920=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.)); #516921=CARTESIAN_POINT('Origin',(49.875,39.625,-200.)); #516922=CARTESIAN_POINT('',(49.735,39.625,0.)); #516923=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #516924=CARTESIAN_POINT('',(49.735,39.625,0.0349999999999895)); #516925=CARTESIAN_POINT('',(49.735,39.625,-200.)); #516926=CARTESIAN_POINT('Origin',(49.875,39.625,0.0349999999999895)); #516927=CARTESIAN_POINT('Origin',(33.25,56.5,-200.)); #516928=CARTESIAN_POINT('',(33.11,56.5,0.)); #516929=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #516930=CARTESIAN_POINT('',(33.11,56.5,0.0349999999999895)); #516931=CARTESIAN_POINT('',(33.11,56.5,-200.)); #516932=CARTESIAN_POINT('Origin',(33.25,56.5,0.0349999999999895)); #516933=CARTESIAN_POINT('Origin',(49.896644,39.35,0.)); #516934=CARTESIAN_POINT('',(49.896644,39.35,0.)); #516935=CARTESIAN_POINT('',(49.939397,39.356772,0.)); #516936=CARTESIAN_POINT('',(49.896644,39.35,0.)); #516937=CARTESIAN_POINT('',(49.939397,39.356772,0.035)); #516938=CARTESIAN_POINT('',(49.939397,39.356772,0.)); #516939=CARTESIAN_POINT('',(49.896644,39.35,0.035)); #516940=CARTESIAN_POINT('',(49.896644,39.35,0.035)); #516941=CARTESIAN_POINT('',(49.896644,39.35,0.)); #516942=CARTESIAN_POINT('Origin',(49.8753155524372,39.6246034813592,0.)); #516943=CARTESIAN_POINT('',(49.612713,39.707681,0.)); #516944=CARTESIAN_POINT('Origin',(49.8753155524372,39.6246034813592,0.)); #516945=CARTESIAN_POINT('',(49.612713,39.707681,0.035)); #516946=CARTESIAN_POINT('Origin',(49.8753155524372,39.6246034813592,0.035)); #516947=CARTESIAN_POINT('',(49.612713,39.707681,0.)); #516948=CARTESIAN_POINT('Origin',(49.447394,39.873,0.)); #516949=CARTESIAN_POINT('',(49.447394,39.873,0.)); #516950=CARTESIAN_POINT('',(49.447394,39.873,0.)); #516951=CARTESIAN_POINT('',(49.447394,39.873,0.035)); #516952=CARTESIAN_POINT('',(49.447394,39.873,0.035)); #516953=CARTESIAN_POINT('',(49.447394,39.873,0.)); #516954=CARTESIAN_POINT('Origin',(48.25,39.873,0.)); #516955=CARTESIAN_POINT('',(48.25,39.873,0.)); #516956=CARTESIAN_POINT('',(48.25,39.873,0.)); #516957=CARTESIAN_POINT('',(48.25,39.873,0.035)); #516958=CARTESIAN_POINT('',(48.25,39.873,0.035)); #516959=CARTESIAN_POINT('',(48.25,39.873,0.)); #516960=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #516961=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #516962=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #516963=CARTESIAN_POINT('',(48.160197,39.910197,0.035)); #516964=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.035)); #516965=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #516966=CARTESIAN_POINT('Origin',(46.697394,41.373,0.)); #516967=CARTESIAN_POINT('',(46.697394,41.373,0.)); #516968=CARTESIAN_POINT('',(46.697394,41.373,0.)); #516969=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #516970=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #516971=CARTESIAN_POINT('',(46.697394,41.373,0.)); #516972=CARTESIAN_POINT('Origin',(43.25,41.373,0.)); #516973=CARTESIAN_POINT('',(43.25,41.373,0.)); #516974=CARTESIAN_POINT('',(43.25,41.373,0.)); #516975=CARTESIAN_POINT('',(43.25,41.373,0.035)); #516976=CARTESIAN_POINT('',(43.25,41.373,0.035)); #516977=CARTESIAN_POINT('',(43.25,41.373,0.)); #516978=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #516979=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #516980=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #516981=CARTESIAN_POINT('',(43.160197,41.410197,0.035)); #516982=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.035)); #516983=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #516984=CARTESIAN_POINT('Origin',(41.910197,42.660197,0.)); #516985=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #516986=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #516987=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #516988=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #516989=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #516990=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #516991=CARTESIAN_POINT('',(41.873,42.75,0.)); #516992=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #516993=CARTESIAN_POINT('',(41.873,42.75,0.035)); #516994=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.035)); #516995=CARTESIAN_POINT('',(41.873,42.75,0.)); #516996=CARTESIAN_POINT('Origin',(41.873,49.447394,0.)); #516997=CARTESIAN_POINT('',(41.873,49.447394,0.)); #516998=CARTESIAN_POINT('',(41.873,49.447394,0.)); #516999=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #517000=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #517001=CARTESIAN_POINT('',(41.873,49.447394,0.)); #517002=CARTESIAN_POINT('Origin',(41.197394,50.123,0.)); #517003=CARTESIAN_POINT('',(41.197394,50.123,0.)); #517004=CARTESIAN_POINT('',(41.197394,50.123,0.)); #517005=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #517006=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #517007=CARTESIAN_POINT('',(41.197394,50.123,0.)); #517008=CARTESIAN_POINT('Origin',(36.3125,50.123,0.)); #517009=CARTESIAN_POINT('',(36.3125,50.123,0.)); #517010=CARTESIAN_POINT('',(36.3125,50.123,0.)); #517011=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #517012=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #517013=CARTESIAN_POINT('',(36.3125,50.123,0.)); #517014=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #517015=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #517016=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #517017=CARTESIAN_POINT('',(36.222697,50.160197,0.035)); #517018=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.035)); #517019=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #517020=CARTESIAN_POINT('Origin',(33.535197,52.847697,0.)); #517021=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #517022=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #517023=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #517024=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #517025=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #517026=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #517027=CARTESIAN_POINT('',(33.498,52.9375,0.)); #517028=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #517029=CARTESIAN_POINT('',(33.498,52.9375,0.035)); #517030=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.035)); #517031=CARTESIAN_POINT('',(33.498,52.9375,0.)); #517032=CARTESIAN_POINT('Origin',(33.498,55.447394,0.)); #517033=CARTESIAN_POINT('',(33.498,55.447394,0.)); #517034=CARTESIAN_POINT('',(33.498,55.447394,0.)); #517035=CARTESIAN_POINT('',(33.498,55.447394,0.035)); #517036=CARTESIAN_POINT('',(33.498,55.447394,0.035)); #517037=CARTESIAN_POINT('',(33.498,55.447394,0.)); #517038=CARTESIAN_POINT('Origin',(33.160197,55.785197,0.)); #517039=CARTESIAN_POINT('',(33.160197,55.785197,0.)); #517040=CARTESIAN_POINT('',(33.160197,55.785197,0.)); #517041=CARTESIAN_POINT('',(33.160197,55.785197,0.035)); #517042=CARTESIAN_POINT('',(33.160197,55.785197,0.035)); #517043=CARTESIAN_POINT('',(33.160197,55.785197,0.)); #517044=CARTESIAN_POINT('Origin',(33.2500003087252,55.8749992502996,0.)); #517045=CARTESIAN_POINT('',(33.123,55.875,0.)); #517046=CARTESIAN_POINT('Origin',(33.2500003087252,55.8749992502996,0.)); #517047=CARTESIAN_POINT('',(33.123,55.875,0.035)); #517048=CARTESIAN_POINT('Origin',(33.2500003087252,55.8749992502996,0.035)); #517049=CARTESIAN_POINT('',(33.123,55.875,0.)); #517050=CARTESIAN_POINT('Origin',(33.123,56.256072,0.)); #517051=CARTESIAN_POINT('',(33.123,56.256072,0.)); #517052=CARTESIAN_POINT('',(33.123,56.256072,0.)); #517053=CARTESIAN_POINT('',(33.123,56.256072,0.035)); #517054=CARTESIAN_POINT('',(33.123,56.256072,0.035)); #517055=CARTESIAN_POINT('',(33.123,56.256072,0.)); #517056=CARTESIAN_POINT('Origin',(33.2500204601791,56.5004637716938,0.)); #517057=CARTESIAN_POINT('',(33.144438,56.754853,0.)); #517058=CARTESIAN_POINT('Origin',(33.2500204601791,56.5004637716938,0.)); #517059=CARTESIAN_POINT('',(33.144438,56.754853,0.035)); #517060=CARTESIAN_POINT('Origin',(33.2500204601791,56.5004637716938,0.035)); #517061=CARTESIAN_POINT('',(33.144438,56.754853,0.)); #517062=CARTESIAN_POINT('Origin',(33.2498222285952,56.500381763261,0.)); #517063=CARTESIAN_POINT('',(33.377,56.256072,0.)); #517064=CARTESIAN_POINT('Origin',(33.2498222285952,56.500381763261,0.)); #517065=CARTESIAN_POINT('',(33.377,56.256072,0.035)); #517066=CARTESIAN_POINT('Origin',(33.2498222285952,56.500381763261,0.035)); #517067=CARTESIAN_POINT('',(33.377,56.256072,0.)); #517068=CARTESIAN_POINT('Origin',(33.377,55.927606,0.)); #517069=CARTESIAN_POINT('',(33.377,55.927606,0.)); #517070=CARTESIAN_POINT('',(33.377,55.927606,0.)); #517071=CARTESIAN_POINT('',(33.377,55.927606,0.035)); #517072=CARTESIAN_POINT('',(33.377,55.927606,0.035)); #517073=CARTESIAN_POINT('',(33.377,55.927606,0.)); #517074=CARTESIAN_POINT('Origin',(33.714803,55.589803,0.)); #517075=CARTESIAN_POINT('',(33.714803,55.589803,0.)); #517076=CARTESIAN_POINT('',(33.714803,55.589803,0.)); #517077=CARTESIAN_POINT('',(33.714803,55.589803,0.035)); #517078=CARTESIAN_POINT('',(33.714803,55.589803,0.035)); #517079=CARTESIAN_POINT('',(33.714803,55.589803,0.)); #517080=CARTESIAN_POINT('Origin',(33.6249996912748,55.5000007497004,0.)); #517081=CARTESIAN_POINT('',(33.752,55.5,0.)); #517082=CARTESIAN_POINT('Origin',(33.6249996912748,55.5000007497004,0.)); #517083=CARTESIAN_POINT('',(33.752,55.5,0.035)); #517084=CARTESIAN_POINT('Origin',(33.6249996912748,55.5000007497004,0.035)); #517085=CARTESIAN_POINT('',(33.752,55.5,0.)); #517086=CARTESIAN_POINT('Origin',(33.752,52.990106,0.)); #517087=CARTESIAN_POINT('',(33.752,52.990106,0.)); #517088=CARTESIAN_POINT('',(33.752,52.990106,0.)); #517089=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #517090=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #517091=CARTESIAN_POINT('',(33.752,52.990106,0.)); #517092=CARTESIAN_POINT('Origin',(36.365106,50.377,0.)); #517093=CARTESIAN_POINT('',(36.365106,50.377,0.)); #517094=CARTESIAN_POINT('',(36.365106,50.377,0.)); #517095=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #517096=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #517097=CARTESIAN_POINT('',(36.365106,50.377,0.)); #517098=CARTESIAN_POINT('Origin',(41.25,50.377,0.)); #517099=CARTESIAN_POINT('',(41.25,50.377,0.)); #517100=CARTESIAN_POINT('',(41.25,50.377,0.)); #517101=CARTESIAN_POINT('',(41.25,50.377,0.035)); #517102=CARTESIAN_POINT('',(41.25,50.377,0.035)); #517103=CARTESIAN_POINT('',(41.25,50.377,0.)); #517104=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #517105=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #517106=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #517107=CARTESIAN_POINT('',(41.339803,50.339803,0.035)); #517108=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.035)); #517109=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #517110=CARTESIAN_POINT('Origin',(42.089803,49.589803,0.)); #517111=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #517112=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #517113=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #517114=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #517115=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #517116=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #517117=CARTESIAN_POINT('',(42.127,49.5,0.)); #517118=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #517119=CARTESIAN_POINT('',(42.127,49.5,0.035)); #517120=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.035)); #517121=CARTESIAN_POINT('',(42.127,49.5,0.)); #517122=CARTESIAN_POINT('Origin',(42.127,42.802606,0.)); #517123=CARTESIAN_POINT('',(42.127,42.802606,0.)); #517124=CARTESIAN_POINT('',(42.127,42.802606,0.)); #517125=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #517126=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #517127=CARTESIAN_POINT('',(42.127,42.802606,0.)); #517128=CARTESIAN_POINT('Origin',(43.302606,41.627,0.)); #517129=CARTESIAN_POINT('',(43.302606,41.627,0.)); #517130=CARTESIAN_POINT('',(43.302606,41.627,0.)); #517131=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #517132=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #517133=CARTESIAN_POINT('',(43.302606,41.627,0.)); #517134=CARTESIAN_POINT('Origin',(46.75,41.627,0.)); #517135=CARTESIAN_POINT('',(46.75,41.627,0.)); #517136=CARTESIAN_POINT('',(46.75,41.627,0.)); #517137=CARTESIAN_POINT('',(46.75,41.627,0.035)); #517138=CARTESIAN_POINT('',(46.75,41.627,0.035)); #517139=CARTESIAN_POINT('',(46.75,41.627,0.)); #517140=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #517141=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #517142=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #517143=CARTESIAN_POINT('',(46.839803,41.589803,0.035)); #517144=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.035)); #517145=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #517146=CARTESIAN_POINT('Origin',(48.302606,40.127,0.)); #517147=CARTESIAN_POINT('',(48.302606,40.127,0.)); #517148=CARTESIAN_POINT('',(48.302606,40.127,0.)); #517149=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #517150=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #517151=CARTESIAN_POINT('',(48.302606,40.127,0.)); #517152=CARTESIAN_POINT('Origin',(49.5,40.127,0.)); #517153=CARTESIAN_POINT('',(49.5,40.127,0.)); #517154=CARTESIAN_POINT('',(49.5,40.127,0.)); #517155=CARTESIAN_POINT('',(49.5,40.127,0.035)); #517156=CARTESIAN_POINT('',(49.5,40.127,0.035)); #517157=CARTESIAN_POINT('',(49.5,40.127,0.)); #517158=CARTESIAN_POINT('Origin',(49.5000007497004,39.9999996912748,0.)); #517159=CARTESIAN_POINT('',(49.589803,40.089803,0.)); #517160=CARTESIAN_POINT('Origin',(49.5000007497004,39.9999996912748,0.)); #517161=CARTESIAN_POINT('',(49.589803,40.089803,0.035)); #517162=CARTESIAN_POINT('Origin',(49.5000007497004,39.9999996912748,0.035)); #517163=CARTESIAN_POINT('',(49.589803,40.089803,0.)); #517164=CARTESIAN_POINT('Origin',(49.792319,39.887287,0.)); #517165=CARTESIAN_POINT('',(49.792319,39.887287,0.)); #517166=CARTESIAN_POINT('',(49.792319,39.887287,0.)); #517167=CARTESIAN_POINT('',(49.792319,39.887287,0.035)); #517168=CARTESIAN_POINT('',(49.792319,39.887287,0.035)); #517169=CARTESIAN_POINT('',(49.792319,39.887287,0.)); #517170=CARTESIAN_POINT('Origin',(49.810603,39.893228,0.)); #517171=CARTESIAN_POINT('',(49.810603,39.893228,0.)); #517172=CARTESIAN_POINT('',(49.810603,39.893228,0.)); #517173=CARTESIAN_POINT('',(49.810603,39.893228,0.035)); #517174=CARTESIAN_POINT('',(49.810603,39.893228,0.035)); #517175=CARTESIAN_POINT('',(49.810603,39.893228,0.)); #517176=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #517177=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #517178=CARTESIAN_POINT('Origin',(49.875,39.625,0.035)); #517179=CARTESIAN_POINT('Origin',(40.7195734981659,47.2253074306699,0.035)); #517180=CARTESIAN_POINT('Origin',(40.7195734981659,47.2253074306699,0.)); #517181=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #517182=CARTESIAN_POINT('',(39.11,19.,0.)); #517183=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #517184=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #517185=CARTESIAN_POINT('',(39.11,19.,-200.)); #517186=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #517187=CARTESIAN_POINT('Origin',(39.271644,18.725,0.)); #517188=CARTESIAN_POINT('',(39.271644,18.725,0.)); #517189=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #517190=CARTESIAN_POINT('',(39.271644,18.725,0.)); #517191=CARTESIAN_POINT('',(39.314397,18.731772,0.035)); #517192=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #517193=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #517194=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #517195=CARTESIAN_POINT('',(39.271644,18.725,0.)); #517196=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #517197=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #517198=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #517199=CARTESIAN_POINT('',(39.185603,19.268228,0.035)); #517200=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.035)); #517201=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #517202=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #517203=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #517204=CARTESIAN_POINT('Origin',(39.25,19.,0.035)); #517205=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.035)); #517206=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.)); #517207=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #517208=CARTESIAN_POINT('',(53.36,20.25,0.)); #517209=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #517210=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #517211=CARTESIAN_POINT('',(53.36,20.25,-200.)); #517212=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #517213=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #517214=CARTESIAN_POINT('',(51.86,20.25,0.)); #517215=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #517216=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #517217=CARTESIAN_POINT('',(51.86,20.25,-200.)); #517218=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #517219=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #517220=CARTESIAN_POINT('',(51.785,34.,0.)); #517221=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #517222=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #517223=CARTESIAN_POINT('',(51.785,34.,-200.)); #517224=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #517225=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #517226=CARTESIAN_POINT('',(52.61,20.25,0.)); #517227=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #517228=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #517229=CARTESIAN_POINT('',(52.61,20.25,-200.)); #517230=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #517231=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #517232=CARTESIAN_POINT('',(51.86,21.,0.)); #517233=CARTESIAN_POINT('Origin',(52.,21.,0.)); #517234=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #517235=CARTESIAN_POINT('',(51.86,21.,-200.)); #517236=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #517237=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #517238=CARTESIAN_POINT('',(52.61,21.,0.)); #517239=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #517240=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #517241=CARTESIAN_POINT('',(52.61,21.,-200.)); #517242=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #517243=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #517244=CARTESIAN_POINT('',(51.86,21.75,0.)); #517245=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #517246=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #517247=CARTESIAN_POINT('',(51.86,21.75,-200.)); #517248=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #517249=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #517250=CARTESIAN_POINT('',(52.61,21.75,0.)); #517251=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #517252=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #517253=CARTESIAN_POINT('',(52.61,21.75,-200.)); #517254=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #517255=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #517256=CARTESIAN_POINT('',(53.36,21.,0.)); #517257=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #517258=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #517259=CARTESIAN_POINT('',(53.36,21.,-200.)); #517260=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #517261=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #517262=CARTESIAN_POINT('',(53.36,21.75,0.)); #517263=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #517264=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #517265=CARTESIAN_POINT('',(53.36,21.75,-200.)); #517266=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #517267=CARTESIAN_POINT('Origin',(53.697394,19.25,0.)); #517268=CARTESIAN_POINT('',(53.697394,19.25,0.)); #517269=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #517270=CARTESIAN_POINT('',(53.697394,19.25,0.)); #517271=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #517272=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #517273=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #517274=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #517275=CARTESIAN_POINT('',(53.697394,19.25,0.)); #517276=CARTESIAN_POINT('Origin',(51.552606,19.25,0.)); #517277=CARTESIAN_POINT('',(51.552606,19.25,0.)); #517278=CARTESIAN_POINT('',(51.552606,19.25,0.)); #517279=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #517280=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #517281=CARTESIAN_POINT('',(51.552606,19.25,0.)); #517282=CARTESIAN_POINT('Origin',(51.504944,19.259284,0.)); #517283=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #517284=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #517285=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #517286=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #517287=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #517288=CARTESIAN_POINT('Origin',(51.462803,19.287197,0.)); #517289=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #517290=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #517291=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #517292=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #517293=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #517294=CARTESIAN_POINT('Origin',(51.287197,19.462803,0.)); #517295=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #517296=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #517297=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #517298=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #517299=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #517300=CARTESIAN_POINT('Origin',(51.260059,19.503072,0.)); #517301=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #517302=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #517303=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #517304=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #517305=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #517306=CARTESIAN_POINT('Origin',(51.25,19.552606,0.)); #517307=CARTESIAN_POINT('',(51.25,19.552606,0.)); #517308=CARTESIAN_POINT('',(51.25,19.552606,0.)); #517309=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #517310=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #517311=CARTESIAN_POINT('',(51.25,19.552606,0.)); #517312=CARTESIAN_POINT('Origin',(51.25,35.447394,0.)); #517313=CARTESIAN_POINT('',(51.25,35.447394,0.)); #517314=CARTESIAN_POINT('',(51.25,35.447394,0.)); #517315=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #517316=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #517317=CARTESIAN_POINT('',(51.25,35.447394,0.)); #517318=CARTESIAN_POINT('Origin',(51.259284,35.495056,0.)); #517319=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #517320=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #517321=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #517322=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #517323=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #517324=CARTESIAN_POINT('Origin',(51.287197,35.537197,0.)); #517325=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #517326=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #517327=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #517328=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #517329=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #517330=CARTESIAN_POINT('Origin',(51.462803,35.712803,0.)); #517331=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #517332=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #517333=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #517334=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #517335=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #517336=CARTESIAN_POINT('Origin',(51.503072,35.739941,0.)); #517337=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #517338=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #517339=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #517340=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #517341=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #517342=CARTESIAN_POINT('Origin',(51.552606,35.75,0.)); #517343=CARTESIAN_POINT('',(51.552606,35.75,0.)); #517344=CARTESIAN_POINT('',(51.552606,35.75,0.)); #517345=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #517346=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #517347=CARTESIAN_POINT('',(51.552606,35.75,0.)); #517348=CARTESIAN_POINT('Origin',(53.697394,35.75,0.)); #517349=CARTESIAN_POINT('',(53.697394,35.75,0.)); #517350=CARTESIAN_POINT('',(53.697394,35.75,0.)); #517351=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #517352=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #517353=CARTESIAN_POINT('',(53.697394,35.75,0.)); #517354=CARTESIAN_POINT('Origin',(53.745056,35.740716,0.)); #517355=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #517356=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #517357=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #517358=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #517359=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #517360=CARTESIAN_POINT('Origin',(53.787197,35.712803,0.)); #517361=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #517362=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #517363=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #517364=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #517365=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #517366=CARTESIAN_POINT('Origin',(53.962803,35.537197,0.)); #517367=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #517368=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #517369=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #517370=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #517371=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #517372=CARTESIAN_POINT('Origin',(53.989941,35.496928,0.)); #517373=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #517374=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #517375=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #517376=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #517377=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #517378=CARTESIAN_POINT('Origin',(54.,35.447394,0.)); #517379=CARTESIAN_POINT('',(54.,35.447394,0.)); #517380=CARTESIAN_POINT('',(54.,35.447394,0.)); #517381=CARTESIAN_POINT('',(54.,35.447394,0.035)); #517382=CARTESIAN_POINT('',(54.,35.447394,0.035)); #517383=CARTESIAN_POINT('',(54.,35.447394,0.)); #517384=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #517385=CARTESIAN_POINT('',(54.,19.552606,0.)); #517386=CARTESIAN_POINT('',(54.,19.552606,0.)); #517387=CARTESIAN_POINT('',(54.,19.552606,0.035)); #517388=CARTESIAN_POINT('',(54.,19.552606,0.035)); #517389=CARTESIAN_POINT('',(54.,19.552606,0.)); #517390=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #517391=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #517392=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #517393=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #517394=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #517395=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #517396=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #517397=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #517398=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #517399=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #517400=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #517401=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #517402=CARTESIAN_POINT('Origin',(53.787197,19.287197,0.)); #517403=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #517404=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #517405=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #517406=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #517407=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #517408=CARTESIAN_POINT('Origin',(53.746928,19.260059,0.)); #517409=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #517410=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #517411=CARTESIAN_POINT('Origin',(52.625,27.5,0.035)); #517412=CARTESIAN_POINT('Origin',(52.625,27.5,0.)); #517413=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #517414=CARTESIAN_POINT('',(32.165,45.,-0.0349999999999895)); #517415=CARTESIAN_POINT('Origin',(32.73,45.,-0.0349999999999895)); #517416=CARTESIAN_POINT('',(32.165,45.,0.)); #517417=CARTESIAN_POINT('',(32.165,45.,-200.)); #517418=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #517419=CARTESIAN_POINT('Origin',(32.769294,44.1,-0.035)); #517420=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #517421=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #517422=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #517423=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #517424=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #517425=CARTESIAN_POINT('',(32.769294,44.1,0.)); #517426=CARTESIAN_POINT('',(32.769294,44.1,0.)); #517427=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #517428=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #517429=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #517430=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #517431=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #517432=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #517433=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #517434=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #517435=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #517436=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #517437=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #517438=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,-0.035)); #517439=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #517440=CARTESIAN_POINT('',(34.705,45.,-0.0349999999999895)); #517441=CARTESIAN_POINT('Origin',(35.27,45.,-0.0349999999999895)); #517442=CARTESIAN_POINT('',(34.705,45.,0.)); #517443=CARTESIAN_POINT('',(34.705,45.,-200.)); #517444=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #517445=CARTESIAN_POINT('Origin',(35.309294,44.1,-0.035)); #517446=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #517447=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #517448=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #517449=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #517450=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #517451=CARTESIAN_POINT('',(35.309294,44.1,0.)); #517452=CARTESIAN_POINT('',(35.309294,44.1,0.)); #517453=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #517454=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #517455=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #517456=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #517457=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #517458=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #517459=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #517460=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #517461=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #517462=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #517463=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #517464=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,-0.035)); #517465=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #517466=CARTESIAN_POINT('',(31.035,27.75,-0.0349999999999895)); #517467=CARTESIAN_POINT('Origin',(31.5,27.75,-0.0349999999999895)); #517468=CARTESIAN_POINT('',(31.035,27.75,0.)); #517469=CARTESIAN_POINT('',(31.035,27.75,-200.)); #517470=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #517471=CARTESIAN_POINT('Origin',(31.536844,27.,-0.035)); #517472=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #517473=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #517474=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #517475=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #517476=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #517477=CARTESIAN_POINT('',(31.536844,27.,0.)); #517478=CARTESIAN_POINT('',(31.536844,27.,0.)); #517479=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #517480=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #517481=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #517482=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #517483=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #517484=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #517485=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #517486=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #517487=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #517488=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #517489=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #517490=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,-0.035)); #517491=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #517492=CARTESIAN_POINT('',(45.797,35.27,-0.0349999999999895)); #517493=CARTESIAN_POINT('Origin',(46.27,35.27,-0.0349999999999895)); #517494=CARTESIAN_POINT('',(45.797,35.27,0.)); #517495=CARTESIAN_POINT('',(45.797,35.27,-200.)); #517496=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #517497=CARTESIAN_POINT('Origin',(46.585628,34.508,-0.035)); #517498=CARTESIAN_POINT('',(46.585628,34.508,-0.035)); #517499=CARTESIAN_POINT('',(47.032,34.954372,-0.035)); #517500=CARTESIAN_POINT('',(46.585628,34.508,-0.035)); #517501=CARTESIAN_POINT('',(47.032,34.954372,0.)); #517502=CARTESIAN_POINT('',(47.032,34.954372,-0.035)); #517503=CARTESIAN_POINT('',(46.585628,34.508,0.)); #517504=CARTESIAN_POINT('',(46.585628,34.508,0.)); #517505=CARTESIAN_POINT('',(46.585628,34.508,-0.035)); #517506=CARTESIAN_POINT('Origin',(45.954372,34.508,-0.035)); #517507=CARTESIAN_POINT('',(45.954372,34.508,-0.035)); #517508=CARTESIAN_POINT('',(45.954372,34.508,-0.035)); #517509=CARTESIAN_POINT('',(45.954372,34.508,0.)); #517510=CARTESIAN_POINT('',(45.954372,34.508,0.)); #517511=CARTESIAN_POINT('',(45.954372,34.508,-0.035)); #517512=CARTESIAN_POINT('Origin',(45.508,34.954372,-0.035)); #517513=CARTESIAN_POINT('',(45.508,34.954372,-0.035)); #517514=CARTESIAN_POINT('',(45.508,34.954372,-0.035)); #517515=CARTESIAN_POINT('',(45.508,34.954372,0.)); #517516=CARTESIAN_POINT('',(45.508,34.954372,0.)); #517517=CARTESIAN_POINT('',(45.508,34.954372,-0.035)); #517518=CARTESIAN_POINT('Origin',(45.508,35.585628,-0.035)); #517519=CARTESIAN_POINT('',(45.508,35.585628,-0.035)); #517520=CARTESIAN_POINT('',(45.508,35.585628,-0.035)); #517521=CARTESIAN_POINT('',(45.508,35.585628,0.)); #517522=CARTESIAN_POINT('',(45.508,35.585628,0.)); #517523=CARTESIAN_POINT('',(45.508,35.585628,-0.035)); #517524=CARTESIAN_POINT('Origin',(45.954372,36.032,-0.035)); #517525=CARTESIAN_POINT('',(45.954372,36.032,-0.035)); #517526=CARTESIAN_POINT('',(45.954372,36.032,-0.035)); #517527=CARTESIAN_POINT('',(45.954372,36.032,0.)); #517528=CARTESIAN_POINT('',(45.954372,36.032,0.)); #517529=CARTESIAN_POINT('',(45.954372,36.032,-0.035)); #517530=CARTESIAN_POINT('Origin',(46.585628,36.032,-0.035)); #517531=CARTESIAN_POINT('',(46.585628,36.032,-0.035)); #517532=CARTESIAN_POINT('',(46.585628,36.032,-0.035)); #517533=CARTESIAN_POINT('',(46.585628,36.032,0.)); #517534=CARTESIAN_POINT('',(46.585628,36.032,0.)); #517535=CARTESIAN_POINT('',(46.585628,36.032,-0.035)); #517536=CARTESIAN_POINT('Origin',(47.032,35.585628,-0.035)); #517537=CARTESIAN_POINT('',(47.032,35.585628,-0.035)); #517538=CARTESIAN_POINT('',(47.032,35.585628,-0.035)); #517539=CARTESIAN_POINT('',(47.032,35.585628,0.)); #517540=CARTESIAN_POINT('',(47.032,35.585628,0.)); #517541=CARTESIAN_POINT('',(47.032,35.585628,-0.035)); #517542=CARTESIAN_POINT('Origin',(47.032,34.954372,-0.035)); #517543=CARTESIAN_POINT('',(47.032,34.954372,-0.035)); #517544=CARTESIAN_POINT('',(47.032,34.954372,0.)); #517545=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #517546=CARTESIAN_POINT('Origin',(46.27,35.27,-0.035)); #517547=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #517548=CARTESIAN_POINT('',(15.285,34.,-0.0349999999999895)); #517549=CARTESIAN_POINT('Origin',(15.75,34.,-0.0349999999999895)); #517550=CARTESIAN_POINT('',(15.285,34.,0.)); #517551=CARTESIAN_POINT('',(15.285,34.,-200.)); #517552=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #517553=CARTESIAN_POINT('Origin',(15.786844,33.25,-0.035)); #517554=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #517555=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #517556=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #517557=CARTESIAN_POINT('',(15.860181,33.257222,0.)); #517558=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #517559=CARTESIAN_POINT('',(15.786844,33.25,0.)); #517560=CARTESIAN_POINT('',(15.786844,33.25,0.)); #517561=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #517562=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #517563=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #517564=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #517565=CARTESIAN_POINT('',(15.639819,34.742778,0.)); #517566=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.)); #517567=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #517568=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #517569=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #517570=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #517571=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.)); #517572=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,-0.035)); #517573=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #517574=CARTESIAN_POINT('',(21.235,34.,-0.0349999999999895)); #517575=CARTESIAN_POINT('Origin',(21.75,34.,-0.0349999999999895)); #517576=CARTESIAN_POINT('',(21.235,34.,0.)); #517577=CARTESIAN_POINT('',(21.235,34.,-200.)); #517578=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #517579=CARTESIAN_POINT('Origin',(22.575,33.175,-0.035)); #517580=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #517581=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #517582=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #517583=CARTESIAN_POINT('',(22.575,34.825,0.)); #517584=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #517585=CARTESIAN_POINT('',(22.575,33.175,0.)); #517586=CARTESIAN_POINT('',(22.575,33.175,0.)); #517587=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #517588=CARTESIAN_POINT('Origin',(20.925,33.175,-0.035)); #517589=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #517590=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #517591=CARTESIAN_POINT('',(20.925,33.175,0.)); #517592=CARTESIAN_POINT('',(20.925,33.175,0.)); #517593=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #517594=CARTESIAN_POINT('Origin',(20.925,34.825,-0.035)); #517595=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #517596=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #517597=CARTESIAN_POINT('',(20.925,34.825,0.)); #517598=CARTESIAN_POINT('',(20.925,34.825,0.)); #517599=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #517600=CARTESIAN_POINT('Origin',(22.575,34.825,-0.035)); #517601=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #517602=CARTESIAN_POINT('',(22.575,34.825,0.)); #517603=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #517604=CARTESIAN_POINT('Origin',(21.75,34.,-0.035)); #517605=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #517606=CARTESIAN_POINT('',(37.245,45.,-0.0349999999999895)); #517607=CARTESIAN_POINT('Origin',(37.81,45.,-0.0349999999999895)); #517608=CARTESIAN_POINT('',(37.245,45.,0.)); #517609=CARTESIAN_POINT('',(37.245,45.,-200.)); #517610=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #517611=CARTESIAN_POINT('Origin',(37.849294,44.1,-0.035)); #517612=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #517613=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #517614=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #517615=CARTESIAN_POINT('',(37.927584,44.10685,0.)); #517616=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #517617=CARTESIAN_POINT('',(37.849294,44.1,0.)); #517618=CARTESIAN_POINT('',(37.849294,44.1,0.)); #517619=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #517620=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #517621=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #517622=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #517623=CARTESIAN_POINT('',(37.692416,45.89315,0.)); #517624=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.)); #517625=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #517626=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #517627=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #517628=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #517629=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.)); #517630=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,-0.035)); #517631=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #517632=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #517633=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #517634=CARTESIAN_POINT('',(38.635,2.29999998733941,0.)); #517635=CARTESIAN_POINT('',(38.635,2.89999999155961,-0.0349999999999895)); #517636=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #517637=CARTESIAN_POINT('',(38.635,2.09999997467882,-0.0349999999999895)); #517638=CARTESIAN_POINT('',(38.635,2.29999998733941,-0.0350000000000072)); #517639=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #517640=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #517641=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #517642=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #517643=CARTESIAN_POINT('',(38.005,2.10000000844039,-0.0349999999999895)); #517644=CARTESIAN_POINT('Origin',(38.32,2.1,-0.0349999999999895)); #517645=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #517646=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #517647=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #517648=CARTESIAN_POINT('',(38.005,2.70000001266059,0.)); #517649=CARTESIAN_POINT('',(38.005,2.90000002532118,-0.0349999999999895)); #517650=CARTESIAN_POINT('',(38.005,2.70000001266059,-0.0350000000000072)); #517651=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #517652=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #517653=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #517654=CARTESIAN_POINT('Origin',(38.32,2.9,-0.0349999999999895)); #517655=CARTESIAN_POINT('Origin',(38.350887,1.55,-0.035)); #517656=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #517657=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #517658=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #517659=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #517660=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #517661=CARTESIAN_POINT('',(38.350887,1.55,0.)); #517662=CARTESIAN_POINT('',(38.350887,1.55,0.)); #517663=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #517664=CARTESIAN_POINT('Origin',(38.289113,1.55,-0.035)); #517665=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #517666=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #517667=CARTESIAN_POINT('',(38.289113,1.55,0.)); #517668=CARTESIAN_POINT('',(38.289113,1.55,0.)); #517669=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #517670=CARTESIAN_POINT('Origin',(38.227725,1.5569156,-0.035)); #517671=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #517672=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #517673=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #517674=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #517675=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #517676=CARTESIAN_POINT('Origin',(38.1675,1.5706625,-0.035)); #517677=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #517678=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #517679=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #517680=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #517681=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #517682=CARTESIAN_POINT('Origin',(38.109194,1.5910656,-0.035)); #517683=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #517684=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #517685=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #517686=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #517687=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #517688=CARTESIAN_POINT('Origin',(38.053534,1.6178687,-0.035)); #517689=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #517690=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #517691=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #517692=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #517693=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #517694=CARTESIAN_POINT('Origin',(38.001228,1.6507344,-0.035)); #517695=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #517696=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #517697=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #517698=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #517699=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #517700=CARTESIAN_POINT('Origin',(37.952931,1.68925,-0.035)); #517701=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #517702=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #517703=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #517704=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #517705=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #517706=CARTESIAN_POINT('Origin',(37.90925,1.7329313,-0.035)); #517707=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #517708=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #517709=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #517710=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #517711=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #517712=CARTESIAN_POINT('Origin',(37.870734,1.7812281,-0.035)); #517713=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #517714=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #517715=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #517716=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #517717=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #517718=CARTESIAN_POINT('Origin',(37.837869,1.8335344,-0.035)); #517719=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #517720=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #517721=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #517722=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #517723=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #517724=CARTESIAN_POINT('Origin',(37.811066,1.8891938,-0.035)); #517725=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #517726=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #517727=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #517728=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #517729=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #517730=CARTESIAN_POINT('Origin',(37.790663,1.9475,-0.035)); #517731=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #517732=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #517733=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #517734=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #517735=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #517736=CARTESIAN_POINT('Origin',(37.776916,2.007725,-0.035)); #517737=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #517738=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #517739=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #517740=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #517741=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #517742=CARTESIAN_POINT('Origin',(37.77,2.0691125,-0.035)); #517743=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #517744=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #517745=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #517746=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #517747=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #517748=CARTESIAN_POINT('Origin',(37.77,2.9308875,-0.035)); #517749=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #517750=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #517751=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #517752=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #517753=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #517754=CARTESIAN_POINT('Origin',(37.776916,2.992275,-0.035)); #517755=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #517756=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #517757=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #517758=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #517759=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #517760=CARTESIAN_POINT('Origin',(37.790663,3.0525,-0.035)); #517761=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #517762=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #517763=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #517764=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #517765=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #517766=CARTESIAN_POINT('Origin',(37.811066,3.1108062,-0.035)); #517767=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #517768=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #517769=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #517770=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #517771=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #517772=CARTESIAN_POINT('Origin',(37.837869,3.1664656,-0.035)); #517773=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #517774=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #517775=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #517776=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #517777=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #517778=CARTESIAN_POINT('Origin',(37.870734,3.2187719,-0.035)); #517779=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #517780=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #517781=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #517782=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #517783=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #517784=CARTESIAN_POINT('Origin',(37.90925,3.2670687,-0.035)); #517785=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #517786=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #517787=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #517788=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #517789=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #517790=CARTESIAN_POINT('Origin',(37.952931,3.31075,-0.035)); #517791=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #517792=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #517793=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #517794=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #517795=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #517796=CARTESIAN_POINT('Origin',(38.001228,3.3492656,-0.035)); #517797=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #517798=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #517799=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #517800=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #517801=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #517802=CARTESIAN_POINT('Origin',(38.053534,3.3821313,-0.035)); #517803=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #517804=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #517805=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #517806=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #517807=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #517808=CARTESIAN_POINT('Origin',(38.109194,3.4089344,-0.035)); #517809=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #517810=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #517811=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #517812=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #517813=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #517814=CARTESIAN_POINT('Origin',(38.1675,3.4293375,-0.035)); #517815=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #517816=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #517817=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #517818=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #517819=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #517820=CARTESIAN_POINT('Origin',(38.227725,3.4430844,-0.035)); #517821=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #517822=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #517823=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #517824=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #517825=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #517826=CARTESIAN_POINT('Origin',(38.289113,3.45,-0.035)); #517827=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #517828=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #517829=CARTESIAN_POINT('',(38.289113,3.45,0.)); #517830=CARTESIAN_POINT('',(38.289113,3.45,0.)); #517831=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #517832=CARTESIAN_POINT('Origin',(38.350887,3.45,-0.035)); #517833=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #517834=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #517835=CARTESIAN_POINT('',(38.350887,3.45,0.)); #517836=CARTESIAN_POINT('',(38.350887,3.45,0.)); #517837=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #517838=CARTESIAN_POINT('Origin',(38.412275,3.4430844,-0.035)); #517839=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #517840=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #517841=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #517842=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #517843=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #517844=CARTESIAN_POINT('Origin',(38.4725,3.4293375,-0.035)); #517845=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #517846=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #517847=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #517848=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #517849=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #517850=CARTESIAN_POINT('Origin',(38.530806,3.4089344,-0.035)); #517851=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #517852=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #517853=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #517854=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #517855=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #517856=CARTESIAN_POINT('Origin',(38.586466,3.3821313,-0.035)); #517857=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #517858=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #517859=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #517860=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #517861=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #517862=CARTESIAN_POINT('Origin',(38.638772,3.3492656,-0.035)); #517863=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #517864=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #517865=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #517866=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #517867=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #517868=CARTESIAN_POINT('Origin',(38.687069,3.31075,-0.035)); #517869=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #517870=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #517871=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #517872=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #517873=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #517874=CARTESIAN_POINT('Origin',(38.73075,3.2670687,-0.035)); #517875=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #517876=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #517877=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #517878=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #517879=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #517880=CARTESIAN_POINT('Origin',(38.769266,3.2187719,-0.035)); #517881=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #517882=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #517883=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #517884=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #517885=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #517886=CARTESIAN_POINT('Origin',(38.802131,3.1664656,-0.035)); #517887=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #517888=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #517889=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #517890=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #517891=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #517892=CARTESIAN_POINT('Origin',(38.828934,3.1108062,-0.035)); #517893=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #517894=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #517895=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #517896=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #517897=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #517898=CARTESIAN_POINT('Origin',(38.849338,3.0525,-0.035)); #517899=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #517900=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #517901=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #517902=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #517903=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #517904=CARTESIAN_POINT('Origin',(38.863084,2.992275,-0.035)); #517905=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #517906=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #517907=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #517908=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #517909=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #517910=CARTESIAN_POINT('Origin',(38.87,2.9308875,-0.035)); #517911=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #517912=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #517913=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #517914=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #517915=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #517916=CARTESIAN_POINT('Origin',(38.87,2.0691125,-0.035)); #517917=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #517918=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #517919=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #517920=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #517921=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #517922=CARTESIAN_POINT('Origin',(38.863084,2.007725,-0.035)); #517923=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #517924=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #517925=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #517926=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #517927=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #517928=CARTESIAN_POINT('Origin',(38.849338,1.9475,-0.035)); #517929=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #517930=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #517931=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #517932=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #517933=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #517934=CARTESIAN_POINT('Origin',(38.828934,1.8891938,-0.035)); #517935=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #517936=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #517937=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #517938=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #517939=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #517940=CARTESIAN_POINT('Origin',(38.802131,1.8335344,-0.035)); #517941=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #517942=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #517943=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #517944=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #517945=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #517946=CARTESIAN_POINT('Origin',(38.769266,1.7812281,-0.035)); #517947=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #517948=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #517949=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #517950=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #517951=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #517952=CARTESIAN_POINT('Origin',(38.73075,1.7329313,-0.035)); #517953=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #517954=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #517955=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #517956=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #517957=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #517958=CARTESIAN_POINT('Origin',(38.687069,1.68925,-0.035)); #517959=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #517960=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #517961=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #517962=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #517963=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #517964=CARTESIAN_POINT('Origin',(38.638772,1.6507344,-0.035)); #517965=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #517966=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #517967=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #517968=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #517969=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #517970=CARTESIAN_POINT('Origin',(38.586466,1.6178687,-0.035)); #517971=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #517972=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #517973=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #517974=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #517975=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #517976=CARTESIAN_POINT('Origin',(38.530806,1.5910656,-0.035)); #517977=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #517978=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #517979=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #517980=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #517981=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #517982=CARTESIAN_POINT('Origin',(38.4725,1.5706625,-0.035)); #517983=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #517984=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #517985=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #517986=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #517987=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #517988=CARTESIAN_POINT('Origin',(38.412275,1.5569156,-0.035)); #517989=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #517990=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #517991=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.)); #517992=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,-0.035)); #517993=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #517994=CARTESIAN_POINT('',(55.285,34.,-0.0349999999999895)); #517995=CARTESIAN_POINT('Origin',(55.75,34.,-0.0349999999999895)); #517996=CARTESIAN_POINT('',(55.285,34.,0.)); #517997=CARTESIAN_POINT('',(55.285,34.,-200.)); #517998=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #517999=CARTESIAN_POINT('Origin',(55.786844,33.25,-0.035)); #518000=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #518001=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #518002=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #518003=CARTESIAN_POINT('',(55.860181,33.257222,0.)); #518004=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #518005=CARTESIAN_POINT('',(55.786844,33.25,0.)); #518006=CARTESIAN_POINT('',(55.786844,33.25,0.)); #518007=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #518008=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #518009=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #518010=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #518011=CARTESIAN_POINT('',(55.639819,34.742778,0.)); #518012=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.)); #518013=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #518014=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #518015=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #518016=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #518017=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.)); #518018=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,-0.035)); #518019=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #518020=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #518021=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #518022=CARTESIAN_POINT('',(29.995,2.29999998733941,0.)); #518023=CARTESIAN_POINT('',(29.995,2.89999999155961,-0.0349999999999895)); #518024=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #518025=CARTESIAN_POINT('',(29.995,2.09999997467882,-0.0349999999999895)); #518026=CARTESIAN_POINT('',(29.995,2.29999998733941,-0.0350000000000072)); #518027=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #518028=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #518029=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #518030=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #518031=CARTESIAN_POINT('',(29.365,2.10000000844039,-0.0349999999999895)); #518032=CARTESIAN_POINT('Origin',(29.68,2.1,-0.0349999999999895)); #518033=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #518034=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #518035=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #518036=CARTESIAN_POINT('',(29.365,2.70000001266059,0.)); #518037=CARTESIAN_POINT('',(29.365,2.90000002532118,-0.0349999999999895)); #518038=CARTESIAN_POINT('',(29.365,2.70000001266059,-0.0350000000000072)); #518039=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #518040=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #518041=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #518042=CARTESIAN_POINT('Origin',(29.68,2.9,-0.0349999999999895)); #518043=CARTESIAN_POINT('Origin',(29.710888,1.55,-0.035)); #518044=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #518045=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #518046=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #518047=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #518048=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #518049=CARTESIAN_POINT('',(29.710888,1.55,0.)); #518050=CARTESIAN_POINT('',(29.710888,1.55,0.)); #518051=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #518052=CARTESIAN_POINT('Origin',(29.649113,1.55,-0.035)); #518053=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #518054=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #518055=CARTESIAN_POINT('',(29.649113,1.55,0.)); #518056=CARTESIAN_POINT('',(29.649113,1.55,0.)); #518057=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #518058=CARTESIAN_POINT('Origin',(29.587725,1.5569156,-0.035)); #518059=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #518060=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #518061=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #518062=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #518063=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #518064=CARTESIAN_POINT('Origin',(29.5275,1.5706625,-0.035)); #518065=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #518066=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #518067=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #518068=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #518069=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #518070=CARTESIAN_POINT('Origin',(29.469194,1.5910656,-0.035)); #518071=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #518072=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #518073=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #518074=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #518075=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #518076=CARTESIAN_POINT('Origin',(29.413534,1.6178687,-0.035)); #518077=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #518078=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #518079=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #518080=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #518081=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #518082=CARTESIAN_POINT('Origin',(29.361228,1.6507344,-0.035)); #518083=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #518084=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #518085=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #518086=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #518087=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #518088=CARTESIAN_POINT('Origin',(29.312931,1.68925,-0.035)); #518089=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #518090=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #518091=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #518092=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #518093=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #518094=CARTESIAN_POINT('Origin',(29.26925,1.7329313,-0.035)); #518095=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #518096=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #518097=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #518098=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #518099=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #518100=CARTESIAN_POINT('Origin',(29.230734,1.7812281,-0.035)); #518101=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #518102=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #518103=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #518104=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #518105=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #518106=CARTESIAN_POINT('Origin',(29.197869,1.8335344,-0.035)); #518107=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #518108=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #518109=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #518110=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #518111=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #518112=CARTESIAN_POINT('Origin',(29.171066,1.8891938,-0.035)); #518113=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #518114=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #518115=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #518116=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #518117=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #518118=CARTESIAN_POINT('Origin',(29.150663,1.9475,-0.035)); #518119=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #518120=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #518121=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #518122=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #518123=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #518124=CARTESIAN_POINT('Origin',(29.136916,2.007725,-0.035)); #518125=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #518126=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #518127=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #518128=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #518129=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #518130=CARTESIAN_POINT('Origin',(29.13,2.0691125,-0.035)); #518131=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #518132=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #518133=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #518134=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #518135=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #518136=CARTESIAN_POINT('Origin',(29.13,2.9308875,-0.035)); #518137=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #518138=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #518139=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #518140=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #518141=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #518142=CARTESIAN_POINT('Origin',(29.136916,2.992275,-0.035)); #518143=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #518144=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #518145=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #518146=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #518147=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #518148=CARTESIAN_POINT('Origin',(29.150663,3.0525,-0.035)); #518149=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #518150=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #518151=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #518152=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #518153=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #518154=CARTESIAN_POINT('Origin',(29.171066,3.1108062,-0.035)); #518155=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #518156=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #518157=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #518158=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #518159=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #518160=CARTESIAN_POINT('Origin',(29.197869,3.1664656,-0.035)); #518161=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #518162=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #518163=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #518164=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #518165=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #518166=CARTESIAN_POINT('Origin',(29.230734,3.2187719,-0.035)); #518167=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #518168=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #518169=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #518170=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #518171=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #518172=CARTESIAN_POINT('Origin',(29.26925,3.2670687,-0.035)); #518173=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #518174=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #518175=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #518176=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #518177=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #518178=CARTESIAN_POINT('Origin',(29.312931,3.31075,-0.035)); #518179=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #518180=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #518181=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #518182=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #518183=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #518184=CARTESIAN_POINT('Origin',(29.361228,3.3492656,-0.035)); #518185=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #518186=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #518187=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #518188=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #518189=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #518190=CARTESIAN_POINT('Origin',(29.413534,3.3821313,-0.035)); #518191=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #518192=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #518193=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #518194=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #518195=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #518196=CARTESIAN_POINT('Origin',(29.469194,3.4089344,-0.035)); #518197=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #518198=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #518199=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #518200=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #518201=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #518202=CARTESIAN_POINT('Origin',(29.5275,3.4293375,-0.035)); #518203=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #518204=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #518205=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #518206=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #518207=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #518208=CARTESIAN_POINT('Origin',(29.587725,3.4430844,-0.035)); #518209=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #518210=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #518211=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #518212=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #518213=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #518214=CARTESIAN_POINT('Origin',(29.649113,3.45,-0.035)); #518215=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #518216=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #518217=CARTESIAN_POINT('',(29.649113,3.45,0.)); #518218=CARTESIAN_POINT('',(29.649113,3.45,0.)); #518219=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #518220=CARTESIAN_POINT('Origin',(29.710888,3.45,-0.035)); #518221=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #518222=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #518223=CARTESIAN_POINT('',(29.710888,3.45,0.)); #518224=CARTESIAN_POINT('',(29.710888,3.45,0.)); #518225=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #518226=CARTESIAN_POINT('Origin',(29.772275,3.4430844,-0.035)); #518227=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #518228=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #518229=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #518230=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #518231=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #518232=CARTESIAN_POINT('Origin',(29.8325,3.4293375,-0.035)); #518233=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #518234=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #518235=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #518236=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #518237=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #518238=CARTESIAN_POINT('Origin',(29.890806,3.4089344,-0.035)); #518239=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #518240=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #518241=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #518242=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #518243=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #518244=CARTESIAN_POINT('Origin',(29.946466,3.3821313,-0.035)); #518245=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #518246=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #518247=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #518248=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #518249=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #518250=CARTESIAN_POINT('Origin',(29.998772,3.3492656,-0.035)); #518251=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #518252=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #518253=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #518254=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #518255=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #518256=CARTESIAN_POINT('Origin',(30.047069,3.31075,-0.035)); #518257=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #518258=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #518259=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #518260=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #518261=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #518262=CARTESIAN_POINT('Origin',(30.09075,3.2670687,-0.035)); #518263=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #518264=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #518265=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #518266=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #518267=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #518268=CARTESIAN_POINT('Origin',(30.129266,3.2187719,-0.035)); #518269=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #518270=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #518271=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #518272=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #518273=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #518274=CARTESIAN_POINT('Origin',(30.162131,3.1664656,-0.035)); #518275=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #518276=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #518277=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #518278=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #518279=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #518280=CARTESIAN_POINT('Origin',(30.188934,3.1108062,-0.035)); #518281=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #518282=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #518283=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #518284=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #518285=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #518286=CARTESIAN_POINT('Origin',(30.209338,3.0525,-0.035)); #518287=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #518288=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #518289=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #518290=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #518291=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #518292=CARTESIAN_POINT('Origin',(30.223084,2.992275,-0.035)); #518293=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #518294=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #518295=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #518296=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #518297=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #518298=CARTESIAN_POINT('Origin',(30.23,2.9308875,-0.035)); #518299=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #518300=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #518301=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #518302=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #518303=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #518304=CARTESIAN_POINT('Origin',(30.23,2.0691125,-0.035)); #518305=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #518306=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #518307=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #518308=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #518309=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #518310=CARTESIAN_POINT('Origin',(30.223084,2.007725,-0.035)); #518311=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #518312=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #518313=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #518314=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #518315=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #518316=CARTESIAN_POINT('Origin',(30.209338,1.9475,-0.035)); #518317=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #518318=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #518319=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #518320=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #518321=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #518322=CARTESIAN_POINT('Origin',(30.188934,1.8891938,-0.035)); #518323=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #518324=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #518325=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #518326=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #518327=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #518328=CARTESIAN_POINT('Origin',(30.162131,1.8335344,-0.035)); #518329=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #518330=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #518331=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #518332=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #518333=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #518334=CARTESIAN_POINT('Origin',(30.129266,1.7812281,-0.035)); #518335=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #518336=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #518337=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #518338=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #518339=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #518340=CARTESIAN_POINT('Origin',(30.09075,1.7329313,-0.035)); #518341=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #518342=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #518343=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #518344=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #518345=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #518346=CARTESIAN_POINT('Origin',(30.047069,1.68925,-0.035)); #518347=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #518348=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #518349=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #518350=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #518351=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #518352=CARTESIAN_POINT('Origin',(29.998772,1.6507344,-0.035)); #518353=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #518354=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #518355=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #518356=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #518357=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #518358=CARTESIAN_POINT('Origin',(29.946466,1.6178687,-0.035)); #518359=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #518360=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #518361=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #518362=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #518363=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #518364=CARTESIAN_POINT('Origin',(29.890806,1.5910656,-0.035)); #518365=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #518366=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #518367=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #518368=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #518369=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #518370=CARTESIAN_POINT('Origin',(29.8325,1.5706625,-0.035)); #518371=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #518372=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #518373=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #518374=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #518375=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #518376=CARTESIAN_POINT('Origin',(29.772275,1.5569156,-0.035)); #518377=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #518378=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #518379=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.)); #518380=CARTESIAN_POINT('Origin',(29.680000045263,2.5,-0.035)); #518381=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #518382=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #518383=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #518384=CARTESIAN_POINT('',(39.0000000471749,34.565,0.)); #518385=CARTESIAN_POINT('',(38.5500000151391,34.565,-0.0349999999999895)); #518386=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #518387=CARTESIAN_POINT('',(39.1500000454174,34.565,-0.0349999999999895)); #518388=CARTESIAN_POINT('',(39.0000000471749,34.565,-0.0350000000000072)); #518389=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #518390=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #518391=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #518392=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #518393=CARTESIAN_POINT('',(39.1499999848609,33.435,-0.0349999999999895)); #518394=CARTESIAN_POINT('Origin',(39.15,34.,-0.0349999999999895)); #518395=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #518396=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #518397=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #518398=CARTESIAN_POINT('',(38.7000000017575,33.435,0.)); #518399=CARTESIAN_POINT('',(38.5499999545827,33.435,-0.0349999999999895)); #518400=CARTESIAN_POINT('',(38.7000000017575,33.435,-0.0350000000000072)); #518401=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #518402=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #518403=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #518404=CARTESIAN_POINT('Origin',(38.55,34.,-0.0349999999999895)); #518405=CARTESIAN_POINT('Origin',(39.189294,33.1,-0.035)); #518406=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #518407=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #518408=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #518409=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #518410=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #518411=CARTESIAN_POINT('',(39.189294,33.1,0.)); #518412=CARTESIAN_POINT('',(39.189294,33.1,0.)); #518413=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #518414=CARTESIAN_POINT('Origin',(38.510706,33.1,-0.035)); #518415=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #518416=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #518417=CARTESIAN_POINT('',(38.510706,33.1,0.)); #518418=CARTESIAN_POINT('',(38.510706,33.1,0.)); #518419=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #518420=CARTESIAN_POINT('Origin',(38.432416,33.10685,-0.035)); #518421=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #518422=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #518423=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #518424=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #518425=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #518426=CARTESIAN_POINT('Origin',(38.355019,33.120497,-0.035)); #518427=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #518428=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #518429=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #518430=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #518431=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #518432=CARTESIAN_POINT('Origin',(38.279106,33.140838,-0.035)); #518433=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #518434=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #518435=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #518436=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #518437=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #518438=CARTESIAN_POINT('Origin',(38.205256,33.167716,-0.035)); #518439=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #518440=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #518441=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #518442=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #518443=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #518444=CARTESIAN_POINT('Origin',(38.134031,33.200931,-0.035)); #518445=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #518446=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #518447=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #518448=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #518449=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #518450=CARTESIAN_POINT('Origin',(38.065969,33.240225,-0.035)); #518451=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #518452=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #518453=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #518454=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #518455=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #518456=CARTESIAN_POINT('Origin',(38.001594,33.285303,-0.035)); #518457=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #518458=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #518459=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #518460=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #518461=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #518462=CARTESIAN_POINT('Origin',(37.941391,33.335819,-0.035)); #518463=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #518464=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #518465=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #518466=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #518467=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #518468=CARTESIAN_POINT('Origin',(37.885819,33.391391,-0.035)); #518469=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #518470=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #518471=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #518472=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #518473=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #518474=CARTESIAN_POINT('Origin',(37.835303,33.451594,-0.035)); #518475=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #518476=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #518477=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #518478=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #518479=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #518480=CARTESIAN_POINT('Origin',(37.790225,33.515969,-0.035)); #518481=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #518482=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #518483=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #518484=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #518485=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #518486=CARTESIAN_POINT('Origin',(37.750931,33.584031,-0.035)); #518487=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #518488=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #518489=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #518490=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #518491=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #518492=CARTESIAN_POINT('Origin',(37.717716,33.655256,-0.035)); #518493=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #518494=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #518495=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #518496=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #518497=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #518498=CARTESIAN_POINT('Origin',(37.690838,33.729106,-0.035)); #518499=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #518500=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #518501=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #518502=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #518503=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #518504=CARTESIAN_POINT('Origin',(37.670497,33.805019,-0.035)); #518505=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #518506=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #518507=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #518508=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #518509=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #518510=CARTESIAN_POINT('Origin',(37.65685,33.882416,-0.035)); #518511=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #518512=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #518513=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #518514=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #518515=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #518516=CARTESIAN_POINT('Origin',(37.65,33.960706,-0.035)); #518517=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #518518=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #518519=CARTESIAN_POINT('',(37.65,33.960706,0.)); #518520=CARTESIAN_POINT('',(37.65,33.960706,0.)); #518521=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #518522=CARTESIAN_POINT('Origin',(37.65,34.039294,-0.035)); #518523=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #518524=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #518525=CARTESIAN_POINT('',(37.65,34.039294,0.)); #518526=CARTESIAN_POINT('',(37.65,34.039294,0.)); #518527=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #518528=CARTESIAN_POINT('Origin',(37.65685,34.117584,-0.035)); #518529=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #518530=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #518531=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #518532=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #518533=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #518534=CARTESIAN_POINT('Origin',(37.670497,34.194981,-0.035)); #518535=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #518536=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #518537=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #518538=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #518539=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #518540=CARTESIAN_POINT('Origin',(37.690838,34.270894,-0.035)); #518541=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #518542=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #518543=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #518544=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #518545=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #518546=CARTESIAN_POINT('Origin',(37.717716,34.344744,-0.035)); #518547=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #518548=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #518549=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #518550=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #518551=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #518552=CARTESIAN_POINT('Origin',(37.750931,34.415969,-0.035)); #518553=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #518554=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #518555=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #518556=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #518557=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #518558=CARTESIAN_POINT('Origin',(37.790225,34.484031,-0.035)); #518559=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #518560=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #518561=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #518562=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #518563=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #518564=CARTESIAN_POINT('Origin',(37.835303,34.548406,-0.035)); #518565=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #518566=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #518567=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #518568=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #518569=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #518570=CARTESIAN_POINT('Origin',(37.885819,34.608609,-0.035)); #518571=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #518572=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #518573=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #518574=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #518575=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #518576=CARTESIAN_POINT('Origin',(37.941391,34.664181,-0.035)); #518577=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #518578=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #518579=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #518580=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #518581=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #518582=CARTESIAN_POINT('Origin',(38.001594,34.714697,-0.035)); #518583=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #518584=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #518585=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #518586=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #518587=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #518588=CARTESIAN_POINT('Origin',(38.065969,34.759775,-0.035)); #518589=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #518590=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #518591=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #518592=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #518593=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #518594=CARTESIAN_POINT('Origin',(38.134031,34.799069,-0.035)); #518595=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #518596=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #518597=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #518598=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #518599=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #518600=CARTESIAN_POINT('Origin',(38.205256,34.832284,-0.035)); #518601=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #518602=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #518603=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #518604=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #518605=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #518606=CARTESIAN_POINT('Origin',(38.279106,34.859163,-0.035)); #518607=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #518608=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #518609=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #518610=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #518611=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #518612=CARTESIAN_POINT('Origin',(38.355019,34.879503,-0.035)); #518613=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #518614=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #518615=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #518616=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #518617=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #518618=CARTESIAN_POINT('Origin',(38.432416,34.89315,-0.035)); #518619=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #518620=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #518621=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #518622=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #518623=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #518624=CARTESIAN_POINT('Origin',(38.510706,34.9,-0.035)); #518625=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #518626=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #518627=CARTESIAN_POINT('',(38.510706,34.9,0.)); #518628=CARTESIAN_POINT('',(38.510706,34.9,0.)); #518629=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #518630=CARTESIAN_POINT('Origin',(39.189294,34.9,-0.035)); #518631=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #518632=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #518633=CARTESIAN_POINT('',(39.189294,34.9,0.)); #518634=CARTESIAN_POINT('',(39.189294,34.9,0.)); #518635=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #518636=CARTESIAN_POINT('Origin',(39.267584,34.89315,-0.035)); #518637=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #518638=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #518639=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #518640=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #518641=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #518642=CARTESIAN_POINT('Origin',(39.344981,34.879503,-0.035)); #518643=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #518644=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #518645=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #518646=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #518647=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #518648=CARTESIAN_POINT('Origin',(39.420894,34.859163,-0.035)); #518649=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #518650=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #518651=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #518652=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #518653=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #518654=CARTESIAN_POINT('Origin',(39.494744,34.832284,-0.035)); #518655=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #518656=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #518657=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #518658=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #518659=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #518660=CARTESIAN_POINT('Origin',(39.565969,34.799069,-0.035)); #518661=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #518662=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #518663=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #518664=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #518665=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #518666=CARTESIAN_POINT('Origin',(39.634031,34.759775,-0.035)); #518667=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #518668=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #518669=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #518670=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #518671=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #518672=CARTESIAN_POINT('Origin',(39.698406,34.714697,-0.035)); #518673=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #518674=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #518675=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #518676=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #518677=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #518678=CARTESIAN_POINT('Origin',(39.758609,34.664181,-0.035)); #518679=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #518680=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #518681=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #518682=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #518683=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #518684=CARTESIAN_POINT('Origin',(39.814181,34.608609,-0.035)); #518685=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #518686=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #518687=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #518688=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #518689=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #518690=CARTESIAN_POINT('Origin',(39.864697,34.548406,-0.035)); #518691=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #518692=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #518693=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #518694=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #518695=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #518696=CARTESIAN_POINT('Origin',(39.909775,34.484031,-0.035)); #518697=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #518698=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #518699=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #518700=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #518701=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #518702=CARTESIAN_POINT('Origin',(39.949069,34.415969,-0.035)); #518703=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #518704=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #518705=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #518706=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #518707=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #518708=CARTESIAN_POINT('Origin',(39.982284,34.344744,-0.035)); #518709=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #518710=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #518711=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #518712=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #518713=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #518714=CARTESIAN_POINT('Origin',(40.009163,34.270894,-0.035)); #518715=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #518716=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #518717=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #518718=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #518719=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #518720=CARTESIAN_POINT('Origin',(40.029503,34.194981,-0.035)); #518721=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #518722=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #518723=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #518724=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #518725=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #518726=CARTESIAN_POINT('Origin',(40.04315,34.117584,-0.035)); #518727=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #518728=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #518729=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #518730=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #518731=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #518732=CARTESIAN_POINT('Origin',(40.05,34.039294,-0.035)); #518733=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #518734=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #518735=CARTESIAN_POINT('',(40.05,34.039294,0.)); #518736=CARTESIAN_POINT('',(40.05,34.039294,0.)); #518737=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #518738=CARTESIAN_POINT('Origin',(40.05,33.960706,-0.035)); #518739=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #518740=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #518741=CARTESIAN_POINT('',(40.05,33.960706,0.)); #518742=CARTESIAN_POINT('',(40.05,33.960706,0.)); #518743=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #518744=CARTESIAN_POINT('Origin',(40.04315,33.882416,-0.035)); #518745=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #518746=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #518747=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #518748=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #518749=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #518750=CARTESIAN_POINT('Origin',(40.029503,33.805019,-0.035)); #518751=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #518752=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #518753=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #518754=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #518755=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #518756=CARTESIAN_POINT('Origin',(40.009163,33.729106,-0.035)); #518757=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #518758=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #518759=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #518760=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #518761=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #518762=CARTESIAN_POINT('Origin',(39.982284,33.655256,-0.035)); #518763=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #518764=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #518765=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #518766=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #518767=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #518768=CARTESIAN_POINT('Origin',(39.949069,33.584031,-0.035)); #518769=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #518770=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #518771=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #518772=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #518773=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #518774=CARTESIAN_POINT('Origin',(39.909775,33.515969,-0.035)); #518775=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #518776=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #518777=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #518778=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #518779=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #518780=CARTESIAN_POINT('Origin',(39.864697,33.451594,-0.035)); #518781=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #518782=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #518783=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #518784=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #518785=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #518786=CARTESIAN_POINT('Origin',(39.814181,33.391391,-0.035)); #518787=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #518788=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #518789=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #518790=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #518791=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #518792=CARTESIAN_POINT('Origin',(39.758609,33.335819,-0.035)); #518793=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #518794=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #518795=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #518796=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #518797=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #518798=CARTESIAN_POINT('Origin',(39.698406,33.285303,-0.035)); #518799=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #518800=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #518801=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #518802=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #518803=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #518804=CARTESIAN_POINT('Origin',(39.634031,33.240225,-0.035)); #518805=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #518806=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #518807=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #518808=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #518809=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #518810=CARTESIAN_POINT('Origin',(39.565969,33.200931,-0.035)); #518811=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #518812=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #518813=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #518814=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #518815=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #518816=CARTESIAN_POINT('Origin',(39.494744,33.167716,-0.035)); #518817=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #518818=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #518819=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #518820=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #518821=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #518822=CARTESIAN_POINT('Origin',(39.420894,33.140838,-0.035)); #518823=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #518824=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #518825=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #518826=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #518827=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #518828=CARTESIAN_POINT('Origin',(39.344981,33.120497,-0.035)); #518829=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #518830=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #518831=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #518832=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #518833=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #518834=CARTESIAN_POINT('Origin',(39.267584,33.10685,-0.035)); #518835=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #518836=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #518837=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.)); #518838=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,-0.035)); #518839=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #518840=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #518841=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #518842=CARTESIAN_POINT('',(38.635,6.47999999235904,0.)); #518843=CARTESIAN_POINT('',(38.635,7.07999999155961,-0.0349999999999895)); #518844=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #518845=CARTESIAN_POINT('',(38.635,6.27999997467882,-0.0349999999999895)); #518846=CARTESIAN_POINT('',(38.635,6.47999999235904,-0.0350000000000072)); #518847=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #518848=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #518849=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #518850=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #518851=CARTESIAN_POINT('',(38.005,6.28000000844039,-0.0349999999999895)); #518852=CARTESIAN_POINT('Origin',(38.32,6.28,-0.0349999999999895)); #518853=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #518854=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #518855=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #518856=CARTESIAN_POINT('',(38.005,6.88000001768022,0.)); #518857=CARTESIAN_POINT('',(38.005,7.08000002532118,-0.0349999999999895)); #518858=CARTESIAN_POINT('',(38.005,6.88000001768022,-0.0350000000000072)); #518859=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #518860=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #518861=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #518862=CARTESIAN_POINT('Origin',(38.32,7.08,-0.0349999999999895)); #518863=CARTESIAN_POINT('Origin',(38.350887,5.73,-0.035)); #518864=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #518865=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #518866=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #518867=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #518868=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #518869=CARTESIAN_POINT('',(38.350887,5.73,0.)); #518870=CARTESIAN_POINT('',(38.350887,5.73,0.)); #518871=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #518872=CARTESIAN_POINT('Origin',(38.289113,5.73,-0.035)); #518873=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #518874=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #518875=CARTESIAN_POINT('',(38.289113,5.73,0.)); #518876=CARTESIAN_POINT('',(38.289113,5.73,0.)); #518877=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #518878=CARTESIAN_POINT('Origin',(38.227725,5.7369156,-0.035)); #518879=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #518880=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #518881=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #518882=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #518883=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #518884=CARTESIAN_POINT('Origin',(38.1675,5.7506625,-0.035)); #518885=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #518886=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #518887=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #518888=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #518889=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #518890=CARTESIAN_POINT('Origin',(38.109194,5.7710656,-0.035)); #518891=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #518892=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #518893=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #518894=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #518895=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #518896=CARTESIAN_POINT('Origin',(38.053534,5.7978688,-0.035)); #518897=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #518898=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #518899=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #518900=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #518901=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #518902=CARTESIAN_POINT('Origin',(38.001228,5.8307344,-0.035)); #518903=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #518904=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #518905=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #518906=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #518907=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #518908=CARTESIAN_POINT('Origin',(37.952931,5.86925,-0.035)); #518909=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #518910=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #518911=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #518912=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #518913=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #518914=CARTESIAN_POINT('Origin',(37.90925,5.9129313,-0.035)); #518915=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #518916=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #518917=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #518918=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #518919=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #518920=CARTESIAN_POINT('Origin',(37.870734,5.9612281,-0.035)); #518921=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #518922=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #518923=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #518924=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #518925=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #518926=CARTESIAN_POINT('Origin',(37.837869,6.0135344,-0.035)); #518927=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #518928=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #518929=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #518930=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #518931=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #518932=CARTESIAN_POINT('Origin',(37.811066,6.0691938,-0.035)); #518933=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #518934=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #518935=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #518936=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #518937=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #518938=CARTESIAN_POINT('Origin',(37.790663,6.1275,-0.035)); #518939=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #518940=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #518941=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #518942=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #518943=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #518944=CARTESIAN_POINT('Origin',(37.776916,6.187725,-0.035)); #518945=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #518946=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #518947=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #518948=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #518949=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #518950=CARTESIAN_POINT('Origin',(37.77,6.2491125,-0.035)); #518951=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #518952=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #518953=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #518954=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #518955=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #518956=CARTESIAN_POINT('Origin',(37.77,7.1108875,-0.035)); #518957=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #518958=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #518959=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #518960=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #518961=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #518962=CARTESIAN_POINT('Origin',(37.776916,7.172275,-0.035)); #518963=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #518964=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #518965=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #518966=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #518967=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #518968=CARTESIAN_POINT('Origin',(37.790663,7.2325,-0.035)); #518969=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #518970=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #518971=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #518972=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #518973=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #518974=CARTESIAN_POINT('Origin',(37.811066,7.2908063,-0.035)); #518975=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #518976=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #518977=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #518978=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #518979=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #518980=CARTESIAN_POINT('Origin',(37.837869,7.3464656,-0.035)); #518981=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #518982=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #518983=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #518984=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #518985=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #518986=CARTESIAN_POINT('Origin',(37.870734,7.3987719,-0.035)); #518987=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #518988=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #518989=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #518990=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #518991=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #518992=CARTESIAN_POINT('Origin',(37.90925,7.4470688,-0.035)); #518993=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #518994=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #518995=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #518996=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #518997=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #518998=CARTESIAN_POINT('Origin',(37.952931,7.49075,-0.035)); #518999=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #519000=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #519001=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #519002=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #519003=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #519004=CARTESIAN_POINT('Origin',(38.001228,7.5292656,-0.035)); #519005=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #519006=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #519007=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #519008=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #519009=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #519010=CARTESIAN_POINT('Origin',(38.053534,7.5621313,-0.035)); #519011=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #519012=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #519013=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #519014=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #519015=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #519016=CARTESIAN_POINT('Origin',(38.109194,7.5889344,-0.035)); #519017=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #519018=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #519019=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #519020=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #519021=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #519022=CARTESIAN_POINT('Origin',(38.1675,7.6093375,-0.035)); #519023=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #519024=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #519025=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #519026=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #519027=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #519028=CARTESIAN_POINT('Origin',(38.227725,7.6230844,-0.035)); #519029=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #519030=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #519031=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #519032=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #519033=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #519034=CARTESIAN_POINT('Origin',(38.289113,7.63,-0.035)); #519035=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #519036=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #519037=CARTESIAN_POINT('',(38.289113,7.63,0.)); #519038=CARTESIAN_POINT('',(38.289113,7.63,0.)); #519039=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #519040=CARTESIAN_POINT('Origin',(38.350887,7.63,-0.035)); #519041=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #519042=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #519043=CARTESIAN_POINT('',(38.350887,7.63,0.)); #519044=CARTESIAN_POINT('',(38.350887,7.63,0.)); #519045=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #519046=CARTESIAN_POINT('Origin',(38.412275,7.6230844,-0.035)); #519047=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #519048=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #519049=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #519050=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #519051=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #519052=CARTESIAN_POINT('Origin',(38.4725,7.6093375,-0.035)); #519053=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #519054=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #519055=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #519056=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #519057=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #519058=CARTESIAN_POINT('Origin',(38.530806,7.5889344,-0.035)); #519059=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #519060=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #519061=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #519062=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #519063=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #519064=CARTESIAN_POINT('Origin',(38.586466,7.5621313,-0.035)); #519065=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #519066=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #519067=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #519068=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #519069=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #519070=CARTESIAN_POINT('Origin',(38.638772,7.5292656,-0.035)); #519071=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #519072=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #519073=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #519074=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #519075=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #519076=CARTESIAN_POINT('Origin',(38.687069,7.49075,-0.035)); #519077=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #519078=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #519079=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #519080=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #519081=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #519082=CARTESIAN_POINT('Origin',(38.73075,7.4470688,-0.035)); #519083=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #519084=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #519085=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #519086=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #519087=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #519088=CARTESIAN_POINT('Origin',(38.769266,7.3987719,-0.035)); #519089=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #519090=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #519091=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #519092=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #519093=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #519094=CARTESIAN_POINT('Origin',(38.802131,7.3464656,-0.035)); #519095=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #519096=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #519097=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #519098=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #519099=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #519100=CARTESIAN_POINT('Origin',(38.828934,7.2908063,-0.035)); #519101=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #519102=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #519103=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #519104=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #519105=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #519106=CARTESIAN_POINT('Origin',(38.849338,7.2325,-0.035)); #519107=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #519108=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #519109=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #519110=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #519111=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #519112=CARTESIAN_POINT('Origin',(38.863084,7.172275,-0.035)); #519113=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #519114=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #519115=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #519116=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #519117=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #519118=CARTESIAN_POINT('Origin',(38.87,7.1108875,-0.035)); #519119=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #519120=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #519121=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #519122=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #519123=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #519124=CARTESIAN_POINT('Origin',(38.87,6.2491125,-0.035)); #519125=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #519126=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #519127=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #519128=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #519129=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #519130=CARTESIAN_POINT('Origin',(38.863084,6.187725,-0.035)); #519131=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #519132=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #519133=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #519134=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #519135=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #519136=CARTESIAN_POINT('Origin',(38.849338,6.1275,-0.035)); #519137=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #519138=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #519139=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #519140=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #519141=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #519142=CARTESIAN_POINT('Origin',(38.828934,6.0691938,-0.035)); #519143=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #519144=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #519145=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #519146=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #519147=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #519148=CARTESIAN_POINT('Origin',(38.802131,6.0135344,-0.035)); #519149=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #519150=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #519151=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #519152=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #519153=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #519154=CARTESIAN_POINT('Origin',(38.769266,5.9612281,-0.035)); #519155=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #519156=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #519157=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #519158=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #519159=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #519160=CARTESIAN_POINT('Origin',(38.73075,5.9129313,-0.035)); #519161=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #519162=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #519163=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #519164=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #519165=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #519166=CARTESIAN_POINT('Origin',(38.687069,5.86925,-0.035)); #519167=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #519168=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #519169=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #519170=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #519171=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #519172=CARTESIAN_POINT('Origin',(38.638772,5.8307344,-0.035)); #519173=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #519174=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #519175=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #519176=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #519177=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #519178=CARTESIAN_POINT('Origin',(38.586466,5.7978688,-0.035)); #519179=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #519180=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #519181=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #519182=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #519183=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #519184=CARTESIAN_POINT('Origin',(38.530806,5.7710656,-0.035)); #519185=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #519186=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #519187=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #519188=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #519189=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #519190=CARTESIAN_POINT('Origin',(38.4725,5.7506625,-0.035)); #519191=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #519192=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #519193=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #519194=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #519195=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #519196=CARTESIAN_POINT('Origin',(38.412275,5.7369156,-0.035)); #519197=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #519198=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #519199=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.)); #519200=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,-0.035)); #519201=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #519202=CARTESIAN_POINT('',(23.735,34.,-0.0349999999999895)); #519203=CARTESIAN_POINT('Origin',(24.25,34.,-0.0349999999999895)); #519204=CARTESIAN_POINT('',(23.735,34.,0.)); #519205=CARTESIAN_POINT('',(23.735,34.,-200.)); #519206=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #519207=CARTESIAN_POINT('Origin',(24.290528,33.175,-0.035)); #519208=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #519209=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #519210=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #519211=CARTESIAN_POINT('',(24.3712,33.182944,0.)); #519212=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #519213=CARTESIAN_POINT('',(24.290528,33.175,0.)); #519214=CARTESIAN_POINT('',(24.290528,33.175,0.)); #519215=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #519216=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #519217=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #519218=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #519219=CARTESIAN_POINT('',(24.1288,34.817056,0.)); #519220=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.)); #519221=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #519222=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #519223=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #519224=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #519225=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.)); #519226=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,-0.035)); #519227=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #519228=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #519229=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #519230=CARTESIAN_POINT('',(29.995,6.47999999235904,0.)); #519231=CARTESIAN_POINT('',(29.995,7.07999999155961,-0.0349999999999895)); #519232=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #519233=CARTESIAN_POINT('',(29.995,6.27999997467882,-0.0349999999999895)); #519234=CARTESIAN_POINT('',(29.995,6.47999999235904,-0.0350000000000072)); #519235=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #519236=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #519237=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #519238=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #519239=CARTESIAN_POINT('',(29.365,6.28000000844039,-0.0349999999999895)); #519240=CARTESIAN_POINT('Origin',(29.68,6.28,-0.0349999999999895)); #519241=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #519242=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #519243=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #519244=CARTESIAN_POINT('',(29.365,6.88000001768022,0.)); #519245=CARTESIAN_POINT('',(29.365,7.08000002532118,-0.0349999999999895)); #519246=CARTESIAN_POINT('',(29.365,6.88000001768022,-0.0350000000000072)); #519247=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #519248=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #519249=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #519250=CARTESIAN_POINT('Origin',(29.68,7.08,-0.0349999999999895)); #519251=CARTESIAN_POINT('Origin',(29.710888,5.73,-0.035)); #519252=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #519253=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #519254=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #519255=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #519256=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #519257=CARTESIAN_POINT('',(29.710888,5.73,0.)); #519258=CARTESIAN_POINT('',(29.710888,5.73,0.)); #519259=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #519260=CARTESIAN_POINT('Origin',(29.649113,5.73,-0.035)); #519261=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #519262=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #519263=CARTESIAN_POINT('',(29.649113,5.73,0.)); #519264=CARTESIAN_POINT('',(29.649113,5.73,0.)); #519265=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #519266=CARTESIAN_POINT('Origin',(29.587725,5.7369156,-0.035)); #519267=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #519268=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #519269=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #519270=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #519271=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #519272=CARTESIAN_POINT('Origin',(29.5275,5.7506625,-0.035)); #519273=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #519274=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #519275=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #519276=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #519277=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #519278=CARTESIAN_POINT('Origin',(29.469194,5.7710656,-0.035)); #519279=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #519280=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #519281=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #519282=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #519283=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #519284=CARTESIAN_POINT('Origin',(29.413534,5.7978688,-0.035)); #519285=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #519286=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #519287=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #519288=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #519289=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #519290=CARTESIAN_POINT('Origin',(29.361228,5.8307344,-0.035)); #519291=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #519292=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #519293=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #519294=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #519295=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #519296=CARTESIAN_POINT('Origin',(29.312931,5.86925,-0.035)); #519297=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #519298=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #519299=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #519300=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #519301=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #519302=CARTESIAN_POINT('Origin',(29.26925,5.9129313,-0.035)); #519303=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #519304=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #519305=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #519306=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #519307=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #519308=CARTESIAN_POINT('Origin',(29.230734,5.9612281,-0.035)); #519309=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #519310=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #519311=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #519312=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #519313=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #519314=CARTESIAN_POINT('Origin',(29.197869,6.0135344,-0.035)); #519315=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #519316=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #519317=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #519318=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #519319=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #519320=CARTESIAN_POINT('Origin',(29.171066,6.0691938,-0.035)); #519321=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #519322=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #519323=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #519324=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #519325=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #519326=CARTESIAN_POINT('Origin',(29.150663,6.1275,-0.035)); #519327=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #519328=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #519329=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #519330=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #519331=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #519332=CARTESIAN_POINT('Origin',(29.136916,6.187725,-0.035)); #519333=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #519334=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #519335=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #519336=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #519337=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #519338=CARTESIAN_POINT('Origin',(29.13,6.2491125,-0.035)); #519339=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #519340=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #519341=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #519342=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #519343=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #519344=CARTESIAN_POINT('Origin',(29.13,7.1108875,-0.035)); #519345=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #519346=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #519347=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #519348=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #519349=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #519350=CARTESIAN_POINT('Origin',(29.136916,7.172275,-0.035)); #519351=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #519352=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #519353=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #519354=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #519355=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #519356=CARTESIAN_POINT('Origin',(29.150663,7.2325,-0.035)); #519357=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #519358=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #519359=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #519360=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #519361=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #519362=CARTESIAN_POINT('Origin',(29.171066,7.2908063,-0.035)); #519363=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #519364=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #519365=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #519366=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #519367=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #519368=CARTESIAN_POINT('Origin',(29.197869,7.3464656,-0.035)); #519369=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #519370=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #519371=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #519372=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #519373=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #519374=CARTESIAN_POINT('Origin',(29.230734,7.3987719,-0.035)); #519375=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #519376=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #519377=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #519378=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #519379=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #519380=CARTESIAN_POINT('Origin',(29.26925,7.4470688,-0.035)); #519381=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #519382=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #519383=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #519384=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #519385=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #519386=CARTESIAN_POINT('Origin',(29.312931,7.49075,-0.035)); #519387=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #519388=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #519389=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #519390=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #519391=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #519392=CARTESIAN_POINT('Origin',(29.361228,7.5292656,-0.035)); #519393=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #519394=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #519395=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #519396=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #519397=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #519398=CARTESIAN_POINT('Origin',(29.413534,7.5621313,-0.035)); #519399=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #519400=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #519401=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #519402=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #519403=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #519404=CARTESIAN_POINT('Origin',(29.469194,7.5889344,-0.035)); #519405=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #519406=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #519407=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #519408=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #519409=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #519410=CARTESIAN_POINT('Origin',(29.5275,7.6093375,-0.035)); #519411=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #519412=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #519413=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #519414=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #519415=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #519416=CARTESIAN_POINT('Origin',(29.587725,7.6230844,-0.035)); #519417=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #519418=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #519419=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #519420=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #519421=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #519422=CARTESIAN_POINT('Origin',(29.649113,7.63,-0.035)); #519423=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #519424=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #519425=CARTESIAN_POINT('',(29.649113,7.63,0.)); #519426=CARTESIAN_POINT('',(29.649113,7.63,0.)); #519427=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #519428=CARTESIAN_POINT('Origin',(29.710888,7.63,-0.035)); #519429=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #519430=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #519431=CARTESIAN_POINT('',(29.710888,7.63,0.)); #519432=CARTESIAN_POINT('',(29.710888,7.63,0.)); #519433=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #519434=CARTESIAN_POINT('Origin',(29.772275,7.6230844,-0.035)); #519435=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #519436=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #519437=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #519438=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #519439=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #519440=CARTESIAN_POINT('Origin',(29.8325,7.6093375,-0.035)); #519441=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #519442=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #519443=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #519444=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #519445=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #519446=CARTESIAN_POINT('Origin',(29.890806,7.5889344,-0.035)); #519447=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #519448=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #519449=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #519450=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #519451=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #519452=CARTESIAN_POINT('Origin',(29.946466,7.5621313,-0.035)); #519453=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #519454=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #519455=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #519456=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #519457=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #519458=CARTESIAN_POINT('Origin',(29.998772,7.5292656,-0.035)); #519459=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #519460=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #519461=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #519462=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #519463=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #519464=CARTESIAN_POINT('Origin',(30.047069,7.49075,-0.035)); #519465=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #519466=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #519467=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #519468=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #519469=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #519470=CARTESIAN_POINT('Origin',(30.09075,7.4470688,-0.035)); #519471=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #519472=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #519473=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #519474=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #519475=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #519476=CARTESIAN_POINT('Origin',(30.129266,7.3987719,-0.035)); #519477=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #519478=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #519479=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #519480=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #519481=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #519482=CARTESIAN_POINT('Origin',(30.162131,7.3464656,-0.035)); #519483=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #519484=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #519485=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #519486=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #519487=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #519488=CARTESIAN_POINT('Origin',(30.188934,7.2908063,-0.035)); #519489=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #519490=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #519491=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #519492=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #519493=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #519494=CARTESIAN_POINT('Origin',(30.209338,7.2325,-0.035)); #519495=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #519496=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #519497=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #519498=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #519499=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #519500=CARTESIAN_POINT('Origin',(30.223084,7.172275,-0.035)); #519501=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #519502=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #519503=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #519504=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #519505=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #519506=CARTESIAN_POINT('Origin',(30.23,7.1108875,-0.035)); #519507=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #519508=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #519509=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #519510=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #519511=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #519512=CARTESIAN_POINT('Origin',(30.23,6.2491125,-0.035)); #519513=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #519514=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #519515=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #519516=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #519517=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #519518=CARTESIAN_POINT('Origin',(30.223084,6.187725,-0.035)); #519519=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #519520=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #519521=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #519522=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #519523=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #519524=CARTESIAN_POINT('Origin',(30.209338,6.1275,-0.035)); #519525=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #519526=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #519527=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #519528=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #519529=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #519530=CARTESIAN_POINT('Origin',(30.188934,6.0691938,-0.035)); #519531=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #519532=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #519533=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #519534=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #519535=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #519536=CARTESIAN_POINT('Origin',(30.162131,6.0135344,-0.035)); #519537=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #519538=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #519539=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #519540=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #519541=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #519542=CARTESIAN_POINT('Origin',(30.129266,5.9612281,-0.035)); #519543=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #519544=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #519545=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #519546=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #519547=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #519548=CARTESIAN_POINT('Origin',(30.09075,5.9129313,-0.035)); #519549=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #519550=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #519551=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #519552=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #519553=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #519554=CARTESIAN_POINT('Origin',(30.047069,5.86925,-0.035)); #519555=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #519556=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #519557=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #519558=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #519559=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #519560=CARTESIAN_POINT('Origin',(29.998772,5.8307344,-0.035)); #519561=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #519562=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #519563=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #519564=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #519565=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #519566=CARTESIAN_POINT('Origin',(29.946466,5.7978688,-0.035)); #519567=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #519568=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #519569=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #519570=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #519571=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #519572=CARTESIAN_POINT('Origin',(29.890806,5.7710656,-0.035)); #519573=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #519574=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #519575=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #519576=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #519577=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #519578=CARTESIAN_POINT('Origin',(29.8325,5.7506625,-0.035)); #519579=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #519580=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #519581=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #519582=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #519583=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #519584=CARTESIAN_POINT('Origin',(29.772275,5.7369156,-0.035)); #519585=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #519586=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #519587=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.)); #519588=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,-0.035)); #519589=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #519590=CARTESIAN_POINT('',(43.257,35.27,-0.0349999999999895)); #519591=CARTESIAN_POINT('Origin',(43.73,35.27,-0.0349999999999895)); #519592=CARTESIAN_POINT('',(43.257,35.27,0.)); #519593=CARTESIAN_POINT('',(43.257,35.27,-200.)); #519594=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #519595=CARTESIAN_POINT('Origin',(44.045628,34.508,-0.035)); #519596=CARTESIAN_POINT('',(44.045628,34.508,-0.035)); #519597=CARTESIAN_POINT('',(44.492,34.954372,-0.035)); #519598=CARTESIAN_POINT('',(44.045628,34.508,-0.035)); #519599=CARTESIAN_POINT('',(44.492,34.954372,0.)); #519600=CARTESIAN_POINT('',(44.492,34.954372,-0.035)); #519601=CARTESIAN_POINT('',(44.045628,34.508,0.)); #519602=CARTESIAN_POINT('',(44.045628,34.508,0.)); #519603=CARTESIAN_POINT('',(44.045628,34.508,-0.035)); #519604=CARTESIAN_POINT('Origin',(43.414372,34.508,-0.035)); #519605=CARTESIAN_POINT('',(43.414372,34.508,-0.035)); #519606=CARTESIAN_POINT('',(43.414372,34.508,-0.035)); #519607=CARTESIAN_POINT('',(43.414372,34.508,0.)); #519608=CARTESIAN_POINT('',(43.414372,34.508,0.)); #519609=CARTESIAN_POINT('',(43.414372,34.508,-0.035)); #519610=CARTESIAN_POINT('Origin',(42.968,34.954372,-0.035)); #519611=CARTESIAN_POINT('',(42.968,34.954372,-0.035)); #519612=CARTESIAN_POINT('',(42.968,34.954372,-0.035)); #519613=CARTESIAN_POINT('',(42.968,34.954372,0.)); #519614=CARTESIAN_POINT('',(42.968,34.954372,0.)); #519615=CARTESIAN_POINT('',(42.968,34.954372,-0.035)); #519616=CARTESIAN_POINT('Origin',(42.968,35.585628,-0.035)); #519617=CARTESIAN_POINT('',(42.968,35.585628,-0.035)); #519618=CARTESIAN_POINT('',(42.968,35.585628,-0.035)); #519619=CARTESIAN_POINT('',(42.968,35.585628,0.)); #519620=CARTESIAN_POINT('',(42.968,35.585628,0.)); #519621=CARTESIAN_POINT('',(42.968,35.585628,-0.035)); #519622=CARTESIAN_POINT('Origin',(43.414372,36.032,-0.035)); #519623=CARTESIAN_POINT('',(43.414372,36.032,-0.035)); #519624=CARTESIAN_POINT('',(43.414372,36.032,-0.035)); #519625=CARTESIAN_POINT('',(43.414372,36.032,0.)); #519626=CARTESIAN_POINT('',(43.414372,36.032,0.)); #519627=CARTESIAN_POINT('',(43.414372,36.032,-0.035)); #519628=CARTESIAN_POINT('Origin',(44.045628,36.032,-0.035)); #519629=CARTESIAN_POINT('',(44.045628,36.032,-0.035)); #519630=CARTESIAN_POINT('',(44.045628,36.032,-0.035)); #519631=CARTESIAN_POINT('',(44.045628,36.032,0.)); #519632=CARTESIAN_POINT('',(44.045628,36.032,0.)); #519633=CARTESIAN_POINT('',(44.045628,36.032,-0.035)); #519634=CARTESIAN_POINT('Origin',(44.492,35.585628,-0.035)); #519635=CARTESIAN_POINT('',(44.492,35.585628,-0.035)); #519636=CARTESIAN_POINT('',(44.492,35.585628,-0.035)); #519637=CARTESIAN_POINT('',(44.492,35.585628,0.)); #519638=CARTESIAN_POINT('',(44.492,35.585628,0.)); #519639=CARTESIAN_POINT('',(44.492,35.585628,-0.035)); #519640=CARTESIAN_POINT('Origin',(44.492,34.954372,-0.035)); #519641=CARTESIAN_POINT('',(44.492,34.954372,-0.035)); #519642=CARTESIAN_POINT('',(44.492,34.954372,0.)); #519643=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #519644=CARTESIAN_POINT('Origin',(43.73,35.27,-0.035)); #519645=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #519646=CARTESIAN_POINT('',(11.785,34.,-0.0349999999999895)); #519647=CARTESIAN_POINT('Origin',(12.25,34.,-0.0349999999999895)); #519648=CARTESIAN_POINT('',(11.785,34.,0.)); #519649=CARTESIAN_POINT('',(11.785,34.,-200.)); #519650=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #519651=CARTESIAN_POINT('Origin',(12.286844,33.25,-0.035)); #519652=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #519653=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #519654=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #519655=CARTESIAN_POINT('',(12.360181,33.257222,0.)); #519656=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #519657=CARTESIAN_POINT('',(12.286844,33.25,0.)); #519658=CARTESIAN_POINT('',(12.286844,33.25,0.)); #519659=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #519660=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #519661=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #519662=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #519663=CARTESIAN_POINT('',(12.139819,34.742778,0.)); #519664=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.)); #519665=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #519666=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #519667=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #519668=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #519669=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.)); #519670=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,-0.035)); #519671=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #519672=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #519673=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #519674=CARTESIAN_POINT('',(29.3000000471749,34.565,0.)); #519675=CARTESIAN_POINT('',(28.8500000151391,34.565,-0.0349999999999895)); #519676=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #519677=CARTESIAN_POINT('',(29.4500000454174,34.565,-0.0349999999999895)); #519678=CARTESIAN_POINT('',(29.3000000471749,34.565,-0.0350000000000072)); #519679=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #519680=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #519681=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #519682=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #519683=CARTESIAN_POINT('',(29.4499999848609,33.435,-0.0349999999999895)); #519684=CARTESIAN_POINT('Origin',(29.45,34.,-0.0349999999999895)); #519685=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #519686=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #519687=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #519688=CARTESIAN_POINT('',(29.0000000017575,33.435,0.)); #519689=CARTESIAN_POINT('',(28.8499999545827,33.435,-0.0349999999999895)); #519690=CARTESIAN_POINT('',(29.0000000017575,33.435,-0.0350000000000072)); #519691=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #519692=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #519693=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #519694=CARTESIAN_POINT('Origin',(28.85,34.,-0.0349999999999895)); #519695=CARTESIAN_POINT('Origin',(29.489294,33.1,-0.035)); #519696=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #519697=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #519698=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #519699=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #519700=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #519701=CARTESIAN_POINT('',(29.489294,33.1,0.)); #519702=CARTESIAN_POINT('',(29.489294,33.1,0.)); #519703=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #519704=CARTESIAN_POINT('Origin',(28.810706,33.1,-0.035)); #519705=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #519706=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #519707=CARTESIAN_POINT('',(28.810706,33.1,0.)); #519708=CARTESIAN_POINT('',(28.810706,33.1,0.)); #519709=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #519710=CARTESIAN_POINT('Origin',(28.732416,33.10685,-0.035)); #519711=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #519712=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #519713=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #519714=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #519715=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #519716=CARTESIAN_POINT('Origin',(28.655019,33.120497,-0.035)); #519717=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #519718=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #519719=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #519720=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #519721=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #519722=CARTESIAN_POINT('Origin',(28.579106,33.140838,-0.035)); #519723=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #519724=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #519725=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #519726=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #519727=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #519728=CARTESIAN_POINT('Origin',(28.505256,33.167716,-0.035)); #519729=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #519730=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #519731=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #519732=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #519733=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #519734=CARTESIAN_POINT('Origin',(28.434031,33.200931,-0.035)); #519735=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #519736=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #519737=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #519738=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #519739=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #519740=CARTESIAN_POINT('Origin',(28.365969,33.240225,-0.035)); #519741=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #519742=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #519743=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #519744=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #519745=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #519746=CARTESIAN_POINT('Origin',(28.301594,33.285303,-0.035)); #519747=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #519748=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #519749=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #519750=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #519751=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #519752=CARTESIAN_POINT('Origin',(28.241391,33.335819,-0.035)); #519753=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #519754=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #519755=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #519756=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #519757=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #519758=CARTESIAN_POINT('Origin',(28.185819,33.391391,-0.035)); #519759=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #519760=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #519761=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #519762=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #519763=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #519764=CARTESIAN_POINT('Origin',(28.135303,33.451594,-0.035)); #519765=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #519766=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #519767=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #519768=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #519769=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #519770=CARTESIAN_POINT('Origin',(28.090225,33.515969,-0.035)); #519771=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #519772=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #519773=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #519774=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #519775=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #519776=CARTESIAN_POINT('Origin',(28.050931,33.584031,-0.035)); #519777=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #519778=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #519779=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #519780=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #519781=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #519782=CARTESIAN_POINT('Origin',(28.017716,33.655256,-0.035)); #519783=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #519784=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #519785=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #519786=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #519787=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #519788=CARTESIAN_POINT('Origin',(27.990838,33.729106,-0.035)); #519789=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #519790=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #519791=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #519792=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #519793=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #519794=CARTESIAN_POINT('Origin',(27.970497,33.805019,-0.035)); #519795=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #519796=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #519797=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #519798=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #519799=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #519800=CARTESIAN_POINT('Origin',(27.95685,33.882416,-0.035)); #519801=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #519802=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #519803=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #519804=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #519805=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #519806=CARTESIAN_POINT('Origin',(27.95,33.960706,-0.035)); #519807=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #519808=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #519809=CARTESIAN_POINT('',(27.95,33.960706,0.)); #519810=CARTESIAN_POINT('',(27.95,33.960706,0.)); #519811=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #519812=CARTESIAN_POINT('Origin',(27.95,34.039294,-0.035)); #519813=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #519814=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #519815=CARTESIAN_POINT('',(27.95,34.039294,0.)); #519816=CARTESIAN_POINT('',(27.95,34.039294,0.)); #519817=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #519818=CARTESIAN_POINT('Origin',(27.95685,34.117584,-0.035)); #519819=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #519820=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #519821=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #519822=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #519823=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #519824=CARTESIAN_POINT('Origin',(27.970497,34.194981,-0.035)); #519825=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #519826=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #519827=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #519828=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #519829=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #519830=CARTESIAN_POINT('Origin',(27.990838,34.270894,-0.035)); #519831=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #519832=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #519833=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #519834=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #519835=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #519836=CARTESIAN_POINT('Origin',(28.017716,34.344744,-0.035)); #519837=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #519838=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #519839=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #519840=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #519841=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #519842=CARTESIAN_POINT('Origin',(28.050931,34.415969,-0.035)); #519843=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #519844=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #519845=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #519846=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #519847=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #519848=CARTESIAN_POINT('Origin',(28.090225,34.484031,-0.035)); #519849=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #519850=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #519851=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #519852=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #519853=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #519854=CARTESIAN_POINT('Origin',(28.135303,34.548406,-0.035)); #519855=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #519856=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #519857=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #519858=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #519859=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #519860=CARTESIAN_POINT('Origin',(28.185819,34.608609,-0.035)); #519861=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #519862=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #519863=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #519864=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #519865=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #519866=CARTESIAN_POINT('Origin',(28.241391,34.664181,-0.035)); #519867=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #519868=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #519869=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #519870=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #519871=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #519872=CARTESIAN_POINT('Origin',(28.301594,34.714697,-0.035)); #519873=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #519874=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #519875=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #519876=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #519877=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #519878=CARTESIAN_POINT('Origin',(28.365969,34.759775,-0.035)); #519879=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #519880=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #519881=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #519882=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #519883=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #519884=CARTESIAN_POINT('Origin',(28.434031,34.799069,-0.035)); #519885=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #519886=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #519887=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #519888=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #519889=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #519890=CARTESIAN_POINT('Origin',(28.505256,34.832284,-0.035)); #519891=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #519892=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #519893=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #519894=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #519895=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #519896=CARTESIAN_POINT('Origin',(28.579106,34.859163,-0.035)); #519897=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #519898=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #519899=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #519900=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #519901=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #519902=CARTESIAN_POINT('Origin',(28.655019,34.879503,-0.035)); #519903=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #519904=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #519905=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #519906=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #519907=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #519908=CARTESIAN_POINT('Origin',(28.732416,34.89315,-0.035)); #519909=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #519910=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #519911=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #519912=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #519913=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #519914=CARTESIAN_POINT('Origin',(28.810706,34.9,-0.035)); #519915=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #519916=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #519917=CARTESIAN_POINT('',(28.810706,34.9,0.)); #519918=CARTESIAN_POINT('',(28.810706,34.9,0.)); #519919=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #519920=CARTESIAN_POINT('Origin',(29.489294,34.9,-0.035)); #519921=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #519922=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #519923=CARTESIAN_POINT('',(29.489294,34.9,0.)); #519924=CARTESIAN_POINT('',(29.489294,34.9,0.)); #519925=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #519926=CARTESIAN_POINT('Origin',(29.567584,34.89315,-0.035)); #519927=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #519928=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #519929=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #519930=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #519931=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #519932=CARTESIAN_POINT('Origin',(29.644981,34.879503,-0.035)); #519933=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #519934=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #519935=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #519936=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #519937=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #519938=CARTESIAN_POINT('Origin',(29.720894,34.859163,-0.035)); #519939=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #519940=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #519941=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #519942=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #519943=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #519944=CARTESIAN_POINT('Origin',(29.794744,34.832284,-0.035)); #519945=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #519946=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #519947=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #519948=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #519949=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #519950=CARTESIAN_POINT('Origin',(29.865969,34.799069,-0.035)); #519951=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #519952=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #519953=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #519954=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #519955=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #519956=CARTESIAN_POINT('Origin',(29.934031,34.759775,-0.035)); #519957=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #519958=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #519959=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #519960=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #519961=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #519962=CARTESIAN_POINT('Origin',(29.998406,34.714697,-0.035)); #519963=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #519964=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #519965=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #519966=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #519967=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #519968=CARTESIAN_POINT('Origin',(30.058609,34.664181,-0.035)); #519969=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #519970=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #519971=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #519972=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #519973=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #519974=CARTESIAN_POINT('Origin',(30.114181,34.608609,-0.035)); #519975=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #519976=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #519977=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #519978=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #519979=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #519980=CARTESIAN_POINT('Origin',(30.164697,34.548406,-0.035)); #519981=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #519982=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #519983=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #519984=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #519985=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #519986=CARTESIAN_POINT('Origin',(30.209775,34.484031,-0.035)); #519987=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #519988=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #519989=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #519990=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #519991=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #519992=CARTESIAN_POINT('Origin',(30.249069,34.415969,-0.035)); #519993=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #519994=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #519995=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #519996=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #519997=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #519998=CARTESIAN_POINT('Origin',(30.282284,34.344744,-0.035)); #519999=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #520000=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #520001=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #520002=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #520003=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #520004=CARTESIAN_POINT('Origin',(30.309163,34.270894,-0.035)); #520005=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #520006=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #520007=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #520008=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #520009=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #520010=CARTESIAN_POINT('Origin',(30.329503,34.194981,-0.035)); #520011=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #520012=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #520013=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #520014=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #520015=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #520016=CARTESIAN_POINT('Origin',(30.34315,34.117584,-0.035)); #520017=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #520018=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #520019=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #520020=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #520021=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #520022=CARTESIAN_POINT('Origin',(30.35,34.039294,-0.035)); #520023=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #520024=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #520025=CARTESIAN_POINT('',(30.35,34.039294,0.)); #520026=CARTESIAN_POINT('',(30.35,34.039294,0.)); #520027=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #520028=CARTESIAN_POINT('Origin',(30.35,33.960706,-0.035)); #520029=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #520030=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #520031=CARTESIAN_POINT('',(30.35,33.960706,0.)); #520032=CARTESIAN_POINT('',(30.35,33.960706,0.)); #520033=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #520034=CARTESIAN_POINT('Origin',(30.34315,33.882416,-0.035)); #520035=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #520036=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #520037=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #520038=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #520039=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #520040=CARTESIAN_POINT('Origin',(30.329503,33.805019,-0.035)); #520041=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #520042=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #520043=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #520044=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #520045=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #520046=CARTESIAN_POINT('Origin',(30.309163,33.729106,-0.035)); #520047=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #520048=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #520049=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #520050=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #520051=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #520052=CARTESIAN_POINT('Origin',(30.282284,33.655256,-0.035)); #520053=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #520054=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #520055=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #520056=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #520057=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #520058=CARTESIAN_POINT('Origin',(30.249069,33.584031,-0.035)); #520059=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #520060=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #520061=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #520062=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #520063=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #520064=CARTESIAN_POINT('Origin',(30.209775,33.515969,-0.035)); #520065=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #520066=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #520067=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #520068=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #520069=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #520070=CARTESIAN_POINT('Origin',(30.164697,33.451594,-0.035)); #520071=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #520072=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #520073=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #520074=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #520075=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #520076=CARTESIAN_POINT('Origin',(30.114181,33.391391,-0.035)); #520077=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #520078=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #520079=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #520080=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #520081=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #520082=CARTESIAN_POINT('Origin',(30.058609,33.335819,-0.035)); #520083=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #520084=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #520085=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #520086=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #520087=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #520088=CARTESIAN_POINT('Origin',(29.998406,33.285303,-0.035)); #520089=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #520090=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #520091=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #520092=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #520093=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #520094=CARTESIAN_POINT('Origin',(29.934031,33.240225,-0.035)); #520095=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #520096=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #520097=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #520098=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #520099=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #520100=CARTESIAN_POINT('Origin',(29.865969,33.200931,-0.035)); #520101=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #520102=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #520103=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #520104=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #520105=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #520106=CARTESIAN_POINT('Origin',(29.794744,33.167716,-0.035)); #520107=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #520108=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #520109=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #520110=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #520111=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #520112=CARTESIAN_POINT('Origin',(29.720894,33.140838,-0.035)); #520113=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #520114=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #520115=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #520116=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #520117=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #520118=CARTESIAN_POINT('Origin',(29.644981,33.120497,-0.035)); #520119=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #520120=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #520121=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #520122=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #520123=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #520124=CARTESIAN_POINT('Origin',(29.567584,33.10685,-0.035)); #520125=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #520126=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #520127=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.)); #520128=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,-0.035)); #520129=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #520130=CARTESIAN_POINT('',(43.257,32.73,-0.0349999999999895)); #520131=CARTESIAN_POINT('Origin',(43.73,32.73,-0.0349999999999895)); #520132=CARTESIAN_POINT('',(43.257,32.73,0.)); #520133=CARTESIAN_POINT('',(43.257,32.73,-200.)); #520134=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #520135=CARTESIAN_POINT('Origin',(44.045628,31.968,-0.035)); #520136=CARTESIAN_POINT('',(44.045628,31.968,-0.035)); #520137=CARTESIAN_POINT('',(44.492,32.414372,-0.035)); #520138=CARTESIAN_POINT('',(44.045628,31.968,-0.035)); #520139=CARTESIAN_POINT('',(44.492,32.414372,0.)); #520140=CARTESIAN_POINT('',(44.492,32.414372,-0.035)); #520141=CARTESIAN_POINT('',(44.045628,31.968,0.)); #520142=CARTESIAN_POINT('',(44.045628,31.968,0.)); #520143=CARTESIAN_POINT('',(44.045628,31.968,-0.035)); #520144=CARTESIAN_POINT('Origin',(43.414372,31.968,-0.035)); #520145=CARTESIAN_POINT('',(43.414372,31.968,-0.035)); #520146=CARTESIAN_POINT('',(43.414372,31.968,-0.035)); #520147=CARTESIAN_POINT('',(43.414372,31.968,0.)); #520148=CARTESIAN_POINT('',(43.414372,31.968,0.)); #520149=CARTESIAN_POINT('',(43.414372,31.968,-0.035)); #520150=CARTESIAN_POINT('Origin',(42.968,32.414372,-0.035)); #520151=CARTESIAN_POINT('',(42.968,32.414372,-0.035)); #520152=CARTESIAN_POINT('',(42.968,32.414372,-0.035)); #520153=CARTESIAN_POINT('',(42.968,32.414372,0.)); #520154=CARTESIAN_POINT('',(42.968,32.414372,0.)); #520155=CARTESIAN_POINT('',(42.968,32.414372,-0.035)); #520156=CARTESIAN_POINT('Origin',(42.968,33.045628,-0.035)); #520157=CARTESIAN_POINT('',(42.968,33.045628,-0.035)); #520158=CARTESIAN_POINT('',(42.968,33.045628,-0.035)); #520159=CARTESIAN_POINT('',(42.968,33.045628,0.)); #520160=CARTESIAN_POINT('',(42.968,33.045628,0.)); #520161=CARTESIAN_POINT('',(42.968,33.045628,-0.035)); #520162=CARTESIAN_POINT('Origin',(43.414372,33.492,-0.035)); #520163=CARTESIAN_POINT('',(43.414372,33.492,-0.035)); #520164=CARTESIAN_POINT('',(43.414372,33.492,-0.035)); #520165=CARTESIAN_POINT('',(43.414372,33.492,0.)); #520166=CARTESIAN_POINT('',(43.414372,33.492,0.)); #520167=CARTESIAN_POINT('',(43.414372,33.492,-0.035)); #520168=CARTESIAN_POINT('Origin',(44.045628,33.492,-0.035)); #520169=CARTESIAN_POINT('',(44.045628,33.492,-0.035)); #520170=CARTESIAN_POINT('',(44.045628,33.492,-0.035)); #520171=CARTESIAN_POINT('',(44.045628,33.492,0.)); #520172=CARTESIAN_POINT('',(44.045628,33.492,0.)); #520173=CARTESIAN_POINT('',(44.045628,33.492,-0.035)); #520174=CARTESIAN_POINT('Origin',(44.492,33.045628,-0.035)); #520175=CARTESIAN_POINT('',(44.492,33.045628,-0.035)); #520176=CARTESIAN_POINT('',(44.492,33.045628,-0.035)); #520177=CARTESIAN_POINT('',(44.492,33.045628,0.)); #520178=CARTESIAN_POINT('',(44.492,33.045628,0.)); #520179=CARTESIAN_POINT('',(44.492,33.045628,-0.035)); #520180=CARTESIAN_POINT('Origin',(44.492,32.414372,-0.035)); #520181=CARTESIAN_POINT('',(44.492,32.414372,-0.035)); #520182=CARTESIAN_POINT('',(44.492,32.414372,0.)); #520183=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #520184=CARTESIAN_POINT('Origin',(43.73,32.73,-0.035)); #520185=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #520186=CARTESIAN_POINT('',(31.035,25.25,-0.0349999999999895)); #520187=CARTESIAN_POINT('Origin',(31.5,25.25,-0.0349999999999895)); #520188=CARTESIAN_POINT('',(31.035,25.25,0.)); #520189=CARTESIAN_POINT('',(31.035,25.25,-200.)); #520190=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #520191=CARTESIAN_POINT('Origin',(31.536844,24.5,-0.035)); #520192=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #520193=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #520194=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #520195=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #520196=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #520197=CARTESIAN_POINT('',(31.536844,24.5,0.)); #520198=CARTESIAN_POINT('',(31.536844,24.5,0.)); #520199=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #520200=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #520201=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #520202=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #520203=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #520204=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #520205=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #520206=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #520207=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #520208=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #520209=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #520210=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,-0.035)); #520211=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #520212=CARTESIAN_POINT('',(33.535,25.25,-0.0349999999999895)); #520213=CARTESIAN_POINT('Origin',(34.,25.25,-0.0349999999999895)); #520214=CARTESIAN_POINT('',(33.535,25.25,0.)); #520215=CARTESIAN_POINT('',(33.535,25.25,-200.)); #520216=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #520217=CARTESIAN_POINT('Origin',(34.036844,24.5,-0.035)); #520218=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #520219=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #520220=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #520221=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #520222=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #520223=CARTESIAN_POINT('',(34.036844,24.5,0.)); #520224=CARTESIAN_POINT('',(34.036844,24.5,0.)); #520225=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #520226=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #520227=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #520228=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #520229=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #520230=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #520231=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #520232=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #520233=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #520234=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #520235=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #520236=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,-0.035)); #520237=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #520238=CARTESIAN_POINT('',(36.035,25.25,-0.0349999999999895)); #520239=CARTESIAN_POINT('Origin',(36.5,25.25,-0.0349999999999895)); #520240=CARTESIAN_POINT('',(36.035,25.25,0.)); #520241=CARTESIAN_POINT('',(36.035,25.25,-200.)); #520242=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #520243=CARTESIAN_POINT('Origin',(36.536844,24.5,-0.035)); #520244=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #520245=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #520246=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #520247=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #520248=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #520249=CARTESIAN_POINT('',(36.536844,24.5,0.)); #520250=CARTESIAN_POINT('',(36.536844,24.5,0.)); #520251=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #520252=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #520253=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #520254=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #520255=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #520256=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #520257=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #520258=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #520259=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #520260=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #520261=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #520262=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,-0.035)); #520263=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #520264=CARTESIAN_POINT('',(29.625,45.,-0.0349999999999895)); #520265=CARTESIAN_POINT('Origin',(30.19,45.,-0.0349999999999895)); #520266=CARTESIAN_POINT('',(29.625,45.,0.)); #520267=CARTESIAN_POINT('',(29.625,45.,-200.)); #520268=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #520269=CARTESIAN_POINT('Origin',(31.09,44.1,-0.035)); #520270=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #520271=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #520272=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #520273=CARTESIAN_POINT('',(31.09,45.9,0.)); #520274=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #520275=CARTESIAN_POINT('',(31.09,44.1,0.)); #520276=CARTESIAN_POINT('',(31.09,44.1,0.)); #520277=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #520278=CARTESIAN_POINT('Origin',(29.29,44.1,-0.035)); #520279=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #520280=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #520281=CARTESIAN_POINT('',(29.29,44.1,0.)); #520282=CARTESIAN_POINT('',(29.29,44.1,0.)); #520283=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #520284=CARTESIAN_POINT('Origin',(29.29,45.9,-0.035)); #520285=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #520286=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #520287=CARTESIAN_POINT('',(29.29,45.9,0.)); #520288=CARTESIAN_POINT('',(29.29,45.9,0.)); #520289=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #520290=CARTESIAN_POINT('Origin',(31.09,45.9,-0.035)); #520291=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #520292=CARTESIAN_POINT('',(31.09,45.9,0.)); #520293=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #520294=CARTESIAN_POINT('Origin',(30.19,45.,-0.035)); #520295=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #520296=CARTESIAN_POINT('',(45.797,32.73,-0.0349999999999895)); #520297=CARTESIAN_POINT('Origin',(46.27,32.73,-0.0349999999999895)); #520298=CARTESIAN_POINT('',(45.797,32.73,0.)); #520299=CARTESIAN_POINT('',(45.797,32.73,-200.)); #520300=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #520301=CARTESIAN_POINT('Origin',(46.585628,31.968,-0.035)); #520302=CARTESIAN_POINT('',(46.585628,31.968,-0.035)); #520303=CARTESIAN_POINT('',(47.032,32.414372,-0.035)); #520304=CARTESIAN_POINT('',(46.585628,31.968,-0.035)); #520305=CARTESIAN_POINT('',(47.032,32.414372,0.)); #520306=CARTESIAN_POINT('',(47.032,32.414372,-0.035)); #520307=CARTESIAN_POINT('',(46.585628,31.968,0.)); #520308=CARTESIAN_POINT('',(46.585628,31.968,0.)); #520309=CARTESIAN_POINT('',(46.585628,31.968,-0.035)); #520310=CARTESIAN_POINT('Origin',(45.954372,31.968,-0.035)); #520311=CARTESIAN_POINT('',(45.954372,31.968,-0.035)); #520312=CARTESIAN_POINT('',(45.954372,31.968,-0.035)); #520313=CARTESIAN_POINT('',(45.954372,31.968,0.)); #520314=CARTESIAN_POINT('',(45.954372,31.968,0.)); #520315=CARTESIAN_POINT('',(45.954372,31.968,-0.035)); #520316=CARTESIAN_POINT('Origin',(45.508,32.414372,-0.035)); #520317=CARTESIAN_POINT('',(45.508,32.414372,-0.035)); #520318=CARTESIAN_POINT('',(45.508,32.414372,-0.035)); #520319=CARTESIAN_POINT('',(45.508,32.414372,0.)); #520320=CARTESIAN_POINT('',(45.508,32.414372,0.)); #520321=CARTESIAN_POINT('',(45.508,32.414372,-0.035)); #520322=CARTESIAN_POINT('Origin',(45.508,33.045628,-0.035)); #520323=CARTESIAN_POINT('',(45.508,33.045628,-0.035)); #520324=CARTESIAN_POINT('',(45.508,33.045628,-0.035)); #520325=CARTESIAN_POINT('',(45.508,33.045628,0.)); #520326=CARTESIAN_POINT('',(45.508,33.045628,0.)); #520327=CARTESIAN_POINT('',(45.508,33.045628,-0.035)); #520328=CARTESIAN_POINT('Origin',(45.954372,33.492,-0.035)); #520329=CARTESIAN_POINT('',(45.954372,33.492,-0.035)); #520330=CARTESIAN_POINT('',(45.954372,33.492,-0.035)); #520331=CARTESIAN_POINT('',(45.954372,33.492,0.)); #520332=CARTESIAN_POINT('',(45.954372,33.492,0.)); #520333=CARTESIAN_POINT('',(45.954372,33.492,-0.035)); #520334=CARTESIAN_POINT('Origin',(46.585628,33.492,-0.035)); #520335=CARTESIAN_POINT('',(46.585628,33.492,-0.035)); #520336=CARTESIAN_POINT('',(46.585628,33.492,-0.035)); #520337=CARTESIAN_POINT('',(46.585628,33.492,0.)); #520338=CARTESIAN_POINT('',(46.585628,33.492,0.)); #520339=CARTESIAN_POINT('',(46.585628,33.492,-0.035)); #520340=CARTESIAN_POINT('Origin',(47.032,33.045628,-0.035)); #520341=CARTESIAN_POINT('',(47.032,33.045628,-0.035)); #520342=CARTESIAN_POINT('',(47.032,33.045628,-0.035)); #520343=CARTESIAN_POINT('',(47.032,33.045628,0.)); #520344=CARTESIAN_POINT('',(47.032,33.045628,0.)); #520345=CARTESIAN_POINT('',(47.032,33.045628,-0.035)); #520346=CARTESIAN_POINT('Origin',(47.032,32.414372,-0.035)); #520347=CARTESIAN_POINT('',(47.032,32.414372,-0.035)); #520348=CARTESIAN_POINT('',(47.032,32.414372,0.)); #520349=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #520350=CARTESIAN_POINT('Origin',(46.27,32.73,-0.035)); #520351=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #520352=CARTESIAN_POINT('',(36.035,27.75,-0.0349999999999895)); #520353=CARTESIAN_POINT('Origin',(36.5,27.75,-0.0349999999999895)); #520354=CARTESIAN_POINT('',(36.035,27.75,0.)); #520355=CARTESIAN_POINT('',(36.035,27.75,-200.)); #520356=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #520357=CARTESIAN_POINT('Origin',(36.536844,27.,-0.035)); #520358=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #520359=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #520360=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #520361=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #520362=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #520363=CARTESIAN_POINT('',(36.536844,27.,0.)); #520364=CARTESIAN_POINT('',(36.536844,27.,0.)); #520365=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #520366=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #520367=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #520368=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #520369=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #520370=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #520371=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #520372=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #520373=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #520374=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #520375=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #520376=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,-0.035)); #520377=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #520378=CARTESIAN_POINT('',(51.785,34.,-0.0349999999999895)); #520379=CARTESIAN_POINT('Origin',(52.25,34.,-0.0349999999999895)); #520380=CARTESIAN_POINT('',(51.785,34.,0.)); #520381=CARTESIAN_POINT('',(51.785,34.,-200.)); #520382=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #520383=CARTESIAN_POINT('Origin',(52.286844,33.25,-0.035)); #520384=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #520385=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #520386=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #520387=CARTESIAN_POINT('',(52.360181,33.257222,0.)); #520388=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #520389=CARTESIAN_POINT('',(52.286844,33.25,0.)); #520390=CARTESIAN_POINT('',(52.286844,33.25,0.)); #520391=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #520392=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #520393=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #520394=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #520395=CARTESIAN_POINT('',(52.139819,34.742778,0.)); #520396=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.)); #520397=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #520398=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #520399=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #520400=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #520401=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.)); #520402=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,-0.035)); #520403=CARTESIAN_POINT('Origin',(21.2675,21.215,-0.035)); #520404=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #520405=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #520406=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #520407=CARTESIAN_POINT('',(21.2675,22.485,0.)); #520408=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #520409=CARTESIAN_POINT('',(21.2675,21.215,0.)); #520410=CARTESIAN_POINT('',(21.2675,21.215,0.)); #520411=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #520412=CARTESIAN_POINT('Origin',(20.6325,21.215,-0.035)); #520413=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #520414=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #520415=CARTESIAN_POINT('',(20.6325,21.215,0.)); #520416=CARTESIAN_POINT('',(20.6325,21.215,0.)); #520417=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #520418=CARTESIAN_POINT('Origin',(20.6325,22.485,-0.035)); #520419=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #520420=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #520421=CARTESIAN_POINT('',(20.6325,22.485,0.)); #520422=CARTESIAN_POINT('',(20.6325,22.485,0.)); #520423=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #520424=CARTESIAN_POINT('Origin',(21.2675,22.485,-0.035)); #520425=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #520426=CARTESIAN_POINT('',(21.2675,22.485,0.)); #520427=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #520428=CARTESIAN_POINT('Origin',(20.95,21.85,-0.035)); #520429=CARTESIAN_POINT('Origin',(32.9,6.67,-0.035)); #520430=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #520431=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #520432=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #520433=CARTESIAN_POINT('',(32.9,7.82,0.)); #520434=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #520435=CARTESIAN_POINT('',(32.9,6.67,0.)); #520436=CARTESIAN_POINT('',(32.9,6.67,0.)); #520437=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #520438=CARTESIAN_POINT('Origin',(32.6,6.67,-0.035)); #520439=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #520440=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #520441=CARTESIAN_POINT('',(32.6,6.67,0.)); #520442=CARTESIAN_POINT('',(32.6,6.67,0.)); #520443=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #520444=CARTESIAN_POINT('Origin',(32.6,7.82,-0.035)); #520445=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #520446=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #520447=CARTESIAN_POINT('',(32.6,7.82,0.)); #520448=CARTESIAN_POINT('',(32.6,7.82,0.)); #520449=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #520450=CARTESIAN_POINT('Origin',(32.9,7.82,-0.035)); #520451=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #520452=CARTESIAN_POINT('',(32.9,7.82,0.)); #520453=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #520454=CARTESIAN_POINT('Origin',(32.75,7.245,-0.035)); #520455=CARTESIAN_POINT('Origin',(48.9398,17.5585,-0.035)); #520456=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #520457=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #520458=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #520459=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #520460=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #520461=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #520462=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #520463=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #520464=CARTESIAN_POINT('Origin',(48.3302,17.5585,-0.035)); #520465=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #520466=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #520467=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #520468=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #520469=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #520470=CARTESIAN_POINT('Origin',(48.3302,19.2095,-0.035)); #520471=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #520472=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #520473=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #520474=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #520475=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #520476=CARTESIAN_POINT('Origin',(48.9398,19.2095,-0.035)); #520477=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #520478=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #520479=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #520480=CARTESIAN_POINT('Origin',(48.635,18.384,-0.035)); #520481=CARTESIAN_POINT('Origin',(35.9,6.67,-0.035)); #520482=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #520483=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #520484=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #520485=CARTESIAN_POINT('',(35.9,7.82,0.)); #520486=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #520487=CARTESIAN_POINT('',(35.9,6.67,0.)); #520488=CARTESIAN_POINT('',(35.9,6.67,0.)); #520489=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #520490=CARTESIAN_POINT('Origin',(35.6,6.67,-0.035)); #520491=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #520492=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #520493=CARTESIAN_POINT('',(35.6,6.67,0.)); #520494=CARTESIAN_POINT('',(35.6,6.67,0.)); #520495=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #520496=CARTESIAN_POINT('Origin',(35.6,7.82,-0.035)); #520497=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #520498=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #520499=CARTESIAN_POINT('',(35.6,7.82,0.)); #520500=CARTESIAN_POINT('',(35.6,7.82,0.)); #520501=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #520502=CARTESIAN_POINT('Origin',(35.9,7.82,-0.035)); #520503=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #520504=CARTESIAN_POINT('',(35.9,7.82,0.)); #520505=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #520506=CARTESIAN_POINT('Origin',(35.75,7.245,-0.035)); #520507=CARTESIAN_POINT('Origin',(50.2098,17.5585,-0.035)); #520508=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #520509=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #520510=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #520511=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #520512=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #520513=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #520514=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #520515=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #520516=CARTESIAN_POINT('Origin',(49.6002,17.5585,-0.035)); #520517=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #520518=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #520519=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #520520=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #520521=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #520522=CARTESIAN_POINT('Origin',(49.6002,19.2095,-0.035)); #520523=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #520524=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #520525=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #520526=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #520527=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #520528=CARTESIAN_POINT('Origin',(50.2098,19.2095,-0.035)); #520529=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #520530=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #520531=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #520532=CARTESIAN_POINT('Origin',(49.905,18.384,-0.035)); #520533=CARTESIAN_POINT('Origin',(46.3998,17.5585,-0.035)); #520534=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #520535=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #520536=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #520537=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #520538=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #520539=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #520540=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #520541=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #520542=CARTESIAN_POINT('Origin',(45.7902,17.5585,-0.035)); #520543=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #520544=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #520545=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #520546=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #520547=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #520548=CARTESIAN_POINT('Origin',(45.7902,19.2095,-0.035)); #520549=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #520550=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #520551=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #520552=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #520553=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #520554=CARTESIAN_POINT('Origin',(46.3998,19.2095,-0.035)); #520555=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #520556=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #520557=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #520558=CARTESIAN_POINT('Origin',(46.095,18.384,-0.035)); #520559=CARTESIAN_POINT('Origin',(21.1811,25.5555,-0.035)); #520560=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #520561=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #520562=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #520563=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #520564=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #520565=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #520566=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #520567=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #520568=CARTESIAN_POINT('Origin',(20.3429,25.5555,-0.035)); #520569=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #520570=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #520571=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #520572=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #520573=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #520574=CARTESIAN_POINT('Origin',(20.3429,26.4445,-0.035)); #520575=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #520576=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #520577=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #520578=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #520579=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #520580=CARTESIAN_POINT('Origin',(21.1811,26.4445,-0.035)); #520581=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #520582=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #520583=CARTESIAN_POINT('Origin',(20.762,26.,0.)); #520584=CARTESIAN_POINT('Origin',(20.762,26.,-0.035)); #520585=CARTESIAN_POINT('Origin',(19.3675,23.515,-0.035)); #520586=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #520587=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #520588=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #520589=CARTESIAN_POINT('',(19.3675,24.785,0.)); #520590=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #520591=CARTESIAN_POINT('',(19.3675,23.515,0.)); #520592=CARTESIAN_POINT('',(19.3675,23.515,0.)); #520593=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #520594=CARTESIAN_POINT('Origin',(18.7325,23.515,-0.035)); #520595=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #520596=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #520597=CARTESIAN_POINT('',(18.7325,23.515,0.)); #520598=CARTESIAN_POINT('',(18.7325,23.515,0.)); #520599=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #520600=CARTESIAN_POINT('Origin',(18.7325,24.785,-0.035)); #520601=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #520602=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #520603=CARTESIAN_POINT('',(18.7325,24.785,0.)); #520604=CARTESIAN_POINT('',(18.7325,24.785,0.)); #520605=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #520606=CARTESIAN_POINT('Origin',(19.3675,24.785,-0.035)); #520607=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #520608=CARTESIAN_POINT('',(19.3675,24.785,0.)); #520609=CARTESIAN_POINT('Origin',(19.05,24.15,0.)); #520610=CARTESIAN_POINT('Origin',(19.05,24.15,-0.035)); #520611=CARTESIAN_POINT('Origin',(21.2675,23.515,-0.035)); #520612=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #520613=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #520614=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #520615=CARTESIAN_POINT('',(21.2675,24.785,0.)); #520616=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #520617=CARTESIAN_POINT('',(21.2675,23.515,0.)); #520618=CARTESIAN_POINT('',(21.2675,23.515,0.)); #520619=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #520620=CARTESIAN_POINT('Origin',(20.6325,23.515,-0.035)); #520621=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #520622=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #520623=CARTESIAN_POINT('',(20.6325,23.515,0.)); #520624=CARTESIAN_POINT('',(20.6325,23.515,0.)); #520625=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #520626=CARTESIAN_POINT('Origin',(20.6325,24.785,-0.035)); #520627=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #520628=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #520629=CARTESIAN_POINT('',(20.6325,24.785,0.)); #520630=CARTESIAN_POINT('',(20.6325,24.785,0.)); #520631=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #520632=CARTESIAN_POINT('Origin',(21.2675,24.785,-0.035)); #520633=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #520634=CARTESIAN_POINT('',(21.2675,24.785,0.)); #520635=CARTESIAN_POINT('Origin',(20.95,24.15,0.)); #520636=CARTESIAN_POINT('Origin',(20.95,24.15,-0.035)); #520637=CARTESIAN_POINT('Origin',(50.2098,22.7905,-0.035)); #520638=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #520639=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #520640=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #520641=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #520642=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #520643=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #520644=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #520645=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #520646=CARTESIAN_POINT('Origin',(49.6002,22.7905,-0.035)); #520647=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #520648=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #520649=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #520650=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #520651=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #520652=CARTESIAN_POINT('Origin',(49.6002,24.4415,-0.035)); #520653=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #520654=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #520655=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #520656=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #520657=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #520658=CARTESIAN_POINT('Origin',(50.2098,24.4415,-0.035)); #520659=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #520660=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #520661=CARTESIAN_POINT('Origin',(49.905,23.616,0.)); #520662=CARTESIAN_POINT('Origin',(49.905,23.616,-0.035)); #520663=CARTESIAN_POINT('Origin',(19.3675,21.215,-0.035)); #520664=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #520665=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #520666=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #520667=CARTESIAN_POINT('',(19.3675,22.485,0.)); #520668=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #520669=CARTESIAN_POINT('',(19.3675,21.215,0.)); #520670=CARTESIAN_POINT('',(19.3675,21.215,0.)); #520671=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #520672=CARTESIAN_POINT('Origin',(18.7325,21.215,-0.035)); #520673=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #520674=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #520675=CARTESIAN_POINT('',(18.7325,21.215,0.)); #520676=CARTESIAN_POINT('',(18.7325,21.215,0.)); #520677=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #520678=CARTESIAN_POINT('Origin',(18.7325,22.485,-0.035)); #520679=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #520680=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #520681=CARTESIAN_POINT('',(18.7325,22.485,0.)); #520682=CARTESIAN_POINT('',(18.7325,22.485,0.)); #520683=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #520684=CARTESIAN_POINT('Origin',(19.3675,22.485,-0.035)); #520685=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #520686=CARTESIAN_POINT('',(19.3675,22.485,0.)); #520687=CARTESIAN_POINT('Origin',(19.05,21.85,0.)); #520688=CARTESIAN_POINT('Origin',(19.05,21.85,-0.035)); #520689=CARTESIAN_POINT('Origin',(26.6571,22.5555,-0.035)); #520690=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #520691=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #520692=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #520693=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #520694=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #520695=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #520696=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #520697=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #520698=CARTESIAN_POINT('Origin',(25.8189,22.5555,-0.035)); #520699=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #520700=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #520701=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #520702=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #520703=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #520704=CARTESIAN_POINT('Origin',(25.8189,23.4445,-0.035)); #520705=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #520706=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #520707=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #520708=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #520709=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #520710=CARTESIAN_POINT('Origin',(26.6571,23.4445,-0.035)); #520711=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #520712=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #520713=CARTESIAN_POINT('Origin',(26.238,23.,0.)); #520714=CARTESIAN_POINT('Origin',(26.238,23.,-0.035)); #520715=CARTESIAN_POINT('Origin',(19.6571,19.5555,-0.035)); #520716=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #520717=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #520718=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #520719=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #520720=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #520721=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #520722=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #520723=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #520724=CARTESIAN_POINT('Origin',(18.8189,19.5555,-0.035)); #520725=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #520726=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #520727=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #520728=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #520729=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #520730=CARTESIAN_POINT('Origin',(18.8189,20.4445,-0.035)); #520731=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #520732=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #520733=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #520734=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #520735=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #520736=CARTESIAN_POINT('Origin',(19.6571,20.4445,-0.035)); #520737=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #520738=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #520739=CARTESIAN_POINT('Origin',(19.238,20.,0.)); #520740=CARTESIAN_POINT('Origin',(19.238,20.,-0.035)); #520741=CARTESIAN_POINT('Origin',(16.3622,24.6665,-0.035)); #520742=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #520743=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #520744=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #520745=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #520746=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #520747=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #520748=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #520749=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #520750=CARTESIAN_POINT('Origin',(14.6858,24.6665,-0.035)); #520751=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #520752=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #520753=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #520754=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #520755=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #520756=CARTESIAN_POINT('Origin',(14.6858,27.3335,-0.035)); #520757=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #520758=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #520759=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #520760=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #520761=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #520762=CARTESIAN_POINT('Origin',(16.3622,27.3335,-0.035)); #520763=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #520764=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #520765=CARTESIAN_POINT('Origin',(15.524,26.,0.)); #520766=CARTESIAN_POINT('Origin',(15.524,26.,-0.035)); #520767=CARTESIAN_POINT('Origin',(35.4,6.67,-0.035)); #520768=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #520769=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #520770=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #520771=CARTESIAN_POINT('',(35.4,7.82,0.)); #520772=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #520773=CARTESIAN_POINT('',(35.4,6.67,0.)); #520774=CARTESIAN_POINT('',(35.4,6.67,0.)); #520775=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #520776=CARTESIAN_POINT('Origin',(35.1,6.67,-0.035)); #520777=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #520778=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #520779=CARTESIAN_POINT('',(35.1,6.67,0.)); #520780=CARTESIAN_POINT('',(35.1,6.67,0.)); #520781=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #520782=CARTESIAN_POINT('Origin',(35.1,7.82,-0.035)); #520783=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #520784=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #520785=CARTESIAN_POINT('',(35.1,7.82,0.)); #520786=CARTESIAN_POINT('',(35.1,7.82,0.)); #520787=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #520788=CARTESIAN_POINT('Origin',(35.4,7.82,-0.035)); #520789=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #520790=CARTESIAN_POINT('',(35.4,7.82,0.)); #520791=CARTESIAN_POINT('Origin',(35.25,7.245,0.)); #520792=CARTESIAN_POINT('Origin',(35.25,7.245,-0.035)); #520793=CARTESIAN_POINT('Origin',(32.4,6.67,-0.035)); #520794=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #520795=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #520796=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #520797=CARTESIAN_POINT('',(32.4,7.82,0.)); #520798=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #520799=CARTESIAN_POINT('',(32.4,6.67,0.)); #520800=CARTESIAN_POINT('',(32.4,6.67,0.)); #520801=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #520802=CARTESIAN_POINT('Origin',(32.1,6.67,-0.035)); #520803=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #520804=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #520805=CARTESIAN_POINT('',(32.1,6.67,0.)); #520806=CARTESIAN_POINT('',(32.1,6.67,0.)); #520807=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #520808=CARTESIAN_POINT('Origin',(32.1,7.82,-0.035)); #520809=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #520810=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #520811=CARTESIAN_POINT('',(32.1,7.82,0.)); #520812=CARTESIAN_POINT('',(32.1,7.82,0.)); #520813=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #520814=CARTESIAN_POINT('Origin',(32.4,7.82,-0.035)); #520815=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #520816=CARTESIAN_POINT('',(32.4,7.82,0.)); #520817=CARTESIAN_POINT('Origin',(32.25,7.245,0.)); #520818=CARTESIAN_POINT('Origin',(32.25,7.245,-0.035)); #520819=CARTESIAN_POINT('Origin',(34.9,6.67,-0.035)); #520820=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #520821=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #520822=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #520823=CARTESIAN_POINT('',(34.9,7.82,0.)); #520824=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #520825=CARTESIAN_POINT('',(34.9,6.67,0.)); #520826=CARTESIAN_POINT('',(34.9,6.67,0.)); #520827=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #520828=CARTESIAN_POINT('Origin',(34.6,6.67,-0.035)); #520829=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #520830=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #520831=CARTESIAN_POINT('',(34.6,6.67,0.)); #520832=CARTESIAN_POINT('',(34.6,6.67,0.)); #520833=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #520834=CARTESIAN_POINT('Origin',(34.6,7.82,-0.035)); #520835=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #520836=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #520837=CARTESIAN_POINT('',(34.6,7.82,0.)); #520838=CARTESIAN_POINT('',(34.6,7.82,0.)); #520839=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #520840=CARTESIAN_POINT('Origin',(34.9,7.82,-0.035)); #520841=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #520842=CARTESIAN_POINT('',(34.9,7.82,0.)); #520843=CARTESIAN_POINT('Origin',(34.75,7.245,0.)); #520844=CARTESIAN_POINT('Origin',(34.75,7.245,-0.035)); #520845=CARTESIAN_POINT('Origin',(33.9,6.67,-0.035)); #520846=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #520847=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #520848=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #520849=CARTESIAN_POINT('',(33.9,7.82,0.)); #520850=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #520851=CARTESIAN_POINT('',(33.9,6.67,0.)); #520852=CARTESIAN_POINT('',(33.9,6.67,0.)); #520853=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #520854=CARTESIAN_POINT('Origin',(33.6,6.67,-0.035)); #520855=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #520856=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #520857=CARTESIAN_POINT('',(33.6,6.67,0.)); #520858=CARTESIAN_POINT('',(33.6,6.67,0.)); #520859=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #520860=CARTESIAN_POINT('Origin',(33.6,7.82,-0.035)); #520861=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #520862=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #520863=CARTESIAN_POINT('',(33.6,7.82,0.)); #520864=CARTESIAN_POINT('',(33.6,7.82,0.)); #520865=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #520866=CARTESIAN_POINT('Origin',(33.9,7.82,-0.035)); #520867=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #520868=CARTESIAN_POINT('',(33.9,7.82,0.)); #520869=CARTESIAN_POINT('Origin',(33.75,7.245,0.)); #520870=CARTESIAN_POINT('Origin',(33.75,7.245,-0.035)); #520871=CARTESIAN_POINT('Origin',(34.4,6.67,-0.035)); #520872=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #520873=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #520874=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #520875=CARTESIAN_POINT('',(34.4,7.82,0.)); #520876=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #520877=CARTESIAN_POINT('',(34.4,6.67,0.)); #520878=CARTESIAN_POINT('',(34.4,6.67,0.)); #520879=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #520880=CARTESIAN_POINT('Origin',(34.1,6.67,-0.035)); #520881=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #520882=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #520883=CARTESIAN_POINT('',(34.1,6.67,0.)); #520884=CARTESIAN_POINT('',(34.1,6.67,0.)); #520885=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #520886=CARTESIAN_POINT('Origin',(34.1,7.82,-0.035)); #520887=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #520888=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #520889=CARTESIAN_POINT('',(34.1,7.82,0.)); #520890=CARTESIAN_POINT('',(34.1,7.82,0.)); #520891=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #520892=CARTESIAN_POINT('Origin',(34.4,7.82,-0.035)); #520893=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #520894=CARTESIAN_POINT('',(34.4,7.82,0.)); #520895=CARTESIAN_POINT('Origin',(34.25,7.245,0.)); #520896=CARTESIAN_POINT('Origin',(34.25,7.245,-0.035)); #520897=CARTESIAN_POINT('Origin',(33.4,6.67,-0.035)); #520898=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #520899=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #520900=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #520901=CARTESIAN_POINT('',(33.4,7.82,0.)); #520902=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #520903=CARTESIAN_POINT('',(33.4,6.67,0.)); #520904=CARTESIAN_POINT('',(33.4,6.67,0.)); #520905=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #520906=CARTESIAN_POINT('Origin',(33.1,6.67,-0.035)); #520907=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #520908=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #520909=CARTESIAN_POINT('',(33.1,6.67,0.)); #520910=CARTESIAN_POINT('',(33.1,6.67,0.)); #520911=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #520912=CARTESIAN_POINT('Origin',(33.1,7.82,-0.035)); #520913=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #520914=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #520915=CARTESIAN_POINT('',(33.1,7.82,0.)); #520916=CARTESIAN_POINT('',(33.1,7.82,0.)); #520917=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #520918=CARTESIAN_POINT('Origin',(33.4,7.82,-0.035)); #520919=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #520920=CARTESIAN_POINT('',(33.4,7.82,0.)); #520921=CARTESIAN_POINT('Origin',(33.25,7.245,0.)); #520922=CARTESIAN_POINT('Origin',(33.25,7.245,-0.035)); #520923=CARTESIAN_POINT('Origin',(33.6571,65.5555,-0.035)); #520924=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #520925=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #520926=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #520927=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #520928=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #520929=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #520930=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #520931=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #520932=CARTESIAN_POINT('Origin',(32.8189,65.5555,-0.035)); #520933=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #520934=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #520935=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #520936=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #520937=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #520938=CARTESIAN_POINT('Origin',(32.8189,66.4445,-0.035)); #520939=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #520940=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #520941=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #520942=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #520943=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #520944=CARTESIAN_POINT('Origin',(33.6571,66.4445,-0.035)); #520945=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #520946=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #520947=CARTESIAN_POINT('Origin',(33.238,66.,0.)); #520948=CARTESIAN_POINT('Origin',(33.238,66.,-0.035)); #520949=CARTESIAN_POINT('Origin',(13.6571,41.5555,-0.035)); #520950=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #520951=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #520952=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #520953=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #520954=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #520955=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #520956=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #520957=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #520958=CARTESIAN_POINT('Origin',(12.8189,41.5555,-0.035)); #520959=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #520960=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #520961=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #520962=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #520963=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #520964=CARTESIAN_POINT('Origin',(12.8189,42.4445,-0.035)); #520965=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #520966=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #520967=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #520968=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #520969=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #520970=CARTESIAN_POINT('Origin',(13.6571,42.4445,-0.035)); #520971=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #520972=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #520973=CARTESIAN_POINT('Origin',(13.238,42.,0.)); #520974=CARTESIAN_POINT('Origin',(13.238,42.,-0.035)); #520975=CARTESIAN_POINT('Origin',(20.395,58.15,-0.035)); #520976=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #520977=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #520978=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #520979=CARTESIAN_POINT('',(20.395,59.35,0.)); #520980=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #520981=CARTESIAN_POINT('',(20.395,58.15,0.)); #520982=CARTESIAN_POINT('',(20.395,58.15,0.)); #520983=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #520984=CARTESIAN_POINT('Origin',(19.795,58.15,-0.035)); #520985=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #520986=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #520987=CARTESIAN_POINT('',(19.795,58.15,0.)); #520988=CARTESIAN_POINT('',(19.795,58.15,0.)); #520989=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #520990=CARTESIAN_POINT('Origin',(19.795,59.35,-0.035)); #520991=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #520992=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #520993=CARTESIAN_POINT('',(19.795,59.35,0.)); #520994=CARTESIAN_POINT('',(19.795,59.35,0.)); #520995=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #520996=CARTESIAN_POINT('Origin',(20.395,59.35,-0.035)); #520997=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #520998=CARTESIAN_POINT('',(20.395,59.35,0.)); #520999=CARTESIAN_POINT('Origin',(20.095,58.75,0.)); #521000=CARTESIAN_POINT('Origin',(20.095,58.75,-0.035)); #521001=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #521002=CARTESIAN_POINT('',(30.685,7.6,-0.0349999999999895)); #521003=CARTESIAN_POINT('Origin',(30.8,7.6,-0.0349999999999895)); #521004=CARTESIAN_POINT('',(30.685,7.6,0.)); #521005=CARTESIAN_POINT('',(30.685,7.6,-200.)); #521006=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #521007=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #521008=CARTESIAN_POINT('',(30.685,7.1,-0.0349999999999895)); #521009=CARTESIAN_POINT('Origin',(30.8,7.1,-0.0349999999999895)); #521010=CARTESIAN_POINT('',(30.685,7.1,0.)); #521011=CARTESIAN_POINT('',(30.685,7.1,-200.)); #521012=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #521013=CARTESIAN_POINT('Origin',(30.5,7.82,-0.035)); #521014=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #521015=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #521016=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #521017=CARTESIAN_POINT('',(30.5,6.67,0.)); #521018=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #521019=CARTESIAN_POINT('',(30.5,7.82,0.)); #521020=CARTESIAN_POINT('',(30.5,7.82,0.)); #521021=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #521022=CARTESIAN_POINT('Origin',(31.1,7.82,-0.035)); #521023=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #521024=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #521025=CARTESIAN_POINT('',(31.1,7.82,0.)); #521026=CARTESIAN_POINT('',(31.1,7.82,0.)); #521027=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #521028=CARTESIAN_POINT('Origin',(31.1,6.67,-0.035)); #521029=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #521030=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #521031=CARTESIAN_POINT('',(31.1,6.67,0.)); #521032=CARTESIAN_POINT('',(31.1,6.67,0.)); #521033=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #521034=CARTESIAN_POINT('Origin',(30.5,6.67,-0.035)); #521035=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #521036=CARTESIAN_POINT('',(30.5,6.67,0.)); #521037=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #521038=CARTESIAN_POINT('Origin',(30.8,7.245,-0.035)); #521039=CARTESIAN_POINT('Origin',(55.1811,41.5555,-0.035)); #521040=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #521041=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #521042=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #521043=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #521044=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #521045=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #521046=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #521047=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #521048=CARTESIAN_POINT('Origin',(54.3429,41.5555,-0.035)); #521049=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #521050=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #521051=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #521052=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #521053=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #521054=CARTESIAN_POINT('Origin',(54.3429,42.4445,-0.035)); #521055=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #521056=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #521057=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #521058=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #521059=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #521060=CARTESIAN_POINT('Origin',(55.1811,42.4445,-0.035)); #521061=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #521062=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #521063=CARTESIAN_POINT('Origin',(54.762,42.,0.)); #521064=CARTESIAN_POINT('Origin',(54.762,42.,-0.035)); #521065=CARTESIAN_POINT('Origin',(44.395,58.15,-0.035)); #521066=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #521067=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #521068=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #521069=CARTESIAN_POINT('',(44.395,59.35,0.)); #521070=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #521071=CARTESIAN_POINT('',(44.395,58.15,0.)); #521072=CARTESIAN_POINT('',(44.395,58.15,0.)); #521073=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #521074=CARTESIAN_POINT('Origin',(43.795,58.15,-0.035)); #521075=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #521076=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #521077=CARTESIAN_POINT('',(43.795,58.15,0.)); #521078=CARTESIAN_POINT('',(43.795,58.15,0.)); #521079=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #521080=CARTESIAN_POINT('Origin',(43.795,59.35,-0.035)); #521081=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #521082=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #521083=CARTESIAN_POINT('',(43.795,59.35,0.)); #521084=CARTESIAN_POINT('',(43.795,59.35,0.)); #521085=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #521086=CARTESIAN_POINT('Origin',(44.395,59.35,-0.035)); #521087=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #521088=CARTESIAN_POINT('',(44.395,59.35,0.)); #521089=CARTESIAN_POINT('Origin',(44.095,58.75,0.)); #521090=CARTESIAN_POINT('Origin',(44.095,58.75,-0.035)); #521091=CARTESIAN_POINT('Origin',(42.1811,22.5555,-0.035)); #521092=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #521093=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #521094=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #521095=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #521096=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #521097=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #521098=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #521099=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #521100=CARTESIAN_POINT('Origin',(41.3429,22.5555,-0.035)); #521101=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #521102=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #521103=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #521104=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #521105=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #521106=CARTESIAN_POINT('Origin',(41.3429,23.4445,-0.035)); #521107=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #521108=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #521109=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #521110=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #521111=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #521112=CARTESIAN_POINT('Origin',(42.1811,23.4445,-0.035)); #521113=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #521114=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #521115=CARTESIAN_POINT('Origin',(41.762,23.,0.)); #521116=CARTESIAN_POINT('Origin',(41.762,23.,-0.035)); #521117=CARTESIAN_POINT('Origin',(55.1811,44.5555,-0.035)); #521118=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #521119=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #521120=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #521121=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #521122=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #521123=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #521124=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #521125=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #521126=CARTESIAN_POINT('Origin',(54.3429,44.5555,-0.035)); #521127=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #521128=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #521129=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #521130=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #521131=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #521132=CARTESIAN_POINT('Origin',(54.3429,45.4445,-0.035)); #521133=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #521134=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #521135=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #521136=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #521137=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #521138=CARTESIAN_POINT('Origin',(55.1811,45.4445,-0.035)); #521139=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #521140=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #521141=CARTESIAN_POINT('Origin',(54.762,45.,0.)); #521142=CARTESIAN_POINT('Origin',(54.762,45.,-0.035)); #521143=CARTESIAN_POINT('Origin',(46.3998,22.7905,-0.035)); #521144=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #521145=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #521146=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #521147=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #521148=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #521149=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #521150=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #521151=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #521152=CARTESIAN_POINT('Origin',(45.7902,22.7905,-0.035)); #521153=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #521154=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #521155=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #521156=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #521157=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #521158=CARTESIAN_POINT('Origin',(45.7902,24.4415,-0.035)); #521159=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #521160=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #521161=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #521162=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #521163=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #521164=CARTESIAN_POINT('Origin',(46.3998,24.4415,-0.035)); #521165=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #521166=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #521167=CARTESIAN_POINT('Origin',(46.095,23.616,0.)); #521168=CARTESIAN_POINT('Origin',(46.095,23.616,-0.035)); #521169=CARTESIAN_POINT('Origin',(46.935,58.15,-0.035)); #521170=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #521171=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #521172=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #521173=CARTESIAN_POINT('',(46.935,59.35,0.)); #521174=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #521175=CARTESIAN_POINT('',(46.935,58.15,0.)); #521176=CARTESIAN_POINT('',(46.935,58.15,0.)); #521177=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #521178=CARTESIAN_POINT('Origin',(46.335,58.15,-0.035)); #521179=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #521180=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #521181=CARTESIAN_POINT('',(46.335,58.15,0.)); #521182=CARTESIAN_POINT('',(46.335,58.15,0.)); #521183=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #521184=CARTESIAN_POINT('Origin',(46.335,59.35,-0.035)); #521185=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #521186=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #521187=CARTESIAN_POINT('',(46.335,59.35,0.)); #521188=CARTESIAN_POINT('',(46.335,59.35,0.)); #521189=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #521190=CARTESIAN_POINT('Origin',(46.935,59.35,-0.035)); #521191=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #521192=CARTESIAN_POINT('',(46.935,59.35,0.)); #521193=CARTESIAN_POINT('Origin',(46.635,58.75,0.)); #521194=CARTESIAN_POINT('Origin',(46.635,58.75,-0.035)); #521195=CARTESIAN_POINT('Origin',(21.1811,19.5555,-0.035)); #521196=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #521197=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #521198=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #521199=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #521200=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #521201=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #521202=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #521203=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #521204=CARTESIAN_POINT('Origin',(20.3429,19.5555,-0.035)); #521205=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #521206=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #521207=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #521208=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #521209=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #521210=CARTESIAN_POINT('Origin',(20.3429,20.4445,-0.035)); #521211=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #521212=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #521213=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #521214=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #521215=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #521216=CARTESIAN_POINT('Origin',(21.1811,20.4445,-0.035)); #521217=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #521218=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #521219=CARTESIAN_POINT('Origin',(20.762,20.,0.)); #521220=CARTESIAN_POINT('Origin',(20.762,20.,-0.035)); #521221=CARTESIAN_POINT('Origin',(13.6571,44.5555,-0.035)); #521222=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #521223=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #521224=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #521225=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #521226=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #521227=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #521228=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #521229=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #521230=CARTESIAN_POINT('Origin',(12.8189,44.5555,-0.035)); #521231=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #521232=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #521233=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #521234=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #521235=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #521236=CARTESIAN_POINT('Origin',(12.8189,45.4445,-0.035)); #521237=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #521238=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #521239=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #521240=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #521241=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #521242=CARTESIAN_POINT('Origin',(13.6571,45.4445,-0.035)); #521243=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #521244=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #521245=CARTESIAN_POINT('Origin',(13.238,45.,0.)); #521246=CARTESIAN_POINT('Origin',(13.238,45.,-0.035)); #521247=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #521248=CARTESIAN_POINT('',(37.085,7.1,-0.0349999999999895)); #521249=CARTESIAN_POINT('Origin',(37.2,7.1,-0.0349999999999895)); #521250=CARTESIAN_POINT('',(37.085,7.1,0.)); #521251=CARTESIAN_POINT('',(37.085,7.1,-200.)); #521252=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #521253=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #521254=CARTESIAN_POINT('',(37.085,7.6,-0.0349999999999895)); #521255=CARTESIAN_POINT('Origin',(37.2,7.6,-0.0349999999999895)); #521256=CARTESIAN_POINT('',(37.085,7.6,0.)); #521257=CARTESIAN_POINT('',(37.085,7.6,-200.)); #521258=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #521259=CARTESIAN_POINT('Origin',(36.9,7.82,-0.035)); #521260=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #521261=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #521262=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #521263=CARTESIAN_POINT('',(36.9,6.67,0.)); #521264=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #521265=CARTESIAN_POINT('',(36.9,7.82,0.)); #521266=CARTESIAN_POINT('',(36.9,7.82,0.)); #521267=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #521268=CARTESIAN_POINT('Origin',(37.5,7.82,-0.035)); #521269=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #521270=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #521271=CARTESIAN_POINT('',(37.5,7.82,0.)); #521272=CARTESIAN_POINT('',(37.5,7.82,0.)); #521273=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #521274=CARTESIAN_POINT('Origin',(37.5,6.67,-0.035)); #521275=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #521276=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #521277=CARTESIAN_POINT('',(37.5,6.67,0.)); #521278=CARTESIAN_POINT('',(37.5,6.67,0.)); #521279=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #521280=CARTESIAN_POINT('Origin',(36.9,6.67,-0.035)); #521281=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #521282=CARTESIAN_POINT('',(36.9,6.67,0.)); #521283=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #521284=CARTESIAN_POINT('Origin',(37.2,7.245,-0.035)); #521285=CARTESIAN_POINT('Origin',(37.6571,65.5555,-0.035)); #521286=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #521287=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #521288=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #521289=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #521290=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #521291=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #521292=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #521293=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #521294=CARTESIAN_POINT('Origin',(36.8189,65.5555,-0.035)); #521295=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #521296=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #521297=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #521298=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #521299=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #521300=CARTESIAN_POINT('Origin',(36.8189,66.4445,-0.035)); #521301=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #521302=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #521303=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #521304=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #521305=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #521306=CARTESIAN_POINT('Origin',(37.6571,66.4445,-0.035)); #521307=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #521308=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #521309=CARTESIAN_POINT('Origin',(37.238,66.,0.)); #521310=CARTESIAN_POINT('Origin',(37.238,66.,-0.035)); #521311=CARTESIAN_POINT('Origin',(13.3142,24.6665,-0.035)); #521312=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #521313=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #521314=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #521315=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #521316=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #521317=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #521318=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #521319=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #521320=CARTESIAN_POINT('Origin',(11.6378,24.6665,-0.035)); #521321=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #521322=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #521323=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #521324=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #521325=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #521326=CARTESIAN_POINT('Origin',(11.6378,27.3335,-0.035)); #521327=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #521328=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #521329=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #521330=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #521331=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #521332=CARTESIAN_POINT('Origin',(13.3142,27.3335,-0.035)); #521333=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #521334=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #521335=CARTESIAN_POINT('Origin',(12.476,26.,0.)); #521336=CARTESIAN_POINT('Origin',(12.476,26.,-0.035)); #521337=CARTESIAN_POINT('Origin',(21.665,58.15,-0.035)); #521338=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #521339=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #521340=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #521341=CARTESIAN_POINT('',(21.665,59.35,0.)); #521342=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #521343=CARTESIAN_POINT('',(21.665,58.15,0.)); #521344=CARTESIAN_POINT('',(21.665,58.15,0.)); #521345=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #521346=CARTESIAN_POINT('Origin',(21.065,58.15,-0.035)); #521347=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #521348=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #521349=CARTESIAN_POINT('',(21.065,58.15,0.)); #521350=CARTESIAN_POINT('',(21.065,58.15,0.)); #521351=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #521352=CARTESIAN_POINT('Origin',(21.065,59.35,-0.035)); #521353=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #521354=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #521355=CARTESIAN_POINT('',(21.065,59.35,0.)); #521356=CARTESIAN_POINT('',(21.065,59.35,0.)); #521357=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #521358=CARTESIAN_POINT('Origin',(21.665,59.35,-0.035)); #521359=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #521360=CARTESIAN_POINT('',(21.665,59.35,0.)); #521361=CARTESIAN_POINT('Origin',(21.365,58.75,0.)); #521362=CARTESIAN_POINT('Origin',(21.365,58.75,-0.035)); #521363=CARTESIAN_POINT('Origin',(56.3622,24.6665,-0.035)); #521364=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #521365=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #521366=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #521367=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #521368=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #521369=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #521370=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #521371=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #521372=CARTESIAN_POINT('Origin',(54.6858,24.6665,-0.035)); #521373=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #521374=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #521375=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #521376=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #521377=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #521378=CARTESIAN_POINT('Origin',(54.6858,27.3335,-0.035)); #521379=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #521380=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #521381=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #521382=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #521383=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #521384=CARTESIAN_POINT('Origin',(56.3622,27.3335,-0.035)); #521385=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #521386=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #521387=CARTESIAN_POINT('Origin',(55.524,26.,0.)); #521388=CARTESIAN_POINT('Origin',(55.524,26.,-0.035)); #521389=CARTESIAN_POINT('Origin',(45.665,58.15,-0.035)); #521390=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #521391=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #521392=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #521393=CARTESIAN_POINT('',(45.665,59.35,0.)); #521394=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #521395=CARTESIAN_POINT('',(45.665,58.15,0.)); #521396=CARTESIAN_POINT('',(45.665,58.15,0.)); #521397=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #521398=CARTESIAN_POINT('Origin',(45.065,58.15,-0.035)); #521399=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #521400=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #521401=CARTESIAN_POINT('',(45.065,58.15,0.)); #521402=CARTESIAN_POINT('',(45.065,58.15,0.)); #521403=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #521404=CARTESIAN_POINT('Origin',(45.065,59.35,-0.035)); #521405=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #521406=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #521407=CARTESIAN_POINT('',(45.065,59.35,0.)); #521408=CARTESIAN_POINT('',(45.065,59.35,0.)); #521409=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #521410=CARTESIAN_POINT('Origin',(45.665,59.35,-0.035)); #521411=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #521412=CARTESIAN_POINT('',(45.665,59.35,0.)); #521413=CARTESIAN_POINT('Origin',(45.365,58.75,0.)); #521414=CARTESIAN_POINT('Origin',(45.365,58.75,-0.035)); #521415=CARTESIAN_POINT('Origin',(19.6571,25.5555,-0.035)); #521416=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #521417=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #521418=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #521419=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #521420=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #521421=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #521422=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #521423=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #521424=CARTESIAN_POINT('Origin',(18.8189,25.5555,-0.035)); #521425=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #521426=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #521427=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #521428=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #521429=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #521430=CARTESIAN_POINT('Origin',(18.8189,26.4445,-0.035)); #521431=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #521432=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #521433=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #521434=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #521435=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #521436=CARTESIAN_POINT('Origin',(19.6571,26.4445,-0.035)); #521437=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #521438=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #521439=CARTESIAN_POINT('Origin',(19.238,26.,0.)); #521440=CARTESIAN_POINT('Origin',(19.238,26.,-0.035)); #521441=CARTESIAN_POINT('Origin',(22.935,58.15,-0.035)); #521442=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #521443=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #521444=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #521445=CARTESIAN_POINT('',(22.935,59.35,0.)); #521446=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #521447=CARTESIAN_POINT('',(22.935,58.15,0.)); #521448=CARTESIAN_POINT('',(22.935,58.15,0.)); #521449=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #521450=CARTESIAN_POINT('Origin',(22.335,58.15,-0.035)); #521451=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #521452=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #521453=CARTESIAN_POINT('',(22.335,58.15,0.)); #521454=CARTESIAN_POINT('',(22.335,58.15,0.)); #521455=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #521456=CARTESIAN_POINT('Origin',(22.335,59.35,-0.035)); #521457=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #521458=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #521459=CARTESIAN_POINT('',(22.335,59.35,0.)); #521460=CARTESIAN_POINT('',(22.335,59.35,0.)); #521461=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #521462=CARTESIAN_POINT('Origin',(22.935,59.35,-0.035)); #521463=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #521464=CARTESIAN_POINT('',(22.935,59.35,0.)); #521465=CARTESIAN_POINT('Origin',(22.635,58.75,0.)); #521466=CARTESIAN_POINT('Origin',(22.635,58.75,-0.035)); #521467=CARTESIAN_POINT('Origin',(20.3175,23.515,-0.035)); #521468=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #521469=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #521470=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #521471=CARTESIAN_POINT('',(20.3175,24.785,0.)); #521472=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #521473=CARTESIAN_POINT('',(20.3175,23.515,0.)); #521474=CARTESIAN_POINT('',(20.3175,23.515,0.)); #521475=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #521476=CARTESIAN_POINT('Origin',(19.6825,23.515,-0.035)); #521477=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #521478=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #521479=CARTESIAN_POINT('',(19.6825,23.515,0.)); #521480=CARTESIAN_POINT('',(19.6825,23.515,0.)); #521481=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #521482=CARTESIAN_POINT('Origin',(19.6825,24.785,-0.035)); #521483=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #521484=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #521485=CARTESIAN_POINT('',(19.6825,24.785,0.)); #521486=CARTESIAN_POINT('',(19.6825,24.785,0.)); #521487=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #521488=CARTESIAN_POINT('Origin',(20.3175,24.785,-0.035)); #521489=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #521490=CARTESIAN_POINT('',(20.3175,24.785,0.)); #521491=CARTESIAN_POINT('Origin',(20.,24.15,0.)); #521492=CARTESIAN_POINT('Origin',(20.,24.15,-0.035)); #521493=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #521494=CARTESIAN_POINT('',(39.872,52.5,-0.0349999999999895)); #521495=CARTESIAN_POINT('Origin',(40.012,52.5,-0.0349999999999895)); #521496=CARTESIAN_POINT('',(39.872,52.5,0.)); #521497=CARTESIAN_POINT('',(39.872,52.5,-200.)); #521498=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #521499=CARTESIAN_POINT('Origin',(41.25,52.25,-0.035)); #521500=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #521501=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #521502=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #521503=CARTESIAN_POINT('',(41.25,57.75,0.)); #521504=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #521505=CARTESIAN_POINT('',(41.25,52.25,0.)); #521506=CARTESIAN_POINT('',(41.25,52.25,0.)); #521507=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #521508=CARTESIAN_POINT('Origin',(38.,52.25,-0.035)); #521509=CARTESIAN_POINT('',(38.,52.25,-0.035)); #521510=CARTESIAN_POINT('',(38.,52.25,-0.035)); #521511=CARTESIAN_POINT('',(38.,52.25,0.)); #521512=CARTESIAN_POINT('',(38.,52.25,0.)); #521513=CARTESIAN_POINT('',(38.,52.25,-0.035)); #521514=CARTESIAN_POINT('Origin',(38.,57.75,-0.035)); #521515=CARTESIAN_POINT('',(38.,57.75,-0.035)); #521516=CARTESIAN_POINT('',(38.,57.75,-0.035)); #521517=CARTESIAN_POINT('',(38.,57.75,0.)); #521518=CARTESIAN_POINT('',(38.,57.75,0.)); #521519=CARTESIAN_POINT('',(38.,57.75,-0.035)); #521520=CARTESIAN_POINT('Origin',(41.25,57.75,-0.035)); #521521=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #521522=CARTESIAN_POINT('',(41.25,57.75,0.)); #521523=CARTESIAN_POINT('Origin',(39.625,55.,0.)); #521524=CARTESIAN_POINT('Origin',(39.625,55.,-0.035)); #521525=CARTESIAN_POINT('Origin',(48.205,52.65,-0.035)); #521526=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #521527=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #521528=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #521529=CARTESIAN_POINT('',(48.205,53.85,0.)); #521530=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #521531=CARTESIAN_POINT('',(48.205,52.65,0.)); #521532=CARTESIAN_POINT('',(48.205,52.65,0.)); #521533=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #521534=CARTESIAN_POINT('Origin',(47.605,52.65,-0.035)); #521535=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #521536=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #521537=CARTESIAN_POINT('',(47.605,52.65,0.)); #521538=CARTESIAN_POINT('',(47.605,52.65,0.)); #521539=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #521540=CARTESIAN_POINT('Origin',(47.605,53.85,-0.035)); #521541=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #521542=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #521543=CARTESIAN_POINT('',(47.605,53.85,0.)); #521544=CARTESIAN_POINT('',(47.605,53.85,0.)); #521545=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #521546=CARTESIAN_POINT('Origin',(48.205,53.85,-0.035)); #521547=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #521548=CARTESIAN_POINT('',(48.205,53.85,0.)); #521549=CARTESIAN_POINT('Origin',(47.905,53.25,0.)); #521550=CARTESIAN_POINT('Origin',(47.905,53.25,-0.035)); #521551=CARTESIAN_POINT('Origin',(44.395,52.65,-0.035)); #521552=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #521553=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #521554=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #521555=CARTESIAN_POINT('',(44.395,53.85,0.)); #521556=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #521557=CARTESIAN_POINT('',(44.395,52.65,0.)); #521558=CARTESIAN_POINT('',(44.395,52.65,0.)); #521559=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #521560=CARTESIAN_POINT('Origin',(43.795,52.65,-0.035)); #521561=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #521562=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #521563=CARTESIAN_POINT('',(43.795,52.65,0.)); #521564=CARTESIAN_POINT('',(43.795,52.65,0.)); #521565=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #521566=CARTESIAN_POINT('Origin',(43.795,53.85,-0.035)); #521567=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #521568=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #521569=CARTESIAN_POINT('',(43.795,53.85,0.)); #521570=CARTESIAN_POINT('',(43.795,53.85,0.)); #521571=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #521572=CARTESIAN_POINT('Origin',(44.395,53.85,-0.035)); #521573=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #521574=CARTESIAN_POINT('',(44.395,53.85,0.)); #521575=CARTESIAN_POINT('Origin',(44.095,53.25,0.)); #521576=CARTESIAN_POINT('Origin',(44.095,53.25,-0.035)); #521577=CARTESIAN_POINT('Origin',(46.935,49.15,-0.035)); #521578=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #521579=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #521580=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #521581=CARTESIAN_POINT('',(46.935,50.35,0.)); #521582=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #521583=CARTESIAN_POINT('',(46.935,49.15,0.)); #521584=CARTESIAN_POINT('',(46.935,49.15,0.)); #521585=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #521586=CARTESIAN_POINT('Origin',(46.335,49.15,-0.035)); #521587=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #521588=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #521589=CARTESIAN_POINT('',(46.335,49.15,0.)); #521590=CARTESIAN_POINT('',(46.335,49.15,0.)); #521591=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #521592=CARTESIAN_POINT('Origin',(46.335,50.35,-0.035)); #521593=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #521594=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #521595=CARTESIAN_POINT('',(46.335,50.35,0.)); #521596=CARTESIAN_POINT('',(46.335,50.35,0.)); #521597=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #521598=CARTESIAN_POINT('Origin',(46.935,50.35,-0.035)); #521599=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #521600=CARTESIAN_POINT('',(46.935,50.35,0.)); #521601=CARTESIAN_POINT('Origin',(46.635,49.75,0.)); #521602=CARTESIAN_POINT('Origin',(46.635,49.75,-0.035)); #521603=CARTESIAN_POINT('Origin',(46.935,52.65,-0.035)); #521604=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #521605=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #521606=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #521607=CARTESIAN_POINT('',(46.935,53.85,0.)); #521608=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #521609=CARTESIAN_POINT('',(46.935,52.65,0.)); #521610=CARTESIAN_POINT('',(46.935,52.65,0.)); #521611=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #521612=CARTESIAN_POINT('Origin',(46.335,52.65,-0.035)); #521613=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #521614=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #521615=CARTESIAN_POINT('',(46.335,52.65,0.)); #521616=CARTESIAN_POINT('',(46.335,52.65,0.)); #521617=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #521618=CARTESIAN_POINT('Origin',(46.335,53.85,-0.035)); #521619=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #521620=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #521621=CARTESIAN_POINT('',(46.335,53.85,0.)); #521622=CARTESIAN_POINT('',(46.335,53.85,0.)); #521623=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #521624=CARTESIAN_POINT('Origin',(46.935,53.85,-0.035)); #521625=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #521626=CARTESIAN_POINT('',(46.935,53.85,0.)); #521627=CARTESIAN_POINT('Origin',(46.635,53.25,0.)); #521628=CARTESIAN_POINT('Origin',(46.635,53.25,-0.035)); #521629=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #521630=CARTESIAN_POINT('',(47.61,56.,-0.0349999999999895)); #521631=CARTESIAN_POINT('Origin',(47.75,56.,-0.0349999999999895)); #521632=CARTESIAN_POINT('',(47.61,56.,0.)); #521633=CARTESIAN_POINT('',(47.61,56.,-200.)); #521634=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #521635=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #521636=CARTESIAN_POINT('',(47.61,55.25,-0.0349999999999895)); #521637=CARTESIAN_POINT('Origin',(47.75,55.25,-0.0349999999999895)); #521638=CARTESIAN_POINT('',(47.61,55.25,0.)); #521639=CARTESIAN_POINT('',(47.61,55.25,-200.)); #521640=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #521641=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #521642=CARTESIAN_POINT('',(45.86,56.75,-0.0349999999999895)); #521643=CARTESIAN_POINT('Origin',(46.,56.75,-0.0349999999999895)); #521644=CARTESIAN_POINT('',(45.86,56.75,0.)); #521645=CARTESIAN_POINT('',(45.86,56.75,-200.)); #521646=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #521647=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #521648=CARTESIAN_POINT('',(45.86,55.25,-0.0349999999999895)); #521649=CARTESIAN_POINT('Origin',(46.,55.25,-0.0349999999999895)); #521650=CARTESIAN_POINT('',(45.86,55.25,0.)); #521651=CARTESIAN_POINT('',(45.86,55.25,-200.)); #521652=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #521653=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #521654=CARTESIAN_POINT('',(44.11,55.25,-0.0349999999999895)); #521655=CARTESIAN_POINT('Origin',(44.25,55.25,-0.0349999999999895)); #521656=CARTESIAN_POINT('',(44.11,55.25,0.)); #521657=CARTESIAN_POINT('',(44.11,55.25,-200.)); #521658=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #521659=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #521660=CARTESIAN_POINT('',(44.11,56.75,-0.0349999999999895)); #521661=CARTESIAN_POINT('Origin',(44.25,56.75,-0.0349999999999895)); #521662=CARTESIAN_POINT('',(44.11,56.75,0.)); #521663=CARTESIAN_POINT('',(44.11,56.75,-200.)); #521664=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #521665=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #521666=CARTESIAN_POINT('',(44.11,56.,-0.0349999999999895)); #521667=CARTESIAN_POINT('Origin',(44.25,56.,-0.0349999999999895)); #521668=CARTESIAN_POINT('',(44.11,56.,0.)); #521669=CARTESIAN_POINT('',(44.11,56.,-200.)); #521670=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #521671=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #521672=CARTESIAN_POINT('',(45.86,56.,-0.0349999999999895)); #521673=CARTESIAN_POINT('Origin',(46.,56.,-0.0349999999999895)); #521674=CARTESIAN_POINT('',(45.86,56.,0.)); #521675=CARTESIAN_POINT('',(45.86,56.,-200.)); #521676=CARTESIAN_POINT('Origin',(46.,56.,0.)); #521677=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #521678=CARTESIAN_POINT('',(44.985,55.25,-0.0349999999999895)); #521679=CARTESIAN_POINT('Origin',(45.125,55.25,-0.0349999999999895)); #521680=CARTESIAN_POINT('',(44.985,55.25,0.)); #521681=CARTESIAN_POINT('',(44.985,55.25,-200.)); #521682=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #521683=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #521684=CARTESIAN_POINT('',(44.985,56.,-0.0349999999999895)); #521685=CARTESIAN_POINT('Origin',(45.125,56.,-0.0349999999999895)); #521686=CARTESIAN_POINT('',(44.985,56.,0.)); #521687=CARTESIAN_POINT('',(44.985,56.,-200.)); #521688=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #521689=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #521690=CARTESIAN_POINT('',(47.61,56.75,-0.0349999999999895)); #521691=CARTESIAN_POINT('Origin',(47.75,56.75,-0.0349999999999895)); #521692=CARTESIAN_POINT('',(47.61,56.75,0.)); #521693=CARTESIAN_POINT('',(47.61,56.75,-200.)); #521694=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #521695=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #521696=CARTESIAN_POINT('',(44.985,56.75,-0.0349999999999895)); #521697=CARTESIAN_POINT('Origin',(45.125,56.75,-0.0349999999999895)); #521698=CARTESIAN_POINT('',(44.985,56.75,0.)); #521699=CARTESIAN_POINT('',(44.985,56.75,-200.)); #521700=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #521701=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #521702=CARTESIAN_POINT('',(46.735,56.,-0.0349999999999895)); #521703=CARTESIAN_POINT('Origin',(46.875,56.,-0.0349999999999895)); #521704=CARTESIAN_POINT('',(46.735,56.,0.)); #521705=CARTESIAN_POINT('',(46.735,56.,-200.)); #521706=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #521707=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #521708=CARTESIAN_POINT('',(46.735,55.25,-0.0349999999999895)); #521709=CARTESIAN_POINT('Origin',(46.875,55.25,-0.0349999999999895)); #521710=CARTESIAN_POINT('',(46.735,55.25,0.)); #521711=CARTESIAN_POINT('',(46.735,55.25,-200.)); #521712=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #521713=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #521714=CARTESIAN_POINT('',(46.735,56.75,-0.0349999999999895)); #521715=CARTESIAN_POINT('Origin',(46.875,56.75,-0.0349999999999895)); #521716=CARTESIAN_POINT('',(46.735,56.75,0.)); #521717=CARTESIAN_POINT('',(46.735,56.75,-200.)); #521718=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #521719=CARTESIAN_POINT('Origin',(48.205,54.75,-0.035)); #521720=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #521721=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #521722=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #521723=CARTESIAN_POINT('',(48.205,57.25,0.)); #521724=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #521725=CARTESIAN_POINT('',(48.205,54.75,0.)); #521726=CARTESIAN_POINT('',(48.205,54.75,0.)); #521727=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #521728=CARTESIAN_POINT('Origin',(43.795,54.75,-0.035)); #521729=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #521730=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #521731=CARTESIAN_POINT('',(43.795,54.75,0.)); #521732=CARTESIAN_POINT('',(43.795,54.75,0.)); #521733=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #521734=CARTESIAN_POINT('Origin',(43.795,57.25,-0.035)); #521735=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #521736=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #521737=CARTESIAN_POINT('',(43.795,57.25,0.)); #521738=CARTESIAN_POINT('',(43.795,57.25,0.)); #521739=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #521740=CARTESIAN_POINT('Origin',(48.205,57.25,-0.035)); #521741=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #521742=CARTESIAN_POINT('',(48.205,57.25,0.)); #521743=CARTESIAN_POINT('Origin',(46.,56.,0.)); #521744=CARTESIAN_POINT('Origin',(46.,56.,-0.035)); #521745=CARTESIAN_POINT('Origin',(44.395,49.15,-0.035)); #521746=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #521747=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #521748=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #521749=CARTESIAN_POINT('',(44.395,50.35,0.)); #521750=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #521751=CARTESIAN_POINT('',(44.395,49.15,0.)); #521752=CARTESIAN_POINT('',(44.395,49.15,0.)); #521753=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #521754=CARTESIAN_POINT('Origin',(43.795,49.15,-0.035)); #521755=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #521756=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #521757=CARTESIAN_POINT('',(43.795,49.15,0.)); #521758=CARTESIAN_POINT('',(43.795,49.15,0.)); #521759=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #521760=CARTESIAN_POINT('Origin',(43.795,50.35,-0.035)); #521761=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #521762=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #521763=CARTESIAN_POINT('',(43.795,50.35,0.)); #521764=CARTESIAN_POINT('',(43.795,50.35,0.)); #521765=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #521766=CARTESIAN_POINT('Origin',(44.395,50.35,-0.035)); #521767=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #521768=CARTESIAN_POINT('',(44.395,50.35,0.)); #521769=CARTESIAN_POINT('Origin',(44.095,49.75,0.)); #521770=CARTESIAN_POINT('Origin',(44.095,49.75,-0.035)); #521771=CARTESIAN_POINT('Origin',(45.665,52.65,-0.035)); #521772=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #521773=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #521774=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #521775=CARTESIAN_POINT('',(45.665,53.85,0.)); #521776=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #521777=CARTESIAN_POINT('',(45.665,52.65,0.)); #521778=CARTESIAN_POINT('',(45.665,52.65,0.)); #521779=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #521780=CARTESIAN_POINT('Origin',(45.065,52.65,-0.035)); #521781=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #521782=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #521783=CARTESIAN_POINT('',(45.065,52.65,0.)); #521784=CARTESIAN_POINT('',(45.065,52.65,0.)); #521785=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #521786=CARTESIAN_POINT('Origin',(45.065,53.85,-0.035)); #521787=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #521788=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #521789=CARTESIAN_POINT('',(45.065,53.85,0.)); #521790=CARTESIAN_POINT('',(45.065,53.85,0.)); #521791=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #521792=CARTESIAN_POINT('Origin',(45.665,53.85,-0.035)); #521793=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #521794=CARTESIAN_POINT('',(45.665,53.85,0.)); #521795=CARTESIAN_POINT('Origin',(45.365,53.25,0.)); #521796=CARTESIAN_POINT('Origin',(45.365,53.25,-0.035)); #521797=CARTESIAN_POINT('Origin',(45.665,49.15,-0.035)); #521798=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #521799=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #521800=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #521801=CARTESIAN_POINT('',(45.665,50.35,0.)); #521802=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #521803=CARTESIAN_POINT('',(45.665,49.15,0.)); #521804=CARTESIAN_POINT('',(45.665,49.15,0.)); #521805=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #521806=CARTESIAN_POINT('Origin',(45.065,49.15,-0.035)); #521807=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #521808=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #521809=CARTESIAN_POINT('',(45.065,49.15,0.)); #521810=CARTESIAN_POINT('',(45.065,49.15,0.)); #521811=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #521812=CARTESIAN_POINT('Origin',(45.065,50.35,-0.035)); #521813=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #521814=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #521815=CARTESIAN_POINT('',(45.065,50.35,0.)); #521816=CARTESIAN_POINT('',(45.065,50.35,0.)); #521817=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #521818=CARTESIAN_POINT('Origin',(45.665,50.35,-0.035)); #521819=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #521820=CARTESIAN_POINT('',(45.665,50.35,0.)); #521821=CARTESIAN_POINT('Origin',(45.365,49.75,0.)); #521822=CARTESIAN_POINT('Origin',(45.365,49.75,-0.035)); #521823=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #521824=CARTESIAN_POINT('',(27.848,52.5,-0.0349999999999895)); #521825=CARTESIAN_POINT('Origin',(27.988,52.5,-0.0349999999999895)); #521826=CARTESIAN_POINT('',(27.848,52.5,0.)); #521827=CARTESIAN_POINT('',(27.848,52.5,-200.)); #521828=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #521829=CARTESIAN_POINT('Origin',(30.,52.25,-0.035)); #521830=CARTESIAN_POINT('',(30.,52.25,-0.035)); #521831=CARTESIAN_POINT('',(30.,57.75,-0.035)); #521832=CARTESIAN_POINT('',(30.,52.25,-0.035)); #521833=CARTESIAN_POINT('',(30.,57.75,0.)); #521834=CARTESIAN_POINT('',(30.,57.75,-0.035)); #521835=CARTESIAN_POINT('',(30.,52.25,0.)); #521836=CARTESIAN_POINT('',(30.,52.25,0.)); #521837=CARTESIAN_POINT('',(30.,52.25,-0.035)); #521838=CARTESIAN_POINT('Origin',(26.75,52.25,-0.035)); #521839=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #521840=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #521841=CARTESIAN_POINT('',(26.75,52.25,0.)); #521842=CARTESIAN_POINT('',(26.75,52.25,0.)); #521843=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #521844=CARTESIAN_POINT('Origin',(26.75,57.75,-0.035)); #521845=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #521846=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #521847=CARTESIAN_POINT('',(26.75,57.75,0.)); #521848=CARTESIAN_POINT('',(26.75,57.75,0.)); #521849=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #521850=CARTESIAN_POINT('Origin',(30.,57.75,-0.035)); #521851=CARTESIAN_POINT('',(30.,57.75,-0.035)); #521852=CARTESIAN_POINT('',(30.,57.75,0.)); #521853=CARTESIAN_POINT('Origin',(28.375,55.,0.)); #521854=CARTESIAN_POINT('Origin',(28.375,55.,-0.035)); #521855=CARTESIAN_POINT('Origin',(24.205,52.65,-0.035)); #521856=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #521857=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #521858=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #521859=CARTESIAN_POINT('',(24.205,53.85,0.)); #521860=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #521861=CARTESIAN_POINT('',(24.205,52.65,0.)); #521862=CARTESIAN_POINT('',(24.205,52.65,0.)); #521863=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #521864=CARTESIAN_POINT('Origin',(23.605,52.65,-0.035)); #521865=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #521866=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #521867=CARTESIAN_POINT('',(23.605,52.65,0.)); #521868=CARTESIAN_POINT('',(23.605,52.65,0.)); #521869=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #521870=CARTESIAN_POINT('Origin',(23.605,53.85,-0.035)); #521871=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #521872=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #521873=CARTESIAN_POINT('',(23.605,53.85,0.)); #521874=CARTESIAN_POINT('',(23.605,53.85,0.)); #521875=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #521876=CARTESIAN_POINT('Origin',(24.205,53.85,-0.035)); #521877=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #521878=CARTESIAN_POINT('',(24.205,53.85,0.)); #521879=CARTESIAN_POINT('Origin',(23.905,53.25,0.)); #521880=CARTESIAN_POINT('Origin',(23.905,53.25,-0.035)); #521881=CARTESIAN_POINT('Origin',(20.395,52.65,-0.035)); #521882=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #521883=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #521884=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #521885=CARTESIAN_POINT('',(20.395,53.85,0.)); #521886=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #521887=CARTESIAN_POINT('',(20.395,52.65,0.)); #521888=CARTESIAN_POINT('',(20.395,52.65,0.)); #521889=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #521890=CARTESIAN_POINT('Origin',(19.795,52.65,-0.035)); #521891=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #521892=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #521893=CARTESIAN_POINT('',(19.795,52.65,0.)); #521894=CARTESIAN_POINT('',(19.795,52.65,0.)); #521895=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #521896=CARTESIAN_POINT('Origin',(19.795,53.85,-0.035)); #521897=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #521898=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #521899=CARTESIAN_POINT('',(19.795,53.85,0.)); #521900=CARTESIAN_POINT('',(19.795,53.85,0.)); #521901=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #521902=CARTESIAN_POINT('Origin',(20.395,53.85,-0.035)); #521903=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #521904=CARTESIAN_POINT('',(20.395,53.85,0.)); #521905=CARTESIAN_POINT('Origin',(20.095,53.25,0.)); #521906=CARTESIAN_POINT('Origin',(20.095,53.25,-0.035)); #521907=CARTESIAN_POINT('Origin',(22.935,49.15,-0.035)); #521908=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #521909=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #521910=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #521911=CARTESIAN_POINT('',(22.935,50.35,0.)); #521912=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #521913=CARTESIAN_POINT('',(22.935,49.15,0.)); #521914=CARTESIAN_POINT('',(22.935,49.15,0.)); #521915=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #521916=CARTESIAN_POINT('Origin',(22.335,49.15,-0.035)); #521917=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #521918=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #521919=CARTESIAN_POINT('',(22.335,49.15,0.)); #521920=CARTESIAN_POINT('',(22.335,49.15,0.)); #521921=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #521922=CARTESIAN_POINT('Origin',(22.335,50.35,-0.035)); #521923=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #521924=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #521925=CARTESIAN_POINT('',(22.335,50.35,0.)); #521926=CARTESIAN_POINT('',(22.335,50.35,0.)); #521927=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #521928=CARTESIAN_POINT('Origin',(22.935,50.35,-0.035)); #521929=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #521930=CARTESIAN_POINT('',(22.935,50.35,0.)); #521931=CARTESIAN_POINT('Origin',(22.635,49.75,0.)); #521932=CARTESIAN_POINT('Origin',(22.635,49.75,-0.035)); #521933=CARTESIAN_POINT('Origin',(22.935,52.65,-0.035)); #521934=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #521935=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #521936=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #521937=CARTESIAN_POINT('',(22.935,53.85,0.)); #521938=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #521939=CARTESIAN_POINT('',(22.935,52.65,0.)); #521940=CARTESIAN_POINT('',(22.935,52.65,0.)); #521941=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #521942=CARTESIAN_POINT('Origin',(22.335,52.65,-0.035)); #521943=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #521944=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #521945=CARTESIAN_POINT('',(22.335,52.65,0.)); #521946=CARTESIAN_POINT('',(22.335,52.65,0.)); #521947=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #521948=CARTESIAN_POINT('Origin',(22.335,53.85,-0.035)); #521949=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #521950=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #521951=CARTESIAN_POINT('',(22.335,53.85,0.)); #521952=CARTESIAN_POINT('',(22.335,53.85,0.)); #521953=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #521954=CARTESIAN_POINT('Origin',(22.935,53.85,-0.035)); #521955=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #521956=CARTESIAN_POINT('',(22.935,53.85,0.)); #521957=CARTESIAN_POINT('Origin',(22.635,53.25,0.)); #521958=CARTESIAN_POINT('Origin',(22.635,53.25,-0.035)); #521959=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #521960=CARTESIAN_POINT('',(21.86,55.25,-0.0349999999999895)); #521961=CARTESIAN_POINT('Origin',(22.,55.25,-0.0349999999999895)); #521962=CARTESIAN_POINT('',(21.86,55.25,0.)); #521963=CARTESIAN_POINT('',(21.86,55.25,-200.)); #521964=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #521965=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #521966=CARTESIAN_POINT('',(21.86,56.75,-0.0349999999999895)); #521967=CARTESIAN_POINT('Origin',(22.,56.75,-0.0349999999999895)); #521968=CARTESIAN_POINT('',(21.86,56.75,0.)); #521969=CARTESIAN_POINT('',(21.86,56.75,-200.)); #521970=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #521971=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #521972=CARTESIAN_POINT('',(20.11,56.,-0.0349999999999895)); #521973=CARTESIAN_POINT('Origin',(20.25,56.,-0.0349999999999895)); #521974=CARTESIAN_POINT('',(20.11,56.,0.)); #521975=CARTESIAN_POINT('',(20.11,56.,-200.)); #521976=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #521977=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #521978=CARTESIAN_POINT('',(21.86,56.,-0.0349999999999895)); #521979=CARTESIAN_POINT('Origin',(22.,56.,-0.0349999999999895)); #521980=CARTESIAN_POINT('',(21.86,56.,0.)); #521981=CARTESIAN_POINT('',(21.86,56.,-200.)); #521982=CARTESIAN_POINT('Origin',(22.,56.,0.)); #521983=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #521984=CARTESIAN_POINT('',(20.11,56.75,-0.0349999999999895)); #521985=CARTESIAN_POINT('Origin',(20.25,56.75,-0.0349999999999895)); #521986=CARTESIAN_POINT('',(20.11,56.75,0.)); #521987=CARTESIAN_POINT('',(20.11,56.75,-200.)); #521988=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #521989=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #521990=CARTESIAN_POINT('',(20.11,55.25,-0.0349999999999895)); #521991=CARTESIAN_POINT('Origin',(20.25,55.25,-0.0349999999999895)); #521992=CARTESIAN_POINT('',(20.11,55.25,0.)); #521993=CARTESIAN_POINT('',(20.11,55.25,-200.)); #521994=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #521995=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #521996=CARTESIAN_POINT('',(20.985,56.,-0.0349999999999895)); #521997=CARTESIAN_POINT('Origin',(21.125,56.,-0.0349999999999895)); #521998=CARTESIAN_POINT('',(20.985,56.,0.)); #521999=CARTESIAN_POINT('',(20.985,56.,-200.)); #522000=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #522001=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #522002=CARTESIAN_POINT('',(20.985,55.25,-0.0349999999999895)); #522003=CARTESIAN_POINT('Origin',(21.125,55.25,-0.0349999999999895)); #522004=CARTESIAN_POINT('',(20.985,55.25,0.)); #522005=CARTESIAN_POINT('',(20.985,55.25,-200.)); #522006=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #522007=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #522008=CARTESIAN_POINT('',(22.735,56.,-0.0349999999999895)); #522009=CARTESIAN_POINT('Origin',(22.875,56.,-0.0349999999999895)); #522010=CARTESIAN_POINT('',(22.735,56.,0.)); #522011=CARTESIAN_POINT('',(22.735,56.,-200.)); #522012=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #522013=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #522014=CARTESIAN_POINT('',(22.735,55.25,-0.0349999999999895)); #522015=CARTESIAN_POINT('Origin',(22.875,55.25,-0.0349999999999895)); #522016=CARTESIAN_POINT('',(22.735,55.25,0.)); #522017=CARTESIAN_POINT('',(22.735,55.25,-200.)); #522018=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #522019=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #522020=CARTESIAN_POINT('',(23.61,56.,-0.0349999999999895)); #522021=CARTESIAN_POINT('Origin',(23.75,56.,-0.0349999999999895)); #522022=CARTESIAN_POINT('',(23.61,56.,0.)); #522023=CARTESIAN_POINT('',(23.61,56.,-200.)); #522024=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #522025=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #522026=CARTESIAN_POINT('',(23.61,55.25,-0.0349999999999895)); #522027=CARTESIAN_POINT('Origin',(23.75,55.25,-0.0349999999999895)); #522028=CARTESIAN_POINT('',(23.61,55.25,0.)); #522029=CARTESIAN_POINT('',(23.61,55.25,-200.)); #522030=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #522031=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #522032=CARTESIAN_POINT('',(23.61,56.75,-0.0349999999999895)); #522033=CARTESIAN_POINT('Origin',(23.75,56.75,-0.0349999999999895)); #522034=CARTESIAN_POINT('',(23.61,56.75,0.)); #522035=CARTESIAN_POINT('',(23.61,56.75,-200.)); #522036=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #522037=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #522038=CARTESIAN_POINT('',(22.735,56.75,-0.0349999999999895)); #522039=CARTESIAN_POINT('Origin',(22.875,56.75,-0.0349999999999895)); #522040=CARTESIAN_POINT('',(22.735,56.75,0.)); #522041=CARTESIAN_POINT('',(22.735,56.75,-200.)); #522042=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #522043=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #522044=CARTESIAN_POINT('',(20.985,56.75,-0.0349999999999895)); #522045=CARTESIAN_POINT('Origin',(21.125,56.75,-0.0349999999999895)); #522046=CARTESIAN_POINT('',(20.985,56.75,0.)); #522047=CARTESIAN_POINT('',(20.985,56.75,-200.)); #522048=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #522049=CARTESIAN_POINT('Origin',(24.205,54.75,-0.035)); #522050=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #522051=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #522052=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #522053=CARTESIAN_POINT('',(24.205,57.25,0.)); #522054=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #522055=CARTESIAN_POINT('',(24.205,54.75,0.)); #522056=CARTESIAN_POINT('',(24.205,54.75,0.)); #522057=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #522058=CARTESIAN_POINT('Origin',(19.795,54.75,-0.035)); #522059=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #522060=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #522061=CARTESIAN_POINT('',(19.795,54.75,0.)); #522062=CARTESIAN_POINT('',(19.795,54.75,0.)); #522063=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #522064=CARTESIAN_POINT('Origin',(19.795,57.25,-0.035)); #522065=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #522066=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #522067=CARTESIAN_POINT('',(19.795,57.25,0.)); #522068=CARTESIAN_POINT('',(19.795,57.25,0.)); #522069=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #522070=CARTESIAN_POINT('Origin',(24.205,57.25,-0.035)); #522071=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #522072=CARTESIAN_POINT('',(24.205,57.25,0.)); #522073=CARTESIAN_POINT('Origin',(22.,56.,0.)); #522074=CARTESIAN_POINT('Origin',(22.,56.,-0.035)); #522075=CARTESIAN_POINT('Origin',(20.395,49.15,-0.035)); #522076=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #522077=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #522078=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #522079=CARTESIAN_POINT('',(20.395,50.35,0.)); #522080=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #522081=CARTESIAN_POINT('',(20.395,49.15,0.)); #522082=CARTESIAN_POINT('',(20.395,49.15,0.)); #522083=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #522084=CARTESIAN_POINT('Origin',(19.795,49.15,-0.035)); #522085=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #522086=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #522087=CARTESIAN_POINT('',(19.795,49.15,0.)); #522088=CARTESIAN_POINT('',(19.795,49.15,0.)); #522089=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #522090=CARTESIAN_POINT('Origin',(19.795,50.35,-0.035)); #522091=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #522092=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #522093=CARTESIAN_POINT('',(19.795,50.35,0.)); #522094=CARTESIAN_POINT('',(19.795,50.35,0.)); #522095=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #522096=CARTESIAN_POINT('Origin',(20.395,50.35,-0.035)); #522097=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #522098=CARTESIAN_POINT('',(20.395,50.35,0.)); #522099=CARTESIAN_POINT('Origin',(20.095,49.75,0.)); #522100=CARTESIAN_POINT('Origin',(20.095,49.75,-0.035)); #522101=CARTESIAN_POINT('Origin',(21.665,52.65,-0.035)); #522102=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #522103=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #522104=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #522105=CARTESIAN_POINT('',(21.665,53.85,0.)); #522106=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #522107=CARTESIAN_POINT('',(21.665,52.65,0.)); #522108=CARTESIAN_POINT('',(21.665,52.65,0.)); #522109=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #522110=CARTESIAN_POINT('Origin',(21.065,52.65,-0.035)); #522111=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #522112=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #522113=CARTESIAN_POINT('',(21.065,52.65,0.)); #522114=CARTESIAN_POINT('',(21.065,52.65,0.)); #522115=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #522116=CARTESIAN_POINT('Origin',(21.065,53.85,-0.035)); #522117=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #522118=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #522119=CARTESIAN_POINT('',(21.065,53.85,0.)); #522120=CARTESIAN_POINT('',(21.065,53.85,0.)); #522121=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #522122=CARTESIAN_POINT('Origin',(21.665,53.85,-0.035)); #522123=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #522124=CARTESIAN_POINT('',(21.665,53.85,0.)); #522125=CARTESIAN_POINT('Origin',(21.365,53.25,0.)); #522126=CARTESIAN_POINT('Origin',(21.365,53.25,-0.035)); #522127=CARTESIAN_POINT('Origin',(21.665,49.15,-0.035)); #522128=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #522129=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #522130=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #522131=CARTESIAN_POINT('',(21.665,50.35,0.)); #522132=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #522133=CARTESIAN_POINT('',(21.665,49.15,0.)); #522134=CARTESIAN_POINT('',(21.665,49.15,0.)); #522135=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #522136=CARTESIAN_POINT('Origin',(21.065,49.15,-0.035)); #522137=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #522138=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #522139=CARTESIAN_POINT('',(21.065,49.15,0.)); #522140=CARTESIAN_POINT('',(21.065,49.15,0.)); #522141=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #522142=CARTESIAN_POINT('Origin',(21.065,50.35,-0.035)); #522143=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #522144=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #522145=CARTESIAN_POINT('',(21.065,50.35,0.)); #522146=CARTESIAN_POINT('',(21.065,50.35,0.)); #522147=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #522148=CARTESIAN_POINT('Origin',(21.665,50.35,-0.035)); #522149=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #522150=CARTESIAN_POINT('',(21.665,50.35,0.)); #522151=CARTESIAN_POINT('Origin',(21.365,49.75,0.)); #522152=CARTESIAN_POINT('Origin',(21.365,49.75,-0.035)); #522153=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #522154=CARTESIAN_POINT('',(23.765,49.75,-0.0349999999999895)); #522155=CARTESIAN_POINT('Origin',(23.905,49.75,-0.0349999999999895)); #522156=CARTESIAN_POINT('',(23.765,49.75,0.)); #522157=CARTESIAN_POINT('',(23.765,49.75,-200.)); #522158=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #522159=CARTESIAN_POINT('Origin',(24.205,49.15,-0.035)); #522160=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #522161=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #522162=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #522163=CARTESIAN_POINT('',(24.205,50.35,0.)); #522164=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #522165=CARTESIAN_POINT('',(24.205,49.15,0.)); #522166=CARTESIAN_POINT('',(24.205,49.15,0.)); #522167=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #522168=CARTESIAN_POINT('Origin',(23.605,49.15,-0.035)); #522169=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #522170=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #522171=CARTESIAN_POINT('',(23.605,49.15,0.)); #522172=CARTESIAN_POINT('',(23.605,49.15,0.)); #522173=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #522174=CARTESIAN_POINT('Origin',(23.605,50.35,-0.035)); #522175=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #522176=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #522177=CARTESIAN_POINT('',(23.605,50.35,0.)); #522178=CARTESIAN_POINT('',(23.605,50.35,0.)); #522179=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #522180=CARTESIAN_POINT('Origin',(24.205,50.35,-0.035)); #522181=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #522182=CARTESIAN_POINT('',(24.205,50.35,0.)); #522183=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #522184=CARTESIAN_POINT('Origin',(23.905,49.75,-0.035)); #522185=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #522186=CARTESIAN_POINT('',(23.765,58.75,-0.0349999999999895)); #522187=CARTESIAN_POINT('Origin',(23.905,58.75,-0.0349999999999895)); #522188=CARTESIAN_POINT('',(23.765,58.75,0.)); #522189=CARTESIAN_POINT('',(23.765,58.75,-200.)); #522190=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #522191=CARTESIAN_POINT('Origin',(24.205,58.15,-0.035)); #522192=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #522193=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #522194=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #522195=CARTESIAN_POINT('',(24.205,59.35,0.)); #522196=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #522197=CARTESIAN_POINT('',(24.205,58.15,0.)); #522198=CARTESIAN_POINT('',(24.205,58.15,0.)); #522199=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #522200=CARTESIAN_POINT('Origin',(23.605,58.15,-0.035)); #522201=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #522202=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #522203=CARTESIAN_POINT('',(23.605,58.15,0.)); #522204=CARTESIAN_POINT('',(23.605,58.15,0.)); #522205=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #522206=CARTESIAN_POINT('Origin',(23.605,59.35,-0.035)); #522207=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #522208=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #522209=CARTESIAN_POINT('',(23.605,59.35,0.)); #522210=CARTESIAN_POINT('',(23.605,59.35,0.)); #522211=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #522212=CARTESIAN_POINT('Origin',(24.205,59.35,-0.035)); #522213=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #522214=CARTESIAN_POINT('',(24.205,59.35,0.)); #522215=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #522216=CARTESIAN_POINT('Origin',(23.905,58.75,-0.035)); #522217=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #522218=CARTESIAN_POINT('',(47.765,49.75,-0.0349999999999895)); #522219=CARTESIAN_POINT('Origin',(47.905,49.75,-0.0349999999999895)); #522220=CARTESIAN_POINT('',(47.765,49.75,0.)); #522221=CARTESIAN_POINT('',(47.765,49.75,-200.)); #522222=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #522223=CARTESIAN_POINT('Origin',(48.205,49.15,-0.035)); #522224=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #522225=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #522226=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #522227=CARTESIAN_POINT('',(48.205,50.35,0.)); #522228=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #522229=CARTESIAN_POINT('',(48.205,49.15,0.)); #522230=CARTESIAN_POINT('',(48.205,49.15,0.)); #522231=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #522232=CARTESIAN_POINT('Origin',(47.605,49.15,-0.035)); #522233=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #522234=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #522235=CARTESIAN_POINT('',(47.605,49.15,0.)); #522236=CARTESIAN_POINT('',(47.605,49.15,0.)); #522237=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #522238=CARTESIAN_POINT('Origin',(47.605,50.35,-0.035)); #522239=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #522240=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #522241=CARTESIAN_POINT('',(47.605,50.35,0.)); #522242=CARTESIAN_POINT('',(47.605,50.35,0.)); #522243=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #522244=CARTESIAN_POINT('Origin',(48.205,50.35,-0.035)); #522245=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #522246=CARTESIAN_POINT('',(48.205,50.35,0.)); #522247=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #522248=CARTESIAN_POINT('Origin',(47.905,49.75,-0.035)); #522249=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #522250=CARTESIAN_POINT('',(22.735,47.,-0.0349999999999895)); #522251=CARTESIAN_POINT('Origin',(22.875,47.,-0.0349999999999895)); #522252=CARTESIAN_POINT('',(22.735,47.,0.)); #522253=CARTESIAN_POINT('',(22.735,47.,-200.)); #522254=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #522255=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #522256=CARTESIAN_POINT('',(22.735,47.75,-0.0349999999999895)); #522257=CARTESIAN_POINT('Origin',(22.875,47.75,-0.0349999999999895)); #522258=CARTESIAN_POINT('',(22.735,47.75,0.)); #522259=CARTESIAN_POINT('',(22.735,47.75,-200.)); #522260=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #522261=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #522262=CARTESIAN_POINT('',(21.86,46.25,-0.0349999999999895)); #522263=CARTESIAN_POINT('Origin',(22.,46.25,-0.0349999999999895)); #522264=CARTESIAN_POINT('',(21.86,46.25,0.)); #522265=CARTESIAN_POINT('',(21.86,46.25,-200.)); #522266=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #522267=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #522268=CARTESIAN_POINT('',(23.61,46.25,-0.0349999999999895)); #522269=CARTESIAN_POINT('Origin',(23.75,46.25,-0.0349999999999895)); #522270=CARTESIAN_POINT('',(23.61,46.25,0.)); #522271=CARTESIAN_POINT('',(23.61,46.25,-200.)); #522272=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #522273=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #522274=CARTESIAN_POINT('',(20.11,47.75,-0.0349999999999895)); #522275=CARTESIAN_POINT('Origin',(20.25,47.75,-0.0349999999999895)); #522276=CARTESIAN_POINT('',(20.11,47.75,0.)); #522277=CARTESIAN_POINT('',(20.11,47.75,-200.)); #522278=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #522279=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #522280=CARTESIAN_POINT('',(23.61,47.75,-0.0349999999999895)); #522281=CARTESIAN_POINT('Origin',(23.75,47.75,-0.0349999999999895)); #522282=CARTESIAN_POINT('',(23.61,47.75,0.)); #522283=CARTESIAN_POINT('',(23.61,47.75,-200.)); #522284=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #522285=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #522286=CARTESIAN_POINT('',(20.11,46.25,-0.0349999999999895)); #522287=CARTESIAN_POINT('Origin',(20.25,46.25,-0.0349999999999895)); #522288=CARTESIAN_POINT('',(20.11,46.25,0.)); #522289=CARTESIAN_POINT('',(20.11,46.25,-200.)); #522290=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #522291=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #522292=CARTESIAN_POINT('',(21.86,47.75,-0.0349999999999895)); #522293=CARTESIAN_POINT('Origin',(22.,47.75,-0.0349999999999895)); #522294=CARTESIAN_POINT('',(21.86,47.75,0.)); #522295=CARTESIAN_POINT('',(21.86,47.75,-200.)); #522296=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #522297=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #522298=CARTESIAN_POINT('',(21.86,47.,-0.0349999999999895)); #522299=CARTESIAN_POINT('Origin',(22.,47.,-0.0349999999999895)); #522300=CARTESIAN_POINT('',(21.86,47.,0.)); #522301=CARTESIAN_POINT('',(21.86,47.,-200.)); #522302=CARTESIAN_POINT('Origin',(22.,47.,0.)); #522303=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #522304=CARTESIAN_POINT('',(20.11,47.,-0.0349999999999895)); #522305=CARTESIAN_POINT('Origin',(20.25,47.,-0.0349999999999895)); #522306=CARTESIAN_POINT('',(20.11,47.,0.)); #522307=CARTESIAN_POINT('',(20.11,47.,-200.)); #522308=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #522309=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #522310=CARTESIAN_POINT('',(23.61,47.,-0.0349999999999895)); #522311=CARTESIAN_POINT('Origin',(23.75,47.,-0.0349999999999895)); #522312=CARTESIAN_POINT('',(23.61,47.,0.)); #522313=CARTESIAN_POINT('',(23.61,47.,-200.)); #522314=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #522315=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #522316=CARTESIAN_POINT('',(20.985,47.,-0.0349999999999895)); #522317=CARTESIAN_POINT('Origin',(21.125,47.,-0.0349999999999895)); #522318=CARTESIAN_POINT('',(20.985,47.,0.)); #522319=CARTESIAN_POINT('',(20.985,47.,-200.)); #522320=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #522321=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #522322=CARTESIAN_POINT('',(20.985,47.75,-0.0349999999999895)); #522323=CARTESIAN_POINT('Origin',(21.125,47.75,-0.0349999999999895)); #522324=CARTESIAN_POINT('',(20.985,47.75,0.)); #522325=CARTESIAN_POINT('',(20.985,47.75,-200.)); #522326=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #522327=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #522328=CARTESIAN_POINT('',(20.985,46.25,-0.0349999999999895)); #522329=CARTESIAN_POINT('Origin',(21.125,46.25,-0.0349999999999895)); #522330=CARTESIAN_POINT('',(20.985,46.25,0.)); #522331=CARTESIAN_POINT('',(20.985,46.25,-200.)); #522332=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #522333=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #522334=CARTESIAN_POINT('',(22.735,46.25,-0.0349999999999895)); #522335=CARTESIAN_POINT('Origin',(22.875,46.25,-0.0349999999999895)); #522336=CARTESIAN_POINT('',(22.735,46.25,0.)); #522337=CARTESIAN_POINT('',(22.735,46.25,-200.)); #522338=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #522339=CARTESIAN_POINT('Origin',(24.205,45.75,-0.035)); #522340=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #522341=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #522342=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #522343=CARTESIAN_POINT('',(24.205,48.25,0.)); #522344=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #522345=CARTESIAN_POINT('',(24.205,45.75,0.)); #522346=CARTESIAN_POINT('',(24.205,45.75,0.)); #522347=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #522348=CARTESIAN_POINT('Origin',(19.795,45.75,-0.035)); #522349=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #522350=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #522351=CARTESIAN_POINT('',(19.795,45.75,0.)); #522352=CARTESIAN_POINT('',(19.795,45.75,0.)); #522353=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #522354=CARTESIAN_POINT('Origin',(19.795,48.25,-0.035)); #522355=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #522356=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #522357=CARTESIAN_POINT('',(19.795,48.25,0.)); #522358=CARTESIAN_POINT('',(19.795,48.25,0.)); #522359=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #522360=CARTESIAN_POINT('Origin',(24.205,48.25,-0.035)); #522361=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #522362=CARTESIAN_POINT('',(24.205,48.25,0.)); #522363=CARTESIAN_POINT('Origin',(22.,47.,0.)); #522364=CARTESIAN_POINT('Origin',(22.,47.,-0.035)); #522365=CARTESIAN_POINT('Origin',(24.205,43.65,-0.035)); #522366=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #522367=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #522368=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #522369=CARTESIAN_POINT('',(24.205,44.85,0.)); #522370=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #522371=CARTESIAN_POINT('',(24.205,43.65,0.)); #522372=CARTESIAN_POINT('',(24.205,43.65,0.)); #522373=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #522374=CARTESIAN_POINT('Origin',(23.605,43.65,-0.035)); #522375=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #522376=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #522377=CARTESIAN_POINT('',(23.605,43.65,0.)); #522378=CARTESIAN_POINT('',(23.605,43.65,0.)); #522379=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #522380=CARTESIAN_POINT('Origin',(23.605,44.85,-0.035)); #522381=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #522382=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #522383=CARTESIAN_POINT('',(23.605,44.85,0.)); #522384=CARTESIAN_POINT('',(23.605,44.85,0.)); #522385=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #522386=CARTESIAN_POINT('Origin',(24.205,44.85,-0.035)); #522387=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #522388=CARTESIAN_POINT('',(24.205,44.85,0.)); #522389=CARTESIAN_POINT('Origin',(23.905,44.25,0.)); #522390=CARTESIAN_POINT('Origin',(23.905,44.25,-0.035)); #522391=CARTESIAN_POINT('Origin',(20.395,43.65,-0.035)); #522392=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #522393=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #522394=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #522395=CARTESIAN_POINT('',(20.395,44.85,0.)); #522396=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #522397=CARTESIAN_POINT('',(20.395,43.65,0.)); #522398=CARTESIAN_POINT('',(20.395,43.65,0.)); #522399=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #522400=CARTESIAN_POINT('Origin',(19.795,43.65,-0.035)); #522401=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #522402=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #522403=CARTESIAN_POINT('',(19.795,43.65,0.)); #522404=CARTESIAN_POINT('',(19.795,43.65,0.)); #522405=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #522406=CARTESIAN_POINT('Origin',(19.795,44.85,-0.035)); #522407=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #522408=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #522409=CARTESIAN_POINT('',(19.795,44.85,0.)); #522410=CARTESIAN_POINT('',(19.795,44.85,0.)); #522411=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #522412=CARTESIAN_POINT('Origin',(20.395,44.85,-0.035)); #522413=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #522414=CARTESIAN_POINT('',(20.395,44.85,0.)); #522415=CARTESIAN_POINT('Origin',(20.095,44.25,0.)); #522416=CARTESIAN_POINT('Origin',(20.095,44.25,-0.035)); #522417=CARTESIAN_POINT('Origin',(22.935,43.65,-0.035)); #522418=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #522419=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #522420=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #522421=CARTESIAN_POINT('',(22.935,44.85,0.)); #522422=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #522423=CARTESIAN_POINT('',(22.935,43.65,0.)); #522424=CARTESIAN_POINT('',(22.935,43.65,0.)); #522425=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #522426=CARTESIAN_POINT('Origin',(22.335,43.65,-0.035)); #522427=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #522428=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #522429=CARTESIAN_POINT('',(22.335,43.65,0.)); #522430=CARTESIAN_POINT('',(22.335,43.65,0.)); #522431=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #522432=CARTESIAN_POINT('Origin',(22.335,44.85,-0.035)); #522433=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #522434=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #522435=CARTESIAN_POINT('',(22.335,44.85,0.)); #522436=CARTESIAN_POINT('',(22.335,44.85,0.)); #522437=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #522438=CARTESIAN_POINT('Origin',(22.935,44.85,-0.035)); #522439=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #522440=CARTESIAN_POINT('',(22.935,44.85,0.)); #522441=CARTESIAN_POINT('Origin',(22.635,44.25,0.)); #522442=CARTESIAN_POINT('Origin',(22.635,44.25,-0.035)); #522443=CARTESIAN_POINT('Origin',(15.1811,44.5555,-0.035)); #522444=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #522445=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #522446=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #522447=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #522448=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #522449=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #522450=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #522451=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #522452=CARTESIAN_POINT('Origin',(14.3429,44.5555,-0.035)); #522453=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #522454=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #522455=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #522456=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #522457=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #522458=CARTESIAN_POINT('Origin',(14.3429,45.4445,-0.035)); #522459=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #522460=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #522461=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #522462=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #522463=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #522464=CARTESIAN_POINT('Origin',(15.1811,45.4445,-0.035)); #522465=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #522466=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #522467=CARTESIAN_POINT('Origin',(14.762,45.,0.)); #522468=CARTESIAN_POINT('Origin',(14.762,45.,-0.035)); #522469=CARTESIAN_POINT('Origin',(15.1811,41.5555,-0.035)); #522470=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #522471=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #522472=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #522473=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #522474=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #522475=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #522476=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #522477=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #522478=CARTESIAN_POINT('Origin',(14.3429,41.5555,-0.035)); #522479=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #522480=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #522481=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #522482=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #522483=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #522484=CARTESIAN_POINT('Origin',(14.3429,42.4445,-0.035)); #522485=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #522486=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #522487=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #522488=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #522489=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #522490=CARTESIAN_POINT('Origin',(15.1811,42.4445,-0.035)); #522491=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #522492=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #522493=CARTESIAN_POINT('Origin',(14.762,42.,0.)); #522494=CARTESIAN_POINT('Origin',(14.762,42.,-0.035)); #522495=CARTESIAN_POINT('Origin',(21.665,43.65,-0.035)); #522496=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #522497=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #522498=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #522499=CARTESIAN_POINT('',(21.665,44.85,0.)); #522500=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #522501=CARTESIAN_POINT('',(21.665,43.65,0.)); #522502=CARTESIAN_POINT('',(21.665,43.65,0.)); #522503=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #522504=CARTESIAN_POINT('Origin',(21.065,43.65,-0.035)); #522505=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #522506=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #522507=CARTESIAN_POINT('',(21.065,43.65,0.)); #522508=CARTESIAN_POINT('',(21.065,43.65,0.)); #522509=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #522510=CARTESIAN_POINT('Origin',(21.065,44.85,-0.035)); #522511=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #522512=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #522513=CARTESIAN_POINT('',(21.065,44.85,0.)); #522514=CARTESIAN_POINT('',(21.065,44.85,0.)); #522515=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #522516=CARTESIAN_POINT('Origin',(21.665,44.85,-0.035)); #522517=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #522518=CARTESIAN_POINT('',(21.665,44.85,0.)); #522519=CARTESIAN_POINT('Origin',(21.365,44.25,0.)); #522520=CARTESIAN_POINT('Origin',(21.365,44.25,-0.035)); #522521=CARTESIAN_POINT('Origin',(29.6571,65.5555,-0.035)); #522522=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #522523=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #522524=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #522525=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #522526=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #522527=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #522528=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #522529=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #522530=CARTESIAN_POINT('Origin',(28.8189,65.5555,-0.035)); #522531=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #522532=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #522533=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #522534=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #522535=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #522536=CARTESIAN_POINT('Origin',(28.8189,66.4445,-0.035)); #522537=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #522538=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #522539=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #522540=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #522541=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #522542=CARTESIAN_POINT('Origin',(29.6571,66.4445,-0.035)); #522543=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #522544=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #522545=CARTESIAN_POINT('Origin',(29.238,66.,0.)); #522546=CARTESIAN_POINT('Origin',(29.238,66.,-0.035)); #522547=CARTESIAN_POINT('Origin',(31.1811,65.5555,-0.035)); #522548=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #522549=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #522550=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #522551=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #522552=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #522553=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #522554=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #522555=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #522556=CARTESIAN_POINT('Origin',(30.3429,65.5555,-0.035)); #522557=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #522558=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #522559=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #522560=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #522561=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #522562=CARTESIAN_POINT('Origin',(30.3429,66.4445,-0.035)); #522563=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #522564=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #522565=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #522566=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #522567=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #522568=CARTESIAN_POINT('Origin',(31.1811,66.4445,-0.035)); #522569=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #522570=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #522571=CARTESIAN_POINT('Origin',(30.762,66.,0.)); #522572=CARTESIAN_POINT('Origin',(30.762,66.,-0.035)); #522573=CARTESIAN_POINT('Origin',(35.1811,65.5555,-0.035)); #522574=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #522575=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #522576=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #522577=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #522578=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #522579=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #522580=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #522581=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #522582=CARTESIAN_POINT('Origin',(34.3429,65.5555,-0.035)); #522583=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #522584=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #522585=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #522586=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #522587=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #522588=CARTESIAN_POINT('Origin',(34.3429,66.4445,-0.035)); #522589=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #522590=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #522591=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #522592=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #522593=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #522594=CARTESIAN_POINT('Origin',(35.1811,66.4445,-0.035)); #522595=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #522596=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #522597=CARTESIAN_POINT('Origin',(34.762,66.,0.)); #522598=CARTESIAN_POINT('Origin',(34.762,66.,-0.035)); #522599=CARTESIAN_POINT('Origin',(39.1811,65.5555,-0.035)); #522600=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #522601=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #522602=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #522603=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #522604=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #522605=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #522606=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #522607=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #522608=CARTESIAN_POINT('Origin',(38.3429,65.5555,-0.035)); #522609=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #522610=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #522611=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #522612=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #522613=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #522614=CARTESIAN_POINT('Origin',(38.3429,66.4445,-0.035)); #522615=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #522616=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #522617=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #522618=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #522619=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #522620=CARTESIAN_POINT('Origin',(39.1811,66.4445,-0.035)); #522621=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #522622=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #522623=CARTESIAN_POINT('Origin',(38.762,66.,0.)); #522624=CARTESIAN_POINT('Origin',(38.762,66.,-0.035)); #522625=CARTESIAN_POINT('Origin',(26.6571,18.5555,-0.035)); #522626=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #522627=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #522628=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #522629=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #522630=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #522631=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #522632=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #522633=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #522634=CARTESIAN_POINT('Origin',(25.8189,18.5555,-0.035)); #522635=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #522636=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #522637=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #522638=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #522639=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #522640=CARTESIAN_POINT('Origin',(25.8189,19.4445,-0.035)); #522641=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #522642=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #522643=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #522644=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #522645=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #522646=CARTESIAN_POINT('Origin',(26.6571,19.4445,-0.035)); #522647=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #522648=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #522649=CARTESIAN_POINT('Origin',(26.238,19.,0.)); #522650=CARTESIAN_POINT('Origin',(26.238,19.,-0.035)); #522651=CARTESIAN_POINT('Origin',(28.1811,22.5555,-0.035)); #522652=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #522653=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #522654=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #522655=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #522656=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #522657=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #522658=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #522659=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #522660=CARTESIAN_POINT('Origin',(27.3429,22.5555,-0.035)); #522661=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #522662=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #522663=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #522664=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #522665=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #522666=CARTESIAN_POINT('Origin',(27.3429,23.4445,-0.035)); #522667=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #522668=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #522669=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #522670=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #522671=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #522672=CARTESIAN_POINT('Origin',(28.1811,23.4445,-0.035)); #522673=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #522674=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #522675=CARTESIAN_POINT('Origin',(27.762,23.,0.)); #522676=CARTESIAN_POINT('Origin',(27.762,23.,-0.035)); #522677=CARTESIAN_POINT('Origin',(47.6698,17.5585,-0.035)); #522678=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #522679=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #522680=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #522681=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #522682=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #522683=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #522684=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #522685=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #522686=CARTESIAN_POINT('Origin',(47.0602,17.5585,-0.035)); #522687=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #522688=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #522689=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #522690=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #522691=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #522692=CARTESIAN_POINT('Origin',(47.0602,19.2095,-0.035)); #522693=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #522694=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #522695=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #522696=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #522697=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #522698=CARTESIAN_POINT('Origin',(47.6698,19.2095,-0.035)); #522699=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #522700=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #522701=CARTESIAN_POINT('Origin',(47.365,18.384,0.)); #522702=CARTESIAN_POINT('Origin',(47.365,18.384,-0.035)); #522703=CARTESIAN_POINT('Origin',(40.6571,22.5555,-0.035)); #522704=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #522705=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #522706=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #522707=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #522708=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #522709=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #522710=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #522711=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #522712=CARTESIAN_POINT('Origin',(39.8189,22.5555,-0.035)); #522713=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #522714=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #522715=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #522716=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #522717=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #522718=CARTESIAN_POINT('Origin',(39.8189,23.4445,-0.035)); #522719=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #522720=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #522721=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #522722=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #522723=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #522724=CARTESIAN_POINT('Origin',(40.6571,23.4445,-0.035)); #522725=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #522726=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #522727=CARTESIAN_POINT('Origin',(40.238,23.,0.)); #522728=CARTESIAN_POINT('Origin',(40.238,23.,-0.035)); #522729=CARTESIAN_POINT('Origin',(49.6945,25.8189,-0.035)); #522730=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #522731=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #522732=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #522733=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #522734=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #522735=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #522736=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #522737=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #522738=CARTESIAN_POINT('Origin',(48.8055,25.8189,-0.035)); #522739=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #522740=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #522741=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #522742=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #522743=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #522744=CARTESIAN_POINT('Origin',(48.8055,26.6571,-0.035)); #522745=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #522746=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #522747=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #522748=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #522749=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #522750=CARTESIAN_POINT('Origin',(49.6945,26.6571,-0.035)); #522751=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #522752=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #522753=CARTESIAN_POINT('Origin',(49.25,26.238,0.)); #522754=CARTESIAN_POINT('Origin',(49.25,26.238,-0.035)); #522755=CARTESIAN_POINT('Origin',(48.9398,22.7905,-0.035)); #522756=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #522757=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #522758=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #522759=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #522760=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #522761=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #522762=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #522763=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #522764=CARTESIAN_POINT('Origin',(48.3302,22.7905,-0.035)); #522765=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #522766=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #522767=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #522768=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #522769=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #522770=CARTESIAN_POINT('Origin',(48.3302,24.4415,-0.035)); #522771=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #522772=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #522773=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #522774=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #522775=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #522776=CARTESIAN_POINT('Origin',(48.9398,24.4415,-0.035)); #522777=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #522778=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #522779=CARTESIAN_POINT('Origin',(48.635,23.616,0.)); #522780=CARTESIAN_POINT('Origin',(48.635,23.616,-0.035)); #522781=CARTESIAN_POINT('Origin',(47.1945,25.8189,-0.035)); #522782=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #522783=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #522784=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #522785=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #522786=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #522787=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #522788=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #522789=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #522790=CARTESIAN_POINT('Origin',(46.3055,25.8189,-0.035)); #522791=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #522792=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #522793=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #522794=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #522795=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #522796=CARTESIAN_POINT('Origin',(46.3055,26.6571,-0.035)); #522797=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #522798=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #522799=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #522800=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #522801=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #522802=CARTESIAN_POINT('Origin',(47.1945,26.6571,-0.035)); #522803=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #522804=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #522805=CARTESIAN_POINT('Origin',(46.75,26.238,0.)); #522806=CARTESIAN_POINT('Origin',(46.75,26.238,-0.035)); #522807=CARTESIAN_POINT('Origin',(47.6698,22.7905,-0.035)); #522808=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #522809=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #522810=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #522811=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #522812=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #522813=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #522814=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #522815=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #522816=CARTESIAN_POINT('Origin',(47.0602,22.7905,-0.035)); #522817=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #522818=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #522819=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #522820=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #522821=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #522822=CARTESIAN_POINT('Origin',(47.0602,24.4415,-0.035)); #522823=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #522824=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #522825=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #522826=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #522827=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #522828=CARTESIAN_POINT('Origin',(47.6698,24.4415,-0.035)); #522829=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #522830=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #522831=CARTESIAN_POINT('Origin',(47.365,23.616,0.)); #522832=CARTESIAN_POINT('Origin',(47.365,23.616,-0.035)); #522833=CARTESIAN_POINT('Origin',(49.6945,27.3429,-0.035)); #522834=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #522835=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #522836=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #522837=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #522838=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #522839=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #522840=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #522841=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #522842=CARTESIAN_POINT('Origin',(48.8055,27.3429,-0.035)); #522843=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #522844=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #522845=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #522846=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #522847=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #522848=CARTESIAN_POINT('Origin',(48.8055,28.1811,-0.035)); #522849=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #522850=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #522851=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #522852=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #522853=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #522854=CARTESIAN_POINT('Origin',(49.6945,28.1811,-0.035)); #522855=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #522856=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #522857=CARTESIAN_POINT('Origin',(49.25,27.762,0.)); #522858=CARTESIAN_POINT('Origin',(49.25,27.762,-0.035)); #522859=CARTESIAN_POINT('Origin',(47.1945,27.3429,-0.035)); #522860=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #522861=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #522862=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #522863=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #522864=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #522865=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #522866=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #522867=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #522868=CARTESIAN_POINT('Origin',(46.3055,27.3429,-0.035)); #522869=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #522870=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #522871=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #522872=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #522873=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #522874=CARTESIAN_POINT('Origin',(46.3055,28.1811,-0.035)); #522875=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #522876=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #522877=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #522878=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #522879=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #522880=CARTESIAN_POINT('Origin',(47.1945,28.1811,-0.035)); #522881=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #522882=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #522883=CARTESIAN_POINT('Origin',(46.75,27.762,0.)); #522884=CARTESIAN_POINT('Origin',(46.75,27.762,-0.035)); #522885=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #522886=CARTESIAN_POINT('',(47.61,47.75,-0.0349999999999895)); #522887=CARTESIAN_POINT('Origin',(47.75,47.75,-0.0349999999999895)); #522888=CARTESIAN_POINT('',(47.61,47.75,0.)); #522889=CARTESIAN_POINT('',(47.61,47.75,-200.)); #522890=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #522891=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #522892=CARTESIAN_POINT('',(45.86,47.75,-0.0349999999999895)); #522893=CARTESIAN_POINT('Origin',(46.,47.75,-0.0349999999999895)); #522894=CARTESIAN_POINT('',(45.86,47.75,0.)); #522895=CARTESIAN_POINT('',(45.86,47.75,-200.)); #522896=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #522897=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #522898=CARTESIAN_POINT('',(47.61,47.,-0.0349999999999895)); #522899=CARTESIAN_POINT('Origin',(47.75,47.,-0.0349999999999895)); #522900=CARTESIAN_POINT('',(47.61,47.,0.)); #522901=CARTESIAN_POINT('',(47.61,47.,-200.)); #522902=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #522903=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #522904=CARTESIAN_POINT('',(44.11,47.,-0.0349999999999895)); #522905=CARTESIAN_POINT('Origin',(44.25,47.,-0.0349999999999895)); #522906=CARTESIAN_POINT('',(44.11,47.,0.)); #522907=CARTESIAN_POINT('',(44.11,47.,-200.)); #522908=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #522909=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #522910=CARTESIAN_POINT('',(44.11,46.25,-0.0349999999999895)); #522911=CARTESIAN_POINT('Origin',(44.25,46.25,-0.0349999999999895)); #522912=CARTESIAN_POINT('',(44.11,46.25,0.)); #522913=CARTESIAN_POINT('',(44.11,46.25,-200.)); #522914=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #522915=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #522916=CARTESIAN_POINT('',(44.11,47.75,-0.0349999999999895)); #522917=CARTESIAN_POINT('Origin',(44.25,47.75,-0.0349999999999895)); #522918=CARTESIAN_POINT('',(44.11,47.75,0.)); #522919=CARTESIAN_POINT('',(44.11,47.75,-200.)); #522920=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #522921=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #522922=CARTESIAN_POINT('',(45.86,46.25,-0.0349999999999895)); #522923=CARTESIAN_POINT('Origin',(46.,46.25,-0.0349999999999895)); #522924=CARTESIAN_POINT('',(45.86,46.25,0.)); #522925=CARTESIAN_POINT('',(45.86,46.25,-200.)); #522926=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #522927=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #522928=CARTESIAN_POINT('',(47.61,46.25,-0.0349999999999895)); #522929=CARTESIAN_POINT('Origin',(47.75,46.25,-0.0349999999999895)); #522930=CARTESIAN_POINT('',(47.61,46.25,0.)); #522931=CARTESIAN_POINT('',(47.61,46.25,-200.)); #522932=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #522933=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #522934=CARTESIAN_POINT('',(46.735,47.75,-0.0349999999999895)); #522935=CARTESIAN_POINT('Origin',(46.875,47.75,-0.0349999999999895)); #522936=CARTESIAN_POINT('',(46.735,47.75,0.)); #522937=CARTESIAN_POINT('',(46.735,47.75,-200.)); #522938=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #522939=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #522940=CARTESIAN_POINT('',(46.735,46.25,-0.0349999999999895)); #522941=CARTESIAN_POINT('Origin',(46.875,46.25,-0.0349999999999895)); #522942=CARTESIAN_POINT('',(46.735,46.25,0.)); #522943=CARTESIAN_POINT('',(46.735,46.25,-200.)); #522944=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #522945=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #522946=CARTESIAN_POINT('',(46.735,47.,-0.0349999999999895)); #522947=CARTESIAN_POINT('Origin',(46.875,47.,-0.0349999999999895)); #522948=CARTESIAN_POINT('',(46.735,47.,0.)); #522949=CARTESIAN_POINT('',(46.735,47.,-200.)); #522950=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #522951=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #522952=CARTESIAN_POINT('',(44.985,47.75,-0.0349999999999895)); #522953=CARTESIAN_POINT('Origin',(45.125,47.75,-0.0349999999999895)); #522954=CARTESIAN_POINT('',(44.985,47.75,0.)); #522955=CARTESIAN_POINT('',(44.985,47.75,-200.)); #522956=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #522957=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #522958=CARTESIAN_POINT('',(45.86,47.,-0.0349999999999895)); #522959=CARTESIAN_POINT('Origin',(46.,47.,-0.0349999999999895)); #522960=CARTESIAN_POINT('',(45.86,47.,0.)); #522961=CARTESIAN_POINT('',(45.86,47.,-200.)); #522962=CARTESIAN_POINT('Origin',(46.,47.,0.)); #522963=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #522964=CARTESIAN_POINT('',(44.985,47.,-0.0349999999999895)); #522965=CARTESIAN_POINT('Origin',(45.125,47.,-0.0349999999999895)); #522966=CARTESIAN_POINT('',(44.985,47.,0.)); #522967=CARTESIAN_POINT('',(44.985,47.,-200.)); #522968=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #522969=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #522970=CARTESIAN_POINT('',(44.985,46.25,-0.0349999999999895)); #522971=CARTESIAN_POINT('Origin',(45.125,46.25,-0.0349999999999895)); #522972=CARTESIAN_POINT('',(44.985,46.25,0.)); #522973=CARTESIAN_POINT('',(44.985,46.25,-200.)); #522974=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #522975=CARTESIAN_POINT('Origin',(48.205,45.75,-0.035)); #522976=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #522977=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #522978=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #522979=CARTESIAN_POINT('',(48.205,48.25,0.)); #522980=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #522981=CARTESIAN_POINT('',(48.205,45.75,0.)); #522982=CARTESIAN_POINT('',(48.205,45.75,0.)); #522983=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #522984=CARTESIAN_POINT('Origin',(43.795,45.75,-0.035)); #522985=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #522986=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #522987=CARTESIAN_POINT('',(43.795,45.75,0.)); #522988=CARTESIAN_POINT('',(43.795,45.75,0.)); #522989=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #522990=CARTESIAN_POINT('Origin',(43.795,48.25,-0.035)); #522991=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #522992=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #522993=CARTESIAN_POINT('',(43.795,48.25,0.)); #522994=CARTESIAN_POINT('',(43.795,48.25,0.)); #522995=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #522996=CARTESIAN_POINT('Origin',(48.205,48.25,-0.035)); #522997=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #522998=CARTESIAN_POINT('',(48.205,48.25,0.)); #522999=CARTESIAN_POINT('Origin',(46.,47.,0.)); #523000=CARTESIAN_POINT('Origin',(46.,47.,-0.035)); #523001=CARTESIAN_POINT('Origin',(48.205,43.65,-0.035)); #523002=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #523003=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #523004=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #523005=CARTESIAN_POINT('',(48.205,44.85,0.)); #523006=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #523007=CARTESIAN_POINT('',(48.205,43.65,0.)); #523008=CARTESIAN_POINT('',(48.205,43.65,0.)); #523009=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #523010=CARTESIAN_POINT('Origin',(47.605,43.65,-0.035)); #523011=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #523012=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #523013=CARTESIAN_POINT('',(47.605,43.65,0.)); #523014=CARTESIAN_POINT('',(47.605,43.65,0.)); #523015=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #523016=CARTESIAN_POINT('Origin',(47.605,44.85,-0.035)); #523017=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #523018=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #523019=CARTESIAN_POINT('',(47.605,44.85,0.)); #523020=CARTESIAN_POINT('',(47.605,44.85,0.)); #523021=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #523022=CARTESIAN_POINT('Origin',(48.205,44.85,-0.035)); #523023=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #523024=CARTESIAN_POINT('',(48.205,44.85,0.)); #523025=CARTESIAN_POINT('Origin',(47.905,44.25,0.)); #523026=CARTESIAN_POINT('Origin',(47.905,44.25,-0.035)); #523027=CARTESIAN_POINT('Origin',(44.395,43.65,-0.035)); #523028=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #523029=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #523030=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #523031=CARTESIAN_POINT('',(44.395,44.85,0.)); #523032=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #523033=CARTESIAN_POINT('',(44.395,43.65,0.)); #523034=CARTESIAN_POINT('',(44.395,43.65,0.)); #523035=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #523036=CARTESIAN_POINT('Origin',(43.795,43.65,-0.035)); #523037=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #523038=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #523039=CARTESIAN_POINT('',(43.795,43.65,0.)); #523040=CARTESIAN_POINT('',(43.795,43.65,0.)); #523041=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #523042=CARTESIAN_POINT('Origin',(43.795,44.85,-0.035)); #523043=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #523044=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #523045=CARTESIAN_POINT('',(43.795,44.85,0.)); #523046=CARTESIAN_POINT('',(43.795,44.85,0.)); #523047=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #523048=CARTESIAN_POINT('Origin',(44.395,44.85,-0.035)); #523049=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #523050=CARTESIAN_POINT('',(44.395,44.85,0.)); #523051=CARTESIAN_POINT('Origin',(44.095,44.25,0.)); #523052=CARTESIAN_POINT('Origin',(44.095,44.25,-0.035)); #523053=CARTESIAN_POINT('Origin',(46.935,43.65,-0.035)); #523054=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #523055=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #523056=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #523057=CARTESIAN_POINT('',(46.935,44.85,0.)); #523058=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #523059=CARTESIAN_POINT('',(46.935,43.65,0.)); #523060=CARTESIAN_POINT('',(46.935,43.65,0.)); #523061=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #523062=CARTESIAN_POINT('Origin',(46.335,43.65,-0.035)); #523063=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #523064=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #523065=CARTESIAN_POINT('',(46.335,43.65,0.)); #523066=CARTESIAN_POINT('',(46.335,43.65,0.)); #523067=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #523068=CARTESIAN_POINT('Origin',(46.335,44.85,-0.035)); #523069=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #523070=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #523071=CARTESIAN_POINT('',(46.335,44.85,0.)); #523072=CARTESIAN_POINT('',(46.335,44.85,0.)); #523073=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #523074=CARTESIAN_POINT('Origin',(46.935,44.85,-0.035)); #523075=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #523076=CARTESIAN_POINT('',(46.935,44.85,0.)); #523077=CARTESIAN_POINT('Origin',(46.635,44.25,0.)); #523078=CARTESIAN_POINT('Origin',(46.635,44.25,-0.035)); #523079=CARTESIAN_POINT('Origin',(53.6571,44.5555,-0.035)); #523080=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #523081=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #523082=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #523083=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #523084=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #523085=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #523086=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #523087=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #523088=CARTESIAN_POINT('Origin',(52.8189,44.5555,-0.035)); #523089=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #523090=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #523091=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #523092=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #523093=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #523094=CARTESIAN_POINT('Origin',(52.8189,45.4445,-0.035)); #523095=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #523096=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #523097=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #523098=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #523099=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #523100=CARTESIAN_POINT('Origin',(53.6571,45.4445,-0.035)); #523101=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #523102=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #523103=CARTESIAN_POINT('Origin',(53.238,45.,0.)); #523104=CARTESIAN_POINT('Origin',(53.238,45.,-0.035)); #523105=CARTESIAN_POINT('Origin',(53.6571,41.5555,-0.035)); #523106=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #523107=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #523108=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #523109=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #523110=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #523111=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #523112=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #523113=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #523114=CARTESIAN_POINT('Origin',(52.8189,41.5555,-0.035)); #523115=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #523116=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #523117=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #523118=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #523119=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #523120=CARTESIAN_POINT('Origin',(52.8189,42.4445,-0.035)); #523121=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #523122=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #523123=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #523124=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #523125=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #523126=CARTESIAN_POINT('Origin',(53.6571,42.4445,-0.035)); #523127=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #523128=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #523129=CARTESIAN_POINT('Origin',(53.238,42.,0.)); #523130=CARTESIAN_POINT('Origin',(53.238,42.,-0.035)); #523131=CARTESIAN_POINT('Origin',(45.665,43.65,-0.035)); #523132=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #523133=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #523134=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #523135=CARTESIAN_POINT('',(45.665,44.85,0.)); #523136=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #523137=CARTESIAN_POINT('',(45.665,43.65,0.)); #523138=CARTESIAN_POINT('',(45.665,43.65,0.)); #523139=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #523140=CARTESIAN_POINT('Origin',(45.065,43.65,-0.035)); #523141=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #523142=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #523143=CARTESIAN_POINT('',(45.065,43.65,0.)); #523144=CARTESIAN_POINT('',(45.065,43.65,0.)); #523145=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #523146=CARTESIAN_POINT('Origin',(45.065,44.85,-0.035)); #523147=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #523148=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #523149=CARTESIAN_POINT('',(45.065,44.85,0.)); #523150=CARTESIAN_POINT('',(45.065,44.85,0.)); #523151=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #523152=CARTESIAN_POINT('Origin',(45.665,44.85,-0.035)); #523153=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #523154=CARTESIAN_POINT('',(45.665,44.85,0.)); #523155=CARTESIAN_POINT('Origin',(45.365,44.25,0.)); #523156=CARTESIAN_POINT('Origin',(45.365,44.25,-0.035)); #523157=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #523158=CARTESIAN_POINT('',(47.765,58.75,-0.0349999999999895)); #523159=CARTESIAN_POINT('Origin',(47.905,58.75,-0.0349999999999895)); #523160=CARTESIAN_POINT('',(47.765,58.75,0.)); #523161=CARTESIAN_POINT('',(47.765,58.75,-200.)); #523162=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #523163=CARTESIAN_POINT('Origin',(48.205,58.15,-0.035)); #523164=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #523165=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #523166=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #523167=CARTESIAN_POINT('',(48.205,59.35,0.)); #523168=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #523169=CARTESIAN_POINT('',(48.205,58.15,0.)); #523170=CARTESIAN_POINT('',(48.205,58.15,0.)); #523171=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #523172=CARTESIAN_POINT('Origin',(47.605,58.15,-0.035)); #523173=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #523174=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #523175=CARTESIAN_POINT('',(47.605,58.15,0.)); #523176=CARTESIAN_POINT('',(47.605,58.15,0.)); #523177=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #523178=CARTESIAN_POINT('Origin',(47.605,59.35,-0.035)); #523179=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #523180=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #523181=CARTESIAN_POINT('',(47.605,59.35,0.)); #523182=CARTESIAN_POINT('',(47.605,59.35,0.)); #523183=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #523184=CARTESIAN_POINT('Origin',(48.205,59.35,-0.035)); #523185=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #523186=CARTESIAN_POINT('',(48.205,59.35,0.)); #523187=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #523188=CARTESIAN_POINT('Origin',(47.905,58.75,-0.035)); #523189=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #523190=CARTESIAN_POINT('',(36.285,7.1,-0.0349999999999895)); #523191=CARTESIAN_POINT('Origin',(36.4,7.1,-0.0349999999999895)); #523192=CARTESIAN_POINT('',(36.285,7.1,0.)); #523193=CARTESIAN_POINT('',(36.285,7.1,-200.)); #523194=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #523195=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #523196=CARTESIAN_POINT('',(36.285,7.6,-0.0349999999999895)); #523197=CARTESIAN_POINT('Origin',(36.4,7.6,-0.0349999999999895)); #523198=CARTESIAN_POINT('',(36.285,7.6,0.)); #523199=CARTESIAN_POINT('',(36.285,7.6,-200.)); #523200=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #523201=CARTESIAN_POINT('Origin',(36.1,7.82,-0.035)); #523202=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #523203=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #523204=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #523205=CARTESIAN_POINT('',(36.1,6.67,0.)); #523206=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #523207=CARTESIAN_POINT('',(36.1,7.82,0.)); #523208=CARTESIAN_POINT('',(36.1,7.82,0.)); #523209=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #523210=CARTESIAN_POINT('Origin',(36.7,7.82,-0.035)); #523211=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #523212=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #523213=CARTESIAN_POINT('',(36.7,7.82,0.)); #523214=CARTESIAN_POINT('',(36.7,7.82,0.)); #523215=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #523216=CARTESIAN_POINT('Origin',(36.7,6.67,-0.035)); #523217=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #523218=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #523219=CARTESIAN_POINT('',(36.7,6.67,0.)); #523220=CARTESIAN_POINT('',(36.7,6.67,0.)); #523221=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #523222=CARTESIAN_POINT('Origin',(36.1,6.67,-0.035)); #523223=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #523224=CARTESIAN_POINT('',(36.1,6.67,0.)); #523225=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #523226=CARTESIAN_POINT('Origin',(36.4,7.245,-0.035)); #523227=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #523228=CARTESIAN_POINT('',(31.485,7.6,-0.0349999999999895)); #523229=CARTESIAN_POINT('Origin',(31.6,7.6,-0.0349999999999895)); #523230=CARTESIAN_POINT('',(31.485,7.6,0.)); #523231=CARTESIAN_POINT('',(31.485,7.6,-200.)); #523232=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #523233=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #523234=CARTESIAN_POINT('',(31.485,7.1,-0.0349999999999895)); #523235=CARTESIAN_POINT('Origin',(31.6,7.1,-0.0349999999999895)); #523236=CARTESIAN_POINT('',(31.485,7.1,0.)); #523237=CARTESIAN_POINT('',(31.485,7.1,-200.)); #523238=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #523239=CARTESIAN_POINT('Origin',(31.3,7.82,-0.035)); #523240=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #523241=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #523242=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #523243=CARTESIAN_POINT('',(31.3,6.67,0.)); #523244=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #523245=CARTESIAN_POINT('',(31.3,7.82,0.)); #523246=CARTESIAN_POINT('',(31.3,7.82,0.)); #523247=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #523248=CARTESIAN_POINT('Origin',(31.9,7.82,-0.035)); #523249=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #523250=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #523251=CARTESIAN_POINT('',(31.9,7.82,0.)); #523252=CARTESIAN_POINT('',(31.9,7.82,0.)); #523253=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #523254=CARTESIAN_POINT('Origin',(31.9,6.67,-0.035)); #523255=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #523256=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #523257=CARTESIAN_POINT('',(31.9,6.67,0.)); #523258=CARTESIAN_POINT('',(31.9,6.67,0.)); #523259=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #523260=CARTESIAN_POINT('Origin',(31.3,6.67,-0.035)); #523261=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #523262=CARTESIAN_POINT('',(31.3,6.67,0.)); #523263=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #523264=CARTESIAN_POINT('Origin',(31.6,7.245,-0.035)); #523265=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #523266=CARTESIAN_POINT('',(27.622,19.,-0.0349999999999895)); #523267=CARTESIAN_POINT('Origin',(27.762,19.,-0.0349999999999895)); #523268=CARTESIAN_POINT('',(27.622,19.,0.)); #523269=CARTESIAN_POINT('',(27.622,19.,-200.)); #523270=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #523271=CARTESIAN_POINT('Origin',(28.1811,18.5555,-0.035)); #523272=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #523273=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #523274=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #523275=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #523276=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #523277=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #523278=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #523279=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #523280=CARTESIAN_POINT('Origin',(27.3429,18.5555,-0.035)); #523281=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #523282=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #523283=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #523284=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #523285=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #523286=CARTESIAN_POINT('Origin',(27.3429,19.4445,-0.035)); #523287=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #523288=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #523289=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #523290=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #523291=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #523292=CARTESIAN_POINT('Origin',(28.1811,19.4445,-0.035)); #523293=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #523294=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #523295=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #523296=CARTESIAN_POINT('Origin',(27.762,19.,-0.035)); #523297=CARTESIAN_POINT('Origin',(53.3142,24.6665,-0.035)); #523298=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #523299=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #523300=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #523301=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #523302=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #523303=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #523304=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #523305=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #523306=CARTESIAN_POINT('Origin',(51.6378,24.6665,-0.035)); #523307=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #523308=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #523309=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #523310=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #523311=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #523312=CARTESIAN_POINT('Origin',(51.6378,27.3335,-0.035)); #523313=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #523314=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #523315=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #523316=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #523317=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #523318=CARTESIAN_POINT('Origin',(53.3142,27.3335,-0.035)); #523319=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #523320=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #523321=CARTESIAN_POINT('Origin',(52.476,26.,0.)); #523322=CARTESIAN_POINT('Origin',(52.476,26.,-0.035)); #523323=CARTESIAN_POINT('',(0.,0.,0.)); #523324=CARTESIAN_POINT('Origin',(31.11,6.18,-0.750499999999998)); #523325=CARTESIAN_POINT('',(30.785,6.18,0.0525)); #523326=CARTESIAN_POINT('Origin',(31.11,6.18,0.0525)); #523327=CARTESIAN_POINT('',(30.785,6.18,0.)); #523328=CARTESIAN_POINT('',(30.785,6.18,-0.750499999999998)); #523329=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #523330=CARTESIAN_POINT('Origin',(36.89,6.18,-0.750499999999998)); #523331=CARTESIAN_POINT('',(36.565,6.18,0.0525)); #523332=CARTESIAN_POINT('Origin',(36.89,6.18,0.0525)); #523333=CARTESIAN_POINT('',(36.565,6.18,0.)); #523334=CARTESIAN_POINT('',(36.565,6.18,-0.750499999999998)); #523335=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #523336=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #523337=CARTESIAN_POINT('',(29.59,45.,0.)); #523338=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #523339=CARTESIAN_POINT('',(29.59,45.,0.052500000000002)); #523340=CARTESIAN_POINT('',(29.59,45.,-200.)); #523341=CARTESIAN_POINT('Origin',(30.19,45.,0.052500000000002)); #523342=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #523343=CARTESIAN_POINT('',(47.575,47.75,0.)); #523344=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #523345=CARTESIAN_POINT('',(47.575,47.75,0.052500000000002)); #523346=CARTESIAN_POINT('',(47.575,47.75,-200.)); #523347=CARTESIAN_POINT('Origin',(47.75,47.75,0.052500000000002)); #523348=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #523349=CARTESIAN_POINT('',(33.075,49.25,0.)); #523350=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #523351=CARTESIAN_POINT('',(33.075,49.25,0.052500000000002)); #523352=CARTESIAN_POINT('',(33.075,49.25,-200.)); #523353=CARTESIAN_POINT('Origin',(33.25,49.25,0.052500000000002)); #523354=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #523355=CARTESIAN_POINT('',(45.825,47.75,0.)); #523356=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #523357=CARTESIAN_POINT('',(45.825,47.75,0.052500000000002)); #523358=CARTESIAN_POINT('',(45.825,47.75,-200.)); #523359=CARTESIAN_POINT('Origin',(46.,47.75,0.052500000000002)); #523360=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #523361=CARTESIAN_POINT('',(33.825,18.5,0.)); #523362=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #523363=CARTESIAN_POINT('',(33.825,18.5,0.052500000000002)); #523364=CARTESIAN_POINT('',(33.825,18.5,-200.)); #523365=CARTESIAN_POINT('Origin',(34.,18.5,0.052500000000002)); #523366=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #523367=CARTESIAN_POINT('',(47.575,47.,0.)); #523368=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #523369=CARTESIAN_POINT('',(47.575,47.,0.052500000000002)); #523370=CARTESIAN_POINT('',(47.575,47.,-200.)); #523371=CARTESIAN_POINT('Origin',(47.75,47.,0.052500000000002)); #523372=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #523373=CARTESIAN_POINT('',(33.075,50.,0.)); #523374=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #523375=CARTESIAN_POINT('',(33.075,50.,0.052500000000002)); #523376=CARTESIAN_POINT('',(33.075,50.,-200.)); #523377=CARTESIAN_POINT('Origin',(33.25,50.,0.052500000000002)); #523378=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #523379=CARTESIAN_POINT('',(44.075,47.,0.)); #523380=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #523381=CARTESIAN_POINT('',(44.075,47.,0.052500000000002)); #523382=CARTESIAN_POINT('',(44.075,47.,-200.)); #523383=CARTESIAN_POINT('Origin',(44.25,47.,0.052500000000002)); #523384=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #523385=CARTESIAN_POINT('',(39.075,19.,0.)); #523386=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #523387=CARTESIAN_POINT('',(39.075,19.,0.052500000000002)); #523388=CARTESIAN_POINT('',(39.075,19.,-200.)); #523389=CARTESIAN_POINT('Origin',(39.25,19.,0.052500000000002)); #523390=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #523391=CARTESIAN_POINT('',(44.075,46.25,0.)); #523392=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #523393=CARTESIAN_POINT('',(44.075,46.25,0.052500000000002)); #523394=CARTESIAN_POINT('',(44.075,46.25,-200.)); #523395=CARTESIAN_POINT('Origin',(44.25,46.25,0.052500000000002)); #523396=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #523397=CARTESIAN_POINT('',(34.575,50.,0.)); #523398=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #523399=CARTESIAN_POINT('',(34.575,50.,0.052500000000002)); #523400=CARTESIAN_POINT('',(34.575,50.,-200.)); #523401=CARTESIAN_POINT('Origin',(34.75,50.,0.052500000000002)); #523402=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #523403=CARTESIAN_POINT('',(44.075,47.75,0.)); #523404=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #523405=CARTESIAN_POINT('',(44.075,47.75,0.052500000000002)); #523406=CARTESIAN_POINT('',(44.075,47.75,-200.)); #523407=CARTESIAN_POINT('Origin',(44.25,47.75,0.052500000000002)); #523408=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #523409=CARTESIAN_POINT('',(34.575,18.5,0.)); #523410=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #523411=CARTESIAN_POINT('',(34.575,18.5,0.052500000000002)); #523412=CARTESIAN_POINT('',(34.575,18.5,-200.)); #523413=CARTESIAN_POINT('Origin',(34.75,18.5,0.052500000000002)); #523414=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #523415=CARTESIAN_POINT('',(45.825,46.25,0.)); #523416=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #523417=CARTESIAN_POINT('',(45.825,46.25,0.052500000000002)); #523418=CARTESIAN_POINT('',(45.825,46.25,-200.)); #523419=CARTESIAN_POINT('Origin',(46.,46.25,0.052500000000002)); #523420=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #523421=CARTESIAN_POINT('',(33.825,50.,0.)); #523422=CARTESIAN_POINT('Origin',(34.,50.,0.)); #523423=CARTESIAN_POINT('',(33.825,50.,0.052500000000002)); #523424=CARTESIAN_POINT('',(33.825,50.,-200.)); #523425=CARTESIAN_POINT('Origin',(34.,50.,0.052500000000002)); #523426=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #523427=CARTESIAN_POINT('',(47.575,46.25,0.)); #523428=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #523429=CARTESIAN_POINT('',(47.575,46.25,0.052500000000002)); #523430=CARTESIAN_POINT('',(47.575,46.25,-200.)); #523431=CARTESIAN_POINT('Origin',(47.75,46.25,0.052500000000002)); #523432=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #523433=CARTESIAN_POINT('',(55.25,34.,0.)); #523434=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #523435=CARTESIAN_POINT('',(55.25,34.,0.052500000000002)); #523436=CARTESIAN_POINT('',(55.25,34.,-200.)); #523437=CARTESIAN_POINT('Origin',(55.75,34.,0.052500000000002)); #523438=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #523439=CARTESIAN_POINT('',(14.325,21.75,0.)); #523440=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #523441=CARTESIAN_POINT('',(14.325,21.75,0.052500000000002)); #523442=CARTESIAN_POINT('',(14.325,21.75,-200.)); #523443=CARTESIAN_POINT('Origin',(14.5,21.75,0.052500000000002)); #523444=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #523445=CARTESIAN_POINT('',(33.825,49.25,0.)); #523446=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #523447=CARTESIAN_POINT('',(33.825,49.25,0.052500000000002)); #523448=CARTESIAN_POINT('',(33.825,49.25,-200.)); #523449=CARTESIAN_POINT('Origin',(34.,49.25,0.052500000000002)); #523450=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #523451=CARTESIAN_POINT('',(14.325,21.,0.)); #523452=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #523453=CARTESIAN_POINT('',(14.325,21.,0.052500000000002)); #523454=CARTESIAN_POINT('',(14.325,21.,-200.)); #523455=CARTESIAN_POINT('Origin',(14.5,21.,0.052500000000002)); #523456=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #523457=CARTESIAN_POINT('',(35.325,17.75,0.)); #523458=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #523459=CARTESIAN_POINT('',(35.325,17.75,0.052500000000002)); #523460=CARTESIAN_POINT('',(35.325,17.75,-200.)); #523461=CARTESIAN_POINT('Origin',(35.5,17.75,0.052500000000002)); #523462=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #523463=CARTESIAN_POINT('',(15.825,20.25,0.)); #523464=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #523465=CARTESIAN_POINT('',(15.825,20.25,0.052500000000002)); #523466=CARTESIAN_POINT('',(15.825,20.25,-200.)); #523467=CARTESIAN_POINT('Origin',(16.,20.25,0.052500000000002)); #523468=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #523469=CARTESIAN_POINT('',(34.575,49.25,0.)); #523470=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #523471=CARTESIAN_POINT('',(34.575,49.25,0.052500000000002)); #523472=CARTESIAN_POINT('',(34.575,49.25,-200.)); #523473=CARTESIAN_POINT('Origin',(34.75,49.25,0.052500000000002)); #523474=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #523475=CARTESIAN_POINT('',(14.325,20.25,0.)); #523476=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #523477=CARTESIAN_POINT('',(14.325,20.25,0.052500000000002)); #523478=CARTESIAN_POINT('',(14.325,20.25,-200.)); #523479=CARTESIAN_POINT('Origin',(14.5,20.25,0.052500000000002)); #523480=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #523481=CARTESIAN_POINT('',(53.325,20.25,0.)); #523482=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #523483=CARTESIAN_POINT('',(53.325,20.25,0.052500000000002)); #523484=CARTESIAN_POINT('',(53.325,20.25,-200.)); #523485=CARTESIAN_POINT('Origin',(53.5,20.25,0.052500000000002)); #523486=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #523487=CARTESIAN_POINT('',(42.325,16.,0.)); #523488=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #523489=CARTESIAN_POINT('',(42.325,16.,0.052500000000002)); #523490=CARTESIAN_POINT('',(42.325,16.,-200.)); #523491=CARTESIAN_POINT('Origin',(42.5,16.,0.052500000000002)); #523492=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #523493=CARTESIAN_POINT('',(33.825,48.5,0.)); #523494=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #523495=CARTESIAN_POINT('',(33.825,48.5,0.052500000000002)); #523496=CARTESIAN_POINT('',(33.825,48.5,-200.)); #523497=CARTESIAN_POINT('Origin',(34.,48.5,0.052500000000002)); #523498=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #523499=CARTESIAN_POINT('',(39.075,18.25,0.)); #523500=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #523501=CARTESIAN_POINT('',(39.075,18.25,0.052500000000002)); #523502=CARTESIAN_POINT('',(39.075,18.25,-200.)); #523503=CARTESIAN_POINT('Origin',(39.25,18.25,0.052500000000002)); #523504=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #523505=CARTESIAN_POINT('',(35.325,18.5,0.)); #523506=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #523507=CARTESIAN_POINT('',(35.325,18.5,0.052500000000002)); #523508=CARTESIAN_POINT('',(35.325,18.5,-200.)); #523509=CARTESIAN_POINT('Origin',(35.5,18.5,0.052500000000002)); #523510=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #523511=CARTESIAN_POINT('',(41.825,36.,0.)); #523512=CARTESIAN_POINT('Origin',(42.,36.,0.)); #523513=CARTESIAN_POINT('',(41.825,36.,0.052500000000002)); #523514=CARTESIAN_POINT('',(41.825,36.,-200.)); #523515=CARTESIAN_POINT('Origin',(42.,36.,0.052500000000002)); #523516=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #523517=CARTESIAN_POINT('',(34.575,48.5,0.)); #523518=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #523519=CARTESIAN_POINT('',(34.575,48.5,0.052500000000002)); #523520=CARTESIAN_POINT('',(34.575,48.5,-200.)); #523521=CARTESIAN_POINT('Origin',(34.75,48.5,0.052500000000002)); #523522=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #523523=CARTESIAN_POINT('',(41.825,31.,0.)); #523524=CARTESIAN_POINT('Origin',(42.,31.,0.)); #523525=CARTESIAN_POINT('',(41.825,31.,0.052500000000002)); #523526=CARTESIAN_POINT('',(41.825,31.,-200.)); #523527=CARTESIAN_POINT('Origin',(42.,31.,0.052500000000002)); #523528=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #523529=CARTESIAN_POINT('',(23.7,34.,0.)); #523530=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #523531=CARTESIAN_POINT('',(23.7,34.,0.052500000000002)); #523532=CARTESIAN_POINT('',(23.7,34.,-200.)); #523533=CARTESIAN_POINT('Origin',(24.25,34.,0.052500000000002)); #523534=CARTESIAN_POINT('Origin',(34.375,29.625,-200.)); #523535=CARTESIAN_POINT('',(34.2,29.625,0.)); #523536=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #523537=CARTESIAN_POINT('',(34.2,29.625,0.052500000000002)); #523538=CARTESIAN_POINT('',(34.2,29.625,-200.)); #523539=CARTESIAN_POINT('Origin',(34.375,29.625,0.052500000000002)); #523540=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #523541=CARTESIAN_POINT('',(31.575,19.25,0.)); #523542=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #523543=CARTESIAN_POINT('',(31.575,19.25,0.052500000000002)); #523544=CARTESIAN_POINT('',(31.575,19.25,-200.)); #523545=CARTESIAN_POINT('Origin',(31.75,19.25,0.052500000000002)); #523546=CARTESIAN_POINT('Origin',(33.625,29.625,-200.)); #523547=CARTESIAN_POINT('',(33.45,29.625,0.)); #523548=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #523549=CARTESIAN_POINT('',(33.45,29.625,0.052500000000002)); #523550=CARTESIAN_POINT('',(33.45,29.625,-200.)); #523551=CARTESIAN_POINT('Origin',(33.625,29.625,0.052500000000002)); #523552=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #523553=CARTESIAN_POINT('',(33.075,17.75,0.)); #523554=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #523555=CARTESIAN_POINT('',(33.075,17.75,0.052500000000002)); #523556=CARTESIAN_POINT('',(33.075,17.75,-200.)); #523557=CARTESIAN_POINT('Origin',(33.25,17.75,0.052500000000002)); #523558=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #523559=CARTESIAN_POINT('',(30.03,7.07999999062179,0.052500000000002)); #523560=CARTESIAN_POINT('',(30.03,6.27999997186536,0.052500000000002)); #523561=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.052500000000002)); #523562=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #523563=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #523564=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #523565=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #523566=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #523567=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #523568=CARTESIAN_POINT('',(29.33,6.28000000937821,0.052500000000002)); #523569=CARTESIAN_POINT('Origin',(29.68,6.28,0.052500000000002)); #523570=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #523571=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #523572=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #523573=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #523574=CARTESIAN_POINT('',(29.33,7.08000002813464,0.052500000000002)); #523575=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.052500000000002)); #523576=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #523577=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #523578=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #523579=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #523580=CARTESIAN_POINT('Origin',(29.68,7.08,0.052500000000002)); #523581=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #523582=CARTESIAN_POINT('Origin',(34.375,28.875,-200.)); #523583=CARTESIAN_POINT('',(34.2,28.875,0.)); #523584=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #523585=CARTESIAN_POINT('',(34.2,28.875,0.052500000000002)); #523586=CARTESIAN_POINT('',(34.2,28.875,-200.)); #523587=CARTESIAN_POINT('Origin',(34.375,28.875,0.052500000000002)); #523588=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #523589=CARTESIAN_POINT('',(38.67,7.07999999062179,0.052500000000002)); #523590=CARTESIAN_POINT('',(38.67,6.27999997186536,0.052500000000002)); #523591=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.052500000000002)); #523592=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #523593=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #523594=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #523595=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #523596=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #523597=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #523598=CARTESIAN_POINT('',(37.97,6.28000000937821,0.052500000000002)); #523599=CARTESIAN_POINT('Origin',(38.32,6.28,0.052500000000002)); #523600=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #523601=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #523602=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #523603=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #523604=CARTESIAN_POINT('',(37.97,7.08000002813464,0.052500000000002)); #523605=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.052500000000002)); #523606=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #523607=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #523608=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #523609=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #523610=CARTESIAN_POINT('Origin',(38.32,7.08,0.052500000000002)); #523611=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #523612=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #523613=CARTESIAN_POINT('',(31.575,18.5,0.)); #523614=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #523615=CARTESIAN_POINT('',(31.575,18.5,0.052500000000002)); #523616=CARTESIAN_POINT('',(31.575,18.5,-200.)); #523617=CARTESIAN_POINT('Origin',(31.75,18.5,0.052500000000002)); #523618=CARTESIAN_POINT('Origin',(33.625,28.875,-200.)); #523619=CARTESIAN_POINT('',(33.45,28.875,0.)); #523620=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #523621=CARTESIAN_POINT('',(33.45,28.875,0.052500000000002)); #523622=CARTESIAN_POINT('',(33.45,28.875,-200.)); #523623=CARTESIAN_POINT('Origin',(33.625,28.875,0.052500000000002)); #523624=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #523625=CARTESIAN_POINT('',(51.825,20.25,0.)); #523626=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #523627=CARTESIAN_POINT('',(51.825,20.25,0.052500000000002)); #523628=CARTESIAN_POINT('',(51.825,20.25,-200.)); #523629=CARTESIAN_POINT('Origin',(52.,20.25,0.052500000000002)); #523630=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #523631=CARTESIAN_POINT('',(30.65,7.6,0.)); #523632=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #523633=CARTESIAN_POINT('',(30.65,7.6,0.052500000000002)); #523634=CARTESIAN_POINT('',(30.65,7.6,-200.)); #523635=CARTESIAN_POINT('Origin',(30.8,7.6,0.052500000000002)); #523636=CARTESIAN_POINT('Origin',(33.625,27.375,-200.)); #523637=CARTESIAN_POINT('',(33.45,27.375,0.)); #523638=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #523639=CARTESIAN_POINT('',(33.45,27.375,0.052500000000002)); #523640=CARTESIAN_POINT('',(33.45,27.375,-200.)); #523641=CARTESIAN_POINT('Origin',(33.625,27.375,0.052500000000002)); #523642=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #523643=CARTESIAN_POINT('',(30.65,7.1,0.)); #523644=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #523645=CARTESIAN_POINT('',(30.65,7.1,0.052500000000002)); #523646=CARTESIAN_POINT('',(30.65,7.1,-200.)); #523647=CARTESIAN_POINT('Origin',(30.8,7.1,0.052500000000002)); #523648=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #523649=CARTESIAN_POINT('',(31.575,17.,0.)); #523650=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #523651=CARTESIAN_POINT('',(31.575,17.,0.052500000000002)); #523652=CARTESIAN_POINT('',(31.575,17.,-200.)); #523653=CARTESIAN_POINT('Origin',(31.75,17.,0.052500000000002)); #523654=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #523655=CARTESIAN_POINT('',(37.05,7.1,0.)); #523656=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #523657=CARTESIAN_POINT('',(37.05,7.1,0.052500000000002)); #523658=CARTESIAN_POINT('',(37.05,7.1,-200.)); #523659=CARTESIAN_POINT('Origin',(37.2,7.1,0.052500000000002)); #523660=CARTESIAN_POINT('Origin',(34.375,27.375,-200.)); #523661=CARTESIAN_POINT('',(34.2,27.375,0.)); #523662=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #523663=CARTESIAN_POINT('',(34.2,27.375,0.052500000000002)); #523664=CARTESIAN_POINT('',(34.2,27.375,-200.)); #523665=CARTESIAN_POINT('Origin',(34.375,27.375,0.052500000000002)); #523666=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #523667=CARTESIAN_POINT('',(37.05,7.6,0.)); #523668=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #523669=CARTESIAN_POINT('',(37.05,7.6,0.052500000000002)); #523670=CARTESIAN_POINT('',(37.05,7.6,-200.)); #523671=CARTESIAN_POINT('Origin',(37.2,7.6,0.052500000000002)); #523672=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #523673=CARTESIAN_POINT('',(34.575,17.75,0.)); #523674=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #523675=CARTESIAN_POINT('',(34.575,17.75,0.052500000000002)); #523676=CARTESIAN_POINT('',(34.575,17.75,-200.)); #523677=CARTESIAN_POINT('Origin',(34.75,17.75,0.052500000000002)); #523678=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #523679=CARTESIAN_POINT('',(28.8500000160769,34.6,0.052500000000002)); #523680=CARTESIAN_POINT('',(29.4500000482308,34.6,0.052500000000002)); #523681=CARTESIAN_POINT('',(31.7250000241154,34.6,0.052500000000002)); #523682=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #523683=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #523684=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #523685=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #523686=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #523687=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #523688=CARTESIAN_POINT('',(29.4499999839231,33.4,0.052500000000002)); #523689=CARTESIAN_POINT('Origin',(29.45,34.,0.052500000000002)); #523690=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #523691=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #523692=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #523693=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #523694=CARTESIAN_POINT('',(28.8499999517692,33.4,0.052500000000002)); #523695=CARTESIAN_POINT('',(31.4249999758846,33.4,0.052500000000002)); #523696=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #523697=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #523698=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #523699=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #523700=CARTESIAN_POINT('Origin',(28.85,34.,0.052500000000002)); #523701=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #523702=CARTESIAN_POINT('Origin',(33.625,28.125,-200.)); #523703=CARTESIAN_POINT('',(33.45,28.125,0.)); #523704=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #523705=CARTESIAN_POINT('',(33.45,28.125,0.052500000000002)); #523706=CARTESIAN_POINT('',(33.45,28.125,-200.)); #523707=CARTESIAN_POINT('Origin',(33.625,28.125,0.052500000000002)); #523708=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #523709=CARTESIAN_POINT('',(38.5500000160769,34.6,0.052500000000002)); #523710=CARTESIAN_POINT('',(39.1500000482308,34.6,0.052500000000002)); #523711=CARTESIAN_POINT('',(36.5750000241154,34.6,0.052500000000002)); #523712=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #523713=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #523714=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #523715=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #523716=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #523717=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #523718=CARTESIAN_POINT('',(39.1499999839231,33.4,0.052500000000002)); #523719=CARTESIAN_POINT('Origin',(39.15,34.,0.052500000000002)); #523720=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #523721=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #523722=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #523723=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #523724=CARTESIAN_POINT('',(38.5499999517692,33.4,0.052500000000002)); #523725=CARTESIAN_POINT('',(36.2749999758846,33.4,0.052500000000002)); #523726=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #523727=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #523728=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #523729=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #523730=CARTESIAN_POINT('Origin',(38.55,34.,0.052500000000002)); #523731=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #523732=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #523733=CARTESIAN_POINT('',(31.575,17.75,0.)); #523734=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #523735=CARTESIAN_POINT('',(31.575,17.75,0.052500000000002)); #523736=CARTESIAN_POINT('',(31.575,17.75,-200.)); #523737=CARTESIAN_POINT('Origin',(31.75,17.75,0.052500000000002)); #523738=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #523739=CARTESIAN_POINT('',(30.03,2.89999999062179,0.052500000000002)); #523740=CARTESIAN_POINT('',(30.03,2.09999997186536,0.052500000000002)); #523741=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.052500000000002)); #523742=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #523743=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #523744=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #523745=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #523746=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #523747=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #523748=CARTESIAN_POINT('',(29.33,2.10000000937821,0.052500000000002)); #523749=CARTESIAN_POINT('Origin',(29.68,2.1,0.052500000000002)); #523750=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #523751=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #523752=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #523753=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #523754=CARTESIAN_POINT('',(29.33,2.90000002813464,0.052500000000002)); #523755=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.052500000000002)); #523756=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #523757=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #523758=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #523759=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #523760=CARTESIAN_POINT('Origin',(29.68,2.9,0.052500000000002)); #523761=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #523762=CARTESIAN_POINT('Origin',(34.375,28.125,-200.)); #523763=CARTESIAN_POINT('',(34.2,28.125,0.)); #523764=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #523765=CARTESIAN_POINT('',(34.2,28.125,0.052500000000002)); #523766=CARTESIAN_POINT('',(34.2,28.125,-200.)); #523767=CARTESIAN_POINT('Origin',(34.375,28.125,0.052500000000002)); #523768=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #523769=CARTESIAN_POINT('',(38.67,2.89999999062179,0.052500000000002)); #523770=CARTESIAN_POINT('',(38.67,2.09999997186536,0.052500000000002)); #523771=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.052500000000002)); #523772=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #523773=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #523774=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #523775=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #523776=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #523777=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #523778=CARTESIAN_POINT('',(37.97,2.10000000937821,0.052500000000002)); #523779=CARTESIAN_POINT('Origin',(38.32,2.1,0.052500000000002)); #523780=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #523781=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #523782=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #523783=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #523784=CARTESIAN_POINT('',(37.97,2.90000002813464,0.052500000000002)); #523785=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.052500000000002)); #523786=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #523787=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #523788=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #523789=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #523790=CARTESIAN_POINT('Origin',(38.32,2.9,0.052500000000002)); #523791=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #523792=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #523793=CARTESIAN_POINT('',(51.75,34.,0.)); #523794=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #523795=CARTESIAN_POINT('',(51.75,34.,0.052500000000002)); #523796=CARTESIAN_POINT('',(51.75,34.,-200.)); #523797=CARTESIAN_POINT('Origin',(52.25,34.,0.052500000000002)); #523798=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #523799=CARTESIAN_POINT('',(15.075,21.,0.)); #523800=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #523801=CARTESIAN_POINT('',(15.075,21.,0.052500000000002)); #523802=CARTESIAN_POINT('',(15.075,21.,-200.)); #523803=CARTESIAN_POINT('Origin',(15.25,21.,0.052500000000002)); #523804=CARTESIAN_POINT('Origin',(29.238,63.5,-200.)); #523805=CARTESIAN_POINT('',(29.063,63.5,0.)); #523806=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #523807=CARTESIAN_POINT('',(29.063,63.5,0.052500000000002)); #523808=CARTESIAN_POINT('',(29.063,63.5,-200.)); #523809=CARTESIAN_POINT('Origin',(29.238,63.5,0.052500000000002)); #523810=CARTESIAN_POINT('Origin',(33.625,26.625,-200.)); #523811=CARTESIAN_POINT('',(33.45,26.625,0.)); #523812=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #523813=CARTESIAN_POINT('',(33.45,26.625,0.052500000000002)); #523814=CARTESIAN_POINT('',(33.45,26.625,-200.)); #523815=CARTESIAN_POINT('Origin',(33.625,26.625,0.052500000000002)); #523816=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #523817=CARTESIAN_POINT('',(33.075,16.25,0.)); #523818=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #523819=CARTESIAN_POINT('',(33.075,16.25,0.052500000000002)); #523820=CARTESIAN_POINT('',(33.075,16.25,-200.)); #523821=CARTESIAN_POINT('Origin',(33.25,16.25,0.052500000000002)); #523822=CARTESIAN_POINT('Origin',(34.375,30.375,-200.)); #523823=CARTESIAN_POINT('',(34.2,30.375,0.)); #523824=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #523825=CARTESIAN_POINT('',(34.2,30.375,0.052500000000002)); #523826=CARTESIAN_POINT('',(34.2,30.375,-200.)); #523827=CARTESIAN_POINT('Origin',(34.375,30.375,0.052500000000002)); #523828=CARTESIAN_POINT('Origin',(49.875,39.625,-200.)); #523829=CARTESIAN_POINT('',(49.7,39.625,0.)); #523830=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #523831=CARTESIAN_POINT('',(49.7,39.625,0.052500000000002)); #523832=CARTESIAN_POINT('',(49.7,39.625,-200.)); #523833=CARTESIAN_POINT('Origin',(49.875,39.625,0.052500000000002)); #523834=CARTESIAN_POINT('Origin',(33.625,30.375,-200.)); #523835=CARTESIAN_POINT('',(33.45,30.375,0.)); #523836=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #523837=CARTESIAN_POINT('',(33.45,30.375,0.052500000000002)); #523838=CARTESIAN_POINT('',(33.45,30.375,-200.)); #523839=CARTESIAN_POINT('Origin',(33.625,30.375,0.052500000000002)); #523840=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #523841=CARTESIAN_POINT('',(52.575,20.25,0.)); #523842=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #523843=CARTESIAN_POINT('',(52.575,20.25,0.052500000000002)); #523844=CARTESIAN_POINT('',(52.575,20.25,-200.)); #523845=CARTESIAN_POINT('Origin',(52.75,20.25,0.052500000000002)); #523846=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #523847=CARTESIAN_POINT('',(15.075,20.25,0.)); #523848=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #523849=CARTESIAN_POINT('',(15.075,20.25,0.052500000000002)); #523850=CARTESIAN_POINT('',(15.075,20.25,-200.)); #523851=CARTESIAN_POINT('Origin',(15.25,20.25,0.052500000000002)); #523852=CARTESIAN_POINT('Origin',(32.349,63.5,-200.)); #523853=CARTESIAN_POINT('',(32.174,63.5,0.)); #523854=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #523855=CARTESIAN_POINT('',(32.174,63.5,0.052500000000002)); #523856=CARTESIAN_POINT('',(32.174,63.5,-200.)); #523857=CARTESIAN_POINT('Origin',(32.349,63.5,0.052500000000002)); #523858=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #523859=CARTESIAN_POINT('',(15.075,21.75,0.)); #523860=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #523861=CARTESIAN_POINT('',(15.075,21.75,0.052500000000002)); #523862=CARTESIAN_POINT('',(15.075,21.75,-200.)); #523863=CARTESIAN_POINT('Origin',(15.25,21.75,0.052500000000002)); #523864=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #523865=CARTESIAN_POINT('',(34.575,16.25,0.)); #523866=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #523867=CARTESIAN_POINT('',(34.575,16.25,0.052500000000002)); #523868=CARTESIAN_POINT('',(34.575,16.25,-200.)); #523869=CARTESIAN_POINT('Origin',(34.75,16.25,0.052500000000002)); #523870=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #523871=CARTESIAN_POINT('',(15.825,21.75,0.)); #523872=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #523873=CARTESIAN_POINT('',(15.825,21.75,0.052500000000002)); #523874=CARTESIAN_POINT('',(15.825,21.75,-200.)); #523875=CARTESIAN_POINT('Origin',(16.,21.75,0.052500000000002)); #523876=CARTESIAN_POINT('Origin',(32.349,54.5,-200.)); #523877=CARTESIAN_POINT('',(32.174,54.5,0.)); #523878=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #523879=CARTESIAN_POINT('',(32.174,54.5,0.052500000000002)); #523880=CARTESIAN_POINT('',(32.174,54.5,-200.)); #523881=CARTESIAN_POINT('Origin',(32.349,54.5,0.052500000000002)); #523882=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #523883=CARTESIAN_POINT('',(15.825,21.,0.)); #523884=CARTESIAN_POINT('Origin',(16.,21.,0.)); #523885=CARTESIAN_POINT('',(15.825,21.,0.052500000000002)); #523886=CARTESIAN_POINT('',(15.825,21.,-200.)); #523887=CARTESIAN_POINT('Origin',(16.,21.,0.052500000000002)); #523888=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #523889=CARTESIAN_POINT('',(34.67,45.,0.)); #523890=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #523891=CARTESIAN_POINT('',(34.67,45.,0.052500000000002)); #523892=CARTESIAN_POINT('',(34.67,45.,-200.)); #523893=CARTESIAN_POINT('Origin',(35.27,45.,0.052500000000002)); #523894=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #523895=CARTESIAN_POINT('',(26.575,31.,0.)); #523896=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #523897=CARTESIAN_POINT('',(26.575,31.,0.052500000000002)); #523898=CARTESIAN_POINT('',(26.575,31.,-200.)); #523899=CARTESIAN_POINT('Origin',(26.75,31.,0.052500000000002)); #523900=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #523901=CARTESIAN_POINT('',(9.325,34.,0.)); #523902=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #523903=CARTESIAN_POINT('',(9.325,34.,0.052500000000002)); #523904=CARTESIAN_POINT('',(9.325,34.,-200.)); #523905=CARTESIAN_POINT('Origin',(9.5,34.,0.052500000000002)); #523906=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #523907=CARTESIAN_POINT('',(26.575,36.,0.)); #523908=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #523909=CARTESIAN_POINT('',(26.575,36.,0.052500000000002)); #523910=CARTESIAN_POINT('',(26.575,36.,-200.)); #523911=CARTESIAN_POINT('Origin',(26.75,36.,0.052500000000002)); #523912=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #523913=CARTESIAN_POINT('',(36.075,14.75,0.)); #523914=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #523915=CARTESIAN_POINT('',(36.075,14.75,0.052500000000002)); #523916=CARTESIAN_POINT('',(36.075,14.75,-200.)); #523917=CARTESIAN_POINT('Origin',(36.25,14.75,0.052500000000002)); #523918=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #523919=CARTESIAN_POINT('',(16.45,47.,0.)); #523920=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #523921=CARTESIAN_POINT('',(16.45,47.,0.052500000000002)); #523922=CARTESIAN_POINT('',(16.45,47.,-200.)); #523923=CARTESIAN_POINT('Origin',(16.625,47.,0.052500000000002)); #523924=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #523925=CARTESIAN_POINT('',(10.075,34.,0.)); #523926=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #523927=CARTESIAN_POINT('',(10.075,34.,0.052500000000002)); #523928=CARTESIAN_POINT('',(10.075,34.,-200.)); #523929=CARTESIAN_POINT('Origin',(10.25,34.,0.052500000000002)); #523930=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #523931=CARTESIAN_POINT('',(16.45,46.25,0.)); #523932=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #523933=CARTESIAN_POINT('',(16.45,46.25,0.052500000000002)); #523934=CARTESIAN_POINT('',(16.45,46.25,-200.)); #523935=CARTESIAN_POINT('Origin',(16.625,46.25,0.052500000000002)); #523936=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #523937=CARTESIAN_POINT('',(33.825,17.,0.)); #523938=CARTESIAN_POINT('Origin',(34.,17.,0.)); #523939=CARTESIAN_POINT('',(33.825,17.,0.052500000000002)); #523940=CARTESIAN_POINT('',(33.825,17.,-200.)); #523941=CARTESIAN_POINT('Origin',(34.,17.,0.052500000000002)); #523942=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #523943=CARTESIAN_POINT('',(15.575,47.,0.)); #523944=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #523945=CARTESIAN_POINT('',(15.575,47.,0.052500000000002)); #523946=CARTESIAN_POINT('',(15.575,47.,-200.)); #523947=CARTESIAN_POINT('Origin',(15.75,47.,0.052500000000002)); #523948=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #523949=CARTESIAN_POINT('',(57.575,34.,0.)); #523950=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #523951=CARTESIAN_POINT('',(57.575,34.,0.052500000000002)); #523952=CARTESIAN_POINT('',(57.575,34.,-200.)); #523953=CARTESIAN_POINT('Origin',(57.75,34.,0.052500000000002)); #523954=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #523955=CARTESIAN_POINT('',(15.575,46.25,0.)); #523956=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #523957=CARTESIAN_POINT('',(15.575,46.25,0.052500000000002)); #523958=CARTESIAN_POINT('',(15.575,46.25,-200.)); #523959=CARTESIAN_POINT('Origin',(15.75,46.25,0.052500000000002)); #523960=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #523961=CARTESIAN_POINT('',(36.075,15.5,0.)); #523962=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #523963=CARTESIAN_POINT('',(36.075,15.5,0.052500000000002)); #523964=CARTESIAN_POINT('',(36.075,15.5,-200.)); #523965=CARTESIAN_POINT('Origin',(36.25,15.5,0.052500000000002)); #523966=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #523967=CARTESIAN_POINT('',(15.575,47.75,0.)); #523968=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #523969=CARTESIAN_POINT('',(15.575,47.75,0.052500000000002)); #523970=CARTESIAN_POINT('',(15.575,47.75,-200.)); #523971=CARTESIAN_POINT('Origin',(15.75,47.75,0.052500000000002)); #523972=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #523973=CARTESIAN_POINT('',(57.575,34.75,0.)); #523974=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #523975=CARTESIAN_POINT('',(57.575,34.75,0.052500000000002)); #523976=CARTESIAN_POINT('',(57.575,34.75,-200.)); #523977=CARTESIAN_POINT('Origin',(57.75,34.75,0.052500000000002)); #523978=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #523979=CARTESIAN_POINT('',(16.45,47.75,0.)); #523980=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #523981=CARTESIAN_POINT('',(16.45,47.75,0.052500000000002)); #523982=CARTESIAN_POINT('',(16.45,47.75,-200.)); #523983=CARTESIAN_POINT('Origin',(16.625,47.75,0.052500000000002)); #523984=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #523985=CARTESIAN_POINT('',(36.,27.75,0.)); #523986=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #523987=CARTESIAN_POINT('',(36.,27.75,0.052500000000002)); #523988=CARTESIAN_POINT('',(36.,27.75,-200.)); #523989=CARTESIAN_POINT('Origin',(36.5,27.75,0.052500000000002)); #523990=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #523991=CARTESIAN_POINT('',(19.2,47.,0.)); #523992=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #523993=CARTESIAN_POINT('',(19.2,47.,0.052500000000002)); #523994=CARTESIAN_POINT('',(19.2,47.,-200.)); #523995=CARTESIAN_POINT('Origin',(19.375,47.,0.052500000000002)); #523996=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #523997=CARTESIAN_POINT('',(61.825,34.,0.)); #523998=CARTESIAN_POINT('Origin',(62.,34.,0.)); #523999=CARTESIAN_POINT('',(61.825,34.,0.052500000000002)); #524000=CARTESIAN_POINT('',(61.825,34.,-200.)); #524001=CARTESIAN_POINT('Origin',(62.,34.,0.052500000000002)); #524002=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #524003=CARTESIAN_POINT('',(19.2,47.75,0.)); #524004=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #524005=CARTESIAN_POINT('',(19.2,47.75,0.052500000000002)); #524006=CARTESIAN_POINT('',(19.2,47.75,-200.)); #524007=CARTESIAN_POINT('Origin',(19.375,47.75,0.052500000000002)); #524008=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #524009=CARTESIAN_POINT('',(36.075,17.,0.)); #524010=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #524011=CARTESIAN_POINT('',(36.075,17.,0.052500000000002)); #524012=CARTESIAN_POINT('',(36.075,17.,-200.)); #524013=CARTESIAN_POINT('Origin',(36.25,17.,0.052500000000002)); #524014=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #524015=CARTESIAN_POINT('',(22.7,47.,0.)); #524016=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #524017=CARTESIAN_POINT('',(22.7,47.,0.052500000000002)); #524018=CARTESIAN_POINT('',(22.7,47.,-200.)); #524019=CARTESIAN_POINT('Origin',(22.875,47.,0.052500000000002)); #524020=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #524021=CARTESIAN_POINT('',(61.825,34.75,0.)); #524022=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #524023=CARTESIAN_POINT('',(61.825,34.75,0.052500000000002)); #524024=CARTESIAN_POINT('',(61.825,34.75,-200.)); #524025=CARTESIAN_POINT('Origin',(62.,34.75,0.052500000000002)); #524026=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #524027=CARTESIAN_POINT('',(22.7,47.75,0.)); #524028=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #524029=CARTESIAN_POINT('',(22.7,47.75,0.052500000000002)); #524030=CARTESIAN_POINT('',(22.7,47.75,-200.)); #524031=CARTESIAN_POINT('Origin',(22.875,47.75,0.052500000000002)); #524032=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #524033=CARTESIAN_POINT('',(37.075,8.75,0.)); #524034=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #524035=CARTESIAN_POINT('',(37.075,8.75,0.052500000000002)); #524036=CARTESIAN_POINT('',(37.075,8.75,-200.)); #524037=CARTESIAN_POINT('Origin',(37.25,8.75,0.052500000000002)); #524038=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #524039=CARTESIAN_POINT('',(17.325,47.75,0.)); #524040=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #524041=CARTESIAN_POINT('',(17.325,47.75,0.052500000000002)); #524042=CARTESIAN_POINT('',(17.325,47.75,-200.)); #524043=CARTESIAN_POINT('Origin',(17.5,47.75,0.052500000000002)); #524044=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #524045=CARTESIAN_POINT('',(61.825,33.25,0.)); #524046=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #524047=CARTESIAN_POINT('',(61.825,33.25,0.052500000000002)); #524048=CARTESIAN_POINT('',(61.825,33.25,-200.)); #524049=CARTESIAN_POINT('Origin',(62.,33.25,0.052500000000002)); #524050=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #524051=CARTESIAN_POINT('',(19.2,46.25,0.)); #524052=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #524053=CARTESIAN_POINT('',(19.2,46.25,0.052500000000002)); #524054=CARTESIAN_POINT('',(19.2,46.25,-200.)); #524055=CARTESIAN_POINT('Origin',(19.375,46.25,0.052500000000002)); #524056=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #524057=CARTESIAN_POINT('',(36.075,16.25,0.)); #524058=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #524059=CARTESIAN_POINT('',(36.075,16.25,0.052500000000002)); #524060=CARTESIAN_POINT('',(36.075,16.25,-200.)); #524061=CARTESIAN_POINT('Origin',(36.25,16.25,0.052500000000002)); #524062=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #524063=CARTESIAN_POINT('',(17.325,47.,0.)); #524064=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #524065=CARTESIAN_POINT('',(17.325,47.,0.052500000000002)); #524066=CARTESIAN_POINT('',(17.325,47.,-200.)); #524067=CARTESIAN_POINT('Origin',(17.5,47.,0.052500000000002)); #524068=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #524069=CARTESIAN_POINT('',(57.575,33.25,0.)); #524070=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #524071=CARTESIAN_POINT('',(57.575,33.25,0.052500000000002)); #524072=CARTESIAN_POINT('',(57.575,33.25,-200.)); #524073=CARTESIAN_POINT('Origin',(57.75,33.25,0.052500000000002)); #524074=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #524075=CARTESIAN_POINT('',(17.325,46.25,0.)); #524076=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #524077=CARTESIAN_POINT('',(17.325,46.25,0.052500000000002)); #524078=CARTESIAN_POINT('',(17.325,46.25,-200.)); #524079=CARTESIAN_POINT('Origin',(17.5,46.25,0.052500000000002)); #524080=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #524081=CARTESIAN_POINT('',(45.762,32.73,0.)); #524082=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #524083=CARTESIAN_POINT('',(45.762,32.73,0.052500000000002)); #524084=CARTESIAN_POINT('',(45.762,32.73,-200.)); #524085=CARTESIAN_POINT('Origin',(46.27,32.73,0.052500000000002)); #524086=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #524087=CARTESIAN_POINT('',(21.825,46.25,0.)); #524088=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #524089=CARTESIAN_POINT('',(21.825,46.25,0.052500000000002)); #524090=CARTESIAN_POINT('',(21.825,46.25,-200.)); #524091=CARTESIAN_POINT('Origin',(22.,46.25,0.052500000000002)); #524092=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #524093=CARTESIAN_POINT('',(58.325,34.75,0.)); #524094=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #524095=CARTESIAN_POINT('',(58.325,34.75,0.052500000000002)); #524096=CARTESIAN_POINT('',(58.325,34.75,-200.)); #524097=CARTESIAN_POINT('Origin',(58.5,34.75,0.052500000000002)); #524098=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #524099=CARTESIAN_POINT('',(23.575,46.25,0.)); #524100=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #524101=CARTESIAN_POINT('',(23.575,46.25,0.052500000000002)); #524102=CARTESIAN_POINT('',(23.575,46.25,-200.)); #524103=CARTESIAN_POINT('Origin',(23.75,46.25,0.052500000000002)); #524104=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #524105=CARTESIAN_POINT('',(36.075,19.25,0.)); #524106=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #524107=CARTESIAN_POINT('',(36.075,19.25,0.052500000000002)); #524108=CARTESIAN_POINT('',(36.075,19.25,-200.)); #524109=CARTESIAN_POINT('Origin',(36.25,19.25,0.052500000000002)); #524110=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #524111=CARTESIAN_POINT('',(20.075,47.75,0.)); #524112=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #524113=CARTESIAN_POINT('',(20.075,47.75,0.052500000000002)); #524114=CARTESIAN_POINT('',(20.075,47.75,-200.)); #524115=CARTESIAN_POINT('Origin',(20.25,47.75,0.052500000000002)); #524116=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #524117=CARTESIAN_POINT('',(58.325,34.,0.)); #524118=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #524119=CARTESIAN_POINT('',(58.325,34.,0.052500000000002)); #524120=CARTESIAN_POINT('',(58.325,34.,-200.)); #524121=CARTESIAN_POINT('Origin',(58.5,34.,0.052500000000002)); #524122=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #524123=CARTESIAN_POINT('',(23.575,47.75,0.)); #524124=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #524125=CARTESIAN_POINT('',(23.575,47.75,0.052500000000002)); #524126=CARTESIAN_POINT('',(23.575,47.75,-200.)); #524127=CARTESIAN_POINT('Origin',(23.75,47.75,0.052500000000002)); #524128=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #524129=CARTESIAN_POINT('',(36.325,9.5,0.)); #524130=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #524131=CARTESIAN_POINT('',(36.325,9.5,0.052500000000002)); #524132=CARTESIAN_POINT('',(36.325,9.5,-200.)); #524133=CARTESIAN_POINT('Origin',(36.5,9.5,0.052500000000002)); #524134=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #524135=CARTESIAN_POINT('',(18.325,46.25,0.)); #524136=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #524137=CARTESIAN_POINT('',(18.325,46.25,0.052500000000002)); #524138=CARTESIAN_POINT('',(18.325,46.25,-200.)); #524139=CARTESIAN_POINT('Origin',(18.5,46.25,0.052500000000002)); #524140=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #524141=CARTESIAN_POINT('',(13.325,21.,0.)); #524142=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #524143=CARTESIAN_POINT('',(13.325,21.,0.052500000000002)); #524144=CARTESIAN_POINT('',(13.325,21.,-200.)); #524145=CARTESIAN_POINT('Origin',(13.5,21.,0.052500000000002)); #524146=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #524147=CARTESIAN_POINT('',(18.325,47.,0.)); #524148=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #524149=CARTESIAN_POINT('',(18.325,47.,0.052500000000002)); #524150=CARTESIAN_POINT('',(18.325,47.,-200.)); #524151=CARTESIAN_POINT('Origin',(18.5,47.,0.052500000000002)); #524152=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #524153=CARTESIAN_POINT('',(35.325,19.25,0.)); #524154=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #524155=CARTESIAN_POINT('',(35.325,19.25,0.052500000000002)); #524156=CARTESIAN_POINT('',(35.325,19.25,-200.)); #524157=CARTESIAN_POINT('Origin',(35.5,19.25,0.052500000000002)); #524158=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #524159=CARTESIAN_POINT('',(18.325,47.75,0.)); #524160=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #524161=CARTESIAN_POINT('',(18.325,47.75,0.052500000000002)); #524162=CARTESIAN_POINT('',(18.325,47.75,-200.)); #524163=CARTESIAN_POINT('Origin',(18.5,47.75,0.052500000000002)); #524164=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #524165=CARTESIAN_POINT('',(13.325,20.25,0.)); #524166=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #524167=CARTESIAN_POINT('',(13.325,20.25,0.052500000000002)); #524168=CARTESIAN_POINT('',(13.325,20.25,-200.)); #524169=CARTESIAN_POINT('Origin',(13.5,20.25,0.052500000000002)); #524170=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #524171=CARTESIAN_POINT('',(20.075,46.25,0.)); #524172=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #524173=CARTESIAN_POINT('',(20.075,46.25,0.052500000000002)); #524174=CARTESIAN_POINT('',(20.075,46.25,-200.)); #524175=CARTESIAN_POINT('Origin',(20.25,46.25,0.052500000000002)); #524176=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #524177=CARTESIAN_POINT('',(31.,25.25,0.)); #524178=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #524179=CARTESIAN_POINT('',(31.,25.25,0.052500000000002)); #524180=CARTESIAN_POINT('',(31.,25.25,-200.)); #524181=CARTESIAN_POINT('Origin',(31.5,25.25,0.052500000000002)); #524182=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #524183=CARTESIAN_POINT('',(21.825,47.75,0.)); #524184=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #524185=CARTESIAN_POINT('',(21.825,47.75,0.052500000000002)); #524186=CARTESIAN_POINT('',(21.825,47.75,-200.)); #524187=CARTESIAN_POINT('Origin',(22.,47.75,0.052500000000002)); #524188=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #524189=CARTESIAN_POINT('',(61.075,33.25,0.)); #524190=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #524191=CARTESIAN_POINT('',(61.075,33.25,0.052500000000002)); #524192=CARTESIAN_POINT('',(61.075,33.25,-200.)); #524193=CARTESIAN_POINT('Origin',(61.25,33.25,0.052500000000002)); #524194=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #524195=CARTESIAN_POINT('',(21.825,47.,0.)); #524196=CARTESIAN_POINT('Origin',(22.,47.,0.)); #524197=CARTESIAN_POINT('',(21.825,47.,0.052500000000002)); #524198=CARTESIAN_POINT('',(21.825,47.,-200.)); #524199=CARTESIAN_POINT('Origin',(22.,47.,0.052500000000002)); #524200=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #524201=CARTESIAN_POINT('',(36.075,18.5,0.)); #524202=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #524203=CARTESIAN_POINT('',(36.075,18.5,0.052500000000002)); #524204=CARTESIAN_POINT('',(36.075,18.5,-200.)); #524205=CARTESIAN_POINT('Origin',(36.25,18.5,0.052500000000002)); #524206=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #524207=CARTESIAN_POINT('',(20.075,47.,0.)); #524208=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #524209=CARTESIAN_POINT('',(20.075,47.,0.052500000000002)); #524210=CARTESIAN_POINT('',(20.075,47.,-200.)); #524211=CARTESIAN_POINT('Origin',(20.25,47.,0.052500000000002)); #524212=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #524213=CARTESIAN_POINT('',(58.325,33.25,0.)); #524214=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #524215=CARTESIAN_POINT('',(58.325,33.25,0.052500000000002)); #524216=CARTESIAN_POINT('',(58.325,33.25,-200.)); #524217=CARTESIAN_POINT('Origin',(58.5,33.25,0.052500000000002)); #524218=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #524219=CARTESIAN_POINT('',(23.575,47.,0.)); #524220=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #524221=CARTESIAN_POINT('',(23.575,47.,0.052500000000002)); #524222=CARTESIAN_POINT('',(23.575,47.,-200.)); #524223=CARTESIAN_POINT('Origin',(23.75,47.,0.052500000000002)); #524224=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #524225=CARTESIAN_POINT('',(37.075,9.5,0.)); #524226=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #524227=CARTESIAN_POINT('',(37.075,9.5,0.052500000000002)); #524228=CARTESIAN_POINT('',(37.075,9.5,-200.)); #524229=CARTESIAN_POINT('Origin',(37.25,9.5,0.052500000000002)); #524230=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #524231=CARTESIAN_POINT('',(20.95,47.,0.)); #524232=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #524233=CARTESIAN_POINT('',(20.95,47.,0.052500000000002)); #524234=CARTESIAN_POINT('',(20.95,47.,-200.)); #524235=CARTESIAN_POINT('Origin',(21.125,47.,0.052500000000002)); #524236=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #524237=CARTESIAN_POINT('',(61.075,34.,0.)); #524238=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #524239=CARTESIAN_POINT('',(61.075,34.,0.052500000000002)); #524240=CARTESIAN_POINT('',(61.075,34.,-200.)); #524241=CARTESIAN_POINT('Origin',(61.25,34.,0.052500000000002)); #524242=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #524243=CARTESIAN_POINT('',(20.95,47.75,0.)); #524244=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #524245=CARTESIAN_POINT('',(20.95,47.75,0.052500000000002)); #524246=CARTESIAN_POINT('',(20.95,47.75,-200.)); #524247=CARTESIAN_POINT('Origin',(21.125,47.75,0.052500000000002)); #524248=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #524249=CARTESIAN_POINT('',(36.075,17.75,0.)); #524250=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #524251=CARTESIAN_POINT('',(36.075,17.75,0.052500000000002)); #524252=CARTESIAN_POINT('',(36.075,17.75,-200.)); #524253=CARTESIAN_POINT('Origin',(36.25,17.75,0.052500000000002)); #524254=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #524255=CARTESIAN_POINT('',(20.95,46.25,0.)); #524256=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #524257=CARTESIAN_POINT('',(20.95,46.25,0.052500000000002)); #524258=CARTESIAN_POINT('',(20.95,46.25,-200.)); #524259=CARTESIAN_POINT('Origin',(21.125,46.25,0.052500000000002)); #524260=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #524261=CARTESIAN_POINT('',(61.075,34.75,0.)); #524262=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #524263=CARTESIAN_POINT('',(61.075,34.75,0.052500000000002)); #524264=CARTESIAN_POINT('',(61.075,34.75,-200.)); #524265=CARTESIAN_POINT('Origin',(61.25,34.75,0.052500000000002)); #524266=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #524267=CARTESIAN_POINT('',(22.7,46.25,0.)); #524268=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #524269=CARTESIAN_POINT('',(22.7,46.25,0.052500000000002)); #524270=CARTESIAN_POINT('',(22.7,46.25,-200.)); #524271=CARTESIAN_POINT('Origin',(22.875,46.25,0.052500000000002)); #524272=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #524273=CARTESIAN_POINT('',(21.2,34.,0.)); #524274=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #524275=CARTESIAN_POINT('',(21.2,34.,0.052500000000002)); #524276=CARTESIAN_POINT('',(21.2,34.,-200.)); #524277=CARTESIAN_POINT('Origin',(21.75,34.,0.052500000000002)); #524278=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #524279=CARTESIAN_POINT('',(33.8875,12.25,0.)); #524280=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #524281=CARTESIAN_POINT('',(33.8875,12.25,0.052500000000002)); #524282=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #524283=CARTESIAN_POINT('Origin',(34.0625,12.25,0.052500000000002)); #524284=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #524285=CARTESIAN_POINT('',(6.325,33.25,0.)); #524286=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #524287=CARTESIAN_POINT('',(6.325,33.25,0.052500000000002)); #524288=CARTESIAN_POINT('',(6.325,33.25,-200.)); #524289=CARTESIAN_POINT('Origin',(6.5,33.25,0.052500000000002)); #524290=CARTESIAN_POINT('Origin',(34.75,56.5,-200.)); #524291=CARTESIAN_POINT('',(34.575,56.5,0.)); #524292=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #524293=CARTESIAN_POINT('',(34.575,56.5,0.052500000000002)); #524294=CARTESIAN_POINT('',(34.575,56.5,-200.)); #524295=CARTESIAN_POINT('Origin',(34.75,56.5,0.052500000000002)); #524296=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #524297=CARTESIAN_POINT('',(33.075,14.75,0.)); #524298=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #524299=CARTESIAN_POINT('',(33.075,14.75,0.052500000000002)); #524300=CARTESIAN_POINT('',(33.075,14.75,-200.)); #524301=CARTESIAN_POINT('Origin',(33.25,14.75,0.052500000000002)); #524302=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #524303=CARTESIAN_POINT('',(41.075,13.0029,0.)); #524304=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #524305=CARTESIAN_POINT('',(41.075,13.0029,0.052500000000002)); #524306=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #524307=CARTESIAN_POINT('Origin',(41.25,13.0029,0.052500000000002)); #524308=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #524309=CARTESIAN_POINT('',(7.075,33.25,0.)); #524310=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #524311=CARTESIAN_POINT('',(7.075,33.25,0.052500000000002)); #524312=CARTESIAN_POINT('',(7.075,33.25,-200.)); #524313=CARTESIAN_POINT('Origin',(7.25,33.25,0.052500000000002)); #524314=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #524315=CARTESIAN_POINT('',(39.075,15.75,0.)); #524316=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #524317=CARTESIAN_POINT('',(39.075,15.75,0.052500000000002)); #524318=CARTESIAN_POINT('',(39.075,15.75,-200.)); #524319=CARTESIAN_POINT('Origin',(39.25,15.75,0.052500000000002)); #524320=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #524321=CARTESIAN_POINT('',(34.575,17.,0.)); #524322=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #524323=CARTESIAN_POINT('',(34.575,17.,0.052500000000002)); #524324=CARTESIAN_POINT('',(34.575,17.,-200.)); #524325=CARTESIAN_POINT('Origin',(34.75,17.,0.052500000000002)); #524326=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #524327=CARTESIAN_POINT('',(34.7625,12.25,0.)); #524328=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #524329=CARTESIAN_POINT('',(34.7625,12.25,0.052500000000002)); #524330=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #524331=CARTESIAN_POINT('Origin',(34.9375,12.25,0.052500000000002)); #524332=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #524333=CARTESIAN_POINT('',(7.075,34.75,0.)); #524334=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #524335=CARTESIAN_POINT('',(7.075,34.75,0.052500000000002)); #524336=CARTESIAN_POINT('',(7.075,34.75,-200.)); #524337=CARTESIAN_POINT('Origin',(7.25,34.75,0.052500000000002)); #524338=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #524339=CARTESIAN_POINT('',(38.587,60.25,0.)); #524340=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #524341=CARTESIAN_POINT('',(38.587,60.25,0.052500000000002)); #524342=CARTESIAN_POINT('',(38.587,60.25,-200.)); #524343=CARTESIAN_POINT('Origin',(38.762,60.25,0.052500000000002)); #524344=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #524345=CARTESIAN_POINT('',(33.825,14.75,0.)); #524346=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #524347=CARTESIAN_POINT('',(33.825,14.75,0.052500000000002)); #524348=CARTESIAN_POINT('',(33.825,14.75,-200.)); #524349=CARTESIAN_POINT('Origin',(34.,14.75,0.052500000000002)); #524350=CARTESIAN_POINT('Origin',(34.,56.5,-200.)); #524351=CARTESIAN_POINT('',(33.825,56.5,0.)); #524352=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #524353=CARTESIAN_POINT('',(33.825,56.5,0.052500000000002)); #524354=CARTESIAN_POINT('',(33.825,56.5,-200.)); #524355=CARTESIAN_POINT('Origin',(34.,56.5,0.052500000000002)); #524356=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #524357=CARTESIAN_POINT('',(7.075,34.,0.)); #524358=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #524359=CARTESIAN_POINT('',(7.075,34.,0.052500000000002)); #524360=CARTESIAN_POINT('',(7.075,34.,-200.)); #524361=CARTESIAN_POINT('Origin',(7.25,34.,0.052500000000002)); #524362=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #524363=CARTESIAN_POINT('',(42.325,14.25,0.)); #524364=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #524365=CARTESIAN_POINT('',(42.325,14.25,0.052500000000002)); #524366=CARTESIAN_POINT('',(42.325,14.25,-200.)); #524367=CARTESIAN_POINT('Origin',(42.5,14.25,0.052500000000002)); #524368=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #524369=CARTESIAN_POINT('',(31.,27.75,0.)); #524370=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #524371=CARTESIAN_POINT('',(31.,27.75,0.052500000000002)); #524372=CARTESIAN_POINT('',(31.,27.75,-200.)); #524373=CARTESIAN_POINT('Origin',(31.5,27.75,0.052500000000002)); #524374=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #524375=CARTESIAN_POINT('',(30.325,11.25,0.)); #524376=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #524377=CARTESIAN_POINT('',(30.325,11.25,0.052500000000002)); #524378=CARTESIAN_POINT('',(30.325,11.25,-200.)); #524379=CARTESIAN_POINT('Origin',(30.5,11.25,0.052500000000002)); #524380=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #524381=CARTESIAN_POINT('',(10.075,33.25,0.)); #524382=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #524383=CARTESIAN_POINT('',(10.075,33.25,0.052500000000002)); #524384=CARTESIAN_POINT('',(10.075,33.25,-200.)); #524385=CARTESIAN_POINT('Origin',(10.25,33.25,0.052500000000002)); #524386=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #524387=CARTESIAN_POINT('',(35.575,11.25,0.)); #524388=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #524389=CARTESIAN_POINT('',(35.575,11.25,0.052500000000002)); #524390=CARTESIAN_POINT('',(35.575,11.25,-200.)); #524391=CARTESIAN_POINT('Origin',(35.75,11.25,0.052500000000002)); #524392=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #524393=CARTESIAN_POINT('',(35.325,14.75,0.)); #524394=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #524395=CARTESIAN_POINT('',(35.325,14.75,0.052500000000002)); #524396=CARTESIAN_POINT('',(35.325,14.75,-200.)); #524397=CARTESIAN_POINT('Origin',(35.5,14.75,0.052500000000002)); #524398=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #524399=CARTESIAN_POINT('',(25.825,36.,0.)); #524400=CARTESIAN_POINT('Origin',(26.,36.,0.)); #524401=CARTESIAN_POINT('',(25.825,36.,0.052500000000002)); #524402=CARTESIAN_POINT('',(25.825,36.,-200.)); #524403=CARTESIAN_POINT('Origin',(26.,36.,0.052500000000002)); #524404=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #524405=CARTESIAN_POINT('',(9.325,33.25,0.)); #524406=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #524407=CARTESIAN_POINT('',(9.325,33.25,0.052500000000002)); #524408=CARTESIAN_POINT('',(9.325,33.25,-200.)); #524409=CARTESIAN_POINT('Origin',(9.5,33.25,0.052500000000002)); #524410=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #524411=CARTESIAN_POINT('',(25.825,31.,0.)); #524412=CARTESIAN_POINT('Origin',(26.,31.,0.)); #524413=CARTESIAN_POINT('',(25.825,31.,0.052500000000002)); #524414=CARTESIAN_POINT('',(25.825,31.,-200.)); #524415=CARTESIAN_POINT('Origin',(26.,31.,0.052500000000002)); #524416=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #524417=CARTESIAN_POINT('',(33.825,17.75,0.)); #524418=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #524419=CARTESIAN_POINT('',(33.825,17.75,0.052500000000002)); #524420=CARTESIAN_POINT('',(33.825,17.75,-200.)); #524421=CARTESIAN_POINT('Origin',(34.,17.75,0.052500000000002)); #524422=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #524423=CARTESIAN_POINT('',(30.325,10.5,0.)); #524424=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #524425=CARTESIAN_POINT('',(30.325,10.5,0.052500000000002)); #524426=CARTESIAN_POINT('',(30.325,10.5,-200.)); #524427=CARTESIAN_POINT('Origin',(30.5,10.5,0.052500000000002)); #524428=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #524429=CARTESIAN_POINT('',(10.075,34.75,0.)); #524430=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #524431=CARTESIAN_POINT('',(10.075,34.75,0.052500000000002)); #524432=CARTESIAN_POINT('',(10.075,34.75,-200.)); #524433=CARTESIAN_POINT('Origin',(10.25,34.75,0.052500000000002)); #524434=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #524435=CARTESIAN_POINT('',(36.325,10.5,0.)); #524436=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #524437=CARTESIAN_POINT('',(36.325,10.5,0.052500000000002)); #524438=CARTESIAN_POINT('',(36.325,10.5,-200.)); #524439=CARTESIAN_POINT('Origin',(36.5,10.5,0.052500000000002)); #524440=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #524441=CARTESIAN_POINT('',(34.575,14.75,0.)); #524442=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #524443=CARTESIAN_POINT('',(34.575,14.75,0.052500000000002)); #524444=CARTESIAN_POINT('',(34.575,14.75,-200.)); #524445=CARTESIAN_POINT('Origin',(34.75,14.75,0.052500000000002)); #524446=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #524447=CARTESIAN_POINT('',(30.587,60.25,0.)); #524448=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #524449=CARTESIAN_POINT('',(30.587,60.25,0.052500000000002)); #524450=CARTESIAN_POINT('',(30.587,60.25,-200.)); #524451=CARTESIAN_POINT('Origin',(30.762,60.25,0.052500000000002)); #524452=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #524453=CARTESIAN_POINT('',(9.325,34.75,0.)); #524454=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #524455=CARTESIAN_POINT('',(9.325,34.75,0.052500000000002)); #524456=CARTESIAN_POINT('',(9.325,34.75,-200.)); #524457=CARTESIAN_POINT('Origin',(9.5,34.75,0.052500000000002)); #524458=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #524459=CARTESIAN_POINT('',(34.587,60.25,0.)); #524460=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #524461=CARTESIAN_POINT('',(34.587,60.25,0.052500000000002)); #524462=CARTESIAN_POINT('',(34.587,60.25,-200.)); #524463=CARTESIAN_POINT('Origin',(34.762,60.25,0.052500000000002)); #524464=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #524465=CARTESIAN_POINT('',(45.762,35.27,0.)); #524466=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #524467=CARTESIAN_POINT('',(45.762,35.27,0.052500000000002)); #524468=CARTESIAN_POINT('',(45.762,35.27,-200.)); #524469=CARTESIAN_POINT('Origin',(46.27,35.27,0.052500000000002)); #524470=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #524471=CARTESIAN_POINT('',(51.825,21.,0.)); #524472=CARTESIAN_POINT('Origin',(52.,21.,0.)); #524473=CARTESIAN_POINT('',(51.825,21.,0.052500000000002)); #524474=CARTESIAN_POINT('',(51.825,21.,-200.)); #524475=CARTESIAN_POINT('Origin',(52.,21.,0.052500000000002)); #524476=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #524477=CARTESIAN_POINT('',(33.575,6.2426,0.)); #524478=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #524479=CARTESIAN_POINT('',(33.575,6.2426,0.052500000000002)); #524480=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #524481=CARTESIAN_POINT('Origin',(33.75,6.2426,0.052500000000002)); #524482=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #524483=CARTESIAN_POINT('',(52.575,21.,0.)); #524484=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #524485=CARTESIAN_POINT('',(52.575,21.,0.052500000000002)); #524486=CARTESIAN_POINT('',(52.575,21.,-200.)); #524487=CARTESIAN_POINT('Origin',(52.75,21.,0.052500000000002)); #524488=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #524489=CARTESIAN_POINT('',(31.575,14.75,0.)); #524490=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #524491=CARTESIAN_POINT('',(31.575,14.75,0.052500000000002)); #524492=CARTESIAN_POINT('',(31.575,14.75,-200.)); #524493=CARTESIAN_POINT('Origin',(31.75,14.75,0.052500000000002)); #524494=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #524495=CARTESIAN_POINT('',(37.325,21.25,0.)); #524496=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #524497=CARTESIAN_POINT('',(37.325,21.25,0.052500000000002)); #524498=CARTESIAN_POINT('',(37.325,21.25,-200.)); #524499=CARTESIAN_POINT('Origin',(37.5,21.25,0.052500000000002)); #524500=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #524501=CARTESIAN_POINT('',(32.575,8.25,0.)); #524502=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #524503=CARTESIAN_POINT('',(32.575,8.25,0.052500000000002)); #524504=CARTESIAN_POINT('',(32.575,8.25,-200.)); #524505=CARTESIAN_POINT('Origin',(32.75,8.25,0.052500000000002)); #524506=CARTESIAN_POINT('Origin',(33.25,56.5,-200.)); #524507=CARTESIAN_POINT('',(33.075,56.5,0.)); #524508=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #524509=CARTESIAN_POINT('',(33.075,56.5,0.052500000000002)); #524510=CARTESIAN_POINT('',(33.075,56.5,-200.)); #524511=CARTESIAN_POINT('Origin',(33.25,56.5,0.052500000000002)); #524512=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #524513=CARTESIAN_POINT('',(33.825,16.25,0.)); #524514=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #524515=CARTESIAN_POINT('',(33.825,16.25,0.052500000000002)); #524516=CARTESIAN_POINT('',(33.825,16.25,-200.)); #524517=CARTESIAN_POINT('Origin',(34.,16.25,0.052500000000002)); #524518=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #524519=CARTESIAN_POINT('',(27.075,24.375,0.)); #524520=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #524521=CARTESIAN_POINT('',(27.075,24.375,0.052500000000002)); #524522=CARTESIAN_POINT('',(27.075,24.375,-200.)); #524523=CARTESIAN_POINT('Origin',(27.25,24.375,0.052500000000002)); #524524=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #524525=CARTESIAN_POINT('',(6.325,34.,0.)); #524526=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #524527=CARTESIAN_POINT('',(6.325,34.,0.052500000000002)); #524528=CARTESIAN_POINT('',(6.325,34.,-200.)); #524529=CARTESIAN_POINT('Origin',(6.5,34.,0.052500000000002)); #524530=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #524531=CARTESIAN_POINT('',(27.587,19.,0.)); #524532=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #524533=CARTESIAN_POINT('',(27.587,19.,0.052500000000002)); #524534=CARTESIAN_POINT('',(27.587,19.,-200.)); #524535=CARTESIAN_POINT('Origin',(27.762,19.,0.052500000000002)); #524536=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #524537=CARTESIAN_POINT('',(32.325,14.75,0.)); #524538=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #524539=CARTESIAN_POINT('',(32.325,14.75,0.052500000000002)); #524540=CARTESIAN_POINT('',(32.325,14.75,-200.)); #524541=CARTESIAN_POINT('Origin',(32.5,14.75,0.052500000000002)); #524542=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #524543=CARTESIAN_POINT('',(24.825,26.625,0.)); #524544=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #524545=CARTESIAN_POINT('',(24.825,26.625,0.052500000000002)); #524546=CARTESIAN_POINT('',(24.825,26.625,-200.)); #524547=CARTESIAN_POINT('Origin',(25.,26.625,0.052500000000002)); #524548=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #524549=CARTESIAN_POINT('',(6.325,34.75,0.)); #524550=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #524551=CARTESIAN_POINT('',(6.325,34.75,0.052500000000002)); #524552=CARTESIAN_POINT('',(6.325,34.75,-200.)); #524553=CARTESIAN_POINT('Origin',(6.5,34.75,0.052500000000002)); #524554=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #524555=CARTESIAN_POINT('',(30.825,13.,0.)); #524556=CARTESIAN_POINT('Origin',(31.,13.,0.)); #524557=CARTESIAN_POINT('',(30.825,13.,0.052500000000002)); #524558=CARTESIAN_POINT('',(30.825,13.,-200.)); #524559=CARTESIAN_POINT('Origin',(31.,13.,0.052500000000002)); #524560=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #524561=CARTESIAN_POINT('',(39.075,17.5,0.)); #524562=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #524563=CARTESIAN_POINT('',(39.075,17.5,0.052500000000002)); #524564=CARTESIAN_POINT('',(39.075,17.5,-200.)); #524565=CARTESIAN_POINT('Origin',(39.25,17.5,0.052500000000002)); #524566=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #524567=CARTESIAN_POINT('',(51.825,21.75,0.)); #524568=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #524569=CARTESIAN_POINT('',(51.825,21.75,0.052500000000002)); #524570=CARTESIAN_POINT('',(51.825,21.75,-200.)); #524571=CARTESIAN_POINT('Origin',(52.,21.75,0.052500000000002)); #524572=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #524573=CARTESIAN_POINT('',(35.075,6.25,0.)); #524574=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #524575=CARTESIAN_POINT('',(35.075,6.25,0.052500000000002)); #524576=CARTESIAN_POINT('',(35.075,6.25,-200.)); #524577=CARTESIAN_POINT('Origin',(35.25,6.25,0.052500000000002)); #524578=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #524579=CARTESIAN_POINT('',(52.575,21.75,0.)); #524580=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #524581=CARTESIAN_POINT('',(52.575,21.75,0.052500000000002)); #524582=CARTESIAN_POINT('',(52.575,21.75,-200.)); #524583=CARTESIAN_POINT('Origin',(52.75,21.75,0.052500000000002)); #524584=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #524585=CARTESIAN_POINT('',(31.575,15.5,0.)); #524586=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #524587=CARTESIAN_POINT('',(31.575,15.5,0.052500000000002)); #524588=CARTESIAN_POINT('',(31.575,15.5,-200.)); #524589=CARTESIAN_POINT('Origin',(31.75,15.5,0.052500000000002)); #524590=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #524591=CARTESIAN_POINT('',(53.325,21.,0.)); #524592=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #524593=CARTESIAN_POINT('',(53.325,21.,0.052500000000002)); #524594=CARTESIAN_POINT('',(53.325,21.,-200.)); #524595=CARTESIAN_POINT('Origin',(53.5,21.,0.052500000000002)); #524596=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #524597=CARTESIAN_POINT('',(34.075,8.25,0.)); #524598=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #524599=CARTESIAN_POINT('',(34.075,8.25,0.052500000000002)); #524600=CARTESIAN_POINT('',(34.075,8.25,-200.)); #524601=CARTESIAN_POINT('Origin',(34.25,8.25,0.052500000000002)); #524602=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #524603=CARTESIAN_POINT('',(53.325,21.75,0.)); #524604=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #524605=CARTESIAN_POINT('',(53.325,21.75,0.052500000000002)); #524606=CARTESIAN_POINT('',(53.325,21.75,-200.)); #524607=CARTESIAN_POINT('Origin',(53.5,21.75,0.052500000000002)); #524608=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #524609=CARTESIAN_POINT('',(33.075,17.,0.)); #524610=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #524611=CARTESIAN_POINT('',(33.075,17.,0.052500000000002)); #524612=CARTESIAN_POINT('',(33.075,17.,-200.)); #524613=CARTESIAN_POINT('Origin',(33.25,17.,0.052500000000002)); #524614=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #524615=CARTESIAN_POINT('',(36.25,7.1,0.)); #524616=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #524617=CARTESIAN_POINT('',(36.25,7.1,0.052500000000002)); #524618=CARTESIAN_POINT('',(36.25,7.1,-200.)); #524619=CARTESIAN_POINT('Origin',(36.4,7.1,0.052500000000002)); #524620=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #524621=CARTESIAN_POINT('',(33.825,23.125,0.)); #524622=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #524623=CARTESIAN_POINT('',(33.825,23.125,0.052500000000002)); #524624=CARTESIAN_POINT('',(33.825,23.125,-200.)); #524625=CARTESIAN_POINT('Origin',(34.,23.125,0.052500000000002)); #524626=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #524627=CARTESIAN_POINT('',(36.25,7.6,0.)); #524628=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #524629=CARTESIAN_POINT('',(36.25,7.6,0.052500000000002)); #524630=CARTESIAN_POINT('',(36.25,7.6,-200.)); #524631=CARTESIAN_POINT('Origin',(36.4,7.6,0.052500000000002)); #524632=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #524633=CARTESIAN_POINT('',(31.575,16.25,0.)); #524634=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #524635=CARTESIAN_POINT('',(31.575,16.25,0.052500000000002)); #524636=CARTESIAN_POINT('',(31.575,16.25,-200.)); #524637=CARTESIAN_POINT('Origin',(31.75,16.25,0.052500000000002)); #524638=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #524639=CARTESIAN_POINT('',(31.45,7.6,0.)); #524640=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #524641=CARTESIAN_POINT('',(31.45,7.6,0.052500000000002)); #524642=CARTESIAN_POINT('',(31.45,7.6,-200.)); #524643=CARTESIAN_POINT('Origin',(31.6,7.6,0.052500000000002)); #524644=CARTESIAN_POINT('Origin',(34.375,26.625,-200.)); #524645=CARTESIAN_POINT('',(34.2,26.625,0.)); #524646=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #524647=CARTESIAN_POINT('',(34.2,26.625,0.052500000000002)); #524648=CARTESIAN_POINT('',(34.2,26.625,-200.)); #524649=CARTESIAN_POINT('Origin',(34.375,26.625,0.052500000000002)); #524650=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #524651=CARTESIAN_POINT('',(31.45,7.1,0.)); #524652=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #524653=CARTESIAN_POINT('',(31.45,7.1,0.052500000000002)); #524654=CARTESIAN_POINT('',(31.45,7.1,-200.)); #524655=CARTESIAN_POINT('Origin',(31.6,7.1,0.052500000000002)); #524656=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #524657=CARTESIAN_POINT('',(32.13,45.,0.)); #524658=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #524659=CARTESIAN_POINT('',(32.13,45.,0.052500000000002)); #524660=CARTESIAN_POINT('',(32.13,45.,-200.)); #524661=CARTESIAN_POINT('Origin',(32.73,45.,0.052500000000002)); #524662=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #524663=CARTESIAN_POINT('',(47.575,56.,0.)); #524664=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #524665=CARTESIAN_POINT('',(47.575,56.,0.052500000000002)); #524666=CARTESIAN_POINT('',(47.575,56.,-200.)); #524667=CARTESIAN_POINT('Origin',(47.75,56.,0.052500000000002)); #524668=CARTESIAN_POINT('Origin',(40.125,39.625,-200.)); #524669=CARTESIAN_POINT('',(39.95,39.625,0.)); #524670=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #524671=CARTESIAN_POINT('',(39.95,39.625,0.052500000000002)); #524672=CARTESIAN_POINT('',(39.95,39.625,-200.)); #524673=CARTESIAN_POINT('Origin',(40.125,39.625,0.052500000000002)); #524674=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #524675=CARTESIAN_POINT('',(47.575,55.25,0.)); #524676=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #524677=CARTESIAN_POINT('',(47.575,55.25,0.052500000000002)); #524678=CARTESIAN_POINT('',(47.575,55.25,-200.)); #524679=CARTESIAN_POINT('Origin',(47.75,55.25,0.052500000000002)); #524680=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #524681=CARTESIAN_POINT('',(33.825,15.5,0.)); #524682=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #524683=CARTESIAN_POINT('',(33.825,15.5,0.052500000000002)); #524684=CARTESIAN_POINT('',(33.825,15.5,-200.)); #524685=CARTESIAN_POINT('Origin',(34.,15.5,0.052500000000002)); #524686=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #524687=CARTESIAN_POINT('',(45.825,56.75,0.)); #524688=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #524689=CARTESIAN_POINT('',(45.825,56.75,0.052500000000002)); #524690=CARTESIAN_POINT('',(45.825,56.75,-200.)); #524691=CARTESIAN_POINT('Origin',(46.,56.75,0.052500000000002)); #524692=CARTESIAN_POINT('Origin',(40.875,39.625,-200.)); #524693=CARTESIAN_POINT('',(40.7,39.625,0.)); #524694=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #524695=CARTESIAN_POINT('',(40.7,39.625,0.052500000000002)); #524696=CARTESIAN_POINT('',(40.7,39.625,-200.)); #524697=CARTESIAN_POINT('Origin',(40.875,39.625,0.052500000000002)); #524698=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #524699=CARTESIAN_POINT('',(45.825,55.25,0.)); #524700=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #524701=CARTESIAN_POINT('',(45.825,55.25,0.052500000000002)); #524702=CARTESIAN_POINT('',(45.825,55.25,-200.)); #524703=CARTESIAN_POINT('Origin',(46.,55.25,0.052500000000002)); #524704=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #524705=CARTESIAN_POINT('',(31.325,8.75,0.)); #524706=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #524707=CARTESIAN_POINT('',(31.325,8.75,0.052500000000002)); #524708=CARTESIAN_POINT('',(31.325,8.75,-200.)); #524709=CARTESIAN_POINT('Origin',(31.5,8.75,0.052500000000002)); #524710=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #524711=CARTESIAN_POINT('',(44.075,55.25,0.)); #524712=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #524713=CARTESIAN_POINT('',(44.075,55.25,0.052500000000002)); #524714=CARTESIAN_POINT('',(44.075,55.25,-200.)); #524715=CARTESIAN_POINT('Origin',(44.25,55.25,0.052500000000002)); #524716=CARTESIAN_POINT('Origin',(27.875,39.625,-200.)); #524717=CARTESIAN_POINT('',(27.7,39.625,0.)); #524718=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #524719=CARTESIAN_POINT('',(27.7,39.625,0.052500000000002)); #524720=CARTESIAN_POINT('',(27.7,39.625,-200.)); #524721=CARTESIAN_POINT('Origin',(27.875,39.625,0.052500000000002)); #524722=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #524723=CARTESIAN_POINT('',(44.075,56.75,0.)); #524724=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #524725=CARTESIAN_POINT('',(44.075,56.75,0.052500000000002)); #524726=CARTESIAN_POINT('',(44.075,56.75,-200.)); #524727=CARTESIAN_POINT('Origin',(44.25,56.75,0.052500000000002)); #524728=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #524729=CARTESIAN_POINT('',(33.075,15.5,0.)); #524730=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #524731=CARTESIAN_POINT('',(33.075,15.5,0.052500000000002)); #524732=CARTESIAN_POINT('',(33.075,15.5,-200.)); #524733=CARTESIAN_POINT('Origin',(33.25,15.5,0.052500000000002)); #524734=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #524735=CARTESIAN_POINT('',(44.075,56.,0.)); #524736=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #524737=CARTESIAN_POINT('',(44.075,56.,0.052500000000002)); #524738=CARTESIAN_POINT('',(44.075,56.,-200.)); #524739=CARTESIAN_POINT('Origin',(44.25,56.,0.052500000000002)); #524740=CARTESIAN_POINT('Origin',(41.625,39.625,-200.)); #524741=CARTESIAN_POINT('',(41.45,39.625,0.)); #524742=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #524743=CARTESIAN_POINT('',(41.45,39.625,0.052500000000002)); #524744=CARTESIAN_POINT('',(41.45,39.625,-200.)); #524745=CARTESIAN_POINT('Origin',(41.625,39.625,0.052500000000002)); #524746=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #524747=CARTESIAN_POINT('',(45.825,56.,0.)); #524748=CARTESIAN_POINT('Origin',(46.,56.,0.)); #524749=CARTESIAN_POINT('',(45.825,56.,0.052500000000002)); #524750=CARTESIAN_POINT('',(45.825,56.,-200.)); #524751=CARTESIAN_POINT('Origin',(46.,56.,0.052500000000002)); #524752=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #524753=CARTESIAN_POINT('',(11.75,34.,0.)); #524754=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #524755=CARTESIAN_POINT('',(11.75,34.,0.052500000000002)); #524756=CARTESIAN_POINT('',(11.75,34.,-200.)); #524757=CARTESIAN_POINT('Origin',(12.25,34.,0.052500000000002)); #524758=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #524759=CARTESIAN_POINT('',(51.95,46.25,0.)); #524760=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #524761=CARTESIAN_POINT('',(51.95,46.25,0.052500000000002)); #524762=CARTESIAN_POINT('',(51.95,46.25,-200.)); #524763=CARTESIAN_POINT('Origin',(52.125,46.25,0.052500000000002)); #524764=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #524765=CARTESIAN_POINT('',(42.325,26.,0.)); #524766=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #524767=CARTESIAN_POINT('',(42.325,26.,0.052500000000002)); #524768=CARTESIAN_POINT('',(42.325,26.,-200.)); #524769=CARTESIAN_POINT('Origin',(42.5,26.,0.052500000000002)); #524770=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #524771=CARTESIAN_POINT('',(51.075,46.25,0.)); #524772=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #524773=CARTESIAN_POINT('',(51.075,46.25,0.052500000000002)); #524774=CARTESIAN_POINT('',(51.075,46.25,-200.)); #524775=CARTESIAN_POINT('Origin',(51.25,46.25,0.052500000000002)); #524776=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #524777=CARTESIAN_POINT('',(32.325,16.25,0.)); #524778=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #524779=CARTESIAN_POINT('',(32.325,16.25,0.052500000000002)); #524780=CARTESIAN_POINT('',(32.325,16.25,-200.)); #524781=CARTESIAN_POINT('Origin',(32.5,16.25,0.052500000000002)); #524782=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #524783=CARTESIAN_POINT('',(51.075,47.75,0.)); #524784=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #524785=CARTESIAN_POINT('',(51.075,47.75,0.052500000000002)); #524786=CARTESIAN_POINT('',(51.075,47.75,-200.)); #524787=CARTESIAN_POINT('Origin',(51.25,47.75,0.052500000000002)); #524788=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #524789=CARTESIAN_POINT('',(42.325,25.25,0.)); #524790=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #524791=CARTESIAN_POINT('',(42.325,25.25,0.052500000000002)); #524792=CARTESIAN_POINT('',(42.325,25.25,-200.)); #524793=CARTESIAN_POINT('Origin',(42.5,25.25,0.052500000000002)); #524794=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #524795=CARTESIAN_POINT('',(51.075,47.,0.)); #524796=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #524797=CARTESIAN_POINT('',(51.075,47.,0.052500000000002)); #524798=CARTESIAN_POINT('',(51.075,47.,-200.)); #524799=CARTESIAN_POINT('Origin',(51.25,47.,0.052500000000002)); #524800=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #524801=CARTESIAN_POINT('',(34.575,5.5,0.)); #524802=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #524803=CARTESIAN_POINT('',(34.575,5.5,0.052500000000002)); #524804=CARTESIAN_POINT('',(34.575,5.5,-200.)); #524805=CARTESIAN_POINT('Origin',(34.75,5.5,0.052500000000002)); #524806=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #524807=CARTESIAN_POINT('',(51.95,47.75,0.)); #524808=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #524809=CARTESIAN_POINT('',(51.95,47.75,0.052500000000002)); #524810=CARTESIAN_POINT('',(51.95,47.75,-200.)); #524811=CARTESIAN_POINT('Origin',(52.125,47.75,0.052500000000002)); #524812=CARTESIAN_POINT('Origin',(26.375,39.625,-200.)); #524813=CARTESIAN_POINT('',(26.2,39.625,0.)); #524814=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #524815=CARTESIAN_POINT('',(26.2,39.625,0.052500000000002)); #524816=CARTESIAN_POINT('',(26.2,39.625,-200.)); #524817=CARTESIAN_POINT('Origin',(26.375,39.625,0.052500000000002)); #524818=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #524819=CARTESIAN_POINT('',(51.95,47.,0.)); #524820=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #524821=CARTESIAN_POINT('',(51.95,47.,0.052500000000002)); #524822=CARTESIAN_POINT('',(51.95,47.,-200.)); #524823=CARTESIAN_POINT('Origin',(52.125,47.,0.052500000000002)); #524824=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #524825=CARTESIAN_POINT('',(32.325,15.5,0.)); #524826=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #524827=CARTESIAN_POINT('',(32.325,15.5,0.052500000000002)); #524828=CARTESIAN_POINT('',(32.325,15.5,-200.)); #524829=CARTESIAN_POINT('Origin',(32.5,15.5,0.052500000000002)); #524830=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #524831=CARTESIAN_POINT('',(41.075,36.,0.)); #524832=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #524833=CARTESIAN_POINT('',(41.075,36.,0.052500000000002)); #524834=CARTESIAN_POINT('',(41.075,36.,-200.)); #524835=CARTESIAN_POINT('Origin',(41.25,36.,0.052500000000002)); #524836=CARTESIAN_POINT('Origin',(27.125,39.625,-200.)); #524837=CARTESIAN_POINT('',(26.95,39.625,0.)); #524838=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #524839=CARTESIAN_POINT('',(26.95,39.625,0.052500000000002)); #524840=CARTESIAN_POINT('',(26.95,39.625,-200.)); #524841=CARTESIAN_POINT('Origin',(27.125,39.625,0.052500000000002)); #524842=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #524843=CARTESIAN_POINT('',(41.075,31.,0.)); #524844=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #524845=CARTESIAN_POINT('',(41.075,31.,0.052500000000002)); #524846=CARTESIAN_POINT('',(41.075,31.,-200.)); #524847=CARTESIAN_POINT('Origin',(41.25,31.,0.052500000000002)); #524848=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #524849=CARTESIAN_POINT('',(43.222,32.73,0.)); #524850=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #524851=CARTESIAN_POINT('',(43.222,32.73,0.052500000000002)); #524852=CARTESIAN_POINT('',(43.222,32.73,-200.)); #524853=CARTESIAN_POINT('Origin',(43.73,32.73,0.052500000000002)); #524854=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #524855=CARTESIAN_POINT('',(48.45,46.25,0.)); #524856=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #524857=CARTESIAN_POINT('',(48.45,46.25,0.052500000000002)); #524858=CARTESIAN_POINT('',(48.45,46.25,-200.)); #524859=CARTESIAN_POINT('Origin',(48.625,46.25,0.052500000000002)); #524860=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #524861=CARTESIAN_POINT('',(41.575,26.,0.)); #524862=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #524863=CARTESIAN_POINT('',(41.575,26.,0.052500000000002)); #524864=CARTESIAN_POINT('',(41.575,26.,-200.)); #524865=CARTESIAN_POINT('Origin',(41.75,26.,0.052500000000002)); #524866=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #524867=CARTESIAN_POINT('',(46.7,47.75,0.)); #524868=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #524869=CARTESIAN_POINT('',(46.7,47.75,0.052500000000002)); #524870=CARTESIAN_POINT('',(46.7,47.75,-200.)); #524871=CARTESIAN_POINT('Origin',(46.875,47.75,0.052500000000002)); #524872=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #524873=CARTESIAN_POINT('',(32.325,18.5,0.)); #524874=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #524875=CARTESIAN_POINT('',(32.325,18.5,0.052500000000002)); #524876=CARTESIAN_POINT('',(32.325,18.5,-200.)); #524877=CARTESIAN_POINT('Origin',(32.5,18.5,0.052500000000002)); #524878=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #524879=CARTESIAN_POINT('',(46.7,46.25,0.)); #524880=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #524881=CARTESIAN_POINT('',(46.7,46.25,0.052500000000002)); #524882=CARTESIAN_POINT('',(46.7,46.25,-200.)); #524883=CARTESIAN_POINT('Origin',(46.875,46.25,0.052500000000002)); #524884=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #524885=CARTESIAN_POINT('',(41.575,25.25,0.)); #524886=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #524887=CARTESIAN_POINT('',(41.575,25.25,0.052500000000002)); #524888=CARTESIAN_POINT('',(41.575,25.25,-200.)); #524889=CARTESIAN_POINT('Origin',(41.75,25.25,0.052500000000002)); #524890=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #524891=CARTESIAN_POINT('',(46.7,47.,0.)); #524892=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #524893=CARTESIAN_POINT('',(46.7,47.,0.052500000000002)); #524894=CARTESIAN_POINT('',(46.7,47.,-200.)); #524895=CARTESIAN_POINT('Origin',(46.875,47.,0.052500000000002)); #524896=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #524897=CARTESIAN_POINT('',(33.825,5.5,0.)); #524898=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #524899=CARTESIAN_POINT('',(33.825,5.5,0.052500000000002)); #524900=CARTESIAN_POINT('',(33.825,5.5,-200.)); #524901=CARTESIAN_POINT('Origin',(34.,5.5,0.052500000000002)); #524902=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #524903=CARTESIAN_POINT('',(44.95,47.75,0.)); #524904=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #524905=CARTESIAN_POINT('',(44.95,47.75,0.052500000000002)); #524906=CARTESIAN_POINT('',(44.95,47.75,-200.)); #524907=CARTESIAN_POINT('Origin',(45.125,47.75,0.052500000000002)); #524908=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #524909=CARTESIAN_POINT('',(33.075,48.5,0.)); #524910=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #524911=CARTESIAN_POINT('',(33.075,48.5,0.052500000000002)); #524912=CARTESIAN_POINT('',(33.075,48.5,-200.)); #524913=CARTESIAN_POINT('Origin',(33.25,48.5,0.052500000000002)); #524914=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #524915=CARTESIAN_POINT('',(45.825,47.,0.)); #524916=CARTESIAN_POINT('Origin',(46.,47.,0.)); #524917=CARTESIAN_POINT('',(45.825,47.,0.052500000000002)); #524918=CARTESIAN_POINT('',(45.825,47.,-200.)); #524919=CARTESIAN_POINT('Origin',(46.,47.,0.052500000000002)); #524920=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #524921=CARTESIAN_POINT('',(33.075,18.5,0.)); #524922=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #524923=CARTESIAN_POINT('',(33.075,18.5,0.052500000000002)); #524924=CARTESIAN_POINT('',(33.075,18.5,-200.)); #524925=CARTESIAN_POINT('Origin',(33.25,18.5,0.052500000000002)); #524926=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #524927=CARTESIAN_POINT('',(44.95,47.,0.)); #524928=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #524929=CARTESIAN_POINT('',(44.95,47.,0.052500000000002)); #524930=CARTESIAN_POINT('',(44.95,47.,-200.)); #524931=CARTESIAN_POINT('Origin',(45.125,47.,0.052500000000002)); #524932=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #524933=CARTESIAN_POINT('',(40.825,25.25,0.)); #524934=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #524935=CARTESIAN_POINT('',(40.825,25.25,0.052500000000002)); #524936=CARTESIAN_POINT('',(40.825,25.25,-200.)); #524937=CARTESIAN_POINT('Origin',(41.,25.25,0.052500000000002)); #524938=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #524939=CARTESIAN_POINT('',(44.95,46.25,0.)); #524940=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #524941=CARTESIAN_POINT('',(44.95,46.25,0.052500000000002)); #524942=CARTESIAN_POINT('',(44.95,46.25,-200.)); #524943=CARTESIAN_POINT('Origin',(45.125,46.25,0.052500000000002)); #524944=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #524945=CARTESIAN_POINT('',(15.25,34.,0.)); #524946=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #524947=CARTESIAN_POINT('',(15.25,34.,0.052500000000002)); #524948=CARTESIAN_POINT('',(15.25,34.,-200.)); #524949=CARTESIAN_POINT('Origin',(15.75,34.,0.052500000000002)); #524950=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #524951=CARTESIAN_POINT('',(49.325,47.,0.)); #524952=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #524953=CARTESIAN_POINT('',(49.325,47.,0.052500000000002)); #524954=CARTESIAN_POINT('',(49.325,47.,-200.)); #524955=CARTESIAN_POINT('Origin',(49.5,47.,0.052500000000002)); #524956=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #524957=CARTESIAN_POINT('',(40.825,24.5,0.)); #524958=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #524959=CARTESIAN_POINT('',(40.825,24.5,0.052500000000002)); #524960=CARTESIAN_POINT('',(40.825,24.5,-200.)); #524961=CARTESIAN_POINT('Origin',(41.,24.5,0.052500000000002)); #524962=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #524963=CARTESIAN_POINT('',(49.325,47.75,0.)); #524964=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #524965=CARTESIAN_POINT('',(49.325,47.75,0.052500000000002)); #524966=CARTESIAN_POINT('',(49.325,47.75,-200.)); #524967=CARTESIAN_POINT('Origin',(49.5,47.75,0.052500000000002)); #524968=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #524969=CARTESIAN_POINT('',(32.325,17.75,0.)); #524970=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #524971=CARTESIAN_POINT('',(32.325,17.75,0.052500000000002)); #524972=CARTESIAN_POINT('',(32.325,17.75,-200.)); #524973=CARTESIAN_POINT('Origin',(32.5,17.75,0.052500000000002)); #524974=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #524975=CARTESIAN_POINT('',(48.45,47.,0.)); #524976=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #524977=CARTESIAN_POINT('',(48.45,47.,0.052500000000002)); #524978=CARTESIAN_POINT('',(48.45,47.,-200.)); #524979=CARTESIAN_POINT('Origin',(48.625,47.,0.052500000000002)); #524980=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #524981=CARTESIAN_POINT('',(40.825,26.,0.)); #524982=CARTESIAN_POINT('Origin',(41.,26.,0.)); #524983=CARTESIAN_POINT('',(40.825,26.,0.052500000000002)); #524984=CARTESIAN_POINT('',(40.825,26.,-200.)); #524985=CARTESIAN_POINT('Origin',(41.,26.,0.052500000000002)); #524986=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #524987=CARTESIAN_POINT('',(48.45,47.75,0.)); #524988=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #524989=CARTESIAN_POINT('',(48.45,47.75,0.052500000000002)); #524990=CARTESIAN_POINT('',(48.45,47.75,-200.)); #524991=CARTESIAN_POINT('Origin',(48.625,47.75,0.052500000000002)); #524992=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #524993=CARTESIAN_POINT('',(33.075,5.5,0.)); #524994=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #524995=CARTESIAN_POINT('',(33.075,5.5,0.052500000000002)); #524996=CARTESIAN_POINT('',(33.075,5.5,-200.)); #524997=CARTESIAN_POINT('Origin',(33.25,5.5,0.052500000000002)); #524998=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #524999=CARTESIAN_POINT('',(50.2,46.25,0.)); #525000=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #525001=CARTESIAN_POINT('',(50.2,46.25,0.052500000000002)); #525002=CARTESIAN_POINT('',(50.2,46.25,-200.)); #525003=CARTESIAN_POINT('Origin',(50.375,46.25,0.052500000000002)); #525004=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #525005=CARTESIAN_POINT('',(41.575,24.5,0.)); #525006=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #525007=CARTESIAN_POINT('',(41.575,24.5,0.052500000000002)); #525008=CARTESIAN_POINT('',(41.575,24.5,-200.)); #525009=CARTESIAN_POINT('Origin',(41.75,24.5,0.052500000000002)); #525010=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #525011=CARTESIAN_POINT('',(49.325,46.25,0.)); #525012=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #525013=CARTESIAN_POINT('',(49.325,46.25,0.052500000000002)); #525014=CARTESIAN_POINT('',(49.325,46.25,-200.)); #525015=CARTESIAN_POINT('Origin',(49.5,46.25,0.052500000000002)); #525016=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #525017=CARTESIAN_POINT('',(32.325,17.,0.)); #525018=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #525019=CARTESIAN_POINT('',(32.325,17.,0.052500000000002)); #525020=CARTESIAN_POINT('',(32.325,17.,-200.)); #525021=CARTESIAN_POINT('Origin',(32.5,17.,0.052500000000002)); #525022=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #525023=CARTESIAN_POINT('',(50.2,47.,0.)); #525024=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #525025=CARTESIAN_POINT('',(50.2,47.,0.052500000000002)); #525026=CARTESIAN_POINT('',(50.2,47.,-200.)); #525027=CARTESIAN_POINT('Origin',(50.375,47.,0.052500000000002)); #525028=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #525029=CARTESIAN_POINT('',(42.325,24.5,0.)); #525030=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #525031=CARTESIAN_POINT('',(42.325,24.5,0.052500000000002)); #525032=CARTESIAN_POINT('',(42.325,24.5,-200.)); #525033=CARTESIAN_POINT('Origin',(42.5,24.5,0.052500000000002)); #525034=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #525035=CARTESIAN_POINT('',(50.2,47.75,0.)); #525036=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #525037=CARTESIAN_POINT('',(50.2,47.75,0.052500000000002)); #525038=CARTESIAN_POINT('',(50.2,47.75,-200.)); #525039=CARTESIAN_POINT('Origin',(50.375,47.75,0.052500000000002)); #525040=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #525041=CARTESIAN_POINT('',(37.21,45.,0.)); #525042=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #525043=CARTESIAN_POINT('',(37.21,45.,0.052500000000002)); #525044=CARTESIAN_POINT('',(37.21,45.,-200.)); #525045=CARTESIAN_POINT('Origin',(37.81,45.,0.052500000000002)); #525046=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #525047=CARTESIAN_POINT('',(21.825,55.25,0.)); #525048=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #525049=CARTESIAN_POINT('',(21.825,55.25,0.052500000000002)); #525050=CARTESIAN_POINT('',(21.825,55.25,-200.)); #525051=CARTESIAN_POINT('Origin',(22.,55.25,0.052500000000002)); #525052=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #525053=CARTESIAN_POINT('',(55.825,21.,0.)); #525054=CARTESIAN_POINT('Origin',(56.,21.,0.)); #525055=CARTESIAN_POINT('',(55.825,21.,0.052500000000002)); #525056=CARTESIAN_POINT('',(55.825,21.,-200.)); #525057=CARTESIAN_POINT('Origin',(56.,21.,0.052500000000002)); #525058=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #525059=CARTESIAN_POINT('',(21.825,56.75,0.)); #525060=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #525061=CARTESIAN_POINT('',(21.825,56.75,0.052500000000002)); #525062=CARTESIAN_POINT('',(21.825,56.75,-200.)); #525063=CARTESIAN_POINT('Origin',(22.,56.75,0.052500000000002)); #525064=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #525065=CARTESIAN_POINT('',(35.325,17.,0.)); #525066=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #525067=CARTESIAN_POINT('',(35.325,17.,0.052500000000002)); #525068=CARTESIAN_POINT('',(35.325,17.,-200.)); #525069=CARTESIAN_POINT('Origin',(35.5,17.,0.052500000000002)); #525070=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #525071=CARTESIAN_POINT('',(20.075,56.,0.)); #525072=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #525073=CARTESIAN_POINT('',(20.075,56.,0.052500000000002)); #525074=CARTESIAN_POINT('',(20.075,56.,-200.)); #525075=CARTESIAN_POINT('Origin',(20.25,56.,0.052500000000002)); #525076=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #525077=CARTESIAN_POINT('',(55.825,21.75,0.)); #525078=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #525079=CARTESIAN_POINT('',(55.825,21.75,0.052500000000002)); #525080=CARTESIAN_POINT('',(55.825,21.75,-200.)); #525081=CARTESIAN_POINT('Origin',(56.,21.75,0.052500000000002)); #525082=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #525083=CARTESIAN_POINT('',(21.825,56.,0.)); #525084=CARTESIAN_POINT('Origin',(22.,56.,0.)); #525085=CARTESIAN_POINT('',(21.825,56.,0.052500000000002)); #525086=CARTESIAN_POINT('',(21.825,56.,-200.)); #525087=CARTESIAN_POINT('Origin',(22.,56.,0.052500000000002)); #525088=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #525089=CARTESIAN_POINT('',(30.575,9.5,0.)); #525090=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #525091=CARTESIAN_POINT('',(30.575,9.5,0.052500000000002)); #525092=CARTESIAN_POINT('',(30.575,9.5,-200.)); #525093=CARTESIAN_POINT('Origin',(30.75,9.5,0.052500000000002)); #525094=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #525095=CARTESIAN_POINT('',(37.0125,36.75,0.)); #525096=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #525097=CARTESIAN_POINT('',(37.0125,36.75,0.052500000000002)); #525098=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #525099=CARTESIAN_POINT('Origin',(37.1875,36.75,0.052500000000002)); #525100=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #525101=CARTESIAN_POINT('',(54.325,21.,0.)); #525102=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #525103=CARTESIAN_POINT('',(54.325,21.,0.052500000000002)); #525104=CARTESIAN_POINT('',(54.325,21.,-200.)); #525105=CARTESIAN_POINT('Origin',(54.5,21.,0.052500000000002)); #525106=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #525107=CARTESIAN_POINT('',(38.575,36.75,0.)); #525108=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #525109=CARTESIAN_POINT('',(38.575,36.75,0.052500000000002)); #525110=CARTESIAN_POINT('',(38.575,36.75,-200.)); #525111=CARTESIAN_POINT('Origin',(38.75,36.75,0.052500000000002)); #525112=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #525113=CARTESIAN_POINT('',(35.325,16.25,0.)); #525114=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #525115=CARTESIAN_POINT('',(35.325,16.25,0.052500000000002)); #525116=CARTESIAN_POINT('',(35.325,16.25,-200.)); #525117=CARTESIAN_POINT('Origin',(35.5,16.25,0.052500000000002)); #525118=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #525119=CARTESIAN_POINT('',(20.075,56.75,0.)); #525120=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #525121=CARTESIAN_POINT('',(20.075,56.75,0.052500000000002)); #525122=CARTESIAN_POINT('',(20.075,56.75,-200.)); #525123=CARTESIAN_POINT('Origin',(20.25,56.75,0.052500000000002)); #525124=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #525125=CARTESIAN_POINT('',(54.325,21.75,0.)); #525126=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #525127=CARTESIAN_POINT('',(54.325,21.75,0.052500000000002)); #525128=CARTESIAN_POINT('',(54.325,21.75,-200.)); #525129=CARTESIAN_POINT('Origin',(54.5,21.75,0.052500000000002)); #525130=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #525131=CARTESIAN_POINT('',(20.075,55.25,0.)); #525132=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #525133=CARTESIAN_POINT('',(20.075,55.25,0.052500000000002)); #525134=CARTESIAN_POINT('',(20.075,55.25,-200.)); #525135=CARTESIAN_POINT('Origin',(20.25,55.25,0.052500000000002)); #525136=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #525137=CARTESIAN_POINT('',(36.,25.25,0.)); #525138=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #525139=CARTESIAN_POINT('',(36.,25.25,0.052500000000002)); #525140=CARTESIAN_POINT('',(36.,25.25,-200.)); #525141=CARTESIAN_POINT('Origin',(36.5,25.25,0.052500000000002)); #525142=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #525143=CARTESIAN_POINT('',(44.95,55.25,0.)); #525144=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #525145=CARTESIAN_POINT('',(44.95,55.25,0.052500000000002)); #525146=CARTESIAN_POINT('',(44.95,55.25,-200.)); #525147=CARTESIAN_POINT('Origin',(45.125,55.25,0.052500000000002)); #525148=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #525149=CARTESIAN_POINT('',(55.075,21.75,0.)); #525150=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #525151=CARTESIAN_POINT('',(55.075,21.75,0.052500000000002)); #525152=CARTESIAN_POINT('',(55.075,21.75,-200.)); #525153=CARTESIAN_POINT('Origin',(55.25,21.75,0.052500000000002)); #525154=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #525155=CARTESIAN_POINT('',(44.95,56.,0.)); #525156=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #525157=CARTESIAN_POINT('',(44.95,56.,0.052500000000002)); #525158=CARTESIAN_POINT('',(44.95,56.,-200.)); #525159=CARTESIAN_POINT('Origin',(45.125,56.,0.052500000000002)); #525160=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #525161=CARTESIAN_POINT('',(34.575,15.5,0.)); #525162=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #525163=CARTESIAN_POINT('',(34.575,15.5,0.052500000000002)); #525164=CARTESIAN_POINT('',(34.575,15.5,-200.)); #525165=CARTESIAN_POINT('Origin',(34.75,15.5,0.052500000000002)); #525166=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #525167=CARTESIAN_POINT('',(47.575,56.75,0.)); #525168=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #525169=CARTESIAN_POINT('',(47.575,56.75,0.052500000000002)); #525170=CARTESIAN_POINT('',(47.575,56.75,-200.)); #525171=CARTESIAN_POINT('Origin',(47.75,56.75,0.052500000000002)); #525172=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #525173=CARTESIAN_POINT('',(55.075,21.,0.)); #525174=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #525175=CARTESIAN_POINT('',(55.075,21.,0.052500000000002)); #525176=CARTESIAN_POINT('',(55.075,21.,-200.)); #525177=CARTESIAN_POINT('Origin',(55.25,21.,0.052500000000002)); #525178=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #525179=CARTESIAN_POINT('',(44.95,56.75,0.)); #525180=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #525181=CARTESIAN_POINT('',(44.95,56.75,0.052500000000002)); #525182=CARTESIAN_POINT('',(44.95,56.75,-200.)); #525183=CARTESIAN_POINT('Origin',(45.125,56.75,0.052500000000002)); #525184=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #525185=CARTESIAN_POINT('',(30.575,8.75,0.)); #525186=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #525187=CARTESIAN_POINT('',(30.575,8.75,0.052500000000002)); #525188=CARTESIAN_POINT('',(30.575,8.75,-200.)); #525189=CARTESIAN_POINT('Origin',(30.75,8.75,0.052500000000002)); #525190=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #525191=CARTESIAN_POINT('',(46.7,56.,0.)); #525192=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #525193=CARTESIAN_POINT('',(46.7,56.,0.052500000000002)); #525194=CARTESIAN_POINT('',(46.7,56.,-200.)); #525195=CARTESIAN_POINT('Origin',(46.875,56.,0.052500000000002)); #525196=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #525197=CARTESIAN_POINT('',(55.075,20.25,0.)); #525198=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #525199=CARTESIAN_POINT('',(55.075,20.25,0.052500000000002)); #525200=CARTESIAN_POINT('',(55.075,20.25,-200.)); #525201=CARTESIAN_POINT('Origin',(55.25,20.25,0.052500000000002)); #525202=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #525203=CARTESIAN_POINT('',(46.7,55.25,0.)); #525204=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #525205=CARTESIAN_POINT('',(46.7,55.25,0.052500000000002)); #525206=CARTESIAN_POINT('',(46.7,55.25,-200.)); #525207=CARTESIAN_POINT('Origin',(46.875,55.25,0.052500000000002)); #525208=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #525209=CARTESIAN_POINT('',(35.325,15.5,0.)); #525210=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #525211=CARTESIAN_POINT('',(35.325,15.5,0.052500000000002)); #525212=CARTESIAN_POINT('',(35.325,15.5,-200.)); #525213=CARTESIAN_POINT('Origin',(35.5,15.5,0.052500000000002)); #525214=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #525215=CARTESIAN_POINT('',(46.7,56.75,0.)); #525216=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #525217=CARTESIAN_POINT('',(46.7,56.75,0.052500000000002)); #525218=CARTESIAN_POINT('',(46.7,56.75,-200.)); #525219=CARTESIAN_POINT('Origin',(46.875,56.75,0.052500000000002)); #525220=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #525221=CARTESIAN_POINT('',(54.325,20.25,0.)); #525222=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #525223=CARTESIAN_POINT('',(54.325,20.25,0.052500000000002)); #525224=CARTESIAN_POINT('',(54.325,20.25,-200.)); #525225=CARTESIAN_POINT('Origin',(54.5,20.25,0.052500000000002)); #525226=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #525227=CARTESIAN_POINT('',(39.837,52.5,0.)); #525228=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #525229=CARTESIAN_POINT('',(39.837,52.5,0.052500000000002)); #525230=CARTESIAN_POINT('',(39.837,52.5,-200.)); #525231=CARTESIAN_POINT('Origin',(40.012,52.5,0.052500000000002)); #525232=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #525233=CARTESIAN_POINT('',(43.222,35.27,0.)); #525234=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #525235=CARTESIAN_POINT('',(43.222,35.27,0.052500000000002)); #525236=CARTESIAN_POINT('',(43.222,35.27,-200.)); #525237=CARTESIAN_POINT('Origin',(43.73,35.27,0.052500000000002)); #525238=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #525239=CARTESIAN_POINT('',(20.95,56.,0.)); #525240=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #525241=CARTESIAN_POINT('',(20.95,56.,0.052500000000002)); #525242=CARTESIAN_POINT('',(20.95,56.,-200.)); #525243=CARTESIAN_POINT('Origin',(21.125,56.,0.052500000000002)); #525244=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #525245=CARTESIAN_POINT('',(12.575,20.25,0.)); #525246=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #525247=CARTESIAN_POINT('',(12.575,20.25,0.052500000000002)); #525248=CARTESIAN_POINT('',(12.575,20.25,-200.)); #525249=CARTESIAN_POINT('Origin',(12.75,20.25,0.052500000000002)); #525250=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #525251=CARTESIAN_POINT('',(20.95,55.25,0.)); #525252=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #525253=CARTESIAN_POINT('',(20.95,55.25,0.052500000000002)); #525254=CARTESIAN_POINT('',(20.95,55.25,-200.)); #525255=CARTESIAN_POINT('Origin',(21.125,55.25,0.052500000000002)); #525256=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #525257=CARTESIAN_POINT('',(33.075,19.25,0.)); #525258=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #525259=CARTESIAN_POINT('',(33.075,19.25,0.052500000000002)); #525260=CARTESIAN_POINT('',(33.075,19.25,-200.)); #525261=CARTESIAN_POINT('Origin',(33.25,19.25,0.052500000000002)); #525262=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #525263=CARTESIAN_POINT('',(22.7,56.,0.)); #525264=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #525265=CARTESIAN_POINT('',(22.7,56.,0.052500000000002)); #525266=CARTESIAN_POINT('',(22.7,56.,-200.)); #525267=CARTESIAN_POINT('Origin',(22.875,56.,0.052500000000002)); #525268=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #525269=CARTESIAN_POINT('',(12.575,21.75,0.)); #525270=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #525271=CARTESIAN_POINT('',(12.575,21.75,0.052500000000002)); #525272=CARTESIAN_POINT('',(12.575,21.75,-200.)); #525273=CARTESIAN_POINT('Origin',(12.75,21.75,0.052500000000002)); #525274=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #525275=CARTESIAN_POINT('',(22.7,55.25,0.)); #525276=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #525277=CARTESIAN_POINT('',(22.7,55.25,0.052500000000002)); #525278=CARTESIAN_POINT('',(22.7,55.25,-200.)); #525279=CARTESIAN_POINT('Origin',(22.875,55.25,0.052500000000002)); #525280=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #525281=CARTESIAN_POINT('',(31.325,9.5,0.)); #525282=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #525283=CARTESIAN_POINT('',(31.325,9.5,0.052500000000002)); #525284=CARTESIAN_POINT('',(31.325,9.5,-200.)); #525285=CARTESIAN_POINT('Origin',(31.5,9.5,0.052500000000002)); #525286=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #525287=CARTESIAN_POINT('',(23.575,56.,0.)); #525288=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #525289=CARTESIAN_POINT('',(23.575,56.,0.052500000000002)); #525290=CARTESIAN_POINT('',(23.575,56.,-200.)); #525291=CARTESIAN_POINT('Origin',(23.75,56.,0.052500000000002)); #525292=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #525293=CARTESIAN_POINT('',(55.825,20.25,0.)); #525294=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #525295=CARTESIAN_POINT('',(55.825,20.25,0.052500000000002)); #525296=CARTESIAN_POINT('',(55.825,20.25,-200.)); #525297=CARTESIAN_POINT('Origin',(56.,20.25,0.052500000000002)); #525298=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #525299=CARTESIAN_POINT('',(23.575,55.25,0.)); #525300=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #525301=CARTESIAN_POINT('',(23.575,55.25,0.052500000000002)); #525302=CARTESIAN_POINT('',(23.575,55.25,-200.)); #525303=CARTESIAN_POINT('Origin',(23.75,55.25,0.052500000000002)); #525304=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #525305=CARTESIAN_POINT('',(32.325,19.25,0.)); #525306=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #525307=CARTESIAN_POINT('',(32.325,19.25,0.052500000000002)); #525308=CARTESIAN_POINT('',(32.325,19.25,-200.)); #525309=CARTESIAN_POINT('Origin',(32.5,19.25,0.052500000000002)); #525310=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #525311=CARTESIAN_POINT('',(23.575,56.75,0.)); #525312=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #525313=CARTESIAN_POINT('',(23.575,56.75,0.052500000000002)); #525314=CARTESIAN_POINT('',(23.575,56.75,-200.)); #525315=CARTESIAN_POINT('Origin',(23.75,56.75,0.052500000000002)); #525316=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #525317=CARTESIAN_POINT('',(12.575,21.,0.)); #525318=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #525319=CARTESIAN_POINT('',(12.575,21.,0.052500000000002)); #525320=CARTESIAN_POINT('',(12.575,21.,-200.)); #525321=CARTESIAN_POINT('Origin',(12.75,21.,0.052500000000002)); #525322=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #525323=CARTESIAN_POINT('',(22.7,56.75,0.)); #525324=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #525325=CARTESIAN_POINT('',(22.7,56.75,0.052500000000002)); #525326=CARTESIAN_POINT('',(22.7,56.75,-200.)); #525327=CARTESIAN_POINT('Origin',(22.875,56.75,0.052500000000002)); #525328=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #525329=CARTESIAN_POINT('',(33.5,25.25,0.)); #525330=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #525331=CARTESIAN_POINT('',(33.5,25.25,0.052500000000002)); #525332=CARTESIAN_POINT('',(33.5,25.25,-200.)); #525333=CARTESIAN_POINT('Origin',(34.,25.25,0.052500000000002)); #525334=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #525335=CARTESIAN_POINT('',(30.6375,36.75,0.)); #525336=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #525337=CARTESIAN_POINT('',(30.6375,36.75,0.052500000000002)); #525338=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #525339=CARTESIAN_POINT('Origin',(30.8125,36.75,0.052500000000002)); #525340=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #525341=CARTESIAN_POINT('',(11.825,21.,0.)); #525342=CARTESIAN_POINT('Origin',(12.,21.,0.)); #525343=CARTESIAN_POINT('',(11.825,21.,0.052500000000002)); #525344=CARTESIAN_POINT('',(11.825,21.,-200.)); #525345=CARTESIAN_POINT('Origin',(12.,21.,0.052500000000002)); #525346=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #525347=CARTESIAN_POINT('',(29.075,36.75,0.)); #525348=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #525349=CARTESIAN_POINT('',(29.075,36.75,0.052500000000002)); #525350=CARTESIAN_POINT('',(29.075,36.75,-200.)); #525351=CARTESIAN_POINT('Origin',(29.25,36.75,0.052500000000002)); #525352=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #525353=CARTESIAN_POINT('',(33.825,19.25,0.)); #525354=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #525355=CARTESIAN_POINT('',(33.825,19.25,0.052500000000002)); #525356=CARTESIAN_POINT('',(33.825,19.25,-200.)); #525357=CARTESIAN_POINT('Origin',(34.,19.25,0.052500000000002)); #525358=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #525359=CARTESIAN_POINT('',(20.95,56.75,0.)); #525360=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #525361=CARTESIAN_POINT('',(20.95,56.75,0.052500000000002)); #525362=CARTESIAN_POINT('',(20.95,56.75,-200.)); #525363=CARTESIAN_POINT('Origin',(21.125,56.75,0.052500000000002)); #525364=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #525365=CARTESIAN_POINT('',(11.825,20.25,0.)); #525366=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #525367=CARTESIAN_POINT('',(11.825,20.25,0.052500000000002)); #525368=CARTESIAN_POINT('',(11.825,20.25,-200.)); #525369=CARTESIAN_POINT('Origin',(12.,20.25,0.052500000000002)); #525370=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #525371=CARTESIAN_POINT('',(27.813,52.5,0.)); #525372=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #525373=CARTESIAN_POINT('',(27.813,52.5,0.052500000000002)); #525374=CARTESIAN_POINT('',(27.813,52.5,-200.)); #525375=CARTESIAN_POINT('Origin',(27.988,52.5,0.052500000000002)); #525376=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #525377=CARTESIAN_POINT('',(36.325,8.75,0.)); #525378=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #525379=CARTESIAN_POINT('',(36.325,8.75,0.052500000000002)); #525380=CARTESIAN_POINT('',(36.325,8.75,-200.)); #525381=CARTESIAN_POINT('Origin',(36.5,8.75,0.052500000000002)); #525382=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #525383=CARTESIAN_POINT('',(23.73,49.75,0.)); #525384=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #525385=CARTESIAN_POINT('',(23.73,49.75,0.052500000000002)); #525386=CARTESIAN_POINT('',(23.73,49.75,-200.)); #525387=CARTESIAN_POINT('Origin',(23.905,49.75,0.052500000000002)); #525388=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #525389=CARTESIAN_POINT('',(11.825,21.75,0.)); #525390=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #525391=CARTESIAN_POINT('',(11.825,21.75,0.052500000000002)); #525392=CARTESIAN_POINT('',(11.825,21.75,-200.)); #525393=CARTESIAN_POINT('Origin',(12.,21.75,0.052500000000002)); #525394=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #525395=CARTESIAN_POINT('',(47.73,58.75,0.)); #525396=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #525397=CARTESIAN_POINT('',(47.73,58.75,0.052500000000002)); #525398=CARTESIAN_POINT('',(47.73,58.75,-200.)); #525399=CARTESIAN_POINT('Origin',(47.905,58.75,0.052500000000002)); #525400=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #525401=CARTESIAN_POINT('',(34.575,19.25,0.)); #525402=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #525403=CARTESIAN_POINT('',(34.575,19.25,0.052500000000002)); #525404=CARTESIAN_POINT('',(34.575,19.25,-200.)); #525405=CARTESIAN_POINT('Origin',(34.75,19.25,0.052500000000002)); #525406=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #525407=CARTESIAN_POINT('',(23.73,58.75,0.)); #525408=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #525409=CARTESIAN_POINT('',(23.73,58.75,0.052500000000002)); #525410=CARTESIAN_POINT('',(23.73,58.75,-200.)); #525411=CARTESIAN_POINT('Origin',(23.905,58.75,0.052500000000002)); #525412=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #525413=CARTESIAN_POINT('',(13.325,21.75,0.)); #525414=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #525415=CARTESIAN_POINT('',(13.325,21.75,0.052500000000002)); #525416=CARTESIAN_POINT('',(13.325,21.75,-200.)); #525417=CARTESIAN_POINT('Origin',(13.5,21.75,0.052500000000002)); #525418=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #525419=CARTESIAN_POINT('',(47.73,49.75,0.)); #525420=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #525421=CARTESIAN_POINT('',(47.73,49.75,0.052500000000002)); #525422=CARTESIAN_POINT('',(47.73,49.75,-200.)); #525423=CARTESIAN_POINT('Origin',(47.905,49.75,0.052500000000002)); #525424=CARTESIAN_POINT('Origin',(34.,34.,0.)); #525425=CARTESIAN_POINT('',(0.,34.,0.0525)); #525426=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #525427=CARTESIAN_POINT('',(0.,34.,0.)); #525428=CARTESIAN_POINT('',(0.,34.,0.)); #525429=CARTESIAN_POINT('Origin',(34.,34.,0.)); #525430=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #525431=CARTESIAN_POINT('Origin',(34.,34.,0.)); #525432=CARTESIAN_POINT('',(0.,0.,0.)); #525433=CARTESIAN_POINT('Origin',(31.11,6.18,-0.803)); #525434=CARTESIAN_POINT('',(30.785,6.18,0.)); #525435=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #525436=CARTESIAN_POINT('',(30.785,6.18,-0.0525)); #525437=CARTESIAN_POINT('',(30.785,6.18,-0.803)); #525438=CARTESIAN_POINT('Origin',(31.11,6.18,-0.0525)); #525439=CARTESIAN_POINT('Origin',(36.89,6.18,-0.803)); #525440=CARTESIAN_POINT('',(36.565,6.18,0.)); #525441=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #525442=CARTESIAN_POINT('',(36.565,6.18,-0.0525)); #525443=CARTESIAN_POINT('',(36.565,6.18,-0.803)); #525444=CARTESIAN_POINT('Origin',(36.89,6.18,-0.0525)); #525445=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #525446=CARTESIAN_POINT('',(29.59,45.,-0.052500000000002)); #525447=CARTESIAN_POINT('Origin',(30.19,45.,-0.052500000000002)); #525448=CARTESIAN_POINT('',(29.59,45.,0.)); #525449=CARTESIAN_POINT('',(29.59,45.,-200.)); #525450=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #525451=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #525452=CARTESIAN_POINT('',(47.575,47.75,-0.052500000000002)); #525453=CARTESIAN_POINT('Origin',(47.75,47.75,-0.052500000000002)); #525454=CARTESIAN_POINT('',(47.575,47.75,0.)); #525455=CARTESIAN_POINT('',(47.575,47.75,-200.)); #525456=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #525457=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #525458=CARTESIAN_POINT('',(33.075,49.25,-0.052500000000002)); #525459=CARTESIAN_POINT('Origin',(33.25,49.25,-0.052500000000002)); #525460=CARTESIAN_POINT('',(33.075,49.25,0.)); #525461=CARTESIAN_POINT('',(33.075,49.25,-200.)); #525462=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #525463=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #525464=CARTESIAN_POINT('',(45.825,47.75,-0.052500000000002)); #525465=CARTESIAN_POINT('Origin',(46.,47.75,-0.052500000000002)); #525466=CARTESIAN_POINT('',(45.825,47.75,0.)); #525467=CARTESIAN_POINT('',(45.825,47.75,-200.)); #525468=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #525469=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #525470=CARTESIAN_POINT('',(33.825,18.5,-0.052500000000002)); #525471=CARTESIAN_POINT('Origin',(34.,18.5,-0.052500000000002)); #525472=CARTESIAN_POINT('',(33.825,18.5,0.)); #525473=CARTESIAN_POINT('',(33.825,18.5,-200.)); #525474=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #525475=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #525476=CARTESIAN_POINT('',(47.575,47.,-0.052500000000002)); #525477=CARTESIAN_POINT('Origin',(47.75,47.,-0.052500000000002)); #525478=CARTESIAN_POINT('',(47.575,47.,0.)); #525479=CARTESIAN_POINT('',(47.575,47.,-200.)); #525480=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #525481=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #525482=CARTESIAN_POINT('',(33.075,50.,-0.052500000000002)); #525483=CARTESIAN_POINT('Origin',(33.25,50.,-0.052500000000002)); #525484=CARTESIAN_POINT('',(33.075,50.,0.)); #525485=CARTESIAN_POINT('',(33.075,50.,-200.)); #525486=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #525487=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #525488=CARTESIAN_POINT('',(44.075,47.,-0.052500000000002)); #525489=CARTESIAN_POINT('Origin',(44.25,47.,-0.052500000000002)); #525490=CARTESIAN_POINT('',(44.075,47.,0.)); #525491=CARTESIAN_POINT('',(44.075,47.,-200.)); #525492=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #525493=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #525494=CARTESIAN_POINT('',(39.075,19.,-0.052500000000002)); #525495=CARTESIAN_POINT('Origin',(39.25,19.,-0.052500000000002)); #525496=CARTESIAN_POINT('',(39.075,19.,0.)); #525497=CARTESIAN_POINT('',(39.075,19.,-200.)); #525498=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #525499=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #525500=CARTESIAN_POINT('',(44.075,46.25,-0.052500000000002)); #525501=CARTESIAN_POINT('Origin',(44.25,46.25,-0.052500000000002)); #525502=CARTESIAN_POINT('',(44.075,46.25,0.)); #525503=CARTESIAN_POINT('',(44.075,46.25,-200.)); #525504=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #525505=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #525506=CARTESIAN_POINT('',(34.575,50.,-0.052500000000002)); #525507=CARTESIAN_POINT('Origin',(34.75,50.,-0.052500000000002)); #525508=CARTESIAN_POINT('',(34.575,50.,0.)); #525509=CARTESIAN_POINT('',(34.575,50.,-200.)); #525510=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #525511=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #525512=CARTESIAN_POINT('',(44.075,47.75,-0.052500000000002)); #525513=CARTESIAN_POINT('Origin',(44.25,47.75,-0.052500000000002)); #525514=CARTESIAN_POINT('',(44.075,47.75,0.)); #525515=CARTESIAN_POINT('',(44.075,47.75,-200.)); #525516=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #525517=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #525518=CARTESIAN_POINT('',(34.575,18.5,-0.052500000000002)); #525519=CARTESIAN_POINT('Origin',(34.75,18.5,-0.052500000000002)); #525520=CARTESIAN_POINT('',(34.575,18.5,0.)); #525521=CARTESIAN_POINT('',(34.575,18.5,-200.)); #525522=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #525523=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #525524=CARTESIAN_POINT('',(45.825,46.25,-0.052500000000002)); #525525=CARTESIAN_POINT('Origin',(46.,46.25,-0.052500000000002)); #525526=CARTESIAN_POINT('',(45.825,46.25,0.)); #525527=CARTESIAN_POINT('',(45.825,46.25,-200.)); #525528=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #525529=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #525530=CARTESIAN_POINT('',(33.825,50.,-0.052500000000002)); #525531=CARTESIAN_POINT('Origin',(34.,50.,-0.052500000000002)); #525532=CARTESIAN_POINT('',(33.825,50.,0.)); #525533=CARTESIAN_POINT('',(33.825,50.,-200.)); #525534=CARTESIAN_POINT('Origin',(34.,50.,0.)); #525535=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #525536=CARTESIAN_POINT('',(47.575,46.25,-0.052500000000002)); #525537=CARTESIAN_POINT('Origin',(47.75,46.25,-0.052500000000002)); #525538=CARTESIAN_POINT('',(47.575,46.25,0.)); #525539=CARTESIAN_POINT('',(47.575,46.25,-200.)); #525540=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #525541=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #525542=CARTESIAN_POINT('',(55.25,34.,-0.052500000000002)); #525543=CARTESIAN_POINT('Origin',(55.75,34.,-0.052500000000002)); #525544=CARTESIAN_POINT('',(55.25,34.,0.)); #525545=CARTESIAN_POINT('',(55.25,34.,-200.)); #525546=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #525547=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #525548=CARTESIAN_POINT('',(14.325,21.75,-0.052500000000002)); #525549=CARTESIAN_POINT('Origin',(14.5,21.75,-0.052500000000002)); #525550=CARTESIAN_POINT('',(14.325,21.75,0.)); #525551=CARTESIAN_POINT('',(14.325,21.75,-200.)); #525552=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #525553=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #525554=CARTESIAN_POINT('',(33.825,49.25,-0.052500000000002)); #525555=CARTESIAN_POINT('Origin',(34.,49.25,-0.052500000000002)); #525556=CARTESIAN_POINT('',(33.825,49.25,0.)); #525557=CARTESIAN_POINT('',(33.825,49.25,-200.)); #525558=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #525559=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #525560=CARTESIAN_POINT('',(14.325,21.,-0.052500000000002)); #525561=CARTESIAN_POINT('Origin',(14.5,21.,-0.052500000000002)); #525562=CARTESIAN_POINT('',(14.325,21.,0.)); #525563=CARTESIAN_POINT('',(14.325,21.,-200.)); #525564=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #525565=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #525566=CARTESIAN_POINT('',(35.325,17.75,-0.052500000000002)); #525567=CARTESIAN_POINT('Origin',(35.5,17.75,-0.052500000000002)); #525568=CARTESIAN_POINT('',(35.325,17.75,0.)); #525569=CARTESIAN_POINT('',(35.325,17.75,-200.)); #525570=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #525571=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #525572=CARTESIAN_POINT('',(15.825,20.25,-0.052500000000002)); #525573=CARTESIAN_POINT('Origin',(16.,20.25,-0.052500000000002)); #525574=CARTESIAN_POINT('',(15.825,20.25,0.)); #525575=CARTESIAN_POINT('',(15.825,20.25,-200.)); #525576=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #525577=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #525578=CARTESIAN_POINT('',(34.575,49.25,-0.052500000000002)); #525579=CARTESIAN_POINT('Origin',(34.75,49.25,-0.052500000000002)); #525580=CARTESIAN_POINT('',(34.575,49.25,0.)); #525581=CARTESIAN_POINT('',(34.575,49.25,-200.)); #525582=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #525583=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #525584=CARTESIAN_POINT('',(14.325,20.25,-0.052500000000002)); #525585=CARTESIAN_POINT('Origin',(14.5,20.25,-0.052500000000002)); #525586=CARTESIAN_POINT('',(14.325,20.25,0.)); #525587=CARTESIAN_POINT('',(14.325,20.25,-200.)); #525588=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #525589=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #525590=CARTESIAN_POINT('',(53.325,20.25,-0.052500000000002)); #525591=CARTESIAN_POINT('Origin',(53.5,20.25,-0.052500000000002)); #525592=CARTESIAN_POINT('',(53.325,20.25,0.)); #525593=CARTESIAN_POINT('',(53.325,20.25,-200.)); #525594=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #525595=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #525596=CARTESIAN_POINT('',(42.325,16.,-0.052500000000002)); #525597=CARTESIAN_POINT('Origin',(42.5,16.,-0.052500000000002)); #525598=CARTESIAN_POINT('',(42.325,16.,0.)); #525599=CARTESIAN_POINT('',(42.325,16.,-200.)); #525600=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #525601=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #525602=CARTESIAN_POINT('',(33.825,48.5,-0.052500000000002)); #525603=CARTESIAN_POINT('Origin',(34.,48.5,-0.052500000000002)); #525604=CARTESIAN_POINT('',(33.825,48.5,0.)); #525605=CARTESIAN_POINT('',(33.825,48.5,-200.)); #525606=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #525607=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #525608=CARTESIAN_POINT('',(39.075,18.25,-0.052500000000002)); #525609=CARTESIAN_POINT('Origin',(39.25,18.25,-0.052500000000002)); #525610=CARTESIAN_POINT('',(39.075,18.25,0.)); #525611=CARTESIAN_POINT('',(39.075,18.25,-200.)); #525612=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #525613=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #525614=CARTESIAN_POINT('',(35.325,18.5,-0.052500000000002)); #525615=CARTESIAN_POINT('Origin',(35.5,18.5,-0.052500000000002)); #525616=CARTESIAN_POINT('',(35.325,18.5,0.)); #525617=CARTESIAN_POINT('',(35.325,18.5,-200.)); #525618=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #525619=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #525620=CARTESIAN_POINT('',(41.825,36.,-0.052500000000002)); #525621=CARTESIAN_POINT('Origin',(42.,36.,-0.052500000000002)); #525622=CARTESIAN_POINT('',(41.825,36.,0.)); #525623=CARTESIAN_POINT('',(41.825,36.,-200.)); #525624=CARTESIAN_POINT('Origin',(42.,36.,0.)); #525625=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #525626=CARTESIAN_POINT('',(34.575,48.5,-0.052500000000002)); #525627=CARTESIAN_POINT('Origin',(34.75,48.5,-0.052500000000002)); #525628=CARTESIAN_POINT('',(34.575,48.5,0.)); #525629=CARTESIAN_POINT('',(34.575,48.5,-200.)); #525630=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #525631=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #525632=CARTESIAN_POINT('',(41.825,31.,-0.052500000000002)); #525633=CARTESIAN_POINT('Origin',(42.,31.,-0.052500000000002)); #525634=CARTESIAN_POINT('',(41.825,31.,0.)); #525635=CARTESIAN_POINT('',(41.825,31.,-200.)); #525636=CARTESIAN_POINT('Origin',(42.,31.,0.)); #525637=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #525638=CARTESIAN_POINT('',(23.7,34.,-0.052500000000002)); #525639=CARTESIAN_POINT('Origin',(24.25,34.,-0.052500000000002)); #525640=CARTESIAN_POINT('',(23.7,34.,0.)); #525641=CARTESIAN_POINT('',(23.7,34.,-200.)); #525642=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #525643=CARTESIAN_POINT('Origin',(34.375,29.625,-200.)); #525644=CARTESIAN_POINT('',(34.2,29.625,-0.052500000000002)); #525645=CARTESIAN_POINT('Origin',(34.375,29.625,-0.052500000000002)); #525646=CARTESIAN_POINT('',(34.2,29.625,0.)); #525647=CARTESIAN_POINT('',(34.2,29.625,-200.)); #525648=CARTESIAN_POINT('Origin',(34.375,29.625,0.)); #525649=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #525650=CARTESIAN_POINT('',(31.575,19.25,-0.052500000000002)); #525651=CARTESIAN_POINT('Origin',(31.75,19.25,-0.052500000000002)); #525652=CARTESIAN_POINT('',(31.575,19.25,0.)); #525653=CARTESIAN_POINT('',(31.575,19.25,-200.)); #525654=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #525655=CARTESIAN_POINT('Origin',(33.625,29.625,-200.)); #525656=CARTESIAN_POINT('',(33.45,29.625,-0.052500000000002)); #525657=CARTESIAN_POINT('Origin',(33.625,29.625,-0.052500000000002)); #525658=CARTESIAN_POINT('',(33.45,29.625,0.)); #525659=CARTESIAN_POINT('',(33.45,29.625,-200.)); #525660=CARTESIAN_POINT('Origin',(33.625,29.625,0.)); #525661=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #525662=CARTESIAN_POINT('',(33.075,17.75,-0.052500000000002)); #525663=CARTESIAN_POINT('Origin',(33.25,17.75,-0.052500000000002)); #525664=CARTESIAN_POINT('',(33.075,17.75,0.)); #525665=CARTESIAN_POINT('',(33.075,17.75,-200.)); #525666=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #525667=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #525668=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #525669=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #525670=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #525671=CARTESIAN_POINT('',(30.03,7.07999999062179,-0.052500000000002)); #525672=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #525673=CARTESIAN_POINT('',(30.03,6.27999997186536,-0.052500000000002)); #525674=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,-0.052500000000002)); #525675=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #525676=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #525677=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #525678=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #525679=CARTESIAN_POINT('',(29.33,6.28000000937821,-0.052500000000002)); #525680=CARTESIAN_POINT('Origin',(29.68,6.28,-0.052500000000002)); #525681=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #525682=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #525683=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #525684=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #525685=CARTESIAN_POINT('',(29.33,7.08000002813464,-0.052500000000002)); #525686=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,-0.052500000000002)); #525687=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #525688=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #525689=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #525690=CARTESIAN_POINT('Origin',(29.68,7.08,-0.052500000000002)); #525691=CARTESIAN_POINT('Origin',(34.375,28.875,-200.)); #525692=CARTESIAN_POINT('',(34.2,28.875,-0.052500000000002)); #525693=CARTESIAN_POINT('Origin',(34.375,28.875,-0.052500000000002)); #525694=CARTESIAN_POINT('',(34.2,28.875,0.)); #525695=CARTESIAN_POINT('',(34.2,28.875,-200.)); #525696=CARTESIAN_POINT('Origin',(34.375,28.875,0.)); #525697=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #525698=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #525699=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #525700=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #525701=CARTESIAN_POINT('',(38.67,7.07999999062179,-0.052500000000002)); #525702=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #525703=CARTESIAN_POINT('',(38.67,6.27999997186536,-0.052500000000002)); #525704=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,-0.052500000000002)); #525705=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #525706=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #525707=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #525708=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #525709=CARTESIAN_POINT('',(37.97,6.28000000937821,-0.052500000000002)); #525710=CARTESIAN_POINT('Origin',(38.32,6.28,-0.052500000000002)); #525711=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #525712=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #525713=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #525714=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #525715=CARTESIAN_POINT('',(37.97,7.08000002813464,-0.052500000000002)); #525716=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,-0.052500000000002)); #525717=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #525718=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #525719=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #525720=CARTESIAN_POINT('Origin',(38.32,7.08,-0.052500000000002)); #525721=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #525722=CARTESIAN_POINT('',(31.575,18.5,-0.052500000000002)); #525723=CARTESIAN_POINT('Origin',(31.75,18.5,-0.052500000000002)); #525724=CARTESIAN_POINT('',(31.575,18.5,0.)); #525725=CARTESIAN_POINT('',(31.575,18.5,-200.)); #525726=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #525727=CARTESIAN_POINT('Origin',(33.625,28.875,-200.)); #525728=CARTESIAN_POINT('',(33.45,28.875,-0.052500000000002)); #525729=CARTESIAN_POINT('Origin',(33.625,28.875,-0.052500000000002)); #525730=CARTESIAN_POINT('',(33.45,28.875,0.)); #525731=CARTESIAN_POINT('',(33.45,28.875,-200.)); #525732=CARTESIAN_POINT('Origin',(33.625,28.875,0.)); #525733=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #525734=CARTESIAN_POINT('',(51.825,20.25,-0.052500000000002)); #525735=CARTESIAN_POINT('Origin',(52.,20.25,-0.052500000000002)); #525736=CARTESIAN_POINT('',(51.825,20.25,0.)); #525737=CARTESIAN_POINT('',(51.825,20.25,-200.)); #525738=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #525739=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #525740=CARTESIAN_POINT('',(30.65,7.6,-0.052500000000002)); #525741=CARTESIAN_POINT('Origin',(30.8,7.6,-0.052500000000002)); #525742=CARTESIAN_POINT('',(30.65,7.6,0.)); #525743=CARTESIAN_POINT('',(30.65,7.6,-200.)); #525744=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #525745=CARTESIAN_POINT('Origin',(33.625,27.375,-200.)); #525746=CARTESIAN_POINT('',(33.45,27.375,-0.052500000000002)); #525747=CARTESIAN_POINT('Origin',(33.625,27.375,-0.052500000000002)); #525748=CARTESIAN_POINT('',(33.45,27.375,0.)); #525749=CARTESIAN_POINT('',(33.45,27.375,-200.)); #525750=CARTESIAN_POINT('Origin',(33.625,27.375,0.)); #525751=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #525752=CARTESIAN_POINT('',(30.65,7.1,-0.052500000000002)); #525753=CARTESIAN_POINT('Origin',(30.8,7.1,-0.052500000000002)); #525754=CARTESIAN_POINT('',(30.65,7.1,0.)); #525755=CARTESIAN_POINT('',(30.65,7.1,-200.)); #525756=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #525757=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #525758=CARTESIAN_POINT('',(31.575,17.,-0.052500000000002)); #525759=CARTESIAN_POINT('Origin',(31.75,17.,-0.052500000000002)); #525760=CARTESIAN_POINT('',(31.575,17.,0.)); #525761=CARTESIAN_POINT('',(31.575,17.,-200.)); #525762=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #525763=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #525764=CARTESIAN_POINT('',(37.05,7.1,-0.052500000000002)); #525765=CARTESIAN_POINT('Origin',(37.2,7.1,-0.052500000000002)); #525766=CARTESIAN_POINT('',(37.05,7.1,0.)); #525767=CARTESIAN_POINT('',(37.05,7.1,-200.)); #525768=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #525769=CARTESIAN_POINT('Origin',(34.375,27.375,-200.)); #525770=CARTESIAN_POINT('',(34.2,27.375,-0.052500000000002)); #525771=CARTESIAN_POINT('Origin',(34.375,27.375,-0.052500000000002)); #525772=CARTESIAN_POINT('',(34.2,27.375,0.)); #525773=CARTESIAN_POINT('',(34.2,27.375,-200.)); #525774=CARTESIAN_POINT('Origin',(34.375,27.375,0.)); #525775=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #525776=CARTESIAN_POINT('',(37.05,7.6,-0.052500000000002)); #525777=CARTESIAN_POINT('Origin',(37.2,7.6,-0.052500000000002)); #525778=CARTESIAN_POINT('',(37.05,7.6,0.)); #525779=CARTESIAN_POINT('',(37.05,7.6,-200.)); #525780=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #525781=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #525782=CARTESIAN_POINT('',(34.575,17.75,-0.052500000000002)); #525783=CARTESIAN_POINT('Origin',(34.75,17.75,-0.052500000000002)); #525784=CARTESIAN_POINT('',(34.575,17.75,0.)); #525785=CARTESIAN_POINT('',(34.575,17.75,-200.)); #525786=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #525787=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #525788=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #525789=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #525790=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #525791=CARTESIAN_POINT('',(28.8500000160769,34.6,-0.052500000000002)); #525792=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #525793=CARTESIAN_POINT('',(29.4500000482308,34.6,-0.052500000000002)); #525794=CARTESIAN_POINT('',(31.7250000241154,34.6,-0.052500000000002)); #525795=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #525796=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #525797=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #525798=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #525799=CARTESIAN_POINT('',(29.4499999839231,33.4,-0.052500000000002)); #525800=CARTESIAN_POINT('Origin',(29.45,34.,-0.052500000000002)); #525801=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #525802=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #525803=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #525804=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #525805=CARTESIAN_POINT('',(28.8499999517692,33.4,-0.052500000000002)); #525806=CARTESIAN_POINT('',(31.4249999758846,33.4,-0.052500000000002)); #525807=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #525808=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #525809=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #525810=CARTESIAN_POINT('Origin',(28.85,34.,-0.052500000000002)); #525811=CARTESIAN_POINT('Origin',(33.625,28.125,-200.)); #525812=CARTESIAN_POINT('',(33.45,28.125,-0.052500000000002)); #525813=CARTESIAN_POINT('Origin',(33.625,28.125,-0.052500000000002)); #525814=CARTESIAN_POINT('',(33.45,28.125,0.)); #525815=CARTESIAN_POINT('',(33.45,28.125,-200.)); #525816=CARTESIAN_POINT('Origin',(33.625,28.125,0.)); #525817=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #525818=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #525819=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #525820=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #525821=CARTESIAN_POINT('',(38.5500000160769,34.6,-0.052500000000002)); #525822=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #525823=CARTESIAN_POINT('',(39.1500000482308,34.6,-0.052500000000002)); #525824=CARTESIAN_POINT('',(36.5750000241154,34.6,-0.052500000000002)); #525825=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #525826=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #525827=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #525828=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #525829=CARTESIAN_POINT('',(39.1499999839231,33.4,-0.052500000000002)); #525830=CARTESIAN_POINT('Origin',(39.15,34.,-0.052500000000002)); #525831=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #525832=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #525833=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #525834=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #525835=CARTESIAN_POINT('',(38.5499999517692,33.4,-0.052500000000002)); #525836=CARTESIAN_POINT('',(36.2749999758846,33.4,-0.052500000000002)); #525837=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #525838=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #525839=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #525840=CARTESIAN_POINT('Origin',(38.55,34.,-0.052500000000002)); #525841=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #525842=CARTESIAN_POINT('',(31.575,17.75,-0.052500000000002)); #525843=CARTESIAN_POINT('Origin',(31.75,17.75,-0.052500000000002)); #525844=CARTESIAN_POINT('',(31.575,17.75,0.)); #525845=CARTESIAN_POINT('',(31.575,17.75,-200.)); #525846=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #525847=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #525848=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #525849=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #525850=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #525851=CARTESIAN_POINT('',(30.03,2.89999999062179,-0.052500000000002)); #525852=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #525853=CARTESIAN_POINT('',(30.03,2.09999997186536,-0.052500000000002)); #525854=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,-0.052500000000002)); #525855=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #525856=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #525857=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #525858=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #525859=CARTESIAN_POINT('',(29.33,2.10000000937821,-0.052500000000002)); #525860=CARTESIAN_POINT('Origin',(29.68,2.1,-0.052500000000002)); #525861=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #525862=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #525863=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #525864=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #525865=CARTESIAN_POINT('',(29.33,2.90000002813464,-0.052500000000002)); #525866=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,-0.052500000000002)); #525867=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #525868=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #525869=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #525870=CARTESIAN_POINT('Origin',(29.68,2.9,-0.052500000000002)); #525871=CARTESIAN_POINT('Origin',(34.375,28.125,-200.)); #525872=CARTESIAN_POINT('',(34.2,28.125,-0.052500000000002)); #525873=CARTESIAN_POINT('Origin',(34.375,28.125,-0.052500000000002)); #525874=CARTESIAN_POINT('',(34.2,28.125,0.)); #525875=CARTESIAN_POINT('',(34.2,28.125,-200.)); #525876=CARTESIAN_POINT('Origin',(34.375,28.125,0.)); #525877=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #525878=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #525879=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #525880=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #525881=CARTESIAN_POINT('',(38.67,2.89999999062179,-0.052500000000002)); #525882=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #525883=CARTESIAN_POINT('',(38.67,2.09999997186536,-0.052500000000002)); #525884=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,-0.052500000000002)); #525885=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #525886=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #525887=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #525888=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #525889=CARTESIAN_POINT('',(37.97,2.10000000937821,-0.052500000000002)); #525890=CARTESIAN_POINT('Origin',(38.32,2.1,-0.052500000000002)); #525891=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #525892=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #525893=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #525894=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #525895=CARTESIAN_POINT('',(37.97,2.90000002813464,-0.052500000000002)); #525896=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,-0.052500000000002)); #525897=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #525898=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #525899=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #525900=CARTESIAN_POINT('Origin',(38.32,2.9,-0.052500000000002)); #525901=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #525902=CARTESIAN_POINT('',(51.75,34.,-0.052500000000002)); #525903=CARTESIAN_POINT('Origin',(52.25,34.,-0.052500000000002)); #525904=CARTESIAN_POINT('',(51.75,34.,0.)); #525905=CARTESIAN_POINT('',(51.75,34.,-200.)); #525906=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #525907=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #525908=CARTESIAN_POINT('',(15.075,21.,-0.052500000000002)); #525909=CARTESIAN_POINT('Origin',(15.25,21.,-0.052500000000002)); #525910=CARTESIAN_POINT('',(15.075,21.,0.)); #525911=CARTESIAN_POINT('',(15.075,21.,-200.)); #525912=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #525913=CARTESIAN_POINT('Origin',(29.238,63.5,-200.)); #525914=CARTESIAN_POINT('',(29.063,63.5,-0.052500000000002)); #525915=CARTESIAN_POINT('Origin',(29.238,63.5,-0.052500000000002)); #525916=CARTESIAN_POINT('',(29.063,63.5,0.)); #525917=CARTESIAN_POINT('',(29.063,63.5,-200.)); #525918=CARTESIAN_POINT('Origin',(29.238,63.5,0.)); #525919=CARTESIAN_POINT('Origin',(33.625,26.625,-200.)); #525920=CARTESIAN_POINT('',(33.45,26.625,-0.052500000000002)); #525921=CARTESIAN_POINT('Origin',(33.625,26.625,-0.052500000000002)); #525922=CARTESIAN_POINT('',(33.45,26.625,0.)); #525923=CARTESIAN_POINT('',(33.45,26.625,-200.)); #525924=CARTESIAN_POINT('Origin',(33.625,26.625,0.)); #525925=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #525926=CARTESIAN_POINT('',(33.075,16.25,-0.052500000000002)); #525927=CARTESIAN_POINT('Origin',(33.25,16.25,-0.052500000000002)); #525928=CARTESIAN_POINT('',(33.075,16.25,0.)); #525929=CARTESIAN_POINT('',(33.075,16.25,-200.)); #525930=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #525931=CARTESIAN_POINT('Origin',(34.375,30.375,-200.)); #525932=CARTESIAN_POINT('',(34.2,30.375,-0.052500000000002)); #525933=CARTESIAN_POINT('Origin',(34.375,30.375,-0.052500000000002)); #525934=CARTESIAN_POINT('',(34.2,30.375,0.)); #525935=CARTESIAN_POINT('',(34.2,30.375,-200.)); #525936=CARTESIAN_POINT('Origin',(34.375,30.375,0.)); #525937=CARTESIAN_POINT('Origin',(49.875,39.625,-200.)); #525938=CARTESIAN_POINT('',(49.7,39.625,-0.052500000000002)); #525939=CARTESIAN_POINT('Origin',(49.875,39.625,-0.052500000000002)); #525940=CARTESIAN_POINT('',(49.7,39.625,0.)); #525941=CARTESIAN_POINT('',(49.7,39.625,-200.)); #525942=CARTESIAN_POINT('Origin',(49.875,39.625,0.)); #525943=CARTESIAN_POINT('Origin',(33.625,30.375,-200.)); #525944=CARTESIAN_POINT('',(33.45,30.375,-0.052500000000002)); #525945=CARTESIAN_POINT('Origin',(33.625,30.375,-0.052500000000002)); #525946=CARTESIAN_POINT('',(33.45,30.375,0.)); #525947=CARTESIAN_POINT('',(33.45,30.375,-200.)); #525948=CARTESIAN_POINT('Origin',(33.625,30.375,0.)); #525949=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #525950=CARTESIAN_POINT('',(52.575,20.25,-0.052500000000002)); #525951=CARTESIAN_POINT('Origin',(52.75,20.25,-0.052500000000002)); #525952=CARTESIAN_POINT('',(52.575,20.25,0.)); #525953=CARTESIAN_POINT('',(52.575,20.25,-200.)); #525954=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #525955=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #525956=CARTESIAN_POINT('',(15.075,20.25,-0.052500000000002)); #525957=CARTESIAN_POINT('Origin',(15.25,20.25,-0.052500000000002)); #525958=CARTESIAN_POINT('',(15.075,20.25,0.)); #525959=CARTESIAN_POINT('',(15.075,20.25,-200.)); #525960=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #525961=CARTESIAN_POINT('Origin',(32.349,63.5,-200.)); #525962=CARTESIAN_POINT('',(32.174,63.5,-0.052500000000002)); #525963=CARTESIAN_POINT('Origin',(32.349,63.5,-0.052500000000002)); #525964=CARTESIAN_POINT('',(32.174,63.5,0.)); #525965=CARTESIAN_POINT('',(32.174,63.5,-200.)); #525966=CARTESIAN_POINT('Origin',(32.349,63.5,0.)); #525967=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #525968=CARTESIAN_POINT('',(15.075,21.75,-0.052500000000002)); #525969=CARTESIAN_POINT('Origin',(15.25,21.75,-0.052500000000002)); #525970=CARTESIAN_POINT('',(15.075,21.75,0.)); #525971=CARTESIAN_POINT('',(15.075,21.75,-200.)); #525972=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #525973=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #525974=CARTESIAN_POINT('',(34.575,16.25,-0.052500000000002)); #525975=CARTESIAN_POINT('Origin',(34.75,16.25,-0.052500000000002)); #525976=CARTESIAN_POINT('',(34.575,16.25,0.)); #525977=CARTESIAN_POINT('',(34.575,16.25,-200.)); #525978=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #525979=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #525980=CARTESIAN_POINT('',(15.825,21.75,-0.052500000000002)); #525981=CARTESIAN_POINT('Origin',(16.,21.75,-0.052500000000002)); #525982=CARTESIAN_POINT('',(15.825,21.75,0.)); #525983=CARTESIAN_POINT('',(15.825,21.75,-200.)); #525984=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #525985=CARTESIAN_POINT('Origin',(32.349,54.5,-200.)); #525986=CARTESIAN_POINT('',(32.174,54.5,-0.052500000000002)); #525987=CARTESIAN_POINT('Origin',(32.349,54.5,-0.052500000000002)); #525988=CARTESIAN_POINT('',(32.174,54.5,0.)); #525989=CARTESIAN_POINT('',(32.174,54.5,-200.)); #525990=CARTESIAN_POINT('Origin',(32.349,54.5,0.)); #525991=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #525992=CARTESIAN_POINT('',(15.825,21.,-0.052500000000002)); #525993=CARTESIAN_POINT('Origin',(16.,21.,-0.052500000000002)); #525994=CARTESIAN_POINT('',(15.825,21.,0.)); #525995=CARTESIAN_POINT('',(15.825,21.,-200.)); #525996=CARTESIAN_POINT('Origin',(16.,21.,0.)); #525997=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #525998=CARTESIAN_POINT('',(34.67,45.,-0.052500000000002)); #525999=CARTESIAN_POINT('Origin',(35.27,45.,-0.052500000000002)); #526000=CARTESIAN_POINT('',(34.67,45.,0.)); #526001=CARTESIAN_POINT('',(34.67,45.,-200.)); #526002=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #526003=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #526004=CARTESIAN_POINT('',(26.575,31.,-0.052500000000002)); #526005=CARTESIAN_POINT('Origin',(26.75,31.,-0.052500000000002)); #526006=CARTESIAN_POINT('',(26.575,31.,0.)); #526007=CARTESIAN_POINT('',(26.575,31.,-200.)); #526008=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #526009=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #526010=CARTESIAN_POINT('',(9.325,34.,-0.052500000000002)); #526011=CARTESIAN_POINT('Origin',(9.5,34.,-0.052500000000002)); #526012=CARTESIAN_POINT('',(9.325,34.,0.)); #526013=CARTESIAN_POINT('',(9.325,34.,-200.)); #526014=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #526015=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #526016=CARTESIAN_POINT('',(26.575,36.,-0.052500000000002)); #526017=CARTESIAN_POINT('Origin',(26.75,36.,-0.052500000000002)); #526018=CARTESIAN_POINT('',(26.575,36.,0.)); #526019=CARTESIAN_POINT('',(26.575,36.,-200.)); #526020=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #526021=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #526022=CARTESIAN_POINT('',(36.075,14.75,-0.052500000000002)); #526023=CARTESIAN_POINT('Origin',(36.25,14.75,-0.052500000000002)); #526024=CARTESIAN_POINT('',(36.075,14.75,0.)); #526025=CARTESIAN_POINT('',(36.075,14.75,-200.)); #526026=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #526027=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #526028=CARTESIAN_POINT('',(16.45,47.,-0.052500000000002)); #526029=CARTESIAN_POINT('Origin',(16.625,47.,-0.052500000000002)); #526030=CARTESIAN_POINT('',(16.45,47.,0.)); #526031=CARTESIAN_POINT('',(16.45,47.,-200.)); #526032=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #526033=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #526034=CARTESIAN_POINT('',(10.075,34.,-0.052500000000002)); #526035=CARTESIAN_POINT('Origin',(10.25,34.,-0.052500000000002)); #526036=CARTESIAN_POINT('',(10.075,34.,0.)); #526037=CARTESIAN_POINT('',(10.075,34.,-200.)); #526038=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #526039=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #526040=CARTESIAN_POINT('',(16.45,46.25,-0.052500000000002)); #526041=CARTESIAN_POINT('Origin',(16.625,46.25,-0.052500000000002)); #526042=CARTESIAN_POINT('',(16.45,46.25,0.)); #526043=CARTESIAN_POINT('',(16.45,46.25,-200.)); #526044=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #526045=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #526046=CARTESIAN_POINT('',(33.825,17.,-0.052500000000002)); #526047=CARTESIAN_POINT('Origin',(34.,17.,-0.052500000000002)); #526048=CARTESIAN_POINT('',(33.825,17.,0.)); #526049=CARTESIAN_POINT('',(33.825,17.,-200.)); #526050=CARTESIAN_POINT('Origin',(34.,17.,0.)); #526051=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #526052=CARTESIAN_POINT('',(15.575,47.,-0.052500000000002)); #526053=CARTESIAN_POINT('Origin',(15.75,47.,-0.052500000000002)); #526054=CARTESIAN_POINT('',(15.575,47.,0.)); #526055=CARTESIAN_POINT('',(15.575,47.,-200.)); #526056=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #526057=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #526058=CARTESIAN_POINT('',(57.575,34.,-0.052500000000002)); #526059=CARTESIAN_POINT('Origin',(57.75,34.,-0.052500000000002)); #526060=CARTESIAN_POINT('',(57.575,34.,0.)); #526061=CARTESIAN_POINT('',(57.575,34.,-200.)); #526062=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #526063=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #526064=CARTESIAN_POINT('',(15.575,46.25,-0.052500000000002)); #526065=CARTESIAN_POINT('Origin',(15.75,46.25,-0.052500000000002)); #526066=CARTESIAN_POINT('',(15.575,46.25,0.)); #526067=CARTESIAN_POINT('',(15.575,46.25,-200.)); #526068=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #526069=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #526070=CARTESIAN_POINT('',(36.075,15.5,-0.052500000000002)); #526071=CARTESIAN_POINT('Origin',(36.25,15.5,-0.052500000000002)); #526072=CARTESIAN_POINT('',(36.075,15.5,0.)); #526073=CARTESIAN_POINT('',(36.075,15.5,-200.)); #526074=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #526075=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #526076=CARTESIAN_POINT('',(15.575,47.75,-0.052500000000002)); #526077=CARTESIAN_POINT('Origin',(15.75,47.75,-0.052500000000002)); #526078=CARTESIAN_POINT('',(15.575,47.75,0.)); #526079=CARTESIAN_POINT('',(15.575,47.75,-200.)); #526080=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #526081=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #526082=CARTESIAN_POINT('',(57.575,34.75,-0.052500000000002)); #526083=CARTESIAN_POINT('Origin',(57.75,34.75,-0.052500000000002)); #526084=CARTESIAN_POINT('',(57.575,34.75,0.)); #526085=CARTESIAN_POINT('',(57.575,34.75,-200.)); #526086=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #526087=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #526088=CARTESIAN_POINT('',(16.45,47.75,-0.052500000000002)); #526089=CARTESIAN_POINT('Origin',(16.625,47.75,-0.052500000000002)); #526090=CARTESIAN_POINT('',(16.45,47.75,0.)); #526091=CARTESIAN_POINT('',(16.45,47.75,-200.)); #526092=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #526093=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #526094=CARTESIAN_POINT('',(36.,27.75,-0.052500000000002)); #526095=CARTESIAN_POINT('Origin',(36.5,27.75,-0.052500000000002)); #526096=CARTESIAN_POINT('',(36.,27.75,0.)); #526097=CARTESIAN_POINT('',(36.,27.75,-200.)); #526098=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #526099=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #526100=CARTESIAN_POINT('',(19.2,47.,-0.052500000000002)); #526101=CARTESIAN_POINT('Origin',(19.375,47.,-0.052500000000002)); #526102=CARTESIAN_POINT('',(19.2,47.,0.)); #526103=CARTESIAN_POINT('',(19.2,47.,-200.)); #526104=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #526105=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #526106=CARTESIAN_POINT('',(61.825,34.,-0.052500000000002)); #526107=CARTESIAN_POINT('Origin',(62.,34.,-0.052500000000002)); #526108=CARTESIAN_POINT('',(61.825,34.,0.)); #526109=CARTESIAN_POINT('',(61.825,34.,-200.)); #526110=CARTESIAN_POINT('Origin',(62.,34.,0.)); #526111=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #526112=CARTESIAN_POINT('',(19.2,47.75,-0.052500000000002)); #526113=CARTESIAN_POINT('Origin',(19.375,47.75,-0.052500000000002)); #526114=CARTESIAN_POINT('',(19.2,47.75,0.)); #526115=CARTESIAN_POINT('',(19.2,47.75,-200.)); #526116=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #526117=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #526118=CARTESIAN_POINT('',(36.075,17.,-0.052500000000002)); #526119=CARTESIAN_POINT('Origin',(36.25,17.,-0.052500000000002)); #526120=CARTESIAN_POINT('',(36.075,17.,0.)); #526121=CARTESIAN_POINT('',(36.075,17.,-200.)); #526122=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #526123=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #526124=CARTESIAN_POINT('',(22.7,47.,-0.052500000000002)); #526125=CARTESIAN_POINT('Origin',(22.875,47.,-0.052500000000002)); #526126=CARTESIAN_POINT('',(22.7,47.,0.)); #526127=CARTESIAN_POINT('',(22.7,47.,-200.)); #526128=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #526129=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #526130=CARTESIAN_POINT('',(61.825,34.75,-0.052500000000002)); #526131=CARTESIAN_POINT('Origin',(62.,34.75,-0.052500000000002)); #526132=CARTESIAN_POINT('',(61.825,34.75,0.)); #526133=CARTESIAN_POINT('',(61.825,34.75,-200.)); #526134=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #526135=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #526136=CARTESIAN_POINT('',(22.7,47.75,-0.052500000000002)); #526137=CARTESIAN_POINT('Origin',(22.875,47.75,-0.052500000000002)); #526138=CARTESIAN_POINT('',(22.7,47.75,0.)); #526139=CARTESIAN_POINT('',(22.7,47.75,-200.)); #526140=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #526141=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #526142=CARTESIAN_POINT('',(37.075,8.75,-0.052500000000002)); #526143=CARTESIAN_POINT('Origin',(37.25,8.75,-0.052500000000002)); #526144=CARTESIAN_POINT('',(37.075,8.75,0.)); #526145=CARTESIAN_POINT('',(37.075,8.75,-200.)); #526146=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #526147=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #526148=CARTESIAN_POINT('',(17.325,47.75,-0.052500000000002)); #526149=CARTESIAN_POINT('Origin',(17.5,47.75,-0.052500000000002)); #526150=CARTESIAN_POINT('',(17.325,47.75,0.)); #526151=CARTESIAN_POINT('',(17.325,47.75,-200.)); #526152=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #526153=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #526154=CARTESIAN_POINT('',(61.825,33.25,-0.052500000000002)); #526155=CARTESIAN_POINT('Origin',(62.,33.25,-0.052500000000002)); #526156=CARTESIAN_POINT('',(61.825,33.25,0.)); #526157=CARTESIAN_POINT('',(61.825,33.25,-200.)); #526158=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #526159=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #526160=CARTESIAN_POINT('',(19.2,46.25,-0.052500000000002)); #526161=CARTESIAN_POINT('Origin',(19.375,46.25,-0.052500000000002)); #526162=CARTESIAN_POINT('',(19.2,46.25,0.)); #526163=CARTESIAN_POINT('',(19.2,46.25,-200.)); #526164=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #526165=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #526166=CARTESIAN_POINT('',(36.075,16.25,-0.052500000000002)); #526167=CARTESIAN_POINT('Origin',(36.25,16.25,-0.052500000000002)); #526168=CARTESIAN_POINT('',(36.075,16.25,0.)); #526169=CARTESIAN_POINT('',(36.075,16.25,-200.)); #526170=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #526171=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #526172=CARTESIAN_POINT('',(17.325,47.,-0.052500000000002)); #526173=CARTESIAN_POINT('Origin',(17.5,47.,-0.052500000000002)); #526174=CARTESIAN_POINT('',(17.325,47.,0.)); #526175=CARTESIAN_POINT('',(17.325,47.,-200.)); #526176=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #526177=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #526178=CARTESIAN_POINT('',(57.575,33.25,-0.052500000000002)); #526179=CARTESIAN_POINT('Origin',(57.75,33.25,-0.052500000000002)); #526180=CARTESIAN_POINT('',(57.575,33.25,0.)); #526181=CARTESIAN_POINT('',(57.575,33.25,-200.)); #526182=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #526183=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #526184=CARTESIAN_POINT('',(17.325,46.25,-0.052500000000002)); #526185=CARTESIAN_POINT('Origin',(17.5,46.25,-0.052500000000002)); #526186=CARTESIAN_POINT('',(17.325,46.25,0.)); #526187=CARTESIAN_POINT('',(17.325,46.25,-200.)); #526188=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #526189=CARTESIAN_POINT('Origin',(46.27,32.73,-200.)); #526190=CARTESIAN_POINT('',(45.762,32.73,-0.052500000000002)); #526191=CARTESIAN_POINT('Origin',(46.27,32.73,-0.052500000000002)); #526192=CARTESIAN_POINT('',(45.762,32.73,0.)); #526193=CARTESIAN_POINT('',(45.762,32.73,-200.)); #526194=CARTESIAN_POINT('Origin',(46.27,32.73,0.)); #526195=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #526196=CARTESIAN_POINT('',(21.825,46.25,-0.052500000000002)); #526197=CARTESIAN_POINT('Origin',(22.,46.25,-0.052500000000002)); #526198=CARTESIAN_POINT('',(21.825,46.25,0.)); #526199=CARTESIAN_POINT('',(21.825,46.25,-200.)); #526200=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #526201=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #526202=CARTESIAN_POINT('',(58.325,34.75,-0.052500000000002)); #526203=CARTESIAN_POINT('Origin',(58.5,34.75,-0.052500000000002)); #526204=CARTESIAN_POINT('',(58.325,34.75,0.)); #526205=CARTESIAN_POINT('',(58.325,34.75,-200.)); #526206=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #526207=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #526208=CARTESIAN_POINT('',(23.575,46.25,-0.052500000000002)); #526209=CARTESIAN_POINT('Origin',(23.75,46.25,-0.052500000000002)); #526210=CARTESIAN_POINT('',(23.575,46.25,0.)); #526211=CARTESIAN_POINT('',(23.575,46.25,-200.)); #526212=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #526213=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #526214=CARTESIAN_POINT('',(36.075,19.25,-0.052500000000002)); #526215=CARTESIAN_POINT('Origin',(36.25,19.25,-0.052500000000002)); #526216=CARTESIAN_POINT('',(36.075,19.25,0.)); #526217=CARTESIAN_POINT('',(36.075,19.25,-200.)); #526218=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #526219=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #526220=CARTESIAN_POINT('',(20.075,47.75,-0.052500000000002)); #526221=CARTESIAN_POINT('Origin',(20.25,47.75,-0.052500000000002)); #526222=CARTESIAN_POINT('',(20.075,47.75,0.)); #526223=CARTESIAN_POINT('',(20.075,47.75,-200.)); #526224=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #526225=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #526226=CARTESIAN_POINT('',(58.325,34.,-0.052500000000002)); #526227=CARTESIAN_POINT('Origin',(58.5,34.,-0.052500000000002)); #526228=CARTESIAN_POINT('',(58.325,34.,0.)); #526229=CARTESIAN_POINT('',(58.325,34.,-200.)); #526230=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #526231=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #526232=CARTESIAN_POINT('',(23.575,47.75,-0.052500000000002)); #526233=CARTESIAN_POINT('Origin',(23.75,47.75,-0.052500000000002)); #526234=CARTESIAN_POINT('',(23.575,47.75,0.)); #526235=CARTESIAN_POINT('',(23.575,47.75,-200.)); #526236=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #526237=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #526238=CARTESIAN_POINT('',(36.325,9.5,-0.052500000000002)); #526239=CARTESIAN_POINT('Origin',(36.5,9.5,-0.052500000000002)); #526240=CARTESIAN_POINT('',(36.325,9.5,0.)); #526241=CARTESIAN_POINT('',(36.325,9.5,-200.)); #526242=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #526243=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #526244=CARTESIAN_POINT('',(18.325,46.25,-0.052500000000002)); #526245=CARTESIAN_POINT('Origin',(18.5,46.25,-0.052500000000002)); #526246=CARTESIAN_POINT('',(18.325,46.25,0.)); #526247=CARTESIAN_POINT('',(18.325,46.25,-200.)); #526248=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #526249=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #526250=CARTESIAN_POINT('',(13.325,21.,-0.052500000000002)); #526251=CARTESIAN_POINT('Origin',(13.5,21.,-0.052500000000002)); #526252=CARTESIAN_POINT('',(13.325,21.,0.)); #526253=CARTESIAN_POINT('',(13.325,21.,-200.)); #526254=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #526255=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #526256=CARTESIAN_POINT('',(18.325,47.,-0.052500000000002)); #526257=CARTESIAN_POINT('Origin',(18.5,47.,-0.052500000000002)); #526258=CARTESIAN_POINT('',(18.325,47.,0.)); #526259=CARTESIAN_POINT('',(18.325,47.,-200.)); #526260=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #526261=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #526262=CARTESIAN_POINT('',(35.325,19.25,-0.052500000000002)); #526263=CARTESIAN_POINT('Origin',(35.5,19.25,-0.052500000000002)); #526264=CARTESIAN_POINT('',(35.325,19.25,0.)); #526265=CARTESIAN_POINT('',(35.325,19.25,-200.)); #526266=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #526267=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #526268=CARTESIAN_POINT('',(18.325,47.75,-0.052500000000002)); #526269=CARTESIAN_POINT('Origin',(18.5,47.75,-0.052500000000002)); #526270=CARTESIAN_POINT('',(18.325,47.75,0.)); #526271=CARTESIAN_POINT('',(18.325,47.75,-200.)); #526272=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #526273=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #526274=CARTESIAN_POINT('',(13.325,20.25,-0.052500000000002)); #526275=CARTESIAN_POINT('Origin',(13.5,20.25,-0.052500000000002)); #526276=CARTESIAN_POINT('',(13.325,20.25,0.)); #526277=CARTESIAN_POINT('',(13.325,20.25,-200.)); #526278=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #526279=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #526280=CARTESIAN_POINT('',(20.075,46.25,-0.052500000000002)); #526281=CARTESIAN_POINT('Origin',(20.25,46.25,-0.052500000000002)); #526282=CARTESIAN_POINT('',(20.075,46.25,0.)); #526283=CARTESIAN_POINT('',(20.075,46.25,-200.)); #526284=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #526285=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #526286=CARTESIAN_POINT('',(31.,25.25,-0.052500000000002)); #526287=CARTESIAN_POINT('Origin',(31.5,25.25,-0.052500000000002)); #526288=CARTESIAN_POINT('',(31.,25.25,0.)); #526289=CARTESIAN_POINT('',(31.,25.25,-200.)); #526290=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #526291=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #526292=CARTESIAN_POINT('',(21.825,47.75,-0.052500000000002)); #526293=CARTESIAN_POINT('Origin',(22.,47.75,-0.052500000000002)); #526294=CARTESIAN_POINT('',(21.825,47.75,0.)); #526295=CARTESIAN_POINT('',(21.825,47.75,-200.)); #526296=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #526297=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #526298=CARTESIAN_POINT('',(61.075,33.25,-0.052500000000002)); #526299=CARTESIAN_POINT('Origin',(61.25,33.25,-0.052500000000002)); #526300=CARTESIAN_POINT('',(61.075,33.25,0.)); #526301=CARTESIAN_POINT('',(61.075,33.25,-200.)); #526302=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #526303=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #526304=CARTESIAN_POINT('',(21.825,47.,-0.052500000000002)); #526305=CARTESIAN_POINT('Origin',(22.,47.,-0.052500000000002)); #526306=CARTESIAN_POINT('',(21.825,47.,0.)); #526307=CARTESIAN_POINT('',(21.825,47.,-200.)); #526308=CARTESIAN_POINT('Origin',(22.,47.,0.)); #526309=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #526310=CARTESIAN_POINT('',(36.075,18.5,-0.052500000000002)); #526311=CARTESIAN_POINT('Origin',(36.25,18.5,-0.052500000000002)); #526312=CARTESIAN_POINT('',(36.075,18.5,0.)); #526313=CARTESIAN_POINT('',(36.075,18.5,-200.)); #526314=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #526315=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #526316=CARTESIAN_POINT('',(20.075,47.,-0.052500000000002)); #526317=CARTESIAN_POINT('Origin',(20.25,47.,-0.052500000000002)); #526318=CARTESIAN_POINT('',(20.075,47.,0.)); #526319=CARTESIAN_POINT('',(20.075,47.,-200.)); #526320=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #526321=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #526322=CARTESIAN_POINT('',(58.325,33.25,-0.052500000000002)); #526323=CARTESIAN_POINT('Origin',(58.5,33.25,-0.052500000000002)); #526324=CARTESIAN_POINT('',(58.325,33.25,0.)); #526325=CARTESIAN_POINT('',(58.325,33.25,-200.)); #526326=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #526327=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #526328=CARTESIAN_POINT('',(23.575,47.,-0.052500000000002)); #526329=CARTESIAN_POINT('Origin',(23.75,47.,-0.052500000000002)); #526330=CARTESIAN_POINT('',(23.575,47.,0.)); #526331=CARTESIAN_POINT('',(23.575,47.,-200.)); #526332=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #526333=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #526334=CARTESIAN_POINT('',(37.075,9.5,-0.052500000000002)); #526335=CARTESIAN_POINT('Origin',(37.25,9.5,-0.052500000000002)); #526336=CARTESIAN_POINT('',(37.075,9.5,0.)); #526337=CARTESIAN_POINT('',(37.075,9.5,-200.)); #526338=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #526339=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #526340=CARTESIAN_POINT('',(20.95,47.,-0.052500000000002)); #526341=CARTESIAN_POINT('Origin',(21.125,47.,-0.052500000000002)); #526342=CARTESIAN_POINT('',(20.95,47.,0.)); #526343=CARTESIAN_POINT('',(20.95,47.,-200.)); #526344=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #526345=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #526346=CARTESIAN_POINT('',(61.075,34.,-0.052500000000002)); #526347=CARTESIAN_POINT('Origin',(61.25,34.,-0.052500000000002)); #526348=CARTESIAN_POINT('',(61.075,34.,0.)); #526349=CARTESIAN_POINT('',(61.075,34.,-200.)); #526350=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #526351=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #526352=CARTESIAN_POINT('',(20.95,47.75,-0.052500000000002)); #526353=CARTESIAN_POINT('Origin',(21.125,47.75,-0.052500000000002)); #526354=CARTESIAN_POINT('',(20.95,47.75,0.)); #526355=CARTESIAN_POINT('',(20.95,47.75,-200.)); #526356=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #526357=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #526358=CARTESIAN_POINT('',(36.075,17.75,-0.052500000000002)); #526359=CARTESIAN_POINT('Origin',(36.25,17.75,-0.052500000000002)); #526360=CARTESIAN_POINT('',(36.075,17.75,0.)); #526361=CARTESIAN_POINT('',(36.075,17.75,-200.)); #526362=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #526363=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #526364=CARTESIAN_POINT('',(20.95,46.25,-0.052500000000002)); #526365=CARTESIAN_POINT('Origin',(21.125,46.25,-0.052500000000002)); #526366=CARTESIAN_POINT('',(20.95,46.25,0.)); #526367=CARTESIAN_POINT('',(20.95,46.25,-200.)); #526368=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #526369=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #526370=CARTESIAN_POINT('',(61.075,34.75,-0.052500000000002)); #526371=CARTESIAN_POINT('Origin',(61.25,34.75,-0.052500000000002)); #526372=CARTESIAN_POINT('',(61.075,34.75,0.)); #526373=CARTESIAN_POINT('',(61.075,34.75,-200.)); #526374=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #526375=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #526376=CARTESIAN_POINT('',(22.7,46.25,-0.052500000000002)); #526377=CARTESIAN_POINT('Origin',(22.875,46.25,-0.052500000000002)); #526378=CARTESIAN_POINT('',(22.7,46.25,0.)); #526379=CARTESIAN_POINT('',(22.7,46.25,-200.)); #526380=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #526381=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #526382=CARTESIAN_POINT('',(21.2,34.,-0.052500000000002)); #526383=CARTESIAN_POINT('Origin',(21.75,34.,-0.052500000000002)); #526384=CARTESIAN_POINT('',(21.2,34.,0.)); #526385=CARTESIAN_POINT('',(21.2,34.,-200.)); #526386=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #526387=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #526388=CARTESIAN_POINT('',(33.8875,12.25,-0.052500000000002)); #526389=CARTESIAN_POINT('Origin',(34.0625,12.25,-0.052500000000002)); #526390=CARTESIAN_POINT('',(33.8875,12.25,0.)); #526391=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #526392=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #526393=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #526394=CARTESIAN_POINT('',(6.325,33.25,-0.052500000000002)); #526395=CARTESIAN_POINT('Origin',(6.5,33.25,-0.052500000000002)); #526396=CARTESIAN_POINT('',(6.325,33.25,0.)); #526397=CARTESIAN_POINT('',(6.325,33.25,-200.)); #526398=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #526399=CARTESIAN_POINT('Origin',(34.75,56.5,-200.)); #526400=CARTESIAN_POINT('',(34.575,56.5,-0.052500000000002)); #526401=CARTESIAN_POINT('Origin',(34.75,56.5,-0.052500000000002)); #526402=CARTESIAN_POINT('',(34.575,56.5,0.)); #526403=CARTESIAN_POINT('',(34.575,56.5,-200.)); #526404=CARTESIAN_POINT('Origin',(34.75,56.5,0.)); #526405=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #526406=CARTESIAN_POINT('',(33.075,14.75,-0.052500000000002)); #526407=CARTESIAN_POINT('Origin',(33.25,14.75,-0.052500000000002)); #526408=CARTESIAN_POINT('',(33.075,14.75,0.)); #526409=CARTESIAN_POINT('',(33.075,14.75,-200.)); #526410=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #526411=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #526412=CARTESIAN_POINT('',(41.075,13.0029,-0.052500000000002)); #526413=CARTESIAN_POINT('Origin',(41.25,13.0029,-0.052500000000002)); #526414=CARTESIAN_POINT('',(41.075,13.0029,0.)); #526415=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #526416=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #526417=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #526418=CARTESIAN_POINT('',(7.075,33.25,-0.052500000000002)); #526419=CARTESIAN_POINT('Origin',(7.25,33.25,-0.052500000000002)); #526420=CARTESIAN_POINT('',(7.075,33.25,0.)); #526421=CARTESIAN_POINT('',(7.075,33.25,-200.)); #526422=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #526423=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #526424=CARTESIAN_POINT('',(39.075,15.75,-0.052500000000002)); #526425=CARTESIAN_POINT('Origin',(39.25,15.75,-0.052500000000002)); #526426=CARTESIAN_POINT('',(39.075,15.75,0.)); #526427=CARTESIAN_POINT('',(39.075,15.75,-200.)); #526428=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #526429=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #526430=CARTESIAN_POINT('',(34.575,17.,-0.052500000000002)); #526431=CARTESIAN_POINT('Origin',(34.75,17.,-0.052500000000002)); #526432=CARTESIAN_POINT('',(34.575,17.,0.)); #526433=CARTESIAN_POINT('',(34.575,17.,-200.)); #526434=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #526435=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #526436=CARTESIAN_POINT('',(34.7625,12.25,-0.052500000000002)); #526437=CARTESIAN_POINT('Origin',(34.9375,12.25,-0.052500000000002)); #526438=CARTESIAN_POINT('',(34.7625,12.25,0.)); #526439=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #526440=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #526441=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #526442=CARTESIAN_POINT('',(7.075,34.75,-0.052500000000002)); #526443=CARTESIAN_POINT('Origin',(7.25,34.75,-0.052500000000002)); #526444=CARTESIAN_POINT('',(7.075,34.75,0.)); #526445=CARTESIAN_POINT('',(7.075,34.75,-200.)); #526446=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #526447=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #526448=CARTESIAN_POINT('',(38.587,60.25,-0.052500000000002)); #526449=CARTESIAN_POINT('Origin',(38.762,60.25,-0.052500000000002)); #526450=CARTESIAN_POINT('',(38.587,60.25,0.)); #526451=CARTESIAN_POINT('',(38.587,60.25,-200.)); #526452=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #526453=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #526454=CARTESIAN_POINT('',(33.825,14.75,-0.052500000000002)); #526455=CARTESIAN_POINT('Origin',(34.,14.75,-0.052500000000002)); #526456=CARTESIAN_POINT('',(33.825,14.75,0.)); #526457=CARTESIAN_POINT('',(33.825,14.75,-200.)); #526458=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #526459=CARTESIAN_POINT('Origin',(34.,56.5,-200.)); #526460=CARTESIAN_POINT('',(33.825,56.5,-0.052500000000002)); #526461=CARTESIAN_POINT('Origin',(34.,56.5,-0.052500000000002)); #526462=CARTESIAN_POINT('',(33.825,56.5,0.)); #526463=CARTESIAN_POINT('',(33.825,56.5,-200.)); #526464=CARTESIAN_POINT('Origin',(34.,56.5,0.)); #526465=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #526466=CARTESIAN_POINT('',(7.075,34.,-0.052500000000002)); #526467=CARTESIAN_POINT('Origin',(7.25,34.,-0.052500000000002)); #526468=CARTESIAN_POINT('',(7.075,34.,0.)); #526469=CARTESIAN_POINT('',(7.075,34.,-200.)); #526470=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #526471=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #526472=CARTESIAN_POINT('',(42.325,14.25,-0.052500000000002)); #526473=CARTESIAN_POINT('Origin',(42.5,14.25,-0.052500000000002)); #526474=CARTESIAN_POINT('',(42.325,14.25,0.)); #526475=CARTESIAN_POINT('',(42.325,14.25,-200.)); #526476=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #526477=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #526478=CARTESIAN_POINT('',(31.,27.75,-0.052500000000002)); #526479=CARTESIAN_POINT('Origin',(31.5,27.75,-0.052500000000002)); #526480=CARTESIAN_POINT('',(31.,27.75,0.)); #526481=CARTESIAN_POINT('',(31.,27.75,-200.)); #526482=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #526483=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #526484=CARTESIAN_POINT('',(30.325,11.25,-0.052500000000002)); #526485=CARTESIAN_POINT('Origin',(30.5,11.25,-0.052500000000002)); #526486=CARTESIAN_POINT('',(30.325,11.25,0.)); #526487=CARTESIAN_POINT('',(30.325,11.25,-200.)); #526488=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #526489=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #526490=CARTESIAN_POINT('',(10.075,33.25,-0.052500000000002)); #526491=CARTESIAN_POINT('Origin',(10.25,33.25,-0.052500000000002)); #526492=CARTESIAN_POINT('',(10.075,33.25,0.)); #526493=CARTESIAN_POINT('',(10.075,33.25,-200.)); #526494=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #526495=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #526496=CARTESIAN_POINT('',(35.575,11.25,-0.052500000000002)); #526497=CARTESIAN_POINT('Origin',(35.75,11.25,-0.052500000000002)); #526498=CARTESIAN_POINT('',(35.575,11.25,0.)); #526499=CARTESIAN_POINT('',(35.575,11.25,-200.)); #526500=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #526501=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #526502=CARTESIAN_POINT('',(35.325,14.75,-0.052500000000002)); #526503=CARTESIAN_POINT('Origin',(35.5,14.75,-0.052500000000002)); #526504=CARTESIAN_POINT('',(35.325,14.75,0.)); #526505=CARTESIAN_POINT('',(35.325,14.75,-200.)); #526506=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #526507=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #526508=CARTESIAN_POINT('',(25.825,36.,-0.052500000000002)); #526509=CARTESIAN_POINT('Origin',(26.,36.,-0.052500000000002)); #526510=CARTESIAN_POINT('',(25.825,36.,0.)); #526511=CARTESIAN_POINT('',(25.825,36.,-200.)); #526512=CARTESIAN_POINT('Origin',(26.,36.,0.)); #526513=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #526514=CARTESIAN_POINT('',(9.325,33.25,-0.052500000000002)); #526515=CARTESIAN_POINT('Origin',(9.5,33.25,-0.052500000000002)); #526516=CARTESIAN_POINT('',(9.325,33.25,0.)); #526517=CARTESIAN_POINT('',(9.325,33.25,-200.)); #526518=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #526519=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #526520=CARTESIAN_POINT('',(25.825,31.,-0.052500000000002)); #526521=CARTESIAN_POINT('Origin',(26.,31.,-0.052500000000002)); #526522=CARTESIAN_POINT('',(25.825,31.,0.)); #526523=CARTESIAN_POINT('',(25.825,31.,-200.)); #526524=CARTESIAN_POINT('Origin',(26.,31.,0.)); #526525=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #526526=CARTESIAN_POINT('',(33.825,17.75,-0.052500000000002)); #526527=CARTESIAN_POINT('Origin',(34.,17.75,-0.052500000000002)); #526528=CARTESIAN_POINT('',(33.825,17.75,0.)); #526529=CARTESIAN_POINT('',(33.825,17.75,-200.)); #526530=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #526531=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #526532=CARTESIAN_POINT('',(30.325,10.5,-0.052500000000002)); #526533=CARTESIAN_POINT('Origin',(30.5,10.5,-0.052500000000002)); #526534=CARTESIAN_POINT('',(30.325,10.5,0.)); #526535=CARTESIAN_POINT('',(30.325,10.5,-200.)); #526536=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #526537=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #526538=CARTESIAN_POINT('',(10.075,34.75,-0.052500000000002)); #526539=CARTESIAN_POINT('Origin',(10.25,34.75,-0.052500000000002)); #526540=CARTESIAN_POINT('',(10.075,34.75,0.)); #526541=CARTESIAN_POINT('',(10.075,34.75,-200.)); #526542=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #526543=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #526544=CARTESIAN_POINT('',(36.325,10.5,-0.052500000000002)); #526545=CARTESIAN_POINT('Origin',(36.5,10.5,-0.052500000000002)); #526546=CARTESIAN_POINT('',(36.325,10.5,0.)); #526547=CARTESIAN_POINT('',(36.325,10.5,-200.)); #526548=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #526549=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #526550=CARTESIAN_POINT('',(34.575,14.75,-0.052500000000002)); #526551=CARTESIAN_POINT('Origin',(34.75,14.75,-0.052500000000002)); #526552=CARTESIAN_POINT('',(34.575,14.75,0.)); #526553=CARTESIAN_POINT('',(34.575,14.75,-200.)); #526554=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #526555=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #526556=CARTESIAN_POINT('',(30.587,60.25,-0.052500000000002)); #526557=CARTESIAN_POINT('Origin',(30.762,60.25,-0.052500000000002)); #526558=CARTESIAN_POINT('',(30.587,60.25,0.)); #526559=CARTESIAN_POINT('',(30.587,60.25,-200.)); #526560=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #526561=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #526562=CARTESIAN_POINT('',(9.325,34.75,-0.052500000000002)); #526563=CARTESIAN_POINT('Origin',(9.5,34.75,-0.052500000000002)); #526564=CARTESIAN_POINT('',(9.325,34.75,0.)); #526565=CARTESIAN_POINT('',(9.325,34.75,-200.)); #526566=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #526567=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #526568=CARTESIAN_POINT('',(34.587,60.25,-0.052500000000002)); #526569=CARTESIAN_POINT('Origin',(34.762,60.25,-0.052500000000002)); #526570=CARTESIAN_POINT('',(34.587,60.25,0.)); #526571=CARTESIAN_POINT('',(34.587,60.25,-200.)); #526572=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #526573=CARTESIAN_POINT('Origin',(46.27,35.27,-200.)); #526574=CARTESIAN_POINT('',(45.762,35.27,-0.052500000000002)); #526575=CARTESIAN_POINT('Origin',(46.27,35.27,-0.052500000000002)); #526576=CARTESIAN_POINT('',(45.762,35.27,0.)); #526577=CARTESIAN_POINT('',(45.762,35.27,-200.)); #526578=CARTESIAN_POINT('Origin',(46.27,35.27,0.)); #526579=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #526580=CARTESIAN_POINT('',(51.825,21.,-0.052500000000002)); #526581=CARTESIAN_POINT('Origin',(52.,21.,-0.052500000000002)); #526582=CARTESIAN_POINT('',(51.825,21.,0.)); #526583=CARTESIAN_POINT('',(51.825,21.,-200.)); #526584=CARTESIAN_POINT('Origin',(52.,21.,0.)); #526585=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #526586=CARTESIAN_POINT('',(33.575,6.2426,-0.052500000000002)); #526587=CARTESIAN_POINT('Origin',(33.75,6.2426,-0.052500000000002)); #526588=CARTESIAN_POINT('',(33.575,6.2426,0.)); #526589=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #526590=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #526591=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #526592=CARTESIAN_POINT('',(52.575,21.,-0.052500000000002)); #526593=CARTESIAN_POINT('Origin',(52.75,21.,-0.052500000000002)); #526594=CARTESIAN_POINT('',(52.575,21.,0.)); #526595=CARTESIAN_POINT('',(52.575,21.,-200.)); #526596=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #526597=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #526598=CARTESIAN_POINT('',(31.575,14.75,-0.052500000000002)); #526599=CARTESIAN_POINT('Origin',(31.75,14.75,-0.052500000000002)); #526600=CARTESIAN_POINT('',(31.575,14.75,0.)); #526601=CARTESIAN_POINT('',(31.575,14.75,-200.)); #526602=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #526603=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #526604=CARTESIAN_POINT('',(37.325,21.25,-0.052500000000002)); #526605=CARTESIAN_POINT('Origin',(37.5,21.25,-0.052500000000002)); #526606=CARTESIAN_POINT('',(37.325,21.25,0.)); #526607=CARTESIAN_POINT('',(37.325,21.25,-200.)); #526608=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #526609=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #526610=CARTESIAN_POINT('',(32.575,8.25,-0.052500000000002)); #526611=CARTESIAN_POINT('Origin',(32.75,8.25,-0.052500000000002)); #526612=CARTESIAN_POINT('',(32.575,8.25,0.)); #526613=CARTESIAN_POINT('',(32.575,8.25,-200.)); #526614=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #526615=CARTESIAN_POINT('Origin',(33.25,56.5,-200.)); #526616=CARTESIAN_POINT('',(33.075,56.5,-0.052500000000002)); #526617=CARTESIAN_POINT('Origin',(33.25,56.5,-0.052500000000002)); #526618=CARTESIAN_POINT('',(33.075,56.5,0.)); #526619=CARTESIAN_POINT('',(33.075,56.5,-200.)); #526620=CARTESIAN_POINT('Origin',(33.25,56.5,0.)); #526621=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #526622=CARTESIAN_POINT('',(33.825,16.25,-0.052500000000002)); #526623=CARTESIAN_POINT('Origin',(34.,16.25,-0.052500000000002)); #526624=CARTESIAN_POINT('',(33.825,16.25,0.)); #526625=CARTESIAN_POINT('',(33.825,16.25,-200.)); #526626=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #526627=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #526628=CARTESIAN_POINT('',(27.075,24.375,-0.052500000000002)); #526629=CARTESIAN_POINT('Origin',(27.25,24.375,-0.052500000000002)); #526630=CARTESIAN_POINT('',(27.075,24.375,0.)); #526631=CARTESIAN_POINT('',(27.075,24.375,-200.)); #526632=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #526633=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #526634=CARTESIAN_POINT('',(6.325,34.,-0.052500000000002)); #526635=CARTESIAN_POINT('Origin',(6.5,34.,-0.052500000000002)); #526636=CARTESIAN_POINT('',(6.325,34.,0.)); #526637=CARTESIAN_POINT('',(6.325,34.,-200.)); #526638=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #526639=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #526640=CARTESIAN_POINT('',(27.587,19.,-0.052500000000002)); #526641=CARTESIAN_POINT('Origin',(27.762,19.,-0.052500000000002)); #526642=CARTESIAN_POINT('',(27.587,19.,0.)); #526643=CARTESIAN_POINT('',(27.587,19.,-200.)); #526644=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #526645=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #526646=CARTESIAN_POINT('',(32.325,14.75,-0.052500000000002)); #526647=CARTESIAN_POINT('Origin',(32.5,14.75,-0.052500000000002)); #526648=CARTESIAN_POINT('',(32.325,14.75,0.)); #526649=CARTESIAN_POINT('',(32.325,14.75,-200.)); #526650=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #526651=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #526652=CARTESIAN_POINT('',(24.825,26.625,-0.052500000000002)); #526653=CARTESIAN_POINT('Origin',(25.,26.625,-0.052500000000002)); #526654=CARTESIAN_POINT('',(24.825,26.625,0.)); #526655=CARTESIAN_POINT('',(24.825,26.625,-200.)); #526656=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #526657=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #526658=CARTESIAN_POINT('',(6.325,34.75,-0.052500000000002)); #526659=CARTESIAN_POINT('Origin',(6.5,34.75,-0.052500000000002)); #526660=CARTESIAN_POINT('',(6.325,34.75,0.)); #526661=CARTESIAN_POINT('',(6.325,34.75,-200.)); #526662=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #526663=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #526664=CARTESIAN_POINT('',(30.825,13.,-0.052500000000002)); #526665=CARTESIAN_POINT('Origin',(31.,13.,-0.052500000000002)); #526666=CARTESIAN_POINT('',(30.825,13.,0.)); #526667=CARTESIAN_POINT('',(30.825,13.,-200.)); #526668=CARTESIAN_POINT('Origin',(31.,13.,0.)); #526669=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #526670=CARTESIAN_POINT('',(39.075,17.5,-0.052500000000002)); #526671=CARTESIAN_POINT('Origin',(39.25,17.5,-0.052500000000002)); #526672=CARTESIAN_POINT('',(39.075,17.5,0.)); #526673=CARTESIAN_POINT('',(39.075,17.5,-200.)); #526674=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #526675=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #526676=CARTESIAN_POINT('',(51.825,21.75,-0.052500000000002)); #526677=CARTESIAN_POINT('Origin',(52.,21.75,-0.052500000000002)); #526678=CARTESIAN_POINT('',(51.825,21.75,0.)); #526679=CARTESIAN_POINT('',(51.825,21.75,-200.)); #526680=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #526681=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #526682=CARTESIAN_POINT('',(35.075,6.25,-0.052500000000002)); #526683=CARTESIAN_POINT('Origin',(35.25,6.25,-0.052500000000002)); #526684=CARTESIAN_POINT('',(35.075,6.25,0.)); #526685=CARTESIAN_POINT('',(35.075,6.25,-200.)); #526686=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #526687=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #526688=CARTESIAN_POINT('',(52.575,21.75,-0.052500000000002)); #526689=CARTESIAN_POINT('Origin',(52.75,21.75,-0.052500000000002)); #526690=CARTESIAN_POINT('',(52.575,21.75,0.)); #526691=CARTESIAN_POINT('',(52.575,21.75,-200.)); #526692=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #526693=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #526694=CARTESIAN_POINT('',(31.575,15.5,-0.052500000000002)); #526695=CARTESIAN_POINT('Origin',(31.75,15.5,-0.052500000000002)); #526696=CARTESIAN_POINT('',(31.575,15.5,0.)); #526697=CARTESIAN_POINT('',(31.575,15.5,-200.)); #526698=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #526699=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #526700=CARTESIAN_POINT('',(53.325,21.,-0.052500000000002)); #526701=CARTESIAN_POINT('Origin',(53.5,21.,-0.052500000000002)); #526702=CARTESIAN_POINT('',(53.325,21.,0.)); #526703=CARTESIAN_POINT('',(53.325,21.,-200.)); #526704=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #526705=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #526706=CARTESIAN_POINT('',(34.075,8.25,-0.052500000000002)); #526707=CARTESIAN_POINT('Origin',(34.25,8.25,-0.052500000000002)); #526708=CARTESIAN_POINT('',(34.075,8.25,0.)); #526709=CARTESIAN_POINT('',(34.075,8.25,-200.)); #526710=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #526711=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #526712=CARTESIAN_POINT('',(53.325,21.75,-0.052500000000002)); #526713=CARTESIAN_POINT('Origin',(53.5,21.75,-0.052500000000002)); #526714=CARTESIAN_POINT('',(53.325,21.75,0.)); #526715=CARTESIAN_POINT('',(53.325,21.75,-200.)); #526716=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #526717=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #526718=CARTESIAN_POINT('',(33.075,17.,-0.052500000000002)); #526719=CARTESIAN_POINT('Origin',(33.25,17.,-0.052500000000002)); #526720=CARTESIAN_POINT('',(33.075,17.,0.)); #526721=CARTESIAN_POINT('',(33.075,17.,-200.)); #526722=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #526723=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #526724=CARTESIAN_POINT('',(36.25,7.1,-0.052500000000002)); #526725=CARTESIAN_POINT('Origin',(36.4,7.1,-0.052500000000002)); #526726=CARTESIAN_POINT('',(36.25,7.1,0.)); #526727=CARTESIAN_POINT('',(36.25,7.1,-200.)); #526728=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #526729=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #526730=CARTESIAN_POINT('',(33.825,23.125,-0.052500000000002)); #526731=CARTESIAN_POINT('Origin',(34.,23.125,-0.052500000000002)); #526732=CARTESIAN_POINT('',(33.825,23.125,0.)); #526733=CARTESIAN_POINT('',(33.825,23.125,-200.)); #526734=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #526735=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #526736=CARTESIAN_POINT('',(36.25,7.6,-0.052500000000002)); #526737=CARTESIAN_POINT('Origin',(36.4,7.6,-0.052500000000002)); #526738=CARTESIAN_POINT('',(36.25,7.6,0.)); #526739=CARTESIAN_POINT('',(36.25,7.6,-200.)); #526740=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #526741=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #526742=CARTESIAN_POINT('',(31.575,16.25,-0.052500000000002)); #526743=CARTESIAN_POINT('Origin',(31.75,16.25,-0.052500000000002)); #526744=CARTESIAN_POINT('',(31.575,16.25,0.)); #526745=CARTESIAN_POINT('',(31.575,16.25,-200.)); #526746=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #526747=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #526748=CARTESIAN_POINT('',(31.45,7.6,-0.052500000000002)); #526749=CARTESIAN_POINT('Origin',(31.6,7.6,-0.052500000000002)); #526750=CARTESIAN_POINT('',(31.45,7.6,0.)); #526751=CARTESIAN_POINT('',(31.45,7.6,-200.)); #526752=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #526753=CARTESIAN_POINT('Origin',(34.375,26.625,-200.)); #526754=CARTESIAN_POINT('',(34.2,26.625,-0.052500000000002)); #526755=CARTESIAN_POINT('Origin',(34.375,26.625,-0.052500000000002)); #526756=CARTESIAN_POINT('',(34.2,26.625,0.)); #526757=CARTESIAN_POINT('',(34.2,26.625,-200.)); #526758=CARTESIAN_POINT('Origin',(34.375,26.625,0.)); #526759=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #526760=CARTESIAN_POINT('',(31.45,7.1,-0.052500000000002)); #526761=CARTESIAN_POINT('Origin',(31.6,7.1,-0.052500000000002)); #526762=CARTESIAN_POINT('',(31.45,7.1,0.)); #526763=CARTESIAN_POINT('',(31.45,7.1,-200.)); #526764=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #526765=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #526766=CARTESIAN_POINT('',(32.13,45.,-0.052500000000002)); #526767=CARTESIAN_POINT('Origin',(32.73,45.,-0.052500000000002)); #526768=CARTESIAN_POINT('',(32.13,45.,0.)); #526769=CARTESIAN_POINT('',(32.13,45.,-200.)); #526770=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #526771=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #526772=CARTESIAN_POINT('',(47.575,56.,-0.052500000000002)); #526773=CARTESIAN_POINT('Origin',(47.75,56.,-0.052500000000002)); #526774=CARTESIAN_POINT('',(47.575,56.,0.)); #526775=CARTESIAN_POINT('',(47.575,56.,-200.)); #526776=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #526777=CARTESIAN_POINT('Origin',(40.125,39.625,-200.)); #526778=CARTESIAN_POINT('',(39.95,39.625,-0.052500000000002)); #526779=CARTESIAN_POINT('Origin',(40.125,39.625,-0.052500000000002)); #526780=CARTESIAN_POINT('',(39.95,39.625,0.)); #526781=CARTESIAN_POINT('',(39.95,39.625,-200.)); #526782=CARTESIAN_POINT('Origin',(40.125,39.625,0.)); #526783=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #526784=CARTESIAN_POINT('',(47.575,55.25,-0.052500000000002)); #526785=CARTESIAN_POINT('Origin',(47.75,55.25,-0.052500000000002)); #526786=CARTESIAN_POINT('',(47.575,55.25,0.)); #526787=CARTESIAN_POINT('',(47.575,55.25,-200.)); #526788=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #526789=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #526790=CARTESIAN_POINT('',(33.825,15.5,-0.052500000000002)); #526791=CARTESIAN_POINT('Origin',(34.,15.5,-0.052500000000002)); #526792=CARTESIAN_POINT('',(33.825,15.5,0.)); #526793=CARTESIAN_POINT('',(33.825,15.5,-200.)); #526794=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #526795=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #526796=CARTESIAN_POINT('',(45.825,56.75,-0.052500000000002)); #526797=CARTESIAN_POINT('Origin',(46.,56.75,-0.052500000000002)); #526798=CARTESIAN_POINT('',(45.825,56.75,0.)); #526799=CARTESIAN_POINT('',(45.825,56.75,-200.)); #526800=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #526801=CARTESIAN_POINT('Origin',(40.875,39.625,-200.)); #526802=CARTESIAN_POINT('',(40.7,39.625,-0.052500000000002)); #526803=CARTESIAN_POINT('Origin',(40.875,39.625,-0.052500000000002)); #526804=CARTESIAN_POINT('',(40.7,39.625,0.)); #526805=CARTESIAN_POINT('',(40.7,39.625,-200.)); #526806=CARTESIAN_POINT('Origin',(40.875,39.625,0.)); #526807=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #526808=CARTESIAN_POINT('',(45.825,55.25,-0.052500000000002)); #526809=CARTESIAN_POINT('Origin',(46.,55.25,-0.052500000000002)); #526810=CARTESIAN_POINT('',(45.825,55.25,0.)); #526811=CARTESIAN_POINT('',(45.825,55.25,-200.)); #526812=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #526813=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #526814=CARTESIAN_POINT('',(31.325,8.75,-0.052500000000002)); #526815=CARTESIAN_POINT('Origin',(31.5,8.75,-0.052500000000002)); #526816=CARTESIAN_POINT('',(31.325,8.75,0.)); #526817=CARTESIAN_POINT('',(31.325,8.75,-200.)); #526818=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #526819=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #526820=CARTESIAN_POINT('',(44.075,55.25,-0.052500000000002)); #526821=CARTESIAN_POINT('Origin',(44.25,55.25,-0.052500000000002)); #526822=CARTESIAN_POINT('',(44.075,55.25,0.)); #526823=CARTESIAN_POINT('',(44.075,55.25,-200.)); #526824=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #526825=CARTESIAN_POINT('Origin',(27.875,39.625,-200.)); #526826=CARTESIAN_POINT('',(27.7,39.625,-0.052500000000002)); #526827=CARTESIAN_POINT('Origin',(27.875,39.625,-0.052500000000002)); #526828=CARTESIAN_POINT('',(27.7,39.625,0.)); #526829=CARTESIAN_POINT('',(27.7,39.625,-200.)); #526830=CARTESIAN_POINT('Origin',(27.875,39.625,0.)); #526831=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #526832=CARTESIAN_POINT('',(44.075,56.75,-0.052500000000002)); #526833=CARTESIAN_POINT('Origin',(44.25,56.75,-0.052500000000002)); #526834=CARTESIAN_POINT('',(44.075,56.75,0.)); #526835=CARTESIAN_POINT('',(44.075,56.75,-200.)); #526836=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #526837=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #526838=CARTESIAN_POINT('',(33.075,15.5,-0.052500000000002)); #526839=CARTESIAN_POINT('Origin',(33.25,15.5,-0.052500000000002)); #526840=CARTESIAN_POINT('',(33.075,15.5,0.)); #526841=CARTESIAN_POINT('',(33.075,15.5,-200.)); #526842=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #526843=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #526844=CARTESIAN_POINT('',(44.075,56.,-0.052500000000002)); #526845=CARTESIAN_POINT('Origin',(44.25,56.,-0.052500000000002)); #526846=CARTESIAN_POINT('',(44.075,56.,0.)); #526847=CARTESIAN_POINT('',(44.075,56.,-200.)); #526848=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #526849=CARTESIAN_POINT('Origin',(41.625,39.625,-200.)); #526850=CARTESIAN_POINT('',(41.45,39.625,-0.052500000000002)); #526851=CARTESIAN_POINT('Origin',(41.625,39.625,-0.052500000000002)); #526852=CARTESIAN_POINT('',(41.45,39.625,0.)); #526853=CARTESIAN_POINT('',(41.45,39.625,-200.)); #526854=CARTESIAN_POINT('Origin',(41.625,39.625,0.)); #526855=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #526856=CARTESIAN_POINT('',(45.825,56.,-0.052500000000002)); #526857=CARTESIAN_POINT('Origin',(46.,56.,-0.052500000000002)); #526858=CARTESIAN_POINT('',(45.825,56.,0.)); #526859=CARTESIAN_POINT('',(45.825,56.,-200.)); #526860=CARTESIAN_POINT('Origin',(46.,56.,0.)); #526861=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #526862=CARTESIAN_POINT('',(11.75,34.,-0.052500000000002)); #526863=CARTESIAN_POINT('Origin',(12.25,34.,-0.052500000000002)); #526864=CARTESIAN_POINT('',(11.75,34.,0.)); #526865=CARTESIAN_POINT('',(11.75,34.,-200.)); #526866=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #526867=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #526868=CARTESIAN_POINT('',(51.95,46.25,-0.052500000000002)); #526869=CARTESIAN_POINT('Origin',(52.125,46.25,-0.052500000000002)); #526870=CARTESIAN_POINT('',(51.95,46.25,0.)); #526871=CARTESIAN_POINT('',(51.95,46.25,-200.)); #526872=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #526873=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #526874=CARTESIAN_POINT('',(42.325,26.,-0.052500000000002)); #526875=CARTESIAN_POINT('Origin',(42.5,26.,-0.052500000000002)); #526876=CARTESIAN_POINT('',(42.325,26.,0.)); #526877=CARTESIAN_POINT('',(42.325,26.,-200.)); #526878=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #526879=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #526880=CARTESIAN_POINT('',(51.075,46.25,-0.052500000000002)); #526881=CARTESIAN_POINT('Origin',(51.25,46.25,-0.052500000000002)); #526882=CARTESIAN_POINT('',(51.075,46.25,0.)); #526883=CARTESIAN_POINT('',(51.075,46.25,-200.)); #526884=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #526885=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #526886=CARTESIAN_POINT('',(32.325,16.25,-0.052500000000002)); #526887=CARTESIAN_POINT('Origin',(32.5,16.25,-0.052500000000002)); #526888=CARTESIAN_POINT('',(32.325,16.25,0.)); #526889=CARTESIAN_POINT('',(32.325,16.25,-200.)); #526890=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #526891=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #526892=CARTESIAN_POINT('',(51.075,47.75,-0.052500000000002)); #526893=CARTESIAN_POINT('Origin',(51.25,47.75,-0.052500000000002)); #526894=CARTESIAN_POINT('',(51.075,47.75,0.)); #526895=CARTESIAN_POINT('',(51.075,47.75,-200.)); #526896=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #526897=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #526898=CARTESIAN_POINT('',(42.325,25.25,-0.052500000000002)); #526899=CARTESIAN_POINT('Origin',(42.5,25.25,-0.052500000000002)); #526900=CARTESIAN_POINT('',(42.325,25.25,0.)); #526901=CARTESIAN_POINT('',(42.325,25.25,-200.)); #526902=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #526903=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #526904=CARTESIAN_POINT('',(51.075,47.,-0.052500000000002)); #526905=CARTESIAN_POINT('Origin',(51.25,47.,-0.052500000000002)); #526906=CARTESIAN_POINT('',(51.075,47.,0.)); #526907=CARTESIAN_POINT('',(51.075,47.,-200.)); #526908=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #526909=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #526910=CARTESIAN_POINT('',(34.575,5.5,-0.052500000000002)); #526911=CARTESIAN_POINT('Origin',(34.75,5.5,-0.052500000000002)); #526912=CARTESIAN_POINT('',(34.575,5.5,0.)); #526913=CARTESIAN_POINT('',(34.575,5.5,-200.)); #526914=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #526915=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #526916=CARTESIAN_POINT('',(51.95,47.75,-0.052500000000002)); #526917=CARTESIAN_POINT('Origin',(52.125,47.75,-0.052500000000002)); #526918=CARTESIAN_POINT('',(51.95,47.75,0.)); #526919=CARTESIAN_POINT('',(51.95,47.75,-200.)); #526920=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #526921=CARTESIAN_POINT('Origin',(26.375,39.625,-200.)); #526922=CARTESIAN_POINT('',(26.2,39.625,-0.052500000000002)); #526923=CARTESIAN_POINT('Origin',(26.375,39.625,-0.052500000000002)); #526924=CARTESIAN_POINT('',(26.2,39.625,0.)); #526925=CARTESIAN_POINT('',(26.2,39.625,-200.)); #526926=CARTESIAN_POINT('Origin',(26.375,39.625,0.)); #526927=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #526928=CARTESIAN_POINT('',(51.95,47.,-0.052500000000002)); #526929=CARTESIAN_POINT('Origin',(52.125,47.,-0.052500000000002)); #526930=CARTESIAN_POINT('',(51.95,47.,0.)); #526931=CARTESIAN_POINT('',(51.95,47.,-200.)); #526932=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #526933=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #526934=CARTESIAN_POINT('',(32.325,15.5,-0.052500000000002)); #526935=CARTESIAN_POINT('Origin',(32.5,15.5,-0.052500000000002)); #526936=CARTESIAN_POINT('',(32.325,15.5,0.)); #526937=CARTESIAN_POINT('',(32.325,15.5,-200.)); #526938=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #526939=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #526940=CARTESIAN_POINT('',(41.075,36.,-0.052500000000002)); #526941=CARTESIAN_POINT('Origin',(41.25,36.,-0.052500000000002)); #526942=CARTESIAN_POINT('',(41.075,36.,0.)); #526943=CARTESIAN_POINT('',(41.075,36.,-200.)); #526944=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #526945=CARTESIAN_POINT('Origin',(27.125,39.625,-200.)); #526946=CARTESIAN_POINT('',(26.95,39.625,-0.052500000000002)); #526947=CARTESIAN_POINT('Origin',(27.125,39.625,-0.052500000000002)); #526948=CARTESIAN_POINT('',(26.95,39.625,0.)); #526949=CARTESIAN_POINT('',(26.95,39.625,-200.)); #526950=CARTESIAN_POINT('Origin',(27.125,39.625,0.)); #526951=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #526952=CARTESIAN_POINT('',(41.075,31.,-0.052500000000002)); #526953=CARTESIAN_POINT('Origin',(41.25,31.,-0.052500000000002)); #526954=CARTESIAN_POINT('',(41.075,31.,0.)); #526955=CARTESIAN_POINT('',(41.075,31.,-200.)); #526956=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #526957=CARTESIAN_POINT('Origin',(43.73,32.73,-200.)); #526958=CARTESIAN_POINT('',(43.222,32.73,-0.052500000000002)); #526959=CARTESIAN_POINT('Origin',(43.73,32.73,-0.052500000000002)); #526960=CARTESIAN_POINT('',(43.222,32.73,0.)); #526961=CARTESIAN_POINT('',(43.222,32.73,-200.)); #526962=CARTESIAN_POINT('Origin',(43.73,32.73,0.)); #526963=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #526964=CARTESIAN_POINT('',(48.45,46.25,-0.052500000000002)); #526965=CARTESIAN_POINT('Origin',(48.625,46.25,-0.052500000000002)); #526966=CARTESIAN_POINT('',(48.45,46.25,0.)); #526967=CARTESIAN_POINT('',(48.45,46.25,-200.)); #526968=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #526969=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #526970=CARTESIAN_POINT('',(41.575,26.,-0.052500000000002)); #526971=CARTESIAN_POINT('Origin',(41.75,26.,-0.052500000000002)); #526972=CARTESIAN_POINT('',(41.575,26.,0.)); #526973=CARTESIAN_POINT('',(41.575,26.,-200.)); #526974=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #526975=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #526976=CARTESIAN_POINT('',(46.7,47.75,-0.052500000000002)); #526977=CARTESIAN_POINT('Origin',(46.875,47.75,-0.052500000000002)); #526978=CARTESIAN_POINT('',(46.7,47.75,0.)); #526979=CARTESIAN_POINT('',(46.7,47.75,-200.)); #526980=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #526981=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #526982=CARTESIAN_POINT('',(32.325,18.5,-0.052500000000002)); #526983=CARTESIAN_POINT('Origin',(32.5,18.5,-0.052500000000002)); #526984=CARTESIAN_POINT('',(32.325,18.5,0.)); #526985=CARTESIAN_POINT('',(32.325,18.5,-200.)); #526986=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #526987=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #526988=CARTESIAN_POINT('',(46.7,46.25,-0.052500000000002)); #526989=CARTESIAN_POINT('Origin',(46.875,46.25,-0.052500000000002)); #526990=CARTESIAN_POINT('',(46.7,46.25,0.)); #526991=CARTESIAN_POINT('',(46.7,46.25,-200.)); #526992=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #526993=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #526994=CARTESIAN_POINT('',(41.575,25.25,-0.052500000000002)); #526995=CARTESIAN_POINT('Origin',(41.75,25.25,-0.052500000000002)); #526996=CARTESIAN_POINT('',(41.575,25.25,0.)); #526997=CARTESIAN_POINT('',(41.575,25.25,-200.)); #526998=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #526999=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #527000=CARTESIAN_POINT('',(46.7,47.,-0.052500000000002)); #527001=CARTESIAN_POINT('Origin',(46.875,47.,-0.052500000000002)); #527002=CARTESIAN_POINT('',(46.7,47.,0.)); #527003=CARTESIAN_POINT('',(46.7,47.,-200.)); #527004=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #527005=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #527006=CARTESIAN_POINT('',(33.825,5.5,-0.052500000000002)); #527007=CARTESIAN_POINT('Origin',(34.,5.5,-0.052500000000002)); #527008=CARTESIAN_POINT('',(33.825,5.5,0.)); #527009=CARTESIAN_POINT('',(33.825,5.5,-200.)); #527010=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #527011=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #527012=CARTESIAN_POINT('',(44.95,47.75,-0.052500000000002)); #527013=CARTESIAN_POINT('Origin',(45.125,47.75,-0.052500000000002)); #527014=CARTESIAN_POINT('',(44.95,47.75,0.)); #527015=CARTESIAN_POINT('',(44.95,47.75,-200.)); #527016=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #527017=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #527018=CARTESIAN_POINT('',(33.075,48.5,-0.052500000000002)); #527019=CARTESIAN_POINT('Origin',(33.25,48.5,-0.052500000000002)); #527020=CARTESIAN_POINT('',(33.075,48.5,0.)); #527021=CARTESIAN_POINT('',(33.075,48.5,-200.)); #527022=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #527023=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #527024=CARTESIAN_POINT('',(45.825,47.,-0.052500000000002)); #527025=CARTESIAN_POINT('Origin',(46.,47.,-0.052500000000002)); #527026=CARTESIAN_POINT('',(45.825,47.,0.)); #527027=CARTESIAN_POINT('',(45.825,47.,-200.)); #527028=CARTESIAN_POINT('Origin',(46.,47.,0.)); #527029=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #527030=CARTESIAN_POINT('',(33.075,18.5,-0.052500000000002)); #527031=CARTESIAN_POINT('Origin',(33.25,18.5,-0.052500000000002)); #527032=CARTESIAN_POINT('',(33.075,18.5,0.)); #527033=CARTESIAN_POINT('',(33.075,18.5,-200.)); #527034=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #527035=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #527036=CARTESIAN_POINT('',(44.95,47.,-0.052500000000002)); #527037=CARTESIAN_POINT('Origin',(45.125,47.,-0.052500000000002)); #527038=CARTESIAN_POINT('',(44.95,47.,0.)); #527039=CARTESIAN_POINT('',(44.95,47.,-200.)); #527040=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #527041=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #527042=CARTESIAN_POINT('',(40.825,25.25,-0.052500000000002)); #527043=CARTESIAN_POINT('Origin',(41.,25.25,-0.052500000000002)); #527044=CARTESIAN_POINT('',(40.825,25.25,0.)); #527045=CARTESIAN_POINT('',(40.825,25.25,-200.)); #527046=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #527047=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #527048=CARTESIAN_POINT('',(44.95,46.25,-0.052500000000002)); #527049=CARTESIAN_POINT('Origin',(45.125,46.25,-0.052500000000002)); #527050=CARTESIAN_POINT('',(44.95,46.25,0.)); #527051=CARTESIAN_POINT('',(44.95,46.25,-200.)); #527052=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #527053=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #527054=CARTESIAN_POINT('',(15.25,34.,-0.052500000000002)); #527055=CARTESIAN_POINT('Origin',(15.75,34.,-0.052500000000002)); #527056=CARTESIAN_POINT('',(15.25,34.,0.)); #527057=CARTESIAN_POINT('',(15.25,34.,-200.)); #527058=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #527059=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #527060=CARTESIAN_POINT('',(49.325,47.,-0.052500000000002)); #527061=CARTESIAN_POINT('Origin',(49.5,47.,-0.052500000000002)); #527062=CARTESIAN_POINT('',(49.325,47.,0.)); #527063=CARTESIAN_POINT('',(49.325,47.,-200.)); #527064=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #527065=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #527066=CARTESIAN_POINT('',(40.825,24.5,-0.052500000000002)); #527067=CARTESIAN_POINT('Origin',(41.,24.5,-0.052500000000002)); #527068=CARTESIAN_POINT('',(40.825,24.5,0.)); #527069=CARTESIAN_POINT('',(40.825,24.5,-200.)); #527070=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #527071=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #527072=CARTESIAN_POINT('',(49.325,47.75,-0.052500000000002)); #527073=CARTESIAN_POINT('Origin',(49.5,47.75,-0.052500000000002)); #527074=CARTESIAN_POINT('',(49.325,47.75,0.)); #527075=CARTESIAN_POINT('',(49.325,47.75,-200.)); #527076=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #527077=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #527078=CARTESIAN_POINT('',(32.325,17.75,-0.052500000000002)); #527079=CARTESIAN_POINT('Origin',(32.5,17.75,-0.052500000000002)); #527080=CARTESIAN_POINT('',(32.325,17.75,0.)); #527081=CARTESIAN_POINT('',(32.325,17.75,-200.)); #527082=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #527083=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #527084=CARTESIAN_POINT('',(48.45,47.,-0.052500000000002)); #527085=CARTESIAN_POINT('Origin',(48.625,47.,-0.052500000000002)); #527086=CARTESIAN_POINT('',(48.45,47.,0.)); #527087=CARTESIAN_POINT('',(48.45,47.,-200.)); #527088=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #527089=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #527090=CARTESIAN_POINT('',(40.825,26.,-0.052500000000002)); #527091=CARTESIAN_POINT('Origin',(41.,26.,-0.052500000000002)); #527092=CARTESIAN_POINT('',(40.825,26.,0.)); #527093=CARTESIAN_POINT('',(40.825,26.,-200.)); #527094=CARTESIAN_POINT('Origin',(41.,26.,0.)); #527095=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #527096=CARTESIAN_POINT('',(48.45,47.75,-0.052500000000002)); #527097=CARTESIAN_POINT('Origin',(48.625,47.75,-0.052500000000002)); #527098=CARTESIAN_POINT('',(48.45,47.75,0.)); #527099=CARTESIAN_POINT('',(48.45,47.75,-200.)); #527100=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #527101=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #527102=CARTESIAN_POINT('',(33.075,5.5,-0.052500000000002)); #527103=CARTESIAN_POINT('Origin',(33.25,5.5,-0.052500000000002)); #527104=CARTESIAN_POINT('',(33.075,5.5,0.)); #527105=CARTESIAN_POINT('',(33.075,5.5,-200.)); #527106=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #527107=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #527108=CARTESIAN_POINT('',(50.2,46.25,-0.052500000000002)); #527109=CARTESIAN_POINT('Origin',(50.375,46.25,-0.052500000000002)); #527110=CARTESIAN_POINT('',(50.2,46.25,0.)); #527111=CARTESIAN_POINT('',(50.2,46.25,-200.)); #527112=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #527113=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #527114=CARTESIAN_POINT('',(41.575,24.5,-0.052500000000002)); #527115=CARTESIAN_POINT('Origin',(41.75,24.5,-0.052500000000002)); #527116=CARTESIAN_POINT('',(41.575,24.5,0.)); #527117=CARTESIAN_POINT('',(41.575,24.5,-200.)); #527118=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #527119=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #527120=CARTESIAN_POINT('',(49.325,46.25,-0.052500000000002)); #527121=CARTESIAN_POINT('Origin',(49.5,46.25,-0.052500000000002)); #527122=CARTESIAN_POINT('',(49.325,46.25,0.)); #527123=CARTESIAN_POINT('',(49.325,46.25,-200.)); #527124=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #527125=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #527126=CARTESIAN_POINT('',(32.325,17.,-0.052500000000002)); #527127=CARTESIAN_POINT('Origin',(32.5,17.,-0.052500000000002)); #527128=CARTESIAN_POINT('',(32.325,17.,0.)); #527129=CARTESIAN_POINT('',(32.325,17.,-200.)); #527130=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #527131=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #527132=CARTESIAN_POINT('',(50.2,47.,-0.052500000000002)); #527133=CARTESIAN_POINT('Origin',(50.375,47.,-0.052500000000002)); #527134=CARTESIAN_POINT('',(50.2,47.,0.)); #527135=CARTESIAN_POINT('',(50.2,47.,-200.)); #527136=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #527137=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #527138=CARTESIAN_POINT('',(42.325,24.5,-0.052500000000002)); #527139=CARTESIAN_POINT('Origin',(42.5,24.5,-0.052500000000002)); #527140=CARTESIAN_POINT('',(42.325,24.5,0.)); #527141=CARTESIAN_POINT('',(42.325,24.5,-200.)); #527142=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #527143=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #527144=CARTESIAN_POINT('',(50.2,47.75,-0.052500000000002)); #527145=CARTESIAN_POINT('Origin',(50.375,47.75,-0.052500000000002)); #527146=CARTESIAN_POINT('',(50.2,47.75,0.)); #527147=CARTESIAN_POINT('',(50.2,47.75,-200.)); #527148=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #527149=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #527150=CARTESIAN_POINT('',(37.21,45.,-0.052500000000002)); #527151=CARTESIAN_POINT('Origin',(37.81,45.,-0.052500000000002)); #527152=CARTESIAN_POINT('',(37.21,45.,0.)); #527153=CARTESIAN_POINT('',(37.21,45.,-200.)); #527154=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #527155=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #527156=CARTESIAN_POINT('',(21.825,55.25,-0.052500000000002)); #527157=CARTESIAN_POINT('Origin',(22.,55.25,-0.052500000000002)); #527158=CARTESIAN_POINT('',(21.825,55.25,0.)); #527159=CARTESIAN_POINT('',(21.825,55.25,-200.)); #527160=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #527161=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #527162=CARTESIAN_POINT('',(55.825,21.,-0.052500000000002)); #527163=CARTESIAN_POINT('Origin',(56.,21.,-0.052500000000002)); #527164=CARTESIAN_POINT('',(55.825,21.,0.)); #527165=CARTESIAN_POINT('',(55.825,21.,-200.)); #527166=CARTESIAN_POINT('Origin',(56.,21.,0.)); #527167=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #527168=CARTESIAN_POINT('',(21.825,56.75,-0.052500000000002)); #527169=CARTESIAN_POINT('Origin',(22.,56.75,-0.052500000000002)); #527170=CARTESIAN_POINT('',(21.825,56.75,0.)); #527171=CARTESIAN_POINT('',(21.825,56.75,-200.)); #527172=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #527173=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #527174=CARTESIAN_POINT('',(35.325,17.,-0.052500000000002)); #527175=CARTESIAN_POINT('Origin',(35.5,17.,-0.052500000000002)); #527176=CARTESIAN_POINT('',(35.325,17.,0.)); #527177=CARTESIAN_POINT('',(35.325,17.,-200.)); #527178=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #527179=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #527180=CARTESIAN_POINT('',(20.075,56.,-0.052500000000002)); #527181=CARTESIAN_POINT('Origin',(20.25,56.,-0.052500000000002)); #527182=CARTESIAN_POINT('',(20.075,56.,0.)); #527183=CARTESIAN_POINT('',(20.075,56.,-200.)); #527184=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #527185=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #527186=CARTESIAN_POINT('',(55.825,21.75,-0.052500000000002)); #527187=CARTESIAN_POINT('Origin',(56.,21.75,-0.052500000000002)); #527188=CARTESIAN_POINT('',(55.825,21.75,0.)); #527189=CARTESIAN_POINT('',(55.825,21.75,-200.)); #527190=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #527191=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #527192=CARTESIAN_POINT('',(21.825,56.,-0.052500000000002)); #527193=CARTESIAN_POINT('Origin',(22.,56.,-0.052500000000002)); #527194=CARTESIAN_POINT('',(21.825,56.,0.)); #527195=CARTESIAN_POINT('',(21.825,56.,-200.)); #527196=CARTESIAN_POINT('Origin',(22.,56.,0.)); #527197=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #527198=CARTESIAN_POINT('',(30.575,9.5,-0.052500000000002)); #527199=CARTESIAN_POINT('Origin',(30.75,9.5,-0.052500000000002)); #527200=CARTESIAN_POINT('',(30.575,9.5,0.)); #527201=CARTESIAN_POINT('',(30.575,9.5,-200.)); #527202=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #527203=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #527204=CARTESIAN_POINT('',(37.0125,36.75,-0.052500000000002)); #527205=CARTESIAN_POINT('Origin',(37.1875,36.75,-0.052500000000002)); #527206=CARTESIAN_POINT('',(37.0125,36.75,0.)); #527207=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #527208=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #527209=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #527210=CARTESIAN_POINT('',(54.325,21.,-0.052500000000002)); #527211=CARTESIAN_POINT('Origin',(54.5,21.,-0.052500000000002)); #527212=CARTESIAN_POINT('',(54.325,21.,0.)); #527213=CARTESIAN_POINT('',(54.325,21.,-200.)); #527214=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #527215=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #527216=CARTESIAN_POINT('',(38.575,36.75,-0.052500000000002)); #527217=CARTESIAN_POINT('Origin',(38.75,36.75,-0.052500000000002)); #527218=CARTESIAN_POINT('',(38.575,36.75,0.)); #527219=CARTESIAN_POINT('',(38.575,36.75,-200.)); #527220=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #527221=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #527222=CARTESIAN_POINT('',(35.325,16.25,-0.052500000000002)); #527223=CARTESIAN_POINT('Origin',(35.5,16.25,-0.052500000000002)); #527224=CARTESIAN_POINT('',(35.325,16.25,0.)); #527225=CARTESIAN_POINT('',(35.325,16.25,-200.)); #527226=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #527227=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #527228=CARTESIAN_POINT('',(20.075,56.75,-0.052500000000002)); #527229=CARTESIAN_POINT('Origin',(20.25,56.75,-0.052500000000002)); #527230=CARTESIAN_POINT('',(20.075,56.75,0.)); #527231=CARTESIAN_POINT('',(20.075,56.75,-200.)); #527232=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #527233=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #527234=CARTESIAN_POINT('',(54.325,21.75,-0.052500000000002)); #527235=CARTESIAN_POINT('Origin',(54.5,21.75,-0.052500000000002)); #527236=CARTESIAN_POINT('',(54.325,21.75,0.)); #527237=CARTESIAN_POINT('',(54.325,21.75,-200.)); #527238=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #527239=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #527240=CARTESIAN_POINT('',(20.075,55.25,-0.052500000000002)); #527241=CARTESIAN_POINT('Origin',(20.25,55.25,-0.052500000000002)); #527242=CARTESIAN_POINT('',(20.075,55.25,0.)); #527243=CARTESIAN_POINT('',(20.075,55.25,-200.)); #527244=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #527245=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #527246=CARTESIAN_POINT('',(36.,25.25,-0.052500000000002)); #527247=CARTESIAN_POINT('Origin',(36.5,25.25,-0.052500000000002)); #527248=CARTESIAN_POINT('',(36.,25.25,0.)); #527249=CARTESIAN_POINT('',(36.,25.25,-200.)); #527250=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #527251=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #527252=CARTESIAN_POINT('',(44.95,55.25,-0.052500000000002)); #527253=CARTESIAN_POINT('Origin',(45.125,55.25,-0.052500000000002)); #527254=CARTESIAN_POINT('',(44.95,55.25,0.)); #527255=CARTESIAN_POINT('',(44.95,55.25,-200.)); #527256=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #527257=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #527258=CARTESIAN_POINT('',(55.075,21.75,-0.052500000000002)); #527259=CARTESIAN_POINT('Origin',(55.25,21.75,-0.052500000000002)); #527260=CARTESIAN_POINT('',(55.075,21.75,0.)); #527261=CARTESIAN_POINT('',(55.075,21.75,-200.)); #527262=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #527263=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #527264=CARTESIAN_POINT('',(44.95,56.,-0.052500000000002)); #527265=CARTESIAN_POINT('Origin',(45.125,56.,-0.052500000000002)); #527266=CARTESIAN_POINT('',(44.95,56.,0.)); #527267=CARTESIAN_POINT('',(44.95,56.,-200.)); #527268=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #527269=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #527270=CARTESIAN_POINT('',(34.575,15.5,-0.052500000000002)); #527271=CARTESIAN_POINT('Origin',(34.75,15.5,-0.052500000000002)); #527272=CARTESIAN_POINT('',(34.575,15.5,0.)); #527273=CARTESIAN_POINT('',(34.575,15.5,-200.)); #527274=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #527275=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #527276=CARTESIAN_POINT('',(47.575,56.75,-0.052500000000002)); #527277=CARTESIAN_POINT('Origin',(47.75,56.75,-0.052500000000002)); #527278=CARTESIAN_POINT('',(47.575,56.75,0.)); #527279=CARTESIAN_POINT('',(47.575,56.75,-200.)); #527280=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #527281=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #527282=CARTESIAN_POINT('',(55.075,21.,-0.052500000000002)); #527283=CARTESIAN_POINT('Origin',(55.25,21.,-0.052500000000002)); #527284=CARTESIAN_POINT('',(55.075,21.,0.)); #527285=CARTESIAN_POINT('',(55.075,21.,-200.)); #527286=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #527287=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #527288=CARTESIAN_POINT('',(44.95,56.75,-0.052500000000002)); #527289=CARTESIAN_POINT('Origin',(45.125,56.75,-0.052500000000002)); #527290=CARTESIAN_POINT('',(44.95,56.75,0.)); #527291=CARTESIAN_POINT('',(44.95,56.75,-200.)); #527292=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #527293=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #527294=CARTESIAN_POINT('',(30.575,8.75,-0.052500000000002)); #527295=CARTESIAN_POINT('Origin',(30.75,8.75,-0.052500000000002)); #527296=CARTESIAN_POINT('',(30.575,8.75,0.)); #527297=CARTESIAN_POINT('',(30.575,8.75,-200.)); #527298=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #527299=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #527300=CARTESIAN_POINT('',(46.7,56.,-0.052500000000002)); #527301=CARTESIAN_POINT('Origin',(46.875,56.,-0.052500000000002)); #527302=CARTESIAN_POINT('',(46.7,56.,0.)); #527303=CARTESIAN_POINT('',(46.7,56.,-200.)); #527304=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #527305=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #527306=CARTESIAN_POINT('',(55.075,20.25,-0.052500000000002)); #527307=CARTESIAN_POINT('Origin',(55.25,20.25,-0.052500000000002)); #527308=CARTESIAN_POINT('',(55.075,20.25,0.)); #527309=CARTESIAN_POINT('',(55.075,20.25,-200.)); #527310=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #527311=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #527312=CARTESIAN_POINT('',(46.7,55.25,-0.052500000000002)); #527313=CARTESIAN_POINT('Origin',(46.875,55.25,-0.052500000000002)); #527314=CARTESIAN_POINT('',(46.7,55.25,0.)); #527315=CARTESIAN_POINT('',(46.7,55.25,-200.)); #527316=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #527317=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #527318=CARTESIAN_POINT('',(35.325,15.5,-0.052500000000002)); #527319=CARTESIAN_POINT('Origin',(35.5,15.5,-0.052500000000002)); #527320=CARTESIAN_POINT('',(35.325,15.5,0.)); #527321=CARTESIAN_POINT('',(35.325,15.5,-200.)); #527322=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #527323=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #527324=CARTESIAN_POINT('',(46.7,56.75,-0.052500000000002)); #527325=CARTESIAN_POINT('Origin',(46.875,56.75,-0.052500000000002)); #527326=CARTESIAN_POINT('',(46.7,56.75,0.)); #527327=CARTESIAN_POINT('',(46.7,56.75,-200.)); #527328=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #527329=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #527330=CARTESIAN_POINT('',(54.325,20.25,-0.052500000000002)); #527331=CARTESIAN_POINT('Origin',(54.5,20.25,-0.052500000000002)); #527332=CARTESIAN_POINT('',(54.325,20.25,0.)); #527333=CARTESIAN_POINT('',(54.325,20.25,-200.)); #527334=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #527335=CARTESIAN_POINT('Origin',(40.012,52.5,-200.)); #527336=CARTESIAN_POINT('',(39.837,52.5,-0.052500000000002)); #527337=CARTESIAN_POINT('Origin',(40.012,52.5,-0.052500000000002)); #527338=CARTESIAN_POINT('',(39.837,52.5,0.)); #527339=CARTESIAN_POINT('',(39.837,52.5,-200.)); #527340=CARTESIAN_POINT('Origin',(40.012,52.5,0.)); #527341=CARTESIAN_POINT('Origin',(43.73,35.27,-200.)); #527342=CARTESIAN_POINT('',(43.222,35.27,-0.052500000000002)); #527343=CARTESIAN_POINT('Origin',(43.73,35.27,-0.052500000000002)); #527344=CARTESIAN_POINT('',(43.222,35.27,0.)); #527345=CARTESIAN_POINT('',(43.222,35.27,-200.)); #527346=CARTESIAN_POINT('Origin',(43.73,35.27,0.)); #527347=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #527348=CARTESIAN_POINT('',(20.95,56.,-0.052500000000002)); #527349=CARTESIAN_POINT('Origin',(21.125,56.,-0.052500000000002)); #527350=CARTESIAN_POINT('',(20.95,56.,0.)); #527351=CARTESIAN_POINT('',(20.95,56.,-200.)); #527352=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #527353=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #527354=CARTESIAN_POINT('',(12.575,20.25,-0.052500000000002)); #527355=CARTESIAN_POINT('Origin',(12.75,20.25,-0.052500000000002)); #527356=CARTESIAN_POINT('',(12.575,20.25,0.)); #527357=CARTESIAN_POINT('',(12.575,20.25,-200.)); #527358=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #527359=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #527360=CARTESIAN_POINT('',(20.95,55.25,-0.052500000000002)); #527361=CARTESIAN_POINT('Origin',(21.125,55.25,-0.052500000000002)); #527362=CARTESIAN_POINT('',(20.95,55.25,0.)); #527363=CARTESIAN_POINT('',(20.95,55.25,-200.)); #527364=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #527365=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #527366=CARTESIAN_POINT('',(33.075,19.25,-0.052500000000002)); #527367=CARTESIAN_POINT('Origin',(33.25,19.25,-0.052500000000002)); #527368=CARTESIAN_POINT('',(33.075,19.25,0.)); #527369=CARTESIAN_POINT('',(33.075,19.25,-200.)); #527370=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #527371=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #527372=CARTESIAN_POINT('',(22.7,56.,-0.052500000000002)); #527373=CARTESIAN_POINT('Origin',(22.875,56.,-0.052500000000002)); #527374=CARTESIAN_POINT('',(22.7,56.,0.)); #527375=CARTESIAN_POINT('',(22.7,56.,-200.)); #527376=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #527377=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #527378=CARTESIAN_POINT('',(12.575,21.75,-0.052500000000002)); #527379=CARTESIAN_POINT('Origin',(12.75,21.75,-0.052500000000002)); #527380=CARTESIAN_POINT('',(12.575,21.75,0.)); #527381=CARTESIAN_POINT('',(12.575,21.75,-200.)); #527382=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #527383=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #527384=CARTESIAN_POINT('',(22.7,55.25,-0.052500000000002)); #527385=CARTESIAN_POINT('Origin',(22.875,55.25,-0.052500000000002)); #527386=CARTESIAN_POINT('',(22.7,55.25,0.)); #527387=CARTESIAN_POINT('',(22.7,55.25,-200.)); #527388=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #527389=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #527390=CARTESIAN_POINT('',(31.325,9.5,-0.052500000000002)); #527391=CARTESIAN_POINT('Origin',(31.5,9.5,-0.052500000000002)); #527392=CARTESIAN_POINT('',(31.325,9.5,0.)); #527393=CARTESIAN_POINT('',(31.325,9.5,-200.)); #527394=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #527395=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #527396=CARTESIAN_POINT('',(23.575,56.,-0.052500000000002)); #527397=CARTESIAN_POINT('Origin',(23.75,56.,-0.052500000000002)); #527398=CARTESIAN_POINT('',(23.575,56.,0.)); #527399=CARTESIAN_POINT('',(23.575,56.,-200.)); #527400=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #527401=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #527402=CARTESIAN_POINT('',(55.825,20.25,-0.052500000000002)); #527403=CARTESIAN_POINT('Origin',(56.,20.25,-0.052500000000002)); #527404=CARTESIAN_POINT('',(55.825,20.25,0.)); #527405=CARTESIAN_POINT('',(55.825,20.25,-200.)); #527406=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #527407=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #527408=CARTESIAN_POINT('',(23.575,55.25,-0.052500000000002)); #527409=CARTESIAN_POINT('Origin',(23.75,55.25,-0.052500000000002)); #527410=CARTESIAN_POINT('',(23.575,55.25,0.)); #527411=CARTESIAN_POINT('',(23.575,55.25,-200.)); #527412=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #527413=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #527414=CARTESIAN_POINT('',(32.325,19.25,-0.052500000000002)); #527415=CARTESIAN_POINT('Origin',(32.5,19.25,-0.052500000000002)); #527416=CARTESIAN_POINT('',(32.325,19.25,0.)); #527417=CARTESIAN_POINT('',(32.325,19.25,-200.)); #527418=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #527419=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #527420=CARTESIAN_POINT('',(23.575,56.75,-0.052500000000002)); #527421=CARTESIAN_POINT('Origin',(23.75,56.75,-0.052500000000002)); #527422=CARTESIAN_POINT('',(23.575,56.75,0.)); #527423=CARTESIAN_POINT('',(23.575,56.75,-200.)); #527424=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #527425=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #527426=CARTESIAN_POINT('',(12.575,21.,-0.052500000000002)); #527427=CARTESIAN_POINT('Origin',(12.75,21.,-0.052500000000002)); #527428=CARTESIAN_POINT('',(12.575,21.,0.)); #527429=CARTESIAN_POINT('',(12.575,21.,-200.)); #527430=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #527431=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #527432=CARTESIAN_POINT('',(22.7,56.75,-0.052500000000002)); #527433=CARTESIAN_POINT('Origin',(22.875,56.75,-0.052500000000002)); #527434=CARTESIAN_POINT('',(22.7,56.75,0.)); #527435=CARTESIAN_POINT('',(22.7,56.75,-200.)); #527436=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #527437=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #527438=CARTESIAN_POINT('',(33.5,25.25,-0.052500000000002)); #527439=CARTESIAN_POINT('Origin',(34.,25.25,-0.052500000000002)); #527440=CARTESIAN_POINT('',(33.5,25.25,0.)); #527441=CARTESIAN_POINT('',(33.5,25.25,-200.)); #527442=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #527443=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #527444=CARTESIAN_POINT('',(30.6375,36.75,-0.052500000000002)); #527445=CARTESIAN_POINT('Origin',(30.8125,36.75,-0.052500000000002)); #527446=CARTESIAN_POINT('',(30.6375,36.75,0.)); #527447=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #527448=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #527449=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #527450=CARTESIAN_POINT('',(11.825,21.,-0.052500000000002)); #527451=CARTESIAN_POINT('Origin',(12.,21.,-0.052500000000002)); #527452=CARTESIAN_POINT('',(11.825,21.,0.)); #527453=CARTESIAN_POINT('',(11.825,21.,-200.)); #527454=CARTESIAN_POINT('Origin',(12.,21.,0.)); #527455=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #527456=CARTESIAN_POINT('',(29.075,36.75,-0.052500000000002)); #527457=CARTESIAN_POINT('Origin',(29.25,36.75,-0.052500000000002)); #527458=CARTESIAN_POINT('',(29.075,36.75,0.)); #527459=CARTESIAN_POINT('',(29.075,36.75,-200.)); #527460=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #527461=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #527462=CARTESIAN_POINT('',(33.825,19.25,-0.052500000000002)); #527463=CARTESIAN_POINT('Origin',(34.,19.25,-0.052500000000002)); #527464=CARTESIAN_POINT('',(33.825,19.25,0.)); #527465=CARTESIAN_POINT('',(33.825,19.25,-200.)); #527466=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #527467=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #527468=CARTESIAN_POINT('',(20.95,56.75,-0.052500000000002)); #527469=CARTESIAN_POINT('Origin',(21.125,56.75,-0.052500000000002)); #527470=CARTESIAN_POINT('',(20.95,56.75,0.)); #527471=CARTESIAN_POINT('',(20.95,56.75,-200.)); #527472=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #527473=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #527474=CARTESIAN_POINT('',(11.825,20.25,-0.052500000000002)); #527475=CARTESIAN_POINT('Origin',(12.,20.25,-0.052500000000002)); #527476=CARTESIAN_POINT('',(11.825,20.25,0.)); #527477=CARTESIAN_POINT('',(11.825,20.25,-200.)); #527478=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #527479=CARTESIAN_POINT('Origin',(27.988,52.5,-200.)); #527480=CARTESIAN_POINT('',(27.813,52.5,-0.052500000000002)); #527481=CARTESIAN_POINT('Origin',(27.988,52.5,-0.052500000000002)); #527482=CARTESIAN_POINT('',(27.813,52.5,0.)); #527483=CARTESIAN_POINT('',(27.813,52.5,-200.)); #527484=CARTESIAN_POINT('Origin',(27.988,52.5,0.)); #527485=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #527486=CARTESIAN_POINT('',(36.325,8.75,-0.052500000000002)); #527487=CARTESIAN_POINT('Origin',(36.5,8.75,-0.052500000000002)); #527488=CARTESIAN_POINT('',(36.325,8.75,0.)); #527489=CARTESIAN_POINT('',(36.325,8.75,-200.)); #527490=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #527491=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #527492=CARTESIAN_POINT('',(23.73,49.75,-0.052500000000002)); #527493=CARTESIAN_POINT('Origin',(23.905,49.75,-0.052500000000002)); #527494=CARTESIAN_POINT('',(23.73,49.75,0.)); #527495=CARTESIAN_POINT('',(23.73,49.75,-200.)); #527496=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #527497=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #527498=CARTESIAN_POINT('',(11.825,21.75,-0.052500000000002)); #527499=CARTESIAN_POINT('Origin',(12.,21.75,-0.052500000000002)); #527500=CARTESIAN_POINT('',(11.825,21.75,0.)); #527501=CARTESIAN_POINT('',(11.825,21.75,-200.)); #527502=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #527503=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #527504=CARTESIAN_POINT('',(47.73,58.75,-0.052500000000002)); #527505=CARTESIAN_POINT('Origin',(47.905,58.75,-0.052500000000002)); #527506=CARTESIAN_POINT('',(47.73,58.75,0.)); #527507=CARTESIAN_POINT('',(47.73,58.75,-200.)); #527508=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #527509=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #527510=CARTESIAN_POINT('',(34.575,19.25,-0.052500000000002)); #527511=CARTESIAN_POINT('Origin',(34.75,19.25,-0.052500000000002)); #527512=CARTESIAN_POINT('',(34.575,19.25,0.)); #527513=CARTESIAN_POINT('',(34.575,19.25,-200.)); #527514=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #527515=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #527516=CARTESIAN_POINT('',(23.73,58.75,-0.052500000000002)); #527517=CARTESIAN_POINT('Origin',(23.905,58.75,-0.052500000000002)); #527518=CARTESIAN_POINT('',(23.73,58.75,0.)); #527519=CARTESIAN_POINT('',(23.73,58.75,-200.)); #527520=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #527521=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #527522=CARTESIAN_POINT('',(13.325,21.75,-0.052500000000002)); #527523=CARTESIAN_POINT('Origin',(13.5,21.75,-0.052500000000002)); #527524=CARTESIAN_POINT('',(13.325,21.75,0.)); #527525=CARTESIAN_POINT('',(13.325,21.75,-200.)); #527526=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #527527=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #527528=CARTESIAN_POINT('',(47.73,49.75,-0.052500000000002)); #527529=CARTESIAN_POINT('Origin',(47.905,49.75,-0.052500000000002)); #527530=CARTESIAN_POINT('',(47.73,49.75,0.)); #527531=CARTESIAN_POINT('',(47.73,49.75,-200.)); #527532=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #527533=CARTESIAN_POINT('Origin',(34.,34.,0.)); #527534=CARTESIAN_POINT('',(0.,34.,-0.0525)); #527535=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #527536=CARTESIAN_POINT('',(0.,34.,0.)); #527537=CARTESIAN_POINT('',(0.,34.,0.)); #527538=CARTESIAN_POINT('Origin',(34.,34.,0.)); #527539=CARTESIAN_POINT('Origin',(34.,34.,0.)); #527540=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #527541=CARTESIAN_POINT('',(0.,0.,0.)); #527542=CARTESIAN_POINT('',(0.,0.,0.)); #527543=CARTESIAN_POINT('',(0.,0.,0.)); #527544=CARTESIAN_POINT('Origin',(3.559998152217,-0.350012700025019,11.350043525364)); #527545=CARTESIAN_POINT('',(3.809998152217,2.54998589352503,11.100043525364)); #527546=CARTESIAN_POINT('',(3.30999815221698,2.54998589352493,11.600043525364)); #527547=CARTESIAN_POINT('',(4.23749782364124,2.5499858935251,10.6725438539397)); #527548=CARTESIAN_POINT('',(3.30999815221699,1.64998633000993,11.600043525364)); #527549=CARTESIAN_POINT('',(3.309998152217,-0.35001270002502,11.600043525364)); #527550=CARTESIAN_POINT('',(3.809998152217,1.64998633001003,11.100043525364)); #527551=CARTESIAN_POINT('',(8.49881610053498,1.64998633000998,6.41122557704599)); #527552=CARTESIAN_POINT('',(3.809998152217,-0.350012700025018,11.100043525364)); #527553=CARTESIAN_POINT('Origin',(13.565274795314,-0.350012700025,11.600043525364)); #527554=CARTESIAN_POINT('',(-0.76999815221702,2.5499858935249,11.600043525364)); #527555=CARTESIAN_POINT('',(13.565274795314,2.549985893525,11.600043525364)); #527556=CARTESIAN_POINT('',(-0.769998152217013,1.6499863300099,11.600043525364)); #527557=CARTESIAN_POINT('',(-0.769998152217,-0.350012700025022,11.600043525364)); #527558=CARTESIAN_POINT('',(13.565274795314,1.64998633001,11.600043525364)); #527559=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527560=CARTESIAN_POINT('',(3.809998152217,2.549985893525,3.200047599217)); #527561=CARTESIAN_POINT('',(3.809998152217,2.549985893525,1.600048375189)); #527562=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #527563=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #527564=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #527565=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #527566=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #527567=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527568=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #527569=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #527570=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #527571=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #527572=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #527573=CARTESIAN_POINT('',(3.259998418957,2.549985893525,-1.19995026686)); #527574=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #527575=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527576=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #527577=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #527578=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #527579=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #527580=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #527581=CARTESIAN_POINT('',(0.719999650813,2.549985893525,-1.19995026686)); #527582=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #527583=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527584=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #527585=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #527586=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #527587=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #527588=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #527589=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,-1.19995026686)); #527590=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #527591=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527592=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #527593=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,-1.19995026686)); #527594=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #527595=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #527596=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #527597=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,-1.19995026686)); #527598=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527599=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527600=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #527601=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527602=CARTESIAN_POINT('',(-1.269998152217,2.54998589352502,3.200047599217)); #527603=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #527604=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352507,11.100043525364)); #527605=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352503,0.800048763174995)); #527606=CARTESIAN_POINT('',(-4.87249659178576,2.54998589352503,7.49754508579525)); #527607=CARTESIAN_POINT('Origin',(-1.019998152217,-0.350012700025025,11.350043525364)); #527608=CARTESIAN_POINT('',(-1.26999815221701,1.64998633000993,11.100043525364)); #527609=CARTESIAN_POINT('',(0.188821297121992,1.64998633000995,12.558862974703)); #527610=CARTESIAN_POINT('',(-1.269998152217,-0.350012700025027,11.100043525364)); #527611=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #527612=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,9.200044689322)); #527613=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #527614=CARTESIAN_POINT('',(3.809998152217,1.64998633001,9.200044689322)); #527615=CARTESIAN_POINT('',(13.565274795314,1.64998633001,9.200044689322)); #527616=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #527617=CARTESIAN_POINT('Origin',(-1.269998152217,-0.350012700024982,1.600048375189)); #527618=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,3.200047599217)); #527619=CARTESIAN_POINT('',(-1.269998152217,-0.350012700024982,3.200047599217)); #527620=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,6.20004614427)); #527621=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #527622=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,6.500045998775)); #527623=CARTESIAN_POINT('',(-1.26999815221702,2.94998569953218,4.90004677474672)); #527624=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,8.900044834817)); #527625=CARTESIAN_POINT('',(-1.26999815221701,1.3499864755039,1.600048375189)); #527626=CARTESIAN_POINT('',(-1.26999815221698,-3.15001134207407,4.40004701723803)); #527627=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,3.200047599217)); #527628=CARTESIAN_POINT('',(3.809998152217,1.64998633001,3.200047599217)); #527629=CARTESIAN_POINT('',(3.809998152217,-0.350012700025,3.200047599217)); #527630=CARTESIAN_POINT('',(13.565274795314,1.64998633001,3.200047599217)); #527631=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #527632=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #527633=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,3.200047599217)); #527634=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #527635=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #527636=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,3.200047599217)); #527637=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,3.200047599217)); #527638=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,3.200047599217)); #527639=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,3.200047599217)); #527640=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #527641=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,3.200047599217)); #527642=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,3.200047599217)); #527643=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #527644=CARTESIAN_POINT('',(3.489998307411,0.269986999285,3.200047599217)); #527645=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,3.200047599217)); #527646=CARTESIAN_POINT('',(3.489998307411,2.549985893525,3.200047599217)); #527647=CARTESIAN_POINT('',(4.129997997022,0.269986999285,3.200047599217)); #527648=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #527649=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,3.200047599217)); #527650=CARTESIAN_POINT('',(4.129997997022,2.549985893525,3.200047599217)); #527651=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #527652=CARTESIAN_POINT('',(0.949999539267,0.269986999285,3.200047599217)); #527653=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,3.200047599217)); #527654=CARTESIAN_POINT('',(0.949999539267,2.549985893525,3.200047599217)); #527655=CARTESIAN_POINT('',(1.589999228878,0.269986999285,3.200047599217)); #527656=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #527657=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,3.200047599217)); #527658=CARTESIAN_POINT('',(1.589999228878,2.549985893525,3.200047599217)); #527659=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #527660=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,3.200047599217)); #527661=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,3.200047599217)); #527662=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,3.200047599217)); #527663=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,3.200047599217)); #527664=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #527665=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,3.200047599217)); #527666=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,3.200047599217)); #527667=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #527668=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,1.200048569182)); #527669=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,1.200048569182)); #527670=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #527671=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #527672=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #527673=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #527674=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,1.200048569182)); #527675=CARTESIAN_POINT('',(3.489998307411,0.269986999285,1.200048569182)); #527676=CARTESIAN_POINT('',(3.489998307411,2.549985893525,1.200048569182)); #527677=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,1.200048569182)); #527678=CARTESIAN_POINT('',(3.259998418957,-0.370012690326,1.200048569182)); #527679=CARTESIAN_POINT('',(4.129997997022,0.269986999285,1.200048569182)); #527680=CARTESIAN_POINT('',(4.129997997022,2.549985893525,1.200048569182)); #527681=CARTESIAN_POINT('',(3.259998418957,0.269986999285,1.200048569182)); #527682=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,1.200048569182)); #527683=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,1.200048569182)); #527684=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #527685=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #527686=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #527687=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #527688=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,1.200048569182)); #527689=CARTESIAN_POINT('',(0.949999539267,0.269986999285,1.200048569182)); #527690=CARTESIAN_POINT('',(0.949999539267,2.549985893525,1.200048569182)); #527691=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,1.200048569182)); #527692=CARTESIAN_POINT('',(0.719999650813,-0.370012690326,1.200048569182)); #527693=CARTESIAN_POINT('',(1.589999228878,0.269986999285,1.200048569182)); #527694=CARTESIAN_POINT('',(1.589999228878,2.549985893525,1.200048569182)); #527695=CARTESIAN_POINT('',(0.719999650813,0.269986999285,1.200048569182)); #527696=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,1.200048569182)); #527697=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,1.200048569182)); #527698=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #527699=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #527700=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #527701=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #527702=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,1.200048569182)); #527703=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,1.200048569182)); #527704=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,1.200048569182)); #527705=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,1.200048569182)); #527706=CARTESIAN_POINT('',(-1.819999117332,-0.370012690326,1.200048569182)); #527707=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,1.200048569182)); #527708=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,1.200048569182)); #527709=CARTESIAN_POINT('',(-1.819999117332,0.269986999285,1.200048569182)); #527710=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527711=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,4.91511609997802E-5)); #527712=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,4.91511609997802E-5)); #527713=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527714=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #527715=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #527716=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527717=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,4.91511609997802E-5)); #527718=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #527719=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527720=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #527721=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #527722=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,1.200048569182)); #527723=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,1.200048569182)); #527724=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #527725=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #527726=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #527727=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #527728=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,1.200048569182)); #527729=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,1.200048569182)); #527730=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,1.200048569182)); #527731=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,1.200048569182)); #527732=CARTESIAN_POINT('',(-4.359997885476,-0.370012690326,1.200048569182)); #527733=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,1.200048569182)); #527734=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,1.200048569182)); #527735=CARTESIAN_POINT('',(-4.359997885476,0.269986999285,1.200048569182)); #527736=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527737=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,4.91511609997802E-5)); #527738=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #527739=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,4.91511609997802E-5)); #527740=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527741=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #527742=CARTESIAN_POINT('Origin',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527743=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,4.91511609997802E-5)); #527744=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,-1.19995026686)); #527745=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,4.91511609997802E-5)); #527746=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527747=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,-1.19995026686)); #527748=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,-1.19995026686)); #527749=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,-1.19995026686)); #527750=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,-1.19995026686)); #527751=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #527752=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527753=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527754=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,4.91511609997802E-5)); #527755=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #527756=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,-1.19995026686)); #527757=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,-1.19995026686)); #527758=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,-1.19995026686)); #527759=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527760=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #527761=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #527762=CARTESIAN_POINT('Origin',(-5.079997536289,-0.350012700025,1.600048375189)); #527763=CARTESIAN_POINT('Origin',(5.079997536289,-0.350012700025,1.600048375189)); #527764=CARTESIAN_POINT('Origin',(3.809998152217,-0.350012700025,1.600048375189)); #527765=CARTESIAN_POINT('',(3.809998152217,1.349986475504,8.900044834817)); #527766=CARTESIAN_POINT('',(3.809998152217,-3.150011342074,4.400047017238)); #527767=CARTESIAN_POINT('',(3.809998152217,1.349986475504,6.500045998775)); #527768=CARTESIAN_POINT('',(3.809998152217,1.349986475504,1.600048375189)); #527769=CARTESIAN_POINT('',(3.809998152217,1.64998633001,6.20004614427)); #527770=CARTESIAN_POINT('',(3.809998152217,2.949985699532,4.900046774747)); #527771=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #527772=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #527773=CARTESIAN_POINT('',(13.565274795314,1.64998633001,6.20004614427)); #527774=CARTESIAN_POINT('Origin',(13.565274795314,2.949985699532,4.900046774747)); #527775=CARTESIAN_POINT('',(13.565274795314,1.349986475504,6.500045998775)); #527776=CARTESIAN_POINT('Origin',(13.565274795314,1.349986475504,1.600048375189)); #527777=CARTESIAN_POINT('',(13.565274795314,1.349986475504,8.900044834817)); #527778=CARTESIAN_POINT('Origin',(13.565274795314,-3.150011342074,4.400047017238)); #527779=CARTESIAN_POINT('Origin',(-3.259998418957,2.549985893525,-1.19995026686)); #527780=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,-1.19995026686)); #527781=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-3.399949199898)); #527782=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,-3.399949199898)); #527783=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,-3.399949199898)); #527784=CARTESIAN_POINT('',(-3.97999806977,0.269986999285,-3.399949199898)); #527785=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,-3.399949199898)); #527786=CARTESIAN_POINT('',(-3.489998307411,0.119987072033,-3.399949199898)); #527787=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,-3.399949199898)); #527788=CARTESIAN_POINT('',(-3.639998234664,-0.370012690326,-3.399949199898)); #527789=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,-3.399949199898)); #527790=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #527791=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,-2.987827786852)); #527792=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #527793=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,-2.987827786852)); #527794=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,-2.987827786852)); #527795=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #527796=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #527797=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #527798=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #527799=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #527800=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #527801=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #527802=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #527803=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #527804=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #527805=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #527806=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #527807=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #527808=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #527809=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #527810=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #527811=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #527812=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #527813=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,9.687922839792)); #527814=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #527815=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #527816=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #527817=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #527818=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #527819=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #527820=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #527821=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,9.687922839792)); #527822=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #527823=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,10.100044252838)); #527824=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,10.100044252838)); #527825=CARTESIAN_POINT('',(-3.97999806977,-0.370012690326,10.100044252838)); #527826=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,10.100044252838)); #527827=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,10.100044252838)); #527828=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,10.100044252838)); #527829=CARTESIAN_POINT('',(-3.639998234664,0.269986999285,10.100044252838)); #527830=CARTESIAN_POINT('',(-4.129997997022,0.119987072033,10.100044252838)); #527831=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-2.987827786852)); #527832=CARTESIAN_POINT('',(-3.49209284723,-0.367918150507,-2.993582487709)); #527833=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #527834=CARTESIAN_POINT('Origin',(-3.97999806977,-0.370012690326,-3.399949199898)); #527835=CARTESIAN_POINT('',(-3.928681844198,0.06867084646102,-3.540939370908)); #527836=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,-2.987827786852)); #527837=CARTESIAN_POINT('',(-3.554929041808,0.205056264888,-3.166223513436)); #527838=CARTESIAN_POINT('Origin',(-4.129997997022,0.119987072033,-3.399949199898)); #527839=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,9.687922839792)); #527840=CARTESIAN_POINT('',(-3.554929041808,0.205056264889,9.866318566376)); #527841=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #527842=CARTESIAN_POINT('Origin',(-3.97999806977,0.269986999285,10.100044252838)); #527843=CARTESIAN_POINT('',(-3.928681844198,-0.168696537501,10.241034423848)); #527844=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,9.687922839792)); #527845=CARTESIAN_POINT('',(-3.49209284723,-0.367918150506,9.693677540649)); #527846=CARTESIAN_POINT('Origin',(-4.129997997022,-0.220012763073,10.100044252838)); #527847=CARTESIAN_POINT('Origin',(4.359997885476,2.549985893525,-1.19995026686)); #527848=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,-1.19995026686)); #527849=CARTESIAN_POINT('Origin',(1.819999117332,2.549985893525,-1.19995026686)); #527850=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,-1.19995026686)); #527851=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #527852=CARTESIAN_POINT('Origin',(-0.719999650813,2.549985893525,-1.19995026686)); #527853=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #527854=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,-1.19995026686)); #527855=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-3.399949199898)); #527856=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,-3.399949199898)); #527857=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,-3.399949199898)); #527858=CARTESIAN_POINT('',(-1.439999301625,0.269986999285,-3.399949199898)); #527859=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,-3.399949199898)); #527860=CARTESIAN_POINT('',(-0.949999539267,0.119987072033,-3.399949199898)); #527861=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,-3.399949199898)); #527862=CARTESIAN_POINT('',(-1.099999466519,-0.370012690326,-3.399949199898)); #527863=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,-3.399949199898)); #527864=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #527865=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,-2.987827786852)); #527866=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #527867=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,-2.987827786852)); #527868=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,-2.987827786852)); #527869=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #527870=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #527871=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #527872=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #527873=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #527874=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #527875=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #527876=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #527877=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #527878=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #527879=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #527880=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #527881=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #527882=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #527883=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #527884=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #527885=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #527886=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #527887=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,9.687922839792)); #527888=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #527889=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #527890=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #527891=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #527892=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #527893=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #527894=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #527895=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,9.687922839792)); #527896=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #527897=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,10.100044252838)); #527898=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,10.100044252838)); #527899=CARTESIAN_POINT('',(-1.439999301625,-0.370012690326,10.100044252838)); #527900=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,10.100044252838)); #527901=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,10.100044252838)); #527902=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,10.100044252838)); #527903=CARTESIAN_POINT('',(-1.099999466519,0.269986999285,10.100044252838)); #527904=CARTESIAN_POINT('',(-1.589999228878,0.119987072033,10.100044252838)); #527905=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-3.399949199898)); #527906=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,-3.399949199898)); #527907=CARTESIAN_POINT('',(1.099999466519,0.119987072033,-3.399949199898)); #527908=CARTESIAN_POINT('',(1.099999466519,0.269986999285,-3.399949199898)); #527909=CARTESIAN_POINT('',(1.439999301625,0.119987072033,-3.399949199898)); #527910=CARTESIAN_POINT('',(1.589999228878,0.119987072033,-3.399949199898)); #527911=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,-3.399949199898)); #527912=CARTESIAN_POINT('',(1.439999301625,-0.370012690326,-3.399949199898)); #527913=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,-3.399949199898)); #527914=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #527915=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,-2.987827786852)); #527916=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #527917=CARTESIAN_POINT('',(1.589999228878,0.269986999285,-2.987827786852)); #527918=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,-2.987827786852)); #527919=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #527920=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #527921=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #527922=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #527923=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #527924=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #527925=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #527926=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #527927=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #527928=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #527929=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #527930=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #527931=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #527932=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #527933=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #527934=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #527935=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #527936=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #527937=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,9.687922839792)); #527938=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #527939=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #527940=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #527941=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #527942=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #527943=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #527944=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #527945=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,9.687922839792)); #527946=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #527947=CARTESIAN_POINT('',(1.099999466519,0.119987072033,10.100044252838)); #527948=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,10.100044252838)); #527949=CARTESIAN_POINT('',(1.099999466519,-0.370012690326,10.100044252838)); #527950=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,10.100044252838)); #527951=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,10.100044252838)); #527952=CARTESIAN_POINT('',(1.439999301625,0.119987072033,10.100044252838)); #527953=CARTESIAN_POINT('',(1.439999301625,0.269986999285,10.100044252838)); #527954=CARTESIAN_POINT('',(0.949999539267,0.119987072033,10.100044252838)); #527955=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-3.399949199898)); #527956=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,-3.399949199898)); #527957=CARTESIAN_POINT('',(3.639998234664,0.119987072033,-3.399949199898)); #527958=CARTESIAN_POINT('',(3.639998234664,0.269986999285,-3.399949199898)); #527959=CARTESIAN_POINT('',(3.97999806977,0.119987072033,-3.399949199898)); #527960=CARTESIAN_POINT('',(4.129997997022,0.119987072033,-3.399949199898)); #527961=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,-3.399949199898)); #527962=CARTESIAN_POINT('',(3.97999806977,-0.370012690326,-3.399949199898)); #527963=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,-3.399949199898)); #527964=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #527965=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,-2.987827786852)); #527966=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #527967=CARTESIAN_POINT('',(4.129997997022,0.269986999285,-2.987827786852)); #527968=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,-2.987827786852)); #527969=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #527970=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #527971=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #527972=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #527973=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #527974=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #527975=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #527976=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #527977=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #527978=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #527979=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #527980=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #527981=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #527982=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #527983=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #527984=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #527985=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #527986=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #527987=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,9.687922839792)); #527988=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #527989=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #527990=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #527991=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #527992=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #527993=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #527994=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #527995=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,9.687922839792)); #527996=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #527997=CARTESIAN_POINT('',(3.639998234664,0.119987072033,10.100044252838)); #527998=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,10.100044252838)); #527999=CARTESIAN_POINT('',(3.639998234664,-0.370012690326,10.100044252838)); #528000=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,10.100044252838)); #528001=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,10.100044252838)); #528002=CARTESIAN_POINT('',(3.97999806977,0.119987072033,10.100044252838)); #528003=CARTESIAN_POINT('',(3.97999806977,0.269986999285,10.100044252838)); #528004=CARTESIAN_POINT('',(3.489998307411,0.119987072033,10.100044252838)); #528005=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-2.987827786852)); #528006=CARTESIAN_POINT('',(-0.952094079086,-0.367918150507,-2.993582487709)); #528007=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #528008=CARTESIAN_POINT('Origin',(-1.439999301625,-0.370012690326,-3.399949199898)); #528009=CARTESIAN_POINT('',(-1.388683076053,0.06867084646104,-3.540939370908)); #528010=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,-2.987827786852)); #528011=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,-3.166223513436)); #528012=CARTESIAN_POINT('Origin',(-1.589999228878,0.119987072033,-3.399949199898)); #528013=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,9.687922839792)); #528014=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,9.866318566376)); #528015=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #528016=CARTESIAN_POINT('Origin',(-1.439999301625,0.269986999285,10.100044252838)); #528017=CARTESIAN_POINT('',(-1.388683076053,-0.168696537501,10.241034423848)); #528018=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,9.687922839792)); #528019=CARTESIAN_POINT('',(-0.952094079086,-0.367918150506,9.693677540649)); #528020=CARTESIAN_POINT('Origin',(-1.589999228878,-0.220012763073,10.100044252838)); #528021=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-2.987827786852)); #528022=CARTESIAN_POINT('',(1.587904689059,-0.367918150507,-2.993582487709)); #528023=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #528024=CARTESIAN_POINT('Origin',(1.099999466519,-0.370012690326,-3.399949199898)); #528025=CARTESIAN_POINT('',(1.151315692091,0.06867084646106,-3.540939370908)); #528026=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,-2.987827786852)); #528027=CARTESIAN_POINT('',(1.525068494481,0.205056264889,-3.166223513436)); #528028=CARTESIAN_POINT('Origin',(0.949999539267,0.119987072033,-3.399949199898)); #528029=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,9.687922839792)); #528030=CARTESIAN_POINT('',(1.525068494481,0.205056264889,9.866318566376)); #528031=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #528032=CARTESIAN_POINT('Origin',(1.099999466519,0.269986999285,10.100044252838)); #528033=CARTESIAN_POINT('',(1.151315692091,-0.168696537501,10.241034423848)); #528034=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,9.687922839792)); #528035=CARTESIAN_POINT('',(1.587904689059,-0.367918150506,9.693677540649)); #528036=CARTESIAN_POINT('Origin',(0.949999539267,-0.220012763073,10.100044252838)); #528037=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-2.987827786852)); #528038=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,-2.993582487709)); #528039=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #528040=CARTESIAN_POINT('Origin',(3.639998234664,-0.370012690326,-3.399949199898)); #528041=CARTESIAN_POINT('',(3.691314460235,0.06867084646107,-3.540939370908)); #528042=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,-2.987827786852)); #528043=CARTESIAN_POINT('',(4.065067262625,0.205056264889,-3.166223513436)); #528044=CARTESIAN_POINT('Origin',(3.489998307411,0.119987072033,-3.399949199898)); #528045=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,9.687922839792)); #528046=CARTESIAN_POINT('',(4.065067262625,0.205056264889,9.866318566376)); #528047=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #528048=CARTESIAN_POINT('Origin',(3.639998234664,0.269986999285,10.100044252838)); #528049=CARTESIAN_POINT('',(3.691314460235,-0.168696537501,10.241034423848)); #528050=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,9.687922839792)); #528051=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,9.693677540649)); #528052=CARTESIAN_POINT('Origin',(3.489998307411,-0.220012763073,10.100044252838)); #528053=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #528054=CARTESIAN_POINT('',(0.,0.,0.)); #528055=CARTESIAN_POINT('',(0.,0.,0.)); #528056=CARTESIAN_POINT('',(0.,0.,0.)); #528057=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #528058=CARTESIAN_POINT('',(-0.85,-0.434,0.164)); #528059=CARTESIAN_POINT('',(-0.834,-0.434,0.18)); #528060=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #528061=CARTESIAN_POINT('',(-0.834,-0.45,0.164)); #528062=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #528063=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #528064=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #528065=CARTESIAN_POINT('',(-0.666,-0.45,0.164)); #528066=CARTESIAN_POINT('',(-0.666,-0.434,0.18)); #528067=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #528068=CARTESIAN_POINT('',(-0.65,-0.434,0.164)); #528069=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #528070=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #528071=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #528072=CARTESIAN_POINT('',(-0.85,-0.434,0.016)); #528073=CARTESIAN_POINT('',(-0.834,-0.45,0.016)); #528074=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #528075=CARTESIAN_POINT('',(-0.834,-0.434,0.)); #528076=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #528077=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #528078=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #528079=CARTESIAN_POINT('',(-0.834,0.45,0.164)); #528080=CARTESIAN_POINT('',(-0.834,0.434,0.18)); #528081=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #528082=CARTESIAN_POINT('',(-0.85,0.434,0.164)); #528083=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #528084=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #528085=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #528086=CARTESIAN_POINT('',(-0.666,-0.45,0.016)); #528087=CARTESIAN_POINT('',(-0.65,-0.434,0.016)); #528088=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #528089=CARTESIAN_POINT('',(-0.666,-0.434,0.)); #528090=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #528091=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #528092=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.016)); #528093=CARTESIAN_POINT('',(-0.8,-0.45,0.016)); #528094=CARTESIAN_POINT('',(-0.8,-0.434,0.)); #528095=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #528096=CARTESIAN_POINT('',(-0.65,0.434,0.164)); #528097=CARTESIAN_POINT('',(-0.666,0.434,0.18)); #528098=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #528099=CARTESIAN_POINT('',(-0.666,0.45,0.164)); #528100=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #528101=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #528102=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #528103=CARTESIAN_POINT('',(-0.834,0.434,0.)); #528104=CARTESIAN_POINT('',(-0.834,0.45,0.016)); #528105=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #528106=CARTESIAN_POINT('',(-0.85,0.434,0.016)); #528107=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #528108=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #528109=CARTESIAN_POINT('Origin',(-0.834,0.225,0.016)); #528110=CARTESIAN_POINT('',(-0.85,0.225,0.016)); #528111=CARTESIAN_POINT('',(-0.834,0.225,0.)); #528112=CARTESIAN_POINT('Origin',(-0.834,0.434,0.)); #528113=CARTESIAN_POINT('',(-0.834,0.45,0.)); #528114=CARTESIAN_POINT('',(-0.85,0.434,0.)); #528115=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #528116=CARTESIAN_POINT('',(-0.65,0.434,0.016)); #528117=CARTESIAN_POINT('',(-0.666,0.45,0.016)); #528118=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #528119=CARTESIAN_POINT('',(-0.666,0.434,0.)); #528120=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #528121=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #528122=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.016)); #528123=CARTESIAN_POINT('',(-0.666,-0.225,0.)); #528124=CARTESIAN_POINT('',(-0.65,-0.225,0.016)); #528125=CARTESIAN_POINT('Origin',(-0.7,0.434,0.016)); #528126=CARTESIAN_POINT('',(-0.7,0.45,0.016)); #528127=CARTESIAN_POINT('',(-0.7,0.434,0.)); #528128=CARTESIAN_POINT('Origin',(-0.666,0.434,0.)); #528129=CARTESIAN_POINT('',(-0.65,0.434,0.)); #528130=CARTESIAN_POINT('',(-0.666,0.45,0.)); #528131=CARTESIAN_POINT('Origin',(-0.7,0.434,0.164)); #528132=CARTESIAN_POINT('',(-0.7,0.434,0.18)); #528133=CARTESIAN_POINT('',(-0.7,0.45,0.164)); #528134=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.164)); #528135=CARTESIAN_POINT('',(-0.666,-0.225,0.18)); #528136=CARTESIAN_POINT('',(-0.65,-0.225,0.164)); #528137=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.)); #528138=CARTESIAN_POINT('',(-0.666,-0.45,0.)); #528139=CARTESIAN_POINT('',(-0.65,-0.434,0.)); #528140=CARTESIAN_POINT('Origin',(-0.834,0.225,0.164)); #528141=CARTESIAN_POINT('',(-0.834,0.225,0.18)); #528142=CARTESIAN_POINT('',(-0.85,0.225,0.164)); #528143=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.)); #528144=CARTESIAN_POINT('',(-0.85,-0.434,0.)); #528145=CARTESIAN_POINT('',(-0.834,-0.45,0.)); #528146=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.164)); #528147=CARTESIAN_POINT('',(-0.8,-0.434,0.18)); #528148=CARTESIAN_POINT('',(-0.8,-0.45,0.164)); #528149=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #528150=CARTESIAN_POINT('Origin',(-0.75,0.,0.)); #528151=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #528152=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #528153=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #528154=CARTESIAN_POINT('Origin',(-0.75,0.,0.18)); #528155=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #528156=CARTESIAN_POINT('',(0.65,-0.434,0.164)); #528157=CARTESIAN_POINT('',(0.666,-0.434,0.18)); #528158=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #528159=CARTESIAN_POINT('',(0.666,-0.45,0.164)); #528160=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #528161=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #528162=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #528163=CARTESIAN_POINT('',(0.834,-0.45,0.164)); #528164=CARTESIAN_POINT('',(0.834,-0.434,0.18)); #528165=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #528166=CARTESIAN_POINT('',(0.85,-0.434,0.164)); #528167=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #528168=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #528169=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #528170=CARTESIAN_POINT('',(0.65,-0.434,0.016)); #528171=CARTESIAN_POINT('',(0.666,-0.45,0.016)); #528172=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #528173=CARTESIAN_POINT('',(0.666,-0.434,0.)); #528174=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #528175=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #528176=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #528177=CARTESIAN_POINT('',(0.666,0.45,0.164)); #528178=CARTESIAN_POINT('',(0.666,0.434,0.18)); #528179=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #528180=CARTESIAN_POINT('',(0.65,0.434,0.164)); #528181=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #528182=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #528183=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #528184=CARTESIAN_POINT('',(0.834,-0.45,0.016)); #528185=CARTESIAN_POINT('',(0.85,-0.434,0.016)); #528186=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #528187=CARTESIAN_POINT('',(0.834,-0.434,0.)); #528188=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #528189=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #528190=CARTESIAN_POINT('Origin',(0.7,-0.434,0.016)); #528191=CARTESIAN_POINT('',(0.7,-0.45,0.016)); #528192=CARTESIAN_POINT('',(0.7,-0.434,0.)); #528193=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #528194=CARTESIAN_POINT('',(0.85,0.434,0.164)); #528195=CARTESIAN_POINT('',(0.834,0.434,0.18)); #528196=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #528197=CARTESIAN_POINT('',(0.834,0.45,0.164)); #528198=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #528199=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #528200=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #528201=CARTESIAN_POINT('',(0.666,0.434,0.)); #528202=CARTESIAN_POINT('',(0.666,0.45,0.016)); #528203=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #528204=CARTESIAN_POINT('',(0.65,0.434,0.016)); #528205=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #528206=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #528207=CARTESIAN_POINT('Origin',(0.666,0.225,0.016)); #528208=CARTESIAN_POINT('',(0.65,0.225,0.016)); #528209=CARTESIAN_POINT('',(0.666,0.225,0.)); #528210=CARTESIAN_POINT('Origin',(0.666,0.434,0.)); #528211=CARTESIAN_POINT('',(0.666,0.45,0.)); #528212=CARTESIAN_POINT('',(0.65,0.434,0.)); #528213=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #528214=CARTESIAN_POINT('',(0.85,0.434,0.016)); #528215=CARTESIAN_POINT('',(0.834,0.45,0.016)); #528216=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #528217=CARTESIAN_POINT('',(0.834,0.434,0.)); #528218=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #528219=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #528220=CARTESIAN_POINT('Origin',(0.834,-0.225,0.016)); #528221=CARTESIAN_POINT('',(0.85,-0.225,0.016)); #528222=CARTESIAN_POINT('',(0.834,-0.225,0.)); #528223=CARTESIAN_POINT('Origin',(0.8,0.434,0.016)); #528224=CARTESIAN_POINT('',(0.8,0.45,0.016)); #528225=CARTESIAN_POINT('',(0.8,0.434,0.)); #528226=CARTESIAN_POINT('Origin',(0.834,0.434,0.)); #528227=CARTESIAN_POINT('',(0.85,0.434,0.)); #528228=CARTESIAN_POINT('',(0.834,0.45,0.)); #528229=CARTESIAN_POINT('Origin',(0.8,0.434,0.164)); #528230=CARTESIAN_POINT('',(0.8,0.434,0.18)); #528231=CARTESIAN_POINT('',(0.8,0.45,0.164)); #528232=CARTESIAN_POINT('Origin',(0.834,-0.225,0.164)); #528233=CARTESIAN_POINT('',(0.834,-0.225,0.18)); #528234=CARTESIAN_POINT('',(0.85,-0.225,0.164)); #528235=CARTESIAN_POINT('Origin',(0.834,-0.434,0.)); #528236=CARTESIAN_POINT('',(0.834,-0.45,0.)); #528237=CARTESIAN_POINT('',(0.85,-0.434,0.)); #528238=CARTESIAN_POINT('Origin',(0.666,0.225,0.164)); #528239=CARTESIAN_POINT('',(0.666,0.225,0.18)); #528240=CARTESIAN_POINT('',(0.65,0.225,0.164)); #528241=CARTESIAN_POINT('Origin',(0.666,-0.434,0.)); #528242=CARTESIAN_POINT('',(0.65,-0.434,0.)); #528243=CARTESIAN_POINT('',(0.666,-0.45,0.)); #528244=CARTESIAN_POINT('Origin',(0.7,-0.434,0.164)); #528245=CARTESIAN_POINT('',(0.7,-0.434,0.18)); #528246=CARTESIAN_POINT('',(0.7,-0.45,0.164)); #528247=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #528248=CARTESIAN_POINT('Origin',(0.75,0.,0.)); #528249=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #528250=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #528251=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #528252=CARTESIAN_POINT('Origin',(0.75,0.,0.18)); #528253=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #528254=CARTESIAN_POINT('',(0.65,0.45,0.)); #528255=CARTESIAN_POINT('',(0.65,-0.45,0.)); #528256=CARTESIAN_POINT('',(0.65,0.45,0.)); #528257=CARTESIAN_POINT('',(0.65,0.45,0.18)); #528258=CARTESIAN_POINT('',(0.65,0.45,0.)); #528259=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #528260=CARTESIAN_POINT('',(0.65,0.45,0.18)); #528261=CARTESIAN_POINT('',(0.65,-0.45,0.)); #528262=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #528263=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #528264=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #528265=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #528266=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #528267=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #528268=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #528269=CARTESIAN_POINT('',(-0.65,0.45,0.)); #528270=CARTESIAN_POINT('',(-0.65,0.45,0.)); #528271=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #528272=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #528273=CARTESIAN_POINT('',(-0.65,0.45,0.)); #528274=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #528275=CARTESIAN_POINT('',(0.85,0.45,0.)); #528276=CARTESIAN_POINT('',(0.85,0.45,0.18)); #528277=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #528278=CARTESIAN_POINT('Origin',(0.,0.,0.)); #528279=CARTESIAN_POINT('Origin',(-1.62579519772896E-18,0.,0.4)); #528280=CARTESIAN_POINT('',(0.565,0.405,0.4)); #528281=CARTESIAN_POINT('',(0.565,-0.405,0.4)); #528282=CARTESIAN_POINT('',(0.565,0.405,0.4)); #528283=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #528284=CARTESIAN_POINT('',(0.765,0.405,0.4)); #528285=CARTESIAN_POINT('',(-0.565,-0.405,0.4)); #528286=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #528287=CARTESIAN_POINT('',(-0.765,-0.405,0.4)); #528288=CARTESIAN_POINT('Origin',(1.29029018874308E-18,0.,0.18)); #528289=CARTESIAN_POINT('',(0.65,0.45,0.18)); #528290=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #528291=CARTESIAN_POINT('',(0.65,0.45,0.18)); #528292=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #528293=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #528294=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #528295=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #528296=CARTESIAN_POINT('',(0.85,0.45,0.18)); #528297=CARTESIAN_POINT('Origin',(0.65,0.45,0.18)); #528298=CARTESIAN_POINT('',(0.65,0.45,0.18)); #528299=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #528300=CARTESIAN_POINT('Origin',(0.65,-0.45,0.18)); #528301=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #528302=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.18)); #528303=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #528304=CARTESIAN_POINT('Origin',(-0.65,0.45,0.18)); #528305=CARTESIAN_POINT('Origin',(-0.085,-0.045,0.18)); #528306=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #528307=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #528308=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #528309=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #528310=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #528311=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #528312=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #528313=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #528314=CARTESIAN_POINT('Origin',(-0.085,0.045,0.18)); #528315=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #528316=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #528317=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #528318=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #528319=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #528320=CARTESIAN_POINT('Origin',(0.085,0.045,0.18)); #528321=CARTESIAN_POINT('',(0.085,0.045,0.18)); #528322=CARTESIAN_POINT('',(0.085,0.045,0.18)); #528323=CARTESIAN_POINT('',(0.085,0.045,0.198)); #528324=CARTESIAN_POINT('',(0.085,0.045,0.198)); #528325=CARTESIAN_POINT('',(0.085,0.045,0.18)); #528326=CARTESIAN_POINT('Origin',(0.085,-0.045,0.18)); #528327=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #528328=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #528329=CARTESIAN_POINT('Origin',(0.,0.,0.198)); #528330=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #528331=CARTESIAN_POINT('',(0.,0.,0.)); #528332=CARTESIAN_POINT('',(0.,0.,0.)); #528333=CARTESIAN_POINT('',(0.,0.,0.)); #528334=CARTESIAN_POINT('',(0.,0.,0.)); #528335=CARTESIAN_POINT('',(0.,0.,0.)); #528336=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.04)); #528337=CARTESIAN_POINT('',(3.32213604519991,2.42,-0.869999999999998)); #528338=CARTESIAN_POINT('',(3.32213604519991,2.47,-0.921410652473266)); #528339=CARTESIAN_POINT('',(3.32213604519991,2.58533538461547,-1.04)); #528340=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #528341=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #528342=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #528343=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #528344=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #528345=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #528346=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #528347=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #528348=CARTESIAN_POINT('',(-3.32213604519992,2.42,-0.869999999999999)); #528349=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #528350=CARTESIAN_POINT('',(-3.32213604519992,2.47,-0.921410652473267)); #528351=CARTESIAN_POINT('',(-3.32213604519992,2.58533538461547,-1.04)); #528352=CARTESIAN_POINT('',(-3.1,2.47,-0.921410652473267)); #528353=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #528354=CARTESIAN_POINT('',(-3.1,2.42,-0.869999999999998)); #528355=CARTESIAN_POINT('',(-3.1,2.58533538461547,-1.04)); #528356=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999999)); #528357=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999998)); #528358=CARTESIAN_POINT('',(-0.17,2.47,-0.921410652473266)); #528359=CARTESIAN_POINT('',(-0.17,2.58533538461547,-1.04)); #528360=CARTESIAN_POINT('',(0.17,2.47,-0.921410652473266)); #528361=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #528362=CARTESIAN_POINT('',(0.17,2.42,-0.869999999999999)); #528363=CARTESIAN_POINT('',(0.17,2.58533538461547,-1.04)); #528364=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #528365=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #528366=CARTESIAN_POINT('',(3.1,2.47,-0.921410652473266)); #528367=CARTESIAN_POINT('',(3.1,2.58533538461547,-1.04)); #528368=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #528369=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #528370=CARTESIAN_POINT('',(3.345,-0.38,0.15)); #528371=CARTESIAN_POINT('',(3.345,0.,0.15)); #528372=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #528373=CARTESIAN_POINT('',(3.345,-0.38,-0.149999999999999)); #528374=CARTESIAN_POINT('',(3.345,-0.38,-10.8234987569897)); #528375=CARTESIAN_POINT('',(3.345,0.,-0.15)); #528376=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #528377=CARTESIAN_POINT('',(3.345,0.,-0.15)); #528378=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #528379=CARTESIAN_POINT('',(-3.345,-0.38,-0.15)); #528380=CARTESIAN_POINT('',(-3.145,-0.38,-0.524165738677395)); #528381=CARTESIAN_POINT('Origin',(-2.895,-0.38,-0.15)); #528382=CARTESIAN_POINT('',(-3.345,0.,-0.15)); #528383=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #528384=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #528385=CARTESIAN_POINT('Origin',(-2.895,0.,-0.15)); #528386=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #528387=CARTESIAN_POINT('',(-2.895,-1.58,-0.6)); #528388=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #528389=CARTESIAN_POINT('Origin',(-2.895,-1.35,-0.15)); #528390=CARTESIAN_POINT('',(-3.345,-1.12,-0.150000000000001)); #528391=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #528392=CARTESIAN_POINT('',(-3.145,-1.12,-0.524165738677395)); #528393=CARTESIAN_POINT('Origin',(-2.895,-1.12,-0.15)); #528394=CARTESIAN_POINT('',(-3.145,-1.58,-0.524165738677395)); #528395=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #528396=CARTESIAN_POINT('',(-3.145,-0.38,0.524165738677395)); #528397=CARTESIAN_POINT('',(-3.345,-0.38,0.150000000000001)); #528398=CARTESIAN_POINT('Origin',(-2.895,-0.38,0.15)); #528399=CARTESIAN_POINT('',(-3.145,-1.12,0.524165738677395)); #528400=CARTESIAN_POINT('',(-3.145,-1.58,0.524165738677395)); #528401=CARTESIAN_POINT('',(-3.345,-1.12,0.150000000000001)); #528402=CARTESIAN_POINT('Origin',(-2.895,-1.12,0.15)); #528403=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #528404=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #528405=CARTESIAN_POINT('',(-2.895,-1.35,0.6)); #528406=CARTESIAN_POINT('Origin',(-2.895,-1.35,0.15)); #528407=CARTESIAN_POINT('',(-2.895,0.,0.6)); #528408=CARTESIAN_POINT('',(-2.895,-1.58,0.6)); #528409=CARTESIAN_POINT('',(-3.345,0.,0.15)); #528410=CARTESIAN_POINT('Origin',(-2.895,0.,0.15)); #528411=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #528412=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #528413=CARTESIAN_POINT('',(-3.345,-0.38,0.15)); #528414=CARTESIAN_POINT('',(-3.345,0.,0.15)); #528415=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #528416=CARTESIAN_POINT('',(-3.345,-1.12,0.15)); #528417=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #528418=CARTESIAN_POINT('Origin',(3.19,2.42,1.26)); #528419=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #528420=CARTESIAN_POINT('',(3.1,1.855,1.26)); #528421=CARTESIAN_POINT('',(3.1,1.855,1.26)); #528422=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #528423=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #528424=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #528425=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #528426=CARTESIAN_POINT('',(-3.19,0.150000000000001,1.26)); #528427=CARTESIAN_POINT('',(-3.19,2.42,1.26)); #528428=CARTESIAN_POINT('',(-2.65,0.15,1.26)); #528429=CARTESIAN_POINT('',(3.19,0.15,1.26)); #528430=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #528431=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #528432=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #528433=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #528434=CARTESIAN_POINT('',(-1.35,0.15,1.26)); #528435=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #528436=CARTESIAN_POINT('',(1.35,0.15,1.26)); #528437=CARTESIAN_POINT('',(3.19,0.15,1.26)); #528438=CARTESIAN_POINT('',(1.35,0.55,1.26)); #528439=CARTESIAN_POINT('',(1.35,0.55,1.26)); #528440=CARTESIAN_POINT('',(2.65,0.55,1.26)); #528441=CARTESIAN_POINT('',(2.65,0.55,1.26)); #528442=CARTESIAN_POINT('',(2.65,0.15,1.26)); #528443=CARTESIAN_POINT('',(2.65,0.55,1.26)); #528444=CARTESIAN_POINT('',(3.19,0.150000000000001,1.26)); #528445=CARTESIAN_POINT('',(3.19,0.15,1.26)); #528446=CARTESIAN_POINT('',(3.19,2.22,1.26)); #528447=CARTESIAN_POINT('',(3.19,2.42,1.26)); #528448=CARTESIAN_POINT('',(3.1,2.22,1.26)); #528449=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #528450=CARTESIAN_POINT('',(3.1,1.855,1.26)); #528451=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #528452=CARTESIAN_POINT('',(3.1,2.47,1.01)); #528453=CARTESIAN_POINT('',(3.1,2.22,1.26)); #528454=CARTESIAN_POINT('',(3.19,2.47,1.01)); #528455=CARTESIAN_POINT('',(3.19,2.42,1.06)); #528456=CARTESIAN_POINT('',(3.19,2.47,1.01)); #528457=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #528458=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #528459=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #528460=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #528461=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #528462=CARTESIAN_POINT('',(3.1,2.355,1.26)); #528463=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #528464=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #528465=CARTESIAN_POINT('',(0.17,2.355,0.369999999999999)); #528466=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #528467=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #528468=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #528469=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #528470=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #528471=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #528472=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #528473=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #528474=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #528475=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #528476=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #528477=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #528478=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #528479=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #528480=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #528481=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #528482=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #528483=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #528484=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #528485=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #528486=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #528487=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #528488=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #528489=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #528490=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #528491=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #528492=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #528493=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #528494=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #528495=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #528496=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #528497=CARTESIAN_POINT('',(3.6,2.42,0.939921870231046)); #528498=CARTESIAN_POINT('',(3.6,2.42,1.25086280059687)); #528499=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #528500=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #528501=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #528502=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #528503=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #528504=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #528505=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #528506=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #528507=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #528508=CARTESIAN_POINT('',(-3.6,2.42,0.939921870231047)); #528509=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #528510=CARTESIAN_POINT('',(-3.6,2.42,1.25086280059687)); #528511=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #528512=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #528513=CARTESIAN_POINT('',(-3.95,2.42,-1.26)); #528514=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #528515=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #528516=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #528517=CARTESIAN_POINT('',(-3.6,2.355,1.01590851370828)); #528518=CARTESIAN_POINT('',(-3.32213604519992,2.355,1.11434947384948)); #528519=CARTESIAN_POINT('Origin',(-3.19,2.355,0.3)); #528520=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.35500000000019,1.01590851370843)); #528521=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.37685164369348,0.990727075435561)); #528522=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.39853659842912,0.965412771468694)); #528523=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.42,0.939921870231046)); #528524=CARTESIAN_POINT('',(-4.15,2.22,0.3)); #528525=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #528526=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #528527=CARTESIAN_POINT('',(-3.19,2.47,1.01)); #528528=CARTESIAN_POINT('',(-3.19,2.42,1.06)); #528529=CARTESIAN_POINT('',(-3.32213604519992,2.47,0.997595918536602)); #528530=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #528531=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.47,0.997595918536603)); #528532=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.43171513226147, 1.03656153655711)); #528533=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.39337494808504, 1.07547263634076)); #528534=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.355,1.11434947384948)); #528535=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528536=CARTESIAN_POINT('',(-0.13,2.19497564091726,-1.56)); #528537=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.56)); #528538=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.56)); #528539=CARTESIAN_POINT('',(-0.37,2.19497564091726,-1.56)); #528540=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528541=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.56)); #528542=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.56)); #528543=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528544=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528545=CARTESIAN_POINT('',(-0.63,2.19497564091726,-1.56)); #528546=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #528547=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.56)); #528548=CARTESIAN_POINT('',(-0.87,2.19497564091726,-1.56)); #528549=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528550=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.56)); #528551=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.56)); #528552=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528553=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528554=CARTESIAN_POINT('',(-1.13,2.19497564091726,-1.56)); #528555=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #528556=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.56)); #528557=CARTESIAN_POINT('',(-1.37149064031341,2.19497564091726,-1.56)); #528558=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528559=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.56)); #528560=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.56)); #528561=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528562=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528563=CARTESIAN_POINT('',(-1.63149064031341,2.19497564091726,-1.56)); #528564=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #528565=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.56)); #528566=CARTESIAN_POINT('',(-1.87149064031341,2.19497564091726,-1.56)); #528567=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528568=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.56)); #528569=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.56)); #528570=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528571=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528572=CARTESIAN_POINT('',(-2.15,2.19497564091726,-1.56)); #528573=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #528574=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.56)); #528575=CARTESIAN_POINT('',(-2.65,2.19497564091726,-1.56)); #528576=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528577=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.56)); #528578=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.56)); #528579=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528580=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528581=CARTESIAN_POINT('',(-2.95,2.19497564091726,-1.56)); #528582=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #528583=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.56)); #528584=CARTESIAN_POINT('',(-3.45,2.19497564091726,-1.56)); #528585=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528586=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #528587=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.56)); #528588=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528589=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528590=CARTESIAN_POINT('',(0.37,2.19497564091726,-1.56)); #528591=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.56)); #528592=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.56)); #528593=CARTESIAN_POINT('',(0.13,2.19497564091726,-1.56)); #528594=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528595=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #528596=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.56)); #528597=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528598=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528599=CARTESIAN_POINT('',(0.87,2.19497564091726,-1.56)); #528600=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.56)); #528601=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.56)); #528602=CARTESIAN_POINT('',(0.63,2.19497564091726,-1.56)); #528603=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528604=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #528605=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.56)); #528606=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528607=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528608=CARTESIAN_POINT('',(1.37149064031341,2.19497564091726,-1.56)); #528609=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.56)); #528610=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.56)); #528611=CARTESIAN_POINT('',(1.13,2.19497564091726,-1.56)); #528612=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528613=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #528614=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.56)); #528615=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528616=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528617=CARTESIAN_POINT('',(1.87149064031341,2.19497564091726,-1.56)); #528618=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.56)); #528619=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.56)); #528620=CARTESIAN_POINT('',(1.63149064031341,2.19497564091726,-1.56)); #528621=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528622=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #528623=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.56)); #528624=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528625=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528626=CARTESIAN_POINT('',(2.65,2.19497564091726,-1.56)); #528627=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.56)); #528628=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.56)); #528629=CARTESIAN_POINT('',(2.15,2.19497564091726,-1.56)); #528630=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528631=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #528632=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.56)); #528633=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528634=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #528635=CARTESIAN_POINT('',(3.45,2.19497564091726,-1.56)); #528636=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #528637=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.56)); #528638=CARTESIAN_POINT('',(2.95,2.19497564091726,-1.56)); #528639=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #528640=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #528641=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.56)); #528642=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528643=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.56)); #528644=CARTESIAN_POINT('',(2.95,2.17733538461547,-1.46)); #528645=CARTESIAN_POINT('',(2.95,2.19166683333174,-1.54124285991705)); #528646=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #528647=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.46)); #528648=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #528649=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.46)); #528650=CARTESIAN_POINT('',(2.9,2.12733538461547,-1.41)); #528651=CARTESIAN_POINT('',(2.91933333333333,2.14666871794881,-1.42933333333333)); #528652=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #528653=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #528654=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #528655=CARTESIAN_POINT('Origin',(2.7,2.08533538461547,-1.41)); #528656=CARTESIAN_POINT('',(2.7,2.12733538461547,-1.41)); #528657=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #528658=CARTESIAN_POINT('',(2.7,2.08533538461547,-1.41)); #528659=CARTESIAN_POINT('',(2.65,2.17733538461547,-1.46)); #528660=CARTESIAN_POINT('',(2.714,2.11333538461547,-1.396)); #528661=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #528662=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.46)); #528663=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #528664=CARTESIAN_POINT('Origin',(2.65,2.08533538461547,-1.46)); #528665=CARTESIAN_POINT('',(2.65,2.17455893818844,-1.44426073646817)); #528666=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #528667=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.56)); #528668=CARTESIAN_POINT('',(2.15,2.17733538461547,-1.46)); #528669=CARTESIAN_POINT('',(2.15,2.19166683333174,-1.54124285991705)); #528670=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #528671=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.46)); #528672=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #528673=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.46)); #528674=CARTESIAN_POINT('',(2.1,2.12733538461547,-1.41)); #528675=CARTESIAN_POINT('',(2.11933333333333,2.1466687179488,-1.42933333333333)); #528676=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #528677=CARTESIAN_POINT('',(2.1,2.08533538461547,-1.41)); #528678=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #528679=CARTESIAN_POINT('Origin',(1.92149064031341,2.08533538461547,-1.41)); #528680=CARTESIAN_POINT('',(1.92149064031341,2.12733538461547,-1.41)); #528681=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #528682=CARTESIAN_POINT('',(1.92149064031341,2.08533538461547,-1.41)); #528683=CARTESIAN_POINT('',(1.87149064031341,2.17733538461547,-1.46)); #528684=CARTESIAN_POINT('',(1.93549064031341,2.11333538461547,-1.396)); #528685=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #528686=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.46)); #528687=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #528688=CARTESIAN_POINT('Origin',(1.87149064031341,2.08533538461547,-1.46)); #528689=CARTESIAN_POINT('',(1.87149064031341,2.17455893818844,-1.44426073646817)); #528690=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #528691=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.56)); #528692=CARTESIAN_POINT('',(1.63149064031341,2.17733538461547,-1.46)); #528693=CARTESIAN_POINT('',(1.63149064031341,2.19166683333174,-1.54124285991705)); #528694=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #528695=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.46)); #528696=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #528697=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.46)); #528698=CARTESIAN_POINT('',(1.58149064031341,2.12733538461547,-1.41)); #528699=CARTESIAN_POINT('',(1.60082397364674,2.1466687179488,-1.42933333333333)); #528700=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #528701=CARTESIAN_POINT('',(1.58149064031341,2.08533538461547,-1.41)); #528702=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #528703=CARTESIAN_POINT('Origin',(1.42149064031341,2.08533538461547,-1.41)); #528704=CARTESIAN_POINT('',(1.42149064031341,2.12733538461547,-1.41)); #528705=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #528706=CARTESIAN_POINT('',(1.42149064031341,2.08533538461547,-1.41)); #528707=CARTESIAN_POINT('',(1.37149064031341,2.17733538461547,-1.46)); #528708=CARTESIAN_POINT('',(1.43549064031341,2.11333538461547,-1.396)); #528709=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #528710=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.46)); #528711=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #528712=CARTESIAN_POINT('Origin',(1.37149064031341,2.08533538461547,-1.46)); #528713=CARTESIAN_POINT('',(1.37149064031341,2.17455893818844,-1.44426073646817)); #528714=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #528715=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.56)); #528716=CARTESIAN_POINT('',(1.13,2.17733538461547,-1.46)); #528717=CARTESIAN_POINT('',(1.13,2.19166683333174,-1.54124285991705)); #528718=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #528719=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.46)); #528720=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #528721=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.46)); #528722=CARTESIAN_POINT('',(1.08,2.12733538461547,-1.41)); #528723=CARTESIAN_POINT('',(1.09933333333333,2.1466687179488,-1.42933333333333)); #528724=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #528725=CARTESIAN_POINT('',(1.08,2.08533538461547,-1.41)); #528726=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #528727=CARTESIAN_POINT('Origin',(0.92,2.08533538461547,-1.41)); #528728=CARTESIAN_POINT('',(0.92,2.12733538461547,-1.41)); #528729=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #528730=CARTESIAN_POINT('',(0.92,2.08533538461547,-1.41)); #528731=CARTESIAN_POINT('',(0.87,2.17733538461547,-1.46)); #528732=CARTESIAN_POINT('',(0.934,2.11333538461547,-1.396)); #528733=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #528734=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.46)); #528735=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #528736=CARTESIAN_POINT('Origin',(0.87,2.08533538461547,-1.46)); #528737=CARTESIAN_POINT('',(0.87,2.17455893818844,-1.44426073646817)); #528738=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #528739=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.56)); #528740=CARTESIAN_POINT('',(0.630000000000001,2.17733538461547,-1.46)); #528741=CARTESIAN_POINT('',(0.63,2.19166683333174,-1.54124285991705)); #528742=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #528743=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.46)); #528744=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #528745=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.46)); #528746=CARTESIAN_POINT('',(0.58,2.12733538461547,-1.41)); #528747=CARTESIAN_POINT('',(0.599333333333333,2.1466687179488,-1.42933333333333)); #528748=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #528749=CARTESIAN_POINT('',(0.58,2.08533538461547,-1.41)); #528750=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #528751=CARTESIAN_POINT('Origin',(0.42,2.08533538461547,-1.41)); #528752=CARTESIAN_POINT('',(0.420000000000001,2.12733538461547,-1.41)); #528753=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #528754=CARTESIAN_POINT('',(0.42,2.08533538461547,-1.41)); #528755=CARTESIAN_POINT('',(0.37,2.17733538461547,-1.46)); #528756=CARTESIAN_POINT('',(0.434,2.11333538461547,-1.396)); #528757=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #528758=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.46)); #528759=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #528760=CARTESIAN_POINT('Origin',(0.37,2.08533538461547,-1.46)); #528761=CARTESIAN_POINT('',(0.37,2.17455893818844,-1.44426073646817)); #528762=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #528763=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.56)); #528764=CARTESIAN_POINT('',(0.130000000000001,2.17733538461547,-1.46)); #528765=CARTESIAN_POINT('',(0.13,2.19166683333174,-1.54124285991705)); #528766=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #528767=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.46)); #528768=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #528769=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.46)); #528770=CARTESIAN_POINT('',(0.0800000000000003,2.12733538461547,-1.41)); #528771=CARTESIAN_POINT('',(0.0993333333333333,2.1466687179488,-1.42933333333333)); #528772=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #528773=CARTESIAN_POINT('',(0.0800000000000003,2.08533538461547,-1.41)); #528774=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #528775=CARTESIAN_POINT('Origin',(-0.0800000000000003,2.08533538461547, -1.41)); #528776=CARTESIAN_POINT('',(-0.0799999999999999,2.12733538461547,-1.41)); #528777=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #528778=CARTESIAN_POINT('',(-0.0800000000000003,2.08533538461547,-1.41)); #528779=CARTESIAN_POINT('',(-0.13,2.17733538461547,-1.46)); #528780=CARTESIAN_POINT('',(-0.0660000000000001,2.11333538461547,-1.396)); #528781=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #528782=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.46)); #528783=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #528784=CARTESIAN_POINT('Origin',(-0.13,2.08533538461547,-1.46)); #528785=CARTESIAN_POINT('',(-0.13,2.17455893818844,-1.44426073646817)); #528786=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #528787=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.56)); #528788=CARTESIAN_POINT('',(-3.45,2.17733538461547,-1.46)); #528789=CARTESIAN_POINT('',(-3.45,2.19166683333174,-1.54124285991705)); #528790=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #528791=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.46)); #528792=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #528793=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.46)); #528794=CARTESIAN_POINT('',(-3.5,2.12733538461547,-1.41)); #528795=CARTESIAN_POINT('',(-3.48066666666667,2.1466687179488,-1.42933333333333)); #528796=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #528797=CARTESIAN_POINT('',(-3.5,2.08533538461547,-1.41)); #528798=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #528799=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.56)); #528800=CARTESIAN_POINT('',(-2.95,2.17733538461547,-1.46)); #528801=CARTESIAN_POINT('',(-2.95,2.19166683333174,-1.54124285991705)); #528802=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #528803=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #528804=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.46)); #528805=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.46)); #528806=CARTESIAN_POINT('',(-2.9,2.12733538461547,-1.41)); #528807=CARTESIAN_POINT('',(-2.91933333333333,2.1466687179488,-1.42933333333333)); #528808=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #528809=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #528810=CARTESIAN_POINT('',(-2.9,2.08533538461547,-1.41)); #528811=CARTESIAN_POINT('Origin',(-2.7,2.08533538461547,-1.41)); #528812=CARTESIAN_POINT('',(-2.7,2.12733538461547,-1.41)); #528813=CARTESIAN_POINT('',(-2.65,2.17733538461547,-1.46)); #528814=CARTESIAN_POINT('',(-2.714,2.11333538461547,-1.396)); #528815=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #528816=CARTESIAN_POINT('',(-2.7,2.08533538461547,-1.41)); #528817=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #528818=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #528819=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.46)); #528820=CARTESIAN_POINT('Origin',(-2.65,2.08533538461547,-1.46)); #528821=CARTESIAN_POINT('',(-2.65,2.17455893818844,-1.44426073646817)); #528822=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #528823=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.56)); #528824=CARTESIAN_POINT('',(-2.15,2.17733538461547,-1.46)); #528825=CARTESIAN_POINT('',(-2.15,2.19166683333174,-1.54124285991705)); #528826=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #528827=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #528828=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.46)); #528829=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.46)); #528830=CARTESIAN_POINT('',(-2.1,2.12733538461547,-1.41)); #528831=CARTESIAN_POINT('',(-2.11933333333333,2.1466687179488,-1.42933333333333)); #528832=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #528833=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #528834=CARTESIAN_POINT('',(-2.1,2.08533538461547,-1.41)); #528835=CARTESIAN_POINT('Origin',(-1.92149064031341,2.08533538461547,-1.41)); #528836=CARTESIAN_POINT('',(-1.92149064031341,2.12733538461547,-1.41)); #528837=CARTESIAN_POINT('',(-1.87149064031341,2.17733538461547,-1.46)); #528838=CARTESIAN_POINT('',(-1.93549064031341,2.11333538461547,-1.396)); #528839=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #528840=CARTESIAN_POINT('',(-1.92149064031341,2.08533538461547,-1.41)); #528841=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #528842=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #528843=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.46)); #528844=CARTESIAN_POINT('Origin',(-1.87149064031341,2.08533538461547,-1.46)); #528845=CARTESIAN_POINT('',(-1.87149064031341,2.17455893818844,-1.44426073646817)); #528846=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #528847=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.56)); #528848=CARTESIAN_POINT('',(-1.63149064031341,2.17733538461547,-1.46)); #528849=CARTESIAN_POINT('',(-1.63149064031341,2.19166683333174,-1.54124285991705)); #528850=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #528851=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #528852=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.46)); #528853=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.46)); #528854=CARTESIAN_POINT('',(-1.58149064031341,2.12733538461547,-1.41)); #528855=CARTESIAN_POINT('',(-1.60082397364674,2.1466687179488,-1.42933333333333)); #528856=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #528857=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #528858=CARTESIAN_POINT('',(-1.58149064031341,2.08533538461547,-1.41)); #528859=CARTESIAN_POINT('Origin',(-1.42149064031341,2.08533538461547,-1.41)); #528860=CARTESIAN_POINT('',(-1.42149064031341,2.12733538461547,-1.41)); #528861=CARTESIAN_POINT('',(-1.37149064031341,2.17733538461547,-1.46)); #528862=CARTESIAN_POINT('',(-1.43549064031341,2.11333538461547,-1.396)); #528863=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #528864=CARTESIAN_POINT('',(-1.42149064031341,2.08533538461547,-1.41)); #528865=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #528866=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #528867=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.46)); #528868=CARTESIAN_POINT('Origin',(-1.37149064031341,2.08533538461547,-1.46)); #528869=CARTESIAN_POINT('',(-1.37149064031341,2.17455893818844,-1.44426073646817)); #528870=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #528871=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.56)); #528872=CARTESIAN_POINT('',(-1.13,2.17733538461547,-1.46)); #528873=CARTESIAN_POINT('',(-1.13,2.19166683333174,-1.54124285991705)); #528874=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #528875=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #528876=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.46)); #528877=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.46)); #528878=CARTESIAN_POINT('',(-1.08,2.12733538461547,-1.41)); #528879=CARTESIAN_POINT('',(-1.09933333333333,2.1466687179488,-1.42933333333333)); #528880=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #528881=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #528882=CARTESIAN_POINT('',(-1.08,2.08533538461547,-1.41)); #528883=CARTESIAN_POINT('Origin',(-0.92,2.08533538461547,-1.41)); #528884=CARTESIAN_POINT('',(-0.919999999999999,2.12733538461547,-1.41)); #528885=CARTESIAN_POINT('',(-0.87,2.17733538461547,-1.46)); #528886=CARTESIAN_POINT('',(-0.934,2.11333538461547,-1.396)); #528887=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #528888=CARTESIAN_POINT('',(-0.92,2.08533538461547,-1.41)); #528889=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #528890=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #528891=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.46)); #528892=CARTESIAN_POINT('Origin',(-0.87,2.08533538461547,-1.46)); #528893=CARTESIAN_POINT('',(-0.87,2.17455893818844,-1.44426073646817)); #528894=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #528895=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.56)); #528896=CARTESIAN_POINT('',(-0.63,2.17733538461547,-1.46)); #528897=CARTESIAN_POINT('',(-0.63,2.19166683333174,-1.54124285991705)); #528898=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #528899=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #528900=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.46)); #528901=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.46)); #528902=CARTESIAN_POINT('',(-0.58,2.12733538461547,-1.41)); #528903=CARTESIAN_POINT('',(-0.599333333333333,2.1466687179488,-1.42933333333333)); #528904=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #528905=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #528906=CARTESIAN_POINT('',(-0.58,2.08533538461547,-1.41)); #528907=CARTESIAN_POINT('Origin',(-0.42,2.08533538461547,-1.41)); #528908=CARTESIAN_POINT('',(-0.42,2.12733538461547,-1.41)); #528909=CARTESIAN_POINT('',(-0.37,2.17733538461547,-1.46)); #528910=CARTESIAN_POINT('',(-0.434,2.11333538461547,-1.396)); #528911=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #528912=CARTESIAN_POINT('',(-0.42,2.08533538461547,-1.41)); #528913=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #528914=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #528915=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.46)); #528916=CARTESIAN_POINT('Origin',(-0.37,2.08533538461547,-1.46)); #528917=CARTESIAN_POINT('',(-0.37,2.17455893818844,-1.44426073646817)); #528918=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #528919=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.56)); #528920=CARTESIAN_POINT('',(3.45,2.17733538461547,-1.46)); #528921=CARTESIAN_POINT('',(3.45,2.19166683333174,-1.54124285991705)); #528922=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #528923=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #528924=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.46)); #528925=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.46)); #528926=CARTESIAN_POINT('',(3.5,2.12733538461547,-1.41)); #528927=CARTESIAN_POINT('',(3.48066666666667,2.14666871794881,-1.42933333333333)); #528928=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #528929=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #528930=CARTESIAN_POINT('',(3.5,2.08533538461547,-1.41)); #528931=CARTESIAN_POINT('Origin',(3.6,0.,3.67065312314842E-16)); #528932=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #528933=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #528934=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #528935=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.41)); #528936=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #528937=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.56)); #528938=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #528939=CARTESIAN_POINT('Origin',(3.6,2.08533538461547,-1.16)); #528940=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #528941=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #528942=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #528943=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #528944=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #528945=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #528946=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #528947=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #528948=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #528949=CARTESIAN_POINT('Origin',(-3.6,0.,-3.67065312314842E-16)); #528950=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.41)); #528951=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #528952=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #528953=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #528954=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.56)); #528955=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #528956=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #528957=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #528958=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #528959=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #528960=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #528961=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #528962=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #528963=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #528964=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #528965=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #528966=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #528967=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #528968=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #528969=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.26)); #528970=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #528971=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #528972=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #528973=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #528974=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #528975=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #528976=CARTESIAN_POINT('',(-4.15,2.42,-1.26)); #528977=CARTESIAN_POINT('',(-3.57,2.8,-1.26)); #528978=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #528979=CARTESIAN_POINT('',(4.15,2.22,-1.26)); #528980=CARTESIAN_POINT('',(0.380000000000008,5.99,-1.26)); #528981=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #528982=CARTESIAN_POINT('',(4.15,2.42,-1.26)); #528983=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #528984=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #528985=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #528986=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #528987=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #528988=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #528989=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #528990=CARTESIAN_POINT('',(-4.15,0.150000000000001,-0.3)); #528991=CARTESIAN_POINT('',(-4.15,2.42,-0.3)); #528992=CARTESIAN_POINT('',(-3.19,1.08533538461547,-1.26)); #528993=CARTESIAN_POINT('Origin',(-3.19,1.08533538461547,-0.3)); #528994=CARTESIAN_POINT('',(-3.19,0.150000000000001,-1.26)); #528995=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #528996=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,-0.3)); #528997=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #528998=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #528999=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #529000=CARTESIAN_POINT('',(-2.65,0.15,-1.26)); #529001=CARTESIAN_POINT('',(-2.65,0.,-1.26)); #529002=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #529003=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #529004=CARTESIAN_POINT('',(2.65,0.15,-1.26)); #529005=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #529006=CARTESIAN_POINT('',(2.65,0.,-1.26)); #529007=CARTESIAN_POINT('',(3.19,1.08533538461547,-1.26)); #529008=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #529009=CARTESIAN_POINT('',(3.19,0.150000000000001,-1.26)); #529010=CARTESIAN_POINT('',(3.19,2.42,-1.26)); #529011=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #529012=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #529013=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #529014=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #529015=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #529016=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #529017=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #529018=CARTESIAN_POINT('',(-1.07,1.47,-1.26)); #529019=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #529020=CARTESIAN_POINT('',(-1.07,0.749999999999999,-1.26)); #529021=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.26)); #529022=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #529023=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #529024=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #529025=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #529026=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #529027=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #529028=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.26)); #529029=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #529030=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #529031=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #529032=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #529033=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #529034=CARTESIAN_POINT('',(1.35,0.15,-1.26)); #529035=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #529036=CARTESIAN_POINT('',(-1.35,0.15,-1.26)); #529037=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #529038=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #529039=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #529040=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #529041=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529042=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #529043=CARTESIAN_POINT('',(1.35,0.,-0.6)); #529044=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #529045=CARTESIAN_POINT('',(-1.35,2.834968878138E-33,-1.11)); #529046=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #529047=CARTESIAN_POINT('',(1.35,0.,-1.11)); #529048=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #529049=CARTESIAN_POINT('',(1.35,0.,-0.6)); #529050=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #529051=CARTESIAN_POINT('',(-2.65,-5.42101086242752E-17,-1.11)); #529052=CARTESIAN_POINT('',(-2.65,3.32800694390114E-33,-1.11)); #529053=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #529054=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #529055=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #529056=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529057=CARTESIAN_POINT('',(2.65,0.,-0.6)); #529058=CARTESIAN_POINT('',(2.895,0.,-0.6)); #529059=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #529060=CARTESIAN_POINT('',(2.65,-3.35655325655722E-17,-1.11)); #529061=CARTESIAN_POINT('',(2.65,0.,-0.6)); #529062=CARTESIAN_POINT('',(3.19,0.,-1.11)); #529063=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #529064=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #529065=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #529066=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #529067=CARTESIAN_POINT('',(4.,0.,-0.3)); #529068=CARTESIAN_POINT('',(3.19,0.,1.11)); #529069=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #529070=CARTESIAN_POINT('',(2.65,-3.39875289180411E-17,1.11)); #529071=CARTESIAN_POINT('',(-3.19,0.,1.11)); #529072=CARTESIAN_POINT('',(2.65,0.,0.6)); #529073=CARTESIAN_POINT('',(2.65,0.,0.6)); #529074=CARTESIAN_POINT('',(2.895,0.,0.6)); #529075=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #529076=CARTESIAN_POINT('Origin',(2.895,0.,0.15)); #529077=CARTESIAN_POINT('Origin',(2.895,0.,-0.15)); #529078=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #529079=CARTESIAN_POINT('',(2.65,1.16678047672032E-15,-1.11)); #529080=CARTESIAN_POINT('',(3.19,0.,-1.11)); #529081=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #529082=CARTESIAN_POINT('',(-1.35,1.1339875512552E-32,-1.11)); #529083=CARTESIAN_POINT('',(1.35,-1.09383952512982E-15,-1.11)); #529084=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529085=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #529086=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #529087=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #529088=CARTESIAN_POINT('',(-2.65,0.,0.6)); #529089=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #529090=CARTESIAN_POINT('',(-2.65,-4.7327634018372E-17,1.11)); #529091=CARTESIAN_POINT('',(-2.65,0.,0.6)); #529092=CARTESIAN_POINT('',(-3.19,0.,1.11)); #529093=CARTESIAN_POINT('',(-3.19,0.,1.11)); #529094=CARTESIAN_POINT('',(-4.,0.,0.3)); #529095=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #529096=CARTESIAN_POINT('',(-4.,0.,-0.3)); #529097=CARTESIAN_POINT('',(-4.,0.,-0.3)); #529098=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529099=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529100=CARTESIAN_POINT('',(1.35,3.65365935868924E-18,1.11)); #529101=CARTESIAN_POINT('',(-1.35,0.,1.11)); #529102=CARTESIAN_POINT('',(-3.19,0.,1.11)); #529103=CARTESIAN_POINT('',(-1.35,0.,0.6)); #529104=CARTESIAN_POINT('',(-1.35,0.,1.26)); #529105=CARTESIAN_POINT('',(1.35,0.,0.6)); #529106=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #529107=CARTESIAN_POINT('',(1.35,0.,1.26)); #529108=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #529109=CARTESIAN_POINT('',(-2.65,2.75298984236131E-17,1.11)); #529110=CARTESIAN_POINT('',(-3.19,0.15,1.26)); #529111=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #529112=CARTESIAN_POINT('',(-1.35,9.38055798137929E-17,1.11)); #529113=CARTESIAN_POINT('',(1.35,2.31455071931858E-16,1.11)); #529114=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #529115=CARTESIAN_POINT('',(2.65,2.97730753322038E-16,1.11)); #529116=CARTESIAN_POINT('',(3.19,0.15,1.26)); #529117=CARTESIAN_POINT('Origin',(3.19,2.42,-0.299999999999999)); #529118=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #529119=CARTESIAN_POINT('Origin',(3.19,1.08533538461547,-0.299999999999999)); #529120=CARTESIAN_POINT('',(4.15,0.150000000000001,-0.299999999999999)); #529121=CARTESIAN_POINT('',(4.15,2.42,-0.299999999999999)); #529122=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,-0.299999999999999)); #529123=CARTESIAN_POINT('Origin',(4.15,2.42,-0.299999999999999)); #529124=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #529125=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #529126=CARTESIAN_POINT('',(4.15,0.150000000000001,0.299999999999999)); #529127=CARTESIAN_POINT('',(4.15,2.42,0.299999999999999)); #529128=CARTESIAN_POINT('',(4.15,0.15,-0.299999999999999)); #529129=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #529130=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #529131=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #529132=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,0.299999999999999)); #529133=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #529134=CARTESIAN_POINT('',(-4.15,0.150000000000001,0.3)); #529135=CARTESIAN_POINT('',(-4.15,2.42,0.3)); #529136=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,0.3)); #529137=CARTESIAN_POINT('Origin',(-4.15,2.42,0.3)); #529138=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #529139=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #529140=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #529141=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.6)); #529142=CARTESIAN_POINT('',(2.895,-1.35,-0.6)); #529143=CARTESIAN_POINT('',(2.895,-1.58,-0.6)); #529144=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #529145=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #529146=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #529147=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #529148=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #529149=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #529150=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #529151=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #529152=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #529153=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #529154=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #529155=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #529156=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #529157=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #529158=CARTESIAN_POINT('',(2.65,0.,-0.6)); #529159=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #529160=CARTESIAN_POINT('',(3.145,-0.38,-0.524165738677394)); #529161=CARTESIAN_POINT('Origin',(2.895,-0.38,-0.15)); #529162=CARTESIAN_POINT('',(3.145,-1.12,-0.524165738677394)); #529163=CARTESIAN_POINT('',(3.145,-1.58,-0.524165738677394)); #529164=CARTESIAN_POINT('',(3.345,-1.12,-0.149999999999999)); #529165=CARTESIAN_POINT('Origin',(2.895,-1.12,-0.15)); #529166=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #529167=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #529168=CARTESIAN_POINT('Origin',(2.895,-1.35,-0.15)); #529169=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #529170=CARTESIAN_POINT('',(3.345,-1.12,0.15)); #529171=CARTESIAN_POINT('',(3.345,-1.12,-10.8234987569897)); #529172=CARTESIAN_POINT('',(3.345,-1.35,0.15)); #529173=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #529174=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #529175=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #529176=CARTESIAN_POINT('',(3.145,-1.12,0.524165738677394)); #529177=CARTESIAN_POINT('',(3.145,-0.38,0.524165738677394)); #529178=CARTESIAN_POINT('',(3.145,-1.58,0.524165738677394)); #529179=CARTESIAN_POINT('Origin',(2.895,-0.38,0.15)); #529180=CARTESIAN_POINT('',(2.895,-1.35,0.6)); #529181=CARTESIAN_POINT('',(2.895,-1.58,0.6)); #529182=CARTESIAN_POINT('Origin',(2.895,-1.35,0.15)); #529183=CARTESIAN_POINT('Origin',(2.895,-1.12,0.15)); #529184=CARTESIAN_POINT('Origin',(2.895,-1.58,0.599999999999999)); #529185=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #529186=CARTESIAN_POINT('',(2.65,0.55,0.6)); #529187=CARTESIAN_POINT('',(2.65,0.55,0.6)); #529188=CARTESIAN_POINT('',(1.35,0.55,0.6)); #529189=CARTESIAN_POINT('',(1.35,0.55,0.6)); #529190=CARTESIAN_POINT('',(1.35,0.55,0.6)); #529191=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #529192=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #529193=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #529194=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #529195=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #529196=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #529197=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #529198=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #529199=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #529200=CARTESIAN_POINT('',(2.895,-1.58,0.369999999999999)); #529201=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #529202=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #529203=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #529204=CARTESIAN_POINT('',(-3.115,-1.58,0.15)); #529205=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #529206=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #529207=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #529208=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #529209=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #529210=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #529211=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #529212=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #529213=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #529214=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #529215=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #529216=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #529217=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #529218=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #529219=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #529220=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #529221=CARTESIAN_POINT('Origin',(-3.04269098028187,-3.01,0.3085)); #529222=CARTESIAN_POINT('',(-3.04269098028186,-3.01,-0.3085)); #529223=CARTESIAN_POINT('',(-3.04269098028187,-3.01,-0.3085)); #529224=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #529225=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #529226=CARTESIAN_POINT('',(-3.04269098028187,-3.01,0.3085)); #529227=CARTESIAN_POINT('Origin',(3.04269098028187,-1.58,0.3085)); #529228=CARTESIAN_POINT('',(3.04269098028187,-3.01,-0.3085)); #529229=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #529230=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #529231=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #529232=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #529233=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #529234=CARTESIAN_POINT('',(3.29269098028187,-3.26,-0.3085)); #529235=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #529236=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #529237=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #529238=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #529239=CARTESIAN_POINT('Origin',(3.29269098028187,-3.57,0.3085)); #529240=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #529241=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #529242=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #529243=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #529244=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #529245=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #529246=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #529247=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #529248=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,-0.3085)); #529249=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #529250=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #529251=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #529252=CARTESIAN_POINT('',(-2.9379376673093,-4.42,-0.2085)); #529253=CARTESIAN_POINT('',(2.9379376673093,-4.42,-0.2085)); #529254=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.2085)); #529255=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.2085)); #529256=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.3085)); #529257=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.2085)); #529258=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.2085)); #529259=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.3085)); #529260=CARTESIAN_POINT('Origin',(-3.04269098028187,-4.42,0.3085)); #529261=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,-0.3085)); #529262=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #529263=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #529264=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #529265=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #529266=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #529267=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #529268=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #529269=CARTESIAN_POINT('Origin',(-3.29269098028184,-3.56999999999999,0.3085)); #529270=CARTESIAN_POINT('',(-3.29269098028186,-3.26,-0.3085)); #529271=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #529272=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #529273=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #529274=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #529275=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #529276=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,-0.3085)); #529277=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #529278=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #529279=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,0.308500018387281)); #529280=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #529281=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,0.308500018387281)); #529282=CARTESIAN_POINT('',(3.29269098028187,-4.32,0.3085)); #529283=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #529284=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #529285=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,-0.308500018387281)); #529286=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #529287=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,-0.308500018387281)); #529288=CARTESIAN_POINT('',(-3.04269098028187,-4.32,-0.3085)); #529289=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #529290=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #529291=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #529292=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #529293=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.37)); #529294=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #529295=CARTESIAN_POINT('Origin',(-3.115,-1.58,-0.15)); #529296=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #529297=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #529298=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #529299=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #529300=CARTESIAN_POINT('',(-2.895,-1.35,0.600000000000001)); #529301=CARTESIAN_POINT('Origin',(3.115,-1.58,-0.15)); #529302=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #529303=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.37)); #529304=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #529305=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #529306=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #529307=CARTESIAN_POINT('',(-4.15,0.15,-0.3)); #529308=CARTESIAN_POINT('Origin',(-4.,0.,-0.3)); #529309=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #529310=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #529311=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #529312=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #529313=CARTESIAN_POINT('Origin',(4.,0.,-0.3)); #529314=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #529315=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #529316=CARTESIAN_POINT('Origin',(3.29269098028187,-4.32,-0.3085)); #529317=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,-0.3485)); #529318=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,-0.3485)); #529319=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.2085)); #529320=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3485)); #529321=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3485)); #529322=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3085)); #529323=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3085)); #529324=CARTESIAN_POINT('Origin',(2.65,0.55,0.6)); #529325=CARTESIAN_POINT('',(2.65,0.55,0.6)); #529326=CARTESIAN_POINT('Origin',(1.35,0.55,1.26)); #529327=CARTESIAN_POINT('',(1.35,0.55,1.26)); #529328=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #529329=CARTESIAN_POINT('Origin',(-2.65,0.55,0.6)); #529330=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #529331=CARTESIAN_POINT('Origin',(-1.35,0.55,1.26)); #529332=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #529333=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #529334=CARTESIAN_POINT('Origin',(2.65,0.,-0.6)); #529335=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #529336=CARTESIAN_POINT('Origin',(2.65,1.08533538461547,-0.6)); #529337=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #529338=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #529339=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #529340=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #529341=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #529342=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #529343=CARTESIAN_POINT('Origin',(2.25,1.08533538461547,-0.6)); #529344=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #529345=CARTESIAN_POINT('Origin',(1.35,0.45,-0.6)); #529346=CARTESIAN_POINT('Origin',(-0.43,1.47,-0.6)); #529347=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #529348=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #529349=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #529350=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #529351=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #529352=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #529353=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #529354=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #529355=CARTESIAN_POINT('',(0.0132276384287744,1.47,-0.170203502129673)); #529356=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #529357=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-0.6)); #529358=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #529359=CARTESIAN_POINT('',(0.953636363636364,0.869999999999999,-1.14)); #529360=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #529361=CARTESIAN_POINT('',(-0.953636363636364,0.869999999999999,-1.14)); #529362=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.14)); #529363=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #529364=CARTESIAN_POINT('',(-0.0132276384287736,0.869999999999999,-0.170203502129673)); #529365=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #529366=CARTESIAN_POINT('Origin',(6.11775520524736E-17,0.,-0.6)); #529367=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #529368=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #529369=CARTESIAN_POINT('Origin',(-2.65,0.,-0.6)); #529370=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #529371=CARTESIAN_POINT('Origin',(-2.65,1.08533538461547,-0.6)); #529372=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #529373=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #529374=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #529375=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #529376=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #529377=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #529378=CARTESIAN_POINT('Origin',(-2.25,1.08533538461547,-0.6)); #529379=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #529380=CARTESIAN_POINT('Origin',(-1.35,0.45,-0.6)); #529381=CARTESIAN_POINT('Origin',(0.43,1.47,-0.6)); #529382=CARTESIAN_POINT('',(0.786177389131793,1.04269206745784,-0.967307932542162)); #529383=CARTESIAN_POINT('Origin',(-0.43,0.869999999999999,-0.6)); #529384=CARTESIAN_POINT('',(-0.511149281698939,1.32631480324797,-0.68368519675203)); #529385=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-1.14)); #529386=CARTESIAN_POINT('Origin',(-1.97,1.47,-1.63)); #529387=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #529388=CARTESIAN_POINT('Origin',(-3.6,1.08533538461547,-1.63)); #529389=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #529390=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #529391=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #529392=CARTESIAN_POINT('Origin',(-3.6,1.88533538461548,-1.63)); #529393=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #529394=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #529395=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #529396=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #529397=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #529398=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #529399=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #529400=CARTESIAN_POINT('',(-3.6,1.88533538461548,-1.63)); #529401=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.63)); #529402=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #529403=CARTESIAN_POINT('Origin',(1.97,1.47,-1.63)); #529404=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #529405=CARTESIAN_POINT('Origin',(1.6619901640922E-16,0.,-1.63)); #529406=CARTESIAN_POINT('',(2.64,1.53533538461547,-1.63)); #529407=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-1.63)); #529408=CARTESIAN_POINT('',(-3.14,1.53533538461547,-1.63)); #529409=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-1.63)); #529410=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #529411=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #529412=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.28)); #529413=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #529414=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #529415=CARTESIAN_POINT('',(-3.04,1.53533538461547,-2.28)); #529416=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #529417=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #529418=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.18)); #529419=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.28)); #529420=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.18)); #529421=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #529422=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #529423=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #529424=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #529425=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #529426=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #529427=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #529428=CARTESIAN_POINT('Origin',(-4.15,2.22,0.3)); #529429=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #529430=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #529431=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #529432=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #529433=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #529434=CARTESIAN_POINT('',(3.6,2.355,1.01590851370828)); #529435=CARTESIAN_POINT('Ctrl Pts',(3.6,2.35500000000019,1.01590851370843)); #529436=CARTESIAN_POINT('Ctrl Pts',(3.6,2.37685164369348,0.990727075435561)); #529437=CARTESIAN_POINT('Ctrl Pts',(3.6,2.39853659842912,0.965412771468694)); #529438=CARTESIAN_POINT('Ctrl Pts',(3.6,2.42,0.939921870231046)); #529439=CARTESIAN_POINT('',(3.32213604519991,2.355,1.11434947384948)); #529440=CARTESIAN_POINT('Origin',(3.19,2.355,0.299999999999999)); #529441=CARTESIAN_POINT('',(3.32213604519991,2.47,0.997595918536604)); #529442=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.47,0.997595918536604)); #529443=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.43171513226147,1.03656153655711)); #529444=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.39337494808504,1.07547263634076)); #529445=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.355,1.11434947384948)); #529446=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #529447=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #529448=CARTESIAN_POINT('Origin',(4.15,2.22,-0.299999999999999)); #529449=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.16)); #529450=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #529451=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.16)); #529452=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #529453=CARTESIAN_POINT('Origin',(9.05193895539827E-17,0.,-1.16)); #529454=CARTESIAN_POINT('Origin',(2.9,2.08533538461547,-1.41)); #529455=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529456=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529457=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529458=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529459=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529460=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529461=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529462=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529463=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529464=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529465=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529466=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #529467=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529468=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529469=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529470=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529471=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529472=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529473=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529474=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529475=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529476=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529477=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529478=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529479=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529480=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529481=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529482=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529483=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529484=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529485=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529486=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529487=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529488=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529489=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529490=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529491=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529492=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529493=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529494=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529495=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529496=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529497=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529498=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529499=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529500=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529501=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #529502=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #529503=CARTESIAN_POINT('Origin',(-0.17,2.355,0.37)); #529504=CARTESIAN_POINT('',(-0.17,2.355,0.369999999999999)); #529505=CARTESIAN_POINT('',(-0.17,2.37,0.37)); #529506=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #529507=CARTESIAN_POINT('',(-0.17,2.47,0.27)); #529508=CARTESIAN_POINT('',(-0.17,2.37,0.369999999999999)); #529509=CARTESIAN_POINT('',(-0.17,2.47,0.369999999999999)); #529510=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #529511=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #529512=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #529513=CARTESIAN_POINT('Origin',(-0.17,2.355,-0.869999999999998)); #529514=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #529515=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #529516=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #529517=CARTESIAN_POINT('Origin',(-3.1,2.355,-0.869999999999998)); #529518=CARTESIAN_POINT('',(-3.1,2.47,-1.04)); #529519=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #529520=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #529521=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #529522=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #529523=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #529524=CARTESIAN_POINT('Origin',(3.1,2.355,1.26)); #529525=CARTESIAN_POINT('',(3.1,2.47,1.06)); #529526=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #529527=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #529528=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #529529=CARTESIAN_POINT('',(3.1,1.855,1.26)); #529530=CARTESIAN_POINT('Origin',(3.1,2.355,-0.869999999999998)); #529531=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #529532=CARTESIAN_POINT('Origin',(0.17,2.355,-0.869999999999998)); #529533=CARTESIAN_POINT('',(0.17,2.47,0.27)); #529534=CARTESIAN_POINT('',(0.17,2.47,-1.04)); #529535=CARTESIAN_POINT('',(0.17,2.37,0.37)); #529536=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #529537=CARTESIAN_POINT('',(0.17,2.355,0.37)); #529538=CARTESIAN_POINT('Origin',(0.17,2.355,0.369999999999999)); #529539=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #529540=CARTESIAN_POINT('',(-0.379348936836007,2.355,0.369999999999999)); #529541=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #529542=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #529543=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #529544=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #529545=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #529546=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #529547=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #529548=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #529549=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #529550=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #529551=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #529552=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #529553=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #529554=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #529555=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #529556=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #529557=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #529558=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #529559=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #529560=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #529561=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #529562=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #529563=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #529564=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #529565=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #529566=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #529567=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #529568=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #529569=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #529570=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #529571=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #529572=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #529573=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #529574=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #529575=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #529576=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #529577=CARTESIAN_POINT('Origin',(-3.6,2.355,1.25086280059687)); #529578=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #529579=CARTESIAN_POINT('',(-3.6,2.355,1.25086280059687)); #529580=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #529581=CARTESIAN_POINT('Origin',(-3.6,2.355,-0.869999999999999)); #529582=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #529583=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #529584=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #529585=CARTESIAN_POINT('Origin',(-3.32213604519992,2.355,-0.869999999999999)); #529586=CARTESIAN_POINT('',(-3.32213604519992,2.47,-1.04)); #529587=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #529588=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #529589=CARTESIAN_POINT('Origin',(3.6,2.355,1.25086280059687)); #529590=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #529591=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #529592=CARTESIAN_POINT('',(3.6,2.355,1.25086280059687)); #529593=CARTESIAN_POINT('Origin',(3.6,2.355,-0.869999999999998)); #529594=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #529595=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #529596=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #529597=CARTESIAN_POINT('Origin',(3.32213604519991,2.355,-0.869999999999998)); #529598=CARTESIAN_POINT('',(3.32213604519991,2.47,-1.04)); #529599=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #529600=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #529601=CARTESIAN_POINT('Origin',(3.1,1.855,-0.0400000000000008)); #529602=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #529603=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #529604=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #529605=CARTESIAN_POINT('Origin',(2.72229406209047,1.855,-0.0400000000000008)); #529606=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #529607=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #529608=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #529609=CARTESIAN_POINT('Origin',(2.65,1.855,0.369999999999999)); #529610=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #529611=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #529612=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #529613=CARTESIAN_POINT('Origin',(2.41,1.855,0.369999999999999)); #529614=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #529615=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #529616=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #529617=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.21)); #529618=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #529619=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #529620=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #529621=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.358466407912088)); #529622=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #529623=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #529624=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #529625=CARTESIAN_POINT('Origin',(2.04754028675967,1.855,-0.508466407912088)); #529626=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #529627=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #529628=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #529629=CARTESIAN_POINT('Origin',(1.98427092032134,1.855,-0.508466407912088)); #529630=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #529631=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #529632=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #529633=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.358466407912088)); #529634=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #529635=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #529636=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #529637=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.21)); #529638=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #529639=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #529640=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #529641=CARTESIAN_POINT('Origin',(1.47226964881091,1.855,-0.21)); #529642=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #529643=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #529644=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #529645=CARTESIAN_POINT('Origin',(1.37,1.855,0.369999999999999)); #529646=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #529647=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #529648=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #529649=CARTESIAN_POINT('Origin',(1.13,1.855,0.369999999999999)); #529650=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #529651=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #529652=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #529653=CARTESIAN_POINT('Origin',(1.05946920771661,1.855,-0.0300000000000006)); #529654=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #529655=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #529656=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #529657=CARTESIAN_POINT('Origin',(0.449879729119392,1.855,-0.0300000000000006)); #529658=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #529659=CARTESIAN_POINT('Origin',(-0.449879729119392,1.855,-0.0300000000000006)); #529660=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #529661=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #529662=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #529663=CARTESIAN_POINT('Origin',(-1.05946920771661,1.855,-0.0300000000000006)); #529664=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #529665=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #529666=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #529667=CARTESIAN_POINT('Origin',(-1.13,1.855,0.369999999999999)); #529668=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #529669=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #529670=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #529671=CARTESIAN_POINT('Origin',(-1.37,1.855,0.369999999999999)); #529672=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #529673=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #529674=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #529675=CARTESIAN_POINT('Origin',(-1.47226964881091,1.855,-0.21)); #529676=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #529677=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #529678=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #529679=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.21)); #529680=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #529681=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #529682=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #529683=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.358466407912088)); #529684=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #529685=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #529686=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #529687=CARTESIAN_POINT('Origin',(-1.98427092032134,1.855,-0.508466407912088)); #529688=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #529689=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #529690=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #529691=CARTESIAN_POINT('Origin',(-2.04754028675967,1.855,-0.508466407912088)); #529692=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #529693=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #529694=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #529695=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.358466407912088)); #529696=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #529697=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #529698=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #529699=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.21)); #529700=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #529701=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #529702=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #529703=CARTESIAN_POINT('Origin',(-2.41,1.855,0.369999999999999)); #529704=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #529705=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #529706=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #529707=CARTESIAN_POINT('Origin',(-2.65,1.855,0.369999999999999)); #529708=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #529709=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #529710=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #529711=CARTESIAN_POINT('Origin',(-2.72229406209047,1.855,-0.0400000000000008)); #529712=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #529713=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #529714=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #529715=CARTESIAN_POINT('Origin',(-3.1,1.855,-0.0400000000000008)); #529716=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #529717=CARTESIAN_POINT('Origin',(0.,1.855,0.)); #529718=CARTESIAN_POINT('Origin',(-3.345,-0.38,-10.8234987569897)); #529719=CARTESIAN_POINT('',(-3.145,-0.38,-10.8234987569897)); #529720=CARTESIAN_POINT('Origin',(-3.145,-0.38,-10.8234987569897)); #529721=CARTESIAN_POINT('',(-3.145,-1.12,-10.8234987569897)); #529722=CARTESIAN_POINT('Origin',(-3.145,-1.12,-10.8234987569897)); #529723=CARTESIAN_POINT('Origin',(3.345,-0.38,-10.8234987569897)); #529724=CARTESIAN_POINT('',(3.145,-0.38,-10.8234987569897)); #529725=CARTESIAN_POINT('Origin',(3.145,-1.12,-10.8234987569897)); #529726=CARTESIAN_POINT('',(3.145,-1.12,-10.8234987569897)); #529727=CARTESIAN_POINT('Origin',(3.145,-0.38,-10.8234987569897)); #529728=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #529729=CARTESIAN_POINT('Origin',(0.,2.47,0.)); #529730=CARTESIAN_POINT('',(4.30492039075126E-17,2.47,0.27)); #529731=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #529732=CARTESIAN_POINT('Origin',(0.17,2.37,0.369999999999999)); #529733=CARTESIAN_POINT('',(0.,0.,0.)); #529734=CARTESIAN_POINT('Origin',(0.41,-1.5,-0.12)); #529735=CARTESIAN_POINT('',(0.41,-1.5,0.)); #529736=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529737=CARTESIAN_POINT('',(0.41,-1.5,0.)); #529738=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529739=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529740=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #529741=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #529742=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #529743=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #529744=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #529745=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529746=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529747=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529748=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #529749=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529750=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #529751=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #529752=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #529753=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #529754=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #529755=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #529756=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #529757=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #529758=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #529759=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #529760=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529761=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529762=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #529763=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529764=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529765=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #529766=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #529767=CARTESIAN_POINT('',(0.12,-0.5,0.)); #529768=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #529769=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529770=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529771=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #529772=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #529773=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529774=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529775=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #529776=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529777=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #529778=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #529779=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #529780=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #529781=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #529782=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #529783=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #529784=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #529785=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #529786=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #529787=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529788=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529789=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529790=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #529791=CARTESIAN_POINT('',(0.76,-1.5,0.)); #529792=CARTESIAN_POINT('',(0.12,-0.5,0.)); #529793=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #529794=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #529795=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529796=CARTESIAN_POINT('Origin',(0.76,-1.5,-0.12)); #529797=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #529798=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #529799=CARTESIAN_POINT('',(0.76,-6.03,-0.12)); #529800=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #529801=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #529802=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #529803=CARTESIAN_POINT('',(0.76,-5.99,0.)); #529804=CARTESIAN_POINT('',(0.76,-1.5,0.)); #529805=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #529806=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.12)); #529807=CARTESIAN_POINT('',(0.41,-6.03,-0.08)); #529808=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #529809=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #529810=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #529811=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #529812=CARTESIAN_POINT('Origin',(0.41,-6.03,-0.12)); #529813=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #529814=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #529815=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #529816=CARTESIAN_POINT('',(0.41,-5.99,0.)); #529817=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #529818=CARTESIAN_POINT('',(0.41,-6.03,0.)); #529819=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #529820=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #529821=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #529822=CARTESIAN_POINT('Origin',(0.,0.,0.)); #529823=CARTESIAN_POINT('',(0.,-5.99,0.)); #529824=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #529825=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #529826=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #529827=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #529828=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529829=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529830=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #529831=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #529832=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #529833=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #529834=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #529835=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529836=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529837=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #529838=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #529839=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #529840=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #529841=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #529842=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #529843=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.04)); #529844=CARTESIAN_POINT('',(0.,0.,0.)); #529845=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #529846=CARTESIAN_POINT('',(0.375,-1.5,0.)); #529847=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529848=CARTESIAN_POINT('',(0.375,-1.5,0.)); #529849=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529850=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529851=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #529852=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #529853=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #529854=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #529855=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #529856=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529857=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529858=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529859=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #529860=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529861=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #529862=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #529863=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #529864=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #529865=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #529866=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #529867=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #529868=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #529869=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #529870=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #529871=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529872=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529873=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #529874=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529875=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529876=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #529877=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #529878=CARTESIAN_POINT('',(0.12,-0.5,0.)); #529879=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #529880=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529881=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529882=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #529883=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #529884=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529885=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529886=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #529887=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529888=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #529889=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #529890=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #529891=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #529892=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #529893=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #529894=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #529895=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #529896=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #529897=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #529898=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529899=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529900=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529901=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #529902=CARTESIAN_POINT('',(0.625,-1.5,0.)); #529903=CARTESIAN_POINT('',(0.12,-0.5,0.)); #529904=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #529905=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #529906=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529907=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #529908=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #529909=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #529910=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #529911=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #529912=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #529913=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #529914=CARTESIAN_POINT('',(0.625,-5.47,0.)); #529915=CARTESIAN_POINT('',(0.625,-1.5,0.)); #529916=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #529917=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #529918=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #529919=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #529920=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #529921=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #529922=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #529923=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #529924=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #529925=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #529926=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #529927=CARTESIAN_POINT('',(0.375,-5.47,0.)); #529928=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #529929=CARTESIAN_POINT('',(0.375,-5.51,0.)); #529930=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #529931=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #529932=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #529933=CARTESIAN_POINT('Origin',(0.,0.,0.)); #529934=CARTESIAN_POINT('',(0.,-5.47,0.)); #529935=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #529936=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #529937=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #529938=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #529939=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529940=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529941=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #529942=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #529943=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #529944=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #529945=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #529946=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529947=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #529948=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #529949=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #529950=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #529951=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #529952=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #529953=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #529954=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #529955=CARTESIAN_POINT('',(0.,0.,0.)); #529956=CARTESIAN_POINT('Origin',(0.15,-1.5,-0.12)); #529957=CARTESIAN_POINT('',(0.15,-1.5,0.)); #529958=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529959=CARTESIAN_POINT('',(0.15,-1.5,0.)); #529960=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529961=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529962=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #529963=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #529964=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #529965=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #529966=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #529967=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #529968=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529969=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529970=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #529971=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #529972=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #529973=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #529974=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #529975=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #529976=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #529977=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #529978=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #529979=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #529980=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #529981=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #529982=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529983=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #529984=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #529985=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #529986=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #529987=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #529988=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #529989=CARTESIAN_POINT('',(0.12,-0.5,0.)); #529990=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #529991=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529992=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #529993=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #529994=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #529995=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529996=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #529997=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #529998=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #529999=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530000=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530001=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530002=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530003=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #530004=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530005=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530006=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530007=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #530008=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #530009=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530010=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530011=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530012=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #530013=CARTESIAN_POINT('',(0.5,-1.5,0.)); #530014=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530015=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #530016=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #530017=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530018=CARTESIAN_POINT('Origin',(0.5,-1.5,-0.12)); #530019=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #530020=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #530021=CARTESIAN_POINT('',(0.5,-6.03,-0.12)); #530022=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #530023=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #530024=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #530025=CARTESIAN_POINT('',(0.5,-5.99,0.)); #530026=CARTESIAN_POINT('',(0.5,-1.5,0.)); #530027=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #530028=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.12)); #530029=CARTESIAN_POINT('',(0.15,-6.03,-0.08)); #530030=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #530031=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #530032=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #530033=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #530034=CARTESIAN_POINT('Origin',(0.15,-6.03,-0.12)); #530035=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #530036=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #530037=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #530038=CARTESIAN_POINT('',(0.15,-5.99,0.)); #530039=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #530040=CARTESIAN_POINT('',(0.15,-6.03,0.)); #530041=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530042=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #530043=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #530044=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530045=CARTESIAN_POINT('',(0.,-5.99,0.)); #530046=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #530047=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #530048=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #530049=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530050=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530051=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530052=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #530053=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #530054=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530055=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530056=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #530057=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530058=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530059=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #530060=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #530061=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530062=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530063=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #530064=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #530065=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.04)); #530066=CARTESIAN_POINT('',(0.,0.,0.)); #530067=CARTESIAN_POINT('Origin',(0.875,-1.5,-0.12)); #530068=CARTESIAN_POINT('',(0.875,-1.5,0.)); #530069=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530070=CARTESIAN_POINT('',(0.875,-1.5,0.)); #530071=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530072=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530073=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #530074=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #530075=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #530076=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #530077=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #530078=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530079=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530080=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530081=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #530082=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530083=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #530084=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #530085=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #530086=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #530087=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #530088=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #530089=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #530090=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #530091=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #530092=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #530093=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530094=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530095=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #530096=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530097=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530098=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #530099=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #530100=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530101=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #530102=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530103=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530104=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #530105=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #530106=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530107=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530108=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #530109=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530110=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530111=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530112=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530113=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530114=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #530115=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530116=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530117=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530118=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #530119=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #530120=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530121=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530122=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530123=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #530124=CARTESIAN_POINT('',(1.125,-1.5,0.)); #530125=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530126=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #530127=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #530128=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530129=CARTESIAN_POINT('Origin',(1.125,-1.5,-0.12)); #530130=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #530131=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #530132=CARTESIAN_POINT('',(1.125,-5.51,-0.12)); #530133=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #530134=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #530135=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #530136=CARTESIAN_POINT('',(1.125,-5.47,0.)); #530137=CARTESIAN_POINT('',(1.125,-1.5,0.)); #530138=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #530139=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.12)); #530140=CARTESIAN_POINT('',(0.875,-5.51,-0.08)); #530141=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #530142=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #530143=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #530144=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #530145=CARTESIAN_POINT('Origin',(0.875,-5.51,-0.12)); #530146=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #530147=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #530148=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #530149=CARTESIAN_POINT('',(0.875,-5.47,0.)); #530150=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #530151=CARTESIAN_POINT('',(0.875,-5.51,0.)); #530152=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530153=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #530154=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #530155=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530156=CARTESIAN_POINT('',(0.,-5.47,0.)); #530157=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #530158=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #530159=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #530160=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530161=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530162=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530163=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #530164=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #530165=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530166=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530167=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #530168=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530169=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530170=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #530171=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #530172=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530173=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530174=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #530175=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #530176=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.04)); #530177=CARTESIAN_POINT('',(0.,0.,0.)); #530178=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #530179=CARTESIAN_POINT('',(0.375,-1.5,0.)); #530180=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530181=CARTESIAN_POINT('',(0.375,-1.5,0.)); #530182=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530183=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530184=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #530185=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #530186=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #530187=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #530188=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #530189=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530190=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530191=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530192=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #530193=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530194=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #530195=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #530196=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #530197=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #530198=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #530199=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #530200=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #530201=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #530202=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #530203=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #530204=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530205=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530206=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #530207=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530208=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530209=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #530210=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #530211=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530212=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #530213=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530214=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530215=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #530216=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #530217=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530218=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530219=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #530220=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530221=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530222=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530223=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530224=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530225=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #530226=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530227=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530228=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530229=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #530230=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #530231=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530232=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530233=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530234=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #530235=CARTESIAN_POINT('',(0.625,-1.5,0.)); #530236=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530237=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #530238=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #530239=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530240=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #530241=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #530242=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #530243=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #530244=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #530245=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #530246=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #530247=CARTESIAN_POINT('',(0.625,-5.47,0.)); #530248=CARTESIAN_POINT('',(0.625,-1.5,0.)); #530249=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #530250=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #530251=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #530252=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #530253=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #530254=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #530255=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #530256=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #530257=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #530258=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #530259=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #530260=CARTESIAN_POINT('',(0.375,-5.47,0.)); #530261=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #530262=CARTESIAN_POINT('',(0.375,-5.51,0.)); #530263=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530264=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #530265=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #530266=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530267=CARTESIAN_POINT('',(0.,-5.47,0.)); #530268=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #530269=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #530270=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #530271=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530272=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530273=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530274=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #530275=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #530276=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530277=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530278=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #530279=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530280=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530281=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #530282=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #530283=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530284=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530285=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #530286=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #530287=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #530288=CARTESIAN_POINT('',(0.,0.,0.)); #530289=CARTESIAN_POINT('Origin',(1.105,-1.5,-0.12)); #530290=CARTESIAN_POINT('',(1.105,-1.5,0.)); #530291=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530292=CARTESIAN_POINT('',(1.105,-1.5,0.)); #530293=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530294=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530295=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #530296=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #530297=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #530298=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #530299=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #530300=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530301=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530302=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530303=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #530304=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530305=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #530306=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #530307=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #530308=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #530309=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #530310=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #530311=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #530312=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #530313=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #530314=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #530315=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530316=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530317=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #530318=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530319=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530320=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #530321=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #530322=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530323=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #530324=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530325=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530326=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #530327=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #530328=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530329=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530330=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #530331=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530332=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530333=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530334=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530335=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530336=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #530337=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530338=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530339=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530340=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #530341=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #530342=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530343=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530344=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530345=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #530346=CARTESIAN_POINT('',(1.455,-1.5,0.)); #530347=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530348=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #530349=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #530350=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530351=CARTESIAN_POINT('Origin',(1.455,-1.5,-0.12)); #530352=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #530353=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #530354=CARTESIAN_POINT('',(1.455,-5.69,-0.12)); #530355=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #530356=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #530357=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #530358=CARTESIAN_POINT('',(1.455,-5.65,0.)); #530359=CARTESIAN_POINT('',(1.455,-1.5,0.)); #530360=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #530361=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.12)); #530362=CARTESIAN_POINT('',(1.105,-5.69,-0.08)); #530363=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #530364=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #530365=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #530366=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #530367=CARTESIAN_POINT('Origin',(1.105,-5.69,-0.12)); #530368=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #530369=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #530370=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #530371=CARTESIAN_POINT('',(1.105,-5.65,0.)); #530372=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #530373=CARTESIAN_POINT('',(1.105,-5.69,0.)); #530374=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530375=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #530376=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #530377=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530378=CARTESIAN_POINT('',(0.,-5.65,0.)); #530379=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #530380=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #530381=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #530382=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #530383=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530384=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530385=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #530386=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #530387=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530388=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #530389=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #530390=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530391=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530392=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #530393=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #530394=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #530395=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #530396=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #530397=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #530398=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.04)); #530399=CARTESIAN_POINT('',(0.,0.,0.)); #530400=CARTESIAN_POINT('Origin',(0.845,-1.5,-0.12)); #530401=CARTESIAN_POINT('',(0.845,-1.5,0.)); #530402=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530403=CARTESIAN_POINT('',(0.845,-1.5,0.)); #530404=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530405=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530406=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #530407=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #530408=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #530409=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #530410=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #530411=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #530412=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530413=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530414=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #530415=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #530416=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #530417=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #530418=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #530419=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #530420=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #530421=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #530422=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #530423=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #530424=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #530425=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #530426=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530427=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #530428=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #530429=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #530430=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #530431=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #530432=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #530433=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530434=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #530435=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530436=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530437=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #530438=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #530439=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530440=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530441=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #530442=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530443=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530444=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530445=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530446=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530447=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #530448=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530449=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530450=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530451=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #530452=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #530453=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530454=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530455=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530456=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #530457=CARTESIAN_POINT('',(1.195,-1.5,0.)); #530458=CARTESIAN_POINT('',(0.12,-0.5,0.)); #530459=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #530460=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #530461=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #530462=CARTESIAN_POINT('Origin',(1.195,-1.5,-0.12)); #530463=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #530464=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #530465=CARTESIAN_POINT('',(1.195,-5.69,-0.12)); #530466=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #530467=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #530468=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #530469=CARTESIAN_POINT('',(1.195,-5.65,0.)); #530470=CARTESIAN_POINT('',(1.195,-1.5,0.)); #530471=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #530472=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.12)); #530473=CARTESIAN_POINT('',(0.845,-5.69,-0.08)); #530474=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #530475=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #530476=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #530477=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #530478=CARTESIAN_POINT('Origin',(0.845,-5.69,-0.12)); #530479=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #530480=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #530481=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #530482=CARTESIAN_POINT('',(0.845,-5.65,0.)); #530483=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #530484=CARTESIAN_POINT('',(0.845,-5.69,0.)); #530485=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530486=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #530487=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #530488=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530489=CARTESIAN_POINT('',(0.,-5.65,0.)); #530490=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #530491=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #530492=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #530493=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #530494=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530495=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #530496=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #530497=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #530498=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530499=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #530500=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #530501=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #530502=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #530503=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #530504=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #530505=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #530506=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #530507=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #530508=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #530509=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.04)); #530510=CARTESIAN_POINT('',(0.,0.,0.)); #530511=CARTESIAN_POINT('Origin',(0.41,1.5,-0.12)); #530512=CARTESIAN_POINT('',(0.41,1.5,0.)); #530513=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530514=CARTESIAN_POINT('',(0.41,1.5,0.)); #530515=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #530516=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #530517=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530518=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #530519=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530520=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #530521=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #530522=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530523=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #530524=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530525=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530526=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530527=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #530528=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530529=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530530=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #530531=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530532=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530533=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #530534=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530535=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530536=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530537=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #530538=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #530539=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530540=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530541=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530542=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #530543=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #530544=CARTESIAN_POINT('',(0.12,0.5,0.)); #530545=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #530546=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530547=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530548=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #530549=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530550=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530551=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #530552=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #530553=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530554=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530555=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530556=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530557=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530558=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #530559=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530560=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530561=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530562=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #530563=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530564=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530565=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #530566=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530567=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #530568=CARTESIAN_POINT('',(0.76,1.5,0.)); #530569=CARTESIAN_POINT('',(0.12,0.5,0.)); #530570=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #530571=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530572=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #530573=CARTESIAN_POINT('Origin',(0.76,1.5,-0.12)); #530574=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #530575=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #530576=CARTESIAN_POINT('',(0.76,6.03,-0.12)); #530577=CARTESIAN_POINT('',(0.76,5.99,0.)); #530578=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #530579=CARTESIAN_POINT('',(0.76,1.5,0.)); #530580=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #530581=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #530582=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #530583=CARTESIAN_POINT('Origin',(0.76,6.03,-0.12)); #530584=CARTESIAN_POINT('',(0.41,6.03,-0.08)); #530585=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #530586=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #530587=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #530588=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #530589=CARTESIAN_POINT('Origin',(0.41,6.03,-0.12)); #530590=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #530591=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #530592=CARTESIAN_POINT('',(0.41,5.99,0.)); #530593=CARTESIAN_POINT('',(0.41,6.03,0.)); #530594=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #530595=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #530596=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530597=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #530598=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #530599=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530600=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #530601=CARTESIAN_POINT('',(0.,5.99,0.)); #530602=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #530603=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530604=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #530605=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530606=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530607=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #530608=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #530609=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530610=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530611=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #530612=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530613=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530614=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #530615=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #530616=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530617=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530618=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #530619=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #530620=CARTESIAN_POINT('Origin',(0.76,6.03,-0.04)); #530621=CARTESIAN_POINT('',(0.,0.,0.)); #530622=CARTESIAN_POINT('Origin',(0.15,1.5,-0.12)); #530623=CARTESIAN_POINT('',(0.15,1.5,0.)); #530624=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530625=CARTESIAN_POINT('',(0.15,1.5,0.)); #530626=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #530627=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #530628=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530629=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #530630=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530631=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #530632=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #530633=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530634=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #530635=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530636=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530637=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530638=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #530639=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530640=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #530641=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #530642=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #530643=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #530644=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #530645=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #530646=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #530647=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #530648=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #530649=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #530650=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530651=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530652=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530653=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #530654=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #530655=CARTESIAN_POINT('',(0.12,0.5,0.)); #530656=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #530657=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530658=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530659=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #530660=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530661=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530662=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #530663=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #530664=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530665=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530666=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530667=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530668=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530669=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #530670=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530671=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530672=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530673=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #530674=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530675=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530676=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #530677=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530678=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #530679=CARTESIAN_POINT('',(0.5,1.5,0.)); #530680=CARTESIAN_POINT('',(0.12,0.5,0.)); #530681=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #530682=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530683=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #530684=CARTESIAN_POINT('Origin',(0.5,1.5,-0.12)); #530685=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #530686=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #530687=CARTESIAN_POINT('',(0.5,6.03,-0.12)); #530688=CARTESIAN_POINT('',(0.5,5.99,0.)); #530689=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #530690=CARTESIAN_POINT('',(0.5,1.5,0.)); #530691=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #530692=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #530693=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #530694=CARTESIAN_POINT('Origin',(0.5,6.03,-0.12)); #530695=CARTESIAN_POINT('',(0.15,6.03,-0.08)); #530696=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #530697=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #530698=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #530699=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #530700=CARTESIAN_POINT('Origin',(0.15,6.03,-0.12)); #530701=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #530702=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #530703=CARTESIAN_POINT('',(0.15,5.99,0.)); #530704=CARTESIAN_POINT('',(0.15,6.03,0.)); #530705=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #530706=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #530707=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530708=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #530709=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #530710=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530711=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #530712=CARTESIAN_POINT('',(0.,5.99,0.)); #530713=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #530714=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530715=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #530716=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530717=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530718=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #530719=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #530720=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530721=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530722=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #530723=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530724=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530725=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #530726=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #530727=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530728=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530729=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #530730=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #530731=CARTESIAN_POINT('Origin',(0.5,6.03,-0.04)); #530732=CARTESIAN_POINT('',(0.,0.,0.)); #530733=CARTESIAN_POINT('Origin',(1.105,1.5,-0.12)); #530734=CARTESIAN_POINT('',(1.105,1.5,0.)); #530735=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530736=CARTESIAN_POINT('',(1.105,1.5,0.)); #530737=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #530738=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #530739=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530740=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #530741=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530742=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #530743=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #530744=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530745=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #530746=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530747=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530748=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530749=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #530750=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530751=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530752=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #530753=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530754=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530755=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #530756=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530757=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530758=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530759=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #530760=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #530761=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530762=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530763=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530764=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #530765=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #530766=CARTESIAN_POINT('',(0.12,0.5,0.)); #530767=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #530768=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530769=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530770=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #530771=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530772=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530773=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #530774=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #530775=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530776=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530777=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530778=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530779=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530780=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #530781=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530782=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530783=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530784=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #530785=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530786=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530787=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #530788=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530789=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #530790=CARTESIAN_POINT('',(1.455,1.5,0.)); #530791=CARTESIAN_POINT('',(0.12,0.5,0.)); #530792=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #530793=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530794=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #530795=CARTESIAN_POINT('Origin',(1.455,1.5,-0.12)); #530796=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #530797=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #530798=CARTESIAN_POINT('',(1.455,5.69,-0.12)); #530799=CARTESIAN_POINT('',(1.455,5.65,0.)); #530800=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #530801=CARTESIAN_POINT('',(1.455,1.5,0.)); #530802=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #530803=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #530804=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #530805=CARTESIAN_POINT('Origin',(1.455,5.69,-0.12)); #530806=CARTESIAN_POINT('',(1.105,5.69,-0.08)); #530807=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #530808=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #530809=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #530810=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #530811=CARTESIAN_POINT('Origin',(1.105,5.69,-0.12)); #530812=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #530813=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #530814=CARTESIAN_POINT('',(1.105,5.65,0.)); #530815=CARTESIAN_POINT('',(1.105,5.69,0.)); #530816=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #530817=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #530818=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530819=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #530820=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #530821=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530822=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #530823=CARTESIAN_POINT('',(0.,5.65,0.)); #530824=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #530825=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530826=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #530827=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530828=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530829=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #530830=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #530831=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530832=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #530833=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #530834=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530835=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530836=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #530837=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #530838=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #530839=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530840=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #530841=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #530842=CARTESIAN_POINT('Origin',(1.455,5.69,-0.04)); #530843=CARTESIAN_POINT('',(0.,0.,0.)); #530844=CARTESIAN_POINT('Origin',(0.845,1.5,-0.12)); #530845=CARTESIAN_POINT('',(0.845,1.5,0.)); #530846=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530847=CARTESIAN_POINT('',(0.845,1.5,0.)); #530848=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #530849=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #530850=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530851=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #530852=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530853=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #530854=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #530855=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530856=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #530857=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530858=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530859=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530860=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #530861=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530862=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #530863=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #530864=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #530865=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #530866=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #530867=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #530868=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #530869=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #530870=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #530871=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #530872=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530873=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530874=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530875=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #530876=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #530877=CARTESIAN_POINT('',(0.12,0.5,0.)); #530878=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #530879=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530880=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530881=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #530882=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530883=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530884=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #530885=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #530886=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530887=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530888=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530889=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530890=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530891=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #530892=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530893=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530894=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530895=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #530896=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530897=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530898=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #530899=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530900=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #530901=CARTESIAN_POINT('',(1.195,1.5,0.)); #530902=CARTESIAN_POINT('',(0.12,0.5,0.)); #530903=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #530904=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #530905=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #530906=CARTESIAN_POINT('Origin',(1.195,1.5,-0.12)); #530907=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #530908=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #530909=CARTESIAN_POINT('',(1.195,5.69,-0.12)); #530910=CARTESIAN_POINT('',(1.195,5.65,0.)); #530911=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #530912=CARTESIAN_POINT('',(1.195,1.5,0.)); #530913=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #530914=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #530915=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #530916=CARTESIAN_POINT('Origin',(1.195,5.69,-0.12)); #530917=CARTESIAN_POINT('',(0.845,5.69,-0.08)); #530918=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #530919=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #530920=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #530921=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #530922=CARTESIAN_POINT('Origin',(0.845,5.69,-0.12)); #530923=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #530924=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #530925=CARTESIAN_POINT('',(0.845,5.65,0.)); #530926=CARTESIAN_POINT('',(0.845,5.69,0.)); #530927=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #530928=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #530929=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #530930=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #530931=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #530932=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530933=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #530934=CARTESIAN_POINT('',(0.,5.65,0.)); #530935=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #530936=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #530937=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #530938=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530939=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #530940=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #530941=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #530942=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530943=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #530944=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #530945=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530946=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530947=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #530948=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #530949=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #530950=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #530951=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #530952=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #530953=CARTESIAN_POINT('Origin',(1.195,5.69,-0.04)); #530954=CARTESIAN_POINT('',(0.,0.,0.)); #530955=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #530956=CARTESIAN_POINT('',(0.375,1.5,0.)); #530957=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530958=CARTESIAN_POINT('',(0.375,1.5,0.)); #530959=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #530960=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #530961=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530962=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #530963=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530964=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #530965=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #530966=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #530967=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #530968=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #530969=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530970=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530971=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #530972=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #530973=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530974=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #530975=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530976=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #530977=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #530978=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #530979=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530980=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #530981=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #530982=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #530983=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530984=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #530985=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #530986=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #530987=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #530988=CARTESIAN_POINT('',(0.12,0.5,0.)); #530989=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #530990=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530991=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #530992=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #530993=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #530994=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530995=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #530996=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #530997=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #530998=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #530999=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #531000=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #531001=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #531002=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #531003=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #531004=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531005=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531006=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #531007=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531008=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531009=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #531010=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531011=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #531012=CARTESIAN_POINT('',(0.625,1.5,0.)); #531013=CARTESIAN_POINT('',(0.12,0.5,0.)); #531014=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531015=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531016=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531017=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #531018=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #531019=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531020=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #531021=CARTESIAN_POINT('',(0.625,5.47,0.)); #531022=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531023=CARTESIAN_POINT('',(0.625,1.5,0.)); #531024=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #531025=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531026=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #531027=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #531028=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #531029=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #531030=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #531031=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531032=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #531033=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #531034=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531035=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #531036=CARTESIAN_POINT('',(0.375,5.47,0.)); #531037=CARTESIAN_POINT('',(0.375,5.51,0.)); #531038=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #531039=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531040=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #531041=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #531042=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531043=CARTESIAN_POINT('Origin',(0.,0.,0.)); #531044=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #531045=CARTESIAN_POINT('',(0.,5.47,0.)); #531046=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #531047=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #531048=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #531049=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531050=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531051=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #531052=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #531053=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #531054=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #531055=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #531056=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531057=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531058=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #531059=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #531060=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #531061=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #531062=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #531063=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #531064=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #531065=CARTESIAN_POINT('',(0.,0.,0.)); #531066=CARTESIAN_POINT('Origin',(0.875,1.5,-0.12)); #531067=CARTESIAN_POINT('',(0.875,1.5,0.)); #531068=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #531069=CARTESIAN_POINT('',(0.875,1.5,0.)); #531070=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #531071=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #531072=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531073=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #531074=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531075=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #531076=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #531077=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #531078=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #531079=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531080=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #531081=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #531082=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #531083=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #531084=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #531085=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #531086=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #531087=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #531088=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #531089=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #531090=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #531091=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #531092=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #531093=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #531094=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #531095=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #531096=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #531097=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #531098=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #531099=CARTESIAN_POINT('',(0.12,0.5,0.)); #531100=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #531101=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531102=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531103=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #531104=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531105=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531106=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #531107=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #531108=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531109=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531110=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531111=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531112=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531113=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #531114=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531115=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531116=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531117=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #531118=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531119=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531120=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #531121=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531122=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #531123=CARTESIAN_POINT('',(1.125,1.5,0.)); #531124=CARTESIAN_POINT('',(0.12,0.5,0.)); #531125=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #531126=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531127=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #531128=CARTESIAN_POINT('Origin',(1.125,1.5,-0.12)); #531129=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #531130=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #531131=CARTESIAN_POINT('',(1.125,5.51,-0.12)); #531132=CARTESIAN_POINT('',(1.125,5.47,0.)); #531133=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #531134=CARTESIAN_POINT('',(1.125,1.5,0.)); #531135=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #531136=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #531137=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #531138=CARTESIAN_POINT('Origin',(1.125,5.51,-0.12)); #531139=CARTESIAN_POINT('',(0.875,5.51,-0.08)); #531140=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #531141=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #531142=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #531143=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #531144=CARTESIAN_POINT('Origin',(0.875,5.51,-0.12)); #531145=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #531146=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #531147=CARTESIAN_POINT('',(0.875,5.47,0.)); #531148=CARTESIAN_POINT('',(0.875,5.51,0.)); #531149=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #531150=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #531151=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #531152=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #531153=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #531154=CARTESIAN_POINT('Origin',(0.,0.,0.)); #531155=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #531156=CARTESIAN_POINT('',(0.,5.47,0.)); #531157=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #531158=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531159=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #531160=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531161=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531162=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #531163=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #531164=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531165=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531166=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #531167=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531168=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531169=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #531170=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #531171=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531172=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531173=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #531174=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #531175=CARTESIAN_POINT('Origin',(1.125,5.51,-0.04)); #531176=CARTESIAN_POINT('',(0.,0.,0.)); #531177=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #531178=CARTESIAN_POINT('',(0.375,1.5,0.)); #531179=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #531180=CARTESIAN_POINT('',(0.375,1.5,0.)); #531181=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #531182=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #531183=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531184=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #531185=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531186=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #531187=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #531188=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #531189=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #531190=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #531191=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #531192=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #531193=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #531194=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #531195=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #531196=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #531197=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #531198=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #531199=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #531200=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #531201=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #531202=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #531203=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #531204=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #531205=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #531206=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #531207=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #531208=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #531209=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #531210=CARTESIAN_POINT('',(0.12,0.5,0.)); #531211=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #531212=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531213=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531214=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #531215=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531216=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531217=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #531218=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #531219=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531220=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531221=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531222=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531223=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531224=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #531225=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531226=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531227=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531228=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #531229=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531230=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531231=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #531232=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531233=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #531234=CARTESIAN_POINT('',(0.625,1.5,0.)); #531235=CARTESIAN_POINT('',(0.12,0.5,0.)); #531236=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531237=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #531238=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531239=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #531240=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #531241=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531242=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #531243=CARTESIAN_POINT('',(0.625,5.47,0.)); #531244=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531245=CARTESIAN_POINT('',(0.625,1.5,0.)); #531246=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #531247=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #531248=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #531249=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #531250=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #531251=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #531252=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #531253=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #531254=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #531255=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #531256=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531257=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #531258=CARTESIAN_POINT('',(0.375,5.47,0.)); #531259=CARTESIAN_POINT('',(0.375,5.51,0.)); #531260=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #531261=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531262=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #531263=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #531264=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #531265=CARTESIAN_POINT('Origin',(0.,0.,0.)); #531266=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #531267=CARTESIAN_POINT('',(0.,5.47,0.)); #531268=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #531269=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #531270=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #531271=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531272=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #531273=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #531274=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #531275=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531276=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #531277=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #531278=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #531279=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #531280=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #531281=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #531282=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #531283=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #531284=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #531285=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #531286=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #531287=CARTESIAN_POINT('',(0.,0.,0.)); #531288=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #531289=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #531290=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #531291=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #531292=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #531293=CARTESIAN_POINT('',(2.9195,-0.1,2.76694747782438)); #531294=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #531295=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #531296=CARTESIAN_POINT('',(2.895,-0.1,3.11694747782438)); #531297=CARTESIAN_POINT('Origin',(2.9195,-0.1,2.76694747782438)); #531298=CARTESIAN_POINT('',(-2.9195,0.05,2.76694747782438)); #531299=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #531300=CARTESIAN_POINT('',(-2.9195,-0.05,2.76694747782438)); #531301=CARTESIAN_POINT('',(-2.9195,-0.1,2.76694747782438)); #531302=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #531303=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #531304=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #531305=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #531306=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #531307=CARTESIAN_POINT('',(-2.895,-0.1,3.11694747782438)); #531308=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #531309=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #531310=CARTESIAN_POINT('',(-2.975,0.05,3.11694747782438)); #531311=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #531312=CARTESIAN_POINT('',(-2.975,-0.05,3.11694747782438)); #531313=CARTESIAN_POINT('',(-2.975,-0.1,3.11694747782438)); #531314=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #531315=CARTESIAN_POINT('Origin',(-2.975,-0.1,3.0176067609581)); #531316=CARTESIAN_POINT('',(-3.07,0.05,3.04665117569667)); #531317=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #531318=CARTESIAN_POINT('',(-3.07,-0.05,3.04665117569667)); #531319=CARTESIAN_POINT('',(-3.07,-0.1,3.04665117569667)); #531320=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #531321=CARTESIAN_POINT('Origin',(-3.34,-0.1,2.16352096870596)); #531322=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #531323=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #531324=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #531325=CARTESIAN_POINT('',(-3.34,-0.1,2.16352096870596)); #531326=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #531327=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #531328=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #531329=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #531330=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #531331=CARTESIAN_POINT('',(-3.34,-0.1,1.81694747782438)); #531332=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #531333=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #531334=CARTESIAN_POINT('',(-3.295,0.05,1.81694747782438)); #531335=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #531336=CARTESIAN_POINT('',(-3.295,-0.05,1.81694747782438)); #531337=CARTESIAN_POINT('',(-3.295,-0.1,1.81694747782438)); #531338=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #531339=CARTESIAN_POINT('Origin',(-3.295,-0.1,1.61694747782438)); #531340=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #531341=CARTESIAN_POINT('Origin',(-3.295,0.05,1.61694747782438)); #531342=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #531343=CARTESIAN_POINT('',(-3.095,-0.1,1.61694747782438)); #531344=CARTESIAN_POINT('Origin',(-3.295,-0.05,1.61694747782438)); #531345=CARTESIAN_POINT('Origin',(-3.095,-0.1,1.61694747782438)); #531346=CARTESIAN_POINT('',(-3.095,0.05,0.)); #531347=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #531348=CARTESIAN_POINT('',(-3.095,-0.05,0.)); #531349=CARTESIAN_POINT('',(-3.095,-0.1,0.)); #531350=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #531351=CARTESIAN_POINT('Origin',(-3.165,-0.1,0.)); #531352=CARTESIAN_POINT('',(-3.161,0.05,-0.0698856208386246)); #531353=CARTESIAN_POINT('Origin',(-3.165,0.05,0.)); #531354=CARTESIAN_POINT('',(-3.161,-0.05,-0.0698856208386246)); #531355=CARTESIAN_POINT('',(-3.161,-0.1,-0.0698856208386246)); #531356=CARTESIAN_POINT('Origin',(-3.165,-0.05,0.)); #531357=CARTESIAN_POINT('Origin',(-3.157,-0.1,-0.139771241677249)); #531358=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #531359=CARTESIAN_POINT('Origin',(-3.157,0.05,-0.139771241677249)); #531360=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #531361=CARTESIAN_POINT('',(-3.227,-0.1,-0.139771241677249)); #531362=CARTESIAN_POINT('Origin',(-3.157,-0.05,-0.139771241677249)); #531363=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.139771241677249)); #531364=CARTESIAN_POINT('',(-3.227,0.05,-0.296087403728294)); #531365=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #531366=CARTESIAN_POINT('',(-3.227,-0.05,-0.296087403728294)); #531367=CARTESIAN_POINT('',(-3.227,-0.1,-0.296087403728294)); #531368=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #531369=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.1,-0.296087403728294)); #531370=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #531371=CARTESIAN_POINT('Origin',(-3.30805882352942,0.05,-0.296087403728294)); #531372=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #531373=CARTESIAN_POINT('',(-3.295,-0.1,-0.376087403728294)); #531374=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.05,-0.296087403728294)); #531375=CARTESIAN_POINT('Origin',(-3.295,-0.1,-0.376087403728294)); #531376=CARTESIAN_POINT('',(-3.295,0.05,-0.813348973774195)); #531377=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #531378=CARTESIAN_POINT('',(-3.295,-0.05,-0.813348973774195)); #531379=CARTESIAN_POINT('',(-3.295,-0.1,-0.813348973774195)); #531380=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #531381=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.1,-0.893348973774195)); #531382=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #531383=CARTESIAN_POINT('Origin',(-3.30805882352941,0.05,-0.893348973774195)); #531384=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #531385=CARTESIAN_POINT('',(-3.227,-0.1,-0.893348973774195)); #531386=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.05,-0.893348973774195)); #531387=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.893348973774195)); #531388=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #531389=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #531390=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #531391=CARTESIAN_POINT('',(-3.227,-0.1,-1.72340514389884)); #531392=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #531393=CARTESIAN_POINT('Origin',(-3.227,-0.1,-1.72340514389884)); #531394=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #531395=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #531396=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #531397=CARTESIAN_POINT('',(-4.155,-0.1,-1.72340514389884)); #531398=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #531399=CARTESIAN_POINT('Origin',(-4.155,-0.1,-1.72340514389884)); #531400=CARTESIAN_POINT('',(-4.155,0.05,-2.48305252217562)); #531401=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #531402=CARTESIAN_POINT('',(-4.155,-0.05,-2.48305252217562)); #531403=CARTESIAN_POINT('',(-4.155,-0.1,-2.48305252217562)); #531404=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #531405=CARTESIAN_POINT('Origin',(4.155,-0.1,-2.48305252217562)); #531406=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #531407=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #531408=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #531409=CARTESIAN_POINT('',(4.155,-0.1,-2.48305252217562)); #531410=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #531411=CARTESIAN_POINT('Origin',(4.155,-0.1,-1.72340514389884)); #531412=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #531413=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #531414=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #531415=CARTESIAN_POINT('',(4.155,-0.1,-1.72340514389884)); #531416=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #531417=CARTESIAN_POINT('Origin',(3.227,-0.1,-1.72340514389884)); #531418=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #531419=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #531420=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #531421=CARTESIAN_POINT('',(3.227,-0.1,-1.72340514389884)); #531422=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #531423=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.893348973774195)); #531424=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #531425=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #531426=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #531427=CARTESIAN_POINT('',(3.227,-0.1,-0.893348973774195)); #531428=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #531429=CARTESIAN_POINT('Origin',(3.30805882352941,-0.1,-0.893348973774195)); #531430=CARTESIAN_POINT('',(3.295,0.05,-0.813348973774195)); #531431=CARTESIAN_POINT('Origin',(3.30805882352941,0.05,-0.893348973774195)); #531432=CARTESIAN_POINT('',(3.295,-0.05,-0.813348973774195)); #531433=CARTESIAN_POINT('',(3.295,-0.1,-0.813348973774195)); #531434=CARTESIAN_POINT('Origin',(3.30805882352941,-0.05,-0.893348973774195)); #531435=CARTESIAN_POINT('Origin',(3.295,-0.1,-0.376087403728294)); #531436=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #531437=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #531438=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #531439=CARTESIAN_POINT('',(3.295,-0.1,-0.376087403728294)); #531440=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #531441=CARTESIAN_POINT('Origin',(3.30805882352942,-0.1,-0.296087403728294)); #531442=CARTESIAN_POINT('',(3.227,0.05,-0.296087403728294)); #531443=CARTESIAN_POINT('Origin',(3.30805882352942,0.05,-0.296087403728294)); #531444=CARTESIAN_POINT('',(3.227,-0.05,-0.296087403728294)); #531445=CARTESIAN_POINT('',(3.227,-0.1,-0.296087403728294)); #531446=CARTESIAN_POINT('Origin',(3.30805882352942,-0.05,-0.296087403728294)); #531447=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.139771241677249)); #531448=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #531449=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #531450=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #531451=CARTESIAN_POINT('',(3.227,-0.1,-0.139771241677249)); #531452=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #531453=CARTESIAN_POINT('Origin',(3.157,-0.1,-0.139771241677249)); #531454=CARTESIAN_POINT('',(3.161,0.05,-0.0698856208386246)); #531455=CARTESIAN_POINT('Origin',(3.157,0.05,-0.139771241677249)); #531456=CARTESIAN_POINT('',(3.161,-0.05,-0.0698856208386246)); #531457=CARTESIAN_POINT('',(3.161,-0.1,-0.0698856208386246)); #531458=CARTESIAN_POINT('Origin',(3.157,-0.05,-0.139771241677249)); #531459=CARTESIAN_POINT('Origin',(3.165,-0.1,0.)); #531460=CARTESIAN_POINT('',(3.095,0.05,-8.57252759403147E-18)); #531461=CARTESIAN_POINT('Origin',(3.165,0.05,0.)); #531462=CARTESIAN_POINT('',(3.095,-0.05,-8.57252759403147E-18)); #531463=CARTESIAN_POINT('',(3.095,-0.1,-8.57252759403147E-18)); #531464=CARTESIAN_POINT('Origin',(3.165,-0.05,0.)); #531465=CARTESIAN_POINT('Origin',(3.095,-0.1,1.61694747782438)); #531466=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #531467=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #531468=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #531469=CARTESIAN_POINT('',(3.095,-0.1,1.61694747782438)); #531470=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #531471=CARTESIAN_POINT('Origin',(3.295,-0.1,1.61694747782438)); #531472=CARTESIAN_POINT('',(3.295,0.05,1.81694747782438)); #531473=CARTESIAN_POINT('Origin',(3.295,0.05,1.61694747782438)); #531474=CARTESIAN_POINT('',(3.295,-0.05,1.81694747782438)); #531475=CARTESIAN_POINT('',(3.295,-0.1,1.81694747782438)); #531476=CARTESIAN_POINT('Origin',(3.295,-0.05,1.61694747782438)); #531477=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #531478=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #531479=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #531480=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #531481=CARTESIAN_POINT('',(3.34,-0.1,1.81694747782438)); #531482=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #531483=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #531484=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #531485=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #531486=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #531487=CARTESIAN_POINT('',(3.34,-0.1,2.16352096870596)); #531488=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #531489=CARTESIAN_POINT('Origin',(3.34,-0.1,2.16352096870596)); #531490=CARTESIAN_POINT('',(3.07,0.05,3.04665117569667)); #531491=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #531492=CARTESIAN_POINT('',(3.07,-0.05,3.04665117569667)); #531493=CARTESIAN_POINT('',(3.07,-0.1,3.04665117569667)); #531494=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #531495=CARTESIAN_POINT('Origin',(2.975,-0.1,3.0176067609581)); #531496=CARTESIAN_POINT('',(2.975,0.05,3.11694747782438)); #531497=CARTESIAN_POINT('Origin',(2.975,0.05,3.0176067609581)); #531498=CARTESIAN_POINT('',(2.975,-0.05,3.11694747782438)); #531499=CARTESIAN_POINT('',(2.975,-0.1,3.11694747782438)); #531500=CARTESIAN_POINT('Origin',(2.975,-0.05,3.0176067609581)); #531501=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #531502=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #531503=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #531504=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #531505=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #531506=CARTESIAN_POINT('',(0.,0.,0.)); #531507=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #531508=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #531509=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #531510=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #531511=CARTESIAN_POINT('',(-0.51,1.04,-1.58)); #531512=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #531513=CARTESIAN_POINT('',(-0.51,1.89,-1.58)); #531514=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #531515=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #531516=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #531517=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #531518=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.58)); #531519=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #531520=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #531521=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #531522=CARTESIAN_POINT('',(-0.51,-1.27,-1.58)); #531523=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #531524=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #531525=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #531526=CARTESIAN_POINT('',(-0.299420132394812,0.91378558069326,-1.28)); #531527=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.28)); #531528=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #531529=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.28)); #531530=CARTESIAN_POINT('',(0.54255018588915,1.31791096263698,-1.28)); #531531=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.28)); #531532=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #531533=CARTESIAN_POINT('',(0.5,-0.115,-1.28)); #531534=CARTESIAN_POINT('',(0.499999999999998,3.49,-1.28)); #531535=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.28)); #531536=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #531537=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.28)); #531538=CARTESIAN_POINT('',(-1.80588032049035,0.769278861932123,-1.28)); #531539=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.28)); #531540=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #531541=CARTESIAN_POINT('',(-0.5,-1.27,-1.28)); #531542=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #531543=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.28)); #531544=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #531545=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.28)); #531546=CARTESIAN_POINT('',(1.44369212438361,-0.559562709330698,-1.28)); #531547=CARTESIAN_POINT('',(0.5,-1.235,-1.28)); #531548=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #531549=CARTESIAN_POINT('',(0.5,-2.425,-1.28)); #531550=CARTESIAN_POINT('',(0.5,3.49,-1.28)); #531551=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.28)); #531552=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #531553=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.28)); #531554=CARTESIAN_POINT('',(-2.70702225898481,-1.10819481003555,-1.28)); #531555=CARTESIAN_POINT('',(-0.5,-2.39,-1.28)); #531556=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #531557=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #531558=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #531559=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #531560=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #531561=CARTESIAN_POINT('',(3.19,-1.69,-1.28)); #531562=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #531563=CARTESIAN_POINT('',(2.46,-1.69,-1.28)); #531564=CARTESIAN_POINT('',(-0.500000000000001,-1.69,-1.28)); #531565=CARTESIAN_POINT('',(2.26,-1.49,-1.28)); #531566=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.28)); #531567=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.28)); #531568=CARTESIAN_POINT('',(2.26,3.49,-1.28)); #531569=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.28)); #531570=CARTESIAN_POINT('',(-0.5,-0.804854114414314,-1.28)); #531571=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.28)); #531572=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.28)); #531573=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.28)); #531574=CARTESIAN_POINT('',(2.057,3.49,-1.28)); #531575=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.28)); #531576=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.28)); #531577=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.28)); #531578=CARTESIAN_POINT('',(-0.5,0.095145885585686,-1.28)); #531579=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.28)); #531580=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.28)); #531581=CARTESIAN_POINT('',(3.19,0.401455953030751,-1.28)); #531582=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.28)); #531583=CARTESIAN_POINT('',(3.19,2.14,-1.28)); #531584=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #531585=CARTESIAN_POINT('',(2.99,2.34,-1.28)); #531586=CARTESIAN_POINT('Origin',(2.99,2.14,-1.28)); #531587=CARTESIAN_POINT('',(2.23,2.34,-1.28)); #531588=CARTESIAN_POINT('',(-0.500000000000001,2.34,-1.28)); #531589=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.28)); #531590=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.28)); #531591=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.28)); #531592=CARTESIAN_POINT('',(0.250775498836637,4.23909154856077,-1.28)); #531593=CARTESIAN_POINT('',(1.712,2.69,-1.28)); #531594=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.28)); #531595=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #531596=CARTESIAN_POINT('',(-0.500000000000001,2.69,-1.28)); #531597=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.28)); #531598=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #531599=CARTESIAN_POINT('',(0.902,1.89,-1.28)); #531600=CARTESIAN_POINT('Origin',(0.902,2.04,-1.28)); #531601=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #531602=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #531603=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #531604=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #531605=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #531606=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #531607=CARTESIAN_POINT('',(1.36,1.292,-1.28)); #531608=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #531609=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #531610=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #531611=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #531612=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #531613=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #531614=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #531615=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #531616=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #531617=CARTESIAN_POINT('',(2.64,1.292,-1.28)); #531618=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #531619=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #531620=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.58)); #531621=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #531622=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.58)); #531623=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #531624=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.58)); #531625=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #531626=CARTESIAN_POINT('',(-0.5,1.89,-1.58)); #531627=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #531628=CARTESIAN_POINT('',(0.902,1.89,-1.58)); #531629=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #531630=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #531631=CARTESIAN_POINT('Origin',(0.902,2.04,-1.58)); #531632=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #531633=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #531634=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #531635=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #531636=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.58)); #531637=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.58)); #531638=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #531639=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #531640=CARTESIAN_POINT('',(2.23,2.34,-1.58)); #531641=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.58)); #531642=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #531643=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #531644=CARTESIAN_POINT('',(3.19,2.14,-1.58)); #531645=CARTESIAN_POINT('Origin',(2.99,2.14,-1.58)); #531646=CARTESIAN_POINT('',(3.19,0.401455953030752,-1.58)); #531647=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #531648=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.58)); #531649=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.58)); #531650=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.58)); #531651=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.58)); #531652=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #531653=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #531654=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.58)); #531655=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.58)); #531656=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #531657=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #531658=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.58)); #531659=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.58)); #531660=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #531661=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #531662=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #531663=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #531664=CARTESIAN_POINT('',(2.46,-1.69,-1.58)); #531665=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.58)); #531666=CARTESIAN_POINT('',(3.19,-1.69,-1.58)); #531667=CARTESIAN_POINT('',(4.47,-1.69,-1.58)); #531668=CARTESIAN_POINT('',(3.19,-3.49,-1.58)); #531669=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #531670=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #531671=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #531672=CARTESIAN_POINT('',(-0.5,-2.39,-1.58)); #531673=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #531674=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #531675=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #531676=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.58)); #531677=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #531678=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #531679=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #531680=CARTESIAN_POINT('',(0.5,-1.235,-1.58)); #531681=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #531682=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #531683=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #531684=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.58)); #531685=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #531686=CARTESIAN_POINT('',(-0.5,-1.27,-1.58)); #531687=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #531688=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.58)); #531689=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #531690=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.58)); #531691=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #531692=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #531693=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #531694=CARTESIAN_POINT('',(0.5,-0.115,-1.58)); #531695=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #531696=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #531697=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #531698=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #531699=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #531700=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #531701=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #531702=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #531703=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #531704=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #531705=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #531706=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #531707=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #531708=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #531709=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #531710=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #531711=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #531712=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #531713=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #531714=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #531715=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #531716=CARTESIAN_POINT('',(-0.499999999999999,1.04,11.2985643801531)); #531717=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #531718=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #531719=CARTESIAN_POINT('',(-0.499999999999999,-2.39,11.2985643801531)); #531720=CARTESIAN_POINT('',(-0.5,-3.49,-1.38)); #531721=CARTESIAN_POINT('',(-0.5,-3.49,-1.28)); #531722=CARTESIAN_POINT('',(-0.5,0.050000000000004,2.16)); #531723=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #531724=CARTESIAN_POINT('',(2.75,3.49,1.28)); #531725=CARTESIAN_POINT('',(2.60857864376269,3.59,1.28)); #531726=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #531727=CARTESIAN_POINT('',(2.60857864376269,3.59,1.37903336799206)); #531728=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #531729=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #531730=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #531731=CARTESIAN_POINT('',(2.75,3.49,1.58)); #531732=CARTESIAN_POINT('Origin',(2.75,3.64,1.58)); #531733=CARTESIAN_POINT('',(2.75,3.49,1.28)); #531734=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #531735=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #531736=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.28)); #531737=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #531738=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.37903336799205)); #531739=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #531740=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #531741=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #531742=CARTESIAN_POINT('',(-1.6,3.49,1.58)); #531743=CARTESIAN_POINT('Origin',(-1.6,3.64,1.58)); #531744=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #531745=CARTESIAN_POINT('Origin',(3.19,3.49,1.28)); #531746=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #531747=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #531748=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #531749=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #531750=CARTESIAN_POINT('',(1.6,3.49,1.28)); #531751=CARTESIAN_POINT('',(3.19,3.49,1.28)); #531752=CARTESIAN_POINT('',(1.74142135623731,3.59,1.28)); #531753=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #531754=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #531755=CARTESIAN_POINT('',(3.19,3.49,1.28)); #531756=CARTESIAN_POINT('',(3.19,3.49,1.28)); #531757=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #531758=CARTESIAN_POINT('',(3.19,3.49,1.28)); #531759=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #531760=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #531761=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #531762=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #531763=CARTESIAN_POINT('',(3.19,3.49,1.28)); #531764=CARTESIAN_POINT('',(1.36,1.79,1.28)); #531765=CARTESIAN_POINT('',(1.36,1.788,1.28)); #531766=CARTESIAN_POINT('',(1.36,1.79,1.28)); #531767=CARTESIAN_POINT('',(1.36,1.292,1.28)); #531768=CARTESIAN_POINT('',(1.36,1.788,1.28)); #531769=CARTESIAN_POINT('',(1.36,1.29,1.28)); #531770=CARTESIAN_POINT('',(1.36,1.79,1.28)); #531771=CARTESIAN_POINT('',(2.64,1.29,1.28)); #531772=CARTESIAN_POINT('',(1.36,1.29,1.28)); #531773=CARTESIAN_POINT('',(2.64,1.292,1.28)); #531774=CARTESIAN_POINT('',(2.64,1.29,1.28)); #531775=CARTESIAN_POINT('',(2.64,1.788,1.28)); #531776=CARTESIAN_POINT('',(2.64,1.788,1.28)); #531777=CARTESIAN_POINT('',(2.64,1.79,1.28)); #531778=CARTESIAN_POINT('',(2.64,1.29,1.28)); #531779=CARTESIAN_POINT('',(2.64,1.79,1.28)); #531780=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #531781=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #531782=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #531783=CARTESIAN_POINT('',(-1.36,1.292,1.28)); #531784=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #531785=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #531786=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #531787=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #531788=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #531789=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #531790=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #531791=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #531792=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #531793=CARTESIAN_POINT('',(-2.64,1.292,1.28)); #531794=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #531795=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #531796=CARTESIAN_POINT('Origin',(-4.17,3.49,0.300000000000001)); #531797=CARTESIAN_POINT('',(-4.17,1.94,-0.279999999999999)); #531798=CARTESIAN_POINT('',(-4.17,1.94,-0.300000000000001)); #531799=CARTESIAN_POINT('',(-4.17,1.94,0.300000000000001)); #531800=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #531801=CARTESIAN_POINT('Origin',(-4.17,2.14,-0.279999999999999)); #531802=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #531803=CARTESIAN_POINT('',(-4.17,2.34,-0.0800000000000004)); #531804=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #531805=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #531806=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #531807=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #531808=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #531809=CARTESIAN_POINT('',(-4.17,2.74,-1.476)); #531810=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #531811=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #531812=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #531813=CARTESIAN_POINT('',(-4.17,2.75430509862951,-2.09255811788712)); #531814=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #531815=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #531816=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #531817=CARTESIAN_POINT('',(-4.17,3.115,-2.58)); #531818=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #531819=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #531820=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #531821=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #531822=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #531823=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #531824=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #531825=CARTESIAN_POINT('',(-4.17,3.84,-2.03)); #531826=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #531827=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #531828=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #531829=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #531830=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #531831=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #531832=CARTESIAN_POINT('',(-4.17,3.49,0.504000000000001)); #531833=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #531834=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #531835=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #531836=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #531837=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #531838=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #531839=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #531840=CARTESIAN_POINT('',(-4.17,-1.69,-0.300000000000001)); #531841=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #531842=CARTESIAN_POINT('',(-4.17,-1.69,-0.279999999999999)); #531843=CARTESIAN_POINT('',(-4.17,-1.69,0.300000000000001)); #531844=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #531845=CARTESIAN_POINT('Origin',(-4.17,-1.49,-0.279999999999999)); #531846=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #531847=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #531848=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #531849=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #531850=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #531851=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #531852=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #531853=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #531854=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #531855=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #531856=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #531857=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #531858=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #531859=CARTESIAN_POINT('',(-4.17,-0.49927664399093,-2.20012987012987)); #531860=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #531861=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #531862=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #531863=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #531864=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #531865=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #531866=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #531867=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #531868=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #531869=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #531870=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #531871=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #531872=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #531873=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #531874=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #531875=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.0800000000000004)); #531876=CARTESIAN_POINT('',(-4.17,0.41,-0.279999999999999)); #531877=CARTESIAN_POINT('Origin',(-4.17,0.210000000000001,-0.279999999999999)); #531878=CARTESIAN_POINT('',(-4.17,0.41,-0.300000000000001)); #531879=CARTESIAN_POINT('',(-4.17,0.41,0.300000000000001)); #531880=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #531881=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #531882=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #531883=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #531884=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #531885=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #531886=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #531887=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #531888=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #531889=CARTESIAN_POINT('Origin',(-1.712,2.69,-0.0799999999999996)); #531890=CARTESIAN_POINT('',(-1.712,2.69,-1.28)); #531891=CARTESIAN_POINT('',(-1.042,2.69,-1.28)); #531892=CARTESIAN_POINT('',(-0.499999999999999,2.69,-1.28)); #531893=CARTESIAN_POINT('',(-0.967,2.69,-1.25990381056767)); #531894=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #531895=CARTESIAN_POINT('',(-0.553365564922642,2.69,-1.02109185812965)); #531896=CARTESIAN_POINT('',(-0.610523241572414,2.69,-1.05409185812965)); #531897=CARTESIAN_POINT('',(-0.403365564922642,2.69,-1.28089947926499)); #531898=CARTESIAN_POINT('',(-1.15336556492264,2.69,0.0181386264116724)); #531899=CARTESIAN_POINT('',(-0.817,2.69,-1.519711431703)); #531900=CARTESIAN_POINT('',(-1.51014603095916,2.69,-1.91989947926499)); #531901=CARTESIAN_POINT('',(-1.042,2.69,-1.58)); #531902=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #531903=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #531904=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #531905=CARTESIAN_POINT('',(-1.712,2.69,-0.0799999999999996)); #531906=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #531907=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.28)); #531908=CARTESIAN_POINT('',(-0.902,1.89,-1.28)); #531909=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.28)); #531910=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.28)); #531911=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.28)); #531912=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.28)); #531913=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.28)); #531914=CARTESIAN_POINT('',(-2.09163261917534,2.39409619990861,-1.28)); #531915=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.28)); #531916=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.28)); #531917=CARTESIAN_POINT('',(-2.99,2.34,-1.28)); #531918=CARTESIAN_POINT('',(-3.19,2.34,-1.28)); #531919=CARTESIAN_POINT('',(-3.19,2.14,-1.28)); #531920=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.28)); #531921=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.28)); #531922=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #531923=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.28)); #531924=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.28)); #531925=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.28)); #531926=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.28)); #531927=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.28)); #531928=CARTESIAN_POINT('',(-3.19,0.095145885585686,-1.28)); #531929=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.28)); #531930=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.28)); #531931=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.28)); #531932=CARTESIAN_POINT('',(-2.057,3.49,-1.28)); #531933=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.28)); #531934=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.28)); #531935=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.28)); #531936=CARTESIAN_POINT('',(-3.19,-0.804854114414314,-1.28)); #531937=CARTESIAN_POINT('',(-2.26,-1.49,-1.28)); #531938=CARTESIAN_POINT('',(-2.26,3.49,-1.28)); #531939=CARTESIAN_POINT('',(-2.46,-1.69,-1.28)); #531940=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.28)); #531941=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #531942=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #531943=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #531944=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #531945=CARTESIAN_POINT('',(-0.51,-3.39,-1.28)); #531946=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #531947=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #531948=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #531949=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #531950=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #531951=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #531952=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.28)); #531953=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #531954=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #531955=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #531956=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #531957=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #531958=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #531959=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #531960=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #531961=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #531962=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #531963=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #531964=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #531965=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.28)); #531966=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #531967=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #531968=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #531969=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #531970=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #531971=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #531972=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #531973=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #531974=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #531975=CARTESIAN_POINT('',(-3.19,1.89,-1.28)); #531976=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #531977=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #531978=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #531979=CARTESIAN_POINT('',(-1.36,1.292,-1.28)); #531980=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #531981=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #531982=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #531983=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #531984=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #531985=CARTESIAN_POINT('',(-2.64,1.292,-1.28)); #531986=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #531987=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #531988=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #531989=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #531990=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #531991=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #531992=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #531993=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #531994=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #531995=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #531996=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #531997=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #531998=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #531999=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #532000=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #532001=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #532002=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #532003=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #532004=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #532005=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #532006=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #532007=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #532008=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #532009=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #532010=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #532011=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #532012=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #532013=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #532014=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #532015=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #532016=CARTESIAN_POINT('Origin',(-4.32,3.69,-1.426)); #532017=CARTESIAN_POINT('',(-4.46811668758587,3.54188331241413,0.369409890610287)); #532018=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #532019=CARTESIAN_POINT('Origin',(-3.19,4.82,0.300000000000001)); #532020=CARTESIAN_POINT('',(-4.42,3.59,0.330500000000001)); #532021=CARTESIAN_POINT('Origin',(-4.31948875000001,3.69051125,0.504)); #532022=CARTESIAN_POINT('',(-4.32,3.69,0.157)); #532023=CARTESIAN_POINT('Origin',(-4.52051125,3.48948875,0.157)); #532024=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #532025=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #532026=CARTESIAN_POINT('',(-4.47,3.54,-1.48697906874856)); #532027=CARTESIAN_POINT('',(-4.27963780374704,3.73036219625297,-1.40959167239898)); #532028=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #532029=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #532030=CARTESIAN_POINT('',(-4.45363918900927,3.49,0.504)); #532031=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #532032=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #532033=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #532034=CARTESIAN_POINT('',(-2.75,3.49,1.58)); #532035=CARTESIAN_POINT('',(3.19,3.49,1.58)); #532036=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #532037=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #532038=CARTESIAN_POINT('Origin',(4.17,3.49,-0.300000000000001)); #532039=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #532040=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #532041=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #532042=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #532043=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #532044=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #532045=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #532046=CARTESIAN_POINT('',(4.17,3.49,0.504)); #532047=CARTESIAN_POINT('',(4.17,3.49,-0.0800000000000004)); #532048=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #532049=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #532050=CARTESIAN_POINT('',(4.17,3.69,0.157)); #532051=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #532052=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #532053=CARTESIAN_POINT('',(4.17,3.69,0.157)); #532054=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #532055=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #532056=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #532057=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #532058=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #532059=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #532060=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #532061=CARTESIAN_POINT('',(4.17,3.82569490137048,-2.09255811788713)); #532062=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #532063=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #532064=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #532065=CARTESIAN_POINT('',(4.17,3.465,-2.58)); #532066=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #532067=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #532068=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #532069=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #532070=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #532071=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #532072=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #532073=CARTESIAN_POINT('',(4.17,2.74,-2.03)); #532074=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #532075=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #532076=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #532077=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #532078=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #532079=CARTESIAN_POINT('',(4.17,2.34,-1.076)); #532080=CARTESIAN_POINT('',(4.17,1.94,-0.28)); #532081=CARTESIAN_POINT('Origin',(4.17,2.14,-0.28)); #532082=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #532083=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #532084=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #532085=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #532086=CARTESIAN_POINT('',(4.17,0.41,-0.28)); #532087=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #532088=CARTESIAN_POINT('',(4.17,0.0100000000000011,-0.28)); #532089=CARTESIAN_POINT('Origin',(4.17,0.210000000000001,-0.28)); #532090=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #532091=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #532092=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #532093=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #532094=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #532095=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #532096=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #532097=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #532098=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #532099=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #532100=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #532101=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #532102=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #532103=CARTESIAN_POINT('',(4.17,-0.49,-2.147)); #532104=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #532105=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #532106=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #532107=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #532108=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #532109=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #532110=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #532111=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #532112=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #532113=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #532114=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #532115=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #532116=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #532117=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #532118=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #532119=CARTESIAN_POINT('',(4.17,-1.29,-0.0800000000000004)); #532120=CARTESIAN_POINT('',(4.17,-1.69,-0.28)); #532121=CARTESIAN_POINT('Origin',(4.17,-1.49,-0.28)); #532122=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #532123=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #532124=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #532125=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #532126=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #532127=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #532128=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #532129=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #532130=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #532131=CARTESIAN_POINT('Origin',(0.902,2.04,-0.08)); #532132=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.37923304152418)); #532133=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #532134=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #532135=CARTESIAN_POINT('',(0.902,1.89,-0.08)); #532136=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #532137=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #532138=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #532139=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #532140=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #532141=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #532142=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #532143=CARTESIAN_POINT('',(1.36,1.788,1.58)); #532144=CARTESIAN_POINT('',(1.36,1.788,1.58)); #532145=CARTESIAN_POINT('',(1.36,1.79,1.58)); #532146=CARTESIAN_POINT('',(1.36,1.79,1.28)); #532147=CARTESIAN_POINT('',(1.36,1.79,1.58)); #532148=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #532149=CARTESIAN_POINT('',(2.64,1.292,1.58)); #532150=CARTESIAN_POINT('',(2.64,1.292,1.58)); #532151=CARTESIAN_POINT('',(2.64,1.29,1.58)); #532152=CARTESIAN_POINT('',(2.64,1.29,1.28)); #532153=CARTESIAN_POINT('',(2.64,1.29,1.58)); #532154=CARTESIAN_POINT('Origin',(4.47,3.49,-0.300000000000001)); #532155=CARTESIAN_POINT('',(4.47,3.54,-1.48697906874856)); #532156=CARTESIAN_POINT('',(4.47,3.54,0.300000000000001)); #532157=CARTESIAN_POINT('',(4.47,3.54,-0.300000000000001)); #532158=CARTESIAN_POINT('',(4.47,-3.49,0.300000000000001)); #532159=CARTESIAN_POINT('',(4.47,3.49,0.300000000000001)); #532160=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #532161=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #532162=CARTESIAN_POINT('',(4.47,-1.69,-0.300000000000001)); #532163=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #532164=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #532165=CARTESIAN_POINT('',(4.47,-1.69,-0.0800000000000004)); #532166=CARTESIAN_POINT('',(4.47,-1.29,-0.28)); #532167=CARTESIAN_POINT('Origin',(4.47,-1.49,-0.28)); #532168=CARTESIAN_POINT('',(4.47,-1.29,-2.147)); #532169=CARTESIAN_POINT('',(4.47,-1.29,-0.0800000000000004)); #532170=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #532171=CARTESIAN_POINT('Origin',(4.47,-1.13321704990536,-2.147)); #532172=CARTESIAN_POINT('',(4.47,-1.19072335600907,-2.45)); #532173=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #532174=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #532175=CARTESIAN_POINT('Origin',(4.47,-1.00572335600907,-2.38336538461539)); #532176=CARTESIAN_POINT('',(4.47,-0.77427664399093,-2.58)); #532177=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #532178=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #532179=CARTESIAN_POINT('Origin',(4.47,-0.77427664399093,-2.38336538461539)); #532180=CARTESIAN_POINT('',(4.47,-0.49927664399093,-2.20012987012987)); #532181=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #532182=CARTESIAN_POINT('',(4.47,-0.490000000000006,-2.147)); #532183=CARTESIAN_POINT('Origin',(4.47,-0.646782950094636,-2.147)); #532184=CARTESIAN_POINT('',(4.47,-0.49,-1.931)); #532185=CARTESIAN_POINT('',(4.47,-0.49,-2.147)); #532186=CARTESIAN_POINT('',(4.47,-0.522,-1.841)); #532187=CARTESIAN_POINT('Origin',(4.47,-0.6325625,-1.931)); #532188=CARTESIAN_POINT('',(4.47,-0.383,-1.69905776454236)); #532189=CARTESIAN_POINT('Origin',(4.47,-0.444471864358245,-1.77789060750473)); #532190=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #532191=CARTESIAN_POINT('Origin',(4.47,-0.293,-1.58363982593444)); #532192=CARTESIAN_POINT('',(4.47,-0.139999999999999,-1.73)); #532193=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #532194=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #532195=CARTESIAN_POINT('Origin',(4.47,-0.139999999999999,-1.58)); #532196=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #532197=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #532198=CARTESIAN_POINT('',(4.47,0.41,-0.28)); #532199=CARTESIAN_POINT('Origin',(4.47,0.210000000000001,-0.28)); #532200=CARTESIAN_POINT('',(4.47,0.41,-0.300000000000001)); #532201=CARTESIAN_POINT('',(4.47,0.41,-0.0800000000000004)); #532202=CARTESIAN_POINT('',(4.47,1.94,-0.300000000000001)); #532203=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #532204=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #532205=CARTESIAN_POINT('',(4.47,1.94,-0.0800000000000004)); #532206=CARTESIAN_POINT('',(4.47,2.34,-0.280000000000001)); #532207=CARTESIAN_POINT('Origin',(4.47,2.14,-0.28)); #532208=CARTESIAN_POINT('',(4.47,2.33999999999999,-1.076)); #532209=CARTESIAN_POINT('',(4.47,2.34,-1.076)); #532210=CARTESIAN_POINT('',(4.47,2.53999999999999,-1.276)); #532211=CARTESIAN_POINT('Origin',(4.47,2.53999999999999,-1.076)); #532212=CARTESIAN_POINT('',(4.47,2.74,-1.476)); #532213=CARTESIAN_POINT('Origin',(4.47,2.53999999999998,-1.476)); #532214=CARTESIAN_POINT('',(4.47,2.73999999999998,-2.03)); #532215=CARTESIAN_POINT('',(4.47,2.74,-2.03)); #532216=CARTESIAN_POINT('',(4.47,2.75430509862951,-2.09255811788712)); #532217=CARTESIAN_POINT('Origin',(4.47,2.8839400757397,-2.03)); #532218=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #532219=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #532220=CARTESIAN_POINT('',(4.47,3.115,-2.58)); #532221=CARTESIAN_POINT('Origin',(4.47,3.115,-2.38013716814167)); #532222=CARTESIAN_POINT('',(4.47,3.465,-2.58000000000004)); #532223=CARTESIAN_POINT('',(4.47,3.465,-2.58)); #532224=CARTESIAN_POINT('',(4.47,3.645,-2.467)); #532225=CARTESIAN_POINT('Origin',(4.47,3.465,-2.38013716814167)); #532226=CARTESIAN_POINT('',(4.47,3.82569490137052,-2.09255811788715)); #532227=CARTESIAN_POINT('',(4.47,3.82569490137048,-2.09255811788713)); #532228=CARTESIAN_POINT('',(4.47,3.84,-2.03)); #532229=CARTESIAN_POINT('Origin',(4.47,3.69605992426026,-2.03)); #532230=CARTESIAN_POINT('',(4.47,3.84,-1.79497906874857)); #532231=CARTESIAN_POINT('',(4.47,3.84,-1.58)); #532232=CARTESIAN_POINT('',(4.47,2.92237371282474,-0.852882747248623)); #532233=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #532234=CARTESIAN_POINT('',(4.47,2.82,-0.380000000000001)); #532235=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #532236=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #532237=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #532238=CARTESIAN_POINT('',(4.47,2.82,-0.880000000000001)); #532239=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #532240=CARTESIAN_POINT('',(4.47,2.67,-0.730000000000001)); #532241=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #532242=CARTESIAN_POINT('',(4.47,2.67,-0.530000000000001)); #532243=CARTESIAN_POINT('',(4.47,2.67,-0.380000000000001)); #532244=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #532245=CARTESIAN_POINT('Origin',(4.32,3.69,0.157)); #532246=CARTESIAN_POINT('',(4.46811668758587,3.54188331241413,0.369409890610287)); #532247=CARTESIAN_POINT('Origin',(3.19,4.82,0.300000000000001)); #532248=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #532249=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #532250=CARTESIAN_POINT('',(4.32,3.69,0.157)); #532251=CARTESIAN_POINT('',(4.32,3.69,0.157)); #532252=CARTESIAN_POINT('',(4.42,3.59,0.3305)); #532253=CARTESIAN_POINT('Origin',(4.52051125,3.48948875,0.157)); #532254=CARTESIAN_POINT('Origin',(4.31948875000001,3.69051125,0.504)); #532255=CARTESIAN_POINT('Origin',(-3.19,3.49,-1.58)); #532256=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #532257=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.58)); #532258=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #532259=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.58)); #532260=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #532261=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.58)); #532262=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #532263=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #532264=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #532265=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.58)); #532266=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #532267=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #532268=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #532269=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.58)); #532270=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #532271=CARTESIAN_POINT('',(-0.295092998994441,0.0552297349715073,-1.58)); #532272=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.58)); #532273=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #532274=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #532275=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #532276=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.58)); #532277=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #532278=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #532279=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #532280=CARTESIAN_POINT('',(0.49,-2.425,-1.58)); #532281=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #532282=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.58)); #532283=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #532284=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.58)); #532285=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.58)); #532286=CARTESIAN_POINT('',(-0.51,-2.39,-1.58)); #532287=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #532288=CARTESIAN_POINT('',(-0.51,-3.49,-1.58)); #532289=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #532290=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #532291=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #532292=CARTESIAN_POINT('',(-3.19,-1.69,-1.58)); #532293=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #532294=CARTESIAN_POINT('',(-2.46,-1.69,-1.58)); #532295=CARTESIAN_POINT('',(-4.47,-1.69,-1.58)); #532296=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #532297=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.58)); #532298=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #532299=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #532300=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.58)); #532301=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #532302=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #532303=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.58)); #532304=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.58)); #532305=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #532306=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #532307=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.58)); #532308=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.58)); #532309=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #532310=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.58)); #532311=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.58)); #532312=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.58)); #532313=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.58)); #532314=CARTESIAN_POINT('',(-3.19,2.14,-1.58)); #532315=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #532316=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #532317=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.58)); #532318=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.58)); #532319=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #532320=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #532321=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.58)); #532322=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.58)); #532323=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #532324=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.58)); #532325=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #532326=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #532327=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #532328=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #532329=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #532330=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #532331=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #532332=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #532333=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #532334=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #532335=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #532336=CARTESIAN_POINT('Origin',(-3.19,-3.39,-0.300000000000001)); #532337=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #532338=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #532339=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.27829207394873)); #532340=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #532341=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.27829207394873)); #532342=CARTESIAN_POINT('Ctrl Pts',(-3.2289730108334,0.41,-1.27940698093059)); #532343=CARTESIAN_POINT('Ctrl Pts',(-3.20913227357147,0.407235196250084, -1.28)); #532344=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.28)); #532345=CARTESIAN_POINT('',(-3.39,1.94,-1.25937479641692)); #532346=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.25937479641692)); #532347=CARTESIAN_POINT('Ctrl Pts',(-3.36519686531833,1.94,-1.26454548357157)); #532348=CARTESIAN_POINT('Ctrl Pts',(-3.33849993428259,1.94490530848043, -1.26899477174075)); #532349=CARTESIAN_POINT('Ctrl Pts',(-3.28914035571771,1.96524807119069, -1.27529808220488)); #532350=CARTESIAN_POINT('Ctrl Pts',(-3.26647812210656,1.98067916541882, -1.27717584753705)); #532351=CARTESIAN_POINT('Ctrl Pts',(-3.23083240946533,2.01632487806005, -1.2793103555194)); #532352=CARTESIAN_POINT('Ctrl Pts',(-3.2154380450618,2.03881010650387,-1.27971547233723)); #532353=CARTESIAN_POINT('Ctrl Pts',(-3.19502193171258,2.08808806060494, -1.28004839950087)); #532354=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488054694031,-1.28)); #532355=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.28)); #532356=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #532357=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #532358=CARTESIAN_POINT('',(-4.47,-1.69,-0.3)); #532359=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #532360=CARTESIAN_POINT('',(-4.47,-3.49,-0.3)); #532361=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #532362=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #532363=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #532364=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.57869284112758)); #532365=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.57869284112758)); #532366=CARTESIAN_POINT('Ctrl Pts',(-3.2289686612236,0.41,-1.57954602195257)); #532367=CARTESIAN_POINT('Ctrl Pts',(-3.20913008495689,0.407234535140157, -1.58)); #532368=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.58)); #532369=CARTESIAN_POINT('',(-4.47,0.41,-0.3)); #532370=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #532371=CARTESIAN_POINT('',(-4.47,1.94,-0.3)); #532372=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #532373=CARTESIAN_POINT('',(-3.39,1.94,-1.56427845034233)); #532374=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #532375=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.56427845034233)); #532376=CARTESIAN_POINT('Ctrl Pts',(-3.36506752775227,1.94,-1.56822259290697)); #532377=CARTESIAN_POINT('Ctrl Pts',(-3.3383329271105,1.94495271953366,-1.57161146824546)); #532378=CARTESIAN_POINT('Ctrl Pts',(-3.28900826586259,1.96532401922365, -1.57641183151976)); #532379=CARTESIAN_POINT('Ctrl Pts',(-3.26641843741876,1.98073885010662, -1.5778415976238)); #532380=CARTESIAN_POINT('Ctrl Pts',(-3.23082787289051,2.01632941463487, -1.57947209268886)); #532381=CARTESIAN_POINT('Ctrl Pts',(-3.21543448680069,2.03881643638359, -1.57978223475825)); #532382=CARTESIAN_POINT('Ctrl Pts',(-3.1950206038618,2.08809352480655,-1.5800370414955)); #532383=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488345085534,-1.58)); #532384=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.58)); #532385=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #532386=CARTESIAN_POINT('Origin',(3.19,-3.39,-0.300000000000001)); #532387=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #532388=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #532389=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #532390=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #532391=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #532392=CARTESIAN_POINT('',(-0.499999999999999,-0.0800000000000011,11.2985643801531)); #532393=CARTESIAN_POINT('',(-0.499999999999999,-1.27,11.2985643801531)); #532394=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #532395=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #532396=CARTESIAN_POINT('',(-0.51,-3.49,-1.38)); #532397=CARTESIAN_POINT('',(-0.510000000000001,0.050000000000004,2.16)); #532398=CARTESIAN_POINT('',(-0.51,-3.49,-1.28)); #532399=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #532400=CARTESIAN_POINT('',(4.45363918900927,3.49,0.504)); #532401=CARTESIAN_POINT('',(4.17,3.49,0.504)); #532402=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #532403=CARTESIAN_POINT('',(3.19,3.49,1.58)); #532404=CARTESIAN_POINT('',(3.19,3.49,1.58)); #532405=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #532406=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #532407=CARTESIAN_POINT('',(1.6,3.49,1.58)); #532408=CARTESIAN_POINT('',(1.6,3.49,1.28)); #532409=CARTESIAN_POINT('',(3.19,3.49,1.58)); #532410=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.28)); #532411=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #532412=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #532413=CARTESIAN_POINT('',(-4.27,-3.49,-0.300000000000001)); #532414=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #532415=CARTESIAN_POINT('',(-4.27,-3.49,0.300000000000001)); #532416=CARTESIAN_POINT('',(-4.27,-3.49,-1.28)); #532417=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #532418=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #532419=CARTESIAN_POINT('',(3.19,-3.49,1.38)); #532420=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #532421=CARTESIAN_POINT('',(4.27,-3.49,0.300000000000001)); #532422=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #532423=CARTESIAN_POINT('',(4.27,-3.49,-0.300000000000001)); #532424=CARTESIAN_POINT('',(4.27,-3.49,-1.28)); #532425=CARTESIAN_POINT('',(3.19,-3.49,-1.38)); #532426=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #532427=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #532428=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #532429=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #532430=CARTESIAN_POINT('',(-3.19,-3.49,1.58)); #532431=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #532432=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #532433=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #532434=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #532435=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #532436=CARTESIAN_POINT('Origin',(-3.19,-3.39,0.300000000000001)); #532437=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #532438=CARTESIAN_POINT('Origin',(3.19,-3.39,0.300000000000001)); #532439=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #532440=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.27829207394873)); #532441=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.27829207394873)); #532442=CARTESIAN_POINT('Ctrl Pts',(3.22897301083339,0.41,-1.27940698093059)); #532443=CARTESIAN_POINT('Ctrl Pts',(3.20913227357147,0.407235196250085, -1.28)); #532444=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.28)); #532445=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #532446=CARTESIAN_POINT('',(3.39,1.94,-1.25937479641692)); #532447=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #532448=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.28)); #532449=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488054694031,-1.28)); #532450=CARTESIAN_POINT('Ctrl Pts',(3.19502193171258,2.08808806060494,-1.28004839950087)); #532451=CARTESIAN_POINT('Ctrl Pts',(3.2154380450618,2.03881010650387,-1.27971547233723)); #532452=CARTESIAN_POINT('Ctrl Pts',(3.23083240946533,2.01632487806005,-1.2793103555194)); #532453=CARTESIAN_POINT('Ctrl Pts',(3.26647812210656,1.98067916541882,-1.27717584753705)); #532454=CARTESIAN_POINT('Ctrl Pts',(3.28914035571771,1.96524807119069,-1.27529808220488)); #532455=CARTESIAN_POINT('Ctrl Pts',(3.33849993428259,1.94490530848043,-1.26899477174075)); #532456=CARTESIAN_POINT('Ctrl Pts',(3.36519686531833,1.94,-1.26454548357157)); #532457=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.25937479641692)); #532458=CARTESIAN_POINT('Origin',(-4.47,3.49,0.300000000000001)); #532459=CARTESIAN_POINT('',(-4.47,3.49,0.300000000000001)); #532460=CARTESIAN_POINT('',(-4.47,3.84,-1.79497906874857)); #532461=CARTESIAN_POINT('',(-4.47,2.62247757295976,-0.544989376987237)); #532462=CARTESIAN_POINT('',(-4.47,3.83999999999999,-2.03)); #532463=CARTESIAN_POINT('',(-4.47,3.84,-2.03)); #532464=CARTESIAN_POINT('',(-4.47,3.82569490137048,-2.09255811788713)); #532465=CARTESIAN_POINT('Origin',(-4.47,3.69605992426026,-2.03)); #532466=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #532467=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #532468=CARTESIAN_POINT('',(-4.47,3.465,-2.58)); #532469=CARTESIAN_POINT('Origin',(-4.47,3.465,-2.38013716814167)); #532470=CARTESIAN_POINT('',(-4.47,3.115,-2.58000000000004)); #532471=CARTESIAN_POINT('',(-4.47,3.115,-2.58)); #532472=CARTESIAN_POINT('',(-4.47,2.935,-2.467)); #532473=CARTESIAN_POINT('Origin',(-4.47,3.115,-2.38013716814167)); #532474=CARTESIAN_POINT('',(-4.47,2.75430509862945,-2.09255811788715)); #532475=CARTESIAN_POINT('',(-4.47,2.75430509862951,-2.09255811788712)); #532476=CARTESIAN_POINT('',(-4.47,2.74,-2.03)); #532477=CARTESIAN_POINT('Origin',(-4.47,2.8839400757397,-2.03)); #532478=CARTESIAN_POINT('',(-4.47,2.73999999999998,-1.476)); #532479=CARTESIAN_POINT('',(-4.47,2.74,-1.476)); #532480=CARTESIAN_POINT('',(-4.47,2.53999999999999,-1.276)); #532481=CARTESIAN_POINT('Origin',(-4.47,2.53999999999998,-1.476)); #532482=CARTESIAN_POINT('',(-4.47,2.34,-1.076)); #532483=CARTESIAN_POINT('Origin',(-4.47,2.53999999999999,-1.076)); #532484=CARTESIAN_POINT('',(-4.47,2.34,-0.279999999999999)); #532485=CARTESIAN_POINT('',(-4.47,2.34,-0.0800000000000004)); #532486=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #532487=CARTESIAN_POINT('Origin',(-4.47,2.14,-0.279999999999999)); #532488=CARTESIAN_POINT('',(-4.47,1.94,-0.0799999999999993)); #532489=CARTESIAN_POINT('',(-4.47,0.41,-0.279999999999999)); #532490=CARTESIAN_POINT('',(-4.47,0.41,-0.0799999999999993)); #532491=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.279999999999999)); #532492=CARTESIAN_POINT('Origin',(-4.47,0.210000000000001,-0.279999999999999)); #532493=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-1.58)); #532494=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.0800000000000004)); #532495=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #532496=CARTESIAN_POINT('Origin',(-4.47,-0.139999999999999,-1.58)); #532497=CARTESIAN_POINT('',(-4.47,-0.292999999999999,-1.73)); #532498=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #532499=CARTESIAN_POINT('',(-4.47,-0.382999999999999,-1.69905776454236)); #532500=CARTESIAN_POINT('Origin',(-4.47,-0.293,-1.58363982593444)); #532501=CARTESIAN_POINT('',(-4.47,-0.522000000000001,-1.841)); #532502=CARTESIAN_POINT('Origin',(-4.47,-0.444471864358245,-1.77789060750473)); #532503=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #532504=CARTESIAN_POINT('Origin',(-4.47,-0.6325625,-1.931)); #532505=CARTESIAN_POINT('',(-4.47,-0.49,-2.147)); #532506=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #532507=CARTESIAN_POINT('',(-4.47,-0.499276643990934,-2.20012987012986)); #532508=CARTESIAN_POINT('Origin',(-4.47,-0.646782950094636,-2.147)); #532509=CARTESIAN_POINT('',(-4.47,-0.58927664399093,-2.45)); #532510=CARTESIAN_POINT('',(-4.47,-0.49927664399093,-2.20012987012987)); #532511=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #532512=CARTESIAN_POINT('Origin',(-4.47,-0.77427664399093,-2.38336538461539)); #532513=CARTESIAN_POINT('',(-4.47,-1.00572335600907,-2.58)); #532514=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #532515=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #532516=CARTESIAN_POINT('Origin',(-4.47,-1.00572335600907,-2.38336538461539)); #532517=CARTESIAN_POINT('',(-4.47,-1.28072335600907,-2.20012987012987)); #532518=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #532519=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #532520=CARTESIAN_POINT('Origin',(-4.47,-1.13321704990536,-2.147)); #532521=CARTESIAN_POINT('',(-4.47,-1.29,-0.279999999999999)); #532522=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #532523=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #532524=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #532525=CARTESIAN_POINT('',(-4.47,-1.69,-0.0799999999999993)); #532526=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #532527=CARTESIAN_POINT('',(-4.47,2.82,-0.880000000000001)); #532528=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #532529=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #532530=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #532531=CARTESIAN_POINT('',(-4.47,2.82,-0.380000000000001)); #532532=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #532533=CARTESIAN_POINT('',(-4.47,2.67,-0.530000000000001)); #532534=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #532535=CARTESIAN_POINT('',(-4.47,2.67,-0.730000000000001)); #532536=CARTESIAN_POINT('',(-4.47,2.67,-0.880000000000001)); #532537=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #532538=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #532539=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #532540=CARTESIAN_POINT('Ctrl Pts',(-4.46811668758587,3.54188331241414, 0.369409890610291)); #532541=CARTESIAN_POINT('Ctrl Pts',(-4.46721665650384,3.5268754324413,0.385983101361166)); #532542=CARTESIAN_POINT('Ctrl Pts',(-4.4657950509735,3.5140609185661,0.405964141122854)); #532543=CARTESIAN_POINT('Ctrl Pts',(-4.46115502763314,3.49496358688436, 0.45220637805056)); #532544=CARTESIAN_POINT('Ctrl Pts',(-4.45767378375569,3.49,0.479008470424729)); #532545=CARTESIAN_POINT('Ctrl Pts',(-4.45363918900927,3.49,0.504)); #532546=CARTESIAN_POINT('Origin',(3.19,3.49,1.58)); #532547=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #532548=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #532549=CARTESIAN_POINT('Origin',(-2.75,3.64,1.58)); #532550=CARTESIAN_POINT('',(3.19,3.49,1.58)); #532551=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #532552=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #532553=CARTESIAN_POINT('Origin',(1.6,3.64,1.58)); #532554=CARTESIAN_POINT('',(1.36,1.29,1.58)); #532555=CARTESIAN_POINT('',(1.36,1.29,1.58)); #532556=CARTESIAN_POINT('',(1.36,1.292,1.58)); #532557=CARTESIAN_POINT('',(1.36,1.79,1.58)); #532558=CARTESIAN_POINT('',(1.36,1.788,1.58)); #532559=CARTESIAN_POINT('',(2.64,1.79,1.58)); #532560=CARTESIAN_POINT('',(2.64,1.79,1.58)); #532561=CARTESIAN_POINT('',(2.64,1.788,1.58)); #532562=CARTESIAN_POINT('',(2.64,1.29,1.58)); #532563=CARTESIAN_POINT('',(2.64,1.788,1.58)); #532564=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #532565=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #532566=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #532567=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #532568=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #532569=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #532570=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #532571=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #532572=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #532573=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #532574=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #532575=CARTESIAN_POINT('Ctrl Pts',(4.45363918900927,3.49,0.504)); #532576=CARTESIAN_POINT('Ctrl Pts',(4.45767378375569,3.49,0.479008470424729)); #532577=CARTESIAN_POINT('Ctrl Pts',(4.46115502763314,3.49496358688436,0.45220637805056)); #532578=CARTESIAN_POINT('Ctrl Pts',(4.4657950509735,3.5140609185661,0.405964141122854)); #532579=CARTESIAN_POINT('Ctrl Pts',(4.46721665650384,3.5268754324413,0.385983101361166)); #532580=CARTESIAN_POINT('Ctrl Pts',(4.46811668758587,3.54188331241414,0.369409890610291)); #532581=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #532582=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.57869284112758)); #532583=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #532584=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.57869284112758)); #532585=CARTESIAN_POINT('Ctrl Pts',(3.22896866122359,0.41,-1.57954602195257)); #532586=CARTESIAN_POINT('Ctrl Pts',(3.20913008495689,0.407234535140158, -1.58)); #532587=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.58)); #532588=CARTESIAN_POINT('',(3.39,1.94,-1.56427845034233)); #532589=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.58)); #532590=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488345085534,-1.58)); #532591=CARTESIAN_POINT('Ctrl Pts',(3.1950206038618,2.08809352480655,-1.5800370414955)); #532592=CARTESIAN_POINT('Ctrl Pts',(3.21543448680069,2.03881643638359,-1.57978223475825)); #532593=CARTESIAN_POINT('Ctrl Pts',(3.23082787289051,2.01632941463487,-1.57947209268886)); #532594=CARTESIAN_POINT('Ctrl Pts',(3.26641843741876,1.98073885010662,-1.5778415976238)); #532595=CARTESIAN_POINT('Ctrl Pts',(3.28900826586259,1.96532401922365,-1.57641183151976)); #532596=CARTESIAN_POINT('Ctrl Pts',(3.3383329271105,1.94495271953366,-1.57161146824546)); #532597=CARTESIAN_POINT('Ctrl Pts',(3.36506752775227,1.94,-1.56822259290697)); #532598=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.56427845034233)); #532599=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #532600=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #532601=CARTESIAN_POINT('',(1.74142135623731,3.59,1.37903336799205)); #532602=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #532603=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #532604=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #532605=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.37903336799206)); #532606=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #532607=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #532608=CARTESIAN_POINT('Origin',(3.39,2.14,-0.0800000000000002)); #532609=CARTESIAN_POINT('',(3.39,1.94,-0.0800000000000002)); #532610=CARTESIAN_POINT('',(3.19,2.14,-0.0800000000000002)); #532611=CARTESIAN_POINT('Origin',(4.47,1.94,-0.0800000000000004)); #532612=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #532613=CARTESIAN_POINT('Origin',(1.712,2.69,-0.08)); #532614=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #532615=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #532616=CARTESIAN_POINT('',(0.403365564922641,2.69,-1.28089947926499)); #532617=CARTESIAN_POINT('',(1.51014603095915,2.69,-1.91989947926499)); #532618=CARTESIAN_POINT('',(0.553365564922641,2.69,-1.02109185812965)); #532619=CARTESIAN_POINT('',(1.15336556492264,2.69,0.0181386264116725)); #532620=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #532621=CARTESIAN_POINT('',(-0.255502162212025,2.69,-0.554091858129654)); #532622=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #532623=CARTESIAN_POINT('',(1.712,2.69,-0.08)); #532624=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-0.08)); #532625=CARTESIAN_POINT('',(1.856,2.63025851884472,-0.08)); #532626=CARTESIAN_POINT('Origin',(2.086,2.39974148115528,-0.08)); #532627=CARTESIAN_POINT('',(2.086,2.39974148115528,-0.08)); #532628=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-0.08)); #532629=CARTESIAN_POINT('',(2.23,2.34,-0.0800000000000002)); #532630=CARTESIAN_POINT('Origin',(2.99,2.34,-0.0800000000000002)); #532631=CARTESIAN_POINT('',(2.99,2.34,-0.0800000000000002)); #532632=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #532633=CARTESIAN_POINT('Origin',(2.99,2.14,-0.0800000000000002)); #532634=CARTESIAN_POINT('Origin',(-2.99,2.34,-0.0799999999999996)); #532635=CARTESIAN_POINT('',(-2.23,2.33999999999999,-0.0799999999999996)); #532636=CARTESIAN_POINT('',(-2.99,2.34,-0.0799999999999996)); #532637=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-0.0799999999999996)); #532638=CARTESIAN_POINT('',(-2.086,2.39974148115528,-0.0799999999999996)); #532639=CARTESIAN_POINT('Origin',(-2.086,2.39974148115528,-0.0799999999999996)); #532640=CARTESIAN_POINT('',(-1.856,2.63025851884472,-0.0799999999999996)); #532641=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-0.0799999999999996)); #532642=CARTESIAN_POINT('Origin',(-4.47,1.94,-0.0799999999999993)); #532643=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #532644=CARTESIAN_POINT('',(-3.39,1.94,-0.0799999999999993)); #532645=CARTESIAN_POINT('Origin',(-3.39,2.14,-0.0799999999999993)); #532646=CARTESIAN_POINT('',(-3.19,2.14,-0.0799999999999996)); #532647=CARTESIAN_POINT('Origin',(-2.99,2.14,-0.0799999999999996)); #532648=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #532649=CARTESIAN_POINT('',(-0.902,1.89,-0.0799999999999998)); #532650=CARTESIAN_POINT('Origin',(-0.902,2.04,-0.0799999999999998)); #532651=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.37923304152413)); #532652=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #532653=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #532654=CARTESIAN_POINT('Origin',(4.47,-1.69,-0.0800000000000004)); #532655=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #532656=CARTESIAN_POINT('',(2.46,-1.69,-0.0800000000000002)); #532657=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-0.0800000000000002)); #532658=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-0.0800000000000002)); #532659=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.0800000000000002)); #532660=CARTESIAN_POINT('Origin',(3.24783267285096,0.41,-0.0800000000000002)); #532661=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.28)); #532662=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.0800000000000002)); #532663=CARTESIAN_POINT('',(2.26,-1.49,-0.0800000000000002)); #532664=CARTESIAN_POINT('Origin',(2.26,-1.49,-0.08)); #532665=CARTESIAN_POINT('',(2.26,-0.804854114414314,-0.08)); #532666=CARTESIAN_POINT('Origin',(2.26,-0.804854114414314,-0.08)); #532667=CARTESIAN_POINT('',(2.207,-0.804854114414314,-0.08)); #532668=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-0.08)); #532669=CARTESIAN_POINT('',(2.057,-0.654854114414314,-0.08)); #532670=CARTESIAN_POINT('Origin',(2.057,-0.654854114414314,-0.08)); #532671=CARTESIAN_POINT('',(2.057,-0.104854114414314,-0.08)); #532672=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-0.08)); #532673=CARTESIAN_POINT('',(2.257,0.0951458855856859,-0.08)); #532674=CARTESIAN_POINT('Origin',(2.257,0.0951458855856859,-0.08)); #532675=CARTESIAN_POINT('',(2.857,0.0951458855856859,-0.08)); #532676=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-0.0800000000000002)); #532677=CARTESIAN_POINT('Origin',(-4.47,-1.69,-0.0799999999999993)); #532678=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #532679=CARTESIAN_POINT('',(-2.46,-1.69,-0.0799999999999996)); #532680=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.0799999999999996)); #532681=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.0799999999999996)); #532682=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-0.0799999999999996)); #532683=CARTESIAN_POINT('Origin',(-3.24783267285097,0.41,-0.0799999999999996)); #532684=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.279999999999999)); #532685=CARTESIAN_POINT('Origin',(-2.46,-1.49,-0.0799999999999996)); #532686=CARTESIAN_POINT('',(-2.26,-1.49,-0.0799999999999996)); #532687=CARTESIAN_POINT('Origin',(-2.26,-1.49,-0.0799999999999996)); #532688=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-0.0799999999999996)); #532689=CARTESIAN_POINT('Origin',(-2.26,-0.804854114414314,-0.0799999999999996)); #532690=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-0.0799999999999996)); #532691=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-0.0799999999999996)); #532692=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-0.0799999999999996)); #532693=CARTESIAN_POINT('Origin',(-2.057,-0.654854114414314,-0.0799999999999996)); #532694=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-0.0799999999999996)); #532695=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-0.0799999999999996)); #532696=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-0.0799999999999996)); #532697=CARTESIAN_POINT('Origin',(-2.257,0.0951458855856859,-0.0799999999999996)); #532698=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-0.0799999999999996)); #532699=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-0.0799999999999996)); #532700=CARTESIAN_POINT('Origin',(4.17,-1.29,-0.0800000000000004)); #532701=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #532702=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #532703=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #532704=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #532705=CARTESIAN_POINT('Origin',(4.17,-1.28072335600907,-2.20012987012987)); #532706=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #532707=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #532708=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #532709=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.58)); #532710=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #532711=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #532712=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #532713=CARTESIAN_POINT('Origin',(4.17,-0.58927664399093,-2.45)); #532714=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #532715=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #532716=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #532717=CARTESIAN_POINT('Origin',(4.17,-0.49,-2.147)); #532718=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #532719=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #532720=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #532721=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #532722=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #532723=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #532724=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #532725=CARTESIAN_POINT('Origin',(4.17,-0.292999999999999,-1.73)); #532726=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #532727=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #532728=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #532729=CARTESIAN_POINT('Origin',(4.17,0.0100000000000011,-1.58)); #532730=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #532731=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #532732=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #532733=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #532734=CARTESIAN_POINT('',(4.17,3.69,0.157)); #532735=CARTESIAN_POINT('Origin',(4.17,3.69,0.157)); #532736=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #532737=CARTESIAN_POINT('Origin',(4.17,3.69,-1.426)); #532738=CARTESIAN_POINT('',(4.32,3.84,-1.58)); #532739=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #532740=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #532741=CARTESIAN_POINT('Origin',(4.17,3.84,-1.58)); #532742=CARTESIAN_POINT('',(4.2477312927398,3.84,-1.4764249374236)); #532743=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #532744=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #532745=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #532746=CARTESIAN_POINT('Origin',(4.17,3.82569490137048,-2.09255811788713)); #532747=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #532748=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #532749=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #532750=CARTESIAN_POINT('Origin',(4.17,3.465,-2.58)); #532751=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #532752=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #532753=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #532754=CARTESIAN_POINT('Origin',(4.17,2.935,-2.467)); #532755=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #532756=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #532757=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #532758=CARTESIAN_POINT('Origin',(4.17,2.74,-2.03)); #532759=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #532760=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #532761=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #532762=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #532763=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #532764=CARTESIAN_POINT('Origin',(4.17,2.34,-1.076)); #532765=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #532766=CARTESIAN_POINT('Origin',(4.32,3.69,-1.426)); #532767=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #532768=CARTESIAN_POINT('Origin',(2.46,0.21,-0.28)); #532769=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.28)); #532770=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #532771=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #532772=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #532773=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #532774=CARTESIAN_POINT('Origin',(4.27,-3.49,-1.28)); #532775=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #532776=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #532777=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #532778=CARTESIAN_POINT('Origin',(-3.19,-3.49,1.38)); #532779=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #532780=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #532781=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #532782=CARTESIAN_POINT('Origin',(-4.27,-3.49,-1.28)); #532783=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #532784=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #532785=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #532786=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #532787=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #532788=CARTESIAN_POINT('',(1.36,1.292,1.58)); #532789=CARTESIAN_POINT('',(1.36,1.29,1.28)); #532790=CARTESIAN_POINT('Origin',(1.36,1.29,1.28)); #532791=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #532792=CARTESIAN_POINT('',(2.64,1.788,1.58)); #532793=CARTESIAN_POINT('',(2.64,1.79,1.28)); #532794=CARTESIAN_POINT('Origin',(2.64,1.79,1.28)); #532795=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #532796=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #532797=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #532798=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #532799=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #532800=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #532801=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #532802=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #532803=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #532804=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #532805=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #532806=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #532807=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #532808=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #532809=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #532810=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #532811=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #532812=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #532813=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #532814=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #532815=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #532816=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #532817=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #532818=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #532819=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #532820=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #532821=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #532822=CARTESIAN_POINT('Origin',(1.73835222916963,1.292,1.32909273223488)); #532823=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #532824=CARTESIAN_POINT('',(1.54059749007733,1.292,1.02626920116977)); #532825=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #532826=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #532827=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #532828=CARTESIAN_POINT('',(2.08804419069841,1.292,0.78)); #532829=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #532830=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #532831=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #532832=CARTESIAN_POINT('',(2.53800609005463,1.292,1.21236193340465)); #532833=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #532834=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #532835=CARTESIAN_POINT('',(2.26164777083037,1.292,1.32909273223488)); #532836=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #532837=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #532838=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #532839=CARTESIAN_POINT('',(2.08804419069841,1.292,1.08)); #532840=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #532841=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #532842=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #532843=CARTESIAN_POINT('',(1.81695580930159,1.292,1.143)); #532844=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #532845=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #532846=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #532847=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #532848=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #532849=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #532850=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #532851=CARTESIAN_POINT('Origin',(1.73835222916963,1.788,1.32909273223488)); #532852=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #532853=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #532854=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #532855=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.08)); #532856=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #532857=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #532858=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #532859=CARTESIAN_POINT('Origin',(2.18304419069841,1.788,1.143)); #532860=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #532861=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #532862=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #532863=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #532864=CARTESIAN_POINT('Origin',(1.46199390994537,1.788,1.21236193340465)); #532865=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #532866=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #532867=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #532868=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,0.78)); #532869=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #532870=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #532871=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #532872=CARTESIAN_POINT('Origin',(2.45940250992267,1.788,1.02626920116977)); #532873=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #532874=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #532875=CARTESIAN_POINT('Origin',(2.64,1.29,-1.28)); #532876=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #532877=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #532878=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #532879=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #532880=CARTESIAN_POINT('Origin',(1.36,1.79,-1.28)); #532881=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #532882=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #532883=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #532884=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #532885=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #532886=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #532887=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #532888=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #532889=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #532890=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #532891=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #532892=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #532893=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #532894=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #532895=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #532896=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #532897=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #532898=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #532899=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #532900=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #532901=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #532902=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #532903=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #532904=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #532905=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #532906=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #532907=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #532908=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #532909=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #532910=CARTESIAN_POINT('Origin',(2.26164777083037,1.292,-1.32909273223488)); #532911=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #532912=CARTESIAN_POINT('',(2.45940250992267,1.292,-1.02626920116977)); #532913=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #532914=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #532915=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #532916=CARTESIAN_POINT('',(1.91195580930159,1.292,-0.78)); #532917=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #532918=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #532919=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #532920=CARTESIAN_POINT('',(1.46199390994537,1.292,-1.21236193340465)); #532921=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #532922=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #532923=CARTESIAN_POINT('',(1.73835222916963,1.292,-1.32909273223488)); #532924=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #532925=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #532926=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #532927=CARTESIAN_POINT('',(1.91195580930159,1.292,-1.08)); #532928=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #532929=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #532930=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #532931=CARTESIAN_POINT('',(2.18304419069841,1.292,-1.143)); #532932=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #532933=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #532934=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #532935=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #532936=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #532937=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #532938=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #532939=CARTESIAN_POINT('Origin',(2.26164777083037,1.788,-1.32909273223488)); #532940=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #532941=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #532942=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #532943=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.08)); #532944=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #532945=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #532946=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #532947=CARTESIAN_POINT('Origin',(1.81695580930159,1.788,-1.143)); #532948=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #532949=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #532950=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #532951=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #532952=CARTESIAN_POINT('Origin',(2.53800609005463,1.788,-1.21236193340465)); #532953=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #532954=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #532955=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #532956=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-0.78)); #532957=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #532958=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #532959=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #532960=CARTESIAN_POINT('Origin',(1.54059749007733,1.788,-1.02626920116977)); #532961=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #532962=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #532963=CARTESIAN_POINT('Origin',(1.04771046566094,2.54,0.0791386264116724)); #532964=CARTESIAN_POINT('',(0.44771046566094,2.28922666666666,-0.960091858129654)); #532965=CARTESIAN_POINT('',(0.44771046566094,2.54,-0.960091858129654)); #532966=CARTESIAN_POINT('',(0.447710465660939,3.49,-0.960091858129654)); #532967=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #532968=CARTESIAN_POINT('',(1.04771046566094,2.54,0.0791386264116722)); #532969=CARTESIAN_POINT('',(0.29771046566094,2.28922666666666,-1.21989947926499)); #532970=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #532971=CARTESIAN_POINT('',(1.04771046566094,2.28922666666666,0.0791386264116723)); #532972=CARTESIAN_POINT('Origin',(1.15336556492264,2.31461333333333,0.0181386264116723)); #532973=CARTESIAN_POINT('',(0.553365564922641,2.19,-1.02109185812965)); #532974=CARTESIAN_POINT('Origin',(0.553365564922641,2.31461333333333,-1.02109185812965)); #532975=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #532976=CARTESIAN_POINT('Origin',(0.403365564922641,2.31461333333333,-1.28089947926499)); #532977=CARTESIAN_POINT('',(1.15336556492264,2.19,0.0181386264116723)); #532978=CARTESIAN_POINT('Origin',(1.15336556492264,2.19,0.0181386264116723)); #532979=CARTESIAN_POINT('',(0.958665453893759,2.19,-1.25509185812965)); #532980=CARTESIAN_POINT('',(-0.255502162212025,2.19,-0.554091858129654)); #532981=CARTESIAN_POINT('',(0.808665453893759,2.19,-1.51489947926499)); #532982=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #532983=CARTESIAN_POINT('',(1.55866545389376,2.19,-0.215861373588328)); #532984=CARTESIAN_POINT('Origin',(1.15336556492264,2.56538666666667,0.0181386264116723)); #532985=CARTESIAN_POINT('Origin',(0.553365564922641,2.56538666666667,-1.02109185812965)); #532986=CARTESIAN_POINT('Origin',(0.403365564922641,2.56538666666667,-1.28089947926499)); #532987=CARTESIAN_POINT('Origin',(-0.405502162212024,3.49,-0.813899479264986)); #532988=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #532989=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #532990=CARTESIAN_POINT('Origin',(0.808665453893759,2.04,-1.51489947926499)); #532991=CARTESIAN_POINT('Origin',(1.55866545389376,2.04,-0.215861373588328)); #532992=CARTESIAN_POINT('',(0.967,2.18969094980972,-1.25990381056767)); #532993=CARTESIAN_POINT('',(0.917514408080425,2.18969094980972,-1.34561537001499)); #532994=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #532995=CARTESIAN_POINT('Origin',(0.958665453893759,2.04,-1.25509185812965)); #532996=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #532997=CARTESIAN_POINT('Origin',(-0.255502162212024,3.49,-0.554091858129655)); #532998=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #532999=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #533000=CARTESIAN_POINT('Ctrl Pts',(0.861647550460583,2.18785690637733, -1.54548870698007)); #533001=CARTESIAN_POINT('Ctrl Pts',(0.909591971884417,2.17773002787251, -1.56207462916777)); #533002=CARTESIAN_POINT('Ctrl Pts',(0.990802106691831,2.14398781452219, -1.57899793629896)); #533003=CARTESIAN_POINT('Ctrl Pts',(1.02356298388176,2.12037366240352,-1.58)); #533004=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #533005=CARTESIAN_POINT('Ctrl Pts',(0.967003156199884,2.18969094980972, -1.25989834386911)); #533006=CARTESIAN_POINT('Ctrl Pts',(0.981878582009259,2.18785770305493, -1.26848667496446)); #533007=CARTESIAN_POINT('Ctrl Pts',(0.997865847989041,2.17773002787251, -1.27401881543862)); #533008=CARTESIAN_POINT('Ctrl Pts',(1.02493475374521,2.14398781452219,-1.27965968042306)); #533009=CARTESIAN_POINT('Ctrl Pts',(1.03583296907771,2.12046695405389,-1.27999368760023)); #533010=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.27999368760023)); #533011=CARTESIAN_POINT('Ctrl Pts',(0.967000000000004,2.18969094980972, -1.25990381056767)); #533012=CARTESIAN_POINT('Ctrl Pts',(0.970770511660205,2.18922629169909, -1.26208071648967)); #533013=CARTESIAN_POINT('Ctrl Pts',(0.974551684280639,2.1882356463707,-1.26404402835229)); #533014=CARTESIAN_POINT('Ctrl Pts',(0.97829287058369,2.18678722642523,-1.26579912246231)); #533015=CARTESIAN_POINT('Ctrl Pts',(0.982196225386989,2.18527602209233, -1.26763029430718)); #533016=CARTESIAN_POINT('Ctrl Pts',(0.986008381216334,2.18328506639892, -1.26921294259616)); #533017=CARTESIAN_POINT('Ctrl Pts',(0.989674767539365,2.18092135844651, -1.27057762996985)); #533018=CARTESIAN_POINT('Ctrl Pts',(0.995201941585423,2.17735800638922, -1.2726349321656)); #533019=CARTESIAN_POINT('Ctrl Pts',(1.00065884364745,2.1727838539401,-1.27429040110087)); #533020=CARTESIAN_POINT('Ctrl Pts',(1.00586839118512,2.16723672511816,-1.2755833329899)); #533021=CARTESIAN_POINT('Ctrl Pts',(1.00773971017691,2.16524414372038,-1.27604776641364)); #533022=CARTESIAN_POINT('Ctrl Pts',(1.00957915851179,2.16312601775248,-1.27646592986454)); #533023=CARTESIAN_POINT('Ctrl Pts',(1.01137880699386,2.1608841003324,-1.27684121539568)); #533024=CARTESIAN_POINT('Ctrl Pts',(1.01618856816892,2.15489232612079,-1.27784420781071)); #533025=CARTESIAN_POINT('Ctrl Pts',(1.0204732689578,2.14830660571331,-1.2784953982168)); #533026=CARTESIAN_POINT('Ctrl Pts',(1.02422598684638,2.14145434643594,-1.27894322561438)); #533027=CARTESIAN_POINT('Ctrl Pts',(1.02610067951941,2.13803125931819,-1.2791669404701)); #533028=CARTESIAN_POINT('Ctrl Pts',(1.02784315291006,2.13454165577825,-1.27933921192191)); #533029=CARTESIAN_POINT('Ctrl Pts',(1.02945505727925,2.13102635279781,-1.27947449418591)); #533030=CARTESIAN_POINT('Ctrl Pts',(1.03327889225303,2.12268718656875,-1.2797954171031)); #533031=CARTESIAN_POINT('Ctrl Pts',(1.03648190134414,2.11395573167925,-1.27992084478368)); #533032=CARTESIAN_POINT('Ctrl Pts',(1.03907160332681,2.10506648719001,-1.27997141225222)); #533033=CARTESIAN_POINT('Ctrl Pts',(1.04015430486917,2.10135007550117,-1.27999255347872)); #533034=CARTESIAN_POINT('Ctrl Pts',(1.04113002347144,2.09760608368246,-1.28)); #533035=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.28)); #533036=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #533037=CARTESIAN_POINT('Ctrl Pts',(0.846804960112271,2.18846661407836, -1.536919333447)); #533038=CARTESIAN_POINT('Ctrl Pts',(0.878130528708108,2.18354320585705, -1.55005680979201)); #533039=CARTESIAN_POINT('Ctrl Pts',(0.907786436207732,2.17535650125316, -1.55940494685622)); #533040=CARTESIAN_POINT('Ctrl Pts',(0.922463325194177,2.1713048513538,-1.56403139674393)); #533041=CARTESIAN_POINT('Ctrl Pts',(0.936730421278745,2.16645420187331, -1.56772998628857)); #533042=CARTESIAN_POINT('Ctrl Pts',(0.950197039288123,2.16085892119735, -1.57053628273336)); #533043=CARTESIAN_POINT('Ctrl Pts',(0.982039643313399,2.14762855356899, -1.57717193441717)); #533044=CARTESIAN_POINT('Ctrl Pts',(1.00909614443207,2.1302330583685,-1.57922370849935)); #533045=CARTESIAN_POINT('Ctrl Pts',(1.02818448576243,2.11051871379248,-1.57979681121896)); #533046=CARTESIAN_POINT('Ctrl Pts',(1.0334081322544,2.10512375751504,-1.57995364443768)); #533047=CARTESIAN_POINT('Ctrl Pts',(1.03803503114378,2.09955533462002,-1.58)); #533048=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #533049=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #533050=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #533051=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #533052=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #533053=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #533054=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #533055=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #533056=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #533057=CARTESIAN_POINT('Origin',(4.62,3.33,-0.730000000000001)); #533058=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #533059=CARTESIAN_POINT('',(4.62,2.82,-0.530000000000001)); #533060=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #533061=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #533062=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #533063=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #533064=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #533065=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #533066=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #533067=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #533068=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #533069=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #533070=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #533071=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #533072=CARTESIAN_POINT('Origin',(4.32,3.28,-0.530000000000001)); #533073=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #533074=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #533075=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #533076=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #533077=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #533078=CARTESIAN_POINT('Origin',(4.17,2.87,-0.530000000000001)); #533079=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #533080=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #533081=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #533082=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #533083=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #533084=CARTESIAN_POINT('Origin',(4.32,2.87,-0.530000000000001)); #533085=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #533086=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #533087=CARTESIAN_POINT('Origin',(-3.24531401774049E-17,0.,-0.530000000000001)); #533088=CARTESIAN_POINT('Origin',(-4.46996081688785E-17,0.,-0.730000000000002)); #533089=CARTESIAN_POINT('Origin',(-4.17,-1.29,-2.147)); #533090=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #533091=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #533092=CARTESIAN_POINT('Origin',(-4.17,0.0100000000000011,-0.0800000000000004)); #533093=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #533094=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #533095=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #533096=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #533097=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.73)); #533098=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #533099=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #533100=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #533101=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #533102=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #533103=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #533104=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #533105=CARTESIAN_POINT('Origin',(-4.17,-0.49,-1.931)); #533106=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #533107=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #533108=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #533109=CARTESIAN_POINT('Origin',(-4.17,-0.49927664399093,-2.20012987012987)); #533110=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #533111=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #533112=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #533113=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.58)); #533114=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #533115=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #533116=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #533117=CARTESIAN_POINT('Origin',(-4.17,-1.19072335600907,-2.45)); #533118=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #533119=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #533120=CARTESIAN_POINT('Origin',(-4.17,2.34,-0.0800000000000004)); #533121=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #533122=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #533123=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #533124=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #533125=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #533126=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #533127=CARTESIAN_POINT('Origin',(-4.17,2.74,-1.476)); #533128=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #533129=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #533130=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #533131=CARTESIAN_POINT('Origin',(-4.17,2.75430509862951,-2.09255811788712)); #533132=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #533133=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #533134=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #533135=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.58)); #533136=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #533137=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #533138=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #533139=CARTESIAN_POINT('Origin',(-4.17,3.645,-2.467)); #533140=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #533141=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #533142=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #533143=CARTESIAN_POINT('Origin',(-4.17,3.84,-2.03)); #533144=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #533145=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #533146=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #533147=CARTESIAN_POINT('Origin',(-4.17,3.84,-1.58)); #533148=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #533149=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #533150=CARTESIAN_POINT('Origin',(-4.17,3.69,-1.426)); #533151=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #533152=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #533153=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #533154=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #533155=CARTESIAN_POINT('Origin',(-4.32,3.84,-1.58)); #533156=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #533157=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.279999999999999)); #533158=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #533159=CARTESIAN_POINT('Origin',(-2.64,1.29,1.28)); #533160=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #533161=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #533162=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #533163=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #533164=CARTESIAN_POINT('Origin',(-1.36,1.79,1.28)); #533165=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #533166=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #533167=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #533168=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #533169=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #533170=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #533171=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #533172=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #533173=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #533174=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #533175=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #533176=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #533177=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #533178=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #533179=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #533180=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #533181=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #533182=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #533183=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #533184=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #533185=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #533186=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #533187=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #533188=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #533189=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #533190=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #533191=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #533192=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #533193=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #533194=CARTESIAN_POINT('Origin',(-2.26164777083037,1.292,1.32909273223488)); #533195=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #533196=CARTESIAN_POINT('',(-2.45940250992267,1.292,1.02626920116977)); #533197=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #533198=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #533199=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #533200=CARTESIAN_POINT('',(-1.91195580930159,1.292,0.78)); #533201=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #533202=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #533203=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #533204=CARTESIAN_POINT('',(-1.46199390994537,1.292,1.21236193340465)); #533205=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #533206=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #533207=CARTESIAN_POINT('',(-1.73835222916963,1.292,1.32909273223488)); #533208=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #533209=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #533210=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #533211=CARTESIAN_POINT('',(-1.91195580930159,1.292,1.08)); #533212=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #533213=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #533214=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #533215=CARTESIAN_POINT('',(-2.18304419069841,1.292,1.143)); #533216=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #533217=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #533218=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #533219=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #533220=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #533221=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #533222=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #533223=CARTESIAN_POINT('Origin',(-2.26164777083037,1.788,1.32909273223488)); #533224=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #533225=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #533226=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #533227=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.08)); #533228=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #533229=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #533230=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #533231=CARTESIAN_POINT('Origin',(-1.81695580930159,1.788,1.143)); #533232=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #533233=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #533234=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #533235=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #533236=CARTESIAN_POINT('Origin',(-2.53800609005463,1.788,1.21236193340465)); #533237=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #533238=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #533239=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #533240=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,0.78)); #533241=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #533242=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #533243=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #533244=CARTESIAN_POINT('Origin',(-1.54059749007733,1.788,1.02626920116977)); #533245=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #533246=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #533247=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #533248=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #533249=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #533250=CARTESIAN_POINT('Origin',(-1.36,1.29,-1.28)); #533251=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #533252=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #533253=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #533254=CARTESIAN_POINT('Origin',(-2.64,1.79,-1.28)); #533255=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #533256=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #533257=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #533258=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #533259=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #533260=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #533261=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #533262=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #533263=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #533264=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #533265=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #533266=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #533267=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #533268=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #533269=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #533270=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #533271=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #533272=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #533273=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #533274=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #533275=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #533276=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #533277=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #533278=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #533279=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #533280=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #533281=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #533282=CARTESIAN_POINT('Origin',(-1.73835222916963,1.292,-1.32909273223488)); #533283=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #533284=CARTESIAN_POINT('',(-1.54059749007733,1.292,-1.02626920116977)); #533285=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #533286=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #533287=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #533288=CARTESIAN_POINT('',(-2.08804419069841,1.292,-0.78)); #533289=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #533290=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #533291=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #533292=CARTESIAN_POINT('',(-2.53800609005463,1.292,-1.21236193340465)); #533293=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #533294=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #533295=CARTESIAN_POINT('',(-2.26164777083037,1.292,-1.32909273223488)); #533296=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #533297=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #533298=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #533299=CARTESIAN_POINT('',(-2.08804419069841,1.292,-1.08)); #533300=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #533301=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #533302=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #533303=CARTESIAN_POINT('',(-1.81695580930159,1.292,-1.143)); #533304=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #533305=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #533306=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #533307=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #533308=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #533309=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #533310=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #533311=CARTESIAN_POINT('Origin',(-1.73835222916963,1.788,-1.32909273223488)); #533312=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #533313=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #533314=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #533315=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.08)); #533316=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #533317=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #533318=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #533319=CARTESIAN_POINT('Origin',(-2.18304419069841,1.788,-1.143)); #533320=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #533321=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #533322=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #533323=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #533324=CARTESIAN_POINT('Origin',(-1.46199390994537,1.788,-1.21236193340465)); #533325=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #533326=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #533327=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #533328=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-0.78)); #533329=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #533330=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #533331=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #533332=CARTESIAN_POINT('Origin',(-2.45940250992267,1.788,-1.02626920116977)); #533333=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #533334=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #533335=CARTESIAN_POINT('Origin',(-1.15336556492264,2.31461333333333,0.0181386264116724)); #533336=CARTESIAN_POINT('',(-0.44771046566094,2.28922666666666,-0.960091858129655)); #533337=CARTESIAN_POINT('',(-0.553365564922642,2.19,-1.02109185812965)); #533338=CARTESIAN_POINT('Origin',(-0.553365564922642,2.31461333333333,-1.02109185812965)); #533339=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #533340=CARTESIAN_POINT('',(-1.15336556492264,2.19,0.0181386264116724)); #533341=CARTESIAN_POINT('',(-0.29771046566094,2.28922666666666,-1.21989947926499)); #533342=CARTESIAN_POINT('Origin',(-0.403365564922642,2.31461333333333,-1.28089947926499)); #533343=CARTESIAN_POINT('',(-1.04771046566094,2.28922666666666,0.0791386264116722)); #533344=CARTESIAN_POINT('Origin',(-1.04771046566094,2.54,0.0791386264116723)); #533345=CARTESIAN_POINT('',(-0.44771046566094,2.54,-0.960091858129655)); #533346=CARTESIAN_POINT('',(-0.447710465660939,3.49,-0.960091858129654)); #533347=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #533348=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #533349=CARTESIAN_POINT('',(-1.04771046566094,2.54,0.0791386264116723)); #533350=CARTESIAN_POINT('Origin',(-1.15336556492264,2.56538666666667,0.0181386264116724)); #533351=CARTESIAN_POINT('Origin',(-0.553365564922642,2.56538666666667,-1.02109185812965)); #533352=CARTESIAN_POINT('Origin',(-0.403365564922642,2.56538666666667,-1.28089947926499)); #533353=CARTESIAN_POINT('Origin',(-1.15336556492264,2.19,0.0181386264116724)); #533354=CARTESIAN_POINT('',(-0.958665453893759,2.19,-1.25509185812965)); #533355=CARTESIAN_POINT('',(-0.808665453893759,2.19,-1.51489947926499)); #533356=CARTESIAN_POINT('',(-1.55866545389376,2.19,-0.215861373588328)); #533357=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #533358=CARTESIAN_POINT('',(-0.610523241572413,2.19,-1.05409185812965)); #533359=CARTESIAN_POINT('Origin',(-1.55866545389376,2.04,-0.215861373588328)); #533360=CARTESIAN_POINT('',(-0.967,2.18969094980972,-1.25990381056767)); #533361=CARTESIAN_POINT('Origin',(-0.958665453893759,2.04,-1.25509185812965)); #533362=CARTESIAN_POINT('',(-0.917514408080421,2.18969094980972,-1.345615370015)); #533363=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #533364=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #533365=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #533366=CARTESIAN_POINT('Origin',(-0.808665453893759,2.04,-1.51489947926499)); #533367=CARTESIAN_POINT('Origin',(-2.79013157775255,3.49,-2.65889947926499)); #533368=CARTESIAN_POINT('',(-0.817,2.09385164807134,-1.519711431703)); #533369=CARTESIAN_POINT('Origin',(-0.610523241572414,3.49,-1.05409185812965)); #533370=CARTESIAN_POINT('',(-0.967,2.09385164807134,-1.25990381056767)); #533371=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #533372=CARTESIAN_POINT('Ctrl Pts',(-1.02356298388176,2.12037366240352, -1.58)); #533373=CARTESIAN_POINT('Ctrl Pts',(-0.990802106691829,2.1439878145222, -1.57899793629896)); #533374=CARTESIAN_POINT('Ctrl Pts',(-0.909591971884418,2.17773002787251, -1.56207462916777)); #533375=CARTESIAN_POINT('Ctrl Pts',(-0.861647550460582,2.18785690637733, -1.54548870698007)); #533376=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #533377=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.27999562328633)); #533378=CARTESIAN_POINT('Ctrl Pts',(-1.03583288990991,2.12046695345196, -1.27999562328633)); #533379=CARTESIAN_POINT('Ctrl Pts',(-1.02493453351621,2.1439878145222,-1.27966161179875)); #533380=CARTESIAN_POINT('Ctrl Pts',(-0.997865278432643,2.17773002787251, -1.27402067401829)); #533381=CARTESIAN_POINT('Ctrl Pts',(-0.981877806260461,2.18785770304979, -1.26848846222346)); #533382=CARTESIAN_POINT('Ctrl Pts',(-0.967002188356836,2.18969094980972, -1.25990002022245)); #533383=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.28)); #533384=CARTESIAN_POINT('Ctrl Pts',(-1.03991911265227,2.10283184156461, -1.28)); #533385=CARTESIAN_POINT('Ctrl Pts',(-1.0372324810687,2.11175228472919,-1.27996077193467)); #533386=CARTESIAN_POINT('Ctrl Pts',(-1.03392633568465,2.12037847850442, -1.27978256221778)); #533387=CARTESIAN_POINT('Ctrl Pts',(-1.03254410377898,2.12398491405868, -1.27970805637246)); #533388=CARTESIAN_POINT('Ctrl Pts',(-1.03105372463618,2.1275399176023,-1.27960866550745)); #533389=CARTESIAN_POINT('Ctrl Pts',(-1.02945505727925,2.13102635279782, -1.27947449418591)); #533390=CARTESIAN_POINT('Ctrl Pts',(-1.02622838314332,2.13806320772776, -1.27920368917376)); #533391=CARTESIAN_POINT('Ctrl Pts',(-1.02247702092014,2.14499708207631, -1.27878734849975)); #533392=CARTESIAN_POINT('Ctrl Pts',(-1.01819360051821,2.15150056851751, -1.27809880264105)); #533393=CARTESIAN_POINT('Ctrl Pts',(-1.01605379222947,2.15474942407844, -1.27775483543789)); #533394=CARTESIAN_POINT('Ctrl Pts',(-1.0137815519648,2.1578908736773,-1.27734226625733)); #533395=CARTESIAN_POINT('Ctrl Pts',(-1.01137880699386,2.16088410033241, -1.27684121539568)); #533396=CARTESIAN_POINT('Ctrl Pts',(-1.00636878232161,2.16712535338804, -1.27579646149575)); #533397=CARTESIAN_POINT('Ctrl Pts',(-1.00104954892794,2.17240721681797, -1.27441590488398)); #533398=CARTESIAN_POINT('Ctrl Pts',(-0.995595651439929,2.1766918666032, -1.27264163639946)); #533399=CARTESIAN_POINT('Ctrl Pts',(-0.993636559623551,2.17823095353117, -1.27200430229559)); #533400=CARTESIAN_POINT('Ctrl Pts',(-0.99166018099191,2.17964136851825, -1.271316632473)); #533401=CARTESIAN_POINT('Ctrl Pts',(-0.989674767539365,2.18092135844651, -1.27057762996985)); #533402=CARTESIAN_POINT('Ctrl Pts',(-0.986008381216332,2.18328506639892, -1.26921294259616)); #533403=CARTESIAN_POINT('Ctrl Pts',(-0.982196225386988,2.18527602209233, -1.26763029430718)); #533404=CARTESIAN_POINT('Ctrl Pts',(-0.97829287058369,2.18678722642523, -1.26579912246231)); #533405=CARTESIAN_POINT('Ctrl Pts',(-0.974551684280639,2.1882356463707, -1.26404402835229)); #533406=CARTESIAN_POINT('Ctrl Pts',(-0.970770511660205,2.18922629169909, -1.26208071648967)); #533407=CARTESIAN_POINT('Ctrl Pts',(-0.967000000000004,2.18969094980972, -1.25990381056767)); #533408=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #533409=CARTESIAN_POINT('Ctrl Pts',(-1.0275111407376,2.11469416025172,-1.58)); #533410=CARTESIAN_POINT('Ctrl Pts',(-1.00418298214832,2.13372839351959, -1.57937830321256)); #533411=CARTESIAN_POINT('Ctrl Pts',(-0.975198276914128,2.14909962190338, -1.57501822775026)); #533412=CARTESIAN_POINT('Ctrl Pts',(-0.967266427231351,2.1533060567108, -1.5738250652567)); #533413=CARTESIAN_POINT('Ctrl Pts',(-0.958910971221718,2.15723834660872, -1.57235217119091)); #533414=CARTESIAN_POINT('Ctrl Pts',(-0.950197039288124,2.16085892119736, -1.57053628273336)); #533415=CARTESIAN_POINT('Ctrl Pts',(-0.922986587650753,2.17216466359864, -1.56486592112973)); #533416=CARTESIAN_POINT('Ctrl Pts',(-0.892510451045024,2.1804292183697, -1.55555152507457)); #533417=CARTESIAN_POINT('Ctrl Pts',(-0.861981770484315,2.18520820134402, -1.54230372798919)); #533418=CARTESIAN_POINT('Ctrl Pts',(-0.846872940794248,2.18757334908458, -1.5357473126363)); #533419=CARTESIAN_POINT('Ctrl Pts',(-0.831750656035904,2.18908501860975, -1.52822772693605)); #533420=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #533421=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #533422=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #533423=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #533424=CARTESIAN_POINT('',(-4.62,2.82,-0.730000000000001)); #533425=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #533426=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #533427=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #533428=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #533429=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #533430=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #533431=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #533432=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #533433=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #533434=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #533435=CARTESIAN_POINT('Origin',(-4.62,3.33,-0.530000000000001)); #533436=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #533437=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #533438=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #533439=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #533440=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #533441=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #533442=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #533443=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #533444=CARTESIAN_POINT('Origin',(-4.17,3.28,-0.530000000000001)); #533445=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #533446=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #533447=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #533448=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #533449=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #533450=CARTESIAN_POINT('Origin',(-4.32,3.28,-0.530000000000001)); #533451=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #533452=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #533453=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #533454=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #533455=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #533456=CARTESIAN_POINT('Origin',(-4.32,2.87,-0.530000000000001)); #533457=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #533458=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #533459=CARTESIAN_POINT('Origin',(3.24531401774049E-17,0.,-0.530000000000001)); #533460=CARTESIAN_POINT('Origin',(4.46996081688785E-17,0.,-0.730000000000002)); #533461=CARTESIAN_POINT('Origin',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #533462=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-1.07887171462808)); #533463=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-1.07887171462808)); #533464=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-1.07887171462808)); #533465=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #533466=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #533467=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #533468=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #533469=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #533470=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-0.178871714628076)); #533471=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,0.0211282853719236)); #533472=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-0.178871714628076)); #533473=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,0.0211282853719236)); #533474=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,0.0211282853719236)); #533475=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-0.178871714628076)); #533476=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.278871714628082)); #533477=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628076)); #533478=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.278871714628082)); #533479=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628082)); #533480=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #533481=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #533482=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #533483=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628082)); #533484=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #533485=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #533486=CARTESIAN_POINT('',(-4.78997740356225,2.824,-0.251871714628079)); #533487=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-0.14685319610957)); #533488=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #533489=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #533490=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #533491=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #533492=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.459871714628076)); #533493=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.369613285448516)); #533494=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #533495=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #533496=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #533497=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #533498=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #533499=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.527481727920053)); #533500=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #533501=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #533502=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #533503=CARTESIAN_POINT('Origin',(-4.48997740356225,2.64,-0.527481727920053)); #533504=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.7302617013361)); #533505=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #533506=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #533507=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #533508=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #533509=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #533510=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.797871714628077)); #533511=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.7302617013361)); #533512=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #533513=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #533514=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #533515=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #533516=CARTESIAN_POINT('',(-4.78997740356225,2.824,-1.00587171462807)); #533517=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.888130143807637)); #533518=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #533519=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #533520=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #533521=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #533522=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #533523=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-1.11089023314658)); #533524=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #533525=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #533526=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #533527=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.978871714628071)); #533528=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.978871714628071)); #533529=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #533530=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628076)); #533531=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #533532=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628071)); #533533=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #533534=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #533535=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.828871714628076)); #533536=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #533537=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #533538=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #533539=CARTESIAN_POINT('Origin',(-4.48997740356225,3.342,-0.828871714628076)); #533540=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.428871714628076)); #533541=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #533542=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #533543=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #533544=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #533545=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #533546=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.428871714628076)); #533547=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #533548=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.278871714628082)); #533549=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #533550=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.278871714628082)); #533551=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #533552=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #533553=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #533554=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #533555=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #533556=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #533557=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #533558=CARTESIAN_POINT('',(4.78997740356225,2.824,-0.251871714628079)); #533559=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-0.14685319610957)); #533560=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #533561=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #533562=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #533563=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #533564=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.459871714628076)); #533565=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.369613285448516)); #533566=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #533567=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #533568=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #533569=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #533570=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.527481727920053)); #533571=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.527481727920053)); #533572=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #533573=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #533574=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #533575=CARTESIAN_POINT('Origin',(4.48997740356225,2.64,-0.7302617013361)); #533576=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #533577=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #533578=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #533579=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #533580=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #533581=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #533582=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.797871714628077)); #533583=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.7302617013361)); #533584=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #533585=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #533586=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #533587=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #533588=CARTESIAN_POINT('',(4.78997740356225,2.824,-1.00587171462807)); #533589=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.888130143807637)); #533590=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #533591=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #533592=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #533593=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #533594=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.978871714628071)); #533595=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-1.11089023314658)); #533596=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #533597=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #533598=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #533599=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.978871714628071)); #533600=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628076)); #533601=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628071)); #533602=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #533603=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #533604=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628071)); #533605=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #533606=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.828871714628076)); #533607=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.828871714628076)); #533608=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #533609=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #533610=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #533611=CARTESIAN_POINT('Origin',(4.48997740356225,3.342,-0.428871714628076)); #533612=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #533613=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #533614=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #533615=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #533616=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #533617=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #533618=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.428871714628076)); #533619=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #533620=CARTESIAN_POINT('Origin',(4.48997740356225,2.24112828537193,-0.178871714628076)); #533621=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #533622=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #533623=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-0.178871714628076)); #533624=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-1.07887171462808)); #533625=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #533626=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-1.07887171462808)); #533627=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-1.07887171462808)); #533628=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #533629=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-0.178871714628076)); #533630=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,0.0211282853719236)); #533631=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,0.0211282853719236)); #533632=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,0.0211282853719236)); #533633=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-0.178871714628076)); #533634=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-0.178871714628076)); #533635=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #533636=CARTESIAN_POINT('Ctrl Pts',(-4.37407970344023,4.03871064033772, 0.233892393968742)); #533637=CARTESIAN_POINT('Ctrl Pts',(-4.36199530575025,4.04,0.299140710028597)); #533638=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.363375606739761)); #533639=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #533640=CARTESIAN_POINT('Ctrl Pts',(-4.35134489242778,3.73957020896752, 0.233887062607087)); #533641=CARTESIAN_POINT('Ctrl Pts',(-4.3473157973903,3.74,0.299148647393757)); #533642=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.363375606739761)); #533643=CARTESIAN_POINT('',(-4.35202621405051,3.73951530411907,0.168524745334394)); #533644=CARTESIAN_POINT('',(-4.37612383502704,4.03854591235721,0.168524745334394)); #533645=CARTESIAN_POINT('',(-4.36407502453878,3.88903060823814,0.168524745334394)); #533646=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.363375606739761)); #533647=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334393)); #533648=CARTESIAN_POINT('Ctrl Pts',(-4.35134490386219,3.73957020804607, 0.233885965651727)); #533649=CARTESIAN_POINT('Ctrl Pts',(-4.34731569400471,3.74,0.299149552243306)); #533650=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.36337560673976)); #533651=CARTESIAN_POINT('',(-4.33997740356225,3.83899180341332,0.363375606739761)); #533652=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #533653=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #533654=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #533655=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #533656=CARTESIAN_POINT('Ctrl Pts',(-4.3754186461376,4.03860274056846,0.191075416652656)); #533657=CARTESIAN_POINT('Ctrl Pts',(-4.37351774498315,4.03875878375092, 0.213533092953359)); #533658=CARTESIAN_POINT('Ctrl Pts',(-4.3704587639851,4.03896646496901,0.235847487530113)); #533659=CARTESIAN_POINT('Ctrl Pts',(-4.36905948221684,4.03906146540945, 0.246054849236811)); #533660=CARTESIAN_POINT('Ctrl Pts',(-4.36741783208832,4.03916652883701, 0.256232229841575)); #533661=CARTESIAN_POINT('Ctrl Pts',(-4.36553732700447,4.03927351392401, 0.266374816094833)); #533662=CARTESIAN_POINT('Ctrl Pts',(-4.36107792198631,4.03952721695196, 0.290426811711962)); #533663=CARTESIAN_POINT('Ctrl Pts',(-4.35529697886365,4.03979402409131, 0.314170360381684)); #533664=CARTESIAN_POINT('Ctrl Pts',(-4.34827296835175,4.03992353084143, 0.337568132741318)); #533665=CARTESIAN_POINT('Ctrl Pts',(-4.34567612375795,4.03997141073902, 0.346218515390418)); #533666=CARTESIAN_POINT('Ctrl Pts',(-4.34290946247777,4.04,0.354821635522089)); #533667=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.36337560673976)); #533668=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #533669=CARTESIAN_POINT('Ctrl Pts',(-4.56889473649018,3.98385418990079, 0.0211282853719236)); #533670=CARTESIAN_POINT('Ctrl Pts',(-4.50423951239333,4.01192856055822, 0.0369239289767804)); #533671=CARTESIAN_POINT('Ctrl Pts',(-4.40750169157324,4.03771220794275, 0.0913675464565018)); #533672=CARTESIAN_POINT('Ctrl Pts',(-4.37734075124306,4.03844784618965, 0.129609955239916)); #533673=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #533674=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719236)); #533675=CARTESIAN_POINT('Ctrl Pts',(-4.41628318120489,3.72128472996693, 0.0211282853719236)); #533676=CARTESIAN_POINT('Ctrl Pts',(-4.39473143983928,3.73064285351941, 0.0369239289767804)); #533677=CARTESIAN_POINT('Ctrl Pts',(-4.36248549956591,3.73923740264758, 0.0913675464565018)); #533678=CARTESIAN_POINT('Ctrl Pts',(-4.35243203566747,3.73948260065916, 0.129592410884706)); #533679=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #533680=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #533681=CARTESIAN_POINT('',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #533682=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #533683=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719235)); #533684=CARTESIAN_POINT('Ctrl Pts',(-4.42966961951917,3.71039903697636, 0.0211282853719235)); #533685=CARTESIAN_POINT('Ctrl Pts',(-4.42450135098483,3.7140726105889,0.0222793188185634)); #533686=CARTESIAN_POINT('Ctrl Pts',(-4.41924669914345,3.71734354627566, 0.0245100296415135)); #533687=CARTESIAN_POINT('Ctrl Pts',(-4.41662835759187,3.71897342149474, 0.0256215709983148)); #533688=CARTESIAN_POINT('Ctrl Pts',(-4.41398895501429,3.72050406904983, 0.0270011888302481)); #533689=CARTESIAN_POINT('Ctrl Pts',(-4.41134709935778,3.72193318961525, 0.0286400548190804)); #533690=CARTESIAN_POINT('Ctrl Pts',(-4.40617158867834,3.72473289925507, 0.031850664909188)); #533691=CARTESIAN_POINT('Ctrl Pts',(-4.40098972459307,3.72713727311113, 0.0360562379982965)); #533692=CARTESIAN_POINT('Ctrl Pts',(-4.39598237983903,3.72915258758729, 0.0411790503856035)); #533693=CARTESIAN_POINT('Ctrl Pts',(-4.39253875219596,3.7305385502043,0.0447020868877306)); #533694=CARTESIAN_POINT('Ctrl Pts',(-4.3891779466139,3.7317418285714,0.0486589338675648)); #533695=CARTESIAN_POINT('Ctrl Pts',(-4.38595855654957,3.73277861734152, 0.0530243111808961)); #533696=CARTESIAN_POINT('Ctrl Pts',(-4.3834098151445,3.73359942700234,0.0564803129964262)); #533697=CARTESIAN_POINT('Ctrl Pts',(-4.38095020748312,3.7343159819048,0.0601919787355128)); #533698=CARTESIAN_POINT('Ctrl Pts',(-4.37860846970259,3.7349401280835,0.0641457377166406)); #533699=CARTESIAN_POINT('Ctrl Pts',(-4.37347502186306,3.73630835209184, 0.0728129829003243)); #533700=CARTESIAN_POINT('Ctrl Pts',(-4.36894436109083,3.737226679877,0.0826020074058987)); #533701=CARTESIAN_POINT('Ctrl Pts',(-4.36515443916326,3.73787196109589, 0.0931699622575064)); #533702=CARTESIAN_POINT('Ctrl Pts',(-4.36274233688974,3.73828265142801, 0.0998959551436777)); #533703=CARTESIAN_POINT('Ctrl Pts',(-4.36062928887694,3.73858243962108, 0.106937469947306)); #533704=CARTESIAN_POINT('Ctrl Pts',(-4.35884310531781,3.73880888850223, 0.114206117465014)); #533705=CARTESIAN_POINT('Ctrl Pts',(-4.35635869616925,3.739123857067,0.124316105488608)); #533706=CARTESIAN_POINT('Ctrl Pts',(-4.35450796923164,3.73929896001742, 0.134864226414844)); #533707=CARTESIAN_POINT('Ctrl Pts',(-4.35336502929537,3.73940137709282, 0.145611915556029)); #533708=CARTESIAN_POINT('Ctrl Pts',(-4.35256039185654,3.739473479406,0.153178361341629)); #533709=CARTESIAN_POINT('Ctrl Pts',(-4.35210627929409,3.73950885199731, 0.160843718226532)); #533710=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #533711=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933812,3.9391336860073,0.0211282853719236)); #533712=CARTESIAN_POINT('Ctrl Pts',(-4.60487390506523,3.95459635641519, 0.021128285371924)); #533713=CARTESIAN_POINT('Ctrl Pts',(-4.58462662124424,3.96812683567607, 0.0230186242363753)); #533714=CARTESIAN_POINT('Ctrl Pts',(-4.56424027112047,3.97973241443818, 0.0266504581612157)); #533715=CARTESIAN_POINT('Ctrl Pts',(-4.54058217008687,3.99320054155463, 0.0308651554174436)); #533716=CARTESIAN_POINT('Ctrl Pts',(-4.51673808624099,4.0040757312249,0.0374255639634415)); #533717=CARTESIAN_POINT('Ctrl Pts',(-4.49447626466564,4.01240146017952, 0.0460637631787654)); #533718=CARTESIAN_POINT('Ctrl Pts',(-4.48088095116448,4.01748598998229, 0.051339118837346)); #533719=CARTESIAN_POINT('Ctrl Pts',(-4.46787683226017,4.02162034929774, 0.0573886844459887)); #533720=CARTESIAN_POINT('Ctrl Pts',(-4.45587060198328,4.02482038425048, 0.0641457377166415)); #533721=CARTESIAN_POINT('Ctrl Pts',(-4.43576526471207,4.03017908390232, 0.0754609325640683)); #533722=CARTESIAN_POINT('Ctrl Pts',(-4.41879355051275,4.03343969476473, 0.0886908903166324)); #533723=CARTESIAN_POINT('Ctrl Pts',(-4.40575294046099,4.03542434644802, 0.103072128225935)); #533724=CARTESIAN_POINT('Ctrl Pts',(-4.3937581899072,4.0372498286749,0.116299988018693)); #533725=CARTESIAN_POINT('Ctrl Pts',(-4.38508985936361,4.03799717717276, 0.130500635815576)); #533726=CARTESIAN_POINT('Ctrl Pts',(-4.38032767660626,4.03831271613667, 0.145073893368756)); #533727=CARTESIAN_POINT('Ctrl Pts',(-4.37779794257926,4.03848033457858, 0.152815399185502)); #533728=CARTESIAN_POINT('Ctrl Pts',(-4.37636971171388,4.03852609818755, 0.160662051476301)); #533729=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #533730=CARTESIAN_POINT('Origin',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #533731=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,0.0211282853719236)); #533732=CARTESIAN_POINT('',(-3.98197740356225,3.55712828537193,0.0211282853719236)); #533733=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0211282853719236)); #533734=CARTESIAN_POINT('',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #533735=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #533736=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0211282853719236)); #533737=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #533738=CARTESIAN_POINT('',(-4.78997740356225,3.81112828537193,0.0211282853719236)); #533739=CARTESIAN_POINT('Origin',(-4.78997740356225,11.5111056889342,1.62112828537193)); #533740=CARTESIAN_POINT('',(-4.78997740356225,3.59,-1.27887171462808)); #533741=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0224675978987383)); #533742=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #533743=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #533744=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-1.07887171462808)); #533745=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-1.07887171462808)); #533746=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,-1.27887171462808)); #533747=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #533748=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-1.07887171462808)); #533749=CARTESIAN_POINT('Origin',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #533750=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,-1.27887171462808)); #533751=CARTESIAN_POINT('',(-4.28842871771102,2.94422565707439,-1.27887171462808)); #533752=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,1.62112828537193)); #533753=CARTESIAN_POINT('Origin',(-4.48997740356225,11.5111056889342,1.62112828537193)); #533754=CARTESIAN_POINT('',(-4.48997740356225,3.59,-1.27887171462808)); #533755=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #533756=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0224675978987383)); #533757=CARTESIAN_POINT('Origin',(4.48997740356225,5.13110568893418,1.62112828537193)); #533758=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,-1.27887171462808)); #533759=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #533760=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #533761=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,0.0211282853719236)); #533762=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,1.62112828537193)); #533763=CARTESIAN_POINT('',(4.48997740356225,3.59,0.0211282853719236)); #533764=CARTESIAN_POINT('',(4.48997740356225,3.81112828537193,0.0211282853719236)); #533765=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #533766=CARTESIAN_POINT('Origin',(4.63997740356225,2.24112828537193,-0.178871714628076)); #533767=CARTESIAN_POINT('',(3.98197740356225,3.55712828537193,0.0211282853719236)); #533768=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,-1.27887171462808)); #533769=CARTESIAN_POINT('',(4.28842871771102,2.94422565707438,-1.27887171462808)); #533770=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-1.07887171462808)); #533771=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-1.07887171462808)); #533772=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #533773=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #533774=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-1.07887171462808)); #533775=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #533776=CARTESIAN_POINT('Ctrl Pts',(4.37734075124306,4.03844784618965,0.129609955239916)); #533777=CARTESIAN_POINT('Ctrl Pts',(4.40750169157324,4.03771220794275,0.0913675464565018)); #533778=CARTESIAN_POINT('Ctrl Pts',(4.50423951239333,4.01192856055822,0.0369239289767804)); #533779=CARTESIAN_POINT('Ctrl Pts',(4.56889473649018,3.98385418990079,0.0211282853719236)); #533780=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #533781=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #533782=CARTESIAN_POINT('Ctrl Pts',(4.35243203566747,3.73948260065916,0.129592410884709)); #533783=CARTESIAN_POINT('Ctrl Pts',(4.36248549956591,3.73923740264758,0.0913675464565018)); #533784=CARTESIAN_POINT('Ctrl Pts',(4.39473143983928,3.73064285351941,0.0369239289767804)); #533785=CARTESIAN_POINT('Ctrl Pts',(4.41628318120489,3.72128472996693,0.0211282853719236)); #533786=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719236)); #533787=CARTESIAN_POINT('',(4.35202621405051,3.73951530411907,0.168524745334394)); #533788=CARTESIAN_POINT('',(4.37612383502704,4.03854591235721,0.168524745334394)); #533789=CARTESIAN_POINT('',(4.36407502453878,3.88903060823814,0.168524745334394)); #533790=CARTESIAN_POINT('',(4.43461452882088,3.70637789533577,0.0211282853719236)); #533791=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #533792=CARTESIAN_POINT('Ctrl Pts',(4.35214000920933,3.73950613384509,0.157607852303539)); #533793=CARTESIAN_POINT('Ctrl Pts',(4.35300965013283,3.73944098513292,0.146722605425058)); #533794=CARTESIAN_POINT('Ctrl Pts',(4.35456667783703,3.73928882435111,0.136108007145529)); #533795=CARTESIAN_POINT('Ctrl Pts',(4.35568354863373,3.73917967797358,0.128494054737935)); #533796=CARTESIAN_POINT('Ctrl Pts',(4.35715405239255,3.73902429789029,0.121019359952085)); #533797=CARTESIAN_POINT('Ctrl Pts',(4.35895020758427,3.73879527111955,0.113772133663528)); #533798=CARTESIAN_POINT('Ctrl Pts',(4.36177767035966,3.73843474288023,0.102363730508737)); #533799=CARTESIAN_POINT('Ctrl Pts',(4.36541264530714,3.73789371479259,0.0915206321823993)); #533800=CARTESIAN_POINT('Ctrl Pts',(4.36974098777825,3.73701744472891,0.0815858454360989)); #533801=CARTESIAN_POINT('Ctrl Pts',(4.37243963508099,3.73647110537161,0.0753916762743149)); #533802=CARTESIAN_POINT('Ctrl Pts',(4.37540727292495,3.7357933468993,0.0695505958588464)); #533803=CARTESIAN_POINT('Ctrl Pts',(4.37860846970259,3.7349401280835,0.0641457377166409)); #533804=CARTESIAN_POINT('Ctrl Pts',(4.38305463902126,3.73375508524345,0.0566388844636941)); #533805=CARTESIAN_POINT('Ctrl Pts',(4.38792599915986,3.73223443659326,0.0500060434808132)); #533806=CARTESIAN_POINT('Ctrl Pts',(4.39302384624504,3.73030707365882,0.0443365932925027)); #533807=CARTESIAN_POINT('Ctrl Pts',(4.39632910561848,3.72905744135353,0.0406607271741144)); #533808=CARTESIAN_POINT('Ctrl Pts',(4.39972917954914,3.72763758538095,0.0373898470225831)); #533809=CARTESIAN_POINT('Ctrl Pts',(4.4031683185593,3.7260400979926,0.0345483135177831)); #533810=CARTESIAN_POINT('Ctrl Pts',(4.40827338037433,3.72366878591646,0.0303303379870986)); #533811=CARTESIAN_POINT('Ctrl Pts',(4.41346043932735,3.72090559174823,0.0270584143487259)); #533812=CARTESIAN_POINT('Ctrl Pts',(4.41857302085958,3.71776043574461,0.0248018327512707)); #533813=CARTESIAN_POINT('Ctrl Pts',(4.42138435797178,3.71603095842656,0.0235609700771721)); #533814=CARTESIAN_POINT('Ctrl Pts',(4.42417329437571,3.71418686692735,0.0226271210824183)); #533815=CARTESIAN_POINT('Ctrl Pts',(4.42691579195697,3.71223631466683,0.0220118067498326)); #533816=CARTESIAN_POINT('Ctrl Pts',(4.42952796829275,3.71037845105687,0.0214257316518545)); #533817=CARTESIAN_POINT('Ctrl Pts',(4.43209921405932,3.70842331949014,0.0211282853719235)); #533818=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719235)); #533819=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #533820=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #533821=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #533822=CARTESIAN_POINT('Ctrl Pts',(4.37658205125731,4.03850898663543,0.153871815096515)); #533823=CARTESIAN_POINT('Ctrl Pts',(4.38114977590636,4.03838261597411,0.139273997098208)); #533824=CARTESIAN_POINT('Ctrl Pts',(4.38929125806214,4.03754337334594,0.125310395883257)); #533825=CARTESIAN_POINT('Ctrl Pts',(4.39818951883456,4.03662612024461,0.110048830168906)); #533826=CARTESIAN_POINT('Ctrl Pts',(4.41135635842715,4.03485590817517,0.09554612677057)); #533827=CARTESIAN_POINT('Ctrl Pts',(4.428030029951,4.03141517582364,0.0825614133656725)); #533828=CARTESIAN_POINT('Ctrl Pts',(4.43645085541935,4.02967747776631,0.0760036492174054)); #533829=CARTESIAN_POINT('Ctrl Pts',(4.44576524469488,4.02751377724621,0.0698329880809946)); #533830=CARTESIAN_POINT('Ctrl Pts',(4.45587060198329,4.02482038425048,0.0641457377166399)); #533831=CARTESIAN_POINT('Ctrl Pts',(4.47606036003302,4.01943918382732,0.0527830312286363)); #533832=CARTESIAN_POINT('Ctrl Pts',(4.49907134680602,4.01141399334396,0.0434227083751921)); #533833=CARTESIAN_POINT('Ctrl Pts',(4.52297177388024,4.00067662713836,0.0363754892800609)); #533834=CARTESIAN_POINT('Ctrl Pts',(4.54328286296987,3.99155178594148,0.0303866132473942)); #533835=CARTESIAN_POINT('Ctrl Pts',(4.56423473798704,3.98046872740882,0.0260679927779785)); #533836=CARTESIAN_POINT('Ctrl Pts',(4.58466349436997,3.96740646632199,0.0235893916589643)); #533837=CARTESIAN_POINT('Ctrl Pts',(4.59806958468527,3.95883453719574,0.0219628437754689)); #533838=CARTESIAN_POINT('Ctrl Pts',(4.61125088856515,3.94941066900856,0.0211282853719236)); #533839=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #533840=CARTESIAN_POINT('Origin',(4.78997740356225,5.13110568893418,1.62112828537193)); #533841=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #533842=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #533843=CARTESIAN_POINT('',(4.78997740356225,3.59,0.0211282853719236)); #533844=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #533845=CARTESIAN_POINT('',(4.78997740356225,3.81112828537193,0.0211282853719236)); #533846=CARTESIAN_POINT('Origin',(4.48997740356225,3.81112828537193,0.0211282853719236)); #533847=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #533848=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #533849=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #533850=CARTESIAN_POINT('Ctrl Pts',(4.36199530575024,4.04,0.299140710028607)); #533851=CARTESIAN_POINT('Ctrl Pts',(4.37407970344023,4.03871064033772,0.233892393968739)); #533852=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #533853=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #533854=CARTESIAN_POINT('Ctrl Pts',(4.3473157973903,3.74,0.299148647393748)); #533855=CARTESIAN_POINT('Ctrl Pts',(4.35134489242778,3.73957020896752,0.2338870626071)); #533856=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #533857=CARTESIAN_POINT('',(4.33997740356225,3.74,0.363375606739761)); #533858=CARTESIAN_POINT('',(4.33997740356225,3.8389918034134,0.363375606739761)); #533859=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #533860=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #533861=CARTESIAN_POINT('Ctrl Pts',(4.34731569400471,3.74,0.299149552243305)); #533862=CARTESIAN_POINT('Ctrl Pts',(4.35134490386219,3.73957020804607,0.233885965651731)); #533863=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #533864=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #533865=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #533866=CARTESIAN_POINT('Ctrl Pts',(4.34790811019493,4.04,0.340238610442517)); #533867=CARTESIAN_POINT('Ctrl Pts',(4.35462770557757,4.03979424864115,0.316742036808904)); #533868=CARTESIAN_POINT('Ctrl Pts',(4.36005345430453,4.03955194603804,0.292923215201604)); #533869=CARTESIAN_POINT('Ctrl Pts',(4.36205940606458,4.03946236442311,0.28411716657498)); #533870=CARTESIAN_POINT('Ctrl Pts',(4.36388864185609,4.03936731038622,0.2752670714072)); #533871=CARTESIAN_POINT('Ctrl Pts',(4.36553732700447,4.03927351392401,0.266374816094834)); #533872=CARTESIAN_POINT('Ctrl Pts',(4.36964831411852,4.03903963297477,0.244202027651819)); #533873=CARTESIAN_POINT('Ctrl Pts',(4.37261782731939,4.03881825962301,0.221862954637996)); #533874=CARTESIAN_POINT('Ctrl Pts',(4.37440906683635,4.03868080030705,0.199407883759755)); #533875=CARTESIAN_POINT('Ctrl Pts',(4.37522844060829,4.03861792174886,0.189136171936463)); #533876=CARTESIAN_POINT('Ctrl Pts',(4.37580125768616,4.03857190751049,0.178840188044819)); #533877=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #533878=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #533879=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #533880=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #533881=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #533882=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #533883=CARTESIAN_POINT('Origin',(2.141,3.74,-0.67887171462807)); #533884=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #533885=CARTESIAN_POINT('',(1.541,3.96,-0.67887171462807)); #533886=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #533887=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #533888=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #533889=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #533890=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #533891=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #533892=CARTESIAN_POINT('Origin',(-2.141,3.74,-0.67887171462807)); #533893=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #533894=CARTESIAN_POINT('',(-2.141,3.96,-0.67887171462807)); #533895=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #533896=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #533897=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #533898=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #533899=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #533900=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #533901=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #533902=CARTESIAN_POINT('',(-4.33997740356225,3.74,-1.27887171462808)); #533903=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #533904=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.0224675978987383)); #533905=CARTESIAN_POINT('Origin',(-2.75,3.74,0.994524745334394)); #533906=CARTESIAN_POINT('',(-2.92,4.04,0.994524745334393)); #533907=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #533908=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #533909=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #533910=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #533911=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #533912=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #533913=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #533914=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #533915=CARTESIAN_POINT('',(-3.06,4.04,1.09452474533439)); #533916=CARTESIAN_POINT('Origin',(-2.92,4.04,1.14252474533439)); #533917=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #533918=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #533919=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #533920=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #533921=CARTESIAN_POINT('',(-3.38,4.04,1.28852474533439)); #533922=CARTESIAN_POINT('Origin',(-3.33622069431921,4.04,0.999820507282096)); #533923=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #533924=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #533925=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #533926=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #533927=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #533928=CARTESIAN_POINT('Origin',(-3.20712760635963,4.04,0.148511400899072)); #533929=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #533930=CARTESIAN_POINT('',(-4.33997740356225,4.04,-1.27887171462808)); #533931=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #533932=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.0224675978987383)); #533933=CARTESIAN_POINT('Origin',(-5.87997740356225,3.74,-1.27887171462808)); #533934=CARTESIAN_POINT('',(-4.2,4.04,-1.27887171462808)); #533935=CARTESIAN_POINT('',(-5.87997740356225,4.04,-1.27887171462808)); #533936=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #533937=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #533938=CARTESIAN_POINT('',(-5.87997740356225,3.74,-1.27887171462808)); #533939=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #533940=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #533941=CARTESIAN_POINT('Origin',(-4.2,4.04,-1.13149376486263)); #533942=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #533943=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #533944=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #533945=CARTESIAN_POINT('Origin',(-4.087,3.74,-1.2261048639846)); #533946=CARTESIAN_POINT('',(-3.673,4.04,-0.731638565271536)); #533947=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #533948=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #533949=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #533950=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #533951=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #533952=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #533953=CARTESIAN_POINT('Origin',(-3.56,4.04,-0.826249664393507)); #533954=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #533955=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #533956=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #533957=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.67887171462807)); #533958=CARTESIAN_POINT('',(-2.605,4.04,-0.678871714628075)); #533959=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #533960=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #533961=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #533962=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #533963=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #533964=CARTESIAN_POINT('',(-2.47586378246832,4.04,-0.598871714628071)); #533965=CARTESIAN_POINT('Origin',(-2.605,4.04,-0.534645697888131)); #533966=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #533967=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #533968=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #533969=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #533970=CARTESIAN_POINT('',(-2.1594800495157,4.04,-0.598871714628069)); #533971=CARTESIAN_POINT('Origin',(-2.31767191599201,4.04,-0.677548585134494)); #533972=CARTESIAN_POINT('Origin',(-2.31767191599201,3.96132312949358,-0.677548585134494)); #533973=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #533974=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #533975=CARTESIAN_POINT('',(-1.52251995048431,4.04,-0.598871714628069)); #533976=CARTESIAN_POINT('Origin',(-1.364328084008,3.96132312949358,-0.677548585134489)); #533977=CARTESIAN_POINT('',(-2.141,4.04,-0.598871714628069)); #533978=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #533979=CARTESIAN_POINT('',(-1.20613621753169,4.04,-0.598871714628067)); #533980=CARTESIAN_POINT('Origin',(-1.364328084008,4.04,-0.677548585134489)); #533981=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #533982=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #533983=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #533984=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #533985=CARTESIAN_POINT('',(-1.077,4.04,-0.67887171462807)); #533986=CARTESIAN_POINT('Origin',(-1.077,4.04,-0.534645697888127)); #533987=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #533988=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #533989=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #533990=CARTESIAN_POINT('Origin',(1.077,3.74,-0.67887171462807)); #533991=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #533992=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #533993=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #533994=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #533995=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #533996=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #533997=CARTESIAN_POINT('',(1.20613621753169,4.04,-0.598871714628067)); #533998=CARTESIAN_POINT('Origin',(1.077,4.04,-0.534645697888127)); #533999=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #534000=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #534001=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #534002=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #534003=CARTESIAN_POINT('',(1.52251995048431,4.04,-0.598871714628069)); #534004=CARTESIAN_POINT('Origin',(1.364328084008,4.04,-0.677548585134489)); #534005=CARTESIAN_POINT('Origin',(1.364328084008,3.96132312949358,-0.677548585134489)); #534006=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #534007=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #534008=CARTESIAN_POINT('',(2.1594800495157,4.04,-0.598871714628069)); #534009=CARTESIAN_POINT('Origin',(2.31767191599201,3.96132312949358,-0.677548585134494)); #534010=CARTESIAN_POINT('',(1.541,4.04,-0.598871714628069)); #534011=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #534012=CARTESIAN_POINT('',(2.47586378246831,4.04,-0.598871714628071)); #534013=CARTESIAN_POINT('Origin',(2.31767191599201,4.04,-0.677548585134494)); #534014=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #534015=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #534016=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #534017=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #534018=CARTESIAN_POINT('',(2.605,4.04,-0.67887171462807)); #534019=CARTESIAN_POINT('Origin',(2.605,4.04,-0.534645697888131)); #534020=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #534021=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #534022=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #534023=CARTESIAN_POINT('Origin',(3.56,3.74,-0.67887171462807)); #534024=CARTESIAN_POINT('',(3.56,4.04,-0.678871714628057)); #534025=CARTESIAN_POINT('',(3.56,4.04,-0.67887171462807)); #534026=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #534027=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #534028=CARTESIAN_POINT('',(3.56,3.74,-0.67887171462807)); #534029=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #534030=CARTESIAN_POINT('',(3.67299999999992,4.04,-0.731638565271604)); #534031=CARTESIAN_POINT('Origin',(3.56,4.04,-0.826249664393507)); #534032=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #534033=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #534034=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #534035=CARTESIAN_POINT('Origin',(4.087,3.74,-1.2261048639846)); #534036=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #534037=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #534038=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #534039=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #534040=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #534041=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #534042=CARTESIAN_POINT('',(4.2,4.04,-1.27887171462808)); #534043=CARTESIAN_POINT('Origin',(4.2,4.04,-1.13149376486263)); #534044=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #534045=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #534046=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #534047=CARTESIAN_POINT('Origin',(5.87997740356225,3.74,-1.27887171462808)); #534048=CARTESIAN_POINT('',(5.87997740356225,3.74,-1.27887171462808)); #534049=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #534050=CARTESIAN_POINT('',(5.87997740356225,4.04,-1.27887171462808)); #534051=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #534052=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #534053=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #534054=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #534055=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #534056=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #534057=CARTESIAN_POINT('',(3.38,4.04,1.28852474533439)); #534058=CARTESIAN_POINT('Origin',(3.20712760635963,4.04,0.148511400899072)); #534059=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #534060=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #534061=CARTESIAN_POINT('',(3.06,4.04,1.09452474533439)); #534062=CARTESIAN_POINT('Origin',(3.33622069431921,4.04,0.999820507282096)); #534063=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #534064=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #534065=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #534066=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #534067=CARTESIAN_POINT('',(2.92,4.04,0.994524745334394)); #534068=CARTESIAN_POINT('Origin',(2.92,4.04,1.14252474533439)); #534069=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #534070=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #534071=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #534072=CARTESIAN_POINT('Origin',(2.75,3.74,0.994524745334394)); #534073=CARTESIAN_POINT('',(2.75,4.04,0.994524745334393)); #534074=CARTESIAN_POINT('',(2.75,4.04,0.994524745334394)); #534075=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #534076=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #534077=CARTESIAN_POINT('',(2.75,3.74,0.994524745334394)); #534078=CARTESIAN_POINT('Origin',(-1.6,3.74,0.931128285371929)); #534079=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #534080=CARTESIAN_POINT('',(1.6,4.04,0.931128285371929)); #534081=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #534082=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #534083=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #534084=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #534085=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #534086=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #534087=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #534088=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #534089=CARTESIAN_POINT('Origin',(-1.6,4.04,1.08112828537193)); #534090=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #534091=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #534092=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #534093=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #534094=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #534095=CARTESIAN_POINT('Origin',(1.6,4.04,1.08112828537193)); #534096=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #534097=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #534098=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #534099=CARTESIAN_POINT('Origin',(1.75,3.74,1.08112828537193)); #534100=CARTESIAN_POINT('',(1.75,3.74,1.13)); #534101=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #534102=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #534103=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #534104=CARTESIAN_POINT('',(1.75,3.67975541091281,1.570958009579)); #534105=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #534106=CARTESIAN_POINT('',(1.75,4.04,1.13)); #534107=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #534108=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #534109=CARTESIAN_POINT('Origin',(3.19,4.04,1.62112828537193)); #534110=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #534111=CARTESIAN_POINT('Origin',(-2.75,4.04,1.14452474533439)); #534112=CARTESIAN_POINT('',(-1.75,4.04,1.13)); #534113=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #534114=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #534115=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #534116=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #534117=CARTESIAN_POINT('Origin',(2.75,4.04,1.14452474533439)); #534118=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #534119=CARTESIAN_POINT('',(2.60070488362652,3.74,1.13)); #534120=CARTESIAN_POINT('',(2.60070488362652,3.83900047400574,1.13)); #534121=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #534122=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #534123=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #534124=CARTESIAN_POINT('Origin',(3.19,3.74,1.62112828537193)); #534125=CARTESIAN_POINT('',(-2.60070488362652,3.74,1.13)); #534126=CARTESIAN_POINT('',(-1.75,3.74,1.13)); #534127=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #534128=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #534129=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #534130=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #534131=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #534132=CARTESIAN_POINT('',(-2.60070488362652,3.83900047400574,1.13)); #534133=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #534134=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #534135=CARTESIAN_POINT('Origin',(-1.75,3.74,1.08112828537193)); #534136=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #534137=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #534138=CARTESIAN_POINT('',(-1.75,3.61991847030427,1.276986003193)); #534139=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #534140=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #534141=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04180013273845, 1.13)); #534142=CARTESIAN_POINT('Ctrl Pts',(-2.60023532785105,4.04180013273845, 1.13875732124636)); #534143=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04154464668182,1.14755587952074)); #534144=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04103168989984,1.15633960228591)); #534145=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04,1.13)); #534146=CARTESIAN_POINT('Ctrl Pts',(-2.60023532783092,4.04,1.13872242908181)); #534147=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.0397455318963,1.14748593054733)); #534148=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.03923461891151,1.15623465592366)); #534149=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,3.74,1.13)); #534150=CARTESIAN_POINT('Ctrl Pts',(-2.60023532447539,3.74,1.13290749713794)); #534151=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.73991517820697,1.13582862796395)); #534152=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.7397448729705,1.13874488530789)); #534153=CARTESIAN_POINT('',(-2.6,3.7397448729705,1.13874488530789)); #534154=CARTESIAN_POINT('Origin',(-2.69143462423446,3.70681107558669,1.13604494466206)); #534155=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #534156=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #534157=CARTESIAN_POINT('Origin',(-2.7457722232602,3.88161594360175,1.14506560738283)); #534158=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #534159=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #534160=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #534161=CARTESIAN_POINT('',(-2.6,3.67975541091281,1.570958009579)); #534162=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #534163=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #534164=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #534165=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.64964030115724,1.57708783144883)); #534166=CARTESIAN_POINT('Ctrl Pts',(-2.60291194415313,3.61896598834363, 1.58)); #534167=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #534168=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #534169=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.60987659691167,1.27902999026911)); #534170=CARTESIAN_POINT('Ctrl Pts',(-2.602909092988,3.59966018746762,1.28)); #534171=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #534172=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #534173=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61421659669191,1.27814659898202)); #534174=CARTESIAN_POINT('Ctrl Pts',(-2.60093961213708,3.60844696781098, 1.27897087260549)); #534175=CARTESIAN_POINT('Ctrl Pts',(-2.60279349270571,3.60275700940234, 1.27945654455842)); #534176=CARTESIAN_POINT('Ctrl Pts',(-2.60420084154215,3.59843755297063, 1.27982523597761)); #534177=CARTESIAN_POINT('Ctrl Pts',(-2.60613442707989,3.59416463178578, 1.28)); #534178=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #534179=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #534180=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.65579652983356,1.57583475332685)); #534181=CARTESIAN_POINT('Ctrl Pts',(-2.60184609436138,3.63144341789715, 1.57867966564652)); #534182=CARTESIAN_POINT('Ctrl Pts',(-2.60545924891797,3.60789928371808, 1.57963471829085)); #534183=CARTESIAN_POINT('Ctrl Pts',(-2.606384031189,3.60187319279544,1.57987916278929)); #534184=CARTESIAN_POINT('Ctrl Pts',(-2.60742439253633,3.59590008821217, 1.58)); #534185=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #534186=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #534187=CARTESIAN_POINT('Ctrl Pts',(-1.74708805584687,3.61896598834363, 1.58)); #534188=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.64964030115725,1.57708783144883)); #534189=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #534190=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #534191=CARTESIAN_POINT('Ctrl Pts',(-1.747090907012,3.59966018746762,1.28)); #534192=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.60987659691168,1.27902999026911)); #534193=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #534194=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #534195=CARTESIAN_POINT('Ctrl Pts',(-1.74464108804541,3.5954860101087,1.28)); #534196=CARTESIAN_POINT('Ctrl Pts',(-1.74697449850219,3.6011604795177,1.27969495783221)); #534197=CARTESIAN_POINT('Ctrl Pts',(-1.74838677362004,3.60688036149803, 1.27904715159006)); #534198=CARTESIAN_POINT('Ctrl Pts',(-1.74945888353772,3.61122253401215, 1.27855537807642)); #534199=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61558996860375,1.27786705408748)); #534200=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #534201=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #534202=CARTESIAN_POINT('Ctrl Pts',(-1.74593105350274,3.6130518374758,1.58)); #534203=CARTESIAN_POINT('Ctrl Pts',(-1.74869955782538,3.63721958372107, 1.57815258395213)); #534204=CARTESIAN_POINT('Ctrl Pts',(-1.7496388385008,3.66130141327419,1.57430602231532)); #534205=CARTESIAN_POINT('Ctrl Pts',(-1.74987924618381,3.66746512663168, 1.57332149980266)); #534206=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67362316605815,1.57220620588067)); #534207=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #534208=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #534209=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #534210=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #534211=CARTESIAN_POINT('Ctrl Pts',(1.75,3.64964030115725,1.57708783144883)); #534212=CARTESIAN_POINT('Ctrl Pts',(1.74708805584687,3.61896598834363,1.58)); #534213=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #534214=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #534215=CARTESIAN_POINT('Ctrl Pts',(1.75,3.60987659691167,1.27902999026911)); #534216=CARTESIAN_POINT('Ctrl Pts',(1.747090907012,3.59966018746762,1.28)); #534217=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #534218=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #534219=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61421659669191,1.27814659898202)); #534220=CARTESIAN_POINT('Ctrl Pts',(1.74906038786292,3.60844696781098,1.27897087260549)); #534221=CARTESIAN_POINT('Ctrl Pts',(1.74720650729429,3.60275700940234,1.27945654455842)); #534222=CARTESIAN_POINT('Ctrl Pts',(1.74579915845785,3.59843755297064,1.27982523597761)); #534223=CARTESIAN_POINT('Ctrl Pts',(1.74386557292011,3.59416463178578,1.28)); #534224=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #534225=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #534226=CARTESIAN_POINT('Ctrl Pts',(1.75,3.65579652983153,1.57583475332726)); #534227=CARTESIAN_POINT('Ctrl Pts',(1.74815390563831,3.63144341789302,1.57867966564701)); #534228=CARTESIAN_POINT('Ctrl Pts',(1.74454075108111,3.60789928371209,1.5796347182911)); #534229=CARTESIAN_POINT('Ctrl Pts',(1.74361596881031,3.60187319279149,1.57987916278937)); #534230=CARTESIAN_POINT('Ctrl Pts',(1.74257560746328,3.59590008821021,1.58)); #534231=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #534232=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #534233=CARTESIAN_POINT('Ctrl Pts',(2.60291194415313,3.61896598834363,1.58)); #534234=CARTESIAN_POINT('Ctrl Pts',(2.6,3.64964030115725,1.57708783144883)); #534235=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #534236=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #534237=CARTESIAN_POINT('Ctrl Pts',(2.602909092988,3.59966018746762,1.28)); #534238=CARTESIAN_POINT('Ctrl Pts',(2.6,3.60987659691167,1.27902999026911)); #534239=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #534240=CARTESIAN_POINT('',(2.6,3.61991847030427,1.276986003193)); #534241=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #534242=CARTESIAN_POINT('Ctrl Pts',(2.60535891195459,3.5954860101087,1.28)); #534243=CARTESIAN_POINT('Ctrl Pts',(2.60302550149781,3.6011604795177,1.27969495783221)); #534244=CARTESIAN_POINT('Ctrl Pts',(2.60161322637996,3.60688036149803,1.27904715159006)); #534245=CARTESIAN_POINT('Ctrl Pts',(2.60054111646227,3.61122253401215,1.27855537807642)); #534246=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61558996860375,1.27786705408748)); #534247=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #534248=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #534249=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #534250=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #534251=CARTESIAN_POINT('Ctrl Pts',(2.60406894649735,3.61305183747532,1.58)); #534252=CARTESIAN_POINT('Ctrl Pts',(2.60130044217474,3.63721958372005,1.57815258395221)); #534253=CARTESIAN_POINT('Ctrl Pts',(2.60036116149926,3.66130141327266,1.57430602231557)); #534254=CARTESIAN_POINT('Ctrl Pts',(2.60012075381621,3.66746512663067,1.57332149980284)); #534255=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67362316605764,1.57220620588077)); #534256=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #534257=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #534258=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #534259=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #534260=CARTESIAN_POINT('',(2.6,4.03923461891151,1.15623465592366)); #534261=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #534262=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #534263=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04103168989165,1.15633960228543)); #534264=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04154464667362,1.14755587952042)); #534265=CARTESIAN_POINT('Ctrl Pts',(2.60023532785106,4.04180013273025,1.1387573212462)); #534266=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04180013273025,1.13)); #534267=CARTESIAN_POINT('Ctrl Pts',(2.6,4.03923461891151,1.15623465592366)); #534268=CARTESIAN_POINT('Ctrl Pts',(2.6,4.0397455318963,1.14748593054733)); #534269=CARTESIAN_POINT('Ctrl Pts',(2.60023532783092,4.04,1.13872242908181)); #534270=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04,1.13)); #534271=CARTESIAN_POINT('Ctrl Pts',(2.6,3.7397448729705,1.13874488530789)); #534272=CARTESIAN_POINT('Ctrl Pts',(2.6,3.73991517820697,1.13582862796395)); #534273=CARTESIAN_POINT('Ctrl Pts',(2.60023532447539,3.74,1.13290749713794)); #534274=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,3.74,1.13)); #534275=CARTESIAN_POINT('Origin',(2.69143462416612,3.70681107561114,1.13604494466512)); #534276=CARTESIAN_POINT('Origin',(2.74577222355375,3.88161594328166,1.14506560740615)); #534277=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #534278=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #534279=CARTESIAN_POINT('Origin',(-0.359999999999999,-2.39,11.2985643801531)); #534280=CARTESIAN_POINT('',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #534281=CARTESIAN_POINT('Origin',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #534282=CARTESIAN_POINT('',(0.299420132394813,-2.55121441930674,11.2985643801531)); #534283=CARTESIAN_POINT('Origin',(0.360000000000001,-2.425,11.2985643801531)); #534284=CARTESIAN_POINT('',(0.500000000000001,-2.425,11.2985643801531)); #534285=CARTESIAN_POINT('Origin',(0.500000000000001,-2.425,11.2985643801531)); #534286=CARTESIAN_POINT('',(0.500000000000002,-1.235,11.2985643801531)); #534287=CARTESIAN_POINT('Origin',(0.360000000000002,-1.235,11.2985643801531)); #534288=CARTESIAN_POINT('',(0.299420132394813,-1.10878558069326,11.2985643801531)); #534289=CARTESIAN_POINT('Origin',(0.299420132394813,-1.10878558069326,11.2985643801531)); #534290=CARTESIAN_POINT('',(-0.29942013239481,-1.39621441930674,11.2985643801531)); #534291=CARTESIAN_POINT('Origin',(-0.359999999999999,-1.27,11.2985643801531)); #534292=CARTESIAN_POINT('Origin',(-0.359999999999999,1.04,11.2985643801531)); #534293=CARTESIAN_POINT('',(-0.299420132394811,0.913785580693259,11.2985643801531)); #534294=CARTESIAN_POINT('Origin',(-0.299420132394811,0.913785580693259, 11.2985643801531)); #534295=CARTESIAN_POINT('',(0.299420132394812,1.20121441930674,11.2985643801531)); #534296=CARTESIAN_POINT('Origin',(0.360000000000001,1.075,11.2985643801531)); #534297=CARTESIAN_POINT('',(0.500000000000001,1.075,11.2985643801531)); #534298=CARTESIAN_POINT('Origin',(0.500000000000001,1.075,11.2985643801531)); #534299=CARTESIAN_POINT('',(0.500000000000001,-0.115,11.2985643801531)); #534300=CARTESIAN_POINT('Origin',(0.360000000000001,-0.115,11.2985643801531)); #534301=CARTESIAN_POINT('',(0.299420132394813,-0.241214419306741,11.2985643801531)); #534302=CARTESIAN_POINT('Origin',(0.299420132394813,-0.241214419306741, 11.2985643801531)); #534303=CARTESIAN_POINT('',(-0.299420132394811,0.0462144193067397,11.2985643801531)); #534304=CARTESIAN_POINT('Origin',(-0.359999999999999,-0.080000000000001, 11.2985643801531)); #534305=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #534306=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #534307=CARTESIAN_POINT('Origin',(-0.295092998994441,-1.40522973497151, -1.28)); #534308=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #534309=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #534310=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #534311=CARTESIAN_POINT('Origin',(0.49,-1.235,-1.28)); #534312=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #534313=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #534314=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #534315=CARTESIAN_POINT('Origin',(0.303747265795182,-2.54219910364198,-1.28)); #534316=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #534317=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #534318=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #534319=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #534320=CARTESIAN_POINT('Origin',(-0.295092998994442,0.0552297349715068, -1.28)); #534321=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #534322=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #534323=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #534324=CARTESIAN_POINT('Origin',(0.49,-0.115,-1.28)); #534325=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #534326=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #534327=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #534328=CARTESIAN_POINT('Origin',(0.303747265795181,1.19219910364197,-1.28)); #534329=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #534330=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #534331=CARTESIAN_POINT('',(0.,0.,0.)); #534332=CARTESIAN_POINT('Origin',(-0.125,-1.5,-0.12)); #534333=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #534334=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #534335=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #534336=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #534337=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #534338=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #534339=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #534340=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #534341=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #534342=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #534343=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #534344=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #534345=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #534346=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #534347=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #534348=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #534349=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #534350=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #534351=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #534352=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #534353=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #534354=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #534355=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #534356=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #534357=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #534358=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #534359=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #534360=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #534361=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #534362=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #534363=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #534364=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #534365=CARTESIAN_POINT('',(0.12,-0.5,0.)); #534366=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #534367=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #534368=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #534369=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #534370=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #534371=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #534372=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #534373=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #534374=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #534375=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #534376=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #534377=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #534378=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #534379=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #534380=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #534381=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #534382=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #534383=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #534384=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #534385=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #534386=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #534387=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #534388=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #534389=CARTESIAN_POINT('',(0.125,-1.5,0.)); #534390=CARTESIAN_POINT('',(0.12,-0.5,0.)); #534391=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #534392=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #534393=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #534394=CARTESIAN_POINT('Origin',(0.125,-1.5,-0.12)); #534395=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #534396=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #534397=CARTESIAN_POINT('',(0.125,-5.51,-0.12)); #534398=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #534399=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #534400=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #534401=CARTESIAN_POINT('',(0.125,-5.47,0.)); #534402=CARTESIAN_POINT('',(0.125,-1.5,0.)); #534403=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #534404=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.12)); #534405=CARTESIAN_POINT('',(-0.125,-5.51,-0.08)); #534406=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #534407=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #534408=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #534409=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #534410=CARTESIAN_POINT('Origin',(-0.125,-5.51,-0.12)); #534411=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #534412=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #534413=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #534414=CARTESIAN_POINT('',(-0.125,-5.47,0.)); #534415=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #534416=CARTESIAN_POINT('',(-0.125,-5.51,0.)); #534417=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #534418=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #534419=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #534420=CARTESIAN_POINT('Origin',(0.,0.,0.)); #534421=CARTESIAN_POINT('',(0.,-5.47,0.)); #534422=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #534423=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #534424=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #534425=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #534426=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #534427=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #534428=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #534429=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #534430=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #534431=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #534432=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #534433=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #534434=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #534435=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #534436=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #534437=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #534438=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #534439=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #534440=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #534441=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.04)); #534442=CARTESIAN_POINT('',(0.,0.,0.)); #534443=CARTESIAN_POINT('',(-3.33,2.07733538461548,0.345999999999996)); #534444=CARTESIAN_POINT('',(-0.749999999999999,2.07733538461548,-0.232)); #534445=CARTESIAN_POINT('',(-0.25,2.07733538461548,0.346)); #534446=CARTESIAN_POINT('',(-3.07,2.07733538461548,-0.232000000000002)); #534447=CARTESIAN_POINT('',(-1.75149064031341,2.07733538461548,-0.231999999999999)); #534448=CARTESIAN_POINT('',(-1.25149064031342,2.07733538461547,0.345999999999999)); #534449=CARTESIAN_POINT('',(-2.52999999999999,2.07733538461549,0.346)); #534450=CARTESIAN_POINT('',(-2.27,2.07733538461548,-0.232000000000001)); #534451=CARTESIAN_POINT('',(3.33,2.07733538461548,0.346000000000001)); #534452=CARTESIAN_POINT('',(3.07,2.07733538461548,-0.232000000000004)); #534453=CARTESIAN_POINT('',(2.52999999999999,2.07733538461549,0.346000000000004)); #534454=CARTESIAN_POINT('',(2.27,2.07733538461548,-0.23200000000001)); #534455=CARTESIAN_POINT('',(1.25149064031342,2.07733538461547,0.346000000000002)); #534456=CARTESIAN_POINT('',(1.75149064031341,2.07733538461548,-0.23199999999999)); #534457=CARTESIAN_POINT('',(0.749999999999999,2.07733538461548,-0.231999999999996)); #534458=CARTESIAN_POINT('',(1.24581445653504E-15,-1.35305252217564,-7.94258378380899E-16)); #534459=CARTESIAN_POINT('',(-1.78974188916623E-16,-1.25466461538453,-6.11557787917605E-16)); #534460=CARTESIAN_POINT('',(0.25,2.07733538461548,0.346)); #534461=CARTESIAN_POINT('',(0.,2.1555,1.78023)); #534462=CARTESIAN_POINT('',(0.,0.,0.)); #534463=CARTESIAN_POINT('',(0.,0.,0.)); #534464=CARTESIAN_POINT('',(0.,0.,0.)); #534465=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #534466=CARTESIAN_POINT('',(0.64999999056,3.495012662024,5.08460913699604E-5)); #534467=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,5.08460913699604E-5)); #534468=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #534469=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #534470=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #534471=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #534472=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #534473=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,5.08460913699604E-5)); #534474=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #534475=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #534476=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #534477=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #534478=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #534479=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #534480=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #534481=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #534482=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #534483=CARTESIAN_POINT('',(1.849999973133,3.495012662024,5.08460913699604E-5)); #534484=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #534485=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #534486=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #534487=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #534488=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #534489=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #534490=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #534491=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,7.000050744431)); #534492=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,7.000050744431)); #534493=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #534494=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #534495=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #534496=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #534497=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #534498=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,7.000050744431)); #534499=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #534500=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #534501=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,7.000050744431)); #534502=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #534503=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,7.000050744431)); #534504=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #534505=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #534506=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,7.000050744431)); #534507=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #534508=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,0.500050838829999)); #534509=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #534510=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #534511=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.500050838829999)); #534512=CARTESIAN_POINT('',(-0.64999999056,2.083623649678,0.500050838829999)); #534513=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #534514=CARTESIAN_POINT('',(-0.64999999056,-0.993598239945,0.500050838829999)); #534515=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.500050838829999)); #534516=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #534517=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,0.500050838829999)); #534518=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,0.500050838829999)); #534519=CARTESIAN_POINT('',(-2.499999963693,-0.324987282499,0.500050838829999)); #534520=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,0.500050838829999)); #534521=CARTESIAN_POINT('',(-0.929999986494,0.545012704866,0.500050838829999)); #534522=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,0.500050838829999)); #534523=CARTESIAN_POINT('',(-2.499999963693,0.315012708207,0.500050838829999)); #534524=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,0.500050838829999)); #534525=CARTESIAN_POINT('Origin',(0.,0.545012704866,0.500050838829999)); #534526=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #534527=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #534528=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.500050838829999)); #534529=CARTESIAN_POINT('',(1.849999973133,2.083623649678,0.500050838829999)); #534530=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #534531=CARTESIAN_POINT('',(1.849999973133,-0.993598239945,0.500050838829999)); #534532=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.500050838829999)); #534533=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #534534=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,0.500050838829999)); #534535=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,0.500050838829999)); #534536=CARTESIAN_POINT('',(0.,-0.324987282499,0.500050838829999)); #534537=CARTESIAN_POINT('',(1.569999977199,0.315012708207,0.500050838829999)); #534538=CARTESIAN_POINT('',(1.569999977199,0.545012704866,0.500050838829999)); #534539=CARTESIAN_POINT('',(0.929999986494,0.315012708207,0.500050838829999)); #534540=CARTESIAN_POINT('',(0.,0.315012708207,0.500050838829999)); #534541=CARTESIAN_POINT('',(0.929999986494,0.545012704866,0.500050838829999)); #534542=CARTESIAN_POINT('Origin',(0.,0.545012704866,2.000050817045)); #534543=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #534544=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #534545=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #534546=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #534547=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #534548=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #534549=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #534550=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #534551=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #534552=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #534553=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #534554=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,2.000050817045)); #534555=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #534556=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #534557=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #534558=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #534559=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #534560=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #534561=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #534562=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,2.000050817045)); #534563=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #534564=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #534565=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #534566=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #534567=CARTESIAN_POINT('Origin',(-0.64999999056,-2.404987252291,0.800050834473)); #534568=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #534569=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.491843824527999)); #534570=CARTESIAN_POINT('',(-0.64999999056,2.045012683082,0.491843824527999)); #534571=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #534572=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #534573=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #534574=CARTESIAN_POINT('Origin',(-1.849999973133,-2.404987252291,0.800050834473)); #534575=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #534576=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.491843824527999)); #534577=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #534578=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #534579=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #534580=CARTESIAN_POINT('',(-1.849999973133,2.045012683082,0.491843824527999)); #534581=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #534582=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #534583=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #534584=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #534585=CARTESIAN_POINT('Origin',(1.849999973133,-2.404987252291,0.800050834473)); #534586=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #534587=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.491843824527999)); #534588=CARTESIAN_POINT('',(1.849999973133,2.045012683082,0.491843824527999)); #534589=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #534590=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #534591=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #534592=CARTESIAN_POINT('Origin',(0.64999999056,-2.404987252291,0.800050834473)); #534593=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #534594=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.491843824527999)); #534595=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #534596=CARTESIAN_POINT('',(0.64999999056,2.045012683082,0.491843824527999)); #534597=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #534598=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,5.08460913699604E-5)); #534599=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #534600=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #534601=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #534602=CARTESIAN_POINT('',(-3.2499999528,-0.604987278432,7.000050744431)); #534603=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,7.000050744431)); #534604=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,7.000050744431)); #534605=CARTESIAN_POINT('',(-3.2499999528,2.995012669285,7.000050744431)); #534606=CARTESIAN_POINT('',(-3.2499999528,2.695012673642,7.000050744431)); #534607=CARTESIAN_POINT('',(3.2499999528,2.995012669285,7.000050744431)); #534608=CARTESIAN_POINT('',(2.949999957157,2.995012669285,7.000050744431)); #534609=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #534610=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #534611=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,7.000050744431)); #534612=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,7.000050744431)); #534613=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #534614=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #534615=CARTESIAN_POINT('Origin',(3.749999945539,3.495012662024,7.000050744431)); #534616=CARTESIAN_POINT('',(3.749999945539,-1.104987271171,4.000050788)); #534617=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #534618=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #534619=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.500050780738)); #534620=CARTESIAN_POINT('Origin',(3.749999945539,-1.104987271171,4.500050780738)); #534621=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #534622=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #534623=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #534624=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #534625=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.500050780738)); #534626=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #534627=CARTESIAN_POINT('',(-3.749999945539,-1.104987271171,4.000050788)); #534628=CARTESIAN_POINT('Origin',(-3.749999945539,-1.104987271171,4.500050780738)); #534629=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #534630=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #534631=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #534632=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #534633=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #534634=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #534635=CARTESIAN_POINT('Origin',(-3.749999945539,-2.404987252291,7.000050744431)); #534636=CARTESIAN_POINT('',(1.249999981846,-2.404987252291,4.000050788)); #534637=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,5.000050773477)); #534638=CARTESIAN_POINT('Origin',(1.249999981846,-2.404987252291,5.000050773477)); #534639=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #534640=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #534641=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,5.000050773477)); #534642=CARTESIAN_POINT('Origin',(-1.249999981846,-2.404987252291,5.000050773477)); #534643=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #534644=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #534645=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #534646=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #534647=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #534648=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #534649=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #534650=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #534651=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #534652=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #534653=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #534654=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #534655=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #534656=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #534657=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #534658=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #534659=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #534660=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #534661=CARTESIAN_POINT('Origin',(-2.949999957157,-1.604987263909,2.000050817045)); #534662=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,5.000050773477)); #534663=CARTESIAN_POINT('',(-1.249999981846,-1.604987263909,4.000050788)); #534664=CARTESIAN_POINT('Origin',(-1.249999981846,-1.604987263909,5.000050773477)); #534665=CARTESIAN_POINT('',(1.249999981846,-1.604987263909,4.000050788)); #534666=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #534667=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,5.000050773477)); #534668=CARTESIAN_POINT('Origin',(1.249999981846,-1.604987263909,5.000050773477)); #534669=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.000050758954)); #534670=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #534671=CARTESIAN_POINT('',(1.94999997168,-1.604987263909,6.300050754597)); #534672=CARTESIAN_POINT('',(1.649999976037,-1.604987263909,6.60005075024)); #534673=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.60005075024)); #534674=CARTESIAN_POINT('',(-2.649999961514,-1.604987263909,1.700050821402)); #534675=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #534676=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.500050780738)); #534677=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #534678=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #534679=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #534680=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #534681=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #534682=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.60005075024)); #534683=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #534684=CARTESIAN_POINT('',(-1.94999997168,-1.604987263909,6.300050754597)); #534685=CARTESIAN_POINT('',(-0.299999995643,-1.604987263909,4.65005077856)); #534686=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.000050758954)); #534687=CARTESIAN_POINT('',(-4.599999933194,-1.604987263909,3.650050793083)); #534688=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #534689=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,2.000050817045)); #534690=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #534691=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #534692=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #534693=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #534694=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #534695=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #534696=CARTESIAN_POINT('',(-2.949999957157,-1.104987271171,4.000050788)); #534697=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #534698=CARTESIAN_POINT('Origin',(-2.949999957157,-1.104987271171,4.500050780738)); #534699=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,2.000050817045)); #534700=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #534701=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #534702=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #534703=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,2.000050817045)); #534704=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #534705=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #534706=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #534707=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #534708=CARTESIAN_POINT('',(2.949999957157,-1.104987271171,4.000050788)); #534709=CARTESIAN_POINT('Origin',(2.949999957157,-1.104987271171,4.500050780738)); #534710=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.000050788)); #534711=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,7.000050744431)); #534712=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.60005075024)); #534713=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.60005075024)); #534714=CARTESIAN_POINT('',(1.94999997168,-1.404987266814,6.300050754597)); #534715=CARTESIAN_POINT('Origin',(1.94999997168,-1.404987266814,6.300050754597)); #534716=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.000050758954)); #534717=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.000050758954)); #534718=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,5.000050773477)); #534719=CARTESIAN_POINT('Origin',(1.249999981846,-1.404987266814,5.000050773477)); #534720=CARTESIAN_POINT('',(1.249999981846,-1.404987266814,4.000050788)); #534721=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,7.000050744431)); #534722=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.60005075024)); #534723=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,4.000050788)); #534724=CARTESIAN_POINT('',(-1.249999981846,-1.404987266814,4.000050788)); #534725=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,5.000050773477)); #534726=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,5.000050773477)); #534727=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.000050758954)); #534728=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.000050758954)); #534729=CARTESIAN_POINT('Origin',(-1.94999997168,-1.404987266814,6.300050754597)); #534730=CARTESIAN_POINT('',(-1.94999997168,-1.404987266814,6.300050754597)); #534731=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.60005075024)); #534732=CARTESIAN_POINT('Origin',(0.64999999056,-0.954987273349,0.491843824527999)); #534733=CARTESIAN_POINT('Origin',(0.64999999056,2.045012683082,0.491843824527999)); #534734=CARTESIAN_POINT('Origin',(-1.849999973133,-0.954987273349,0.491843824527999)); #534735=CARTESIAN_POINT('Origin',(-1.849999973133,2.045012683082,0.491843824527999)); #534736=CARTESIAN_POINT('Origin',(1.549999977489,0.295012708497,6.500050751692)); #534737=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #534738=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.500050751692)); #534739=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #534740=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #534741=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.500050751692)); #534742=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #534743=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #534744=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.500050751692)); #534745=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #534746=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #534747=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #534748=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.500050751692)); #534749=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #534750=CARTESIAN_POINT('Origin',(0.949999986203,-0.304987282789,6.500050751692)); #534751=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #534752=CARTESIAN_POINT('Origin',(0.,0.545012704866,6.500050751692)); #534753=CARTESIAN_POINT('',(1.131985100693,0.113027594007,6.500050751692)); #534754=CARTESIAN_POINT('',(1.131985100693,-0.123002168299,6.500050751692)); #534755=CARTESIAN_POINT('',(1.131985100693,-0.304987282789,6.500050751692)); #534756=CARTESIAN_POINT('',(1.368014862999,-0.123002168299,6.500050751692)); #534757=CARTESIAN_POINT('',(1.549999977489,-0.123002168299,6.500050751692)); #534758=CARTESIAN_POINT('',(1.368014862999,0.113027594007,6.500050751692)); #534759=CARTESIAN_POINT('',(1.368014862999,0.295012708497,6.500050751692)); #534760=CARTESIAN_POINT('',(0.949999986203,0.113027594007,6.500050751692)); #534761=CARTESIAN_POINT('Origin',(0.,0.113027594007,6.500050751692)); #534762=CARTESIAN_POINT('',(1.413255385741,0.158268116749,6.375753437015)); #534763=CARTESIAN_POINT('',(1.013435649789,0.231577044912,6.174338812243)); #534764=CARTESIAN_POINT('Origin',(1.131985100693,0.545012704866,6.500050751692)); #534765=CARTESIAN_POINT('',(1.201944322207,-0.05304294678499,6.692262133084)); #534766=CARTESIAN_POINT('Origin',(1.368014862999,0.545012704866,6.500050751692)); #534767=CARTESIAN_POINT('',(1.298055641485,-0.05304294678499,6.692262133084)); #534768=CARTESIAN_POINT('Origin',(0.,-0.123002168299,6.500050751692)); #534769=CARTESIAN_POINT('Origin',(-0.949999986203,0.295012708497,6.500050751692)); #534770=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #534771=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.500050751692)); #534772=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #534773=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #534774=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.500050751692)); #534775=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #534776=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #534777=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.500050751692)); #534778=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #534779=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #534780=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #534781=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.500050751692)); #534782=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #534783=CARTESIAN_POINT('Origin',(-1.549999977489,-0.304987282789,6.500050751692)); #534784=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #534785=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,6.500050751692)); #534786=CARTESIAN_POINT('',(-1.368014862999,0.113027594007,6.500050751692)); #534787=CARTESIAN_POINT('',(-1.368014862999,-0.123002168299,6.500050751692)); #534788=CARTESIAN_POINT('',(-1.368014862999,-0.304987282789,6.500050751692)); #534789=CARTESIAN_POINT('',(-1.131985100693,-0.123002168299,6.500050751692)); #534790=CARTESIAN_POINT('',(-0.949999986203,-0.123002168299,6.500050751692)); #534791=CARTESIAN_POINT('',(-1.131985100693,0.113027594007,6.500050751692)); #534792=CARTESIAN_POINT('',(-1.131985100693,0.295012708497,6.500050751692)); #534793=CARTESIAN_POINT('',(-1.549999977489,0.113027594007,6.500050751692)); #534794=CARTESIAN_POINT('Origin',(-2.499999963693,0.113027594007,6.500050751692)); #534795=CARTESIAN_POINT('',(-1.086744577951,0.158268116749,6.375753437015)); #534796=CARTESIAN_POINT('',(-1.486564313904,0.231577044912,6.174338812243)); #534797=CARTESIAN_POINT('Origin',(-1.368014862999,0.545012704866,6.500050751692)); #534798=CARTESIAN_POINT('',(-1.298055641485,-0.05304294678499,6.692262133084)); #534799=CARTESIAN_POINT('Origin',(-1.131985100693,0.545012704866,6.500050751692)); #534800=CARTESIAN_POINT('',(-1.201944322207,-0.05304294678499,6.692262133084)); #534801=CARTESIAN_POINT('Origin',(-2.499999963693,-0.123002168299,6.500050751692)); #534802=CARTESIAN_POINT('Origin',(1.569999977199,-0.324987282499,2.000050817045)); #534803=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,-2.899949111792)); #534804=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,2.000050817045)); #534805=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #534806=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #534807=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,2.000050817045)); #534808=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-3.399949104531)); #534809=CARTESIAN_POINT('',(1.388014862709,0.133027593717,-3.399949104531)); #534810=CARTESIAN_POINT('',(1.388014862709,-0.143002168009,-3.399949104531)); #534811=CARTESIAN_POINT('',(1.388014862709,-0.324987282499,-3.399949104531)); #534812=CARTESIAN_POINT('',(1.111985100984,-0.143002168009,-3.399949104531)); #534813=CARTESIAN_POINT('',(0.929999986494,-0.143002168009,-3.399949104531)); #534814=CARTESIAN_POINT('',(1.111985100984,0.133027593717,-3.399949104531)); #534815=CARTESIAN_POINT('',(1.111985100984,0.315012708207,-3.399949104531)); #534816=CARTESIAN_POINT('',(1.569999977199,0.133027593717,-3.399949104531)); #534817=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,2.000050817045)); #534818=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #534819=CARTESIAN_POINT('',(1.569999977199,0.315012708207,2.000050817045)); #534820=CARTESIAN_POINT('',(0.929999986494,0.315012708207,-2.899949111792)); #534821=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #534822=CARTESIAN_POINT('',(0.929999986494,0.315012708207,2.000050817045)); #534823=CARTESIAN_POINT('Origin',(1.569999977199,0.545012704866,5.08460913699604E-5)); #534824=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #534825=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,5.08460913699604E-5)); #534826=CARTESIAN_POINT('',(0.929999986494,0.545012704866,-2.899949111792)); #534827=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-2.899949111792)); #534828=CARTESIAN_POINT('',(0.90591276724,0.33909992746,-2.833770020796)); #534829=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #534830=CARTESIAN_POINT('Origin',(1.388014862709,0.315012708207,-3.399949104531)); #534831=CARTESIAN_POINT('',(1.340048319644,-0.09503562494337,-3.531736098491)); #534832=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,-2.899949111792)); #534833=CARTESIAN_POINT('',(1.021112511496,-0.233874757496,-3.150278716866)); #534834=CARTESIAN_POINT('Origin',(1.569999977199,-0.143002168009,-3.399949104531)); #534835=CARTESIAN_POINT('Origin',(-0.929999986494,-0.324987282499,2.000050817045)); #534836=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,-2.899949111792)); #534837=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,2.000050817045)); #534838=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #534839=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #534840=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,2.000050817045)); #534841=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-3.399949104531)); #534842=CARTESIAN_POINT('',(-1.111985100984,0.133027593717,-3.399949104531)); #534843=CARTESIAN_POINT('',(-1.111985100984,-0.143002168009,-3.399949104531)); #534844=CARTESIAN_POINT('',(-1.111985100984,-0.324987282499,-3.399949104531)); #534845=CARTESIAN_POINT('',(-1.388014862709,-0.143002168009,-3.399949104531)); #534846=CARTESIAN_POINT('',(-1.569999977199,-0.143002168009,-3.399949104531)); #534847=CARTESIAN_POINT('',(-1.388014862709,0.133027593717,-3.399949104531)); #534848=CARTESIAN_POINT('',(-1.388014862709,0.315012708207,-3.399949104531)); #534849=CARTESIAN_POINT('',(-0.929999986494,0.133027593717,-3.399949104531)); #534850=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,2.000050817045)); #534851=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #534852=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,2.000050817045)); #534853=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,-2.899949111792)); #534854=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #534855=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,2.000050817045)); #534856=CARTESIAN_POINT('Origin',(-0.929999986494,0.545012704866,5.08460913699604E-5)); #534857=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #534858=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,5.08460913699604E-5)); #534859=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,-2.899949111792)); #534860=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-2.899949111792)); #534861=CARTESIAN_POINT('',(-1.594087196452,0.33909992746,-2.833770020796)); #534862=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #534863=CARTESIAN_POINT('Origin',(-1.111985100984,0.315012708207,-3.399949104531)); #534864=CARTESIAN_POINT('',(-1.159951644049,-0.09503562494337,-3.531736098491)); #534865=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,-2.899949111792)); #534866=CARTESIAN_POINT('',(-1.478887452197,-0.233874757496,-3.150278716866)); #534867=CARTESIAN_POINT('Origin',(-0.929999986494,-0.143002168009,-3.399949104531)); #534868=CARTESIAN_POINT('Origin',(2.949999957157,-0.604987278432,4.000050788)); #534869=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #534870=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #534871=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #534872=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #534873=CARTESIAN_POINT('Origin',(-2.949999957157,-0.604987278432,4.000050788)); #534874=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #534875=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #534876=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #534877=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #534878=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #534879=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #534880=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,6.700050748788)); #534881=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #534882=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,6.700050748788)); #534883=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #534884=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,6.700050748788)); #534885=CARTESIAN_POINT('',(0.,0.,0.)); #534886=CARTESIAN_POINT('',(0.,0.,0.)); #534887=CARTESIAN_POINT('',(0.,0.,0.)); #534888=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #534889=CARTESIAN_POINT('',(-0.85,-0.41,0.41)); #534890=CARTESIAN_POINT('',(-0.81,-0.41,0.45)); #534891=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #534892=CARTESIAN_POINT('',(-0.81,-0.45,0.41)); #534893=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #534894=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #534895=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #534896=CARTESIAN_POINT('',(-0.39,-0.45,0.41)); #534897=CARTESIAN_POINT('',(-0.39,-0.41,0.45)); #534898=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #534899=CARTESIAN_POINT('',(-0.35,-0.41,0.41)); #534900=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #534901=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #534902=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #534903=CARTESIAN_POINT('',(-0.85,-0.41,0.04)); #534904=CARTESIAN_POINT('',(-0.81,-0.45,0.04)); #534905=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #534906=CARTESIAN_POINT('',(-0.81,-0.41,0.)); #534907=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #534908=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #534909=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #534910=CARTESIAN_POINT('',(-0.81,0.45,0.41)); #534911=CARTESIAN_POINT('',(-0.81,0.41,0.45)); #534912=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #534913=CARTESIAN_POINT('',(-0.85,0.41,0.41)); #534914=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #534915=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #534916=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #534917=CARTESIAN_POINT('',(-0.39,-0.45,0.04)); #534918=CARTESIAN_POINT('',(-0.35,-0.41,0.04)); #534919=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #534920=CARTESIAN_POINT('',(-0.39,-0.41,0.)); #534921=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #534922=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #534923=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.04)); #534924=CARTESIAN_POINT('',(-0.725,-0.45,0.04)); #534925=CARTESIAN_POINT('',(-0.725,-0.41,0.)); #534926=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #534927=CARTESIAN_POINT('',(-0.35,0.41,0.41)); #534928=CARTESIAN_POINT('',(-0.39,0.41,0.45)); #534929=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #534930=CARTESIAN_POINT('',(-0.39,0.45,0.41)); #534931=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #534932=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #534933=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #534934=CARTESIAN_POINT('',(-0.81,0.41,0.)); #534935=CARTESIAN_POINT('',(-0.81,0.45,0.04)); #534936=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #534937=CARTESIAN_POINT('',(-0.85,0.41,0.04)); #534938=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #534939=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #534940=CARTESIAN_POINT('Origin',(-0.81,0.225,0.04)); #534941=CARTESIAN_POINT('',(-0.85,0.225,0.04)); #534942=CARTESIAN_POINT('',(-0.81,0.225,0.)); #534943=CARTESIAN_POINT('Origin',(-0.81,0.41,0.)); #534944=CARTESIAN_POINT('',(-0.81,0.45,0.)); #534945=CARTESIAN_POINT('',(-0.85,0.41,0.)); #534946=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #534947=CARTESIAN_POINT('',(-0.35,0.41,0.04)); #534948=CARTESIAN_POINT('',(-0.39,0.45,0.04)); #534949=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #534950=CARTESIAN_POINT('',(-0.39,0.41,0.)); #534951=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #534952=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #534953=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.04)); #534954=CARTESIAN_POINT('',(-0.39,-0.225,0.)); #534955=CARTESIAN_POINT('',(-0.35,-0.225,0.04)); #534956=CARTESIAN_POINT('Origin',(-0.475,0.41,0.04)); #534957=CARTESIAN_POINT('',(-0.475,0.45,0.04)); #534958=CARTESIAN_POINT('',(-0.475,0.41,0.)); #534959=CARTESIAN_POINT('Origin',(-0.39,0.41,0.)); #534960=CARTESIAN_POINT('',(-0.35,0.41,0.)); #534961=CARTESIAN_POINT('',(-0.39,0.45,0.)); #534962=CARTESIAN_POINT('Origin',(-0.475,0.41,0.41)); #534963=CARTESIAN_POINT('',(-0.475,0.41,0.45)); #534964=CARTESIAN_POINT('',(-0.475,0.45,0.41)); #534965=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.41)); #534966=CARTESIAN_POINT('',(-0.39,-0.225,0.45)); #534967=CARTESIAN_POINT('',(-0.35,-0.225,0.41)); #534968=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.)); #534969=CARTESIAN_POINT('',(-0.39,-0.45,0.)); #534970=CARTESIAN_POINT('',(-0.35,-0.41,0.)); #534971=CARTESIAN_POINT('Origin',(-0.81,0.225,0.41)); #534972=CARTESIAN_POINT('',(-0.81,0.225,0.45)); #534973=CARTESIAN_POINT('',(-0.85,0.225,0.41)); #534974=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.)); #534975=CARTESIAN_POINT('',(-0.85,-0.41,0.)); #534976=CARTESIAN_POINT('',(-0.81,-0.45,0.)); #534977=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.41)); #534978=CARTESIAN_POINT('',(-0.725,-0.41,0.45)); #534979=CARTESIAN_POINT('',(-0.725,-0.45,0.41)); #534980=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #534981=CARTESIAN_POINT('Origin',(-0.6,0.,0.)); #534982=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #534983=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #534984=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #534985=CARTESIAN_POINT('Origin',(-0.6,0.,0.45)); #534986=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #534987=CARTESIAN_POINT('',(0.35,-0.41,0.41)); #534988=CARTESIAN_POINT('',(0.39,-0.41,0.45)); #534989=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #534990=CARTESIAN_POINT('',(0.39,-0.45,0.41)); #534991=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #534992=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #534993=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #534994=CARTESIAN_POINT('',(0.81,-0.45,0.41)); #534995=CARTESIAN_POINT('',(0.81,-0.41,0.45)); #534996=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #534997=CARTESIAN_POINT('',(0.85,-0.41,0.41)); #534998=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #534999=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #535000=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #535001=CARTESIAN_POINT('',(0.35,-0.41,0.04)); #535002=CARTESIAN_POINT('',(0.39,-0.45,0.04)); #535003=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #535004=CARTESIAN_POINT('',(0.39,-0.41,0.)); #535005=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #535006=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #535007=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #535008=CARTESIAN_POINT('',(0.39,0.45,0.41)); #535009=CARTESIAN_POINT('',(0.39,0.41,0.45)); #535010=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #535011=CARTESIAN_POINT('',(0.35,0.41,0.41)); #535012=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #535013=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #535014=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #535015=CARTESIAN_POINT('',(0.81,-0.45,0.04)); #535016=CARTESIAN_POINT('',(0.85,-0.41,0.04)); #535017=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #535018=CARTESIAN_POINT('',(0.81,-0.41,0.)); #535019=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #535020=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #535021=CARTESIAN_POINT('Origin',(0.475,-0.41,0.04)); #535022=CARTESIAN_POINT('',(0.475,-0.45,0.04)); #535023=CARTESIAN_POINT('',(0.475,-0.41,0.)); #535024=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #535025=CARTESIAN_POINT('',(0.85,0.41,0.41)); #535026=CARTESIAN_POINT('',(0.81,0.41,0.45)); #535027=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #535028=CARTESIAN_POINT('',(0.81,0.45,0.41)); #535029=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #535030=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #535031=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #535032=CARTESIAN_POINT('',(0.39,0.41,0.)); #535033=CARTESIAN_POINT('',(0.39,0.45,0.04)); #535034=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #535035=CARTESIAN_POINT('',(0.35,0.41,0.04)); #535036=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #535037=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #535038=CARTESIAN_POINT('Origin',(0.39,0.225,0.04)); #535039=CARTESIAN_POINT('',(0.35,0.225,0.04)); #535040=CARTESIAN_POINT('',(0.39,0.225,0.)); #535041=CARTESIAN_POINT('Origin',(0.39,0.41,0.)); #535042=CARTESIAN_POINT('',(0.39,0.45,0.)); #535043=CARTESIAN_POINT('',(0.35,0.41,0.)); #535044=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #535045=CARTESIAN_POINT('',(0.85,0.41,0.04)); #535046=CARTESIAN_POINT('',(0.81,0.45,0.04)); #535047=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #535048=CARTESIAN_POINT('',(0.81,0.41,0.)); #535049=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #535050=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #535051=CARTESIAN_POINT('Origin',(0.81,-0.225,0.04)); #535052=CARTESIAN_POINT('',(0.85,-0.225,0.04)); #535053=CARTESIAN_POINT('',(0.81,-0.225,0.)); #535054=CARTESIAN_POINT('Origin',(0.725,0.41,0.04)); #535055=CARTESIAN_POINT('',(0.725,0.45,0.04)); #535056=CARTESIAN_POINT('',(0.725,0.41,0.)); #535057=CARTESIAN_POINT('Origin',(0.81,0.41,0.)); #535058=CARTESIAN_POINT('',(0.85,0.41,0.)); #535059=CARTESIAN_POINT('',(0.81,0.45,0.)); #535060=CARTESIAN_POINT('Origin',(0.725,0.41,0.41)); #535061=CARTESIAN_POINT('',(0.725,0.41,0.45)); #535062=CARTESIAN_POINT('',(0.725,0.45,0.41)); #535063=CARTESIAN_POINT('Origin',(0.81,-0.225,0.41)); #535064=CARTESIAN_POINT('',(0.81,-0.225,0.45)); #535065=CARTESIAN_POINT('',(0.85,-0.225,0.41)); #535066=CARTESIAN_POINT('Origin',(0.81,-0.41,0.)); #535067=CARTESIAN_POINT('',(0.81,-0.45,0.)); #535068=CARTESIAN_POINT('',(0.85,-0.41,0.)); #535069=CARTESIAN_POINT('Origin',(0.39,0.225,0.41)); #535070=CARTESIAN_POINT('',(0.39,0.225,0.45)); #535071=CARTESIAN_POINT('',(0.35,0.225,0.41)); #535072=CARTESIAN_POINT('Origin',(0.39,-0.41,0.)); #535073=CARTESIAN_POINT('',(0.35,-0.41,0.)); #535074=CARTESIAN_POINT('',(0.39,-0.45,0.)); #535075=CARTESIAN_POINT('Origin',(0.475,-0.41,0.41)); #535076=CARTESIAN_POINT('',(0.475,-0.41,0.45)); #535077=CARTESIAN_POINT('',(0.475,-0.45,0.41)); #535078=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #535079=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #535080=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #535081=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #535082=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #535083=CARTESIAN_POINT('Origin',(0.6,0.,0.45)); #535084=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #535085=CARTESIAN_POINT('',(0.35,0.45,0.)); #535086=CARTESIAN_POINT('',(0.35,-0.45,0.)); #535087=CARTESIAN_POINT('',(0.35,0.45,0.)); #535088=CARTESIAN_POINT('',(0.35,0.45,0.45)); #535089=CARTESIAN_POINT('',(0.35,0.45,0.)); #535090=CARTESIAN_POINT('',(0.35,-0.45,0.45)); #535091=CARTESIAN_POINT('',(0.35,0.45,0.45)); #535092=CARTESIAN_POINT('',(0.35,-0.45,0.)); #535093=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #535094=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #535095=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #535096=CARTESIAN_POINT('',(-0.35,-0.45,0.45)); #535097=CARTESIAN_POINT('',(-0.85,-0.45,0.45)); #535098=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #535099=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #535100=CARTESIAN_POINT('',(-0.35,0.45,0.)); #535101=CARTESIAN_POINT('',(-0.35,0.45,0.)); #535102=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #535103=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #535104=CARTESIAN_POINT('',(-0.35,0.45,0.)); #535105=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #535106=CARTESIAN_POINT('',(0.85,0.45,0.)); #535107=CARTESIAN_POINT('',(0.85,0.45,0.45)); #535108=CARTESIAN_POINT('Origin',(0.,0.,0.45)); #535109=CARTESIAN_POINT('Origin',(0.,0.,0.)); #535110=CARTESIAN_POINT('',(0.,0.,0.)); #535111=CARTESIAN_POINT('',(0.,0.,0.)); #535112=CARTESIAN_POINT('',(0.,0.,0.)); #535113=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #535114=CARTESIAN_POINT('',(-0.85,-0.418,0.768)); #535115=CARTESIAN_POINT('',(-0.818,-0.418,0.8)); #535116=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #535117=CARTESIAN_POINT('',(-0.818,-0.45,0.768)); #535118=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #535119=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #535120=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #535121=CARTESIAN_POINT('',(-0.482,-0.45,0.768)); #535122=CARTESIAN_POINT('',(-0.482,-0.418,0.8)); #535123=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #535124=CARTESIAN_POINT('',(-0.45,-0.418,0.768)); #535125=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #535126=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #535127=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #535128=CARTESIAN_POINT('',(-0.85,-0.418,0.032)); #535129=CARTESIAN_POINT('',(-0.818,-0.45,0.032)); #535130=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #535131=CARTESIAN_POINT('',(-0.818,-0.418,0.)); #535132=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #535133=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #535134=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #535135=CARTESIAN_POINT('',(-0.818,0.45,0.768)); #535136=CARTESIAN_POINT('',(-0.818,0.418,0.8)); #535137=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #535138=CARTESIAN_POINT('',(-0.85,0.418,0.768)); #535139=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #535140=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #535141=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #535142=CARTESIAN_POINT('',(-0.482,-0.45,0.032)); #535143=CARTESIAN_POINT('',(-0.45,-0.418,0.032)); #535144=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #535145=CARTESIAN_POINT('',(-0.482,-0.418,0.)); #535146=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #535147=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #535148=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.032)); #535149=CARTESIAN_POINT('',(-0.75,-0.45,0.032)); #535150=CARTESIAN_POINT('',(-0.75,-0.418,0.)); #535151=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #535152=CARTESIAN_POINT('',(-0.45,0.418,0.768)); #535153=CARTESIAN_POINT('',(-0.482,0.418,0.8)); #535154=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #535155=CARTESIAN_POINT('',(-0.482,0.45,0.768)); #535156=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #535157=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #535158=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #535159=CARTESIAN_POINT('',(-0.818,0.418,0.)); #535160=CARTESIAN_POINT('',(-0.818,0.45,0.032)); #535161=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #535162=CARTESIAN_POINT('',(-0.85,0.418,0.032)); #535163=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #535164=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #535165=CARTESIAN_POINT('Origin',(-0.818,0.225,0.032)); #535166=CARTESIAN_POINT('',(-0.85,0.225,0.032)); #535167=CARTESIAN_POINT('',(-0.818,0.225,0.)); #535168=CARTESIAN_POINT('Origin',(-0.818,0.418,0.)); #535169=CARTESIAN_POINT('',(-0.818,0.45,0.)); #535170=CARTESIAN_POINT('',(-0.85,0.418,0.)); #535171=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #535172=CARTESIAN_POINT('',(-0.45,0.418,0.032)); #535173=CARTESIAN_POINT('',(-0.482,0.45,0.032)); #535174=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #535175=CARTESIAN_POINT('',(-0.482,0.418,0.)); #535176=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #535177=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #535178=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.032)); #535179=CARTESIAN_POINT('',(-0.482,-0.225,0.)); #535180=CARTESIAN_POINT('',(-0.45,-0.225,0.032)); #535181=CARTESIAN_POINT('Origin',(-0.55,0.418,0.032)); #535182=CARTESIAN_POINT('',(-0.55,0.45,0.032)); #535183=CARTESIAN_POINT('',(-0.55,0.418,0.)); #535184=CARTESIAN_POINT('Origin',(-0.482,0.418,0.)); #535185=CARTESIAN_POINT('',(-0.45,0.418,0.)); #535186=CARTESIAN_POINT('',(-0.482,0.45,0.)); #535187=CARTESIAN_POINT('Origin',(-0.55,0.418,0.768)); #535188=CARTESIAN_POINT('',(-0.55,0.418,0.8)); #535189=CARTESIAN_POINT('',(-0.55,0.45,0.768)); #535190=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.768)); #535191=CARTESIAN_POINT('',(-0.482,-0.225,0.8)); #535192=CARTESIAN_POINT('',(-0.45,-0.225,0.768)); #535193=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.)); #535194=CARTESIAN_POINT('',(-0.482,-0.45,0.)); #535195=CARTESIAN_POINT('',(-0.45,-0.418,0.)); #535196=CARTESIAN_POINT('Origin',(-0.818,0.225,0.768)); #535197=CARTESIAN_POINT('',(-0.818,0.225,0.8)); #535198=CARTESIAN_POINT('',(-0.85,0.225,0.768)); #535199=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.)); #535200=CARTESIAN_POINT('',(-0.85,-0.418,0.)); #535201=CARTESIAN_POINT('',(-0.818,-0.45,0.)); #535202=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.768)); #535203=CARTESIAN_POINT('',(-0.75,-0.418,0.8)); #535204=CARTESIAN_POINT('',(-0.75,-0.45,0.768)); #535205=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #535206=CARTESIAN_POINT('Origin',(-0.65,0.,0.)); #535207=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #535208=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #535209=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #535210=CARTESIAN_POINT('Origin',(-0.65,0.,0.8)); #535211=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #535212=CARTESIAN_POINT('',(0.45,-0.418,0.768)); #535213=CARTESIAN_POINT('',(0.482,-0.418,0.8)); #535214=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #535215=CARTESIAN_POINT('',(0.482,-0.45,0.768)); #535216=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #535217=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #535218=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #535219=CARTESIAN_POINT('',(0.818,-0.45,0.768)); #535220=CARTESIAN_POINT('',(0.818,-0.418,0.8)); #535221=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #535222=CARTESIAN_POINT('',(0.85,-0.418,0.768)); #535223=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #535224=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #535225=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #535226=CARTESIAN_POINT('',(0.45,-0.418,0.032)); #535227=CARTESIAN_POINT('',(0.482,-0.45,0.032)); #535228=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #535229=CARTESIAN_POINT('',(0.482,-0.418,0.)); #535230=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #535231=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #535232=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #535233=CARTESIAN_POINT('',(0.482,0.45,0.768)); #535234=CARTESIAN_POINT('',(0.482,0.418,0.8)); #535235=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #535236=CARTESIAN_POINT('',(0.45,0.418,0.768)); #535237=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #535238=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #535239=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #535240=CARTESIAN_POINT('',(0.818,-0.45,0.032)); #535241=CARTESIAN_POINT('',(0.85,-0.418,0.032)); #535242=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #535243=CARTESIAN_POINT('',(0.818,-0.418,0.)); #535244=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #535245=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #535246=CARTESIAN_POINT('Origin',(0.55,-0.418,0.032)); #535247=CARTESIAN_POINT('',(0.55,-0.45,0.032)); #535248=CARTESIAN_POINT('',(0.55,-0.418,0.)); #535249=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #535250=CARTESIAN_POINT('',(0.85,0.418,0.768)); #535251=CARTESIAN_POINT('',(0.818,0.418,0.8)); #535252=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #535253=CARTESIAN_POINT('',(0.818,0.45,0.768)); #535254=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #535255=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #535256=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #535257=CARTESIAN_POINT('',(0.482,0.418,0.)); #535258=CARTESIAN_POINT('',(0.482,0.45,0.032)); #535259=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #535260=CARTESIAN_POINT('',(0.45,0.418,0.032)); #535261=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #535262=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #535263=CARTESIAN_POINT('Origin',(0.482,0.225,0.032)); #535264=CARTESIAN_POINT('',(0.45,0.225,0.032)); #535265=CARTESIAN_POINT('',(0.482,0.225,0.)); #535266=CARTESIAN_POINT('Origin',(0.482,0.418,0.)); #535267=CARTESIAN_POINT('',(0.482,0.45,0.)); #535268=CARTESIAN_POINT('',(0.45,0.418,0.)); #535269=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #535270=CARTESIAN_POINT('',(0.85,0.418,0.032)); #535271=CARTESIAN_POINT('',(0.818,0.45,0.032)); #535272=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #535273=CARTESIAN_POINT('',(0.818,0.418,0.)); #535274=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #535275=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #535276=CARTESIAN_POINT('Origin',(0.818,-0.225,0.032)); #535277=CARTESIAN_POINT('',(0.85,-0.225,0.032)); #535278=CARTESIAN_POINT('',(0.818,-0.225,0.)); #535279=CARTESIAN_POINT('Origin',(0.75,0.418,0.032)); #535280=CARTESIAN_POINT('',(0.75,0.45,0.032)); #535281=CARTESIAN_POINT('',(0.75,0.418,0.)); #535282=CARTESIAN_POINT('Origin',(0.818,0.418,0.)); #535283=CARTESIAN_POINT('',(0.85,0.418,0.)); #535284=CARTESIAN_POINT('',(0.818,0.45,0.)); #535285=CARTESIAN_POINT('Origin',(0.75,0.418,0.768)); #535286=CARTESIAN_POINT('',(0.75,0.418,0.8)); #535287=CARTESIAN_POINT('',(0.75,0.45,0.768)); #535288=CARTESIAN_POINT('Origin',(0.818,-0.225,0.768)); #535289=CARTESIAN_POINT('',(0.818,-0.225,0.8)); #535290=CARTESIAN_POINT('',(0.85,-0.225,0.768)); #535291=CARTESIAN_POINT('Origin',(0.818,-0.418,0.)); #535292=CARTESIAN_POINT('',(0.818,-0.45,0.)); #535293=CARTESIAN_POINT('',(0.85,-0.418,0.)); #535294=CARTESIAN_POINT('Origin',(0.482,0.225,0.768)); #535295=CARTESIAN_POINT('',(0.482,0.225,0.8)); #535296=CARTESIAN_POINT('',(0.45,0.225,0.768)); #535297=CARTESIAN_POINT('Origin',(0.482,-0.418,0.)); #535298=CARTESIAN_POINT('',(0.45,-0.418,0.)); #535299=CARTESIAN_POINT('',(0.482,-0.45,0.)); #535300=CARTESIAN_POINT('Origin',(0.55,-0.418,0.768)); #535301=CARTESIAN_POINT('',(0.55,-0.418,0.8)); #535302=CARTESIAN_POINT('',(0.55,-0.45,0.768)); #535303=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #535304=CARTESIAN_POINT('Origin',(0.65,0.,0.)); #535305=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #535306=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #535307=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #535308=CARTESIAN_POINT('Origin',(0.65,0.,0.8)); #535309=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #535310=CARTESIAN_POINT('',(0.45,0.45,0.)); #535311=CARTESIAN_POINT('',(0.45,-0.45,0.)); #535312=CARTESIAN_POINT('',(0.45,0.45,0.)); #535313=CARTESIAN_POINT('',(0.45,0.45,0.8)); #535314=CARTESIAN_POINT('',(0.45,0.45,0.)); #535315=CARTESIAN_POINT('',(0.45,-0.45,0.8)); #535316=CARTESIAN_POINT('',(0.45,0.45,0.8)); #535317=CARTESIAN_POINT('',(0.45,-0.45,0.)); #535318=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #535319=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #535320=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #535321=CARTESIAN_POINT('',(-0.45,-0.45,0.8)); #535322=CARTESIAN_POINT('',(-0.85,-0.45,0.8)); #535323=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #535324=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #535325=CARTESIAN_POINT('',(-0.45,0.45,0.)); #535326=CARTESIAN_POINT('',(-0.45,0.45,0.)); #535327=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #535328=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #535329=CARTESIAN_POINT('',(-0.45,0.45,0.)); #535330=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #535331=CARTESIAN_POINT('',(0.85,0.45,0.)); #535332=CARTESIAN_POINT('',(0.85,0.45,0.8)); #535333=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #535334=CARTESIAN_POINT('Origin',(0.,0.,0.)); #535335=CARTESIAN_POINT('',(0.,0.,0.)); #535336=CARTESIAN_POINT('',(0.,0.,0.)); #535337=CARTESIAN_POINT('',(0.,0.,0.)); #535338=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #535339=CARTESIAN_POINT('',(-1.75,-1.36,2.76)); #535340=CARTESIAN_POINT('',(-1.71,-1.36,2.8)); #535341=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #535342=CARTESIAN_POINT('',(-1.71,-1.4,2.76)); #535343=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #535344=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #535345=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #535346=CARTESIAN_POINT('',(-0.89,-1.4,2.76)); #535347=CARTESIAN_POINT('',(-0.89,-1.36,2.8)); #535348=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #535349=CARTESIAN_POINT('',(-0.85,-1.36,2.76)); #535350=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #535351=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #535352=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #535353=CARTESIAN_POINT('',(-1.75,-1.36,0.04)); #535354=CARTESIAN_POINT('',(-1.71,-1.4,0.04)); #535355=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #535356=CARTESIAN_POINT('',(-1.71,-1.36,0.)); #535357=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #535358=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #535359=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #535360=CARTESIAN_POINT('',(-1.71,1.4,2.76)); #535361=CARTESIAN_POINT('',(-1.71,1.36,2.8)); #535362=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #535363=CARTESIAN_POINT('',(-1.75,1.36,2.76)); #535364=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #535365=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #535366=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #535367=CARTESIAN_POINT('',(-0.89,-1.4,0.04)); #535368=CARTESIAN_POINT('',(-0.85,-1.36,0.04)); #535369=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #535370=CARTESIAN_POINT('',(-0.89,-1.36,0.)); #535371=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #535372=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #535373=CARTESIAN_POINT('Origin',(-1.525,-1.36,0.04)); #535374=CARTESIAN_POINT('',(-1.525,-1.4,0.04)); #535375=CARTESIAN_POINT('',(-1.525,-1.36,0.)); #535376=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #535377=CARTESIAN_POINT('',(-0.85,1.36,2.76)); #535378=CARTESIAN_POINT('',(-0.89,1.36,2.8)); #535379=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #535380=CARTESIAN_POINT('',(-0.89,1.4,2.76)); #535381=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #535382=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #535383=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #535384=CARTESIAN_POINT('',(-1.71,1.36,0.)); #535385=CARTESIAN_POINT('',(-1.71,1.4,0.04)); #535386=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #535387=CARTESIAN_POINT('',(-1.75,1.36,0.04)); #535388=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #535389=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #535390=CARTESIAN_POINT('Origin',(-1.71,0.7,0.04)); #535391=CARTESIAN_POINT('',(-1.75,0.7,0.04)); #535392=CARTESIAN_POINT('',(-1.71,0.7,0.)); #535393=CARTESIAN_POINT('Origin',(-1.71,1.36,0.)); #535394=CARTESIAN_POINT('',(-1.71,1.4,0.)); #535395=CARTESIAN_POINT('',(-1.75,1.36,0.)); #535396=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #535397=CARTESIAN_POINT('',(-0.85,1.36,0.04)); #535398=CARTESIAN_POINT('',(-0.89,1.4,0.04)); #535399=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #535400=CARTESIAN_POINT('',(-0.89,1.36,0.)); #535401=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #535402=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #535403=CARTESIAN_POINT('Origin',(-0.89,-0.7,0.04)); #535404=CARTESIAN_POINT('',(-0.89,-0.7,0.)); #535405=CARTESIAN_POINT('',(-0.85,-0.7,0.04)); #535406=CARTESIAN_POINT('Origin',(-1.075,1.36,0.04)); #535407=CARTESIAN_POINT('',(-1.075,1.4,0.04)); #535408=CARTESIAN_POINT('',(-1.075,1.36,0.)); #535409=CARTESIAN_POINT('Origin',(-0.89,1.36,0.)); #535410=CARTESIAN_POINT('',(-0.85,1.36,0.)); #535411=CARTESIAN_POINT('',(-0.89,1.4,0.)); #535412=CARTESIAN_POINT('Origin',(-1.075,1.36,2.76)); #535413=CARTESIAN_POINT('',(-1.075,1.36,2.8)); #535414=CARTESIAN_POINT('',(-1.075,1.4,2.76)); #535415=CARTESIAN_POINT('Origin',(-0.89,-0.7,2.76)); #535416=CARTESIAN_POINT('',(-0.89,-0.7,2.8)); #535417=CARTESIAN_POINT('',(-0.85,-0.7,2.76)); #535418=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.)); #535419=CARTESIAN_POINT('',(-0.89,-1.4,0.)); #535420=CARTESIAN_POINT('',(-0.85,-1.36,0.)); #535421=CARTESIAN_POINT('Origin',(-1.71,0.7,2.76)); #535422=CARTESIAN_POINT('',(-1.71,0.7,2.8)); #535423=CARTESIAN_POINT('',(-1.75,0.7,2.76)); #535424=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.)); #535425=CARTESIAN_POINT('',(-1.75,-1.36,0.)); #535426=CARTESIAN_POINT('',(-1.71,-1.4,0.)); #535427=CARTESIAN_POINT('Origin',(-1.525,-1.36,2.76)); #535428=CARTESIAN_POINT('',(-1.525,-1.36,2.8)); #535429=CARTESIAN_POINT('',(-1.525,-1.4,2.76)); #535430=CARTESIAN_POINT('Origin',(-1.75,-1.4,0.)); #535431=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #535432=CARTESIAN_POINT('Origin',(-1.75,1.4,0.)); #535433=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #535434=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #535435=CARTESIAN_POINT('Origin',(-1.3,0.,2.8)); #535436=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #535437=CARTESIAN_POINT('',(0.85,-1.36,2.76)); #535438=CARTESIAN_POINT('',(0.89,-1.36,2.8)); #535439=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #535440=CARTESIAN_POINT('',(0.89,-1.4,2.76)); #535441=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #535442=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #535443=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #535444=CARTESIAN_POINT('',(1.71,-1.4,2.76)); #535445=CARTESIAN_POINT('',(1.71,-1.36,2.8)); #535446=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #535447=CARTESIAN_POINT('',(1.75,-1.36,2.76)); #535448=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #535449=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #535450=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #535451=CARTESIAN_POINT('',(0.85,-1.36,0.04)); #535452=CARTESIAN_POINT('',(0.89,-1.4,0.04)); #535453=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #535454=CARTESIAN_POINT('',(0.89,-1.36,0.)); #535455=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #535456=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #535457=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #535458=CARTESIAN_POINT('',(0.89,1.4,2.76)); #535459=CARTESIAN_POINT('',(0.89,1.36,2.8)); #535460=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #535461=CARTESIAN_POINT('',(0.85,1.36,2.76)); #535462=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #535463=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #535464=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #535465=CARTESIAN_POINT('',(1.71,-1.4,0.04)); #535466=CARTESIAN_POINT('',(1.75,-1.36,0.04)); #535467=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #535468=CARTESIAN_POINT('',(1.71,-1.36,0.)); #535469=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #535470=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #535471=CARTESIAN_POINT('Origin',(1.075,-1.36,0.04)); #535472=CARTESIAN_POINT('',(1.075,-1.4,0.04)); #535473=CARTESIAN_POINT('',(1.075,-1.36,0.)); #535474=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #535475=CARTESIAN_POINT('',(1.75,1.36,2.76)); #535476=CARTESIAN_POINT('',(1.71,1.36,2.8)); #535477=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #535478=CARTESIAN_POINT('',(1.71,1.4,2.76)); #535479=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #535480=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #535481=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #535482=CARTESIAN_POINT('',(0.89,1.36,0.)); #535483=CARTESIAN_POINT('',(0.89,1.4,0.04)); #535484=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #535485=CARTESIAN_POINT('',(0.85,1.36,0.04)); #535486=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #535487=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #535488=CARTESIAN_POINT('Origin',(0.89,0.7,0.04)); #535489=CARTESIAN_POINT('',(0.85,0.7,0.04)); #535490=CARTESIAN_POINT('',(0.89,0.7,0.)); #535491=CARTESIAN_POINT('Origin',(0.89,1.36,0.)); #535492=CARTESIAN_POINT('',(0.89,1.4,0.)); #535493=CARTESIAN_POINT('',(0.85,1.36,0.)); #535494=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #535495=CARTESIAN_POINT('',(1.75,1.36,0.04)); #535496=CARTESIAN_POINT('',(1.71,1.4,0.04)); #535497=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #535498=CARTESIAN_POINT('',(1.71,1.36,0.)); #535499=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #535500=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #535501=CARTESIAN_POINT('Origin',(1.71,-0.7,0.04)); #535502=CARTESIAN_POINT('',(1.75,-0.7,0.04)); #535503=CARTESIAN_POINT('',(1.71,-0.7,0.)); #535504=CARTESIAN_POINT('Origin',(1.525,1.36,0.04)); #535505=CARTESIAN_POINT('',(1.525,1.4,0.04)); #535506=CARTESIAN_POINT('',(1.525,1.36,0.)); #535507=CARTESIAN_POINT('Origin',(1.71,1.36,0.)); #535508=CARTESIAN_POINT('',(1.75,1.36,0.)); #535509=CARTESIAN_POINT('',(1.71,1.4,0.)); #535510=CARTESIAN_POINT('Origin',(1.525,1.36,2.76)); #535511=CARTESIAN_POINT('',(1.525,1.36,2.8)); #535512=CARTESIAN_POINT('',(1.525,1.4,2.76)); #535513=CARTESIAN_POINT('Origin',(1.71,-0.7,2.76)); #535514=CARTESIAN_POINT('',(1.71,-0.7,2.8)); #535515=CARTESIAN_POINT('',(1.75,-0.7,2.76)); #535516=CARTESIAN_POINT('Origin',(1.71,-1.36,0.)); #535517=CARTESIAN_POINT('',(1.71,-1.4,0.)); #535518=CARTESIAN_POINT('',(1.75,-1.36,0.)); #535519=CARTESIAN_POINT('Origin',(0.89,0.7,2.76)); #535520=CARTESIAN_POINT('',(0.89,0.7,2.8)); #535521=CARTESIAN_POINT('',(0.85,0.7,2.76)); #535522=CARTESIAN_POINT('Origin',(0.89,-1.36,0.)); #535523=CARTESIAN_POINT('',(0.85,-1.36,0.)); #535524=CARTESIAN_POINT('',(0.89,-1.4,0.)); #535525=CARTESIAN_POINT('Origin',(1.075,-1.36,2.76)); #535526=CARTESIAN_POINT('',(1.075,-1.36,2.8)); #535527=CARTESIAN_POINT('',(1.075,-1.4,2.76)); #535528=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #535529=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #535530=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #535531=CARTESIAN_POINT('Origin',(1.75,1.4,0.)); #535532=CARTESIAN_POINT('Origin',(1.75,-1.4,0.)); #535533=CARTESIAN_POINT('Origin',(1.3,0.,2.8)); #535534=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #535535=CARTESIAN_POINT('',(0.85,1.4,0.)); #535536=CARTESIAN_POINT('',(0.85,-1.4,0.)); #535537=CARTESIAN_POINT('',(0.85,1.4,0.)); #535538=CARTESIAN_POINT('',(0.85,1.4,2.8)); #535539=CARTESIAN_POINT('',(0.85,1.4,0.)); #535540=CARTESIAN_POINT('',(0.85,-1.4,2.8)); #535541=CARTESIAN_POINT('',(0.85,1.4,2.8)); #535542=CARTESIAN_POINT('',(0.85,-1.4,0.)); #535543=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #535544=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #535545=CARTESIAN_POINT('',(-1.75,-1.4,0.)); #535546=CARTESIAN_POINT('',(-0.85,-1.4,2.8)); #535547=CARTESIAN_POINT('',(-1.75,-1.4,2.8)); #535548=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #535549=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #535550=CARTESIAN_POINT('',(-0.85,1.4,0.)); #535551=CARTESIAN_POINT('',(-0.85,1.4,0.)); #535552=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #535553=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #535554=CARTESIAN_POINT('',(-0.85,1.4,0.)); #535555=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #535556=CARTESIAN_POINT('',(1.75,1.4,0.)); #535557=CARTESIAN_POINT('',(1.75,1.4,2.8)); #535558=CARTESIAN_POINT('Origin',(0.,0.,2.8)); #535559=CARTESIAN_POINT('Origin',(0.,0.,0.)); #535560=CARTESIAN_POINT('',(0.,0.,0.)); #535561=CARTESIAN_POINT('',(0.,0.,0.)); #535562=CARTESIAN_POINT('',(0.,0.,0.)); #535563=CARTESIAN_POINT('Origin',(0.,0.,9.)); #535564=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,9.)); #535565=CARTESIAN_POINT('Origin',(0.,0.,9.)); #535566=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,8.9)); #535567=CARTESIAN_POINT('',(-3.11666666666667,3.81681585734258E-16,9.)); #535568=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #535569=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #535570=CARTESIAN_POINT('Origin',(4.10833333333333,0.,0.)); #535571=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,0.)); #535572=CARTESIAN_POINT('Origin',(0.,0.,0.)); #535573=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #535574=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.141666666666667)); #535575=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #535576=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 0.141666666666667)); #535577=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #535578=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,1.20132735928728)); #535579=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #535580=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.671497012976974)); #535581=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #535582=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,1.33849551946546)); #535583=CARTESIAN_POINT('Origin',(0.,0.,1.33849551946546)); #535584=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 1.20132735928728)); #535585=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #535586=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,2.16150448053454)); #535587=CARTESIAN_POINT('Origin',(-4.25,-5.20474889637625E-16,1.75)); #535588=CARTESIAN_POINT('Origin',(0.,0.,2.16150448053454)); #535589=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #535590=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,2.29867264071272)); #535591=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #535592=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 2.29867264071272)); #535593=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #535594=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,8.85833333333333)); #535595=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #535596=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,5.57850298702303)); #535597=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #535598=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,9.)); #535599=CARTESIAN_POINT('Origin',(0.,0.,9.)); #535600=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 8.85833333333333)); #535601=CARTESIAN_POINT('Origin',(0.,0.,9.)); #535602=CARTESIAN_POINT('Origin',(3.99766855855882,-0.633168497250334,4.5)); #535603=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,1.21166150673268)); #535604=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,1.34866381789352)); #535605=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,1.21166150673268)); #535606=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,2.15133618210648)); #535607=CARTESIAN_POINT('Origin',(4.21742921434124,-0.667975165721786,1.75)); #535608=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,2.28833849326732)); #535609=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,2.28833849326732)); #535610=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #535611=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #535612=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,9.)); #535613=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,8.85833333333333)); #535614=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,9.)); #535615=CARTESIAN_POINT('',(0.,0.,9.)); #535616=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,8.85833333333333)); #535617=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,8.85833333333333)); #535618=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #535619=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #535620=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,2.16150448053454)); #535621=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,2.29867264071272)); #535622=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,1.33849551946546)); #535623=CARTESIAN_POINT('Origin',(4.19767544752934,-0.664846476420981,1.75)); #535624=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,1.20132735928728)); #535625=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,1.20132735928728)); #535626=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #535627=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #535628=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,0.)); #535629=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,0.141666666666666)); #535630=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,0.)); #535631=CARTESIAN_POINT('',(0.,0.,0.)); #535632=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,0.141666666666667)); #535633=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,0.141666666666667)); #535634=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #535635=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #535636=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,1.34866381789352)); #535637=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,1.00613961606655E-14, 1.34866381789352)); #535638=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,2.15133618210648)); #535639=CARTESIAN_POINT('Origin',(4.21742921434124,0.667975165721786,1.75)); #535640=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,-1.00613961606655E-14, 2.15133618210648)); #535641=CARTESIAN_POINT('Origin',(0.,0.,2.28833849326732)); #535642=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,2.28833849326732)); #535643=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,2.28833849326732)); #535644=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 2.28833849326724)); #535645=CARTESIAN_POINT('Origin',(0.,0.,5.57333591330033)); #535646=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #535647=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #535648=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 8.85833333333261)); #535649=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #535650=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,9.)); #535651=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,8.85833333333333)); #535652=CARTESIAN_POINT('Origin',(2.55351295663786E-14,2.4980018054066E-15, 8.99999999999928)); #535653=CARTESIAN_POINT('Origin',(4.10833333333333,0.,9.)); #535654=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,9.)); #535655=CARTESIAN_POINT('',(0.,0.,9.)); #535656=CARTESIAN_POINT('Origin',(-8.71525074330748E-14,1.31838984174237E-15, 8.99999999999928)); #535657=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #535658=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,8.85833333333333)); #535659=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,8.85833333333333)); #535660=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 8.85833333333261)); #535661=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #535662=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #535663=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #535664=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 2.29867264071263)); #535665=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #535666=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,2.16150448053454)); #535667=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,2.29867264071272)); #535668=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 2.16150448053445)); #535669=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #535670=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,1.33849551946546)); #535671=CARTESIAN_POINT('Origin',(4.19767544752934,0.664846476420981,1.75)); #535672=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 1.33849551946546)); #535673=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #535674=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,1.20132735928728)); #535675=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,1.20132735928728)); #535676=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 1.20132735928728)); #535677=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #535678=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #535679=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #535680=CARTESIAN_POINT('Origin',(0.,3.81639164714898E-15,0.141666666666753)); #535681=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666666)); #535682=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,0.)); #535683=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,0.141666666666666)); #535684=CARTESIAN_POINT('Origin',(-8.77076189453874E-14,1.31838984174237E-15, 8.976000657716E-14)); #535685=CARTESIAN_POINT('Origin',(4.12833333333333,0.,0.)); #535686=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,0.)); #535687=CARTESIAN_POINT('',(0.,0.,0.)); #535688=CARTESIAN_POINT('Origin',(2.77555756156289E-14,1.20736753927986E-14, 1.01014701868819E-13)); #535689=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #535690=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,0.141666666666667)); #535691=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,0.141666666666667)); #535692=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 0.141666666666768)); #535693=CARTESIAN_POINT('Origin',(0.,0.,0.676664086699671)); #535694=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,1.21166150673268)); #535695=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #535696=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 1.21166150673268)); #535697=CARTESIAN_POINT('Origin',(0.,0.,1.21166150673268)); #535698=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,1.21166150673268)); #535699=CARTESIAN_POINT('Origin',(3.99766855855882,0.633168497250334,4.5)); #535700=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #535701=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,-1.922)); #535702=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #535703=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,0.)); #535704=CARTESIAN_POINT('',(1.425,3.9801020972289E-17,0.)); #535705=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #535706=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #535707=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #535708=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #535709=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,-1.922)); #535710=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #535711=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,0.)); #535712=CARTESIAN_POINT('',(-1.425,3.9801020972289E-17,0.)); #535713=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #535714=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #535715=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #535716=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #535717=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535718=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535719=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535720=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535721=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535722=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535723=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535724=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535725=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #535726=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535727=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #535728=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535729=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535730=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #535731=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535732=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535733=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535734=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #535735=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #535736=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535737=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535738=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #535739=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535740=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535741=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #535742=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #535743=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535744=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535745=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535746=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535747=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535748=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535749=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535750=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535751=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #535752=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535753=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #535754=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535755=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535756=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #535757=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535758=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535759=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535760=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #535761=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #535762=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535763=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535764=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #535765=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535766=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535767=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #535768=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #535769=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535770=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535771=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535772=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535773=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535774=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535775=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535776=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535777=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #535778=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535779=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #535780=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535781=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535782=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #535783=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535784=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535785=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535786=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #535787=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #535788=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535789=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535790=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #535791=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535792=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535793=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #535794=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #535795=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535796=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535797=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535798=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535799=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535800=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535801=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535802=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535803=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #535804=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535805=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #535806=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535807=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535808=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #535809=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535810=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535811=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535812=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #535813=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #535814=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535815=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535816=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #535817=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535818=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535819=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #535820=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #535821=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535822=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535823=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535824=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535825=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535826=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535827=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535828=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535829=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #535830=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535831=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #535832=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535833=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535834=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #535835=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535836=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535837=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535838=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #535839=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #535840=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535841=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535842=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #535843=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535844=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535845=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #535846=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #535847=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535848=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535849=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535850=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535851=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535852=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535853=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535854=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535855=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #535856=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535857=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #535858=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535859=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535860=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #535861=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535862=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535863=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535864=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #535865=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #535866=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535867=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535868=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #535869=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535870=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535871=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #535872=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #535873=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535874=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535875=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #535876=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535877=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #535878=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535879=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #535880=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #535881=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #535882=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535883=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #535884=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535885=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #535886=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #535887=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535888=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535889=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #535890=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #535891=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #535892=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535893=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #535894=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #535895=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #535896=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #535897=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #535898=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #535899=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535900=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535901=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #535902=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535903=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #535904=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535905=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #535906=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #535907=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #535908=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535909=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #535910=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535911=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #535912=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #535913=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535914=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535915=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #535916=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #535917=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #535918=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535919=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #535920=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #535921=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #535922=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #535923=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #535924=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #535925=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535926=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535927=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #535928=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535929=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #535930=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535931=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #535932=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #535933=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #535934=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535935=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #535936=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535937=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #535938=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #535939=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535940=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535941=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #535942=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #535943=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #535944=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535945=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #535946=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #535947=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #535948=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #535949=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #535950=CARTESIAN_POINT('',(0.,0.,0.)); #535951=CARTESIAN_POINT('',(0.,0.,0.)); #535952=CARTESIAN_POINT('',(0.,0.,0.)); #535953=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #535954=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #535955=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #535956=CARTESIAN_POINT('',(-1.375,1.3,0.675)); #535957=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #535958=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #535959=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #535960=CARTESIAN_POINT('Origin',(1.5625,0.8,0.7125)); #535961=CARTESIAN_POINT('',(1.525,1.525,0.75)); #535962=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #535963=CARTESIAN_POINT('',(1.525,0.8,0.75)); #535964=CARTESIAN_POINT('',(1.6,-1.6,0.675)); #535965=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #535966=CARTESIAN_POINT('',(1.6,1.6,0.675)); #535967=CARTESIAN_POINT('',(1.6,0.8,0.675)); #535968=CARTESIAN_POINT('',(1.6,1.6,0.675)); #535969=CARTESIAN_POINT('Origin',(0.8,-1.5625,0.7125)); #535970=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #535971=CARTESIAN_POINT('',(0.8,-1.6,0.675)); #535972=CARTESIAN_POINT('',(-1.525,-1.525,0.75)); #535973=CARTESIAN_POINT('',(0.8,-1.525,0.75)); #535974=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #535975=CARTESIAN_POINT('Origin',(-0.8,1.5625,0.7125)); #535976=CARTESIAN_POINT('',(-1.525,1.525,0.75)); #535977=CARTESIAN_POINT('',(-0.8,1.525,0.75)); #535978=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #535979=CARTESIAN_POINT('',(-0.8,1.6,0.675)); #535980=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #535981=CARTESIAN_POINT('Origin',(-1.5625,-0.8,0.7125)); #535982=CARTESIAN_POINT('',(-1.525,-0.8,0.75)); #535983=CARTESIAN_POINT('',(-1.6,-0.8,0.675)); #535984=CARTESIAN_POINT('Origin',(-1.6,1.6,0.75)); #535985=CARTESIAN_POINT('',(1.6,1.6,0.05)); #535986=CARTESIAN_POINT('',(1.6,1.6,0.75)); #535987=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #535988=CARTESIAN_POINT('',(1.6,1.6,0.05)); #535989=CARTESIAN_POINT('',(-1.6,1.6,0.75)); #535990=CARTESIAN_POINT('Origin',(1.6,1.6,0.75)); #535991=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #535992=CARTESIAN_POINT('',(1.6,-1.6,0.75)); #535993=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #535994=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #535995=CARTESIAN_POINT('Origin',(-1.6,-1.6,0.75)); #535996=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #535997=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #535998=CARTESIAN_POINT('',(-1.6,-1.6,0.75)); #535999=CARTESIAN_POINT('Origin',(1.6,-1.6,0.75)); #536000=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #536001=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #536002=CARTESIAN_POINT('Origin',(1.601,1.15,0.)); #536003=CARTESIAN_POINT('',(1.601,1.15,0.)); #536004=CARTESIAN_POINT('',(1.6,1.15,0.)); #536005=CARTESIAN_POINT('',(1.601,1.15,0.)); #536006=CARTESIAN_POINT('',(1.6,1.15,0.14)); #536007=CARTESIAN_POINT('',(1.6,1.15,0.)); #536008=CARTESIAN_POINT('',(1.601,1.15,0.14)); #536009=CARTESIAN_POINT('',(1.601,1.15,0.14)); #536010=CARTESIAN_POINT('',(1.601,1.15,0.)); #536011=CARTESIAN_POINT('Origin',(1.601,0.8,0.)); #536012=CARTESIAN_POINT('',(1.601,0.8,0.)); #536013=CARTESIAN_POINT('',(1.601,0.8,0.)); #536014=CARTESIAN_POINT('',(1.601,0.8,0.14)); #536015=CARTESIAN_POINT('',(1.601,0.8,0.14)); #536016=CARTESIAN_POINT('',(1.601,0.8,0.)); #536017=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #536018=CARTESIAN_POINT('',(1.6,0.8,0.)); #536019=CARTESIAN_POINT('',(1.101,0.8,0.)); #536020=CARTESIAN_POINT('',(1.6,0.8,0.14)); #536021=CARTESIAN_POINT('',(1.101,0.8,0.14)); #536022=CARTESIAN_POINT('',(1.6,0.8,0.)); #536023=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #536024=CARTESIAN_POINT('',(1.6,1.15,0.)); #536025=CARTESIAN_POINT('',(1.6,1.15,0.14)); #536026=CARTESIAN_POINT('Origin',(1.6005,0.975,0.14)); #536027=CARTESIAN_POINT('Origin',(1.6005,0.975,0.)); #536028=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #536029=CARTESIAN_POINT('',(1.6,1.15,0.)); #536030=CARTESIAN_POINT('',(1.6,0.8,0.)); #536031=CARTESIAN_POINT('',(1.6,1.15,0.)); #536032=CARTESIAN_POINT('',(1.6,1.15,0.05)); #536033=CARTESIAN_POINT('',(1.6,1.15,0.)); #536034=CARTESIAN_POINT('',(1.6,0.8,0.05)); #536035=CARTESIAN_POINT('',(1.6,1.15,0.05)); #536036=CARTESIAN_POINT('',(1.6,0.8,0.)); #536037=CARTESIAN_POINT('Origin',(1.275,0.8,0.)); #536038=CARTESIAN_POINT('',(1.275,0.8,0.)); #536039=CARTESIAN_POINT('',(1.101,0.8,0.)); #536040=CARTESIAN_POINT('',(1.275,0.8,0.05)); #536041=CARTESIAN_POINT('',(1.101,0.8,0.05)); #536042=CARTESIAN_POINT('',(1.275,0.8,0.)); #536043=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #536044=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #536045=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #536046=CARTESIAN_POINT('',(1.101,0.956318458307731,0.05)); #536047=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #536048=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #536049=CARTESIAN_POINT('Origin',(1.101,0.993681541692269,0.)); #536050=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #536051=CARTESIAN_POINT('',(1.101,1.15,0.)); #536052=CARTESIAN_POINT('',(1.101,0.993681541692269,0.05)); #536053=CARTESIAN_POINT('',(1.101,1.15,0.05)); #536054=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #536055=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #536056=CARTESIAN_POINT('',(1.275,1.15,0.)); #536057=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #536058=CARTESIAN_POINT('',(1.275,1.15,0.05)); #536059=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #536060=CARTESIAN_POINT('',(1.275,1.15,0.)); #536061=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #536062=CARTESIAN_POINT('',(1.601,1.15,0.)); #536063=CARTESIAN_POINT('',(1.601,1.15,0.05)); #536064=CARTESIAN_POINT('Origin',(1.3505,0.975,0.05)); #536065=CARTESIAN_POINT('Origin',(1.3505,0.975,0.)); #536066=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #536067=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536068=CARTESIAN_POINT('',(-1.6,0.8,0.)); #536069=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536070=CARTESIAN_POINT('',(-1.6,0.8,0.05)); #536071=CARTESIAN_POINT('',(-1.6,0.8,0.)); #536072=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #536073=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #536074=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536075=CARTESIAN_POINT('Origin',(-1.275,0.8,0.)); #536076=CARTESIAN_POINT('',(-1.275,0.8,0.)); #536077=CARTESIAN_POINT('',(-1.101,0.8,0.)); #536078=CARTESIAN_POINT('',(-1.275,0.8,0.05)); #536079=CARTESIAN_POINT('',(-1.275,0.8,0.)); #536080=CARTESIAN_POINT('',(-1.101,0.8,0.05)); #536081=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #536082=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #536083=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #536084=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.05)); #536085=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #536086=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #536087=CARTESIAN_POINT('Origin',(-1.101,0.993681541692269,0.)); #536088=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #536089=CARTESIAN_POINT('',(-1.101,1.15,0.)); #536090=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.05)); #536091=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #536092=CARTESIAN_POINT('',(-1.101,1.15,0.05)); #536093=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #536094=CARTESIAN_POINT('',(-1.275,1.15,0.)); #536095=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #536096=CARTESIAN_POINT('',(-1.275,1.15,0.05)); #536097=CARTESIAN_POINT('',(-1.275,1.15,0.)); #536098=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #536099=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #536100=CARTESIAN_POINT('',(-1.601,1.15,0.)); #536101=CARTESIAN_POINT('',(-1.601,1.15,0.05)); #536102=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.05)); #536103=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.)); #536104=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #536105=CARTESIAN_POINT('',(1.6,0.5,0.)); #536106=CARTESIAN_POINT('',(1.6,0.15,0.)); #536107=CARTESIAN_POINT('',(1.6,0.5,0.)); #536108=CARTESIAN_POINT('',(1.6,0.5,0.05)); #536109=CARTESIAN_POINT('',(1.6,0.5,0.)); #536110=CARTESIAN_POINT('',(1.6,0.15,0.05)); #536111=CARTESIAN_POINT('',(1.6,0.5,0.05)); #536112=CARTESIAN_POINT('',(1.6,0.15,0.)); #536113=CARTESIAN_POINT('Origin',(1.275,0.15,0.)); #536114=CARTESIAN_POINT('',(1.275,0.15,0.)); #536115=CARTESIAN_POINT('',(1.101,0.15,0.)); #536116=CARTESIAN_POINT('',(1.275,0.15,0.05)); #536117=CARTESIAN_POINT('',(1.101,0.15,0.05)); #536118=CARTESIAN_POINT('',(1.275,0.15,0.)); #536119=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #536120=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #536121=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #536122=CARTESIAN_POINT('',(1.101,0.306318458307731,0.05)); #536123=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #536124=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #536125=CARTESIAN_POINT('Origin',(1.101,0.343681541692269,0.)); #536126=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #536127=CARTESIAN_POINT('',(1.101,0.5,0.)); #536128=CARTESIAN_POINT('',(1.101,0.343681541692269,0.05)); #536129=CARTESIAN_POINT('',(1.101,0.5,0.05)); #536130=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #536131=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #536132=CARTESIAN_POINT('',(1.275,0.5,0.)); #536133=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #536134=CARTESIAN_POINT('',(1.275,0.5,0.05)); #536135=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #536136=CARTESIAN_POINT('',(1.275,0.5,0.)); #536137=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #536138=CARTESIAN_POINT('',(1.601,0.5,0.)); #536139=CARTESIAN_POINT('',(1.601,0.5,0.05)); #536140=CARTESIAN_POINT('Origin',(1.3505,0.325,0.05)); #536141=CARTESIAN_POINT('Origin',(1.3505,0.325,0.)); #536142=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #536143=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536144=CARTESIAN_POINT('',(1.6,-0.5,0.)); #536145=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536146=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #536147=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536148=CARTESIAN_POINT('',(1.6,-0.5,0.05)); #536149=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #536150=CARTESIAN_POINT('',(1.6,-0.5,0.)); #536151=CARTESIAN_POINT('Origin',(1.275,-0.5,0.)); #536152=CARTESIAN_POINT('',(1.275,-0.5,0.)); #536153=CARTESIAN_POINT('',(1.101,-0.5,0.)); #536154=CARTESIAN_POINT('',(1.275,-0.5,0.05)); #536155=CARTESIAN_POINT('',(1.101,-0.5,0.05)); #536156=CARTESIAN_POINT('',(1.275,-0.5,0.)); #536157=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #536158=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #536159=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #536160=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.05)); #536161=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #536162=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #536163=CARTESIAN_POINT('Origin',(1.101,-0.306318458307731,0.)); #536164=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #536165=CARTESIAN_POINT('',(1.101,-0.15,0.)); #536166=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.05)); #536167=CARTESIAN_POINT('',(1.101,-0.15,0.05)); #536168=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #536169=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #536170=CARTESIAN_POINT('',(1.275,-0.15,0.)); #536171=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #536172=CARTESIAN_POINT('',(1.275,-0.15,0.05)); #536173=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #536174=CARTESIAN_POINT('',(1.275,-0.15,0.)); #536175=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #536176=CARTESIAN_POINT('',(1.601,-0.15,0.)); #536177=CARTESIAN_POINT('',(1.601,-0.15,0.05)); #536178=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.05)); #536179=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.)); #536180=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #536181=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536182=CARTESIAN_POINT('',(1.6,-1.15,0.)); #536183=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536184=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #536185=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536186=CARTESIAN_POINT('',(1.6,-1.15,0.05)); #536187=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #536188=CARTESIAN_POINT('',(1.6,-1.15,0.)); #536189=CARTESIAN_POINT('Origin',(1.275,-1.15,0.)); #536190=CARTESIAN_POINT('',(1.275,-1.15,0.)); #536191=CARTESIAN_POINT('',(1.101,-1.15,0.)); #536192=CARTESIAN_POINT('',(1.275,-1.15,0.05)); #536193=CARTESIAN_POINT('',(1.101,-1.15,0.05)); #536194=CARTESIAN_POINT('',(1.275,-1.15,0.)); #536195=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #536196=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #536197=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #536198=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.05)); #536199=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #536200=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #536201=CARTESIAN_POINT('Origin',(1.101,-0.956318458307731,0.)); #536202=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #536203=CARTESIAN_POINT('',(1.101,-0.8,0.)); #536204=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.05)); #536205=CARTESIAN_POINT('',(1.101,-0.8,0.05)); #536206=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #536207=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #536208=CARTESIAN_POINT('',(1.275,-0.8,0.)); #536209=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #536210=CARTESIAN_POINT('',(1.275,-0.8,0.05)); #536211=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #536212=CARTESIAN_POINT('',(1.275,-0.8,0.)); #536213=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #536214=CARTESIAN_POINT('',(1.601,-0.8,0.)); #536215=CARTESIAN_POINT('',(1.601,-0.8,0.05)); #536216=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.05)); #536217=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.)); #536218=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #536219=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536220=CARTESIAN_POINT('',(-1.6,0.15,0.)); #536221=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536222=CARTESIAN_POINT('',(-1.6,0.15,0.05)); #536223=CARTESIAN_POINT('',(-1.6,0.15,0.)); #536224=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #536225=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #536226=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536227=CARTESIAN_POINT('Origin',(-1.275,0.15,0.)); #536228=CARTESIAN_POINT('',(-1.275,0.15,0.)); #536229=CARTESIAN_POINT('',(-1.101,0.15,0.)); #536230=CARTESIAN_POINT('',(-1.275,0.15,0.05)); #536231=CARTESIAN_POINT('',(-1.275,0.15,0.)); #536232=CARTESIAN_POINT('',(-1.101,0.15,0.05)); #536233=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #536234=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #536235=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #536236=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.05)); #536237=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #536238=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #536239=CARTESIAN_POINT('Origin',(-1.101,0.343681541692269,0.)); #536240=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #536241=CARTESIAN_POINT('',(-1.101,0.5,0.)); #536242=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.05)); #536243=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #536244=CARTESIAN_POINT('',(-1.101,0.5,0.05)); #536245=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #536246=CARTESIAN_POINT('',(-1.275,0.5,0.)); #536247=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #536248=CARTESIAN_POINT('',(-1.275,0.5,0.05)); #536249=CARTESIAN_POINT('',(-1.275,0.5,0.)); #536250=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #536251=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #536252=CARTESIAN_POINT('',(-1.601,0.5,0.)); #536253=CARTESIAN_POINT('',(-1.601,0.5,0.05)); #536254=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.05)); #536255=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.)); #536256=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #536257=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536258=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #536259=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536260=CARTESIAN_POINT('',(-1.6,-0.5,0.05)); #536261=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #536262=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #536263=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #536264=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536265=CARTESIAN_POINT('Origin',(-1.275,-0.5,0.)); #536266=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #536267=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #536268=CARTESIAN_POINT('',(-1.275,-0.5,0.05)); #536269=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #536270=CARTESIAN_POINT('',(-1.101,-0.5,0.05)); #536271=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #536272=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #536273=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #536274=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.05)); #536275=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #536276=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #536277=CARTESIAN_POINT('Origin',(-1.101,-0.306318458307731,0.)); #536278=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #536279=CARTESIAN_POINT('',(-1.101,-0.15,0.)); #536280=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.05)); #536281=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #536282=CARTESIAN_POINT('',(-1.101,-0.15,0.05)); #536283=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #536284=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #536285=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #536286=CARTESIAN_POINT('',(-1.275,-0.15,0.05)); #536287=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #536288=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #536289=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #536290=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #536291=CARTESIAN_POINT('',(-1.601,-0.15,0.05)); #536292=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.05)); #536293=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.)); #536294=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #536295=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536296=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #536297=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536298=CARTESIAN_POINT('',(-1.6,-1.15,0.05)); #536299=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #536300=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #536301=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #536302=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536303=CARTESIAN_POINT('Origin',(-1.275,-1.15,0.)); #536304=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #536305=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #536306=CARTESIAN_POINT('',(-1.275,-1.15,0.05)); #536307=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #536308=CARTESIAN_POINT('',(-1.101,-1.15,0.05)); #536309=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #536310=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #536311=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #536312=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.05)); #536313=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #536314=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #536315=CARTESIAN_POINT('Origin',(-1.101,-0.956318458307731,0.)); #536316=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #536317=CARTESIAN_POINT('',(-1.101,-0.8,0.)); #536318=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.05)); #536319=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #536320=CARTESIAN_POINT('',(-1.101,-0.8,0.05)); #536321=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #536322=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #536323=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #536324=CARTESIAN_POINT('',(-1.275,-0.8,0.05)); #536325=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #536326=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #536327=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #536328=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #536329=CARTESIAN_POINT('',(-1.601,-0.8,0.05)); #536330=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.05)); #536331=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.)); #536332=CARTESIAN_POINT('Origin',(-1.601,1.15,0.)); #536333=CARTESIAN_POINT('',(-1.601,1.15,0.)); #536334=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536335=CARTESIAN_POINT('',(-1.601,1.15,0.)); #536336=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #536337=CARTESIAN_POINT('',(-1.601,1.15,0.)); #536338=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #536339=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #536340=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536341=CARTESIAN_POINT('Origin',(-1.601,0.8,0.)); #536342=CARTESIAN_POINT('',(-1.601,0.8,0.)); #536343=CARTESIAN_POINT('',(-1.601,0.8,0.)); #536344=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #536345=CARTESIAN_POINT('',(-1.601,0.8,0.)); #536346=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #536347=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #536348=CARTESIAN_POINT('',(-1.6,0.8,0.)); #536349=CARTESIAN_POINT('',(-1.101,0.8,0.)); #536350=CARTESIAN_POINT('',(-1.6,0.8,0.14)); #536351=CARTESIAN_POINT('',(-1.6,0.8,0.)); #536352=CARTESIAN_POINT('',(-1.101,0.8,0.14)); #536353=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #536354=CARTESIAN_POINT('',(-1.6,1.15,0.)); #536355=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #536356=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.14)); #536357=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.)); #536358=CARTESIAN_POINT('Origin',(1.601,0.5,0.)); #536359=CARTESIAN_POINT('',(1.601,0.5,0.)); #536360=CARTESIAN_POINT('',(1.6,0.5,0.)); #536361=CARTESIAN_POINT('',(1.601,0.5,0.)); #536362=CARTESIAN_POINT('',(1.6,0.5,0.14)); #536363=CARTESIAN_POINT('',(1.6,0.5,0.)); #536364=CARTESIAN_POINT('',(1.601,0.5,0.14)); #536365=CARTESIAN_POINT('',(1.601,0.5,0.14)); #536366=CARTESIAN_POINT('',(1.601,0.5,0.)); #536367=CARTESIAN_POINT('Origin',(1.601,0.15,0.)); #536368=CARTESIAN_POINT('',(1.601,0.15,0.)); #536369=CARTESIAN_POINT('',(1.601,0.15,0.)); #536370=CARTESIAN_POINT('',(1.601,0.15,0.14)); #536371=CARTESIAN_POINT('',(1.601,0.15,0.14)); #536372=CARTESIAN_POINT('',(1.601,0.15,0.)); #536373=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #536374=CARTESIAN_POINT('',(1.6,0.15,0.)); #536375=CARTESIAN_POINT('',(1.101,0.15,0.)); #536376=CARTESIAN_POINT('',(1.6,0.15,0.14)); #536377=CARTESIAN_POINT('',(1.101,0.15,0.14)); #536378=CARTESIAN_POINT('',(1.6,0.15,0.)); #536379=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #536380=CARTESIAN_POINT('',(1.6,0.5,0.)); #536381=CARTESIAN_POINT('',(1.6,0.5,0.14)); #536382=CARTESIAN_POINT('Origin',(1.6005,0.325,0.14)); #536383=CARTESIAN_POINT('Origin',(1.6005,0.325,0.)); #536384=CARTESIAN_POINT('Origin',(1.601,-0.15,0.)); #536385=CARTESIAN_POINT('',(1.601,-0.15,0.)); #536386=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536387=CARTESIAN_POINT('',(1.601,-0.15,0.)); #536388=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #536389=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536390=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #536391=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #536392=CARTESIAN_POINT('',(1.601,-0.15,0.)); #536393=CARTESIAN_POINT('Origin',(1.601,-0.5,0.)); #536394=CARTESIAN_POINT('',(1.601,-0.5,0.)); #536395=CARTESIAN_POINT('',(1.601,-0.5,0.)); #536396=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #536397=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #536398=CARTESIAN_POINT('',(1.601,-0.5,0.)); #536399=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #536400=CARTESIAN_POINT('',(1.6,-0.5,0.)); #536401=CARTESIAN_POINT('',(1.101,-0.5,0.)); #536402=CARTESIAN_POINT('',(1.6,-0.5,0.14)); #536403=CARTESIAN_POINT('',(1.101,-0.5,0.14)); #536404=CARTESIAN_POINT('',(1.6,-0.5,0.)); #536405=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #536406=CARTESIAN_POINT('',(1.6,-0.15,0.)); #536407=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #536408=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.14)); #536409=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.)); #536410=CARTESIAN_POINT('Origin',(1.601,-0.8,0.)); #536411=CARTESIAN_POINT('',(1.601,-0.8,0.)); #536412=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536413=CARTESIAN_POINT('',(1.601,-0.8,0.)); #536414=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #536415=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536416=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #536417=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #536418=CARTESIAN_POINT('',(1.601,-0.8,0.)); #536419=CARTESIAN_POINT('Origin',(1.601,-1.15,0.)); #536420=CARTESIAN_POINT('',(1.601,-1.15,0.)); #536421=CARTESIAN_POINT('',(1.601,-1.15,0.)); #536422=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #536423=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #536424=CARTESIAN_POINT('',(1.601,-1.15,0.)); #536425=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #536426=CARTESIAN_POINT('',(1.6,-1.15,0.)); #536427=CARTESIAN_POINT('',(1.101,-1.15,0.)); #536428=CARTESIAN_POINT('',(1.6,-1.15,0.14)); #536429=CARTESIAN_POINT('',(1.101,-1.15,0.14)); #536430=CARTESIAN_POINT('',(1.6,-1.15,0.)); #536431=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #536432=CARTESIAN_POINT('',(1.6,-0.8,0.)); #536433=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #536434=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.14)); #536435=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.)); #536436=CARTESIAN_POINT('Origin',(-1.601,0.5,0.)); #536437=CARTESIAN_POINT('',(-1.601,0.5,0.)); #536438=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536439=CARTESIAN_POINT('',(-1.601,0.5,0.)); #536440=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #536441=CARTESIAN_POINT('',(-1.601,0.5,0.)); #536442=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #536443=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #536444=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536445=CARTESIAN_POINT('Origin',(-1.601,0.15,0.)); #536446=CARTESIAN_POINT('',(-1.601,0.15,0.)); #536447=CARTESIAN_POINT('',(-1.601,0.15,0.)); #536448=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #536449=CARTESIAN_POINT('',(-1.601,0.15,0.)); #536450=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #536451=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #536452=CARTESIAN_POINT('',(-1.6,0.15,0.)); #536453=CARTESIAN_POINT('',(-1.101,0.15,0.)); #536454=CARTESIAN_POINT('',(-1.6,0.15,0.14)); #536455=CARTESIAN_POINT('',(-1.6,0.15,0.)); #536456=CARTESIAN_POINT('',(-1.101,0.15,0.14)); #536457=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #536458=CARTESIAN_POINT('',(-1.6,0.5,0.)); #536459=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #536460=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.14)); #536461=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.)); #536462=CARTESIAN_POINT('Origin',(-1.601,-0.15,0.)); #536463=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #536464=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536465=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #536466=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #536467=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #536468=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #536469=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #536470=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536471=CARTESIAN_POINT('Origin',(-1.601,-0.5,0.)); #536472=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #536473=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #536474=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #536475=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #536476=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #536477=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #536478=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #536479=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #536480=CARTESIAN_POINT('',(-1.6,-0.5,0.14)); #536481=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #536482=CARTESIAN_POINT('',(-1.101,-0.5,0.14)); #536483=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #536484=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #536485=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #536486=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.14)); #536487=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.)); #536488=CARTESIAN_POINT('Origin',(-1.601,-0.8,0.)); #536489=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #536490=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536491=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #536492=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #536493=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #536494=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #536495=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #536496=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536497=CARTESIAN_POINT('Origin',(-1.601,-1.15,0.)); #536498=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #536499=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #536500=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #536501=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #536502=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #536503=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #536504=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #536505=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #536506=CARTESIAN_POINT('',(-1.6,-1.15,0.14)); #536507=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #536508=CARTESIAN_POINT('',(-1.101,-1.15,0.14)); #536509=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #536510=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #536511=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #536512=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.14)); #536513=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.)); #536514=CARTESIAN_POINT('Origin',(-0.625,-1.175,0.)); #536515=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #536516=CARTESIAN_POINT('',(0.625,-1.175,0.)); #536517=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #536518=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #536519=CARTESIAN_POINT('',(0.625,-1.175,0.)); #536520=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #536521=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #536522=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #536523=CARTESIAN_POINT('Origin',(-0.625,1.175,0.)); #536524=CARTESIAN_POINT('',(-0.625,1.175,0.)); #536525=CARTESIAN_POINT('',(-0.625,1.175,0.)); #536526=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #536527=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #536528=CARTESIAN_POINT('',(-0.625,1.175,0.)); #536529=CARTESIAN_POINT('Origin',(0.625,1.175,0.)); #536530=CARTESIAN_POINT('',(0.625,1.175,0.)); #536531=CARTESIAN_POINT('',(0.625,1.175,0.)); #536532=CARTESIAN_POINT('',(0.625,1.175,0.05)); #536533=CARTESIAN_POINT('',(0.625,1.175,0.05)); #536534=CARTESIAN_POINT('',(0.625,1.175,0.)); #536535=CARTESIAN_POINT('Origin',(0.625,-1.175,0.)); #536536=CARTESIAN_POINT('',(0.625,-1.175,0.)); #536537=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #536538=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.05)); #536539=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.)); #536540=CARTESIAN_POINT('',(0.,0.,0.)); #536541=CARTESIAN_POINT('',(0.,0.,0.)); #536542=CARTESIAN_POINT('',(0.,0.,0.)); #536543=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #536544=CARTESIAN_POINT('',(-2.775,2.2,1.)); #536545=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #536546=CARTESIAN_POINT('',(-2.775,2.2,0.9)); #536547=CARTESIAN_POINT('',(-2.775,2.2,1.)); #536548=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #536549=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #536550=CARTESIAN_POINT('Origin',(3.,1.275,0.95)); #536551=CARTESIAN_POINT('',(2.95,2.45,1.)); #536552=CARTESIAN_POINT('',(2.95,-2.45,1.)); #536553=CARTESIAN_POINT('',(2.95,1.275,1.)); #536554=CARTESIAN_POINT('',(3.05,-2.55,0.9)); #536555=CARTESIAN_POINT('',(2.95,-2.45,1.)); #536556=CARTESIAN_POINT('',(3.05,2.55,0.9)); #536557=CARTESIAN_POINT('',(3.05,1.275,0.9)); #536558=CARTESIAN_POINT('',(3.05,2.55,0.9)); #536559=CARTESIAN_POINT('Origin',(1.525,-2.5,0.95)); #536560=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #536561=CARTESIAN_POINT('',(1.525,-2.55,0.9)); #536562=CARTESIAN_POINT('',(-2.95,-2.45,1.)); #536563=CARTESIAN_POINT('',(1.525,-2.45,1.)); #536564=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #536565=CARTESIAN_POINT('Origin',(-1.525,2.5,0.95)); #536566=CARTESIAN_POINT('',(-2.95,2.45,1.)); #536567=CARTESIAN_POINT('',(-1.525,2.45,1.)); #536568=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #536569=CARTESIAN_POINT('',(-1.525,2.55,0.9)); #536570=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #536571=CARTESIAN_POINT('Origin',(-3.,-1.275,0.95)); #536572=CARTESIAN_POINT('',(-2.95,-1.275,1.)); #536573=CARTESIAN_POINT('',(-3.05,-1.275,0.9)); #536574=CARTESIAN_POINT('Origin',(-3.05,2.55,1.)); #536575=CARTESIAN_POINT('',(3.05,2.55,0.05)); #536576=CARTESIAN_POINT('',(3.05,2.55,1.)); #536577=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #536578=CARTESIAN_POINT('',(3.05,2.55,0.05)); #536579=CARTESIAN_POINT('',(-3.05,2.55,1.)); #536580=CARTESIAN_POINT('Origin',(3.05,2.55,1.)); #536581=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #536582=CARTESIAN_POINT('',(3.05,-2.55,1.)); #536583=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #536584=CARTESIAN_POINT('Origin',(0.,0.,1.)); #536585=CARTESIAN_POINT('Origin',(-3.05,-2.55,1.)); #536586=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #536587=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #536588=CARTESIAN_POINT('',(-3.05,-2.55,1.)); #536589=CARTESIAN_POINT('Origin',(3.05,-2.55,1.)); #536590=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #536591=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #536592=CARTESIAN_POINT('Origin',(3.051,2.155,0.)); #536593=CARTESIAN_POINT('',(3.051,2.155,0.)); #536594=CARTESIAN_POINT('',(3.05,2.155,0.)); #536595=CARTESIAN_POINT('',(3.051,2.155,0.)); #536596=CARTESIAN_POINT('',(3.05,2.155,0.19)); #536597=CARTESIAN_POINT('',(3.05,2.155,0.)); #536598=CARTESIAN_POINT('',(3.051,2.155,0.19)); #536599=CARTESIAN_POINT('',(3.051,2.155,0.19)); #536600=CARTESIAN_POINT('',(3.051,2.155,0.)); #536601=CARTESIAN_POINT('Origin',(3.051,1.655,0.)); #536602=CARTESIAN_POINT('',(3.051,1.655,0.)); #536603=CARTESIAN_POINT('',(3.051,1.655,0.)); #536604=CARTESIAN_POINT('',(3.051,1.655,0.19)); #536605=CARTESIAN_POINT('',(3.051,1.655,0.19)); #536606=CARTESIAN_POINT('',(3.051,1.655,0.)); #536607=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #536608=CARTESIAN_POINT('',(3.05,1.655,0.)); #536609=CARTESIAN_POINT('',(2.151,1.655,0.)); #536610=CARTESIAN_POINT('',(3.05,1.655,0.19)); #536611=CARTESIAN_POINT('',(2.151,1.655,0.19)); #536612=CARTESIAN_POINT('',(3.05,1.655,0.)); #536613=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #536614=CARTESIAN_POINT('',(3.05,2.155,0.)); #536615=CARTESIAN_POINT('',(3.05,2.155,0.19)); #536616=CARTESIAN_POINT('Origin',(3.0505,1.905,0.19)); #536617=CARTESIAN_POINT('Origin',(3.0505,1.905,0.)); #536618=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #536619=CARTESIAN_POINT('',(3.05,2.155,0.)); #536620=CARTESIAN_POINT('',(3.05,1.655,0.)); #536621=CARTESIAN_POINT('',(3.05,2.155,0.)); #536622=CARTESIAN_POINT('',(3.05,2.155,0.05)); #536623=CARTESIAN_POINT('',(3.05,2.155,0.)); #536624=CARTESIAN_POINT('',(3.05,1.655,0.05)); #536625=CARTESIAN_POINT('',(3.05,2.155,0.05)); #536626=CARTESIAN_POINT('',(3.05,1.655,0.)); #536627=CARTESIAN_POINT('Origin',(2.4,1.655,0.)); #536628=CARTESIAN_POINT('',(2.4,1.655,0.)); #536629=CARTESIAN_POINT('',(2.151,1.655,0.)); #536630=CARTESIAN_POINT('',(2.4,1.655,0.05)); #536631=CARTESIAN_POINT('',(2.151,1.655,0.05)); #536632=CARTESIAN_POINT('',(2.4,1.655,0.)); #536633=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #536634=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #536635=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #536636=CARTESIAN_POINT('',(2.151,1.88266169209631,0.05)); #536637=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #536638=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #536639=CARTESIAN_POINT('Origin',(2.151,1.92733830790369,0.)); #536640=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #536641=CARTESIAN_POINT('',(2.151,2.155,0.)); #536642=CARTESIAN_POINT('',(2.151,1.92733830790369,0.05)); #536643=CARTESIAN_POINT('',(2.151,2.155,0.05)); #536644=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #536645=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #536646=CARTESIAN_POINT('',(2.4,2.155,0.)); #536647=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #536648=CARTESIAN_POINT('',(2.4,2.155,0.05)); #536649=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #536650=CARTESIAN_POINT('',(2.4,2.155,0.)); #536651=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #536652=CARTESIAN_POINT('',(3.051,2.155,0.)); #536653=CARTESIAN_POINT('',(3.051,2.155,0.05)); #536654=CARTESIAN_POINT('Origin',(2.6005,1.905,0.05)); #536655=CARTESIAN_POINT('Origin',(2.6005,1.905,0.)); #536656=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #536657=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536658=CARTESIAN_POINT('',(-3.05,1.655,0.)); #536659=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536660=CARTESIAN_POINT('',(-3.05,1.655,0.05)); #536661=CARTESIAN_POINT('',(-3.05,1.655,0.)); #536662=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #536663=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #536664=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536665=CARTESIAN_POINT('Origin',(-2.4,1.655,0.)); #536666=CARTESIAN_POINT('',(-2.4,1.655,0.)); #536667=CARTESIAN_POINT('',(-2.151,1.655,0.)); #536668=CARTESIAN_POINT('',(-2.4,1.655,0.05)); #536669=CARTESIAN_POINT('',(-2.4,1.655,0.)); #536670=CARTESIAN_POINT('',(-2.151,1.655,0.05)); #536671=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #536672=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #536673=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #536674=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.05)); #536675=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #536676=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #536677=CARTESIAN_POINT('Origin',(-2.151,1.92733830790369,0.)); #536678=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #536679=CARTESIAN_POINT('',(-2.151,2.155,0.)); #536680=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.05)); #536681=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #536682=CARTESIAN_POINT('',(-2.151,2.155,0.05)); #536683=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #536684=CARTESIAN_POINT('',(-2.4,2.155,0.)); #536685=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #536686=CARTESIAN_POINT('',(-2.4,2.155,0.05)); #536687=CARTESIAN_POINT('',(-2.4,2.155,0.)); #536688=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #536689=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #536690=CARTESIAN_POINT('',(-3.051,2.155,0.)); #536691=CARTESIAN_POINT('',(-3.051,2.155,0.05)); #536692=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.05)); #536693=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.)); #536694=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #536695=CARTESIAN_POINT('',(3.05,0.885,0.)); #536696=CARTESIAN_POINT('',(3.05,0.385,0.)); #536697=CARTESIAN_POINT('',(3.05,0.885,0.)); #536698=CARTESIAN_POINT('',(3.05,0.885,0.05)); #536699=CARTESIAN_POINT('',(3.05,0.885,0.)); #536700=CARTESIAN_POINT('',(3.05,0.385,0.05)); #536701=CARTESIAN_POINT('',(3.05,0.885,0.05)); #536702=CARTESIAN_POINT('',(3.05,0.385,0.)); #536703=CARTESIAN_POINT('Origin',(2.4,0.385,0.)); #536704=CARTESIAN_POINT('',(2.4,0.385,0.)); #536705=CARTESIAN_POINT('',(2.151,0.385,0.)); #536706=CARTESIAN_POINT('',(2.4,0.385,0.05)); #536707=CARTESIAN_POINT('',(2.151,0.385,0.05)); #536708=CARTESIAN_POINT('',(2.4,0.385,0.)); #536709=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #536710=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #536711=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #536712=CARTESIAN_POINT('',(2.151,0.612661692096306,0.05)); #536713=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #536714=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #536715=CARTESIAN_POINT('Origin',(2.151,0.657338307903694,0.)); #536716=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #536717=CARTESIAN_POINT('',(2.151,0.885,0.)); #536718=CARTESIAN_POINT('',(2.151,0.657338307903694,0.05)); #536719=CARTESIAN_POINT('',(2.151,0.885,0.05)); #536720=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #536721=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #536722=CARTESIAN_POINT('',(2.4,0.885,0.)); #536723=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #536724=CARTESIAN_POINT('',(2.4,0.885,0.05)); #536725=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #536726=CARTESIAN_POINT('',(2.4,0.885,0.)); #536727=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #536728=CARTESIAN_POINT('',(3.051,0.885,0.)); #536729=CARTESIAN_POINT('',(3.051,0.885,0.05)); #536730=CARTESIAN_POINT('Origin',(2.6005,0.635,0.05)); #536731=CARTESIAN_POINT('Origin',(2.6005,0.635,0.)); #536732=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #536733=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536734=CARTESIAN_POINT('',(3.05,-0.885,0.)); #536735=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536736=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #536737=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536738=CARTESIAN_POINT('',(3.05,-0.885,0.05)); #536739=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #536740=CARTESIAN_POINT('',(3.05,-0.885,0.)); #536741=CARTESIAN_POINT('Origin',(2.4,-0.885,0.)); #536742=CARTESIAN_POINT('',(2.4,-0.885,0.)); #536743=CARTESIAN_POINT('',(2.151,-0.885,0.)); #536744=CARTESIAN_POINT('',(2.4,-0.885,0.05)); #536745=CARTESIAN_POINT('',(2.151,-0.885,0.05)); #536746=CARTESIAN_POINT('',(2.4,-0.885,0.)); #536747=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #536748=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #536749=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #536750=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.05)); #536751=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #536752=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #536753=CARTESIAN_POINT('Origin',(2.151,-0.612661692096306,0.)); #536754=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #536755=CARTESIAN_POINT('',(2.151,-0.385,0.)); #536756=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.05)); #536757=CARTESIAN_POINT('',(2.151,-0.385,0.05)); #536758=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #536759=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #536760=CARTESIAN_POINT('',(2.4,-0.385,0.)); #536761=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #536762=CARTESIAN_POINT('',(2.4,-0.385,0.05)); #536763=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #536764=CARTESIAN_POINT('',(2.4,-0.385,0.)); #536765=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #536766=CARTESIAN_POINT('',(3.051,-0.385,0.)); #536767=CARTESIAN_POINT('',(3.051,-0.385,0.05)); #536768=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.05)); #536769=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.)); #536770=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #536771=CARTESIAN_POINT('',(3.05,-1.655,0.)); #536772=CARTESIAN_POINT('',(3.05,-2.155,0.)); #536773=CARTESIAN_POINT('',(3.05,-1.655,0.)); #536774=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #536775=CARTESIAN_POINT('',(3.05,-1.655,0.)); #536776=CARTESIAN_POINT('',(3.05,-2.155,0.05)); #536777=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #536778=CARTESIAN_POINT('',(3.05,-2.155,0.)); #536779=CARTESIAN_POINT('Origin',(2.4,-2.155,0.)); #536780=CARTESIAN_POINT('',(2.4,-2.155,0.)); #536781=CARTESIAN_POINT('',(2.151,-2.155,0.)); #536782=CARTESIAN_POINT('',(2.4,-2.155,0.05)); #536783=CARTESIAN_POINT('',(2.151,-2.155,0.05)); #536784=CARTESIAN_POINT('',(2.4,-2.155,0.)); #536785=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #536786=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #536787=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #536788=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.05)); #536789=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #536790=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #536791=CARTESIAN_POINT('Origin',(2.151,-1.88266169209631,0.)); #536792=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #536793=CARTESIAN_POINT('',(2.151,-1.655,0.)); #536794=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.05)); #536795=CARTESIAN_POINT('',(2.151,-1.655,0.05)); #536796=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #536797=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #536798=CARTESIAN_POINT('',(2.4,-1.655,0.)); #536799=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #536800=CARTESIAN_POINT('',(2.4,-1.655,0.05)); #536801=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #536802=CARTESIAN_POINT('',(2.4,-1.655,0.)); #536803=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #536804=CARTESIAN_POINT('',(3.051,-1.655,0.)); #536805=CARTESIAN_POINT('',(3.051,-1.655,0.05)); #536806=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.05)); #536807=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.)); #536808=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #536809=CARTESIAN_POINT('',(-3.05,0.885,0.)); #536810=CARTESIAN_POINT('',(-3.05,0.385,0.)); #536811=CARTESIAN_POINT('',(-3.05,0.885,0.)); #536812=CARTESIAN_POINT('',(-3.05,0.385,0.05)); #536813=CARTESIAN_POINT('',(-3.05,0.385,0.)); #536814=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #536815=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #536816=CARTESIAN_POINT('',(-3.05,0.885,0.)); #536817=CARTESIAN_POINT('Origin',(-2.4,0.385,0.)); #536818=CARTESIAN_POINT('',(-2.4,0.385,0.)); #536819=CARTESIAN_POINT('',(-2.151,0.385,0.)); #536820=CARTESIAN_POINT('',(-2.4,0.385,0.05)); #536821=CARTESIAN_POINT('',(-2.4,0.385,0.)); #536822=CARTESIAN_POINT('',(-2.151,0.385,0.05)); #536823=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #536824=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #536825=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #536826=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.05)); #536827=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #536828=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #536829=CARTESIAN_POINT('Origin',(-2.151,0.657338307903694,0.)); #536830=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #536831=CARTESIAN_POINT('',(-2.151,0.885,0.)); #536832=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.05)); #536833=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #536834=CARTESIAN_POINT('',(-2.151,0.885,0.05)); #536835=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #536836=CARTESIAN_POINT('',(-2.4,0.885,0.)); #536837=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #536838=CARTESIAN_POINT('',(-2.4,0.885,0.05)); #536839=CARTESIAN_POINT('',(-2.4,0.885,0.)); #536840=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #536841=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #536842=CARTESIAN_POINT('',(-3.051,0.885,0.)); #536843=CARTESIAN_POINT('',(-3.051,0.885,0.05)); #536844=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.05)); #536845=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.)); #536846=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #536847=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #536848=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #536849=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #536850=CARTESIAN_POINT('',(-3.05,-0.885,0.05)); #536851=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #536852=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #536853=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #536854=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #536855=CARTESIAN_POINT('Origin',(-2.4,-0.885,0.)); #536856=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #536857=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #536858=CARTESIAN_POINT('',(-2.4,-0.885,0.05)); #536859=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #536860=CARTESIAN_POINT('',(-2.151,-0.885,0.05)); #536861=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #536862=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #536863=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #536864=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.05)); #536865=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #536866=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #536867=CARTESIAN_POINT('Origin',(-2.151,-0.612661692096306,0.)); #536868=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #536869=CARTESIAN_POINT('',(-2.151,-0.385,0.)); #536870=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.05)); #536871=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #536872=CARTESIAN_POINT('',(-2.151,-0.385,0.05)); #536873=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #536874=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #536875=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #536876=CARTESIAN_POINT('',(-2.4,-0.385,0.05)); #536877=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #536878=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #536879=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #536880=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #536881=CARTESIAN_POINT('',(-3.051,-0.385,0.05)); #536882=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.05)); #536883=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.)); #536884=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #536885=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #536886=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #536887=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #536888=CARTESIAN_POINT('',(-3.05,-2.155,0.05)); #536889=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #536890=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #536891=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #536892=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #536893=CARTESIAN_POINT('Origin',(-2.4,-2.155,0.)); #536894=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #536895=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #536896=CARTESIAN_POINT('',(-2.4,-2.155,0.05)); #536897=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #536898=CARTESIAN_POINT('',(-2.151,-2.155,0.05)); #536899=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #536900=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #536901=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #536902=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.05)); #536903=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #536904=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #536905=CARTESIAN_POINT('Origin',(-2.151,-1.88266169209631,0.)); #536906=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #536907=CARTESIAN_POINT('',(-2.151,-1.655,0.)); #536908=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.05)); #536909=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #536910=CARTESIAN_POINT('',(-2.151,-1.655,0.05)); #536911=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #536912=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #536913=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #536914=CARTESIAN_POINT('',(-2.4,-1.655,0.05)); #536915=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #536916=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #536917=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #536918=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #536919=CARTESIAN_POINT('',(-3.051,-1.655,0.05)); #536920=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.05)); #536921=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.)); #536922=CARTESIAN_POINT('Origin',(-3.051,2.155,0.)); #536923=CARTESIAN_POINT('',(-3.051,2.155,0.)); #536924=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536925=CARTESIAN_POINT('',(-3.051,2.155,0.)); #536926=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #536927=CARTESIAN_POINT('',(-3.051,2.155,0.)); #536928=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #536929=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #536930=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536931=CARTESIAN_POINT('Origin',(-3.051,1.655,0.)); #536932=CARTESIAN_POINT('',(-3.051,1.655,0.)); #536933=CARTESIAN_POINT('',(-3.051,1.655,0.)); #536934=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #536935=CARTESIAN_POINT('',(-3.051,1.655,0.)); #536936=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #536937=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #536938=CARTESIAN_POINT('',(-3.05,1.655,0.)); #536939=CARTESIAN_POINT('',(-2.151,1.655,0.)); #536940=CARTESIAN_POINT('',(-3.05,1.655,0.19)); #536941=CARTESIAN_POINT('',(-3.05,1.655,0.)); #536942=CARTESIAN_POINT('',(-2.151,1.655,0.19)); #536943=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #536944=CARTESIAN_POINT('',(-3.05,2.155,0.)); #536945=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #536946=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.19)); #536947=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.)); #536948=CARTESIAN_POINT('Origin',(3.051,0.885,0.)); #536949=CARTESIAN_POINT('',(3.051,0.885,0.)); #536950=CARTESIAN_POINT('',(3.05,0.885,0.)); #536951=CARTESIAN_POINT('',(3.051,0.885,0.)); #536952=CARTESIAN_POINT('',(3.05,0.885,0.19)); #536953=CARTESIAN_POINT('',(3.05,0.885,0.)); #536954=CARTESIAN_POINT('',(3.051,0.885,0.19)); #536955=CARTESIAN_POINT('',(3.051,0.885,0.19)); #536956=CARTESIAN_POINT('',(3.051,0.885,0.)); #536957=CARTESIAN_POINT('Origin',(3.051,0.385,0.)); #536958=CARTESIAN_POINT('',(3.051,0.385,0.)); #536959=CARTESIAN_POINT('',(3.051,0.385,0.)); #536960=CARTESIAN_POINT('',(3.051,0.385,0.19)); #536961=CARTESIAN_POINT('',(3.051,0.385,0.19)); #536962=CARTESIAN_POINT('',(3.051,0.385,0.)); #536963=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #536964=CARTESIAN_POINT('',(3.05,0.385,0.)); #536965=CARTESIAN_POINT('',(2.151,0.385,0.)); #536966=CARTESIAN_POINT('',(3.05,0.385,0.19)); #536967=CARTESIAN_POINT('',(2.151,0.385,0.19)); #536968=CARTESIAN_POINT('',(3.05,0.385,0.)); #536969=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #536970=CARTESIAN_POINT('',(3.05,0.885,0.)); #536971=CARTESIAN_POINT('',(3.05,0.885,0.19)); #536972=CARTESIAN_POINT('Origin',(3.0505,0.635,0.19)); #536973=CARTESIAN_POINT('Origin',(3.0505,0.635,0.)); #536974=CARTESIAN_POINT('Origin',(3.051,-0.385,0.)); #536975=CARTESIAN_POINT('',(3.051,-0.385,0.)); #536976=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536977=CARTESIAN_POINT('',(3.051,-0.385,0.)); #536978=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #536979=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536980=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #536981=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #536982=CARTESIAN_POINT('',(3.051,-0.385,0.)); #536983=CARTESIAN_POINT('Origin',(3.051,-0.885,0.)); #536984=CARTESIAN_POINT('',(3.051,-0.885,0.)); #536985=CARTESIAN_POINT('',(3.051,-0.885,0.)); #536986=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #536987=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #536988=CARTESIAN_POINT('',(3.051,-0.885,0.)); #536989=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #536990=CARTESIAN_POINT('',(3.05,-0.885,0.)); #536991=CARTESIAN_POINT('',(2.151,-0.885,0.)); #536992=CARTESIAN_POINT('',(3.05,-0.885,0.19)); #536993=CARTESIAN_POINT('',(2.151,-0.885,0.19)); #536994=CARTESIAN_POINT('',(3.05,-0.885,0.)); #536995=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #536996=CARTESIAN_POINT('',(3.05,-0.385,0.)); #536997=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #536998=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.19)); #536999=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.)); #537000=CARTESIAN_POINT('Origin',(3.051,-1.655,0.)); #537001=CARTESIAN_POINT('',(3.051,-1.655,0.)); #537002=CARTESIAN_POINT('',(3.05,-1.655,0.)); #537003=CARTESIAN_POINT('',(3.051,-1.655,0.)); #537004=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #537005=CARTESIAN_POINT('',(3.05,-1.655,0.)); #537006=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #537007=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #537008=CARTESIAN_POINT('',(3.051,-1.655,0.)); #537009=CARTESIAN_POINT('Origin',(3.051,-2.155,0.)); #537010=CARTESIAN_POINT('',(3.051,-2.155,0.)); #537011=CARTESIAN_POINT('',(3.051,-2.155,0.)); #537012=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #537013=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #537014=CARTESIAN_POINT('',(3.051,-2.155,0.)); #537015=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #537016=CARTESIAN_POINT('',(3.05,-2.155,0.)); #537017=CARTESIAN_POINT('',(2.151,-2.155,0.)); #537018=CARTESIAN_POINT('',(3.05,-2.155,0.19)); #537019=CARTESIAN_POINT('',(2.151,-2.155,0.19)); #537020=CARTESIAN_POINT('',(3.05,-2.155,0.)); #537021=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #537022=CARTESIAN_POINT('',(3.05,-1.655,0.)); #537023=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #537024=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.19)); #537025=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.)); #537026=CARTESIAN_POINT('Origin',(-3.051,0.885,0.)); #537027=CARTESIAN_POINT('',(-3.051,0.885,0.)); #537028=CARTESIAN_POINT('',(-3.05,0.885,0.)); #537029=CARTESIAN_POINT('',(-3.051,0.885,0.)); #537030=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #537031=CARTESIAN_POINT('',(-3.051,0.885,0.)); #537032=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #537033=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #537034=CARTESIAN_POINT('',(-3.05,0.885,0.)); #537035=CARTESIAN_POINT('Origin',(-3.051,0.385,0.)); #537036=CARTESIAN_POINT('',(-3.051,0.385,0.)); #537037=CARTESIAN_POINT('',(-3.051,0.385,0.)); #537038=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #537039=CARTESIAN_POINT('',(-3.051,0.385,0.)); #537040=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #537041=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #537042=CARTESIAN_POINT('',(-3.05,0.385,0.)); #537043=CARTESIAN_POINT('',(-2.151,0.385,0.)); #537044=CARTESIAN_POINT('',(-3.05,0.385,0.19)); #537045=CARTESIAN_POINT('',(-3.05,0.385,0.)); #537046=CARTESIAN_POINT('',(-2.151,0.385,0.19)); #537047=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #537048=CARTESIAN_POINT('',(-3.05,0.885,0.)); #537049=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #537050=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.19)); #537051=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.)); #537052=CARTESIAN_POINT('Origin',(-3.051,-0.385,0.)); #537053=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #537054=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #537055=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #537056=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #537057=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #537058=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #537059=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #537060=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #537061=CARTESIAN_POINT('Origin',(-3.051,-0.885,0.)); #537062=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #537063=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #537064=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #537065=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #537066=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #537067=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #537068=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #537069=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #537070=CARTESIAN_POINT('',(-3.05,-0.885,0.19)); #537071=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #537072=CARTESIAN_POINT('',(-2.151,-0.885,0.19)); #537073=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #537074=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #537075=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #537076=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.19)); #537077=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.)); #537078=CARTESIAN_POINT('Origin',(-3.051,-1.655,0.)); #537079=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #537080=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #537081=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #537082=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #537083=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #537084=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #537085=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #537086=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #537087=CARTESIAN_POINT('Origin',(-3.051,-2.155,0.)); #537088=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #537089=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #537090=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #537091=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #537092=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #537093=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #537094=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #537095=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #537096=CARTESIAN_POINT('',(-3.05,-2.155,0.19)); #537097=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #537098=CARTESIAN_POINT('',(-2.151,-2.155,0.19)); #537099=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #537100=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #537101=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #537102=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.19)); #537103=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.)); #537104=CARTESIAN_POINT('Origin',(-1.25,-2.205,0.)); #537105=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #537106=CARTESIAN_POINT('',(1.25,-2.205,0.)); #537107=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #537108=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #537109=CARTESIAN_POINT('',(1.25,-2.205,0.)); #537110=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #537111=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #537112=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #537113=CARTESIAN_POINT('Origin',(-1.25,2.205,0.)); #537114=CARTESIAN_POINT('',(-1.25,2.205,0.)); #537115=CARTESIAN_POINT('',(-1.25,2.205,0.)); #537116=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #537117=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #537118=CARTESIAN_POINT('',(-1.25,2.205,0.)); #537119=CARTESIAN_POINT('Origin',(1.25,2.205,0.)); #537120=CARTESIAN_POINT('',(1.25,2.205,0.)); #537121=CARTESIAN_POINT('',(1.25,2.205,0.)); #537122=CARTESIAN_POINT('',(1.25,2.205,0.05)); #537123=CARTESIAN_POINT('',(1.25,2.205,0.05)); #537124=CARTESIAN_POINT('',(1.25,2.205,0.)); #537125=CARTESIAN_POINT('Origin',(1.25,-2.205,0.)); #537126=CARTESIAN_POINT('',(1.25,-2.205,0.)); #537127=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #537128=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #537129=CARTESIAN_POINT('Origin',(0.,0.,0.)); #537130=CARTESIAN_POINT('',(0.,0.,0.)); #537131=CARTESIAN_POINT('',(0.,0.,0.)); #537132=CARTESIAN_POINT('',(0.,0.,0.)); #537133=CARTESIAN_POINT('Origin',(-6.6,3.225,4.575)); #537134=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #537135=CARTESIAN_POINT('',(-6.45,6.15,6.)); #537136=CARTESIAN_POINT('',(-6.45,3.225,6.)); #537137=CARTESIAN_POINT('',(-6.75,6.45,3.15)); #537138=CARTESIAN_POINT('',(-6.45,6.15,6.)); #537139=CARTESIAN_POINT('',(-6.75,-6.45,3.15)); #537140=CARTESIAN_POINT('',(-6.75,3.225,3.15)); #537141=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #537142=CARTESIAN_POINT('Origin',(3.375,6.3,4.575)); #537143=CARTESIAN_POINT('',(6.45,6.15,6.)); #537144=CARTESIAN_POINT('',(3.375,6.15,6.)); #537145=CARTESIAN_POINT('',(6.75,6.45,3.15)); #537146=CARTESIAN_POINT('',(6.45,6.15,6.)); #537147=CARTESIAN_POINT('',(3.375,6.45,3.15)); #537148=CARTESIAN_POINT('Origin',(6.6,-3.225,4.575)); #537149=CARTESIAN_POINT('',(6.45,-6.15,6.)); #537150=CARTESIAN_POINT('',(6.45,-3.225,6.)); #537151=CARTESIAN_POINT('',(6.75,-6.45,3.15)); #537152=CARTESIAN_POINT('',(6.45,-6.15,6.)); #537153=CARTESIAN_POINT('',(6.75,-3.225,3.15)); #537154=CARTESIAN_POINT('Origin',(-3.375,-6.3,4.575)); #537155=CARTESIAN_POINT('',(-3.375,-6.45,3.15)); #537156=CARTESIAN_POINT('',(-3.375,-6.15,6.)); #537157=CARTESIAN_POINT('Origin',(-6.75,-6.45,0.15)); #537158=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #537159=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #537160=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #537161=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #537162=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #537163=CARTESIAN_POINT('Origin',(-6.75,6.45,0.15)); #537164=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #537165=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #537166=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #537167=CARTESIAN_POINT('Origin',(0.,0.,6.)); #537168=CARTESIAN_POINT('Origin',(6.75,6.45,0.15)); #537169=CARTESIAN_POINT('',(6.75,6.45,0.15)); #537170=CARTESIAN_POINT('',(6.75,6.45,0.15)); #537171=CARTESIAN_POINT('',(6.75,6.45,0.15)); #537172=CARTESIAN_POINT('Origin',(6.75,-6.45,0.15)); #537173=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #537174=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #537175=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #537176=CARTESIAN_POINT('',(6.75,2.65,0.)); #537177=CARTESIAN_POINT('',(6.75,-2.65,0.)); #537178=CARTESIAN_POINT('',(6.75,0.,0.)); #537179=CARTESIAN_POINT('',(6.75,2.65,0.15)); #537180=CARTESIAN_POINT('',(6.75,2.65,0.)); #537181=CARTESIAN_POINT('',(6.75,-2.65,0.15)); #537182=CARTESIAN_POINT('',(6.75,0.,0.15)); #537183=CARTESIAN_POINT('',(6.75,-2.65,0.)); #537184=CARTESIAN_POINT('Origin',(4.4,-2.65,0.)); #537185=CARTESIAN_POINT('',(4.4,-2.65,0.)); #537186=CARTESIAN_POINT('',(4.4,-2.65,0.)); #537187=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #537188=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #537189=CARTESIAN_POINT('',(4.4,-2.65,0.)); #537190=CARTESIAN_POINT('Origin',(4.4,2.65,0.)); #537191=CARTESIAN_POINT('',(4.4,2.65,0.)); #537192=CARTESIAN_POINT('',(4.4,2.65,0.)); #537193=CARTESIAN_POINT('',(4.4,2.65,0.15)); #537194=CARTESIAN_POINT('',(4.4,2.65,0.15)); #537195=CARTESIAN_POINT('',(4.4,2.65,0.)); #537196=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #537197=CARTESIAN_POINT('',(6.9,2.65,0.)); #537198=CARTESIAN_POINT('',(6.9,2.65,0.15)); #537199=CARTESIAN_POINT('Origin',(5.575,0.,0.15)); #537200=CARTESIAN_POINT('Origin',(5.575,0.,0.)); #537201=CARTESIAN_POINT('Origin',(6.9,2.65,0.)); #537202=CARTESIAN_POINT('',(6.9,2.65,0.)); #537203=CARTESIAN_POINT('',(6.75,2.65,0.)); #537204=CARTESIAN_POINT('',(6.9,2.65,0.)); #537205=CARTESIAN_POINT('',(6.75,2.65,3.15)); #537206=CARTESIAN_POINT('',(6.75,2.65,0.)); #537207=CARTESIAN_POINT('',(6.9,2.65,3.15)); #537208=CARTESIAN_POINT('',(6.9,2.65,3.15)); #537209=CARTESIAN_POINT('',(6.9,2.65,0.)); #537210=CARTESIAN_POINT('Origin',(6.9,-2.65,0.)); #537211=CARTESIAN_POINT('',(6.9,-2.65,0.)); #537212=CARTESIAN_POINT('',(6.9,-2.65,0.)); #537213=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #537214=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #537215=CARTESIAN_POINT('',(6.9,-2.65,0.)); #537216=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #537217=CARTESIAN_POINT('',(6.75,-2.65,0.)); #537218=CARTESIAN_POINT('',(4.4,-2.65,0.)); #537219=CARTESIAN_POINT('',(6.75,-2.65,3.15)); #537220=CARTESIAN_POINT('',(4.4,-2.65,3.15)); #537221=CARTESIAN_POINT('',(6.75,-2.65,0.)); #537222=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #537223=CARTESIAN_POINT('',(6.75,0.,0.)); #537224=CARTESIAN_POINT('',(6.75,0.,3.15)); #537225=CARTESIAN_POINT('Origin',(6.825,0.,3.15)); #537226=CARTESIAN_POINT('Origin',(6.825,0.,0.)); #537227=CARTESIAN_POINT('Origin',(-4.4,2.65,0.)); #537228=CARTESIAN_POINT('',(-6.75,2.65,0.)); #537229=CARTESIAN_POINT('',(-4.4,2.65,0.)); #537230=CARTESIAN_POINT('',(-6.9,2.65,0.)); #537231=CARTESIAN_POINT('',(-6.75,2.65,0.15)); #537232=CARTESIAN_POINT('',(-6.75,2.65,0.)); #537233=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #537234=CARTESIAN_POINT('',(-6.9,2.65,0.15)); #537235=CARTESIAN_POINT('',(-4.4,2.65,0.)); #537236=CARTESIAN_POINT('Origin',(-4.4,-2.65,0.)); #537237=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #537238=CARTESIAN_POINT('',(-4.4,2.65,0.)); #537239=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #537240=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #537241=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #537242=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #537243=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #537244=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #537245=CARTESIAN_POINT('',(-6.75,-2.65,0.15)); #537246=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #537247=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #537248=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #537249=CARTESIAN_POINT('',(-6.75,0.,0.)); #537250=CARTESIAN_POINT('',(-6.75,0.,0.15)); #537251=CARTESIAN_POINT('Origin',(-5.575,0.,0.15)); #537252=CARTESIAN_POINT('Origin',(-5.575,0.,0.)); #537253=CARTESIAN_POINT('Origin',(-6.9,-2.65,0.)); #537254=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #537255=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #537256=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #537257=CARTESIAN_POINT('',(-6.75,-2.65,3.15)); #537258=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #537259=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #537260=CARTESIAN_POINT('',(-4.4,-2.65,3.15)); #537261=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #537262=CARTESIAN_POINT('Origin',(-6.9,2.65,0.)); #537263=CARTESIAN_POINT('',(-6.9,2.65,0.)); #537264=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #537265=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #537266=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #537267=CARTESIAN_POINT('',(-6.9,2.65,0.)); #537268=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #537269=CARTESIAN_POINT('',(-6.75,2.65,0.)); #537270=CARTESIAN_POINT('',(-6.9,2.65,0.)); #537271=CARTESIAN_POINT('',(-6.75,2.65,3.15)); #537272=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #537273=CARTESIAN_POINT('',(-6.75,2.65,0.)); #537274=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #537275=CARTESIAN_POINT('',(-6.75,0.,0.)); #537276=CARTESIAN_POINT('',(-6.75,0.,3.15)); #537277=CARTESIAN_POINT('Origin',(-6.825,0.,3.15)); #537278=CARTESIAN_POINT('Origin',(-6.825,0.,0.)); #537279=CARTESIAN_POINT('',(0.,0.,0.)); #537280=CARTESIAN_POINT('',(0.,0.,0.)); #537281=CARTESIAN_POINT('',(0.,0.,0.)); #537282=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #537283=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #537284=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #537285=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,15.674051007151)); #537286=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #537287=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #537288=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #537289=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,15.674051007151)); #537290=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #537291=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #537292=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #537293=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #537294=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #537295=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #537296=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #537297=CARTESIAN_POINT('',(3.50077447871504,-0.00250521355199761,17.7456121063289)); #537298=CARTESIAN_POINT('',(3.50119743520703,-0.00241438678840638,17.8774899079731)); #537299=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #537300=CARTESIAN_POINT('',(-3.0801133874021,-1.66219815155855,18.311560189113)); #537301=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #537302=CARTESIAN_POINT('Ctrl Pts',(3.49403446034205,0.895668273932843, 17.9081585404955)); #537303=CARTESIAN_POINT('Ctrl Pts',(3.13825198486631,1.79868410977275,17.9388271730178)); #537304=CARTESIAN_POINT('Ctrl Pts',(2.51415673850413,2.44152802441589,17.9694958055401)); #537305=CARTESIAN_POINT('Ctrl Pts',(1.7407216637425,3.23819813860154,18.0075031389175)); #537306=CARTESIAN_POINT('Ctrl Pts',(0.555201100394608,3.63528580686561, 18.0455104722949)); #537307=CARTESIAN_POINT('Ctrl Pts',(-0.54175844566201,3.46312096360294, 18.0835178056723)); #537308=CARTESIAN_POINT('Ctrl Pts',(-1.63871799171863,3.29095612034027, 18.1215251390498)); #537309=CARTESIAN_POINT('Ctrl Pts',(-2.64711652048399,2.54953876555084, 18.1595324724272)); #537310=CARTESIAN_POINT('Ctrl Pts',(-3.13977797878872,1.55642229447125, 18.1975398058046)); #537311=CARTESIAN_POINT('Ctrl Pts',(-3.63243245118904,0.563319905712549, 18.2355466002407)); #537312=CARTESIAN_POINT('Ctrl Pts',(-3.60936447925496,-0.681474461235813, 18.2735533946768)); #537313=CARTESIAN_POINT('Ctrl Pts',(-3.0801133873574,-1.66219815159538, 18.3115601891129)); #537314=CARTESIAN_POINT('',(-1.75000019073497,-3.03108891304648,18.3115601891129)); #537315=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073496,-3.03108891304648, 18.311560189113)); #537316=CARTESIAN_POINT('Ctrl Pts',(-1.96226300479069,-2.90853892021245, 18.311560189113)); #537317=CARTESIAN_POINT('Ctrl Pts',(-2.16106688685548,-2.76403052385329, 18.311560189113)); #537318=CARTESIAN_POINT('Ctrl Pts',(-2.5623619784978,-2.40237296536939, 18.311560189113)); #537319=CARTESIAN_POINT('Ctrl Pts',(-2.75572061995343,-2.17786563226526, 18.311560189113)); #537320=CARTESIAN_POINT('Ctrl Pts',(-2.97653747665333,-1.84424582051648, 18.311560189113)); #537321=CARTESIAN_POINT('Ctrl Pts',(-3.03041503479378,-1.75429099353694, 18.311560189113)); #537322=CARTESIAN_POINT('Ctrl Pts',(-3.08011338740337,-1.66219815155924, 18.311560189113)); #537323=CARTESIAN_POINT('',(0.0988340980890994,-3.50066939859337,18.3115601891129)); #537324=CARTESIAN_POINT('Ctrl Pts',(0.101088816931864,-3.49853983986699, 18.311560189113)); #537325=CARTESIAN_POINT('Ctrl Pts',(-0.0958496389313897,-3.50423030331309, 18.311560189113)); #537326=CARTESIAN_POINT('Ctrl Pts',(-0.29337644191164,-3.49329516625616, 18.311560189113)); #537327=CARTESIAN_POINT('Ctrl Pts',(-0.933536000759611,-3.40289951578085, 18.311560189113)); #537328=CARTESIAN_POINT('Ctrl Pts',(-1.36152796735106,-3.25537345578988, 18.311560189113)); #537329=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.311560189113)); #537330=CARTESIAN_POINT('Ctrl Pts',(0.0988340980890994,-3.50066939859337, 18.3115601891129)); #537331=CARTESIAN_POINT('Ctrl Pts',(-0.0864533114556529,-3.50758222417586, 18.3052287684602)); #537332=CARTESIAN_POINT('Ctrl Pts',(-0.271958224637835,-3.49949651112486, 18.2988973478074)); #537333=CARTESIAN_POINT('Ctrl Pts',(-0.455372714609442,-3.47555859123774, 18.2925659271546)); #537334=CARTESIAN_POINT('Ctrl Pts',(-1.55747025421494,-3.33172086819332, 18.254521805751)); #537335=CARTESIAN_POINT('Ctrl Pts',(-2.5840921198942,-2.61553205943515, 18.2164776843474)); #537336=CARTESIAN_POINT('Ctrl Pts',(-3.10147307901249,-1.63188810682342, 18.1784335629438)); #537337=CARTESIAN_POINT('Ctrl Pts',(-3.61885403813079,-0.64824415421168, 18.1403894415403)); #537338=CARTESIAN_POINT('Ctrl Pts',(-3.62699409068811,0.602854942253622, 18.1023453201367)); #537339=CARTESIAN_POINT('Ctrl Pts',(-3.12204230325031,1.59262257477227, 18.0643011987331)); #537340=CARTESIAN_POINT('Ctrl Pts',(-2.6170905158125,2.58239020729092,18.0262570773296)); #537341=CARTESIAN_POINT('Ctrl Pts',(-1.59904688837957,3.31082637586291, 17.988212955926)); #537342=CARTESIAN_POINT('Ctrl Pts',(-0.499084890223093,3.46913681920486, 17.9501688345224)); #537343=CARTESIAN_POINT('Ctrl Pts',(0.600877107933383,3.62744726254681, 17.9121247131189)); #537344=CARTESIAN_POINT('Ctrl Pts',(1.7827574768134,3.21563198065872,17.8740805917153)); #537345=CARTESIAN_POINT('Ctrl Pts',(2.54632887788783,2.40823861177409,17.8360364703117)); #537346=CARTESIAN_POINT('Ctrl Pts',(3.15128838061191,1.76856000378031,17.8058950156508)); #537347=CARTESIAN_POINT('Ctrl Pts',(3.49367463709319,0.880576361854126, 17.7757535609898)); #537348=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #537349=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #537350=CARTESIAN_POINT('',(3.49669267738282,0.00186730564810401,16.9956121063289)); #537351=CARTESIAN_POINT('',(3.49632362596931,0.00162994858308695,17.1274899079731)); #537352=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #537353=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #537354=CARTESIAN_POINT('Ctrl Pts',(3.49830622939087,0.431300343431323, 17.1421072068536)); #537355=CARTESIAN_POINT('Ctrl Pts',(3.42427347066553,0.860311984961587, 17.156724505734)); #537356=CARTESIAN_POINT('Ctrl Pts',(3.27091914919329,1.25879592451337,17.1713418046145)); #537357=CARTESIAN_POINT('Ctrl Pts',(2.87217319286249,2.29491840240391,17.2093491379919)); #537358=CARTESIAN_POINT('Ctrl Pts',(1.93714698036931,3.12464802480692,17.2473564713693)); #537359=CARTESIAN_POINT('Ctrl Pts',(0.860964450354169,3.3973780583869,17.2853638047467)); #537360=CARTESIAN_POINT('Ctrl Pts',(-0.21521807966097,3.67010809196688, 17.3233711381241)); #537361=CARTESIAN_POINT('Ctrl Pts',(-1.43255692719809,3.38583853672384, 17.3613784715015)); #537362=CARTESIAN_POINT('Ctrl Pts',(-2.27663672121424,2.6646640762726,17.399385804879)); #537363=CARTESIAN_POINT('Ctrl Pts',(-3.1207165152304,1.94348961582137,17.4373931382564)); #537364=CARTESIAN_POINT('Ctrl Pts',(-3.59153725572559,0.785410250161928, 17.4754004716338)); #537365=CARTESIAN_POINT('Ctrl Pts',(-3.49013086260879,-0.320135945910613, 17.5134078050112)); #537366=CARTESIAN_POINT('Ctrl Pts',(-3.38872446949199,-1.42568214198316, 17.5514151383886)); #537367=CARTESIAN_POINT('Ctrl Pts',(-2.71509094276319,-2.4786951684688, 17.589422471766)); #537368=CARTESIAN_POINT('Ctrl Pts',(-1.75391206409369,-3.03431209934008, 17.6274298051434)); #537369=CARTESIAN_POINT('Ctrl Pts',(-0.792733185424188,-3.58992903021136, 17.6654371385208)); #537370=CARTESIAN_POINT('Ctrl Pts',(0.455991045186007,-3.64814986546828, 17.7034444718982)); #537371=CARTESIAN_POINT('Ctrl Pts',(1.4646157787945,-3.18413970130658,17.7414518052757)); #537372=CARTESIAN_POINT('Ctrl Pts',(2.47324051240299,-2.72012953714488, 17.7794591386531)); #537373=CARTESIAN_POINT('Ctrl Pts',(3.24176574900977,-1.73388837356456, 17.8174664720305)); #537374=CARTESIAN_POINT('Ctrl Pts',(3.44535357422546,-0.642641511720778, 17.8554738054079)); #537375=CARTESIAN_POINT('Ctrl Pts',(3.48466362347724,-0.431936535431168, 17.862812506263)); #537376=CARTESIAN_POINT('Ctrl Pts',(3.50291146434505,-0.217316697329021, 17.870151207118)); #537377=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #537378=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #537379=CARTESIAN_POINT('Ctrl Pts',(3.50263595762818,-0.23403681929203, 17.7377094395862)); #537380=CARTESIAN_POINT('Ctrl Pts',(3.48144934994456,-0.465231449692026, 17.7298067728436)); #537381=CARTESIAN_POINT('Ctrl Pts',(3.43590414231161,-0.691525539548846, 17.721904106101)); #537382=CARTESIAN_POINT('Ctrl Pts',(3.21664557239211,-1.78092486610598, 17.6838599846974)); #537383=CARTESIAN_POINT('Ctrl Pts',(2.43286599928426,-2.75675206333908, 17.6458158632939)); #537384=CARTESIAN_POINT('Ctrl Pts',(1.41639100543108,-3.2058528989913,17.6077717418903)); #537385=CARTESIAN_POINT('Ctrl Pts',(0.399916011577904,-3.65495373464352, 17.5697276204867)); #537386=CARTESIAN_POINT('Ctrl Pts',(-0.849254403020602,-3.57732820871485, 17.5316834990831)); #537387=CARTESIAN_POINT('Ctrl Pts',(-1.80231884469063,-3.00586645702327, 17.4936393776796)); #537388=CARTESIAN_POINT('Ctrl Pts',(-2.75538328636067,-2.4344047053317, 17.455595256276)); #537389=CARTESIAN_POINT('Ctrl Pts',(-3.41234175510223,-1.36910672787724, 17.4175511348724)); #537390=CARTESIAN_POINT('Ctrl Pts',(-3.49507433654449,-0.260931130896027, 17.3795070134689)); #537391=CARTESIAN_POINT('Ctrl Pts',(-3.57780691798675,0.847244466085184, 17.3414628920653)); #537392=CARTESIAN_POINT('Ctrl Pts',(-3.08631361212968,1.99829768259314, 17.3034187706617)); #537393=CARTESIAN_POINT('Ctrl Pts',(-2.22866560310931,2.7049360104527,17.2653746492581)); #537394=CARTESIAN_POINT('Ctrl Pts',(-1.37101759408895,3.41157433831226, 17.2273305278546)); #537395=CARTESIAN_POINT('Ctrl Pts',(-0.14721488190529,3.67379777752345, 17.189286406451)); #537396=CARTESIAN_POINT('Ctrl Pts',(0.9246735352013,3.3806159484567,17.1512422850474)); #537397=CARTESIAN_POINT('Ctrl Pts',(1.9965619523079,3.08743411938994,17.1131981636439)); #537398=CARTESIAN_POINT('Ctrl Pts',(2.91653607433742,2.23884702204523,17.0751540422403)); #537399=CARTESIAN_POINT('Ctrl Pts',(3.29512922508106,1.19405986672491,17.0371099208367)); #537400=CARTESIAN_POINT('Ctrl Pts',(3.43278332917453,0.814181785065222, 17.0232773160008)); #537401=CARTESIAN_POINT('Ctrl Pts',(3.49886664345197,0.408365974050336, 17.0094447111648)); #537402=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #537403=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #537404=CARTESIAN_POINT('',(3.50378688793346,-0.00181106164791798,16.2456121063289)); #537405=CARTESIAN_POINT('',(3.50458162263321,-0.000783563652514622,16.3774899079731)); #537406=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #537407=CARTESIAN_POINT('',(1.83658619856034,-2.97942115744983,16.124051007151)); #537408=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #537409=CARTESIAN_POINT('Ctrl Pts',(3.50410870908636,-0.0740965350522147, 16.2431358613398)); #537410=CARTESIAN_POINT('Ctrl Pts',(3.5020585515028,-0.146350152014978, 16.2406596163508)); #537411=CARTESIAN_POINT('Ctrl Pts',(3.4975778159998,-0.218436865819315, 16.2381833713617)); #537412=CARTESIAN_POINT('Ctrl Pts',(3.42873743622841,-1.32595074416319, 16.2001392499581)); #537413=CARTESIAN_POINT('Ctrl Pts',(2.78618041601978,-2.39406859304226, 16.1620951285546)); #537414=CARTESIAN_POINT('Ctrl Pts',(1.83658619851954,-2.97942115747668, 16.124051007151)); #537415=CARTESIAN_POINT('',(-1.83658657998938,-2.97942115747661,16.124051007151)); #537416=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #537417=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #537418=CARTESIAN_POINT('Ctrl Pts',(-1.6609084695426,-3.09154162917814, 16.1310819392421)); #537419=CARTESIAN_POINT('Ctrl Pts',(-1.47707857230228,-3.18534506960692, 16.1381128713333)); #537420=CARTESIAN_POINT('Ctrl Pts',(-1.28603104466333,-3.26080257841467, 16.1451438034244)); #537421=CARTESIAN_POINT('Ctrl Pts',(-0.253279344067756,-3.66870563535021, 16.1831511368019)); #537422=CARTESIAN_POINT('Ctrl Pts',(0.990385494320833,-3.54050504188829, 16.2211584701793)); #537423=CARTESIAN_POINT('Ctrl Pts',(1.91932965035895,-2.93227166507413, 16.2591658035567)); #537424=CARTESIAN_POINT('Ctrl Pts',(2.84827380639707,-2.32403828825998, 16.2971731369341)); #537425=CARTESIAN_POINT('Ctrl Pts',(3.46249728008472,-1.23577212809358, 16.3351804703115)); #537426=CARTESIAN_POINT('Ctrl Pts',(3.50249453760997,-0.126417389765751, 16.3731878036889)); #537427=CARTESIAN_POINT('Ctrl Pts',(3.50400365315571,-0.0845609079731615, 16.3746218384503)); #537428=CARTESIAN_POINT('Ctrl Pts',(3.50469530670144,-0.04267440471726, 16.3760558732117)); #537429=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #537430=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #537431=CARTESIAN_POINT('',(3.1385813749323,0.00167606689432474,16.7886609023379)); #537432=CARTESIAN_POINT('',(3.14566237948984,-0.000703315520355346,16.5844411119641)); #537433=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #537434=CARTESIAN_POINT('',(-2.37141664527386,2.06051459651752,16.124051007151)); #537435=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651752, 16.124051007151)); #537436=CARTESIAN_POINT('Ctrl Pts',(-3.02750405016273,1.30543308903922, 16.1620583405284)); #537437=CARTESIAN_POINT('Ctrl Pts',(-3.29207572160733,0.219682551835687, 16.2000656739058)); #537438=CARTESIAN_POINT('Ctrl Pts',(-3.0555262806193,-0.746856184112077, 16.2380730072832)); #537439=CARTESIAN_POINT('Ctrl Pts',(-2.81897683963126,-1.71339492005984, 16.2760803406606)); #537440=CARTESIAN_POINT('Ctrl Pts',(-2.08130628621058,-2.56072185475183, 16.314087674038)); #537441=CARTESIAN_POINT('Ctrl Pts',(-1.15432311335172,-2.92684981147065, 16.3520950074155)); #537442=CARTESIAN_POINT('Ctrl Pts',(-0.227339940492851,-3.29297776818946, 16.3901023407929)); #537443=CARTESIAN_POINT('Ctrl Pts',(0.888955851804198,-3.1779067469351, 16.4281096741703)); #537444=CARTESIAN_POINT('Ctrl Pts',(1.72276285563321,-2.63196515695495, 16.4661170075477)); #537445=CARTESIAN_POINT('Ctrl Pts',(2.55656985946223,-2.0860235669748,16.5041243409251)); #537446=CARTESIAN_POINT('Ctrl Pts',(3.10788807482321,-1.10921140826887, 16.5421316743025)); #537447=CARTESIAN_POINT('Ctrl Pts',(3.14378904178848,-0.113470443070646, 16.5801390076799)); #537448=CARTESIAN_POINT('Ctrl Pts',(3.14514360234355,-0.0759006629633598, 16.5815730424413)); #537449=CARTESIAN_POINT('Ctrl Pts',(3.14576442068543,-0.0383039360194823, 16.5830070772027)); #537450=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #537451=CARTESIAN_POINT('',(2.3709648013008,2.06300092528248,16.124051007151)); #537452=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #537453=CARTESIAN_POINT('Ctrl Pts',(2.3709648013008,2.06300092528248,16.124051007151)); #537454=CARTESIAN_POINT('Ctrl Pts',(2.24964783498891,2.20508873367577,16.1311555152945)); #537455=CARTESIAN_POINT('Ctrl Pts',(2.11578217948704,2.33594270487341,16.1382600234379)); #537456=CARTESIAN_POINT('Ctrl Pts',(1.97061002675178,2.45270010364637,16.1453645315814)); #537457=CARTESIAN_POINT('Ctrl Pts',(1.19322376840033,3.07792743310866,16.183408652985)); #537458=CARTESIAN_POINT('Ctrl Pts',(0.0916209707530859,3.29893214321143, 16.2214527743885)); #537459=CARTESIAN_POINT('Ctrl Pts',(-0.867265180916358,3.02375996935802, 16.2594968957921)); #537460=CARTESIAN_POINT('Ctrl Pts',(-1.8261513325858,2.74858779550461,16.2975410171957)); #537461=CARTESIAN_POINT('Ctrl Pts',(-2.64232083827745,1.97723873769502, 16.3355851385993)); #537462=CARTESIAN_POINT('Ctrl Pts',(-2.97055860389092,1.0354632560108,16.3736292600028)); #537463=CARTESIAN_POINT('Ctrl Pts',(-3.2987963695044,0.0936877743265825, 16.4116733814064)); #537464=CARTESIAN_POINT('Ctrl Pts',(-3.1391023950397,-1.01851413123227, 16.44971750281)); #537465=CARTESIAN_POINT('Ctrl Pts',(-2.55893144065064,-1.82991702684246, 16.4877616242135)); #537466=CARTESIAN_POINT('Ctrl Pts',(-1.97876048626159,-2.64131992245265, 16.5258057456171)); #537467=CARTESIAN_POINT('Ctrl Pts',(-0.978112551948179,-3.15192380811417, 16.5638498670207)); #537468=CARTESIAN_POINT('Ctrl Pts',(0.0193302946311844,-3.14577109118591, 16.6018939884243)); #537469=CARTESIAN_POINT('Ctrl Pts',(1.01677314121055,-3.13961837425765, 16.6399381098278)); #537470=CARTESIAN_POINT('Ctrl Pts',(2.01101090005587,-2.6167090547396,16.6779822312314)); #537471=CARTESIAN_POINT('Ctrl Pts',(2.58118779056774,-1.79830819784774, 16.716026352635)); #537472=CARTESIAN_POINT('Ctrl Pts',(2.94405192625548,-1.27747277900857, 16.7402378692026)); #537473=CARTESIAN_POINT('Ctrl Pts',(3.1351659344896,-0.636959471933993, 16.7644493857702)); #537474=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #537475=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #537476=CARTESIAN_POINT('',(3.14224514149666,-0.00224864387512761,17.5386609023379)); #537477=CARTESIAN_POINT('',(3.13825011965571,0.00146301858357948,17.3344411119642)); #537478=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #537479=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #537480=CARTESIAN_POINT('Ctrl Pts',(3.14305994388805,0.95825441595745,16.6210144105801)); #537481=CARTESIAN_POINT('Ctrl Pts',(2.67027894815754,1.9197446812211,16.6575877091962)); #537482=CARTESIAN_POINT('Ctrl Pts',(1.90784925334683,2.50134262618629,16.6941610078122)); #537483=CARTESIAN_POINT('Ctrl Pts',(1.11552477937034,3.10574495855448,16.7321683411896)); #537484=CARTESIAN_POINT('Ctrl Pts',(0.0103921128477903,3.29987985160032, 16.770175674567)); #537485=CARTESIAN_POINT('Ctrl Pts',(-0.940532194943093,3.0019209868773, 16.8081830079444)); #537486=CARTESIAN_POINT('Ctrl Pts',(-1.89145650273398,2.70396212215429, 16.8461903413218)); #537487=CARTESIAN_POINT('Ctrl Pts',(-2.6881724517932,1.91390949966241,16.8841976746992)); #537488=CARTESIAN_POINT('Ctrl Pts',(-2.99401171356589,0.965515570849051, 16.9222050080766)); #537489=CARTESIAN_POINT('Ctrl Pts',(-3.29985097533857,0.0171216420356902, 16.960212341454)); #537490=CARTESIAN_POINT('Ctrl Pts',(-3.11481354982473,-1.08961359309916, 16.9982196748314)); #537491=CARTESIAN_POINT('Ctrl Pts',(-2.51708896090651,-1.88695442741115, 17.0362270082088)); #537492=CARTESIAN_POINT('Ctrl Pts',(-1.9193643719883,-2.68429526172315, 17.0742343415862)); #537493=CARTESIAN_POINT('Ctrl Pts',(-0.908952619665728,-3.17224169521228, 17.1122416749637)); #537494=CARTESIAN_POINT('Ctrl Pts',(0.0871669527012596,-3.14462681073701, 17.1502490083411)); #537495=CARTESIAN_POINT('Ctrl Pts',(1.08328652506825,-3.11701192626175, 17.1882563417185)); #537496=CARTESIAN_POINT('Ctrl Pts',(2.06511391747966,-2.57383572382207, 17.2262636750959)); #537497=CARTESIAN_POINT('Ctrl Pts',(2.6177520250619,-1.74461561609168,17.2642710084733)); #537498=CARTESIAN_POINT('Ctrl Pts',(2.95785019170573,-1.23430654671334, 17.2876610429702)); #537499=CARTESIAN_POINT('Ctrl Pts',(3.13540254238041,-0.615664763467291, 17.3110510774672)); #537500=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #537501=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #537502=CARTESIAN_POINT('Ctrl Pts',(3.14053269581932,0.366543464528334, 16.8024935071738)); #537503=CARTESIAN_POINT('Ctrl Pts',(3.08121725697124,0.730797939126566, 16.8163261120097)); #537504=CARTESIAN_POINT('Ctrl Pts',(2.95766089962414,1.07177107839456,16.8301587168457)); #537505=CARTESIAN_POINT('Ctrl Pts',(2.61784109599023,2.0095571034793,16.8682028382493)); #537506=CARTESIAN_POINT('Ctrl Pts',(1.79208546654399,2.77123675938308,16.9062469596528)); #537507=CARTESIAN_POINT('Ctrl Pts',(0.829973736040782,3.03439258084156, 16.9442910810564)); #537508=CARTESIAN_POINT('Ctrl Pts',(-0.132137994462421,3.29754840230003, 16.98233520246)); #537509=CARTESIAN_POINT('Ctrl Pts',(-1.23060582602259,3.0621803893132,17.0203793238635)); #537510=CARTESIAN_POINT('Ctrl Pts',(-2.00041842680485,2.42791192105972, 17.0584234452671)); #537511=CARTESIAN_POINT('Ctrl Pts',(-2.77023102758712,1.79364345280623, 17.0964675666707)); #537512=CARTESIAN_POINT('Ctrl Pts',(-3.21138839759148,0.760474529286069, 17.1345116880743)); #537513=CARTESIAN_POINT('Ctrl Pts',(-3.13712881438293,-0.234208055484309, 17.1725558094778)); #537514=CARTESIAN_POINT('Ctrl Pts',(-3.06286923117438,-1.22889064025469, 17.2105999308814)); #537515=CARTESIAN_POINT('Ctrl Pts',(-2.47319269475294,-2.18508688627528, 17.248644052285)); #537516=CARTESIAN_POINT('Ctrl Pts',(-1.61773566711622,-2.69802279085432, 17.2866881736885)); #537517=CARTESIAN_POINT('Ctrl Pts',(-0.76227863947951,-3.21095869543337, 17.3247322950921)); #537518=CARTESIAN_POINT('Ctrl Pts',(0.358958879372473,-3.28063425857086, 17.3627764164957)); #537519=CARTESIAN_POINT('Ctrl Pts',(1.27133231278374,-2.87752776421565, 17.4008205378993)); #537520=CARTESIAN_POINT('Ctrl Pts',(2.18370574619501,-2.47442126986045, 17.4388646593028)); #537521=CARTESIAN_POINT('Ctrl Pts',(2.88721509416557,-1.59853271801255, 17.4769087807064)); #537522=CARTESIAN_POINT('Ctrl Pts',(3.08401845426004,-0.620703445342384, 17.51495290211)); #537523=CARTESIAN_POINT('Ctrl Pts',(3.12489918198555,-0.417585103059615, 17.5228555688526)); #537524=CARTESIAN_POINT('Ctrl Pts',(3.14391597837654,-0.210068105593013, 17.5307582355952)); #537525=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #537526=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #537527=CARTESIAN_POINT('',(3.1384377634285,-7.23539389673E-5,18.2886609023379)); #537528=CARTESIAN_POINT('',(3.14262478120164,-0.00216711906965229,18.0844411119642)); #537529=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #537530=CARTESIAN_POINT('',(3.07169836564184,0.658790089114503,18.313879451585)); #537531=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #537532=CARTESIAN_POINT('Ctrl Pts',(3.13990061928093,0.221238178794897, 18.2970670854202)); #537533=CARTESIAN_POINT('Ctrl Pts',(3.11809265280788,0.442470403512261, 18.3054732685026)); #537534=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #537535=CARTESIAN_POINT('',(1.57077480926503,2.72066210745806,18.313879451585)); #537536=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #537537=CARTESIAN_POINT('Ctrl Pts',(1.91946192737125,2.5193475059565,18.313879451585)); #537538=CARTESIAN_POINT('Ctrl Pts',(2.22621839667792,2.25286428343241,18.313879451585)); #537539=CARTESIAN_POINT('Ctrl Pts',(2.72762914395201,1.61195245191927,18.313879451585)); #537540=CARTESIAN_POINT('Ctrl Pts',(2.91494274079418,1.24155555674097,18.313879451585)); #537541=CARTESIAN_POINT('Ctrl Pts',(3.04289427873002,0.783745047518918, 18.313879451585)); #537542=CARTESIAN_POINT('Ctrl Pts',(3.05826161392635,0.721440774881168, 18.313879451585)); #537543=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114503, 18.313879451585)); #537544=CARTESIAN_POINT('',(-1.0793726629548,2.95111452644882,18.3138794515849)); #537545=CARTESIAN_POINT('Ctrl Pts',(-1.08118963110113,2.94963824861871, 18.313879451585)); #537546=CARTESIAN_POINT('Ctrl Pts',(-1.02754735142733,2.96930081787497, 18.313879451585)); #537547=CARTESIAN_POINT('Ctrl Pts',(-0.973321148270182,2.9875175224576, 18.313879451585)); #537548=CARTESIAN_POINT('Ctrl Pts',(-0.559294415507785,3.1141082915632, 18.313879451585)); #537549=CARTESIAN_POINT('Ctrl Pts',(-0.183212301633368,3.15862530286276, 18.313879451585)); #537550=CARTESIAN_POINT('Ctrl Pts',(0.456176878905867,3.11952029700139, 18.313879451585)); #537551=CARTESIAN_POINT('Ctrl Pts',(0.717471597194611,3.06997371906914, 18.313879451585)); #537552=CARTESIAN_POINT('Ctrl Pts',(1.1786979722647,2.92034351957403,18.313879451585)); #537553=CARTESIAN_POINT('Ctrl Pts',(1.38025073969482,2.83066123031154,18.313879451585)); #537554=CARTESIAN_POINT('Ctrl Pts',(1.57077480926532,2.7206621074579,18.313879451585)); #537555=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #537556=CARTESIAN_POINT('Ctrl Pts',(3.13619539729102,0.803939047441443, 18.1151097444865)); #537557=CARTESIAN_POINT('Ctrl Pts',(2.81685012991075,1.6144731614651,18.1457783770088)); #537558=CARTESIAN_POINT('Ctrl Pts',(2.25667115242244,2.19148067576424,18.1764470095312)); #537559=CARTESIAN_POINT('Ctrl Pts',(1.56244687838888,2.90656038925561,18.2144543429086)); #537560=CARTESIAN_POINT('Ctrl Pts',(0.498340556735892,3.26298060760841, 18.252461676286)); #537561=CARTESIAN_POINT('Ctrl Pts',(-0.486274660953809,3.10844790379356, 18.2904690096635)); #537562=CARTESIAN_POINT('Ctrl Pts',(-0.688431063594321,3.076720001429,18.2982724903039)); #537563=CARTESIAN_POINT('Ctrl Pts',(-0.887236574143348,3.0234532347054, 18.3060759709444)); #537564=CARTESIAN_POINT('Ctrl Pts',(-1.0793726629548,2.95111452644882,18.3138794515849)); #537565=CARTESIAN_POINT('Ctrl Pts',(1.83658670077403,-2.97942197226418, 16.1240515597625)); #537566=CARTESIAN_POINT('Ctrl Pts',(2.78618117796146,-2.39406924775238, 16.162095681166)); #537567=CARTESIAN_POINT('Ctrl Pts',(4.07129556982109,-0.257832965794138, 16.2381839239732)); #537568=CARTESIAN_POINT('Ctrl Pts',(2.55666637361539,3.18289769857429,16.3523162881839)); #537569=CARTESIAN_POINT('Ctrl Pts',(-1.12522076442065,3.92156176270944, 16.4664486523946)); #537570=CARTESIAN_POINT('Ctrl Pts',(-3.85310418290991,1.34348173154855, 16.5805810166053)); #537571=CARTESIAN_POINT('Ctrl Pts',(-3.31935899647865,-2.37383080589438, 16.694713380816)); #537572=CARTESIAN_POINT('Ctrl Pts',(0.0251066919887215,-4.08042222274951, 16.8088457450267)); #537573=CARTESIAN_POINT('Ctrl Pts',(3.34814765036379,-2.33270235311535, 16.9229781092374)); #537574=CARTESIAN_POINT('Ctrl Pts',(3.83651124554415,1.39026030489733,17.0371104734482)); #537575=CARTESIAN_POINT('Ctrl Pts',(1.07658812469478,3.93602229312524,17.1512428376589)); #537576=CARTESIAN_POINT('Ctrl Pts',(-2.59482101588262,3.14935176035956, 17.2653752018696)); #537577=CARTESIAN_POINT('Ctrl Pts',(-4.06930133668239,-0.303808833505861, 17.3795075660803)); #537578=CARTESIAN_POINT('Ctrl Pts',(-2.09842539147896,-3.49970363985598, 17.493639930291)); #537579=CARTESIAN_POINT('Ctrl Pts',(1.649086877155,-3.73258028132716,17.6077722945018)); #537580=CARTESIAN_POINT('Ctrl Pts',(4.00042623950327,-0.805097889044338, 17.7219046587124)); #537581=CARTESIAN_POINT('Ctrl Pts',(2.96463865643817,2.80381746553527,17.8360370229232)); #537582=CARTESIAN_POINT('Ctrl Pts',(-0.58100341983456,4.03926364905909, 17.9501693871339)); #537583=CARTESIAN_POINT('Ctrl Pts',(-3.63513513735187,1.85395454572297, 18.0643017513446)); #537584=CARTESIAN_POINT('Ctrl Pts',(-3.61071497300167,-1.89934377009369, 18.1784341155553)); #537585=CARTESIAN_POINT('Ctrl Pts',(-0.530848533707648,-4.04791078394044, 18.292566479766)); #537586=CARTESIAN_POINT('Ctrl Pts',(3.00187207298658,-2.7623703443735,18.4066988439767)); #537587=CARTESIAN_POINT('Ctrl Pts',(3.65610468526816,-0.356751059959043, 18.4827870867839)); #537588=CARTESIAN_POINT('Ctrl Pts',(3.42217927080423,0.733958059681078, 18.5208312081874)); #537589=CARTESIAN_POINT('Ctrl Pts',(1.77388897228651,-2.87770993743322, 16.055067640895)); #537590=CARTESIAN_POINT('Ctrl Pts',(2.69106602507697,-2.31234008787306, 16.0931117622985)); #537591=CARTESIAN_POINT('Ctrl Pts',(3.93230895428557,-0.249031018349284, 16.1692000051057)); #537592=CARTESIAN_POINT('Ctrl Pts',(2.46938643775945,3.07423937338482,16.2833323693164)); #537593=CARTESIAN_POINT('Ctrl Pts',(-1.08680778524515,3.78768679291102, 16.3974647335271)); #537594=CARTESIAN_POINT('Ctrl Pts',(-3.7215662365963,1.29761771432751,16.5115970977378)); #537595=CARTESIAN_POINT('Ctrl Pts',(-3.2060421378441,-2.29279254952663, 16.6257294619485)); #537596=CARTESIAN_POINT('Ctrl Pts',(0.0242495887808903,-3.9411240464249, 16.7398618261592)); #537597=CARTESIAN_POINT('Ctrl Pts',(3.23384798654292,-2.25306814715075, 16.85399419037)); #537598=CARTESIAN_POINT('Ctrl Pts',(3.70553973884159,1.34279935245672,16.9681265545807)); #537599=CARTESIAN_POINT('Ctrl Pts',(1.03983536216244,3.80165366717912,17.0822589187914)); #537600=CARTESIAN_POINT('Ctrl Pts',(-2.506238563116,3.04183863234849,17.1963912830021)); #537601=CARTESIAN_POINT('Ctrl Pts',(-3.93038281365353,-0.293437353748599, 17.3105236472128)); #537602=CARTESIAN_POINT('Ctrl Pts',(-2.0267889804298,-3.38023013733594, 17.4246560114235)); #537603=CARTESIAN_POINT('Ctrl Pts',(1.59279005084482,-3.60515679478756, 17.5387883756343)); #537604=CARTESIAN_POINT('Ctrl Pts',(3.86385897371422,-0.777613314751103, 17.6529207398449)); #537605=CARTESIAN_POINT('Ctrl Pts',(2.86343129055585,2.70810024846106,17.7670531040557)); #537606=CARTESIAN_POINT('Ctrl Pts',(-0.561169028727404,3.90137055142423, 17.8811854682664)); #537607=CARTESIAN_POINT('Ctrl Pts',(-3.51103825690902,1.79066391718758, 17.9953178324771)); #537608=CARTESIAN_POINT('Ctrl Pts',(-3.48745175245323,-1.83450363616655, 18.1094501966878)); #537609=CARTESIAN_POINT('Ctrl Pts',(-0.512726338983489,-3.90972248887035, 18.2235825608985)); #537610=CARTESIAN_POINT('Ctrl Pts',(2.8993936261376,-2.66806805644652,18.3377149251092)); #537611=CARTESIAN_POINT('Ctrl Pts',(3.531291929663,-0.344572229101197,18.4138031679164)); #537612=CARTESIAN_POINT('Ctrl Pts',(3.30535230241676,0.708902069492218, 18.45184728932)); #537613=CARTESIAN_POINT('Ctrl Pts',(1.71119124379899,-2.77599790260225, 15.9860837220275)); #537614=CARTESIAN_POINT('Ctrl Pts',(2.59595087219249,-2.23061092799375, 16.024127843431)); #537615=CARTESIAN_POINT('Ctrl Pts',(3.79332233875004,-0.240229070904429, 16.1002160862382)); #537616=CARTESIAN_POINT('Ctrl Pts',(2.38210650190351,2.96558104819535,16.2143484504489)); #537617=CARTESIAN_POINT('Ctrl Pts',(-1.04839480606966,3.65381182311261, 16.3284808146596)); #537618=CARTESIAN_POINT('Ctrl Pts',(-3.59002829028269,1.25175369710647, 16.4426131788703)); #537619=CARTESIAN_POINT('Ctrl Pts',(-3.09272527920955,-2.21175429315887, 16.556745543081)); #537620=CARTESIAN_POINT('Ctrl Pts',(0.0233924855730612,-3.80182587010029, 16.6708779072918)); #537621=CARTESIAN_POINT('Ctrl Pts',(3.11954832272205,-2.17343394118615, 16.7850102715025)); #537622=CARTESIAN_POINT('Ctrl Pts',(3.57456823213903,1.29533840001611,16.8991426357132)); #537623=CARTESIAN_POINT('Ctrl Pts',(1.00308259963009,3.66728504123299,17.0132749999239)); #537624=CARTESIAN_POINT('Ctrl Pts',(-2.41765611034938,2.93432550433743, 17.1274073641346)); #537625=CARTESIAN_POINT('Ctrl Pts',(-3.79146429062468,-0.283065873991335, 17.2415397283453)); #537626=CARTESIAN_POINT('Ctrl Pts',(-1.95515256938064,-3.26075663481591, 17.355672092556)); #537627=CARTESIAN_POINT('Ctrl Pts',(1.53649322453463,-3.47773330824795, 17.4698044567668)); #537628=CARTESIAN_POINT('Ctrl Pts',(3.72729170792517,-0.750128740457872, 17.5839368209774)); #537629=CARTESIAN_POINT('Ctrl Pts',(2.76222392467353,2.61238303138684,17.6980691851882)); #537630=CARTESIAN_POINT('Ctrl Pts',(-0.541334637620248,3.76347745378937, 17.8122015493989)); #537631=CARTESIAN_POINT('Ctrl Pts',(-3.38694137646616,1.72737328865218, 17.9263339136096)); #537632=CARTESIAN_POINT('Ctrl Pts',(-3.36418853190479,-1.7696635022394, 18.0404662778203)); #537633=CARTESIAN_POINT('Ctrl Pts',(-0.494604144259334,-3.77153419380027, 18.154598642031)); #537634=CARTESIAN_POINT('Ctrl Pts',(2.79691517928862,-2.57376576851955, 18.2687310062417)); #537635=CARTESIAN_POINT('Ctrl Pts',(3.40647917405784,-0.332393398243351, 18.3448192490489)); #537636=CARTESIAN_POINT('Ctrl Pts',(3.1885253340293,0.683846079303359,18.3828633704525)); #537637=CARTESIAN_POINT('Ctrl Pts',(1.64849351531147,-2.67428586777128, 15.91709980316)); #537638=CARTESIAN_POINT('Ctrl Pts',(2.500835719308,-2.14888176811444,15.9551439245635)); #537639=CARTESIAN_POINT('Ctrl Pts',(3.65433572321452,-0.231427123459575, 16.0312321673707)); #537640=CARTESIAN_POINT('Ctrl Pts',(2.29482656604758,2.85692272300588,16.1453645315814)); #537641=CARTESIAN_POINT('Ctrl Pts',(-1.00998182689416,3.51993685331419, 16.2594968957921)); #537642=CARTESIAN_POINT('Ctrl Pts',(-3.45849034396908,1.20588967988543, 16.3736292600028)); #537643=CARTESIAN_POINT('Ctrl Pts',(-2.979408420575,-2.13071603679112,16.4877616242135)); #537644=CARTESIAN_POINT('Ctrl Pts',(0.0225353823652307,-3.66252769377569, 16.6018939884242)); #537645=CARTESIAN_POINT('Ctrl Pts',(3.00524865890118,-2.09379973522155, 16.716026352635)); #537646=CARTESIAN_POINT('Ctrl Pts',(3.44359672543647,1.2478774475755,16.8301587168457)); #537647=CARTESIAN_POINT('Ctrl Pts',(0.96632983709775,3.53291641528686,16.9442910810564)); #537648=CARTESIAN_POINT('Ctrl Pts',(-2.32907365758277,2.82681237632636, 17.0584234452671)); #537649=CARTESIAN_POINT('Ctrl Pts',(-3.65254576759582,-0.272694394234074, 17.1725558094778)); #537650=CARTESIAN_POINT('Ctrl Pts',(-1.88351615833149,-3.14128313229587, 17.2866881736885)); #537651=CARTESIAN_POINT('Ctrl Pts',(1.48019639822445,-3.35030982170834, 17.4008205378993)); #537652=CARTESIAN_POINT('Ctrl Pts',(3.59072444213613,-0.722644166164638, 17.51495290211)); #537653=CARTESIAN_POINT('Ctrl Pts',(2.66101655879121,2.51666581431262,17.6290852663207)); #537654=CARTESIAN_POINT('Ctrl Pts',(-0.521500246513092,3.6255843561545, 17.7432176305314)); #537655=CARTESIAN_POINT('Ctrl Pts',(-3.2628444960233,1.66408266011678,17.8573499947421)); #537656=CARTESIAN_POINT('Ctrl Pts',(-3.24092531135635,-1.70482336831226, 17.9714823589528)); #537657=CARTESIAN_POINT('Ctrl Pts',(-0.476481949535174,-3.63334589873018, 18.0856147231635)); #537658=CARTESIAN_POINT('Ctrl Pts',(2.69443673243964,-2.47946348059257, 18.1997470873742)); #537659=CARTESIAN_POINT('Ctrl Pts',(3.28166641845268,-0.320214567385505, 18.2758353301814)); #537660=CARTESIAN_POINT('Ctrl Pts',(3.07169836564183,0.658790089114499, 18.313879451585)); #537661=CARTESIAN_POINT('Ctrl Pts',(1.83658619855958,-2.97942115744861, 16.124051007151)); #537662=CARTESIAN_POINT('Ctrl Pts',(1.89215985003611,-2.94046286380568, 16.124051007151)); #537663=CARTESIAN_POINT('Ctrl Pts',(1.94653484304246,-2.89975409891685, 16.124051007151)); #537664=CARTESIAN_POINT('Ctrl Pts',(1.99966975293879,-2.85736659941074, 16.124051007151)); #537665=CARTESIAN_POINT('Ctrl Pts',(2.02837441557352,-2.83446792741474, 16.124051007151)); #537666=CARTESIAN_POINT('Ctrl Pts',(2.05671706358885,-2.81107925408046, 16.124051007151)); #537667=CARTESIAN_POINT('Ctrl Pts',(2.08469036598821,-2.78721139969541, 16.124051007151)); #537668=CARTESIAN_POINT('Ctrl Pts',(2.09994254159134,-2.77419768035854, 16.124051007151)); #537669=CARTESIAN_POINT('Ctrl Pts',(2.11508747355436,-2.76103910516192, 16.124051007151)); #537670=CARTESIAN_POINT('Ctrl Pts',(2.13010446571208,-2.74775495647365, 16.124051007151)); #537671=CARTESIAN_POINT('Ctrl Pts',(2.21275000662401,-2.67464606473515, 16.124051007151)); #537672=CARTESIAN_POINT('Ctrl Pts',(2.2916415100986,-2.59763872070582,16.124051007151)); #537673=CARTESIAN_POINT('Ctrl Pts',(2.36664851057009,-2.5171160537863,16.124051007151)); #537674=CARTESIAN_POINT('Ctrl Pts',(2.44327880067379,-2.43485072805431, 16.124051007151)); #537675=CARTESIAN_POINT('Ctrl Pts',(2.51585513965461,-2.34891556299222, 16.124051007151)); #537676=CARTESIAN_POINT('Ctrl Pts',(2.58419781905241,-2.25968361709366, 16.124051007151)); #537677=CARTESIAN_POINT('Ctrl Pts',(2.65225631749946,-2.17082271341126, 16.124051007151)); #537678=CARTESIAN_POINT('Ctrl Pts',(2.71611663587206,-2.07869154495977, 16.124051007151)); #537679=CARTESIAN_POINT('Ctrl Pts',(2.77555532207805,-1.98363569299008, 16.124051007151)); #537680=CARTESIAN_POINT('Ctrl Pts',(2.81717591891057,-1.91707498070094, 16.124051007151)); #537681=CARTESIAN_POINT('Ctrl Pts',(2.856627560429,-1.84907975558213,16.124051007151)); #537682=CARTESIAN_POINT('Ctrl Pts',(2.89381862766435,-1.77976527303981, 16.124051007151)); #537683=CARTESIAN_POINT('Ctrl Pts',(2.90358706192063,-1.76155945061914, 16.124051007151)); #537684=CARTESIAN_POINT('Ctrl Pts',(2.91319947471766,-1.74326260806439, 16.124051007151)); #537685=CARTESIAN_POINT('Ctrl Pts',(2.92265405537008,-1.72487682305488, 16.124051007151)); #537686=CARTESIAN_POINT('Ctrl Pts',(2.93036451978628,-1.7098827216461,16.124051007151)); #537687=CARTESIAN_POINT('Ctrl Pts',(2.9379662111014,-1.69483679481584,16.124051007151)); #537688=CARTESIAN_POINT('Ctrl Pts',(2.94545589912988,-1.67974562055623, 16.124051007151)); #537689=CARTESIAN_POINT('Ctrl Pts',(2.99468877117078,-1.58054497982919, 16.124051007151)); #537690=CARTESIAN_POINT('Ctrl Pts',(3.03912313093138,-1.47931862906617, 16.124051007151)); #537691=CARTESIAN_POINT('Ctrl Pts',(3.07858762606338,-1.37642057002605, 16.124051007151)); #537692=CARTESIAN_POINT('Ctrl Pts',(3.11750833788834,-1.27494034863077, 16.124051007151)); #537693=CARTESIAN_POINT('Ctrl Pts',(3.1515954195832,-1.17183335265399,16.124051007151)); #537694=CARTESIAN_POINT('Ctrl Pts',(3.18063671070418,-1.06742474212113, 16.124051007151)); #537695=CARTESIAN_POINT('Ctrl Pts',(3.20916981544692,-0.964843152068247, 16.124051007151)); #537696=CARTESIAN_POINT('Ctrl Pts',(3.23283233980177,-0.861004387878595, 16.124051007151)); #537697=CARTESIAN_POINT('Ctrl Pts',(3.25137384721072,-0.7562140746629,16.124051007151)); #537698=CARTESIAN_POINT('Ctrl Pts',(3.25967112214571,-0.709320689993696, 16.124051007151)); #537699=CARTESIAN_POINT('Ctrl Pts',(3.26694221467541,-0.662236780705958, 16.124051007151)); #537700=CARTESIAN_POINT('Ctrl Pts',(3.27316126747323,-0.614990116551343, 16.124051007151)); #537701=CARTESIAN_POINT('Ctrl Pts',(3.27842103343564,-0.575031234702829, 16.124051007151)); #537702=CARTESIAN_POINT('Ctrl Pts',(3.28292824757364,-0.534955936578829, 16.124051007151)); #537703=CARTESIAN_POINT('Ctrl Pts',(3.28666602562668,-0.494781289354301, 16.124051007151)); #537704=CARTESIAN_POINT('Ctrl Pts',(3.28814006086123,-0.47893796085698, 16.124051007151)); #537705=CARTESIAN_POINT('Ctrl Pts',(3.28949438972728,-0.46307865766969, 16.124051007151)); #537706=CARTESIAN_POINT('Ctrl Pts',(3.29072693505982,-0.447219949637573, 16.124051007151)); #537707=CARTESIAN_POINT('Ctrl Pts',(3.29697080996258,-0.366882304881134, 16.124051007151)); #537708=CARTESIAN_POINT('Ctrl Pts',(3.30010023844037,-0.286464189319955, 16.124051007151)); #537709=CARTESIAN_POINT('Ctrl Pts',(3.3000315586916,-0.206099361049728, 16.124051007151)); #537710=CARTESIAN_POINT('Ctrl Pts',(3.2999402523377,-0.0992582676844699, 16.124051007151)); #537711=CARTESIAN_POINT('Ctrl Pts',(3.29419850969426,0.00748921634354088, 16.124051007151)); #537712=CARTESIAN_POINT('Ctrl Pts',(3.28303919283747,0.113781382803308, 16.124051007151)); #537713=CARTESIAN_POINT('Ctrl Pts',(3.27176873039012,0.221132207760332, 16.124051007151)); #537714=CARTESIAN_POINT('Ctrl Pts',(3.25497183359767,0.328019467597519, 16.124051007151)); #537715=CARTESIAN_POINT('Ctrl Pts',(3.23283616428531,0.434057472339201, 16.124051007151)); #537716=CARTESIAN_POINT('Ctrl Pts',(3.21701129861958,0.509864404436948, 16.124051007151)); #537717=CARTESIAN_POINT('Ctrl Pts',(3.19845674800975,0.585237051476139, 16.124051007151)); #537718=CARTESIAN_POINT('Ctrl Pts',(3.17722673202067,0.660026711956177, 16.124051007151)); #537719=CARTESIAN_POINT('Ctrl Pts',(3.16882872771667,0.689611423099539, 16.124051007151)); #537720=CARTESIAN_POINT('Ctrl Pts',(3.16001195111445,0.71910482249221,16.124051007151)); #537721=CARTESIAN_POINT('Ctrl Pts',(3.15077929558574,0.748497328616704, 16.124051007151)); #537722=CARTESIAN_POINT('Ctrl Pts',(3.14420663032776,0.769421655669574, 16.124051007151)); #537723=CARTESIAN_POINT('Ctrl Pts',(3.1374220633295,0.790297894203342,16.124051007151)); #537724=CARTESIAN_POINT('Ctrl Pts',(3.13043494481488,0.811098526616193, 16.124051007151)); #537725=CARTESIAN_POINT('Ctrl Pts',(3.09622816365857,0.912932019116787, 16.124051007151)); #537726=CARTESIAN_POINT('Ctrl Pts',(3.0571356860537,1.0130712704444,16.124051007151)); #537727=CARTESIAN_POINT('Ctrl Pts',(3.01335093299407,1.11113927630149,16.124051007151)); #537728=CARTESIAN_POINT('Ctrl Pts',(2.97409533651081,1.19906298939408,16.124051007151)); #537729=CARTESIAN_POINT('Ctrl Pts',(2.93106710559657,1.28532197934821,16.124051007151)); #537730=CARTESIAN_POINT('Ctrl Pts',(2.88437622976558,1.3696243751211,16.124051007151)); #537731=CARTESIAN_POINT('Ctrl Pts',(2.86717223458524,1.40068693252133,16.124051007151)); #537732=CARTESIAN_POINT('Ctrl Pts',(2.84947072363823,1.43148362369134,16.124051007151)); #537733=CARTESIAN_POINT('Ctrl Pts',(2.83127636952416,1.46199898670638,16.124051007151)); #537734=CARTESIAN_POINT('Ctrl Pts',(2.82148273731608,1.47842475484255,16.124051007151)); #537735=CARTESIAN_POINT('Ctrl Pts',(2.81154862236839,1.4947650159177,16.124051007151)); #537736=CARTESIAN_POINT('Ctrl Pts',(2.80147921311222,1.51101073392397,16.124051007151)); #537737=CARTESIAN_POINT('Ctrl Pts',(2.74581722414915,1.60081431160736,16.124051007151)); #537738=CARTESIAN_POINT('Ctrl Pts',(2.68598627143523,1.68779556160269,16.124051007151)); #537739=CARTESIAN_POINT('Ctrl Pts',(2.62214607261027,1.77157558616152,16.124051007151)); #537740=CARTESIAN_POINT('Ctrl Pts',(2.55969098963038,1.85353786826884,16.124051007151)); #537741=CARTESIAN_POINT('Ctrl Pts',(2.49339819324477,1.93243691037874,16.124051007151)); #537742=CARTESIAN_POINT('Ctrl Pts',(2.42338487830086,2.00788018792936,16.124051007151)); #537743=CARTESIAN_POINT('Ctrl Pts',(2.40613695031394,2.02646579867117,16.124051007151)); #537744=CARTESIAN_POINT('Ctrl Pts',(2.38866309151567,2.04484147533165,16.124051007151)); #537745=CARTESIAN_POINT('Ctrl Pts',(2.37096480130085,2.06300092528242,16.124051007151)); #537746=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #537747=CARTESIAN_POINT('Ctrl Pts',(3.49288753611514,-0.70963637435034, 16.9714005897612)); #537748=CARTESIAN_POINT('Ctrl Pts',(3.27996747155483,-1.42323207544089, 16.9471890731936)); #537749=CARTESIAN_POINT('Ctrl Pts',(2.87570063674174,-2.00349467381975, 16.922977556626)); #537750=CARTESIAN_POINT('Ctrl Pts',(2.24046671820103,-2.91527484574811, 16.8849334352224)); #537751=CARTESIAN_POINT('Ctrl Pts',(1.13278670166186,-3.49784797630887, 16.8468893138189)); #537752=CARTESIAN_POINT('Ctrl Pts',(0.0215358977504794,-3.50470271656412, 16.8088451924153)); #537753=CARTESIAN_POINT('Ctrl Pts',(-1.08971490616089,-3.51155745681938, 16.7708010710117)); #537754=CARTESIAN_POINT('Ctrl Pts',(-2.20453649744446,-2.94269380676914, 16.7327569496081)); #537755=CARTESIAN_POINT('Ctrl Pts',(-2.85090479983077,-2.03871006160015, 16.6947128282046)); #537756=CARTESIAN_POINT('Ctrl Pts',(-3.49727310221707,-1.13472631643115, 16.656668706801)); #537757=CARTESIAN_POINT('Ctrl Pts',(-3.67518811570609,0.104377523856602, 16.6186245853974)); #537758=CARTESIAN_POINT('Ctrl Pts',(-3.30949851036887,1.15360933168865, 16.5805804639939)); #537759=CARTESIAN_POINT('Ctrl Pts',(-2.94380890503164,2.2028411395207,16.5425363425903)); #537760=CARTESIAN_POINT('Ctrl Pts',(-2.03451468086817,3.06220091489704, 16.5044922211867)); #537761=CARTESIAN_POINT('Ctrl Pts',(-0.9662198802624,3.36877015889664,16.4664480997831)); #537762=CARTESIAN_POINT('Ctrl Pts',(0.102074920343371,3.67533940289624, 16.4284039783796)); #537763=CARTESIAN_POINT('Ctrl Pts',(1.32937029739144,3.42911811551908,16.390359856976)); #537764=CARTESIAN_POINT('Ctrl Pts',(2.19545611624839,2.73255251792617,16.3523157355724)); #537765=CARTESIAN_POINT('Ctrl Pts',(3.00516947623622,2.08132551686146,16.3167478591579)); #537766=CARTESIAN_POINT('Ctrl Pts',(3.49916436671901,1.03647101301514,16.2811799827434)); #537767=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #537768=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #537769=CARTESIAN_POINT('Ctrl Pts',(3.09948672514699,0.56094035811476,18.3138628738418)); #537770=CARTESIAN_POINT('Ctrl Pts',(3.12210615386819,0.461889142831178, 18.3138451122158)); #537771=CARTESIAN_POINT('Ctrl Pts',(3.13973720232315,0.36199781193028,18.3138261374291)); #537772=CARTESIAN_POINT('Ctrl Pts',(3.15769542828677,0.260252808125917, 18.3138068105292)); #537773=CARTESIAN_POINT('Ctrl Pts',(3.17047847189138,0.157635514392792, 18.3137862250258)); #537774=CARTESIAN_POINT('Ctrl Pts',(3.17822643044008,0.0545071814366362, 18.3137643499807)); #537775=CARTESIAN_POINT('Ctrl Pts',(3.1861029150987,-0.050331883885189, 18.3137421120639)); #537776=CARTESIAN_POINT('Ctrl Pts',(3.18877592820569,-0.155699904605395, 18.3137185414678)); #537777=CARTESIAN_POINT('Ctrl Pts',(3.18634000468336,-0.261226787175135, 18.3136936056888)); #537778=CARTESIAN_POINT('Ctrl Pts',(3.18545806517412,-0.299433375424603, 18.3136845775529)); #537779=CARTESIAN_POINT('Ctrl Pts',(3.18390592809865,-0.337660814735819, 18.313675370463)); #537780=CARTESIAN_POINT('Ctrl Pts',(3.18168640091088,-0.375891506873506, 18.3136659828767)); #537781=CARTESIAN_POINT('Ctrl Pts',(3.17539908019635,-0.484188726178672, 18.3136393903815)); #537782=CARTESIAN_POINT('Ctrl Pts',(3.16379237726326,-0.591960737539302, 18.3136114861663)); #537783=CARTESIAN_POINT('Ctrl Pts',(3.1470556990264,-0.698802318222372, 18.3135822224676)); #537784=CARTESIAN_POINT('Ctrl Pts',(3.13040038082277,-0.805124522573343, 18.313553101025)); #537785=CARTESIAN_POINT('Ctrl Pts',(3.10866465573229,-0.910526234868536, 18.3135226332842)); #537786=CARTESIAN_POINT('Ctrl Pts',(3.081981382689,-1.01461839078732,18.3134907721748)); #537787=CARTESIAN_POINT('Ctrl Pts',(3.05510259083711,-1.11947327076461, 18.3134586776066)); #537788=CARTESIAN_POINT('Ctrl Pts',(3.02320341394098,-1.22300026871316, 18.3134251691755)); #537789=CARTESIAN_POINT('Ctrl Pts',(2.98636666562221,-1.32479827598105, 18.3133901987689)); #537790=CARTESIAN_POINT('Ctrl Pts',(2.94952991730344,-1.42659628324895, 18.3133552283624)); #537791=CARTESIAN_POINT('Ctrl Pts',(2.90775547922652,-1.52666626636195, 18.3133187959804)); #537792=CARTESIAN_POINT('Ctrl Pts',(2.86107485570497,-1.62458940788037, 18.3132808535104)); #537793=CARTESIAN_POINT('Ctrl Pts',(2.81385850477636,-1.72363635852426, 18.3132424755958)); #537794=CARTESIAN_POINT('Ctrl Pts',(2.76185747909841,-1.81999259688256, 18.3132027420494)); #537795=CARTESIAN_POINT('Ctrl Pts',(2.70520716145139,-1.91326379952785, 18.3131615901987)); #537796=CARTESIAN_POINT('Ctrl Pts',(2.64895519612123,-2.00587914001362, 18.3131207277185)); #537797=CARTESIAN_POINT('Ctrl Pts',(2.58811888230296,-2.09545357734137, 18.3130784668102)); #537798=CARTESIAN_POINT('Ctrl Pts',(2.52278122568432,-2.18158945736802, 18.313034746114)); #537799=CARTESIAN_POINT('Ctrl Pts',(2.50138858385768,-2.20979178547703, 18.3130204312267)); #537800=CARTESIAN_POINT('Ctrl Pts',(2.47951300629633,-2.23762534401917, 18.3130059598476)); #537801=CARTESIAN_POINT('Ctrl Pts',(2.45715629767528,-2.26507565283712, 18.3129913298231)); #537802=CARTESIAN_POINT('Ctrl Pts',(2.38943968765183,-2.34822035399845, 18.3129470166961)); #537803=CARTESIAN_POINT('Ctrl Pts',(2.31731216846123,-2.42785148426232, 18.3129012481023)); #537804=CARTESIAN_POINT('Ctrl Pts',(2.24115458976056,-2.50385589279324, 18.3128539641938)); #537805=CARTESIAN_POINT('Ctrl Pts',(2.19583326437802,-2.54908606670771, 18.3128258255707)); #537806=CARTESIAN_POINT('Ctrl Pts',(2.14908387538874,-2.59303125349991, 18.3127971503091)); #537807=CARTESIAN_POINT('Ctrl Pts',(2.10098037214153,-2.63566160771841, 18.3127679257962)); #537808=CARTESIAN_POINT('Ctrl Pts',(2.01989347652605,-2.70752255025312, 18.3127186627516)); #537809=CARTESIAN_POINT('Ctrl Pts',(1.9353367515444,-2.77531635014735,18.3126680654504)); #537810=CARTESIAN_POINT('Ctrl Pts',(1.84774456000939,-2.8389716332409,18.3126160620018)); #537811=CARTESIAN_POINT('Ctrl Pts',(1.75858573015443,-2.90376542884354, 18.3125631284407)); #537812=CARTESIAN_POINT('Ctrl Pts',(1.66628125824312,-2.96427181068222, 18.3125087379828)); #537813=CARTESIAN_POINT('Ctrl Pts',(1.5712443126537,-3.02038332255174,18.3124528148104)); #537814=CARTESIAN_POINT('Ctrl Pts',(1.47501793909359,-3.07719709396129, 18.3123961917355)); #537815=CARTESIAN_POINT('Ctrl Pts',(1.37598953523807,-3.12950579627697, 18.312337997341)); #537816=CARTESIAN_POINT('Ctrl Pts',(1.27455271384306,-3.17715788828015, 18.3122781529264)); #537817=CARTESIAN_POINT('Ctrl Pts',(1.1921461516537,-3.21587011357577,18.3122295357438)); #537818=CARTESIAN_POINT('Ctrl Pts',(1.10814922325215,-3.25150840927214, 18.3121798295771)); #537819=CARTESIAN_POINT('Ctrl Pts',(1.02276137037514,-3.2839690096504,18.3121289922301)); #537820=CARTESIAN_POINT('Ctrl Pts',(0.916477915690889,-3.32437317292519, 18.3120657142732)); #537821=CARTESIAN_POINT('Ctrl Pts',(0.808524752464887,-3.35967084910363, 18.3120009679371)); #537822=CARTESIAN_POINT('Ctrl Pts',(0.699330282149988,-3.38975166233564, 18.3119346609001)); #537823=CARTESIAN_POINT('Ctrl Pts',(0.589779838635767,-3.41993053880559, 18.3118681377027)); #537824=CARTESIAN_POINT('Ctrl Pts',(0.478979150907185,-3.44485891466822, 18.3118000436121)); #537825=CARTESIAN_POINT('Ctrl Pts',(0.367332431792537,-3.4643817509362, 18.3117302854009)); #537826=CARTESIAN_POINT('Ctrl Pts',(0.278305826030826,-3.47994917523279, 18.3116746605083)); #537827=CARTESIAN_POINT('Ctrl Pts',(0.188740568735725,-3.49207878378274, 18.3116179775015)); #537828=CARTESIAN_POINT('Ctrl Pts',(0.0988340980891243,-3.50066939859337, 18.311560189113)); #537829=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #537830=CARTESIAN_POINT('Ctrl Pts',(3.1358724250832,0.790392762758331,17.5688023569988)); #537831=CARTESIAN_POINT('Ctrl Pts',(2.82855141249783,1.58743419427067,17.5989438116597)); #537832=CARTESIAN_POINT('Ctrl Pts',(2.28554840513132,2.16160057454006,17.6290852663207)); #537833=CARTESIAN_POINT('Ctrl Pts',(1.60017762368978,2.88630532825992,17.6671293877243)); #537834=CARTESIAN_POINT('Ctrl Pts',(0.539338688588343,3.25594484220722, 17.7051735091278)); #537835=CARTESIAN_POINT('Ctrl Pts',(-0.447971487214078,3.11384764984124, 17.7432176305314)); #537836=CARTESIAN_POINT('Ctrl Pts',(-1.4352816630165,2.97175045747527,17.781261751935)); #537837=CARTESIAN_POINT('Ctrl Pts',(-2.3490630795199,2.31791655879604,17.8193058733385)); #537838=CARTESIAN_POINT('Ctrl Pts',(-2.80230059032711,1.42951527138491, 17.8573499947421)); #537839=CARTESIAN_POINT('Ctrl Pts',(-3.25553810113433,0.541113983973781, 17.8953941161457)); #537840=CARTESIAN_POINT('Ctrl Pts',(-3.24823170624534,-0.58185469216925, 17.9334382375492)); #537841=CARTESIAN_POINT('Ctrl Pts',(-2.78383794849732,-1.46475945197708, 17.9714823589528)); #537842=CARTESIAN_POINT('Ctrl Pts',(-2.3194441907493,-2.34766421178491, 18.0095264803564)); #537843=CARTESIAN_POINT('Ctrl Pts',(-1.39796307014224,-2.99050505525754, 18.04757060176)); #537844=CARTESIAN_POINT('Ctrl Pts',(-0.408736062842915,-3.1196117406376, 18.0856147231635)); #537845=CARTESIAN_POINT('Ctrl Pts',(0.580490944456428,-3.24871842601765, 18.1236588445671)); #537846=CARTESIAN_POINT('Ctrl Pts',(1.63746383844805,-2.86409095330511, 18.1617029659707)); #537847=CARTESIAN_POINT('Ctrl Pts',(2.31275770694711,-2.13196498864707, 18.1997470873743)); #537848=CARTESIAN_POINT('Ctrl Pts',(2.83883945847313,-1.56160869223786, 18.2293850256955)); #537849=CARTESIAN_POINT('Ctrl Pts',(3.13327822285973,-0.780353752402233, 18.2590229640167)); #537850=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #537851=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527353,2.06051459651724, 16.1240510071513)); #537852=CARTESIAN_POINT('Ctrl Pts',(-3.0275040501623,1.30543308903905,16.1620583405287)); #537853=CARTESIAN_POINT('Ctrl Pts',(-3.55664739305144,-0.866067985367731, 16.2380730072835)); #537854=CARTESIAN_POINT('Ctrl Pts',(-1.34363573278971,-3.40804878944336, 16.3520950074157)); #537855=CARTESIAN_POINT('Ctrl Pts',(2.00525164410097,-3.0628357256803,16.466117007548)); #537856=CARTESIAN_POINT('Ctrl Pts',(3.65920629018368,-0.132399249562929, 16.5801390076802)); #537857=CARTESIAN_POINT('Ctrl Pts',(2.22065744589257,2.91161006550824,16.6941610078124)); #537858=CARTESIAN_POINT('Ctrl Pts',(-1.09474055367461,3.49401474464567, 16.8081830079446)); #537859=CARTESIAN_POINT('Ctrl Pts',(-3.48488840085194,1.12385687717039, 16.9222050080769)); #537860=CARTESIAN_POINT('Ctrl Pts',(-2.92977612431047,-2.1963488282337, 17.0362270082091)); #537861=CARTESIAN_POINT('Ctrl Pts',(0.101459132656836,-3.66018812870091, 17.1502490083413)); #537862=CARTESIAN_POINT('Ctrl Pts',(3.04694130989064,-2.03065952138212, 17.2642710084735)); #537863=CARTESIAN_POINT('Ctrl Pts',(3.41728777814984,1.31513251768073,17.3782930086058)); #537864=CARTESIAN_POINT('Ctrl Pts',(0.899489265700557,3.54939288504627, 17.492315008738)); #537865=CARTESIAN_POINT('Ctrl Pts',(-2.37850861128204,2.78392400966886, 17.6063370088703)); #537866=CARTESIAN_POINT('Ctrl Pts',(-3.64631452325559,-0.334502474205637, 17.7203590090024)); #537867=CARTESIAN_POINT('Ctrl Pts',(-1.83238303248882,-3.17001082279607, 17.8343810091347)); #537868=CARTESIAN_POINT('Ctrl Pts',(1.53012805894512,-3.32678539279723, 17.9484030092669)); #537869=CARTESIAN_POINT('Ctrl Pts',(3.59957987928372,-0.671077454986942, 18.0624250093992)); #537870=CARTESIAN_POINT('Ctrl Pts',(2.6265532000416,2.5501401709025,18.1764470095314)); #537871=CARTESIAN_POINT('Ctrl Pts',(-0.565765764917012,3.61940082596093, 18.2904690096636)); #537872=CARTESIAN_POINT('Ctrl Pts',(-3.28113810609616,1.62294394801373, 18.4044910097958)); #537873=CARTESIAN_POINT('Ctrl Pts',(-3.23971342645715,-0.611713428272497, 18.4805056765507)); #537874=CARTESIAN_POINT('Ctrl Pts',(-2.76464558539531,-1.49200276262688, 18.5185130099281)); #537875=CARTESIAN_POINT('Ctrl Pts',(-2.46160998518763,2.13888324114227, 16.0550667504322)); #537876=CARTESIAN_POINT('Ctrl Pts',(-3.14265071036277,1.35508331816329, 16.0930740838097)); #537877=CARTESIAN_POINT('Ctrl Pts',(-3.69191924269181,-0.89900760846153, 16.1690887505645)); #537878=CARTESIAN_POINT('Ctrl Pts',(-1.39473893608126,-3.53766891683166, 16.2831107506967)); #537879=CARTESIAN_POINT('Ctrl Pts',(2.08151845080485,-3.17932618149904, 16.3971327508289)); #537880=CARTESIAN_POINT('Ctrl Pts',(3.79837883126886,-0.137434860459234, 16.5111547509612)); #537881=CARTESIAN_POINT('Ctrl Pts',(2.30511689571626,3.02234887556209,16.6251767510934)); #537882=CARTESIAN_POINT('Ctrl Pts',(-1.13637739459444,3.62690446079299, 16.7391987512256)); #537883=CARTESIAN_POINT('Ctrl Pts',(-3.61743100267801,1.16660112191301, 16.8532207513578)); #537884=CARTESIAN_POINT('Ctrl Pts',(-3.04120584646803,-2.27988372826091, 16.9672427514901)); #537885=CARTESIAN_POINT('Ctrl Pts',(0.105317988921666,-3.79939800532447, 17.0812647516223)); #537886=CARTESIAN_POINT('Ctrl Pts',(3.16282725220933,-2.10789267211329, 17.1952867517545)); #537887=CARTESIAN_POINT('Ctrl Pts',(3.54725930414312,1.36515165031949,17.3093087518868)); #537888=CARTESIAN_POINT('Ctrl Pts',(0.933700025324052,3.68438882738234, 17.423330752019)); #537889=CARTESIAN_POINT('Ctrl Pts',(-2.46897168370121,2.88980646823094, 17.5373527521512)); #537890=CARTESIAN_POINT('Ctrl Pts',(-3.78499673042221,-0.347224784248795, 17.6513747522834)); #537891=CARTESIAN_POINT('Ctrl Pts',(-1.90207502108186,-3.29057752593357, 17.7653967524157)); #537892=CARTESIAN_POINT('Ctrl Pts',(1.58832423830931,-3.45331478631539, 17.8794187525479)); #537893=CARTESIAN_POINT('Ctrl Pts',(3.73648461745479,-0.696600899807623, 17.9934407526802)); #537894=CARTESIAN_POINT('Ctrl Pts',(2.72645029838694,2.64713100471604,18.1074627528124)); #537895=CARTESIAN_POINT('Ctrl Pts',(-0.587283827105642,3.75705941744894, 18.2214847529446)); #537896=CARTESIAN_POINT('Ctrl Pts',(-3.4059313648841,1.68467023605843,18.3355067530768)); #537897=CARTESIAN_POINT('Ctrl Pts',(-3.36293115843099,-0.63497904957598, 18.4115214198317)); #537898=CARTESIAN_POINT('Ctrl Pts',(-2.86979481017416,-1.5487488951262, 18.4495287532091)); #537899=CARTESIAN_POINT('Ctrl Pts',(-2.55180332510172,2.2172518857673,15.9860824937132)); #537900=CARTESIAN_POINT('Ctrl Pts',(-3.25779737056325,1.40473354728754, 16.0240898270906)); #537901=CARTESIAN_POINT('Ctrl Pts',(-3.82719109233218,-0.931947231555327, 16.1001044938455)); #537902=CARTESIAN_POINT('Ctrl Pts',(-1.44584213937281,-3.66728904421997, 16.2141264939777)); #537903=CARTESIAN_POINT('Ctrl Pts',(2.15778525750874,-3.29581663731778, 16.3281484941099)); #537904=CARTESIAN_POINT('Ctrl Pts',(3.93755137235403,-0.142470471355539, 16.4421704942421)); #537905=CARTESIAN_POINT('Ctrl Pts',(2.38957634553994,3.13308768561594,16.5561924943744)); #537906=CARTESIAN_POINT('Ctrl Pts',(-1.17801423551428,3.75979417694032, 16.6702144945066)); #537907=CARTESIAN_POINT('Ctrl Pts',(-3.74997360450408,1.20934536665562, 16.7842364946389)); #537908=CARTESIAN_POINT('Ctrl Pts',(-3.1526355686256,-2.36341862828811, 16.8982584947711)); #537909=CARTESIAN_POINT('Ctrl Pts',(0.1091768451865,-3.93860788194803,17.0122804949033)); #537910=CARTESIAN_POINT('Ctrl Pts',(3.27871319452803,-2.18512582284446, 17.1263024950355)); #537911=CARTESIAN_POINT('Ctrl Pts',(3.6772308301364,1.41517078295825,17.2403244951678)); #537912=CARTESIAN_POINT('Ctrl Pts',(0.967910784947548,3.8193847697184,17.3543464953)); #537913=CARTESIAN_POINT('Ctrl Pts',(-2.55943475612038,2.99568892679302, 17.4683684954322)); #537914=CARTESIAN_POINT('Ctrl Pts',(-3.92367893758884,-0.359947094291952, 17.5823904955644)); #537915=CARTESIAN_POINT('Ctrl Pts',(-1.97176700967491,-3.41114422907107, 17.6964124956967)); #537916=CARTESIAN_POINT('Ctrl Pts',(1.64652041767351,-3.57984417983355, 17.8104344958289)); #537917=CARTESIAN_POINT('Ctrl Pts',(3.87338935562586,-0.722124344628302, 17.9244564959611)); #537918=CARTESIAN_POINT('Ctrl Pts',(2.82634739673229,2.74412183852958,18.0384784960934)); #537919=CARTESIAN_POINT('Ctrl Pts',(-0.608801889294272,3.89471800893696, 18.1525004962256)); #537920=CARTESIAN_POINT('Ctrl Pts',(-3.53072462367203,1.74639652410312, 18.2665224963578)); #537921=CARTESIAN_POINT('Ctrl Pts',(-3.48614889040482,-0.658244670879463, 18.3425371631126)); #537922=CARTESIAN_POINT('Ctrl Pts',(-2.97494403495301,-1.60549502762552, 18.38054449649)); #537923=CARTESIAN_POINT('Ctrl Pts',(-2.64199666501581,2.29562053039233, 15.9170982369942)); #537924=CARTESIAN_POINT('Ctrl Pts',(-3.37294403076372,1.45438377641179, 15.9551055703716)); #537925=CARTESIAN_POINT('Ctrl Pts',(-3.96246294197255,-0.964886854649123, 16.0311202371264)); #537926=CARTESIAN_POINT('Ctrl Pts',(-1.49694534266436,-3.79690917160828, 16.1451422372587)); #537927=CARTESIAN_POINT('Ctrl Pts',(2.23405206421262,-3.41230709313651, 16.2591642373909)); #537928=CARTESIAN_POINT('Ctrl Pts',(4.0767239134392,-0.147506082251842, 16.3731862375231)); #537929=CARTESIAN_POINT('Ctrl Pts',(2.47403579536363,3.24382649566979,16.4872082376554)); #537930=CARTESIAN_POINT('Ctrl Pts',(-1.21965107643411,3.89268389308764, 16.6012302377876)); #537931=CARTESIAN_POINT('Ctrl Pts',(-3.88251620633015,1.25208961139824, 16.7152522379198)); #537932=CARTESIAN_POINT('Ctrl Pts',(-3.26406529078316,-2.44695352831532, 16.829274238052)); #537933=CARTESIAN_POINT('Ctrl Pts',(0.113035701451331,-4.07781775857158, 16.9432962381843)); #537934=CARTESIAN_POINT('Ctrl Pts',(3.39459913684673,-2.26235897357563, 17.0573182383165)); #537935=CARTESIAN_POINT('Ctrl Pts',(3.80720235612968,1.46518991559702,17.1713402384487)); #537936=CARTESIAN_POINT('Ctrl Pts',(1.00212154457104,3.95438071205446,17.285362238581)); #537937=CARTESIAN_POINT('Ctrl Pts',(-2.64989782853955,3.10157138535509, 17.3993842387132)); #537938=CARTESIAN_POINT('Ctrl Pts',(-4.06236114475546,-0.372669404335105, 17.5134062388454)); #537939=CARTESIAN_POINT('Ctrl Pts',(-2.04145899826795,-3.53171093220858, 17.6274282389777)); #537940=CARTESIAN_POINT('Ctrl Pts',(1.70471659703771,-3.7063735733517,17.7414502391099)); #537941=CARTESIAN_POINT('Ctrl Pts',(4.01029409379693,-0.747647789448987, 17.8554722392421)); #537942=CARTESIAN_POINT('Ctrl Pts',(2.92624449507763,2.84111267234312,17.9694942393743)); #537943=CARTESIAN_POINT('Ctrl Pts',(-0.630319951482903,4.03237660042498, 18.0835162395066)); #537944=CARTESIAN_POINT('Ctrl Pts',(-3.65551788245996,1.80812281214781, 18.1975382396388)); #537945=CARTESIAN_POINT('Ctrl Pts',(-3.60936662237866,-0.681510292182946, 18.2735529063936)); #537946=CARTESIAN_POINT('Ctrl Pts',(-3.08009325973186,-1.66224116012484, 18.311560239771)); #537947=CARTESIAN_POINT('Ctrl Pts',(-3.08011338737932,-1.66219815155173, 18.3115601891121)); #537948=CARTESIAN_POINT('Ctrl Pts',(-3.12755529475444,-1.56002732684,18.3116350166318)); #537949=CARTESIAN_POINT('Ctrl Pts',(-3.16966236113749,-1.45548440299574, 18.3117079746464)); #537950=CARTESIAN_POINT('Ctrl Pts',(-3.20646436546035,-1.3489800124434, 18.3117791689376)); #537951=CARTESIAN_POINT('Ctrl Pts',(-3.2435192771981,-1.24174371202408, 18.3118508524838)); #537952=CARTESIAN_POINT('Ctrl Pts',(-3.27519594764884,-1.13251797657293, 18.3119207479821)); #537953=CARTESIAN_POINT('Ctrl Pts',(-3.30147254460431,-1.02171956270581, 18.3119889634101)); #537954=CARTESIAN_POINT('Ctrl Pts',(-3.30831055542627,-0.992886272216799, 18.3120067152459)); #537955=CARTESIAN_POINT('Ctrl Pts',(-3.31478259704342,-0.9639465073099, 18.3120243533062)); #537956=CARTESIAN_POINT('Ctrl Pts',(-3.32088771173614,-0.934907705704997, 18.3120418794939)); #537957=CARTESIAN_POINT('Ctrl Pts',(-3.34405692378637,-0.824704018152585, 18.3121083922425)); #537958=CARTESIAN_POINT('Ctrl Pts',(-3.36183345093774,-0.713609420112219, 18.3121729814089)); #537959=CARTESIAN_POINT('Ctrl Pts',(-3.37429047554258,-0.602039679005553, 18.3122357413556)); #537960=CARTESIAN_POINT('Ctrl Pts',(-3.38670410958344,-0.490858559912777, 18.3122982826956)); #537961=CARTESIAN_POINT('Ctrl Pts',(-3.39383508984289,-0.379204668740189, 18.312359007537)); #537962=CARTESIAN_POINT('Ctrl Pts',(-3.39570272916448,-0.267484773440434, 18.3124180092597)); #537963=CARTESIAN_POINT('Ctrl Pts',(-3.39758016069397,-0.155179120265728, 18.312477320334)); #537964=CARTESIAN_POINT('Ctrl Pts',(-3.39413886356703,-0.0428058373552128, 18.3125348901733)); #537965=CARTESIAN_POINT('Ctrl Pts',(-3.38534604924066,0.0692126035747402, 18.3125908136341)); #537966=CARTESIAN_POINT('Ctrl Pts',(-3.37655323491429,0.181231044504693, 18.312646737095)); #537967=CARTESIAN_POINT('Ctrl Pts',(-3.3624088228669,0.292895569816155, 18.3127010141773)); #537968=CARTESIAN_POINT('Ctrl Pts',(-3.34282880705117,0.403760517866894, 18.3127537397378)); #537969=CARTESIAN_POINT('Ctrl Pts',(-3.32380264414549,0.511489468752044, 18.3128049738691)); #537970=CARTESIAN_POINT('Ctrl Pts',(-3.29973674333482,0.617938238113554, 18.3128544936923)); #537971=CARTESIAN_POINT('Ctrl Pts',(-3.27065060742202,0.722727622047374, 18.3129023713378)); #537972=CARTESIAN_POINT('Ctrl Pts',(-3.24188900994803,0.826347782844503, 18.3129497147721)); #537973=CARTESIAN_POINT('Ctrl Pts',(-3.20821838125152,0.92834617136316, 18.3129954524706)); #537974=CARTESIAN_POINT('Ctrl Pts',(-3.16960981296821,1.0283400946553,18.313039654176)); #537975=CARTESIAN_POINT('Ctrl Pts',(-3.13205756206422,1.12559821800207, 18.3130826465376)); #537976=CARTESIAN_POINT('Ctrl Pts',(-3.08983359555504,1.22096066180025, 18.3131241858048)); #537977=CARTESIAN_POINT('Ctrl Pts',(-3.04286957845119,1.31404998109596, 18.3131643361512)); #537978=CARTESIAN_POINT('Ctrl Pts',(-3.01672809943572,1.36586608706389, 18.3131866849514)); #537979=CARTESIAN_POINT('Ctrl Pts',(-2.98911726309679,1.41697739499897, 18.313208603416)); #537980=CARTESIAN_POINT('Ctrl Pts',(-2.96001989451247,1.46731424007079, 18.3132301026124)); #537981=CARTESIAN_POINT('Ctrl Pts',(-2.93082365205233,1.51782213150218, 18.3132516748639)); #537982=CARTESIAN_POINT('Ctrl Pts',(-2.90013063407669,1.56755027230487, 18.313272824993)); #537983=CARTESIAN_POINT('Ctrl Pts',(-2.86799153661844,1.61645690652746, 18.3132935641805)); #537984=CARTESIAN_POINT('Ctrl Pts',(-2.80987018561691,1.7049011964658,18.3133310695771)); #537985=CARTESIAN_POINT('Ctrl Pts',(-2.74730008729432,1.79023842234007, 18.3133670505875)); #537986=CARTESIAN_POINT('Ctrl Pts',(-2.68067155680452,1.87229506599523, 18.313401559783)); #537987=CARTESIAN_POINT('Ctrl Pts',(-2.61312363987423,1.95548398384245, 18.3134365451601)); #537988=CARTESIAN_POINT('Ctrl Pts',(-2.54140376442889,2.03530170530408, 18.3134700178238)); #537989=CARTESIAN_POINT('Ctrl Pts',(-2.46587680283621,2.11153238348901, 18.3135020325515)); #537990=CARTESIAN_POINT('Ctrl Pts',(-2.39042328586154,2.18768893274141, 18.3135340161472)); #537991=CARTESIAN_POINT('Ctrl Pts',(-2.31116924700254,2.26026588105439, 18.3135645446412)); #537992=CARTESIAN_POINT('Ctrl Pts',(-2.2284491520542,2.32900575139855,18.3135936726512)); #537993=CARTESIAN_POINT('Ctrl Pts',(-2.15458061278241,2.39039003553442, 18.3136196837862)); #537994=CARTESIAN_POINT('Ctrl Pts',(-2.0779473229637,2.44871415233344,18.3136445781219)); #537995=CARTESIAN_POINT('Ctrl Pts',(-1.99877573807506,2.50376159067402, 18.3136683945524)); #537996=CARTESIAN_POINT('Ctrl Pts',(-1.91140570324188,2.56450935279168, 18.3136946772441)); #537997=CARTESIAN_POINT('Ctrl Pts',(-1.82135885865023,2.62097997071043, 18.3137195209037)); #537998=CARTESIAN_POINT('Ctrl Pts',(-1.72898576782612,2.67296659803936, 18.3137429648443)); #537999=CARTESIAN_POINT('Ctrl Pts',(-1.6370974200304,2.72468041696767,18.313766285759)); #538000=CARTESIAN_POINT('Ctrl Pts',(-1.5429063379098,2.77195758199667,18.3137882216068)); #538001=CARTESIAN_POINT('Ctrl Pts',(-1.44673579099345,2.81454811030857, 18.3138088110851)); #538002=CARTESIAN_POINT('Ctrl Pts',(-1.35270836274651,2.85618952728345, 18.3138289417358)); #538003=CARTESIAN_POINT('Ctrl Pts',(-1.25678794365842,2.89335108274677, 18.3138477853549)); #538004=CARTESIAN_POINT('Ctrl Pts',(-1.15926762608478,2.92575033440832, 18.3138653781101)); #538005=CARTESIAN_POINT('Ctrl Pts',(-1.13275230328174,2.93455954091787, 18.3138701614987)); #538006=CARTESIAN_POINT('Ctrl Pts',(-1.10611868778556,2.94301638965893, 18.3138748524147)); #538007=CARTESIAN_POINT('Ctrl Pts',(-1.07937266295482,2.95111452644881, 18.3138794515849)); #538008=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #538009=CARTESIAN_POINT('Ctrl Pts',(3.50168224983752,1.06759098399827,16.4140632065891)); #538010=CARTESIAN_POINT('Ctrl Pts',(2.9749570711656,2.1387870268506,16.4506365052051)); #538011=CARTESIAN_POINT('Ctrl Pts',(2.12553435567882,2.78674513904942,16.4872098038211)); #538012=CARTESIAN_POINT('Ctrl Pts',(1.24280587485957,3.46010961304749,16.5252171371985)); #538013=CARTESIAN_POINT('Ctrl Pts',(0.0115778718582153,3.67639524455437, 16.5632244705759)); #538014=CARTESIAN_POINT('Ctrl Pts',(-1.04784664064933,3.34443935445854, 16.6012318039534)); #538015=CARTESIAN_POINT('Ctrl Pts',(-2.10727115315689,3.01248346436271, 16.6392391373308)); #538016=CARTESIAN_POINT('Ctrl Pts',(-2.99489217517063,2.13228605266417, 16.6772464707082)); #538017=CARTESIAN_POINT('Ctrl Pts',(-3.33562761347478,1.07568063468681, 16.7152538040856)); #538018=CARTESIAN_POINT('Ctrl Pts',(-3.67636305177893,0.0190752167094535, 16.753261137463)); #538019=CARTESIAN_POINT('Ctrl Pts',(-3.47021290637349,-1.21393820754672, 16.7912684708404)); #538020=CARTESIAN_POINT('Ctrl Pts',(-2.80428810453562,-2.10225541405051, 16.8292758042178)); #538021=CARTESIAN_POINT('Ctrl Pts',(-2.13836330269776,-2.99057262055429, 16.8672831375952)); #538022=CARTESIAN_POINT('Ctrl Pts',(-1.01266384442748,-3.5341936093057, 16.9052904709726)); #538023=CARTESIAN_POINT('Ctrl Pts',(0.0971127000440298,-3.50342787402743, 16.94329780435)); #538024=CARTESIAN_POINT('Ctrl Pts',(1.20688924451554,-3.47266213874917, 16.9813051377275)); #538025=CARTESIAN_POINT('Ctrl Pts',(2.30074287518827,-2.86750967944123, 17.0193124711049)); #538026=CARTESIAN_POINT('Ctrl Pts',(2.91643684044041,-1.94367578309822, 17.0573198044823)); #538027=CARTESIAN_POINT('Ctrl Pts',(3.29534011533764,-1.37514058778884, 17.0807098389792)); #538028=CARTESIAN_POINT('Ctrl Pts',(3.4931511409019,-0.685911945443126, 17.1040998734762)); #538029=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #538030=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651753, 16.124051007151)); #538031=CARTESIAN_POINT('Ctrl Pts',(-2.44214361828451,1.98917395493029, 16.124051007151)); #538032=CARTESIAN_POINT('Ctrl Pts',(-2.50897656225981,1.91442186329908, 16.124051007151)); #538033=CARTESIAN_POINT('Ctrl Pts',(-2.57191359317738,1.83666299234245, 16.124051007151)); #538034=CARTESIAN_POINT('Ctrl Pts',(-2.63650488362648,1.75686027928577, 16.124051007151)); #538035=CARTESIAN_POINT('Ctrl Pts',(-2.69699315915055,1.67389015413741, 16.124051007151)); #538036=CARTESIAN_POINT('Ctrl Pts',(-2.75334507429016,1.58814514530577, 16.124051007151)); #538037=CARTESIAN_POINT('Ctrl Pts',(-2.81120785110125,1.50010121110411, 16.124051007151)); #538038=CARTESIAN_POINT('Ctrl Pts',(-2.86470997243158,1.40913099165824, 16.124051007151)); #538039=CARTESIAN_POINT('Ctrl Pts',(-2.91377601505494,1.31562122259399, 16.124051007151)); #538040=CARTESIAN_POINT('Ctrl Pts',(-2.95589594520226,1.23534931292906, 16.124051007151)); #538041=CARTESIAN_POINT('Ctrl Pts',(-2.99474637506649,1.15320506770804, 16.124051007151)); #538042=CARTESIAN_POINT('Ctrl Pts',(-3.03025341018708,1.06941716329604, 16.124051007151)); #538043=CARTESIAN_POINT('Ctrl Pts',(-3.03617065459227,1.05545391609952, 16.124051007151)); #538044=CARTESIAN_POINT('Ctrl Pts',(-3.04199587107726,1.04144282211957, 16.124051007151)); #538045=CARTESIAN_POINT('Ctrl Pts',(-3.04772575828144,1.02739201903385, 16.124051007151)); #538046=CARTESIAN_POINT('Ctrl Pts',(-3.0881074407653,0.928368242713008, 16.124051007151)); #538047=CARTESIAN_POINT('Ctrl Pts',(-3.12366146677958,0.827615203794612, 16.124051007151)); #538048=CARTESIAN_POINT('Ctrl Pts',(-3.15435207033404,0.725549487208643, 16.124051007151)); #538049=CARTESIAN_POINT('Ctrl Pts',(-3.18544600765309,0.622142430116533, 16.124051007151)); #538050=CARTESIAN_POINT('Ctrl Pts',(-3.21154825408961,0.517387188336046, 16.124051007151)); #538051=CARTESIAN_POINT('Ctrl Pts',(-3.23257843336762,0.41168555540489, 16.124051007151)); #538052=CARTESIAN_POINT('Ctrl Pts',(-3.25347433994412,0.306658802303325, 16.124051007151)); #538053=CARTESIAN_POINT('Ctrl Pts',(-3.2693630133406,0.20069683897191,16.124051007151)); #538054=CARTESIAN_POINT('Ctrl Pts',(-3.28011841366945,0.0941754603226097, 16.124051007151)); #538055=CARTESIAN_POINT('Ctrl Pts',(-3.28759302813405,0.0201469524756768, 16.124051007151)); #538056=CARTESIAN_POINT('Ctrl Pts',(-3.29258742874778,-0.054152129950411, 16.124051007151)); #538057=CARTESIAN_POINT('Ctrl Pts',(-3.29504464812079,-0.128597579162497, 16.124051007151)); #538058=CARTESIAN_POINT('Ctrl Pts',(-3.2956689599147,-0.147512118278049, 16.124051007151)); #538059=CARTESIAN_POINT('Ctrl Pts',(-3.29612942606251,-0.1664361064508, 16.124051007151)); #538060=CARTESIAN_POINT('Ctrl Pts',(-3.29642498069625,-0.185367503336492, 16.124051007151)); #538061=CARTESIAN_POINT('Ctrl Pts',(-3.29667036553421,-0.201085334244317, 16.124051007151)); #538062=CARTESIAN_POINT('Ctrl Pts',(-3.29680200417082,-0.216808537341485, 16.124051007151)); #538063=CARTESIAN_POINT('Ctrl Pts',(-3.29681944812247,-0.23252090230389, 16.124051007151)); #538064=CARTESIAN_POINT('Ctrl Pts',(-3.29694123408776,-0.342217694198129, 16.124051007151)); #538065=CARTESIAN_POINT('Ctrl Pts',(-3.29150461527403,-0.451563983780675, 16.124051007151)); #538066=CARTESIAN_POINT('Ctrl Pts',(-3.28042871482182,-0.560154794449618, 16.124051007151)); #538067=CARTESIAN_POINT('Ctrl Pts',(-3.27243972874173,-0.638480748949061, 16.124051007151)); #538068=CARTESIAN_POINT('Ctrl Pts',(-3.2615158626528,-0.716414191564232, 16.124051007151)); #538069=CARTESIAN_POINT('Ctrl Pts',(-3.24761032991851,-0.793806907459393, 16.124051007151)); #538070=CARTESIAN_POINT('Ctrl Pts',(-3.22810929366584,-0.902341991098639, 16.124051007151)); #538071=CARTESIAN_POINT('Ctrl Pts',(-3.20274693155229,-1.0098142680964, 16.124051007151)); #538072=CARTESIAN_POINT('Ctrl Pts',(-3.17184790309905,-1.11591959799057, 16.124051007151)); #538073=CARTESIAN_POINT('Ctrl Pts',(-3.14481125320246,-1.20876176164797, 16.124051007151)); #538074=CARTESIAN_POINT('Ctrl Pts',(-3.11353442398982,-1.3005576768015, 16.124051007151)); #538075=CARTESIAN_POINT('Ctrl Pts',(-3.0781990472013,-1.3910961524289,16.124051007151)); #538076=CARTESIAN_POINT('Ctrl Pts',(-3.0699456560315,-1.41224349888973, 16.124051007151)); #538077=CARTESIAN_POINT('Ctrl Pts',(-3.06147071152359,-1.43332219805768, 16.124051007151)); #538078=CARTESIAN_POINT('Ctrl Pts',(-3.0527762896075,-1.45432946499559, 16.124051007151)); #538079=CARTESIAN_POINT('Ctrl Pts',(-3.04735999954319,-1.46741618338724, 16.124051007151)); #538080=CARTESIAN_POINT('Ctrl Pts',(-3.04185955101063,-1.48047262629433, 16.124051007151)); #538081=CARTESIAN_POINT('Ctrl Pts',(-3.03627897390358,-1.49349037674851, 16.124051007151)); #538082=CARTESIAN_POINT('Ctrl Pts',(-2.99336942618153,-1.59358500381523, 16.124051007151)); #538083=CARTESIAN_POINT('Ctrl Pts',(-2.94567152017839,-1.69152687830485, 16.124051007151)); #538084=CARTESIAN_POINT('Ctrl Pts',(-2.89352174820976,-1.7870431237112, 16.124051007151)); #538085=CARTESIAN_POINT('Ctrl Pts',(-2.84031657064404,-1.88449242416668, 16.124051007151)); #538086=CARTESIAN_POINT('Ctrl Pts',(-2.78247720068821,-1.97941765392533, 16.124051007151)); #538087=CARTESIAN_POINT('Ctrl Pts',(-2.72030738041635,-2.07151978677124, 16.124051007151)); #538088=CARTESIAN_POINT('Ctrl Pts',(-2.65752011374679,-2.16453664206442, 16.124051007151)); #538089=CARTESIAN_POINT('Ctrl Pts',(-2.59031552259768,-2.2546748406676, 16.124051007151)); #538090=CARTESIAN_POINT('Ctrl Pts',(-2.51895813648399,-2.3416054284758, 16.124051007151)); #538091=CARTESIAN_POINT('Ctrl Pts',(-2.46931710544998,-2.40208023342893, 16.124051007151)); #538092=CARTESIAN_POINT('Ctrl Pts',(-2.41766529711762,-2.4610022789741, 16.124051007151)); #538093=CARTESIAN_POINT('Ctrl Pts',(-2.36407989964532,-2.51825178117521, 16.124051007151)); #538094=CARTESIAN_POINT('Ctrl Pts',(-2.35024748734782,-2.53303003711112, 16.124051007151)); #538095=CARTESIAN_POINT('Ctrl Pts',(-2.33628618422589,-2.54769679752543, 16.124051007151)); #538096=CARTESIAN_POINT('Ctrl Pts',(-2.32219722496809,-2.56224990250384, 16.124051007151)); #538097=CARTESIAN_POINT('Ctrl Pts',(-2.30902190016221,-2.57585927431876, 16.124051007151)); #538098=CARTESIAN_POINT('Ctrl Pts',(-2.29573979124062,-2.58936417922306, 16.124051007151)); #538099=CARTESIAN_POINT('Ctrl Pts',(-2.28235789357924,-2.60275744689703, 16.124051007151)); #538100=CARTESIAN_POINT('Ctrl Pts',(-2.20209920471078,-2.6830843280598, 16.124051007151)); #538101=CARTESIAN_POINT('Ctrl Pts',(-2.11819262881756,-2.7594619539411, 16.124051007151)); #538102=CARTESIAN_POINT('Ctrl Pts',(-2.03092205705493,-2.83156941462679, 16.124051007151)); #538103=CARTESIAN_POINT('Ctrl Pts',(-1.96746939180974,-2.88399728890512, 16.124051007151)); #538104=CARTESIAN_POINT('Ctrl Pts',(-1.90223734389352,-2.9341673433025, 16.124051007151)); #538105=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #538106=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #538107=CARTESIAN_POINT('Ctrl Pts',(3.14002967616399,0.387129026850857, 17.3490584108446)); #538108=CARTESIAN_POINT('Ctrl Pts',(3.07357892954297,0.772203747550687, 17.3636757097251)); #538109=CARTESIAN_POINT('Ctrl Pts',(2.9359302813655,1.12987723906466,17.3782930086055)); #538110=CARTESIAN_POINT('Ctrl Pts',(2.57802160733378,2.05988597346953,17.4163003419829)); #538111=CARTESIAN_POINT('Ctrl Pts',(1.73875543651723,2.80463942925815,17.4543076753603)); #538112=CARTESIAN_POINT('Ctrl Pts',(0.772789371611779,3.0494380112562,17.4923150087377)); #538113=CARTESIAN_POINT('Ctrl Pts',(-0.193176693293666,3.29423659325426, 17.5303223421152)); #538114=CARTESIAN_POINT('Ctrl Pts',(-1.28584265228802,3.03908030146175, 17.5683296754926)); #538115=CARTESIAN_POINT('Ctrl Pts',(-2.04347661711415,2.39176440825301, 17.60633700887)); #538116=CARTESIAN_POINT('Ctrl Pts',(-2.80111058194028,1.74444851504427, 17.6443443422474)); #538117=CARTESIAN_POINT('Ctrl Pts',(-3.22371255259818,0.704973020419295, 17.6823516756248)); #538118=CARTESIAN_POINT('Ctrl Pts',(-3.1326916227993,-0.28734945165833, 17.7203590090022)); #538119=CARTESIAN_POINT('Ctrl Pts',(-3.04167069300042,-1.27967192373596, 17.7583663423796)); #538120=CARTESIAN_POINT('Ctrl Pts',(-2.43702686274474,-2.22484137326624, 17.796373675757)); #538121=CARTESIAN_POINT('Ctrl Pts',(-1.57428643237784,-2.72355519303157, 17.8343810091345)); #538122=CARTESIAN_POINT('Ctrl Pts',(-0.711546002010934,-3.22226901279691, 17.8723883425119)); #538123=CARTESIAN_POINT('Ctrl Pts',(0.409291028467197,-3.2745272027973, 17.9103956758893)); #538124=CARTESIAN_POINT('Ctrl Pts',(1.31461818042941,-2.85803830816239, 17.9484030092667)); #538125=CARTESIAN_POINT('Ctrl Pts',(2.21994533239162,-2.44154941352748, 17.9864103426441)); #538126=CARTESIAN_POINT('Ctrl Pts',(2.90976260583792,-1.55631343425726, 18.0244176760215)); #538127=CARTESIAN_POINT('Ctrl Pts',(3.09250012935403,-0.576825840307164, 18.0624250093989)); #538128=CARTESIAN_POINT('Ctrl Pts',(3.12778426799029,-0.3877000636607,18.069763710254)); #538129=CARTESIAN_POINT('Ctrl Pts',(3.14416326926979,-0.1950603629779,18.0771024111091)); #538130=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #538131=CARTESIAN_POINT('Ctrl Pts',(-0.0160099836410213,-0.0277297745328858, 18.3149809276045)); #538132=CARTESIAN_POINT('Ctrl Pts',(-0.0714695331047943,0.00428981127916173, 18.3149809276045)); #538133=CARTESIAN_POINT('Ctrl Pts',(-0.0394499472927501,0.0597493607429389, 18.3149809276045)); #538134=CARTESIAN_POINT('Ctrl Pts',(0.0160096021710243,0.0277297749308825, 18.3149809276045)); #538135=CARTESIAN_POINT('Ctrl Pts',(-0.513856556605425,-0.89002533328128, 18.31466996382)); #538136=CARTESIAN_POINT('Ctrl Pts',(-2.29390722356617,0.13768739845968, 18.31466996382)); #538137=CARTESIAN_POINT('Ctrl Pts',(-1.26619449182512,1.91773806542038, 18.31466996382)); #538138=CARTESIAN_POINT('Ctrl Pts',(0.51385617513552,0.890025333679225, 18.31466996382)); #538139=CARTESIAN_POINT('Ctrl Pts',(-1.01170312956983,-1.75232089202967, 18.3143590000356)); #538140=CARTESIAN_POINT('Ctrl Pts',(-4.51634491402755,0.271084985640199, 18.3143590000356)); #538141=CARTESIAN_POINT('Ctrl Pts',(-2.49293903635749,3.77572677009781, 18.3143590000356)); #538142=CARTESIAN_POINT('Ctrl Pts',(1.01170274810002,1.75232089242757,18.3143590000356)); #538143=CARTESIAN_POINT('Ctrl Pts',(-1.50954970253423,-2.61461645077807, 18.3140480362511)); #538144=CARTESIAN_POINT('Ctrl Pts',(-6.73878260448893,0.404482572820717, 18.3140480362511)); #538145=CARTESIAN_POINT('Ctrl Pts',(-3.71968358088986,5.63371547477525, 18.3140480362511)); #538146=CARTESIAN_POINT('Ctrl Pts',(1.50954932106451,2.61461645117591,18.3140480362511)); #538147=CARTESIAN_POINT('Ctrl Pts',(-2.28473192196713,-3.95727143995986, 18.3135638437113)); #538148=CARTESIAN_POINT('Ctrl Pts',(-10.1992748022857,0.612192022504891, 18.3135638437113)); #538149=CARTESIAN_POINT('Ctrl Pts',(-5.62981133982052,8.52673490282321, 18.3135638437113)); #538150=CARTESIAN_POINT('Ctrl Pts',(2.28473154049755,3.95727144035762,18.3135638437113)); #538151=CARTESIAN_POINT('Ctrl Pts',(-2.70202113414801,-4.68003755690754, 18.2536625286325)); #538152=CARTESIAN_POINT('Ctrl Pts',(-12.0620962483621,0.724004329919066, 18.2536625286325)); #538153=CARTESIAN_POINT('Ctrl Pts',(-6.65805436153498,10.0840794441328, 18.2536625286325)); #538154=CARTESIAN_POINT('Ctrl Pts',(2.70202075267851,4.68003755730525,18.2536625286325)); #538155=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #538156=CARTESIAN_POINT('Ctrl Pts',(-12.7449972718787,0.764994162484998, 17.964051007151)); #538157=CARTESIAN_POINT('Ctrl Pts',(-7.03500310959222,10.6549941624858, 17.964051007151)); #538158=CARTESIAN_POINT('Ctrl Pts',(2.85499689040822,4.9450000001987,17.964051007151)); #538159=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,17.964051007151)); #538160=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #538161=CARTESIAN_POINT('Ctrl Pts',(2.30678911385998,3.99547627811398,18.3102073500071)); #538162=CARTESIAN_POINT('Ctrl Pts',(2.70609827140737,4.6871000269136,18.2459430475382)); #538163=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #538164=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,17.964051007151)); #538165=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #538166=CARTESIAN_POINT('Ctrl Pts',(-2.7281426015286,4.945000000199,18.024090720913)); #538167=CARTESIAN_POINT('Ctrl Pts',(-2.5550038365687,4.945000000199,18.0716790504236)); #538168=CARTESIAN_POINT('Ctrl Pts',(-2.07169385380438,4.945000000199,18.1532971651828)); #538169=CARTESIAN_POINT('Ctrl Pts',(-1.76876011491407,4.945000000199,18.1787158583826)); #538170=CARTESIAN_POINT('Ctrl Pts',(-1.26073358016641,4.945000000199,18.2095277509016)); #538171=CARTESIAN_POINT('Ctrl Pts',(-0.998562559839005,4.945000000199,18.2189048223699)); #538172=CARTESIAN_POINT('Ctrl Pts',(-0.61192287540116,4.945000000199,18.2278311587443)); #538173=CARTESIAN_POINT('Ctrl Pts',(-0.47200982339528,4.945000000199,18.2300277259199)); #538174=CARTESIAN_POINT('Ctrl Pts',(-0.22265469653927,4.945000000199,18.2324209181407)); #538175=CARTESIAN_POINT('Ctrl Pts',(-0.111004396666305,4.945000000199,18.2329436952408)); #538176=CARTESIAN_POINT('Ctrl Pts',(0.120073313138468,4.945000000199,18.2329439902268)); #538177=CARTESIAN_POINT('Ctrl Pts',(0.24107192676755,4.94500000019854,18.2323316632643)); #538178=CARTESIAN_POINT('Ctrl Pts',(0.537252465917842,4.94500000019854, 18.2292453499795)); #538179=CARTESIAN_POINT('Ctrl Pts',(0.716617124004781,4.945000000199,18.2259830650625)); #538180=CARTESIAN_POINT('Ctrl Pts',(1.20600156742045,4.945000000199,18.21205852668)); #538181=CARTESIAN_POINT('Ctrl Pts',(1.55925000827572,4.945000000199,18.196184537705)); #538182=CARTESIAN_POINT('Ctrl Pts',(2.33398025565266,4.945000000199,18.12417643463)); #538183=CARTESIAN_POINT('Ctrl Pts',(2.65367845000837,4.945000000199,18.0593340700712)); #538184=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #538185=CARTESIAN_POINT('',(-5.7099943530204,1.99000260714399E-10,17.964051007151)); #538186=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.98999480088835E-10, 17.964051007151)); #538187=CARTESIAN_POINT('Ctrl Pts',(-5.62768981485035,0.142555642003016, 18.0419597021867)); #538188=CARTESIAN_POINT('Ctrl Pts',(-5.50668270237086,0.352146108894715, 18.0987722309254)); #538189=CARTESIAN_POINT('Ctrl Pts',(-5.21236506922882,0.861919203060151, 18.1731742004074)); #538190=CARTESIAN_POINT('Ctrl Pts',(-5.06589322015699,1.11561588753109, 18.1929508890728)); #538191=CARTESIAN_POINT('Ctrl Pts',(-4.82264920562478,1.53692687933791, 18.2162404241063)); #538192=CARTESIAN_POINT('Ctrl Pts',(-4.70557335225435,1.73970820571497, 18.2231396742222)); #538193=CARTESIAN_POINT('Ctrl Pts',(-4.53308766256014,2.03846218384391, 18.2295574506759)); #538194=CARTESIAN_POINT('Ctrl Pts',(-4.47277170376442,2.14293248898527, 18.2310836298718)); #538195=CARTESIAN_POINT('Ctrl Pts',(-4.36951004689332,2.32178692515974, 18.2326235883654)); #538196=CARTESIAN_POINT('Ctrl Pts',(-4.32593206365621,2.39726620621787, 18.2329437260465)); #538197=CARTESIAN_POINT('Ctrl Pts',(-4.23910709389264,2.547651465214,18.2329439477222)); #538198=CARTESIAN_POINT('Ctrl Pts',(-4.19553156372707,2.62312649742746, 18.232624068288)); #538199=CARTESIAN_POINT('Ctrl Pts',(-4.09228329538639,2.80195774398703, 18.2310849406016)); #538200=CARTESIAN_POINT('Ctrl Pts',(-4.03197858573357,2.90640856504147, 18.2295595424098)); #538201=CARTESIAN_POINT('Ctrl Pts',(-3.85952784795053,3.20510200468441, 18.2231450387213)); #538202=CARTESIAN_POINT('Ctrl Pts',(-3.74247982662443,3.40783512454663, 18.2162494725465)); #538203=CARTESIAN_POINT('Ctrl Pts',(-3.49928714915977,3.82905719794413, 18.1929737061676)); #538204=CARTESIAN_POINT('Ctrl Pts',(-3.35286441602505,4.08266881111659, 18.1732112868476)); #538205=CARTESIAN_POINT('Ctrl Pts',(-3.05843341799641,4.59263825902542, 18.0988323311294)); #538206=CARTESIAN_POINT('Ctrl Pts',(-2.9373422218108,4.80237436316815,18.0419979555783)); #538207=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #538208=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,17.964051007151)); #538209=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #538210=CARTESIAN_POINT('Ctrl Pts',(-2.95563444950019,-4.77069129502851, 18.0593132047767)); #538211=CARTESIAN_POINT('Ctrl Pts',(-3.11543167472747,-4.49391438202633, 18.1241456068853)); #538212=CARTESIAN_POINT('Ctrl Pts',(-3.50272333355143,-3.82310555159561, 18.1961689169884)); #538213=CARTESIAN_POINT('Ctrl Pts',(-3.67938048930847,-3.51712638230381, 18.2120496266348)); #538214=CARTESIAN_POINT('Ctrl Pts',(-3.92410390407261,-3.09325299413057, 18.2259796684665)); #538215=CARTESIAN_POINT('Ctrl Pts',(-4.01380013185172,-2.93789457036893, 18.2292433099049)); #538216=CARTESIAN_POINT('Ctrl Pts',(-4.16190712832726,-2.68136572751687, 18.2323309718999)); #538217=CARTESIAN_POINT('Ctrl Pts',(-4.22241023817219,-2.57657126725046, 18.2329436835386)); #538218=CARTESIAN_POINT('Ctrl Pts',(-4.33795179694437,-2.37644741707135, 18.2329439785315)); #538219=CARTESIAN_POINT('Ctrl Pts',(-4.39377591347312,-2.27975721095591, 18.2324215055073)); #538220=CARTESIAN_POINT('Ctrl Pts',(-4.51844518666628,-2.06382369564268, 18.2300291860773)); #538221=CARTESIAN_POINT('Ctrl Pts',(-4.58839414776669,-1.9426685410801, 18.2278333680434)); #538222=CARTESIAN_POINT('Ctrl Pts',(-4.78167974031375,-1.60788807441755, 18.2189105674925)); #538223=CARTESIAN_POINT('Ctrl Pts',(-4.91273193609658,-1.38089901287822, 18.2095380920163)); #538224=CARTESIAN_POINT('Ctrl Pts',(-5.16669986909313,-0.941013649434943, 18.1787429155285)); #538225=CARTESIAN_POINT('Ctrl Pts',(-5.31812100710871,-0.678744545052054, 18.1533409255178)); #538226=CARTESIAN_POINT('Ctrl Pts',(-5.55987361661817,-0.260016742519312, 18.0717474329886)); #538227=CARTESIAN_POINT('Ctrl Pts',(-5.64652176384888,-0.109937749134022, 18.0241335579172)); #538228=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.99002116868519E-10, 17.964051007151)); #538229=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.3115601891129)); #538230=CARTESIAN_POINT('Ctrl Pts',(-2.37241271887758,-4.10913903505687, 18.2978085079384)); #538231=CARTESIAN_POINT('Ctrl Pts',(-2.71866640546267,-4.70886801253029, 18.222150016796)); #538232=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #538233=CARTESIAN_POINT('Ctrl Pts',(1.21936042635376,2.11199454174527,18.314051007151)); #538234=CARTESIAN_POINT('Ctrl Pts',(5.4433495094463,-0.326726692432249, 18.314051007151)); #538235=CARTESIAN_POINT('Ctrl Pts',(3.00462827526878,-4.55071577552479, 18.314051007151)); #538236=CARTESIAN_POINT('Ctrl Pts',(-1.21936080782376,-2.11199454134727, 18.314051007151)); #538237=CARTESIAN_POINT('Ctrl Pts',(1.31290688732417,2.27402176501428,18.314051007151)); #538238=CARTESIAN_POINT('Ctrl Pts',(5.86095041695474,-0.35179239110406, 18.314051007151)); #538239=CARTESIAN_POINT('Ctrl Pts',(3.2351362608364,-4.89983592073463,18.314051007151)); #538240=CARTESIAN_POINT('Ctrl Pts',(-1.31290726879417,-2.27402176461628, 18.314051007151)); #538241=CARTESIAN_POINT('Ctrl Pts',(1.40645334829459,2.4360489882833,18.314051007151)); #538242=CARTESIAN_POINT('Ctrl Pts',(6.27855132446319,-0.376858089775873, 18.314051007151)); #538243=CARTESIAN_POINT('Ctrl Pts',(3.46564424640401,-5.24895606594447, 18.314051007151)); #538244=CARTESIAN_POINT('Ctrl Pts',(-1.40645372976459,-2.4360489878853, 18.314051007151)); #538245=CARTESIAN_POINT('Ctrl Pts',(1.499999809265,2.59807621155232,18.314051007151)); #538246=CARTESIAN_POINT('Ctrl Pts',(6.69615223197163,-0.401923788447685, 18.314051007151)); #538247=CARTESIAN_POINT('Ctrl Pts',(3.69615223197163,-5.59807621115432, 18.314051007151)); #538248=CARTESIAN_POINT('Ctrl Pts',(-1.500000190735,-2.59807621115432,18.314051007151)); #538249=CARTESIAN_POINT('Ctrl Pts',(2.28130650317594,3.95133910169973,18.314051007151)); #538250=CARTESIAN_POINT('Ctrl Pts',(10.1839847061774,-0.611274286122152, 18.314051007151)); #538251=CARTESIAN_POINT('Ctrl Pts',(5.62137131835551,-8.5139524891236,18.314051007151)); #538252=CARTESIAN_POINT('Ctrl Pts',(-2.28130688464594,-3.95133910130173, 18.314051007151)); #538253=CARTESIAN_POINT('Ctrl Pts',(2.70139376575453,4.67895158409837,18.25484952838)); #538254=CARTESIAN_POINT('Ctrl Pts',(12.0592969335533,-0.723836328880685, 18.25484952838)); #538255=CARTESIAN_POINT('Ctrl Pts',(6.65650902057421,-10.0817394966794, 18.25484952838)); #538256=CARTESIAN_POINT('Ctrl Pts',(-2.70139414722453,-4.67895158370037, 18.25484952838)); #538257=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #538258=CARTESIAN_POINT('Ctrl Pts',(12.7449968904077,-0.764994162086399, 17.964051007151)); #538259=CARTESIAN_POINT('Ctrl Pts',(7.0350027281223,-10.6549941620864,17.964051007151)); #538260=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #538261=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,17.964051007151)); #538262=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #538263=CARTESIAN_POINT('Ctrl Pts',(2.72898213136721,-4.944999999801,18.0236931948746)); #538264=CARTESIAN_POINT('Ctrl Pts',(2.55730283851302,-4.944999999801,18.0710439341294)); #538265=CARTESIAN_POINT('Ctrl Pts',(2.07611779359043,-4.944999999801,18.1528369416605)); #538266=CARTESIAN_POINT('Ctrl Pts',(1.77278972118093,-4.944999999801,18.1783982398747)); #538267=CARTESIAN_POINT('Ctrl Pts',(1.2643118118621,-4.944999999801,18.2093799886683)); #538268=CARTESIAN_POINT('Ctrl Pts',(1.00161086232922,-4.944999999801,18.218810719268)); #538269=CARTESIAN_POINT('Ctrl Pts',(0.614117458620587,-4.944999999801,18.2277935323282)); #538270=CARTESIAN_POINT('Ctrl Pts',(0.473747268113343,-4.944999999801,18.2300056708288)); #538271=CARTESIAN_POINT('Ctrl Pts',(0.223521585838776,-4.944999999801,18.2324168627049)); #538272=CARTESIAN_POINT('Ctrl Pts',(0.11143356538113,-4.944999999801,18.2329436946924)); #538273=CARTESIAN_POINT('Ctrl Pts',(-0.120575763743601,-4.944999999801, 18.2329439908677)); #538274=CARTESIAN_POINT('Ctrl Pts',(-0.242087708309884,-4.94499999980053, 18.2323265337874)); #538275=CARTESIAN_POINT('Ctrl Pts',(-0.539453599379372,-4.94499999980053, 18.2292143442289)); #538276=CARTESIAN_POINT('Ctrl Pts',(-0.719545656409636,-4.944999999801, 18.2259247849209)); #538277=CARTESIAN_POINT('Ctrl Pts',(-1.21031694465831,-4.944999999801,18.2118905697928)); #538278=CARTESIAN_POINT('Ctrl Pts',(-1.56483859523592,-4.944999999801,18.1958882785332)); #538279=CARTESIAN_POINT('Ctrl Pts',(-2.33678020218094,-4.944999999801,18.123590334487)); #538280=CARTESIAN_POINT('Ctrl Pts',(-2.65450731472648,-4.944999999801,18.0589419528796)); #538281=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #538282=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,17.964051007151)); #538283=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.98999029060731E-10, 17.964051007151)); #538284=CARTESIAN_POINT('Ctrl Pts',(5.62813701343044,-0.1417804102178,18.0415360271574)); #538285=CARTESIAN_POINT('Ctrl Pts',(5.50807636595551,-0.349731551634038, 18.0980939913237)); #538286=CARTESIAN_POINT('Ctrl Pts',(5.21520318777909,-0.857002776409773, 18.1727162489422)); #538287=CARTESIAN_POINT('Ctrl Pts',(5.06836383323483,-1.11133599903101, 18.1926457584467)); #538288=CARTESIAN_POINT('Ctrl Pts',(4.82460221116841,-1.53354351338545, 18.2161116373776)); #538289=CARTESIAN_POINT('Ctrl Pts',(4.70712387992997,-1.73702195187884, 18.223063679812)); #538290=CARTESIAN_POINT('Ctrl Pts',(4.53406833216299,-2.03676295314291, 18.2295305231358)); #538291=CARTESIAN_POINT('Ctrl Pts',(4.47352369285057,-2.14162934455789, 18.2310686841829)); #538292=CARTESIAN_POINT('Ctrl Pts',(4.36986321021144,-2.32117456722598, 18.2326209909357)); #538293=CARTESIAN_POINT('Ctrl Pts',(4.32610744441243,-2.39696177671401, 18.2329437255978)); #538294=CARTESIAN_POINT('Ctrl Pts',(4.23893094806142,-2.54795589761981, 18.2329439481709)); #538295=CARTESIAN_POINT('Ctrl Pts',(4.19517763343399,-2.623738861554,18.2326214727767)); #538296=CARTESIAN_POINT('Ctrl Pts',(4.0915305201623,-2.80326092779842,18.2310699998877)); #538297=CARTESIAN_POINT('Ctrl Pts',(4.03099711509937,-2.9081078609226,18.2295326224881)); #538298=CARTESIAN_POINT('Ctrl Pts',(3.85797641849998,-3.20778849819371, 18.2230690615258)); #538299=CARTESIAN_POINT('Ctrl Pts',(3.74052586740834,-3.4112188200614,18.2161207142477)); #538300=CARTESIAN_POINT('Ctrl Pts',(3.49681519354897,-3.83333808953267, 18.192668637817)); #538301=CARTESIAN_POINT('Ctrl Pts',(3.35002482501104,-4.08758646590215, 18.1727534421261)); #538302=CARTESIAN_POINT('Ctrl Pts',(3.05703583992838,-4.59505827412337, 18.0981534455611)); #538303=CARTESIAN_POINT('Ctrl Pts',(2.93689241584286,-4.80315278883475, 18.0415725346121)); #538304=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #538305=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #538306=CARTESIAN_POINT('Ctrl Pts',(2.95522046152023,4.7714076829161,18.0589216887781)); #538307=CARTESIAN_POINT('Ctrl Pts',(3.11403236206139,4.49633740233224,18.1235595891337)); #538308=CARTESIAN_POINT('Ctrl Pts',(3.49992917329062,3.8279445188044,18.1958726097439)); #538309=CARTESIAN_POINT('Ctrl Pts',(3.67722287433591,3.52086282073203,18.2118816420921)); #538310=CARTESIAN_POINT('Ctrl Pts',(3.92263948369249,3.09578878430515,18.2259213744411)); #538311=CARTESIAN_POINT('Ctrl Pts',(4.01269939312664,2.93980044543921,18.2292122955712)); #538312=CARTESIAN_POINT('Ctrl Pts',(4.16139904178455,2.68224509889607,18.2323258394714)); #538313=CARTESIAN_POINT('Ctrl Pts',(4.2221588200621,2.57700607586368,18.2329436828976)); #538314=CARTESIAN_POINT('Ctrl Pts',(4.33816619806183,2.3760754031153,18.2329439790799)); #538315=CARTESIAN_POINT('Ctrl Pts',(4.39420918228793,2.2790061070279,18.2324174522178)); #538316=CARTESIAN_POINT('Ctrl Pts',(4.51931376505235,2.06231861342023,18.2300071355865)); #538317=CARTESIAN_POINT('Ctrl Pts',(4.58949131822066,1.94076752578185,18.2277957479217)); #538318=CARTESIAN_POINT('Ctrl Pts',(4.78320394568619,1.60524741294388,18.2188164739503)); #538319=CARTESIAN_POINT('Ctrl Pts',(4.91452123645619,1.37779919341795,18.2093903412765)); #538320=CARTESIAN_POINT('Ctrl Pts',(5.16871529015023,0.937522177437986, 18.1784253047055)); #538321=CARTESIAN_POINT('Ctrl Pts',(5.32033379133511,0.674911230018326, 18.1528807162269)); #538322=CARTESIAN_POINT('Ctrl Pts',(5.56102341316755,0.258024576150008, 18.0711122794431)); #538323=CARTESIAN_POINT('Ctrl Pts',(5.64694143059258,0.10921020468427,18.023735944263)); #538324=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.99002932188552E-10, 17.964051007151)); #538325=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #538326=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #538327=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.124051007151)); #538328=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #538329=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #538330=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #538331=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #538332=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #538333=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #538334=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #538335=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #538336=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #538337=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #538338=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #538339=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #538340=CARTESIAN_POINT('Origin',(2.8549968904077,4.945000000199,18.314051007151)); #538341=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,18.314051007151)); #538342=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,18.314051007151)); #538343=CARTESIAN_POINT('Origin',(-2.8549972718777,4.945000000199,18.314051007151)); #538344=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,18.314051007151)); #538345=CARTESIAN_POINT('Origin',(-5.7099943530204,1.99000815825912E-10, 18.314051007151)); #538346=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,18.314051007151)); #538347=CARTESIAN_POINT('Origin',(-2.8549972718777,-4.944999999801,18.314051007151)); #538348=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,18.314051007151)); #538349=CARTESIAN_POINT('Origin',(2.8549968904077,-4.944999999801,18.314051007151)); #538350=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,18.314051007151)); #538351=CARTESIAN_POINT('Origin',(5.7099939715504,1.99000260714399E-10, 18.314051007151)); #538352=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538353=CARTESIAN_POINT('',(3.45837177288913,-0.00211939515415836,14.1142108517818)); #538354=CARTESIAN_POINT('',(3.45683895952193,-0.00372587101543185,14.0088911625202)); #538355=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538356=CARTESIAN_POINT('',(-1.47482563170083,-3.12330048465351,13.874051007151)); #538357=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #538358=CARTESIAN_POINT('Ctrl Pts',(3.45910212754905,-0.112031653782136, 14.1103984934749)); #538359=CARTESIAN_POINT('Ctrl Pts',(3.45432021762865,-0.221875597891643, 14.106586135168)); #538360=CARTESIAN_POINT('Ctrl Pts',(3.44381872045727,-0.331164983670826, 14.1027737768611)); #538361=CARTESIAN_POINT('Ctrl Pts',(3.33429431258744,-1.47098867438719, 14.0630131332754)); #538362=CARTESIAN_POINT('Ctrl Pts',(2.60263570225897,-2.5504916305097,14.0232524896896)); #538363=CARTESIAN_POINT('Ctrl Pts',(1.58446452383186,-3.07528276307912, 13.9834918461039)); #538364=CARTESIAN_POINT('Ctrl Pts',(0.650295305934962,-3.55677716427495, 13.9470115664529)); #538365=CARTESIAN_POINT('Ctrl Pts',(-0.525060516881966,-3.57131452299401, 13.910531286802)); #538366=CARTESIAN_POINT('Ctrl Pts',(-1.47855217503811,-3.12762804142261, 13.874051007151)); #538367=CARTESIAN_POINT('',(1.47482525023077,-3.12330048465354,13.874051007151)); #538368=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538369=CARTESIAN_POINT('Ctrl Pts',(1.47482525023077,-3.12330048465354, 13.874051007151)); #538370=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.6320390964722,13.9138116507367)); #538371=CARTESIAN_POINT('Ctrl Pts',(3.28162781954056,-1.58409225853239, 13.9535722943225)); #538372=CARTESIAN_POINT('Ctrl Pts',(3.4298971908396,-0.450440827480726, 13.9933329379082)); #538373=CARTESIAN_POINT('Ctrl Pts',(3.44923631594518,-0.302575987567105, 13.9985190127789)); #538374=CARTESIAN_POINT('Ctrl Pts',(3.45805879311631,-0.153253089482283, 14.0037050876496)); #538375=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #538376=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538377=CARTESIAN_POINT('',(3.44955758497144,-0.00205639974999977,14.8642108517818)); #538378=CARTESIAN_POINT('',(3.44892868033218,-0.00152673186797919,14.7588911625202)); #538379=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538380=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #538381=CARTESIAN_POINT('Ctrl Pts',(3.45194694521049,-0.444605157375076, 14.8489623549894)); #538382=CARTESIAN_POINT('Ctrl Pts',(3.37298845123262,-0.886332962300586, 14.833713858197)); #538383=CARTESIAN_POINT('Ctrl Pts',(3.20875794468802,-1.29368006709991, 14.8184653614046)); #538384=CARTESIAN_POINT('Ctrl Pts',(2.78052487191125,-2.35584267041356, 14.7787047178189)); #538385=CARTESIAN_POINT('Ctrl Pts',(1.77251710064383,-3.18424674675501, 14.7389440742331)); #538386=CARTESIAN_POINT('Ctrl Pts',(0.647509424896414,-3.39859130147394, 14.6991834306474)); #538387=CARTESIAN_POINT('Ctrl Pts',(-0.477498250850995,-3.61293585619286, 14.6594227870616)); #538388=CARTESIAN_POINT('Ctrl Pts',(-1.71950583107839,-3.21322088928928, 14.6196621434759)); #538389=CARTESIAN_POINT('Ctrl Pts',(-2.50829266755499,-2.38291351557436, 14.5799014998901)); #538390=CARTESIAN_POINT('Ctrl Pts',(-3.29707950403158,-1.55260614185945, 14.5401408563044)); #538391=CARTESIAN_POINT('Ctrl Pts',(-3.63264559675737,-0.291706361333214, 14.5003802127186)); #538392=CARTESIAN_POINT('Ctrl Pts',(-3.36095140037091,0.820817512842688, 14.4606195691329)); #538393=CARTESIAN_POINT('Ctrl Pts',(-3.08925720398444,1.93334138701859, 14.4208589255471)); #538394=CARTESIAN_POINT('Ctrl Pts',(-2.21030271848572,2.89748935484416, 14.3810982819614)); #538395=CARTESIAN_POINT('Ctrl Pts',(-1.12758018038027,3.27078171125632, 14.3413376383756)); #538396=CARTESIAN_POINT('Ctrl Pts',(-0.0448576422748258,3.64407406766848, 14.3015769947899)); #538397=CARTESIAN_POINT('Ctrl Pts',(1.24163294843734,3.42651081266722,14.2618163512041)); #538398=CARTESIAN_POINT('Ctrl Pts',(2.14118314108782,2.71765697251149,14.2220557076184)); #538399=CARTESIAN_POINT('Ctrl Pts',(2.9544820223881,2.07676996040891,14.1861074223395)); #538400=CARTESIAN_POINT('Ctrl Pts',(3.45148496017217,1.03428823255558,14.1501591370606)); #538401=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #538402=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #538403=CARTESIAN_POINT('Ctrl Pts',(3.44870656238504,0.993143479811309, 14.0434657312353)); #538404=CARTESIAN_POINT('Ctrl Pts',(2.99423023330454,1.9990941232629,14.0780402999504)); #538405=CARTESIAN_POINT('Ctrl Pts',(2.23396329742657,2.64262446369856,14.1126148686655)); #538406=CARTESIAN_POINT('Ctrl Pts',(1.35965874957883,3.38268229966265,14.1523755122512)); #538407=CARTESIAN_POINT('Ctrl Pts',(0.0809483707476506,3.64344194742733, 14.192136155837)); #538408=CARTESIAN_POINT('Ctrl Pts',(-1.01413522208485,3.30748013111602, 14.2318967994227)); #538409=CARTESIAN_POINT('Ctrl Pts',(-2.10921881491736,2.97151831480471, 14.2716574430085)); #538410=CARTESIAN_POINT('Ctrl Pts',(-3.02067562175119,2.03883503441741, 14.3114180865942)); #538411=CARTESIAN_POINT('Ctrl Pts',(-3.33054861525846,0.936486357905289, 14.35117873018)); #538412=CARTESIAN_POINT('Ctrl Pts',(-3.64042160876573,-0.165862318606825, 14.3909393737657)); #538413=CARTESIAN_POINT('Ctrl Pts',(-3.34871078894644,-1.43787639124375, 14.4307000173515)); #538414=CARTESIAN_POINT('Ctrl Pts',(-2.58908668266058,-2.29498521494977, 14.4704606609372)); #538415=CARTESIAN_POINT('Ctrl Pts',(-1.82946257637471,-3.15209403865579, 14.510221304523)); #538416=CARTESIAN_POINT('Ctrl Pts',(-0.601925183622274,-3.59429761343091, 14.5499819481087)); #538417=CARTESIAN_POINT('Ctrl Pts',(0.529827295961748,-3.41887899416434, 14.5897425916945)); #538418=CARTESIAN_POINT('Ctrl Pts',(1.66157977554577,-3.24346037489777, 14.6295032352802)); #538419=CARTESIAN_POINT('Ctrl Pts',(2.69754734196138,-2.4504195615895,14.669263878866)); #538420=CARTESIAN_POINT('Ctrl Pts',(3.16218096499044,-1.40368997503667, 14.7090245224517)); #538421=CARTESIAN_POINT('Ctrl Pts',(3.35642427993538,-0.966097397432243, 14.7256467358079)); #538422=CARTESIAN_POINT('Ctrl Pts',(3.45081464015606,-0.48416722378251, 14.7422689491641)); #538423=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #538424=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538425=CARTESIAN_POINT('',(3.45090661466127,0.00273453970870585,15.6142108517818)); #538426=CARTESIAN_POINT('',(3.4519468768847,0.00301719107020691,15.5088911625202)); #538427=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538428=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #538429=CARTESIAN_POINT('Ctrl Pts',(3.44519680944158,-0.770957830861942, 15.5875262165039)); #538430=CARTESIAN_POINT('Ctrl Pts',(3.18808851602794,-1.54809017908061, 15.560841581226)); #538431=CARTESIAN_POINT('Ctrl Pts',(2.71039698391824,-2.15022805919748, 15.5341569459481)); #538432=CARTESIAN_POINT('Ctrl Pts',(1.99862698765062,-3.0474256184478,15.4943963023624)); #538433=CARTESIAN_POINT('Ctrl Pts',(0.797126914298543,-3.55610746426742, 15.4546356587766)); #538434=CARTESIAN_POINT('Ctrl Pts',(-0.342490179508144,-3.44273628477494, 15.4148750151909)); #538435=CARTESIAN_POINT('Ctrl Pts',(-1.48210727331483,-3.32936510528246, 15.3751143716051)); #538436=CARTESIAN_POINT('Ctrl Pts',(-2.55984138757613,-2.59394090047786, 15.3353537280194)); #538437=CARTESIAN_POINT('Ctrl Pts',(-3.08090058663446,-1.57409885028488, 15.2955930844336)); #538438=CARTESIAN_POINT('Ctrl Pts',(-3.60195978569278,-0.554256800091893, 15.2558324408479)); #538439=CARTESIAN_POINT('Ctrl Pts',(-3.56634406954814,0.750003095489476, 15.2160717972621)); #538440=CARTESIAN_POINT('Ctrl Pts',(-2.9904051200017,1.73988869094356,15.1763111536764)); #538441=CARTESIAN_POINT('Ctrl Pts',(-2.41446617045525,2.72977428639764, 15.1365505100906)); #538442=CARTESIAN_POINT('Ctrl Pts',(-1.29820398750701,3.40528558172444, 15.0967898665049)); #538443=CARTESIAN_POINT('Ctrl Pts',(-0.154097967600261,3.45629588267687, 15.0570292229191)); #538444=CARTESIAN_POINT('Ctrl Pts',(0.990008052306499,3.5073061836293,15.0172685793334)); #538445=CARTESIAN_POINT('Ctrl Pts',(2.16195790917177,2.93381549020735,14.9775079357476)); #538446=CARTESIAN_POINT('Ctrl Pts',(2.82370365046134,1.99910957835345,14.9377472921619)); #538447=CARTESIAN_POINT('Ctrl Pts',(3.23166507380689,1.42287020057322,14.9132351453685)); #538448=CARTESIAN_POINT('Ctrl Pts',(3.44571665889726,0.709346190146674, 14.8887229985751)); #538449=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #538450=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #538451=CARTESIAN_POINT('Ctrl Pts',(3.44630338917117,0.670317811866395, 14.7820295927498)); #538452=CARTESIAN_POINT('Ctrl Pts',(3.25712195211316,1.34353875052093,14.8051680229794)); #538453=CARTESIAN_POINT('Ctrl Pts',(2.89103175618977,1.90045863823539,14.828306453209)); #538454=CARTESIAN_POINT('Ctrl Pts',(2.26194924471754,2.85745930515725,14.8680670967947)); #538455=CARTESIAN_POINT('Ctrl Pts',(1.11048454213056,3.47104227920359,14.9078277403805)); #538456=CARTESIAN_POINT('Ctrl Pts',(-0.03470272797119,3.45955028305813, 14.9475883839662)); #538457=CARTESIAN_POINT('Ctrl Pts',(-1.17988999807294,3.44805828691267, 14.987349027552)); #538458=CARTESIAN_POINT('Ctrl Pts',(-2.31879983568946,2.81149132057542, 15.0271096711377)); #538459=CARTESIAN_POINT('Ctrl Pts',(-2.92856370531278,1.84207856962227, 15.0668703147235)); #538460=CARTESIAN_POINT('Ctrl Pts',(-3.5383275749361,0.872665818669123, 15.1066309583092)); #538461=CARTESIAN_POINT('Ctrl Pts',(-3.61894547656621,-0.429592716899934, 15.146391601895)); #538462=CARTESIAN_POINT('Ctrl Pts',(-3.13340069088571,-1.46681444699104, 15.1861522454807)); #538463=CARTESIAN_POINT('Ctrl Pts',(-2.64785590520522,-2.50403617708213, 15.2259128890665)); #538464=CARTESIAN_POINT('Ctrl Pts',(-1.59614843221413,-3.27622110169528, 15.2656735326522)); #538465=CARTESIAN_POINT('Ctrl Pts',(-0.461123527339457,-3.42886183236222, 15.305434176238)); #538466=CARTESIAN_POINT('Ctrl Pts',(0.673901377535226,-3.58150256302916, 15.3451948198237)); #538467=CARTESIAN_POINT('Ctrl Pts',(1.8922437142935,-3.11459909974987,15.3849554634094)); #538468=CARTESIAN_POINT('Ctrl Pts',(2.63455923745405,-2.24250568583499, 15.4247161069952)); #538469=CARTESIAN_POINT('Ctrl Pts',(3.15839759237053,-1.62708547027274, 15.4527744588369)); #538470=CARTESIAN_POINT('Ctrl Pts',(3.44518105699584,-0.809886160442538, 15.4808328106786)); #538471=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #538472=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538473=CARTESIAN_POINT('',(3.45946180716485,0.00087544254832872,16.3642108517818)); #538474=CARTESIAN_POINT('',(3.45972266776172,0.000144265412425977,16.2588911625202)); #538475=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538476=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #538477=CARTESIAN_POINT('Ctrl Pts',(3.45573915157542,-1.09736159596028, 16.3260900780184)); #538478=CARTESIAN_POINT('Ctrl Pts',(2.8879318138174,-2.19890991951559,16.287969304255)); #538479=CARTESIAN_POINT('Ctrl Pts',(1.98966351544516,-2.8303660031375,16.2498485304916)); #538480=CARTESIAN_POINT('Ctrl Pts',(1.0527537381769,-3.48898590515672,16.2100878869058)); #538481=CARTESIAN_POINT('Ctrl Pts',(-0.243659898865985,-3.63619903043584, 16.1703272433201)); #538482=CARTESIAN_POINT('Ctrl Pts',(-1.30438906743395,-3.20441929344768, 16.1305665997343)); #538483=CARTESIAN_POINT('Ctrl Pts',(-2.36511823600193,-2.77263955645952, 16.0908059561486)); #538484=CARTESIAN_POINT('Ctrl Pts',(-3.19016293609499,-1.76186695720406, 16.0510453125628)); #538485=CARTESIAN_POINT('Ctrl Pts',(-3.400742073603,-0.636150942788447, 16.0112846689771)); #538486=CARTESIAN_POINT('Ctrl Pts',(-3.61132121111102,0.489565071627162, 15.9715240253913)); #538487=CARTESIAN_POINT('Ctrl Pts',(-3.20743478603399,1.73022450120292, 15.9317633818056)); #538488=CARTESIAN_POINT('Ctrl Pts',(-2.37450856526759,2.51623595469587, 15.8920027382198)); #538489=CARTESIAN_POINT('Ctrl Pts',(-1.54158234450119,3.30224740818881, 15.8522420946341)); #538490=CARTESIAN_POINT('Ctrl Pts',(-0.279616328045435,3.63361088559895, 15.8124814510484)); #538491=CARTESIAN_POINT('Ctrl Pts',(0.832016201872743,3.35819623803831, 15.7727208074626)); #538492=CARTESIAN_POINT('Ctrl Pts',(1.94364873179093,3.08278159047768,15.7329601638769)); #538493=CARTESIAN_POINT('Ctrl Pts',(2.90494777517153,2.20058881794627,15.6931995202911)); #538494=CARTESIAN_POINT('Ctrl Pts',(3.27457733727026,1.11663579534006,15.6534388767053)); #538495=CARTESIAN_POINT('Ctrl Pts',(3.39613671833035,0.760158197125051, 15.6403628683975)); #538496=CARTESIAN_POINT('Ctrl Pts',(3.45370453412734,0.381859371656284, 15.6272868600896)); #538497=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #538498=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #538499=CARTESIAN_POINT('Ctrl Pts',(3.45476869616596,0.342054645330194, 15.5205934542643)); #538500=CARTESIAN_POINT('Ctrl Pts',(3.40888222052965,0.680344829521263, 15.5322957460084)); #538501=CARTESIAN_POINT('Ctrl Pts',(3.31117058197678,1.00293738151953,15.5439980377524)); #538502=CARTESIAN_POINT('Ctrl Pts',(2.97917769377611,2.09900367040867,15.5837586813382)); #538503=CARTESIAN_POINT('Ctrl Pts',(2.04890320781195,3.01385288363646,15.6235193249239)); #538504=CARTESIAN_POINT('Ctrl Pts',(0.947439935803248,3.32747510393531, 15.6632799685097)); #538505=CARTESIAN_POINT('Ctrl Pts',(-0.154023336205458,3.64109732423416, 15.7030406120954)); #538506=CARTESIAN_POINT('Ctrl Pts',(-1.42667539425871,3.35349255160407, 15.7428012556812)); #538507=CARTESIAN_POINT('Ctrl Pts',(-2.28623711290949,2.59670081559539, 15.7825618992669)); #538508=CARTESIAN_POINT('Ctrl Pts',(-3.14579883156026,1.83990907958671, 15.8223225428527)); #538509=CARTESIAN_POINT('Ctrl Pts',(-3.59227021080856,0.613930380199436, 15.8620831864384)); #538510=CARTESIAN_POINT('Ctrl Pts',(-3.42067449065201,-0.518383696768789, 15.9018438300242)); #538511=CARTESIAN_POINT('Ctrl Pts',(-3.24907877049545,-1.65069777373702, 15.9416044736099)); #538512=CARTESIAN_POINT('Ctrl Pts',(-2.45941595093402,-2.68934722828621, 15.9813651171957)); #538513=CARTESIAN_POINT('Ctrl Pts',(-1.41422321665755,-3.15748433392406, 16.0211257607814)); #538514=CARTESIAN_POINT('Ctrl Pts',(-0.369030482381066,-3.62562143956191, 16.0608864043672)); #538515=CARTESIAN_POINT('Ctrl Pts',(0.931692166610471,-3.52324619628841, 16.1006470479529)); #538516=CARTESIAN_POINT('Ctrl Pts',(1.89077814370212,-2.89735940571161, 16.1404076915387)); #538517=CARTESIAN_POINT('Ctrl Pts',(2.84344410755899,-2.27566223063848, 16.1799021818659)); #538518=CARTESIAN_POINT('Ctrl Pts',(3.45903184602861,-1.13743870506151, 16.219396672193)); #538519=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #538520=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538521=CARTESIAN_POINT('',(3.45354995871696,-0.00318586796853315,17.1142108517818)); #538522=CARTESIAN_POINT('',(3.45237964564211,-0.00309158234549324,17.0088911625202)); #538523=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538524=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #538525=CARTESIAN_POINT('Ctrl Pts',(3.45613791891426,-0.286667604971698, 17.1044145831186)); #538526=CARTESIAN_POINT('Ctrl Pts',(3.4241692801647,-0.569573345427285, 17.0946183144555)); #538527=CARTESIAN_POINT('Ctrl Pts',(3.35539772522613,-0.843230303958615, 17.0848220457923)); #538528=CARTESIAN_POINT('Ctrl Pts',(3.07627090880514,-1.9539365500879,17.0450614022066)); #538529=CARTESIAN_POINT('Ctrl Pts',(2.19087187178519,-2.91228314280668, 17.0053007586208)); #538530=CARTESIAN_POINT('Ctrl Pts',(1.10569018175771,-3.27828954649469, 16.9655401150351)); #538531=CARTESIAN_POINT('Ctrl Pts',(0.0205084917302364,-3.6442959501827, 16.9257794714493)); #538532=CARTESIAN_POINT('Ctrl Pts',(-1.26445585130476,-3.41796216483997, 16.8860188278636)); #538533=CARTESIAN_POINT('Ctrl Pts',(-2.15927071568023,-2.70319889851528, 16.8462581842778)); #538534=CARTESIAN_POINT('Ctrl Pts',(-3.05408558005571,-1.98843563219059, 16.8064975406921)); #538535=CARTESIAN_POINT('Ctrl Pts',(-3.55875096577166,-0.785242884883928, 16.7667368971063)); #538536=CARTESIAN_POINT('Ctrl Pts',(-3.4415732286445,0.353989087799876, 16.7269762535206)); #538537=CARTESIAN_POINT('Ctrl Pts',(-3.32439549151734,1.49322106048367, 16.6872156099348)); #538538=CARTESIAN_POINT('Ctrl Pts',(-2.58537463154711,2.56849225854461, 16.6474549663491)); #538539=CARTESIAN_POINT('Ctrl Pts',(-1.56379781822791,3.08614166572178, 16.6076943227633)); #538540=CARTESIAN_POINT('Ctrl Pts',(-0.542221004908718,3.60379107289895, 16.5679336791776)); #538541=CARTESIAN_POINT('Ctrl Pts',(0.761911761759431,3.56381868919235, 16.5281730355918)); #538542=CARTESIAN_POINT('Ctrl Pts',(1.74986824497236,2.98457598915815,16.4884123920061)); #538543=CARTESIAN_POINT('Ctrl Pts',(2.7378247281853,2.40533328912395,16.4486517484203)); #538544=CARTESIAN_POINT('Ctrl Pts',(3.40960492794301,1.28682027276215,16.4088911048346)); #538545=CARTESIAN_POINT('Ctrl Pts',(3.45679206907505,0.142550425201595, 16.3691304612488)); #538546=CARTESIAN_POINT('Ctrl Pts',(3.45873823396996,0.0953566822834696, 16.3674905914265)); #538547=CARTESIAN_POINT('Ctrl Pts',(3.45962194742323,0.0481191262264366, 16.3658507216041)); #538548=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #538549=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #538550=CARTESIAN_POINT('Ctrl Pts',(3.45972732320742,0.00781043383156234, 16.2591573157788)); #538551=CARTESIAN_POINT('Ctrl Pts',(3.4597040536736,0.0154765731604767, 16.2594234690374)); #538552=CARTESIAN_POINT('Ctrl Pts',(3.45965277413512,0.023142525122628, 16.2596896222959)); #538553=CARTESIAN_POINT('Ctrl Pts',(3.45199212228471,1.16835932749995,16.2994502658817)); #538554=CARTESIAN_POINT('Ctrl Pts',(2.81922151339213,2.3093945943745,16.3392109094674)); #538555=CARTESIAN_POINT('Ctrl Pts',(1.85184829333964,2.92239480255981,16.3789715530532)); #538556=CARTESIAN_POINT('Ctrl Pts',(0.884475073287143,3.53539501074512, 16.4187321966389)); #538557=CARTESIAN_POINT('Ctrl Pts',(-0.417500757925268,3.62036016024121, 16.4584928402247)); #538558=CARTESIAN_POINT('Ctrl Pts',(-1.45633724949806,3.1382824262132,16.4982534838104)); #538559=CARTESIAN_POINT('Ctrl Pts',(-2.49517374107084,2.65620469218519, 16.5380141273961)); #538560=CARTESIAN_POINT('Ctrl Pts',(-3.27087089300401,1.60708407463308, 16.5777747709819)); #538561=CARTESIAN_POINT('Ctrl Pts',(-3.42730326168641,0.472575759926493, 16.6175354145677)); #538562=CARTESIAN_POINT('Ctrl Pts',(-3.58373563036881,-0.661932554780101, 16.6572960581534)); #538563=CARTESIAN_POINT('Ctrl Pts',(-3.12090321580043,-1.88182856664117, 16.6970567017392)); #538564=CARTESIAN_POINT('Ctrl Pts',(-2.25129408820285,-2.62705348251095, 16.7368173453249)); #538565=CARTESIAN_POINT('Ctrl Pts',(-1.38168496060527,-3.37227839838072, 16.7765779889107)); #538566=CARTESIAN_POINT('Ctrl Pts',(-0.10529911997849,-3.64283221825918, 16.8163386324964)); #538567=CARTESIAN_POINT('Ctrl Pts',(0.991869915198562,-3.31450266686145, 16.8560992760822)); #538568=CARTESIAN_POINT('Ctrl Pts',(2.08903895037561,-2.98617311546373, 16.8958599196679)); #538569=CARTESIAN_POINT('Ctrl Pts',(3.00699118010293,-2.05896019278982, 16.9356205632537)); #538570=CARTESIAN_POINT('Ctrl Pts',(3.32429137477893,-0.958550819911761, 16.9753812068394)); #538571=CARTESIAN_POINT('Ctrl Pts',(3.4134307389191,-0.649412050260517, 16.9865511920663)); #538572=CARTESIAN_POINT('Ctrl Pts',(3.45516540995541,-0.326604260794987, 16.9977211772933)); #538573=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #538574=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538575=CARTESIAN_POINT('',(3.44843195761728,0.000643874095852814,17.8642108517818)); #538576=CARTESIAN_POINT('',(3.44875392709393,0.00127338827508482,17.7588911625202)); #538577=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538578=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #538579=CARTESIAN_POINT('Ctrl Pts',(3.44740059212339,-0.616078933972656, 17.8429784446331)); #538580=CARTESIAN_POINT('Ctrl Pts',(3.28978968777021,-1.23329067940578, 17.8217460374844)); #538581=CARTESIAN_POINT('Ctrl Pts',(2.97870686027894,-1.75982835574425, 17.8005136303358)); #538582=CARTESIAN_POINT('Ctrl Pts',(2.39616088030948,-2.74584358881606, 17.76075298675)); #538583=CARTESIAN_POINT('Ctrl Pts',(1.27542284921421,-3.41388493955066, 17.7209923431643)); #538584=CARTESIAN_POINT('Ctrl Pts',(0.131004888091775,-3.45724956520578, 17.6812316995785)); #538585=CARTESIAN_POINT('Ctrl Pts',(-1.01341307303067,-3.5006141908609, 17.6414710559928)); #538586=CARTESIAN_POINT('Ctrl Pts',(-2.18151096418028,-2.91930209143651, 17.601710412407)); #538587=CARTESIAN_POINT('Ctrl Pts',(-2.83699891757896,-1.98019713951755, 17.5619497688213)); #538588=CARTESIAN_POINT('Ctrl Pts',(-3.49248687097764,-1.04109218759859, 17.5221891252355)); #538589=CARTESIAN_POINT('Ctrl Pts',(-3.63536488662539,0.255805616814941, 17.4824284816498)); #538590=CARTESIAN_POINT('Ctrl Pts',(-3.20004319894693,1.31508634628514, 17.442667838064)); #538591=CARTESIAN_POINT('Ctrl Pts',(-2.76472151126848,2.37436707575534, 17.4029071944783)); #538592=CARTESIAN_POINT('Ctrl Pts',(-1.75120012026379,3.1960307302822,17.3631465508925)); #538593=CARTESIAN_POINT('Ctrl Pts',(-0.624787347669739,3.40284811695249, 17.3233859073068)); #538594=CARTESIAN_POINT('Ctrl Pts',(0.501625424924314,3.60966550362279, 17.2836252637211)); #538595=CARTESIAN_POINT('Ctrl Pts',(1.74092957910774,3.20163662243651,17.2438646201353)); #538596=CARTESIAN_POINT('Ctrl Pts',(2.52415435828844,2.36608923207348,17.2041039765496)); #538597=CARTESIAN_POINT('Ctrl Pts',(3.11440740289584,1.73640487557055,17.174139601627)); #538598=CARTESIAN_POINT('Ctrl Pts',(3.44563402538529,0.863914999040338, 17.1441752267044)); #538599=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #538600=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #538601=CARTESIAN_POINT('Ctrl Pts',(3.4452492122412,0.824970431736543,17.037481820879)); #538602=CARTESIAN_POINT('Ctrl Pts',(3.14644155548638,1.65765055118977,17.0660724792378)); #538603=CARTESIAN_POINT('Ctrl Pts',(2.60432362970656,2.27754950826142,17.0946631375966)); #538604=CARTESIAN_POINT('Ctrl Pts',(1.8504075303335,3.13963464047434,17.1344237811824)); #538605=CARTESIAN_POINT('Ctrl Pts',(0.625927171962679,3.59019908181797, 17.1741844247681)); #538606=CARTESIAN_POINT('Ctrl Pts',(-0.506953744413779,3.42238695719357, 17.2139450683539)); #538607=CARTESIAN_POINT('Ctrl Pts',(-1.63983466079024,3.25457483256918, 17.2537057119396)); #538608=CARTESIAN_POINT('Ctrl Pts',(-2.68111613517234,2.46838614197675, 17.2934663555254)); #538609=CARTESIAN_POINT('Ctrl Pts',(-3.1527423769387,1.42476316181263,17.3332269991111)); #538610=CARTESIAN_POINT('Ctrl Pts',(-3.62436861870506,0.381140181648496, 17.3729876426969)); #538611=CARTESIAN_POINT('Ctrl Pts',(-3.52633962785568,-0.919917088087326, 17.4127482862826)); #538612=CARTESIAN_POINT('Ctrl Pts',(-2.90366008253745,-1.88108864993117, 17.4525089298684)); #538613=CARTESIAN_POINT('Ctrl Pts',(-2.28098053721924,-2.842260211775,17.4922695734541)); #538614=CARTESIAN_POINT('Ctrl Pts',(-1.13365043743219,-3.46354606572685, 17.5320302170399)); #538615=CARTESIAN_POINT('Ctrl Pts',(0.0115851503723349,-3.45971110225248, 17.5717908606256)); #538616=CARTESIAN_POINT('Ctrl Pts',(1.15682073817686,-3.45587613877811, 17.6115515042114)); #538617=CARTESIAN_POINT('Ctrl Pts',(2.29996181399887,-2.82692035787752, 17.6513121477971)); #538618=CARTESIAN_POINT('Ctrl Pts',(2.91619106118666,-1.86160059621578, 17.6910727913829)); #538619=CARTESIAN_POINT('Ctrl Pts',(3.26655145128237,-1.3127629520982,17.7136789150953)); #538620=CARTESIAN_POINT('Ctrl Pts',(3.44658490416676,-0.6551939348783,17.7362850388078)); #538621=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #538622=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #538623=CARTESIAN_POINT('',(3.45676666130078,0.00285083838310718,18.6142108517818)); #538624=CARTESIAN_POINT('',(3.45717382987894,0.00256648380479073,18.5088911625202)); #538625=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #538626=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #538627=CARTESIAN_POINT('Ctrl Pts',(3.44827487506845,-0.940853654570495, 18.5815423061476)); #538628=CARTESIAN_POINT('Ctrl Pts',(3.04622530289543,-1.8908152456668,18.5488737605134)); #538629=CARTESIAN_POINT('Ctrl Pts',(2.35729387523107,-2.53200655437074, 18.5162052148793)); #538630=CARTESIAN_POINT('Ctrl Pts',(1.51880056557687,-3.31239572079239, 18.4764445712935)); #538631=CARTESIAN_POINT('Ctrl Pts',(0.255345066464068,-3.63539905840144, 18.4366839277078)); #538632=CARTESIAN_POINT('Ctrl Pts',(-0.854253299070007,-3.35258267089608, 18.396923284122)); #538633=CARTESIAN_POINT('Ctrl Pts',(-1.9638516646041,-3.06976628339071, 18.3571626405363)); #538634=CARTESIAN_POINT('Ctrl Pts',(-2.91959289655946,-2.18113017077092, 18.3174019969505)); #538635=CARTESIAN_POINT('Ctrl Pts',(-3.2820588793649,-1.09472199374446, 18.2776413533648)); #538636=CARTESIAN_POINT('Ctrl Pts',(-3.64452486217034,-0.00831381671800815, 18.237880709779)); #538637=CARTESIAN_POINT('Ctrl Pts',(-3.41371559582586,1.27586642471511, 18.1981200661933)); #538638=CARTESIAN_POINT('Ctrl Pts',(-2.69592237908466,2.16828346616914, 18.1583594226075)); #538639=CARTESIAN_POINT('Ctrl Pts',(-1.97812916234345,3.06070050762317, 18.1185987790218)); #538640=CARTESIAN_POINT('Ctrl Pts',(-0.773351995205526,3.5613543490981, 18.078838135436)); #538641=CARTESIAN_POINT('Ctrl Pts',(0.36545917603066,3.440374089431,18.0390774918503)); #538642=CARTESIAN_POINT('Ctrl Pts',(1.50427034726684,3.31939382976389,17.9993168482645)); #538643=CARTESIAN_POINT('Ctrl Pts',(2.57711552260128,2.57677946895475,17.9595562046788)); #538644=CARTESIAN_POINT('Ctrl Pts',(3.09136114584667,1.55347773084567,17.919795561093)); #538645=CARTESIAN_POINT('Ctrl Pts',(3.33099671597219,1.07662487233299,17.9012673246559)); #538646=CARTESIAN_POINT('Ctrl Pts',(3.44933196785117,0.538820536027425, 17.8827390882188)); #538647=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #538648=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #538649=CARTESIAN_POINT('Ctrl Pts',(3.45039987698711,0.499429634982708, 17.7760456823935)); #538650=CARTESIAN_POINT('Ctrl Pts',(3.34962364114093,0.996951477642622, 17.7932002022668)); #538651=CARTESIAN_POINT('Ctrl Pts',(3.14313151751043,1.44584452207967,17.8103547221401)); #538652=CARTESIAN_POINT('Ctrl Pts',(2.66452530809282,2.48628613629049,17.8501153657259)); #538653=CARTESIAN_POINT('Ctrl Pts',(1.61799547070403,3.26548562228923,17.8898760093116)); #538654=CARTESIAN_POINT('Ctrl Pts',(0.48401909475832,3.42570621149547,17.9296366528974)); #538655=CARTESIAN_POINT('Ctrl Pts',(-0.649957281187394,3.5859268007017, 17.9693972964831)); #538656=CARTESIAN_POINT('Ctrl Pts',(-1.87138019569003,3.12716849311544, 18.0091579400689)); #538657=CARTESIAN_POINT('Ctrl Pts',(-2.61951188508239,2.26005551033554, 18.0489185836546)); #538658=CARTESIAN_POINT('Ctrl Pts',(-3.36764357447474,1.39294252755566, 18.0886792272404)); #538659=CARTESIAN_POINT('Ctrl Pts',(-3.64248403875683,0.117474869582156, 18.1284398708261)); #538660=CARTESIAN_POINT('Ctrl Pts',(-3.31781017411624,-0.980788288476244, 18.1682005144119)); #538661=CARTESIAN_POINT('Ctrl Pts',(-2.99313630947565,-2.07905144653467, 18.2079611579976)); #538662=CARTESIAN_POINT('Ctrl Pts',(-2.06894811591235,-3.00011010467798, 18.2477218015834)); #538663=CARTESIAN_POINT('Ctrl Pts',(-0.969627030318212,-3.32107798746736, 18.2874824451691)); #538664=CARTESIAN_POINT('Ctrl Pts',(0.12969405527592,-3.64204587025674, 18.3272430887549)); #538665=CARTESIAN_POINT('Ctrl Pts',(1.40414803290089,-3.3629229776922,18.3670037323406)); #538666=CARTESIAN_POINT('Ctrl Pts',(2.26878997755794,-2.61190388700964, 18.4067643759264)); #538667=CARTESIAN_POINT('Ctrl Pts',(3.00907899536247,-1.96889646824004, 18.4408066381243)); #538668=CARTESIAN_POINT('Ctrl Pts',(3.44895778174161,-0.979968396387772, 18.4748489003223)); #538669=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #538670=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538671=CARTESIAN_POINT('',(3.02617200638231,0.00249572166953512,18.8626158051006)); #538672=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,18.874051007151)); #538673=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538674=CARTESIAN_POINT('',(3.00988516885489,0.289230331641654,18.874051007151)); #538675=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #538676=CARTESIAN_POINT('Ctrl Pts',(3.02703939833448,0.098890244567446, 18.8664275391174)); #538677=CARTESIAN_POINT('Ctrl Pts',(3.02321630658325,0.195210194383798, 18.8702392731342)); #538678=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361003, 18.874051007151)); #538679=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #538680=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538681=CARTESIAN_POINT('',(3.01887552107167,0.000563669479750672,18.1126158051006)); #538682=CARTESIAN_POINT('',(3.026528455713,0.00224678792425714,18.2604862092014)); #538683=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538684=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #538685=CARTESIAN_POINT('Ctrl Pts',(3.01966342088194,0.471701967544478, 18.1311440415377)); #538686=CARTESIAN_POINT('Ctrl Pts',(2.69375970340913,1.95285343924806,18.1894329215605)); #538687=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #538688=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #538689=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #538690=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #538691=CARTESIAN_POINT('Ctrl Pts',(2.23839242433519,-2.66745387143649, 18.7575180702465)); #538692=CARTESIAN_POINT('Ctrl Pts',(3.01873800562377,-0.823655540799612, 18.8299472594664)); #538693=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #538694=CARTESIAN_POINT('',(1.25446167448542,-2.75125234940612,18.874051007151)); #538695=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #538696=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #538697=CARTESIAN_POINT('Ctrl Pts',(3.02773666238074,0.146732941569457, 18.2662045905892)); #538698=CARTESIAN_POINT('Ctrl Pts',(2.95254184017693,1.29459396607607,18.3116836155627)); #538699=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #538700=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #538701=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #538702=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #538703=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #538704=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #538705=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538706=CARTESIAN_POINT('',(3.02335599467478,-0.00278901800346786,17.3626158051006)); #538707=CARTESIAN_POINT('',(3.01915738418834,0.00111476777149289,17.5104862092014)); #538708=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538709=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #538710=CARTESIAN_POINT('Ctrl Pts',(3.01642611528517,0.756300804410545, 17.3925801800232)); #538711=CARTESIAN_POINT('Ctrl Pts',(2.34169272875362,2.53362789448903,17.4623051985316)); #538712=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #538713=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #538714=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #538715=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #538716=CARTESIAN_POINT('Ctrl Pts',(2.62161161335373,-2.09150507237329, 18.0303903472175)); #538717=CARTESIAN_POINT('Ctrl Pts',(3.01797262836404,-0.539336617404203, 18.0913833979519)); #538718=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #538719=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #538720=CARTESIAN_POINT('Ctrl Pts',(3.0205983051465,0.437217822485471,17.5276407290747)); #538721=CARTESIAN_POINT('Ctrl Pts',(2.72789265824333,1.88227529641706,17.5845558925337)); #538722=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #538723=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #538724=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #538725=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #538726=CARTESIAN_POINT('Ctrl Pts',(2.18447976385771,-2.73480729503112, 18.1526410412197)); #538727=CARTESIAN_POINT('Ctrl Pts',(3.01933584553487,-0.857897926604489, 18.2264439470034)); #538728=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #538729=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538730=CARTESIAN_POINT('',(3.02853142945889,0.000766392441006698,16.6126158051006)); #538731=CARTESIAN_POINT('',(3.02233146249177,-0.00270647713703234,16.7604862092014)); #538732=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538733=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #538734=CARTESIAN_POINT('Ctrl Pts',(3.02867162173054,0.0421251326036163, 16.6142556749229)); #538735=CARTESIAN_POINT('Ctrl Pts',(3.00914031197734,1.08614153397219,16.655656188331)); #538736=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #538737=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #538738=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #538739=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #538740=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #538741=CARTESIAN_POINT('Ctrl Pts',(2.88404506019069,-1.50383686853483, 17.3032626241885)); #538742=CARTESIAN_POINT('Ctrl Pts',(3.02562158374444,-0.250958648073935, 17.3528195364374)); #538743=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #538744=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #538745=CARTESIAN_POINT('Ctrl Pts',(3.01608923666779,0.722207395208216, 16.7890768675602)); #538746=CARTESIAN_POINT('Ctrl Pts',(2.39071799585677,2.46491441000329,16.8574281695047)); #538747=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #538748=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #538749=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #538750=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #538751=CARTESIAN_POINT('Ctrl Pts',(2.58244417295976,-2.16173107702174, 17.4255133181908)); #538752=CARTESIAN_POINT('Ctrl Pts',(3.01725854716577,-0.573579230023859, 17.487880085489)); #538753=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #538754=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538755=CARTESIAN_POINT('',(3.02104192067698,0.00239390982333918,15.8626158051006)); #538756=CARTESIAN_POINT('',(3.02875979576745,0.00012629491215721,16.0104862092014)); #538757=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538758=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #538759=CARTESIAN_POINT('Ctrl Pts',(3.02349131528772,0.334292783767024, 15.8756918134085)); #538760=CARTESIAN_POINT('Ctrl Pts',(2.81985116815183,1.67248544251706,15.9285284653021)); #538761=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #538762=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #538763=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #538764=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #538765=CARTESIAN_POINT('Ctrl Pts',(2.00973329811733,-2.930817723231,16.4966136139881)); #538766=CARTESIAN_POINT('Ctrl Pts',(3.02527248914663,-0.960667957643083, 16.5744950313372)); #538767=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #538768=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #538769=CARTESIAN_POINT('Ctrl Pts',(3.0287638713042,0.00683752153558703, 16.01075236246)); #538770=CARTESIAN_POINT('Ctrl Pts',(3.02570028386496,1.01613527253253,16.0507791593043)); #538771=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #538772=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #538773=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #538774=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #538775=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #538776=CARTESIAN_POINT('Ctrl Pts',(2.85818096250691,-1.57444896065987, 16.6983855951618)); #538777=CARTESIAN_POINT('Ctrl Pts',(3.02477021606513,-0.285920565574129, 16.7493162239745)); #538778=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #538779=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538780=CARTESIAN_POINT('',(3.01986093384298,-0.00180024280786706,15.1126158051006)); #538781=CARTESIAN_POINT('',(3.02195260188661,0.00264135251424213,15.2604862092014)); #538782=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538783=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #538784=CARTESIAN_POINT('Ctrl Pts',(3.01649845549417,0.620985970625849, 15.137127951894)); #538785=CARTESIAN_POINT('Ctrl Pts',(2.52515202809451,2.25885038185616,15.2014007422731)); #538786=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #538787=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #538788=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #538789=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #538790=CARTESIAN_POINT('Ctrl Pts',(2.45558611215188,-2.3689540638824,15.7694858909591)); #538791=CARTESIAN_POINT('Ctrl Pts',(3.0160433614607,-0.67492291284979,15.8359311698227)); #538792=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #538793=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #538794=CARTESIAN_POINT('Ctrl Pts',(3.02442291921485,0.299446361871114, 15.2721885009455)); #538795=CARTESIAN_POINT('Ctrl Pts',(2.84776549928966,1.60182294007854,15.3236514362753)); #538796=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #538797=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #538798=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #538799=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #538800=CARTESIAN_POINT('Ctrl Pts',(1.94671012053896,-2.99534762149592, 15.8917365849613)); #538801=CARTESIAN_POINT('Ctrl Pts',(3.02815502674155,-0.995752832757384, 15.9709917188742)); #538802=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #538803=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #538804=CARTESIAN_POINT('',(3.02757717608853,-0.0018553911440936,14.3626158051006)); #538805=CARTESIAN_POINT('',(3.01931036916349,-0.00133655338743016,14.5104862092014)); #538806=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #538807=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #538808=CARTESIAN_POINT('Ctrl Pts',(3.02154822416238,0.9054513732703,14.3985640903795)); #538809=CARTESIAN_POINT('Ctrl Pts',(2.10521923484674,2.82748473653963,14.4742730192441)); #538810=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #538811=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #538812=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #538813=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #538814=CARTESIAN_POINT('Ctrl Pts',(2.77259068616694,-1.78426083050036, 15.0423581679301)); #538815=CARTESIAN_POINT('Ctrl Pts',(3.02195266170136,-0.389222595404519, 15.0973673083082)); #538816=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #538817=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #538818=CARTESIAN_POINT('Ctrl Pts',(3.01701209928853,0.586819190421727, 14.533624639431)); #538819=CARTESIAN_POINT('Ctrl Pts',(2.56680490903003,2.1889262043457,14.5965237132463)); #538820=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #538821=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #538822=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #538823=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #538824=CARTESIAN_POINT('Ctrl Pts',(2.4091998395351,-2.43818422205354,15.1646088619323)); #538825=CARTESIAN_POINT('Ctrl Pts',(3.01602957122972,-0.709002106992609, 15.2324278573597)); #538826=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #538827=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #538828=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.124050997906)); #538829=CARTESIAN_POINT('',(-4.45000048946549,4.80000000609964,1.12405099790598)); #538830=CARTESIAN_POINT('',(-0.800000318548086,4.80010000606,1.124050997906)); #538831=CARTESIAN_POINT('',(-4.45000048946543,4.80000000607335,1.324050998148)); #538832=CARTESIAN_POINT('',(-4.45000048946543,4.80000000606,1.3990509982385)); #538833=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.324050998148)); #538834=CARTESIAN_POINT('',(0.374999600083595,4.80000000606,1.324050998148)); #538835=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.2740509980875)); #538836=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #538837=CARTESIAN_POINT('',(4.45000006701829,4.80000000606987,1.324050998148)); #538838=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.324050998148)); #538839=CARTESIAN_POINT('',(3.399999813374,4.80000000606,1.324050998148)); #538840=CARTESIAN_POINT('',(4.45000006701731,4.80000000634984,1.12405099790602)); #538841=CARTESIAN_POINT('',(4.4500000670183,4.80000000606,1.39905099823851)); #538842=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.124050997906)); #538843=CARTESIAN_POINT('',(2.22499964104865,4.80010000606,1.124050997906)); #538844=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.1740509979665)); #538845=CARTESIAN_POINT('Origin',(-4.75010061538184,3.52500000467047,1.22405099802707)); #538846=CARTESIAN_POINT('',(-4.75005067834004,4.50000000605998,1.32405099814807)); #538847=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.12405099790607)); #538848=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.27405099808757)); #538849=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.12405099790607)); #538850=CARTESIAN_POINT('',(-4.75010061538184,4.01250000536522,1.12405099790607)); #538851=CARTESIAN_POINT('',(-4.75000061538183,2.55000000328101,1.32405099814811)); #538852=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.17405099796657)); #538853=CARTESIAN_POINT('',(-4.75000061538183,-0.0499999998610168,1.32405099814807)); #538854=CARTESIAN_POINT('Origin',(-4.75010061538181,-3.52500000427253,1.22405099802707)); #538855=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.12405099790607)); #538856=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.12405099790598)); #538857=CARTESIAN_POINT('',(-4.75010061538182,-3.03750000357778,1.12405099790607)); #538858=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.324050998148)); #538859=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.3990509982385)); #538860=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288299,1.32405099814811)); #538861=CARTESIAN_POINT('',(-4.75000061538181,-3.57500000433252,1.32405099814807)); #538862=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.27405099808757)); #538863=CARTESIAN_POINT('Origin',(4.75009972652544,1.99000815825912E-10, 1.224050998027)); #538864=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #538865=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.12405099790602)); #538866=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #538867=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.324050998148)); #538868=CARTESIAN_POINT('',(4.7500000670183,4.50000000606,1.3990509982385)); #538869=CARTESIAN_POINT('',(4.74999972652544,2.55000000328099,1.324050998148)); #538870=CARTESIAN_POINT('',(4.74999972652545,0.9750000015885,1.324050998148)); #538871=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.324050998148)); #538872=CARTESIAN_POINT('Origin',(4.75009972652547,1.99000815825912E-10, 1.224050998027)); #538873=CARTESIAN_POINT('',(4.75004972652547,-4.500000005662,1.324050998148)); #538874=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #538875=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.324050998148)); #538876=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #538877=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #538878=CARTESIAN_POINT('',(4.74999972652546,-2.55000000288301,1.324050998148)); #538879=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #538880=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.324050998148)); #538881=CARTESIAN_POINT('Origin',(1.92624008029336,-1.86267068874964,28.5149676238849)); #538882=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #538883=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874963,20.374051007151)); #538884=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.874051007151)); #538885=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,20.374051007151)); #538886=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,20.374051007151)); #538887=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.5149676238849)); #538888=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.874051007151)); #538889=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #538890=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #538891=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #538892=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #538893=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #538894=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #538895=CARTESIAN_POINT('Ctrl Pts',(1.94778956094194,-1.70190720644611, 28.6347194078531)); #538896=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #538897=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #538898=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #538899=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #538900=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #538901=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #538902=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #538903=CARTESIAN_POINT('',(1.84060378897995,-1.64002347215055,28.874051007151)); #538904=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #538905=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #538906=CARTESIAN_POINT('Ctrl Pts',(1.94778956094195,-1.70190720644611, 28.6347194078531)); #538907=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #538908=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #538909=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #538910=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795558, 28.5149676238849)); #538911=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #538912=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794972, 28.6347207981089)); #538913=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #538914=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295809, 28.7544202125044)); #538915=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #538916=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764108, 28.874051007151)); #538917=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #538918=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #538919=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #538920=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #538921=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #538922=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #538923=CARTESIAN_POINT('',(-2.34060417044995,0.773998068764108,28.874051007151)); #538924=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #538925=CARTESIAN_POINT('',(-2.50124046176341,0.866741474795558,28.5149676238849)); #538926=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795557, 28.5149676238849)); #538927=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794971, 28.6347207981089)); #538928=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295808, 28.7544202125044)); #538929=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764107, 28.874051007151)); #538930=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #538931=CARTESIAN_POINT('Origin',(-2.57624046176339,0.73683766422788,20.374051007151)); #538932=CARTESIAN_POINT('',(-2.57624046176339,0.736837664227881,20.374051007151)); #538933=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,28.874051007151)); #538934=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795547,20.374051007151)); #538935=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795544,28.874051007151)); #538936=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,20.374051007151)); #538937=CARTESIAN_POINT('Origin',(-7.32542773965386,3.65198729830678,28.874051007151)); #538938=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,20.374051007151)); #538939=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,28.874051007151)); #538940=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #538941=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,20.374051007151)); #538942=CARTESIAN_POINT('',(1.79489676448307,1.15504985387193,20.374051007151)); #538943=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,20.374051007151)); #538944=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #538945=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,20.374051007151)); #538946=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #538947=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829872,20.374051007151)); #538948=CARTESIAN_POINT('',(-0.589125236220423,-2.38517242385953,20.374051007151)); #538949=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397538,20.374051007151)); #538950=CARTESIAN_POINT('',(2.25124008029338,-1.29975417628976,20.374051007151)); #538951=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,20.374051007151)); #538952=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,20.374051007151)); #538953=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,20.374051007151)); #538954=CARTESIAN_POINT('',(-2.25124046176335,1.29975417668774,20.374051007151)); #538955=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,20.374051007151)); #538956=CARTESIAN_POINT('',(-1.96189493723401,2.6818615432879,20.374051007151)); #538957=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,20.374051007151)); #538958=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #538959=CARTESIAN_POINT('',(-3.78977879690826,0.104898781846103,20.374051007151)); #538960=CARTESIAN_POINT('Origin',(-1.92624046176335,1.86267068914763,28.5149676238849)); #538961=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #538962=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.874051007151)); #538963=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.5149676238849)); #538964=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.874051007151)); #538965=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #538966=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #538967=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #538968=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #538969=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #538970=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #538971=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #538972=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #538973=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #538974=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #538975=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #538976=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #538977=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #538978=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #538979=CARTESIAN_POINT('',(-1.84060417044995,1.64002347254855,28.874051007151)); #538980=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #538981=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #538982=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #538983=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #538984=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #538985=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #538986=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397552, 28.5149676238849)); #538987=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #538988=CARTESIAN_POINT('Ctrl Pts',(2.44778894040929,-0.835881444396968, 28.6347207981089)); #538989=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #538990=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #538991=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #538992=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.77399806836611, 28.874051007151)); #538993=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #538994=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #538995=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #538996=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #538997=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #538998=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #538999=CARTESIAN_POINT('',(2.34060378897995,-0.77399806836611,28.874051007151)); #539000=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #539001=CARTESIAN_POINT('',(2.5012400802934,-0.866741474397552,28.5149676238849)); #539002=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397553, 28.5149676238849)); #539003=CARTESIAN_POINT('Ctrl Pts',(2.44778894040928,-0.835881444396969, 28.6347207981089)); #539004=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #539005=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.773998068366111, 28.874051007151)); #539006=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #539007=CARTESIAN_POINT('Origin',(2.57624008029337,-0.736837663829872,20.374051007151)); #539008=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829873,28.874051007151)); #539009=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397539,28.874051007151)); #539010=CARTESIAN_POINT('Origin',(-6.82542773965386,4.51801270209122,28.874051007151)); #539011=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,28.874051007151)); #539012=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #539013=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,20.374051007151)); #539014=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,20.374051007151)); #539015=CARTESIAN_POINT('',(-1.55646407602668,-3.17681538796959,20.374051007151)); #539016=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,28.874051007151)); #539017=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,15.751915342762)); #539018=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,27.874051007151)); #539019=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902071,-2.46201938233134, 28.8740510071514)); #539020=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798766,-2.62759081303762, 28.4576511057424)); #539021=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387483,-2.82627652988517, 27.874051007151)); #539022=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,15.751915342762)); #539023=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #539024=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,20.374051007151)); #539025=CARTESIAN_POINT('',(-3.17055966245198,1.10316841575079,20.374051007151)); #539026=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,27.874051007151)); #539027=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,15.751915342762)); #539028=CARTESIAN_POINT('Ctrl Pts',(-0.0212545508626208,-2.99992470755211, 27.874051007151)); #539029=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066228,-2.70652180288617, 28.4576511057424)); #539030=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902549,-2.46201938233124, 28.8740510071515)); #539031=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #539032=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,20.374051007151)); #539033=CARTESIAN_POINT('',(0.0212541693925872,-2.99992470755211,20.374051007151)); #539034=CARTESIAN_POINT('',(0.427054330068471,-2.47122526760992,20.374051007151)); #539035=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,28.874051007151)); #539036=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,15.751915342762)); #539037=CARTESIAN_POINT('',(0.0212541693925886,-2.99992470755211,27.874051007151)); #539038=CARTESIAN_POINT('Ctrl Pts',(0.434120253432504,-2.46201938233127, 28.8740510071514)); #539039=CARTESIAN_POINT('Ctrl Pts',(0.246453851596188,-2.70652180288618, 28.4576511057424)); #539040=CARTESIAN_POINT('Ctrl Pts',(0.0212541693925879,-2.99992470755211, 27.874051007151)); #539041=CARTESIAN_POINT('',(0.0212541693925869,-2.99992470755211,15.751915342762)); #539042=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #539043=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,20.374051007151)); #539044=CARTESIAN_POINT('',(-3.70844457374861,0.176289608541564,20.374051007151)); #539045=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,27.874051007151)); #539046=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,15.751915342762)); #539047=CARTESIAN_POINT('Ctrl Pts',(1.0060619224048,-2.82627652988518,27.874051007151)); #539048=CARTESIAN_POINT('Ctrl Pts',(0.694093739328748,-2.62759081303763, 28.4576511057424)); #539049=CARTESIAN_POINT('Ctrl Pts',(0.434120253432062,-2.46201938233136, 28.8740510071514)); #539050=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #539051=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,20.374051007151)); #539052=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,20.374051007151)); #539053=CARTESIAN_POINT('',(1.67437934683947,-0.819744706995834,20.374051007151)); #539054=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,28.874051007151)); #539055=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,15.751915342762)); #539056=CARTESIAN_POINT('',(1.04600705314767,-2.8117376912909,27.874051007151)); #539057=CARTESIAN_POINT('Ctrl Pts',(1.24999980926508,-2.1650635092618,28.8740510071514)); #539058=CARTESIAN_POINT('Ctrl Pts',(1.15727582921172,-2.45900631927501, 28.4576511057424)); #539059=CARTESIAN_POINT('Ctrl Pts',(1.04600705314767,-2.8117376912909,27.874051007151)); #539060=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,15.751915342762)); #539061=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #539062=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,20.374051007151)); #539063=CARTESIAN_POINT('',(-3.47336509236803,-1.11859595843677,20.374051007151)); #539064=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,27.874051007151)); #539065=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,15.751915342762)); #539066=CARTESIAN_POINT('Ctrl Pts',(1.9120324569321,-2.31173769129091,27.874051007151)); #539067=CARTESIAN_POINT('Ctrl Pts',(1.55092374002255,-2.23173359200242, 28.4576511057424)); #539068=CARTESIAN_POINT('Ctrl Pts',(1.24999980926463,-2.16506350926202, 28.8740510071515)); #539069=CARTESIAN_POINT('Origin',(1.91511091706243,-1.60696902401735,15.751915342762)); #539070=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,27.874051007151)); #539071=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874963, 28.5149676238849)); #539072=CARTESIAN_POINT('Ctrl Pts',(1.93490020185357,-2.06164409346862, 28.2258857969732)); #539073=CARTESIAN_POINT('Ctrl Pts',(1.94459602586772,-2.28441361260723, 27.874051007151)); #539074=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,15.751915342762)); #539075=CARTESIAN_POINT('Origin',(-2.34923174269976,0.855050358513194,15.751915342762)); #539076=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,27.874051007151)); #539077=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,15.751915342762)); #539078=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047753,0.541862917476988, 27.874051007151)); #539079=CARTESIAN_POINT('Ctrl Pts',(-2.75288654570759,0.644850847139407, 28.2258857969731)); #539080=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176338,0.736837664227884, 28.5149676238848)); #539081=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #539082=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,20.374051007151)); #539083=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,20.374051007151)); #539084=CARTESIAN_POINT('',(-3.58080893148628,-2.68145717461976,20.374051007151)); #539085=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,28.874051007151)); #539086=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,15.751915342762)); #539087=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,27.874051007151)); #539088=CARTESIAN_POINT('Ctrl Pts',(-1.2500001907347,-2.16506350926202, 28.8740510071514)); #539089=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149262,-2.23173359200241, 28.4576511057424)); #539090=CARTESIAN_POINT('Ctrl Pts',(-1.91203283840214,-2.31173769129089, 27.874051007151)); #539091=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,15.751915342762)); #539092=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #539093=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,20.374051007151)); #539094=CARTESIAN_POINT('',(-2.34413605300257,1.30343913380909,20.374051007151)); #539095=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,27.874051007151)); #539096=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,15.751915342762)); #539097=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461771,-2.81173769129088, 27.874051007151)); #539098=CARTESIAN_POINT('Ctrl Pts',(-1.15727621068175,-2.45900631927497, 28.4576511057424)); #539099=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073512,-2.16506350926175, 28.8740510071515)); #539100=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #539101=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,20.374051007151)); #539102=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,20.374051007151)); #539103=CARTESIAN_POINT('',(-4.94315820060534,-1.20789807014486,20.374051007151)); #539104=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,28.874051007151)); #539105=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,15.751915342762)); #539106=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,27.874051007151)); #539107=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853214,-1.60696902401737, 28.8740510071514)); #539108=CARTESIAN_POINT('Ctrl Pts',(-2.22068980693764,-1.56669636286776, 28.4576511057424)); #539109=CARTESIAN_POINT('Ctrl Pts',(-2.58738401702428,-1.51836916948822, 27.874051007151)); #539110=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,15.751915342762)); #539111=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #539112=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,20.374051007151)); #539113=CARTESIAN_POINT('',(-1.80888956495441,0.833562536448478,20.374051007151)); #539114=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,27.874051007151)); #539115=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,15.751915342762)); #539116=CARTESIAN_POINT('Ctrl Pts',(-1.94459640733774,-2.2844136126072, 27.874051007151)); #539117=CARTESIAN_POINT('Ctrl Pts',(-1.92851362071667,-1.91489838246707, 28.4576511057424)); #539118=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853244,-1.606969024017,28.8740510071515)); #539119=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #539120=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,20.374051007151)); #539121=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,20.374051007151)); #539122=CARTESIAN_POINT('',(-5.23261578132128,0.646445255228196,20.374051007151)); #539123=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,28.874051007151)); #539124=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,15.751915342762)); #539125=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078932,27.874051007151)); #539126=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269949,-0.855050358115296, 28.8740510071514)); #539127=CARTESIAN_POINT('Ctrl Pts',(-2.62260755078041,-0.712692430371501, 28.4576511057424)); #539128=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047754,-0.541862917078933, 27.874051007151)); #539129=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,15.751915342762)); #539130=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #539131=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,20.374051007151)); #539132=CARTESIAN_POINT('',(-1.94528924220284,0.12053019870786,20.374051007151)); #539133=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,27.874051007151)); #539134=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,15.751915342762)); #539135=CARTESIAN_POINT('Ctrl Pts',(-2.60863837715188,-1.48155553786484, 27.874051007151)); #539136=CARTESIAN_POINT('Ctrl Pts',(-2.46714384926884,-1.13982543981938, 28.4576511057424)); #539137=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269965,-0.855050358114845, 28.8740510071514)); #539138=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #539139=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,20.374051007151)); #539140=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,20.374051007151)); #539141=CARTESIAN_POINT('',(-4.49514760549644,2.17791883605713,20.374051007151)); #539142=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,28.874051007151)); #539143=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,15.751915342762)); #539144=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199028,27.874051007151)); #539145=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073478,1.98790006231603E-10, 28.8740510071514)); #539146=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143933,0.227272727471614, 28.4576511057424)); #539147=CARTESIAN_POINT('Ctrl Pts',(-2.9580400822848,0.500000000199028, 27.874051007151)); #539148=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,15.751915342762)); #539149=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #539150=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,20.374051007151)); #539151=CARTESIAN_POINT('',(-2.74084917561152,-0.262912673260038,20.374051007151)); #539152=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,27.874051007151)); #539153=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,15.751915342762)); #539154=CARTESIAN_POINT('Ctrl Pts',(-2.95804008228482,-0.499999999800975, 27.874051007151)); #539155=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143932,-0.227272727073556, 28.4576511057424)); #539156=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073477,1.9927169611584E-10, 28.8740510071515)); #539157=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539158=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539159=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539160=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539161=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539162=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539163=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539164=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539165=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539166=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539167=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539168=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539169=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539170=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539171=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539172=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539173=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539174=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539175=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539176=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539177=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539178=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539179=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539180=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539181=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539182=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539183=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539184=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539185=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539186=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539187=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539188=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539189=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539190=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539191=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539192=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539193=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539194=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #539195=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,20.374051007151)); #539196=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,20.374051007151)); #539197=CARTESIAN_POINT('',(-2.72955297523715,1.2833884871908,20.374051007151)); #539198=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,28.874051007151)); #539199=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,15.751915342762)); #539200=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,27.874051007151)); #539201=CARTESIAN_POINT('Ctrl Pts',(1.24999980926469,2.16506350966002,28.8740510071514)); #539202=CARTESIAN_POINT('Ctrl Pts',(1.5509237400226,2.23173359240042,28.4576511057424)); #539203=CARTESIAN_POINT('Ctrl Pts',(1.91203245693212,2.3117376916889,27.874051007151)); #539204=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,15.751915342762)); #539205=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #539206=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,20.374051007151)); #539207=CARTESIAN_POINT('',(0.663893034085365,4.02307124794892,20.374051007151)); #539208=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,27.874051007151)); #539209=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,15.751915342762)); #539210=CARTESIAN_POINT('Ctrl Pts',(1.04600705314768,2.8117376916889,27.874051007151)); #539211=CARTESIAN_POINT('Ctrl Pts',(1.15727582921173,2.45900631967301,28.4576511057424)); #539212=CARTESIAN_POINT('Ctrl Pts',(1.24999980926509,2.16506350965979,28.8740510071514)); #539213=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #539214=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,20.374051007151)); #539215=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,20.374051007151)); #539216=CARTESIAN_POINT('',(-2.11260221705595,0.84006750715199,20.374051007151)); #539217=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,28.874051007151)); #539218=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,15.751915342762)); #539219=CARTESIAN_POINT('',(1.00606192240485,2.82627653028317,27.874051007151)); #539220=CARTESIAN_POINT('Ctrl Pts',(0.43412025343209,2.46201938272934,28.8740510071514)); #539221=CARTESIAN_POINT('Ctrl Pts',(0.694093739328786,2.62759081343562, 28.4576511057424)); #539222=CARTESIAN_POINT('Ctrl Pts',(1.00606192240485,2.82627653028316,27.874051007151)); #539223=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,15.751915342762)); #539224=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #539225=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,20.374051007151)); #539226=CARTESIAN_POINT('',(-1.2741058916772,4.68759318415394,20.374051007151)); #539227=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,27.874051007151)); #539228=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,15.751915342762)); #539229=CARTESIAN_POINT('Ctrl Pts',(0.0212541693926478,2.9999247079501, 27.874051007151)); #539230=CARTESIAN_POINT('Ctrl Pts',(0.246453851596229,2.70652180328419, 28.4576511057423)); #539231=CARTESIAN_POINT('Ctrl Pts',(0.434120253432532,2.46201938272928, 28.8740510071514)); #539232=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #539233=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,20.374051007151)); #539234=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,20.374051007151)); #539235=CARTESIAN_POINT('',(-1.46939944070624,1.11319950079325,20.374051007151)); #539236=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,28.874051007151)); #539237=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,15.751915342762)); #539238=CARTESIAN_POINT('',(-0.0212545508625678,2.99992470795011,27.874051007151)); #539239=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902487,2.46201938272927, 28.8740510071514)); #539240=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066169,2.70652180328418, 28.4576511057424)); #539241=CARTESIAN_POINT('Ctrl Pts',(-0.021254550862568,2.99992470795011, 27.874051007151)); #539242=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,15.751915342762)); #539243=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #539244=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,20.374051007151)); #539245=CARTESIAN_POINT('',(-3.15230643271926,4.19317250366315,20.374051007151)); #539246=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,27.874051007151)); #539247=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,15.751915342762)); #539248=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387478,2.82627653028319, 27.874051007151)); #539249=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798735,2.62759081343564, 28.4576511057423)); #539250=CARTESIAN_POINT('Ctrl Pts',(-0.43412063490205,2.46201938272937, 28.8740510071514)); #539251=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #539252=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,20.374051007151)); #539253=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,20.374051007151)); #539254=CARTESIAN_POINT('',(-1.33364974024846,1.89988740714398,20.374051007151)); #539255=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,28.874051007151)); #539256=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,15.751915342762)); #539257=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,27.874051007151)); #539258=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073507,2.1650635096598,28.8740510071514)); #539259=CARTESIAN_POINT('Ctrl Pts',(-1.1572762106817,2.45900631967302,28.4576511057424)); #539260=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461765,2.81173769168891, 27.874051007151)); #539261=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,15.751915342762)); #539262=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #539263=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,20.374051007151)); #539264=CARTESIAN_POINT('',(-4.32462104861709,2.84624970337385,20.374051007151)); #539265=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,27.874051007151)); #539266=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,15.751915342762)); #539267=CARTESIAN_POINT('Ctrl Pts',(-1.9120328384021,2.31173769168892,27.874051007151)); #539268=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149258,2.23173359240044, 28.4576511057424)); #539269=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073468,2.16506350966004, 28.8740510071514)); #539270=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539271=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,27.874051007151)); #539272=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #539273=CARTESIAN_POINT('Ctrl Pts',(-1.93490058332356,2.06164409386662, 28.2258857969732)); #539274=CARTESIAN_POINT('Ctrl Pts',(-1.9445964073377,2.28441361300524,27.874051007151)); #539275=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539276=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539277=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539278=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539279=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539280=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539281=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539282=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539283=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539284=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,27.874051007151)); #539285=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,28.874051007151)); #539286=CARTESIAN_POINT('Ctrl Pts',(1.94459602586773,2.28441361300523,27.874051007151)); #539287=CARTESIAN_POINT('Ctrl Pts',(1.92851323924666,1.91489838286511,28.4576511057424)); #539288=CARTESIAN_POINT('Ctrl Pts',(1.91511091706243,1.60696902441504,28.8740510071514)); #539289=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539290=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539291=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539292=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,27.874051007151)); #539293=CARTESIAN_POINT('Ctrl Pts',(1.91511091706213,1.60696902441539,28.8740510071514)); #539294=CARTESIAN_POINT('Ctrl Pts',(2.22068942546763,1.56669636326578,28.4576511057424)); #539295=CARTESIAN_POINT('Ctrl Pts',(2.58738363555427,1.51836916988624,27.874051007151)); #539296=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,28.874051007151)); #539297=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539298=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,27.874051007151)); #539299=CARTESIAN_POINT('Ctrl Pts',(2.60863799568187,1.48155553826286,27.874051007151)); #539300=CARTESIAN_POINT('Ctrl Pts',(2.46714346779884,1.13982544021741,28.4576511057424)); #539301=CARTESIAN_POINT('Ctrl Pts',(2.34923136122965,0.855050358512886, 28.8740510071514)); #539302=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539303=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539304=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,27.874051007151)); #539305=CARTESIAN_POINT('Ctrl Pts',(2.34923136122949,0.855050358513313, 28.8740510071514)); #539306=CARTESIAN_POINT('Ctrl Pts',(2.62260716931041,0.71269243076952,28.4576511057424)); #539307=CARTESIAN_POINT('Ctrl Pts',(2.95065813900754,0.541862917476953, 27.874051007151)); #539308=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,28.874051007151)); #539309=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539310=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,27.874051007151)); #539311=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,0.500000000198993, 27.874051007151)); #539312=CARTESIAN_POINT('Ctrl Pts',(2.70819975996934,0.227272727471589, 28.4576511057424)); #539313=CARTESIAN_POINT('Ctrl Pts',(2.49999980926479,1.98772527880126E-10, 28.8740510071514)); #539314=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539315=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539316=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539317=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539318=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539319=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539320=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #539321=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,27.874051007151)); #539322=CARTESIAN_POINT('Ctrl Pts',(2.49999980926478,1.99228409509907E-10, 28.8740510071514)); #539323=CARTESIAN_POINT('Ctrl Pts',(2.70819975996933,-0.227272727073594, 28.4576511057424)); #539324=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,-0.499999999801006, 27.874051007151)); #539325=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,27.874051007151)); #539326=CARTESIAN_POINT('Ctrl Pts',(2.95065813900752,-0.541862917078974, 27.874051007151)); #539327=CARTESIAN_POINT('Ctrl Pts',(2.75288616423757,-0.644850846741403, 28.2258857969732)); #539328=CARTESIAN_POINT('Ctrl Pts',(2.57624008029335,-0.736837663829887, 28.5149676238849)); #539329=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #539330=CARTESIAN_POINT('Origin',(-1.91511129853242,1.60696902441537,15.751915342762)); #539331=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,15.751915342762)); #539332=CARTESIAN_POINT('Origin',(2.34923136122976,-0.855050358115177,15.751915342762)); #539333=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,15.751915342762)); #539334=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #539335=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,20.374051007151)); #539336=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,20.374051007151)); #539337=CARTESIAN_POINT('',(1.11843586772553,-1.50812622093081,20.374051007151)); #539338=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,15.751915342762)); #539339=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,15.751915342762)); #539340=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #539341=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,20.374051007151)); #539342=CARTESIAN_POINT('',(-0.635862562159427,3.42313238372786,20.374051007151)); #539343=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,15.751915342762)); #539344=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #539345=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,20.374051007151)); #539346=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,20.374051007151)); #539347=CARTESIAN_POINT('',(2.10714055057583,0.27036544031575,20.374051007151)); #539348=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,15.751915342762)); #539349=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,15.751915342762)); #539350=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #539351=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,20.374051007151)); #539352=CARTESIAN_POINT('',(-2.03196222063346,3.13651646093376,20.374051007151)); #539353=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,15.751915342762)); #539354=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #539355=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,20.374051007151)); #539356=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,20.374051007151)); #539357=CARTESIAN_POINT('',(1.94790213676269,2.36037422586446,20.374051007151)); #539358=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,15.751915342762)); #539359=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,15.751915342762)); #539360=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #539361=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,20.374051007151)); #539362=CARTESIAN_POINT('',(-2.78718217860139,2.22669145665039,20.374051007151)); #539363=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,15.751915342762)); #539364=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539365=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539366=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539367=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539368=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539369=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539370=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539371=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539372=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539373=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539374=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539375=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539376=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539377=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539378=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #539379=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #539380=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 32.374051007151)); #539381=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,18.874051007151)); #539382=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #539383=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,32.374051007151)); #539384=CARTESIAN_POINT('Origin',(-1.000000191943,-7.75000000765599,8.274051000505)); #539385=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.274051000505)); #539386=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.274051000505)); #539387=CARTESIAN_POINT('',(-3.1750001945715,-6.50000000765599,8.274051000505)); #539388=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #539389=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,8.274051000505)); #539390=CARTESIAN_POINT('',(0.999999810474,-8.500000008562,8.274051000505)); #539391=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #539392=CARTESIAN_POINT('',(0.999999810474,-7.750000007656,8.274051000505)); #539393=CARTESIAN_POINT('Origin',(0.999999810474,-7.75000000765599,7.974051000142)); #539394=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,7.974051000142)); #539395=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,7.974051000142)); #539396=CARTESIAN_POINT('',(-2.175000193363,-6.50000000765599,7.974051000142)); #539397=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #539398=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #539399=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #539400=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #539401=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,7.974051000142)); #539402=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #539403=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,7.824050999961)); #539404=CARTESIAN_POINT('Origin',(0.999999810474,-8.450000008502,7.824050999961)); #539405=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #539406=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #539407=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #539408=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #539409=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,7.874051000021)); #539410=CARTESIAN_POINT('Origin',(0.999999810474,-8.500000008562,7.874051000021)); #539411=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #539412=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #539413=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #539414=CARTESIAN_POINT('Origin',(-1.000000191943,-8.600000008683,-4.725949009163)); #539415=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,0.174325933196264)); #539416=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,-4.725949009163)); #539417=CARTESIAN_POINT('',(0.899999810474,-8.60000000868299,0.0743259331962644)); #539418=CARTESIAN_POINT('Origin',(0.899999810474,-8.60000000868299,0.174325933196264)); #539419=CARTESIAN_POINT('',(0.399999809749,-8.600000008683,0.0743259331962647)); #539420=CARTESIAN_POINT('',(-1.90734496894188E-7,-8.600000008683,0.0743259331962641)); #539421=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-3.12594903990193)); #539422=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-2.575949006565)); #539423=CARTESIAN_POINT('',(0.0999998097490078,-8.600000008683,-3.42594903990193)); #539424=CARTESIAN_POINT('Origin',(0.0999998097490077,-8.600000008683,-3.12594903990193)); #539425=CARTESIAN_POINT('',(-0.100000191217992,-8.600000008683,-3.42594903990193)); #539426=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,-3.42594903990193)); #539427=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-3.12594903990193)); #539428=CARTESIAN_POINT('Origin',(-0.100000191217992,-8.600000008683,-3.12594903990193)); #539429=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,0.0743260077020698)); #539430=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-4.725949009163)); #539431=CARTESIAN_POINT('',(-0.900000191943,-8.600000008683,0.0743260077020695)); #539432=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.0743260077020695)); #539433=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.17432600770207)); #539434=CARTESIAN_POINT('Origin',(-0.900000191943,-8.600000008683,0.17432600770207)); #539435=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,1.324050998148)); #539436=CARTESIAN_POINT('Origin',(0.999999810474,-7.750000007656,3.274051000505)); #539437=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,0.174325933196265)); #539438=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,2.874051000021)); #539439=CARTESIAN_POINT('',(0.999999810473996,-7.4500000072935,0.174325933196264)); #539440=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.574051003828)); #539441=CARTESIAN_POINT('Origin',(0.399999809749,-6.400000006025,-4.725949009163)); #539442=CARTESIAN_POINT('',(0.39999980974901,-8.900000009046,-3.12594903990193)); #539443=CARTESIAN_POINT('',(0.399999809749004,-7.6500000075355,-3.12594903990193)); #539444=CARTESIAN_POINT('',(0.399999809749,-8.900000009046,0.0743259331962647)); #539445=CARTESIAN_POINT('',(0.399999809749,-6.400000006025,0.0743259331962647)); #539446=CARTESIAN_POINT('',(0.399999809749008,-8.900000009046,1.224050998027)); #539447=CARTESIAN_POINT('Origin',(-1.000000191943,-6.400000006025,0.0743260077020695)); #539448=CARTESIAN_POINT('',(-0.900000191942999,-8.900000009046,0.0743260077020695)); #539449=CARTESIAN_POINT('',(-0.900000191943004,-7.4500000072935,0.0743260077020695)); #539450=CARTESIAN_POINT('',(-0.400000191218,-8.900000009046,0.0743260077020698)); #539451=CARTESIAN_POINT('',(-0.400000191218,-6.400000006025,0.0743260077020698)); #539452=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.0743260077020695)); #539453=CARTESIAN_POINT('Origin',(-0.400000191218,-6.400000006025,-4.725949009163)); #539454=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,-3.12594903990193)); #539455=CARTESIAN_POINT('',(-0.400000191217996,-7.6500000075355,-3.12594903990193)); #539456=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,1.224050998027)); #539457=CARTESIAN_POINT('Origin',(-0.900000191943004,-7.4500000072935,0.17432600770207)); #539458=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.17432600770207)); #539459=CARTESIAN_POINT('Origin',(-0.900000191942999,-8.900000009046,0.17432600770207)); #539460=CARTESIAN_POINT('',(-1.000000191943,-7.4500000072935,0.17432600770207)); #539461=CARTESIAN_POINT('Origin',(0.999999810474,-6.400000006025,0.0743259331962644)); #539462=CARTESIAN_POINT('',(0.899999810474001,-8.90000000904599,0.0743259331962647)); #539463=CARTESIAN_POINT('',(0.899999810473996,-7.4500000072935,0.0743259331962644)); #539464=CARTESIAN_POINT('',(-1.90734495316334E-7,-8.900000009046,0.0743259331962644)); #539465=CARTESIAN_POINT('Origin',(0.899999810473996,-7.4500000072935,0.174325933196264)); #539466=CARTESIAN_POINT('Origin',(0.899999810474001,-8.90000000904599,0.174325933196264)); #539467=CARTESIAN_POINT('Origin',(0.0999998097490044,-7.6500000075355,-3.12594903990193)); #539468=CARTESIAN_POINT('',(0.0999998097490087,-8.900000009046,-3.42594903990193)); #539469=CARTESIAN_POINT('Origin',(0.0999998097490087,-8.900000009046,-3.12594903990193)); #539470=CARTESIAN_POINT('',(0.0999998097490044,-7.6500000075355,-3.42594903990193)); #539471=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,-3.42594903990193)); #539472=CARTESIAN_POINT('',(-0.100000191217991,-8.900000009046,-3.42594903990193)); #539473=CARTESIAN_POINT('',(-0.100000191217996,-7.6500000075355,-3.42594903990193)); #539474=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,-3.42594903990193)); #539475=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,2.874051000021)); #539476=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,2.874051000021)); #539477=CARTESIAN_POINT('Origin',(-0.100000191217991,-8.900000009046,-3.12594903990193)); #539478=CARTESIAN_POINT('Origin',(-0.100000191217996,-7.6500000075355,-3.12594903990193)); #539479=CARTESIAN_POINT('Origin',(-1.000000191943,-7.750000007656,2.974051000142)); #539480=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.4240510036465)); #539481=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,2.874051000021)); #539482=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,1.32405104764897)); #539483=CARTESIAN_POINT('',(-1.500000192548,-6.40000000904599,1.32405104764897)); #539484=CARTESIAN_POINT('',(-1.75000019284992,-6.400000009046,1.324050998148)); #539485=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,0.174326052827462)); #539486=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,2.874051000021)); #539487=CARTESIAN_POINT('',(-3.400000194965,-6.400000009046,0.0743260528274618)); #539488=CARTESIAN_POINT('Origin',(-3.400000194965,-6.400000009046,0.174326052827462)); #539489=CARTESIAN_POINT('',(-2.900000194239,-6.400000009046,0.0743260528274615)); #539490=CARTESIAN_POINT('',(-3.3500001947835,-6.400000009046,0.0743260528274618)); #539491=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,-3.125949009163)); #539492=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,1.224050998027)); #539493=CARTESIAN_POINT('',(-2.60000019423899,-6.400000009046,-3.425949009163)); #539494=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.400000009046,-3.125949009163)); #539495=CARTESIAN_POINT('',(-2.40000019327299,-6.400000009046,-3.425949009163)); #539496=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,-3.425949009163)); #539497=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,-3.125949009163)); #539498=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.400000009046,-3.125949009163)); #539499=CARTESIAN_POINT('',(-2.100000193273,-6.400000009046,0.0743259557589597)); #539500=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,1.224050998027)); #539501=CARTESIAN_POINT('',(-1.600000192548,-6.40000000904599,0.0743259557589597)); #539502=CARTESIAN_POINT('',(-2.5000001937565,-6.400000009046,0.0743259557589591)); #539503=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,0.17432595575896)); #539504=CARTESIAN_POINT('Origin',(-1.600000192548,-6.40000000904599,0.17432595575896)); #539505=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,2.874051000021)); #539506=CARTESIAN_POINT('Origin',(-3.500000194965,-6.000000008562,2.874051000021)); #539507=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,1.32405104764897)); #539508=CARTESIAN_POINT('',(-3.50000019496501,-3.00000000418151,1.324050998148)); #539509=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,0.174326052827461)); #539510=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-4.725949009163)); #539511=CARTESIAN_POINT('',(-3.500000194965,-6.12500000871325,0.174326052827462)); #539512=CARTESIAN_POINT('Origin',(-3.500000194965,-6.100000008683,-4.725949009163)); #539513=CARTESIAN_POINT('',(-1.500000192548,-6.10000000868299,1.32405104764897)); #539514=CARTESIAN_POINT('',(-1.75000019284992,-6.10000000868299,1.324050998148)); #539515=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,0.174325955758959)); #539516=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,-4.725949009163)); #539517=CARTESIAN_POINT('',(-1.600000192548,-6.10000000868299,0.0743259557589591)); #539518=CARTESIAN_POINT('Origin',(-1.600000192548,-6.10000000868299,0.17432595575896)); #539519=CARTESIAN_POINT('',(-2.100000193273,-6.100000008683,0.0743259557589597)); #539520=CARTESIAN_POINT('',(-2.5000001937565,-6.100000008683,0.0743259557589586)); #539521=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-3.125949009163)); #539522=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-2.575949006565)); #539523=CARTESIAN_POINT('',(-2.40000019327299,-6.100000008683,-3.425949009163)); #539524=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.100000008683,-3.125949009163)); #539525=CARTESIAN_POINT('',(-2.60000019423899,-6.100000008683,-3.425949009163)); #539526=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-3.425949009163)); #539527=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-3.125949009163)); #539528=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.100000008683,-3.125949009163)); #539529=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,0.0743260528274615)); #539530=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-4.725949009163)); #539531=CARTESIAN_POINT('',(-3.400000194965,-6.100000008683,0.0743260528274613)); #539532=CARTESIAN_POINT('',(-3.3500001947835,-6.100000008683,0.0743260528274613)); #539533=CARTESIAN_POINT('Origin',(-3.400000194965,-6.100000008683,0.174326052827462)); #539534=CARTESIAN_POINT('Origin',(-2.600000194239,-5.1500000075355,-3.125949009163)); #539535=CARTESIAN_POINT('',(-2.900000194239,-5.1500000075355,-3.125949009163)); #539536=CARTESIAN_POINT('',(-2.600000194239,-5.1500000075355,-3.425949009163)); #539537=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,-3.425949009163)); #539538=CARTESIAN_POINT('',(-2.400000193273,-5.1500000075355,-3.425949009163)); #539539=CARTESIAN_POINT('Origin',(-2.400000193273,-5.1500000075355,-3.125949009163)); #539540=CARTESIAN_POINT('',(-2.100000193273,-5.1500000075355,-3.125949009163)); #539541=CARTESIAN_POINT('Origin',(-1.600000192548,-4.9500000072935,0.17432595575896)); #539542=CARTESIAN_POINT('',(-1.500000192548,-4.9500000072935,0.17432595575896)); #539543=CARTESIAN_POINT('',(-1.600000192548,-4.9500000072935,0.0743259557589594)); #539544=CARTESIAN_POINT('Origin',(-1.500000192548,-3.900000006025,0.0743259557589594)); #539545=CARTESIAN_POINT('',(-2.100000193273,-3.900000006025,0.0743259557589597)); #539546=CARTESIAN_POINT('Origin',(-3.400000194965,-6.12500000871325,0.174326052827462)); #539547=CARTESIAN_POINT('',(-3.400000194965,-6.12500000871325,0.0743260528274615)); #539548=CARTESIAN_POINT('Origin',(-2.900000194239,-3.900000006025,-4.725949009163)); #539549=CARTESIAN_POINT('',(-2.90000019423899,-6.32500000895525,0.0743260528274615)); #539550=CARTESIAN_POINT('Origin',(-3.200000194602,-6.2500000088645,0.0743260528274615)); #539551=CARTESIAN_POINT('Origin',(-2.100000193273,-3.900000006025,-4.725949009163)); #539552=CARTESIAN_POINT('Origin',(-1.500000192548,-6.000000008562,2.874051000021)); #539553=CARTESIAN_POINT('',(-1.50000019254801,-3.0000000041815,1.324050998148)); #539554=CARTESIAN_POINT('Origin',(-3.500000194965,-7.750000007656,8.274051000505)); #539555=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #539556=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.274051000505)); #539557=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,8.274051000505)); #539558=CARTESIAN_POINT('',(-1.500000192548,-8.500000008562,8.274051000505)); #539559=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #539560=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,8.274051000505)); #539561=CARTESIAN_POINT('',(-1.500000192548,-7.750000007656,8.274051000505)); #539562=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,8.274051000505)); #539563=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #539564=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,7.874051000021)); #539565=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,7.874051000021)); #539566=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #539567=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #539568=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #539569=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #539570=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #539571=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #539572=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #539573=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,7.824050999961)); #539574=CARTESIAN_POINT('Origin',(-1.500000192548,-8.450000008502,7.824050999961)); #539575=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #539576=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #539577=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #539578=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.974051000142)); #539579=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,7.974051000142)); #539580=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #539581=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,7.974051000142)); #539582=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,7.974051000142)); #539583=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,7.974051000142)); #539584=CARTESIAN_POINT('Origin',(-3.500000194965,-8.600000008683,-4.725949009163)); #539585=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,0.174325955758959)); #539586=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,-4.725949009163)); #539587=CARTESIAN_POINT('',(-1.600000192548,-8.60000000868299,0.0743259557589591)); #539588=CARTESIAN_POINT('Origin',(-1.600000192548,-8.60000000868299,0.17432595575896)); #539589=CARTESIAN_POINT('',(-2.100000193273,-8.600000008683,0.0743259557589597)); #539590=CARTESIAN_POINT('',(-2.5000001937565,-8.600000008683,0.0743259557589586)); #539591=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-3.125949009163)); #539592=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-2.575949006565)); #539593=CARTESIAN_POINT('',(-2.40000019327299,-8.600000008683,-3.425949009163)); #539594=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.600000008683,-3.125949009163)); #539595=CARTESIAN_POINT('',(-2.60000019423899,-8.600000008683,-3.425949009163)); #539596=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,-3.425949009163)); #539597=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-3.125949009163)); #539598=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.600000008683,-3.125949009163)); #539599=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,0.0743260528274615)); #539600=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-4.725949009163)); #539601=CARTESIAN_POINT('',(-3.400000194965,-8.600000008683,0.0743260528274613)); #539602=CARTESIAN_POINT('',(-3.3500001947835,-8.600000008683,0.0743260528274613)); #539603=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,0.174326052827461)); #539604=CARTESIAN_POINT('Origin',(-3.400000194965,-8.600000008683,0.174326052827462)); #539605=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,1.324050998148)); #539606=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,2.874051000021)); #539607=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,0.17432595575896)); #539608=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,2.874051000021)); #539609=CARTESIAN_POINT('',(-1.500000192548,-7.4500000072935,0.17432595575896)); #539610=CARTESIAN_POINT('',(-1.50000019254801,-6.50000000765599,8.374051003586)); #539611=CARTESIAN_POINT('Origin',(-2.600000194239,-7.6500000075355,-3.125949009163)); #539612=CARTESIAN_POINT('',(-2.60000019423899,-8.900000009046,-3.425949009163)); #539613=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,-3.125949009163)); #539614=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.900000009046,-3.125949009163)); #539615=CARTESIAN_POINT('',(-2.900000194239,-7.6500000075355,-3.125949009163)); #539616=CARTESIAN_POINT('',(-2.600000194239,-7.6500000075355,-3.425949009163)); #539617=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,2.874051000021)); #539618=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,0.174326052827462)); #539619=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,2.874051000021)); #539620=CARTESIAN_POINT('',(-3.400000194965,-8.900000009046,0.0743260528274618)); #539621=CARTESIAN_POINT('Origin',(-3.400000194965,-8.900000009046,0.174326052827462)); #539622=CARTESIAN_POINT('',(-2.900000194239,-8.900000009046,0.0743260528274615)); #539623=CARTESIAN_POINT('',(-3.3500001947835,-8.900000009046,0.0743260528274618)); #539624=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,1.224050998027)); #539625=CARTESIAN_POINT('',(-2.40000019327299,-8.900000009046,-3.425949009163)); #539626=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,-3.425949009163)); #539627=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,-3.125949009163)); #539628=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.900000009046,-3.125949009163)); #539629=CARTESIAN_POINT('',(-2.100000193273,-8.900000009046,0.0743259557589597)); #539630=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,1.224050998027)); #539631=CARTESIAN_POINT('',(-1.600000192548,-8.90000000904599,0.0743259557589597)); #539632=CARTESIAN_POINT('',(-2.5000001937565,-8.900000009046,0.0743259557589591)); #539633=CARTESIAN_POINT('Origin',(-1.600000192548,-8.90000000904599,0.17432595575896)); #539634=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,-3.425949009163)); #539635=CARTESIAN_POINT('',(-2.400000193273,-7.6500000075355,-3.425949009163)); #539636=CARTESIAN_POINT('Origin',(-2.400000193273,-7.6500000075355,-3.125949009163)); #539637=CARTESIAN_POINT('',(-2.100000193273,-7.6500000075355,-3.125949009163)); #539638=CARTESIAN_POINT('Origin',(-1.600000192548,-7.4500000072935,0.17432595575896)); #539639=CARTESIAN_POINT('',(-1.600000192548,-7.4500000072935,0.0743259557589594)); #539640=CARTESIAN_POINT('Origin',(-1.500000192548,-6.400000006025,0.0743259557589594)); #539641=CARTESIAN_POINT('',(-2.100000193273,-6.400000006025,0.0743259557589597)); #539642=CARTESIAN_POINT('Origin',(-3.400000194965,-8.62500000871325,0.174326052827462)); #539643=CARTESIAN_POINT('',(-3.500000194965,-8.62500000871325,0.174326052827462)); #539644=CARTESIAN_POINT('',(-3.400000194965,-8.62500000871325,0.0743260528274615)); #539645=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,2.874051000021)); #539646=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.374051003586)); #539647=CARTESIAN_POINT('Origin',(-2.900000194239,-6.400000006025,-4.725949009163)); #539648=CARTESIAN_POINT('',(-2.90000019423899,-8.82500000895525,0.0743260528274615)); #539649=CARTESIAN_POINT('Origin',(-3.200000194602,-8.7500000088645,0.0743260528274615)); #539650=CARTESIAN_POINT('Origin',(-2.100000193273,-6.400000006025,-4.725949009163)); #539651=CARTESIAN_POINT('Origin',(1.499999811078,-7.75000000765598,8.274051000505)); #539652=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.274051000505)); #539653=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.274051000505)); #539654=CARTESIAN_POINT('',(-1.925000193061,-6.50000000765599,8.274051000505)); #539655=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #539656=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,8.274051000505)); #539657=CARTESIAN_POINT('',(3.499999813495,-8.500000008562,8.274051000505)); #539658=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #539659=CARTESIAN_POINT('',(3.499999813495,-7.750000007656,8.274051000505)); #539660=CARTESIAN_POINT('Origin',(3.499999813495,-7.75000000765599,7.974051000142)); #539661=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,7.974051000142)); #539662=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,7.974051000142)); #539663=CARTESIAN_POINT('',(-0.925000191852502,-6.50000000765598,7.974051000142)); #539664=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #539665=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #539666=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #539667=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #539668=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,7.974051000142)); #539669=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #539670=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,7.824050999961)); #539671=CARTESIAN_POINT('Origin',(3.499999813495,-8.450000008502,7.824050999961)); #539672=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #539673=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #539674=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #539675=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #539676=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,7.874051000021)); #539677=CARTESIAN_POINT('Origin',(3.499999813495,-8.500000008562,7.874051000021)); #539678=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #539679=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #539680=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #539681=CARTESIAN_POINT('Origin',(1.499999811078,-8.600000008683,-4.725949009163)); #539682=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,0.174326059645179)); #539683=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,-4.725949009163)); #539684=CARTESIAN_POINT('',(3.399999813495,-8.60000000868299,0.0743260596451793)); #539685=CARTESIAN_POINT('Origin',(3.399999813495,-8.60000000868299,0.174326059645179)); #539686=CARTESIAN_POINT('',(2.89999981277,-8.600000008683,0.0743260596451796)); #539687=CARTESIAN_POINT('',(2.4999998122865,-8.600000008683,0.0743260596451793)); #539688=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-3.12594907064086)); #539689=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-2.575949006565)); #539690=CARTESIAN_POINT('',(2.59999981277001,-8.600000008683,-3.42594907064086)); #539691=CARTESIAN_POINT('Origin',(2.59999981277001,-8.600000008683,-3.12594907064086)); #539692=CARTESIAN_POINT('',(2.39999981180301,-8.600000008683,-3.42594907064086)); #539693=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,-3.42594907064086)); #539694=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-3.12594907064086)); #539695=CARTESIAN_POINT('Origin',(2.39999981180301,-8.600000008683,-3.12594907064086)); #539696=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,0.0743259106335677)); #539697=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-4.725949009163)); #539698=CARTESIAN_POINT('',(1.599999811078,-8.600000008683,0.0743259106335674)); #539699=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.0743259106335674)); #539700=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.174325910633567)); #539701=CARTESIAN_POINT('Origin',(1.599999811078,-8.600000008683,0.174325910633567)); #539702=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,1.324050998148)); #539703=CARTESIAN_POINT('Origin',(3.499999813495,-7.750000007656,3.274051000505)); #539704=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,0.174326059645179)); #539705=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,2.874051000021)); #539706=CARTESIAN_POINT('',(3.499999813495,-7.4500000072935,0.174326059645179)); #539707=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.574051003828)); #539708=CARTESIAN_POINT('Origin',(2.89999981277,-6.400000006025,-4.725949009163)); #539709=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,-3.12594907064086)); #539710=CARTESIAN_POINT('',(2.89999981277,-7.6500000075355,-3.12594907064086)); #539711=CARTESIAN_POINT('',(2.89999981277,-8.900000009046,0.0743260596451796)); #539712=CARTESIAN_POINT('',(2.89999981277,-6.400000006025,0.0743260596451796)); #539713=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,1.224050998027)); #539714=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000006025,0.0743259106335674)); #539715=CARTESIAN_POINT('',(1.599999811078,-8.900000009046,0.0743259106335674)); #539716=CARTESIAN_POINT('',(1.599999811078,-7.4500000072935,0.0743259106335674)); #539717=CARTESIAN_POINT('',(2.099999811803,-8.900000009046,0.0743259106335677)); #539718=CARTESIAN_POINT('',(2.099999811803,-6.400000006025,0.0743259106335677)); #539719=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.0743259106335674)); #539720=CARTESIAN_POINT('Origin',(2.099999811803,-6.400000006025,-4.725949009163)); #539721=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,-3.12594907064086)); #539722=CARTESIAN_POINT('',(2.099999811803,-7.6500000075355,-3.12594907064086)); #539723=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,1.224050998027)); #539724=CARTESIAN_POINT('Origin',(1.599999811078,-7.4500000072935,0.174325910633567)); #539725=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.174325910633567)); #539726=CARTESIAN_POINT('Origin',(1.599999811078,-8.900000009046,0.174325910633567)); #539727=CARTESIAN_POINT('',(1.499999811078,-7.4500000072935,0.174325910633567)); #539728=CARTESIAN_POINT('Origin',(3.499999813495,-6.400000006025,0.0743260596451793)); #539729=CARTESIAN_POINT('',(3.399999813495,-8.90000000904599,0.0743260596451793)); #539730=CARTESIAN_POINT('',(3.399999813495,-7.4500000072935,0.0743260596451793)); #539731=CARTESIAN_POINT('',(2.4999998122865,-8.900000009046,0.0743260596451793)); #539732=CARTESIAN_POINT('Origin',(3.399999813495,-7.4500000072935,0.174326059645179)); #539733=CARTESIAN_POINT('Origin',(3.399999813495,-8.90000000904599,0.174326059645179)); #539734=CARTESIAN_POINT('Origin',(2.59999981277,-7.6500000075355,-3.12594907064086)); #539735=CARTESIAN_POINT('',(2.59999981277001,-8.900000009046,-3.42594907064086)); #539736=CARTESIAN_POINT('Origin',(2.59999981277001,-8.900000009046,-3.12594907064086)); #539737=CARTESIAN_POINT('',(2.59999981277,-7.6500000075355,-3.42594907064086)); #539738=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,-3.42594907064086)); #539739=CARTESIAN_POINT('',(2.39999981180301,-8.900000009046,-3.42594907064086)); #539740=CARTESIAN_POINT('',(2.399999811803,-7.6500000075355,-3.42594907064086)); #539741=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,-3.42594907064086)); #539742=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,2.874051000021)); #539743=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,2.874051000021)); #539744=CARTESIAN_POINT('Origin',(2.39999981180301,-8.900000009046,-3.12594907064086)); #539745=CARTESIAN_POINT('Origin',(2.399999811803,-7.6500000075355,-3.12594907064086)); #539746=CARTESIAN_POINT('Origin',(1.499999811078,-7.750000007656,2.974051000142)); #539747=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.4240510036465)); #539748=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,2.874051000021)); #539749=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,1.32405104764897)); #539750=CARTESIAN_POINT('',(3.499999813495,-6.40000000904599,1.32405104764897)); #539751=CARTESIAN_POINT('',(0.749999810171579,-6.400000009046,1.324050998148)); #539752=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.174325910633567)); #539753=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,2.874051000021)); #539754=CARTESIAN_POINT('',(1.599999811078,-6.400000009046,0.0743259106335674)); #539755=CARTESIAN_POINT('Origin',(1.599999811078,-6.400000009046,0.174325910633567)); #539756=CARTESIAN_POINT('',(2.099999811803,-6.400000009046,0.0743259106335677)); #539757=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.0743259106335674)); #539758=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,-3.12594907064086)); #539759=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,1.224050998027)); #539760=CARTESIAN_POINT('',(2.39999981180301,-6.400000009046,-3.42594907064086)); #539761=CARTESIAN_POINT('Origin',(2.39999981180301,-6.400000009046,-3.12594907064086)); #539762=CARTESIAN_POINT('',(2.59999981277001,-6.400000009046,-3.42594907064086)); #539763=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,-3.42594907064086)); #539764=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,-3.12594907064086)); #539765=CARTESIAN_POINT('Origin',(2.59999981277001,-6.400000009046,-3.12594907064086)); #539766=CARTESIAN_POINT('',(2.89999981277,-6.400000009046,0.0743260596451796)); #539767=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,1.224050998027)); #539768=CARTESIAN_POINT('',(3.399999813495,-6.40000000904599,0.0743260596451793)); #539769=CARTESIAN_POINT('',(2.4999998122865,-6.400000009046,0.0743260596451793)); #539770=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,0.174326059645179)); #539771=CARTESIAN_POINT('Origin',(3.399999813495,-6.40000000904599,0.174326059645179)); #539772=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,2.874051000021)); #539773=CARTESIAN_POINT('Origin',(1.499999811078,-6.000000008562,2.874051000021)); #539774=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,1.32405104764897)); #539775=CARTESIAN_POINT('',(1.49999981107799,-3.0000000041815,1.324050998148)); #539776=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.174325910633567)); #539777=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-4.725949009163)); #539778=CARTESIAN_POINT('',(1.499999811078,-4.9500000072935,0.174325910633567)); #539779=CARTESIAN_POINT('Origin',(1.499999811078,-6.100000008683,-4.725949009163)); #539780=CARTESIAN_POINT('',(3.499999813495,-6.10000000868299,1.32405104764897)); #539781=CARTESIAN_POINT('',(0.749999810171578,-6.100000008683,1.324050998148)); #539782=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,0.174326059645179)); #539783=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,-4.725949009163)); #539784=CARTESIAN_POINT('',(3.399999813495,-6.10000000868299,0.0743260596451793)); #539785=CARTESIAN_POINT('Origin',(3.399999813495,-6.10000000868299,0.174326059645179)); #539786=CARTESIAN_POINT('',(2.89999981277,-6.100000008683,0.0743260596451796)); #539787=CARTESIAN_POINT('',(2.4999998122865,-6.100000008683,0.0743260596451793)); #539788=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-3.12594907064086)); #539789=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-2.575949006565)); #539790=CARTESIAN_POINT('',(2.59999981277001,-6.100000008683,-3.42594907064086)); #539791=CARTESIAN_POINT('Origin',(2.59999981277001,-6.100000008683,-3.12594907064086)); #539792=CARTESIAN_POINT('',(2.39999981180301,-6.100000008683,-3.42594907064086)); #539793=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-3.42594907064086)); #539794=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-3.12594907064086)); #539795=CARTESIAN_POINT('Origin',(2.39999981180301,-6.100000008683,-3.12594907064086)); #539796=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,0.0743259106335677)); #539797=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-4.725949009163)); #539798=CARTESIAN_POINT('',(1.599999811078,-6.100000008683,0.0743259106335674)); #539799=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.0743259106335674)); #539800=CARTESIAN_POINT('Origin',(1.599999811078,-6.100000008683,0.174325910633567)); #539801=CARTESIAN_POINT('Origin',(3.499999813495,-6.000000008562,2.874051000021)); #539802=CARTESIAN_POINT('',(3.49999981349499,-3.00000000418149,1.324050998148)); #539803=CARTESIAN_POINT('',(3.499999813495,-4.9500000072935,0.174326059645179)); #539804=CARTESIAN_POINT('Origin',(2.89999981277,-3.900000006025,-4.725949009163)); #539805=CARTESIAN_POINT('',(2.89999981277,-5.1500000075355,-3.12594907064086)); #539806=CARTESIAN_POINT('',(2.89999981277,-3.900000006025,0.0743260596451796)); #539807=CARTESIAN_POINT('Origin',(1.499999811078,-3.900000006025,0.0743259106335674)); #539808=CARTESIAN_POINT('',(1.599999811078,-4.9500000072935,0.0743259106335674)); #539809=CARTESIAN_POINT('',(2.099999811803,-3.900000006025,0.0743259106335677)); #539810=CARTESIAN_POINT('Origin',(2.099999811803,-3.900000006025,-4.725949009163)); #539811=CARTESIAN_POINT('',(2.099999811803,-5.1500000075355,-3.12594907064086)); #539812=CARTESIAN_POINT('Origin',(1.599999811078,-4.9500000072935,0.174325910633567)); #539813=CARTESIAN_POINT('Origin',(3.499999813495,-3.900000006025,0.0743260596451793)); #539814=CARTESIAN_POINT('',(3.399999813495,-4.9500000072935,0.0743260596451793)); #539815=CARTESIAN_POINT('Origin',(3.399999813495,-4.9500000072935,0.174326059645179)); #539816=CARTESIAN_POINT('Origin',(2.59999981277,-5.1500000075355,-3.12594907064086)); #539817=CARTESIAN_POINT('',(2.59999981277,-5.1500000075355,-3.42594907064086)); #539818=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,-3.42594907064086)); #539819=CARTESIAN_POINT('',(2.399999811803,-5.1500000075355,-3.42594907064086)); #539820=CARTESIAN_POINT('Origin',(2.399999811803,-5.1500000075355,-3.12594907064086)); #539821=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #539822=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #539823=CARTESIAN_POINT('',(-1.90734873895E-7,2.550000003281,1.324050998148)); #539824=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #539825=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #539826=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #539827=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.324050998148)); #539828=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.324050998148)); #539829=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.324050998148)); #539830=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.324050998148)); #539831=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.324050998148)); #539832=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.324050998148)); #539833=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #539834=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #539835=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #539836=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #539837=CARTESIAN_POINT('',(-3.350000194783,1.800000002314,1.324050998148)); #539838=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #539839=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.324050998148)); #539840=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.324050998148)); #539841=CARTESIAN_POINT('',(-4.75000019719999,1.500000002314,1.324050998148)); #539842=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #539843=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #539844=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #539845=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.324050998148)); #539846=CARTESIAN_POINT('',(1.599999811199,1.99000260714399E-10,1.324050998148)); #539847=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.324050998148)); #539848=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #539849=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #539850=CARTESIAN_POINT('',(1.49999981107801,2.2500000029785,1.324050998148)); #539851=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.324050998148)); #539852=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #539853=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.324050998148)); #539854=CARTESIAN_POINT('',(-1.500000192548,-0.0499999998009998,1.324050998148)); #539855=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.324050998148)); #539856=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #539857=CARTESIAN_POINT('',(-1.60000019266799,2.3000000029785,1.324050998148)); #539858=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,1.324050998148)); #539859=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #539860=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #539861=CARTESIAN_POINT('',(-1.907348530228E-7,-2.550000002883,1.324050998148)); #539862=CARTESIAN_POINT('',(-4.75000019719998,-1.500000001916,1.324050998148)); #539863=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #539864=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #539865=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #539866=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #539867=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #539868=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #539869=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #539870=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #539871=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #539872=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #539873=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.324050998148)); #539874=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.324050998148)); #539875=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.324050998148)); #539876=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.324050998148)); #539877=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.324050998148)); #539878=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.324050998148)); #539879=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #539880=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #539881=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #539882=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #539883=CARTESIAN_POINT('',(4.74999981573001,1.500000002314,1.324050998148)); #539884=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.324050998148)); #539885=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #539886=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.324050998148)); #539887=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.324050998148)); #539888=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.324050998148)); #539889=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.324050998148)); #539890=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.324050998148)); #539891=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.324050998148)); #539892=CARTESIAN_POINT('',(-1.907348770036E-7,2.550000003281,1.324050998148)); #539893=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #539894=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #539895=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.324050998148)); #539896=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.32405099814797)); #539897=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,1.324050998148)); #539898=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #539899=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,1.324050998148)); #539900=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,1.324050998148)); #539901=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,1.324050998148)); #539902=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,1.324050998148)); #539903=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,1.324050998148)); #539904=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,1.324050998148)); #539905=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #539906=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.324050998148)); #539907=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.32405099814803)); #539908=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.324050998148)); #539909=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.324050998148)); #539910=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.324050998148)); #539911=CARTESIAN_POINT('',(-1.600000192668,1.99000260714399E-10,1.324050998148)); #539912=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.324050998148)); #539913=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #539914=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #539915=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.324050998148)); #539916=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.324050998148)); #539917=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #539918=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.324050998148)); #539919=CARTESIAN_POINT('',(1.499999811078,0.0500000001990003,1.324050998148)); #539920=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.324050998148)); #539921=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #539922=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.324050998148)); #539923=CARTESIAN_POINT('',(1.59999981119899,-2.3000000025805,1.324050998148)); #539924=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.324050998148)); #539925=CARTESIAN_POINT('Ctrl Pts',(1.29111255597034,-2.73424430816026, 13.6256460538322)); #539926=CARTESIAN_POINT('Ctrl Pts',(2.20188383590696,-2.30417724894968, 13.6654066974179)); #539927=CARTESIAN_POINT('Ctrl Pts',(3.54381508550129,-0.469360079742655, 13.7449279845894)); #539928=CARTESIAN_POINT('Ctrl Pts',(2.30971875216154,2.73303810618475,13.8642099153467)); #539929=CARTESIAN_POINT('Ctrl Pts',(-1.04856194383506,3.41787190895989, 13.9834918461039)); #539930=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #539931=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #539932=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #539933=CARTESIAN_POINT('Ctrl Pts',(3.26844690855275,-1.45092616966698, 14.4606195691329)); #539934=CARTESIAN_POINT('Ctrl Pts',(2.98821955445809,1.96436625833303,14.5799014998901)); #539935=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #539936=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #539937=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #539938=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #539939=CARTESIAN_POINT('Ctrl Pts',(2.72311363341172,-2.31788352075631, 15.1763111536763)); #539940=CARTESIAN_POINT('Ctrl Pts',(3.4224684268749,1.03664940358608,15.2955930844336)); #539941=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #539942=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #539943=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #539944=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #539945=CARTESIAN_POINT('Ctrl Pts',(1.9543324309823,-2.99474769661067,15.8920027382198)); #539946=CARTESIAN_POINT('Ctrl Pts',(3.57594129309021,0.0239204193404044, 16.0112846689771)); #539947=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #539948=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #539949=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #539950=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #539951=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #539952=CARTESIAN_POINT('Ctrl Pts',(3.43602998072104,-0.99077035550878, 16.7269762535206)); #539953=CARTESIAN_POINT('Ctrl Pts',(2.69186223839197,2.35410423124122,16.8462581842778)); #539954=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #539955=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #539956=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #539957=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #539958=CARTESIAN_POINT('Ctrl Pts',(3.01421027244707,-1.92417353490108, 17.442667838064)); #539959=CARTESIAN_POINT('Ctrl Pts',(3.24878486221374,1.49444217107855,17.5619497688213)); #539960=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #539961=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #539962=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #539963=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #539964=CARTESIAN_POINT('Ctrl Pts',(2.34493999631263,-2.6996643043773,18.1583594226075)); #539965=CARTESIAN_POINT('Ctrl Pts',(3.53946108386616,0.51208158836514,18.2776413533648)); #539966=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #539967=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #539968=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #539969=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #539970=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #539971=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #539972=CARTESIAN_POINT('Ctrl Pts',(1.35235012072381,-2.86392970032469, 13.7084477049384)); #539973=CARTESIAN_POINT('Ctrl Pts',(2.30631934396403,-2.41346453145719, 13.7482083485242)); #539974=CARTESIAN_POINT('Ctrl Pts',(3.71189848333518,-0.49162186002596, 13.8277296356957)); #539975=CARTESIAN_POINT('Ctrl Pts',(2.4192688775777,2.86266628808916,13.9470115664529)); #539976=CARTESIAN_POINT('Ctrl Pts',(-1.09829529858455,3.5799818043706,14.0662934972102)); #539977=CARTESIAN_POINT('Ctrl Pts',(-3.6055931706551,1.01429269360048,14.1855754279674)); #539978=CARTESIAN_POINT('Ctrl Pts',(-2.80313504832938,-2.48485082439598, 14.3048573587247)); #539979=CARTESIAN_POINT('Ctrl Pts',(0.573658969400014,-3.70129473456556, 14.4241392894819)); #539980=CARTESIAN_POINT('Ctrl Pts',(3.42346957516082,-1.51974369587173, 14.5434212202392)); #539981=CARTESIAN_POINT('Ctrl Pts',(3.12995101874023,2.05753628259232,14.6627031509964)); #539982=CARTESIAN_POINT('Ctrl Pts',(-0.0375770274757868,3.74542239373918, 14.7819850817537)); #539983=CARTESIAN_POINT('Ctrl Pts',(-3.17056829039224,1.99431034085747, 14.9012670125109)); #539984=CARTESIAN_POINT('Ctrl Pts',(-3.39233754121402,-1.58803171927841, 15.0205489432682)); #539985=CARTESIAN_POINT('Ctrl Pts',(-0.499228521345782,-3.71221094950766, 15.1398308740254)); #539986=CARTESIAN_POINT('Ctrl Pts',(2.8522711059584,-2.42782089266106,15.2591128047827)); #539987=CARTESIAN_POINT('Ctrl Pts',(3.58479634449669,1.08581775478435,15.3783947355399)); #539988=CARTESIAN_POINT('Ctrl Pts',(1.02573351056835,3.60244769094064,15.4976766662971)); #539989=CARTESIAN_POINT('Ctrl Pts',(-2.47516502145046,2.81128476472616, 15.6169585970544)); #539990=CARTESIAN_POINT('Ctrl Pts',(-3.70334910075784,-0.561221492433139, 15.7362405278116)); #539991=CARTESIAN_POINT('Ctrl Pts',(-1.53109047885197,-3.4184096199372, 15.8555224585689)); #539992=CARTESIAN_POINT('Ctrl Pts',(2.04702655918887,-3.13678878207875, 15.9748043893261)); #539993=CARTESIAN_POINT('Ctrl Pts',(3.74554843911924,0.0250549664354049, 16.0940863200834)); #539994=CARTESIAN_POINT('Ctrl Pts',(2.00487966656774,3.16389300587039,16.2133682508406)); #539995=CARTESIAN_POINT('Ctrl Pts',(-1.57668471104219,3.39762096416136, 16.3326501815979)); #539996=CARTESIAN_POINT('Ctrl Pts',(-3.71052561697531,0.511628043584835, 16.4519321123551)); #539997=CARTESIAN_POINT('Ctrl Pts',(-2.43733448002642,-2.84414553970932, 16.5712140431123)); #539998=CARTESIAN_POINT('Ctrl Pts',(1.07383519707704,-3.58840353611209, 16.6904959738696)); #539999=CARTESIAN_POINT('Ctrl Pts',(3.59900112375744,-1.03776266037783, 16.8097779046268)); #540000=CARTESIAN_POINT('Ctrl Pts',(2.81953745516275,2.46575955387105,16.9290598353841)); #540001=CARTESIAN_POINT('Ctrl Pts',(-0.548847062804906,3.7052031090032, 17.0483417661413)); #540002=CARTESIAN_POINT('Ctrl Pts',(-3.4132755309119,1.54250135924526,17.1676236968986)); #540003=CARTESIAN_POINT('Ctrl Pts',(-3.14361066219289,-2.03653617647997, 17.2869056276558)); #540004=CARTESIAN_POINT('Ctrl Pts',(0.0125436351802004,-3.74561189776644, 17.4061875584131)); #540005=CARTESIAN_POINT('Ctrl Pts',(3.15717447823835,-2.01543721559303, 17.5254694891703)); #540006=CARTESIAN_POINT('Ctrl Pts',(3.40287495663636,1.56532366414962,17.6447514199276)); #540007=CARTESIAN_POINT('Ctrl Pts',(0.524008931752295,3.70879903074401, 17.7640333506848)); #540008=CARTESIAN_POINT('Ctrl Pts',(-2.83596496000994,2.44681522659493, 17.8833152814421)); #540009=CARTESIAN_POINT('Ctrl Pts',(-3.59201495172281,-1.06182865071698, 18.0025972121993)); #540010=CARTESIAN_POINT('Ctrl Pts',(-1.04969470819602,-3.59553995365519, 18.1218791429566)); #540011=CARTESIAN_POINT('Ctrl Pts',(2.45616066771704,-2.82770956462743, 18.2411610737138)); #540012=CARTESIAN_POINT('Ctrl Pts',(3.70733797110851,0.536369652668123, 18.360443004471)); #540013=CARTESIAN_POINT('Ctrl Pts',(1.55326885713287,3.40829072571998,18.4797249352283)); #540014=CARTESIAN_POINT('Ctrl Pts',(-2.02480392728409,3.15003042712868, 18.5990068659855)); #540015=CARTESIAN_POINT('Ctrl Pts',(-3.74802908782523,0.000698064643585057, 18.7182887967428)); #540016=CARTESIAN_POINT('Ctrl Pts',(-2.02104454391749,-3.15202530783458, 18.8375707275)); #540017=CARTESIAN_POINT('Ctrl Pts',(0.354057610218926,-3.31942222592417, 18.9170920146715)); #540018=CARTESIAN_POINT('Ctrl Pts',(1.31396088545536,-2.88174443411524, 18.9568526582573)); #540019=CARTESIAN_POINT('Ctrl Pts',(1.41358768547729,-2.99361509248912, 13.7912493560447)); #540020=CARTESIAN_POINT('Ctrl Pts',(2.4107548520211,-2.5227518139647,13.8310099996305)); #540021=CARTESIAN_POINT('Ctrl Pts',(3.87998188116907,-0.513883640309268, 13.910531286802)); #540022=CARTESIAN_POINT('Ctrl Pts',(2.52881900299385,2.99229446999357,14.0298132175592)); #540023=CARTESIAN_POINT('Ctrl Pts',(-1.14802865333403,3.7420916997813,14.1490951483165)); #540024=CARTESIAN_POINT('Ctrl Pts',(-3.76886279962006,1.06022222381529, 14.2683770790737)); #540025=CARTESIAN_POINT('Ctrl Pts',(-2.93006750872826,-2.59737064413833, 14.387659009831)); #540026=CARTESIAN_POINT('Ctrl Pts',(0.599635589265093,-3.86889796138579, 14.5069409405882)); #540027=CARTESIAN_POINT('Ctrl Pts',(3.5784922417689,-1.58856122207648,14.6262228713455)); #540028=CARTESIAN_POINT('Ctrl Pts',(3.27168248302237,2.15070630685162,14.7455048021027)); #540029=CARTESIAN_POINT('Ctrl Pts',(-0.039278593966097,3.91502382349455, 14.8647867328599)); #540030=CARTESIAN_POINT('Ctrl Pts',(-3.31413898184912,2.08461734754782, 14.9840686636172)); #540031=CARTESIAN_POINT('Ctrl Pts',(-3.54595045970517,-1.6599414858737, 15.1033505943744)); #540032=CARTESIAN_POINT('Ctrl Pts',(-0.521834740284407,-3.88030848790805, 15.2226325251317)); #540033=CARTESIAN_POINT('Ctrl Pts',(2.98142857850509,-2.53775826456582, 15.3419144558889)); #540034=CARTESIAN_POINT('Ctrl Pts',(3.74712426211848,1.13498610598261,15.4611963866461)); #540035=CARTESIAN_POINT('Ctrl Pts',(1.07218111620807,3.76557489390244,15.5804783174034)); #540036=CARTESIAN_POINT('Ctrl Pts',(-2.58724623688121,2.93858627185007, 15.6997602481606)); #540037=CARTESIAN_POINT('Ctrl Pts',(-3.87104534540735,-0.586634905810496, 15.8190421789179)); #540038=CARTESIAN_POINT('Ctrl Pts',(-1.60042180511229,-3.5732031513863, 15.9383241096751)); #540039=CARTESIAN_POINT('Ctrl Pts',(2.13972068739544,-3.27882986754684, 16.0576060404324)); #540040=CARTESIAN_POINT('Ctrl Pts',(3.91515558514826,0.0261895135304076, 16.1768879711896)); #540041=CARTESIAN_POINT('Ctrl Pts',(2.09566528553365,3.30716143355972,16.2961699019469)); #540042=CARTESIAN_POINT('Ctrl Pts',(-1.64808065008993,3.55147313694932, 16.4154518327041)); #540043=CARTESIAN_POINT('Ctrl Pts',(-3.87854683095625,0.534795750332907, 16.5347337634614)); #540044=CARTESIAN_POINT('Ctrl Pts',(-2.54770264062924,-2.97293505910579, 16.6540156942186)); #540045=CARTESIAN_POINT('Ctrl Pts',(1.12246095886266,-3.75089478712486, 16.7732976249759)); #540046=CARTESIAN_POINT('Ctrl Pts',(3.76197226679384,-1.08475496524687, 16.8925795557331)); #540047=CARTESIAN_POINT('Ctrl Pts',(2.94721267193353,2.57741487650089,17.0118614864904)); #540048=CARTESIAN_POINT('Ctrl Pts',(-0.57370012460652,3.8729833160824,17.1311434172476)); #540049=CARTESIAN_POINT('Ctrl Pts',(-3.56783657023317,1.61234940531479, 17.2504253480049)); #540050=CARTESIAN_POINT('Ctrl Pts',(-3.28596064959959,-2.12875526715156, 17.3697072787621)); #540051=CARTESIAN_POINT('Ctrl Pts',(0.0131116487675266,-3.91522190872257, 17.4889892095194)); #540052=CARTESIAN_POINT('Ctrl Pts',(3.30013868402962,-2.10670089628497, 17.6082711402766)); #540053=CARTESIAN_POINT('Ctrl Pts',(3.55696505105898,1.63620515722068,17.7275530710339)); #540054=CARTESIAN_POINT('Ctrl Pts',(0.54773728253377,3.87674206951599,17.8468350017911)); #540055=CARTESIAN_POINT('Ctrl Pts',(-2.9643840351013,2.55761270606205,17.9661169325483)); #540056=CARTESIAN_POINT('Ctrl Pts',(-3.75466972738086,-1.10991071955417, 18.0853988633056)); #540057=CARTESIAN_POINT('Ctrl Pts',(-1.09722731527255,-3.75835435823824, 18.2046807940628)); #540058=CARTESIAN_POINT('Ctrl Pts',(2.56738133912144,-2.95575482487755, 18.3239627248201)); #540059=CARTESIAN_POINT('Ctrl Pts',(3.87521485835085,0.560657716971104, 18.4432446555773)); #540060=CARTESIAN_POINT('Ctrl Pts',(1.62360448917467,3.56262605010618,18.5625265863345)); #540061=CARTESIAN_POINT('Ctrl Pts',(-2.11649174580224,3.29267112503812, 18.6818085170918)); #540062=CARTESIAN_POINT('Ctrl Pts',(-3.91774854611846,0.000729674623835006, 18.801090447849)); #540063=CARTESIAN_POINT('Ctrl Pts',(-2.11256212882059,-3.29475633859619, 18.9203723786063)); #540064=CARTESIAN_POINT('Ctrl Pts',(0.370090170221069,-3.46973337814113, 18.9998936657778)); #540065=CARTESIAN_POINT('Ctrl Pts',(1.3734600964253,-3.01223651882436,19.0396543093636)); #540066=CARTESIAN_POINT('Ctrl Pts',(1.47482525023076,-3.12330048465355, 13.874051007151)); #540067=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.63203909647221, 13.9138116507367)); #540068=CARTESIAN_POINT('Ctrl Pts',(4.04806527900295,-0.536145420592571, 13.9933329379082)); #540069=CARTESIAN_POINT('Ctrl Pts',(2.63836912841,3.12192265189797,14.1126148686655)); #540070=CARTESIAN_POINT('Ctrl Pts',(-1.19776200808352,3.90420159519201, 14.2318967994227)); #540071=CARTESIAN_POINT('Ctrl Pts',(-3.93213242858503,1.1061517540301,14.35117873018)); #540072=CARTESIAN_POINT('Ctrl Pts',(-3.05699996912714,-2.70989046388068, 14.4704606609372)); #540073=CARTESIAN_POINT('Ctrl Pts',(0.625612209130172,-4.03650118820603, 14.5897425916945)); #540074=CARTESIAN_POINT('Ctrl Pts',(3.73351490837698,-1.65737874828124, 14.7090245224517)); #540075=CARTESIAN_POINT('Ctrl Pts',(3.41341394730451,2.24387633111091,14.828306453209)); #540076=CARTESIAN_POINT('Ctrl Pts',(-0.0409801604564069,4.08462525324992, 14.9475883839662)); #540077=CARTESIAN_POINT('Ctrl Pts',(-3.457709673306,2.17492435423816,15.0668703147235)); #540078=CARTESIAN_POINT('Ctrl Pts',(-3.69956337819631,-1.73185125246899, 15.1861522454807)); #540079=CARTESIAN_POINT('Ctrl Pts',(-0.544440959223032,-4.04840602630844, 15.305434176238)); #540080=CARTESIAN_POINT('Ctrl Pts',(3.11058605105177,-2.64769563647058, 15.4247161069952)); #540081=CARTESIAN_POINT('Ctrl Pts',(3.90945217974027,1.18415445718087,15.5439980377524)); #540082=CARTESIAN_POINT('Ctrl Pts',(1.11862872184779,3.92870209686424,15.6632799685097)); #540083=CARTESIAN_POINT('Ctrl Pts',(-2.69932745231196,3.06588777897398, 15.7825618992669)); #540084=CARTESIAN_POINT('Ctrl Pts',(-4.03874159005686,-0.612048319187848, 15.9018438300242)); #540085=CARTESIAN_POINT('Ctrl Pts',(-1.6697531313726,-3.7279966828354,16.0211257607814)); #540086=CARTESIAN_POINT('Ctrl Pts',(2.232414815602,-3.42087095301492,16.1404076915387)); #540087=CARTESIAN_POINT('Ctrl Pts',(4.08476273117729,0.0273240606254107, 16.2596896222959)); #540088=CARTESIAN_POINT('Ctrl Pts',(2.18645090449955,3.45042986124904,16.3789715530532)); #540089=CARTESIAN_POINT('Ctrl Pts',(-1.71947658913768,3.70532530973729, 16.4982534838104)); #540090=CARTESIAN_POINT('Ctrl Pts',(-4.04656804493718,0.557963457080974, 16.6175354145677)); #540091=CARTESIAN_POINT('Ctrl Pts',(-2.65807080123206,-3.10172457850225, 16.7368173453249)); #540092=CARTESIAN_POINT('Ctrl Pts',(1.17108672064828,-3.91338603813764, 16.8560992760822)); #540093=CARTESIAN_POINT('Ctrl Pts',(3.92494340983024,-1.13174727011591, 16.9753812068394)); #540094=CARTESIAN_POINT('Ctrl Pts',(3.0748878887043,2.68907019913072,17.0946631375966)); #540095=CARTESIAN_POINT('Ctrl Pts',(-0.598553186408135,4.0407635231616, 17.2139450683539)); #540096=CARTESIAN_POINT('Ctrl Pts',(-3.72239760955444,1.68219745138433, 17.3332269991111)); #540097=CARTESIAN_POINT('Ctrl Pts',(-3.42831063700629,-2.22097435782315, 17.4525089298684)); #540098=CARTESIAN_POINT('Ctrl Pts',(0.0136796623548524,-4.08483191967869, 17.5717908606256)); #540099=CARTESIAN_POINT('Ctrl Pts',(3.44310288982089,-2.19796457697692, 17.6910727913829)); #540100=CARTESIAN_POINT('Ctrl Pts',(3.7110551454816,1.70708665029175,17.8103547221401)); #540101=CARTESIAN_POINT('Ctrl Pts',(0.571465633315246,4.04468510828797, 17.9296366528973)); #540102=CARTESIAN_POINT('Ctrl Pts',(-3.09280311019266,2.66841018552918, 18.0489185836546)); #540103=CARTESIAN_POINT('Ctrl Pts',(-3.91732450303892,-1.15799278839136, 18.1682005144119)); #540104=CARTESIAN_POINT('Ctrl Pts',(-1.14475992234908,-3.92116876282127, 18.2874824451691)); #540105=CARTESIAN_POINT('Ctrl Pts',(2.67860201052585,-3.08380008512768, 18.4067643759264)); #540106=CARTESIAN_POINT('Ctrl Pts',(4.0430917455932,0.584945781274094,18.5260463066836)); #540107=CARTESIAN_POINT('Ctrl Pts',(1.69394012121647,3.71696137449236,18.6453282374409)); #540108=CARTESIAN_POINT('Ctrl Pts',(-2.20817956432039,3.43531182294756, 18.7646101681981)); #540109=CARTESIAN_POINT('Ctrl Pts',(-4.08746800441168,0.000761284604081033, 18.8838920989553)); #540110=CARTESIAN_POINT('Ctrl Pts',(-2.20407971372369,-3.4374873693578, 19.0031740297126)); #540111=CARTESIAN_POINT('Ctrl Pts',(0.386122730223216,-3.6200445303581, 19.0826953168841)); #540112=CARTESIAN_POINT('Ctrl Pts',(1.43295930739524,-3.14272860353349, 19.1224559604698)); #540113=CARTESIAN_POINT('',(-3.44227047475243,0.284413944601434,18.874051007151)); #540114=CARTESIAN_POINT('Ctrl Pts',(-3.44788145746671,0.283350141200085, 18.874051007151)); #540115=CARTESIAN_POINT('Ctrl Pts',(-3.45052603118261,0.188742659321764, 18.874051007151)); #540116=CARTESIAN_POINT('Ctrl Pts',(-3.44903228314685,0.0942704795033789, 18.874051007151)); #540117=CARTESIAN_POINT('Ctrl Pts',(-3.4432785832949,0.000144216771737617, 18.874051007151)); #540118=CARTESIAN_POINT('Ctrl Pts',(-3.43662261177385,-0.108742541356898, 18.874051007151)); #540119=CARTESIAN_POINT('Ctrl Pts',(-3.42426705412749,-0.217167066224643, 18.874051007151)); #540120=CARTESIAN_POINT('Ctrl Pts',(-3.40648032165704,-0.324796863864568, 18.874051007151)); #540121=CARTESIAN_POINT('Ctrl Pts',(-3.38836699356445,-0.43440293346891, 18.874051007151)); #540122=CARTESIAN_POINT('Ctrl Pts',(-3.36462081010047,-0.543185645130318, 18.874051007151)); #540123=CARTESIAN_POINT('Ctrl Pts',(-3.33547390972458,-0.650778312614148, 18.874051007151)); #540124=CARTESIAN_POINT('Ctrl Pts',(-3.30633555690453,-0.758339427709174, 18.874051007151)); #540125=CARTESIAN_POINT('Ctrl Pts',(-3.27179910648859,-0.864711988807318, 18.874051007151)); #540126=CARTESIAN_POINT('Ctrl Pts',(-3.23205303322718,-0.969502121161408, 18.874051007151)); #540127=CARTESIAN_POINT('Ctrl Pts',(-3.22618528581481,-0.984972379667684, 18.874051007151)); #540128=CARTESIAN_POINT('Ctrl Pts',(-3.22020325336633,-1.00040978568562, 18.874051007151)); #540129=CARTESIAN_POINT('Ctrl Pts',(-3.21410959547756,-1.01580765290779, 18.874051007151)); #540130=CARTESIAN_POINT('Ctrl Pts',(-3.17353833997847,-1.11832584749881, 18.874051007151)); #540131=CARTESIAN_POINT('Ctrl Pts',(-3.12815483753398,-1.21876316711727, 18.874051007151)); #540132=CARTESIAN_POINT('Ctrl Pts',(-3.07822385054057,-1.31678533290637, 18.874051007151)); #540133=CARTESIAN_POINT('Ctrl Pts',(-3.02812680456193,-1.4151334978868, 18.874051007151)); #540134=CARTESIAN_POINT('Ctrl Pts',(-2.97345142206254,-1.51105120479266, 18.874051007151)); #540135=CARTESIAN_POINT('Ctrl Pts',(-2.91441906812992,-1.60417576084128, 18.874051007151)); #540136=CARTESIAN_POINT('Ctrl Pts',(-2.85605535668426,-1.69624552183932, 18.874051007151)); #540137=CARTESIAN_POINT('Ctrl Pts',(-2.79343221065809,-1.78558572100119, 18.874051007151)); #540138=CARTESIAN_POINT('Ctrl Pts',(-2.72672740251632,-1.87181063195036, 18.874051007151)); #540139=CARTESIAN_POINT('Ctrl Pts',(-2.69330507632008,-1.9150134711499, 18.874051007151)); #540140=CARTESIAN_POINT('Ctrl Pts',(-2.65885753812405,-1.95743360198912, 18.874051007151)); #540141=CARTESIAN_POINT('Ctrl Pts',(-2.62340438432091,-1.99901831806999, 18.874051007151)); #540142=CARTESIAN_POINT('Ctrl Pts',(-2.59329863987682,-2.03433079949441, 18.874051007151)); #540143=CARTESIAN_POINT('Ctrl Pts',(-2.56246772187744,-2.06904083148628, 18.874051007151)); #540144=CARTESIAN_POINT('Ctrl Pts',(-2.53092275631862,-2.10311431156067, 18.874051007151)); #540145=CARTESIAN_POINT('Ctrl Pts',(-2.51987611274631,-2.11504640858414, 18.874051007151)); #540146=CARTESIAN_POINT('Ctrl Pts',(-2.50874699620577,-2.12689487760239, 18.874051007151)); #540147=CARTESIAN_POINT('Ctrl Pts',(-2.49754043197471,-2.13865401325952, 18.874051007151)); #540148=CARTESIAN_POINT('Ctrl Pts',(-2.42345898447109,-2.21638825659318, 18.874051007151)); #540149=CARTESIAN_POINT('Ctrl Pts',(-2.34593744827001,-2.29028509184834, 18.874051007151)); #540150=CARTESIAN_POINT('Ctrl Pts',(-2.26517762416418,-2.36000526041983, 18.874051007151)); #540151=CARTESIAN_POINT('Ctrl Pts',(-2.18531824412579,-2.42894807325948, 18.874051007151)); #540152=CARTESIAN_POINT('Ctrl Pts',(-2.10229181489953,-2.49380742963239, 18.874051007151)); #540153=CARTESIAN_POINT('Ctrl Pts',(-2.01626373507098,-2.55421391287374, 18.874051007151)); #540154=CARTESIAN_POINT('Ctrl Pts',(-1.93023565524243,-2.61462039611509, 18.874051007151)); #540155=CARTESIAN_POINT('Ctrl Pts',(-1.84120543637741,-2.67057466571779, 18.874051007151)); #540156=CARTESIAN_POINT('Ctrl Pts',(-1.7493163905924,-2.72165624275769, 18.874051007151)); #540157=CARTESIAN_POINT('Ctrl Pts',(-1.69267136888381,-2.75314549172762, 18.874051007151)); #540158=CARTESIAN_POINT('Ctrl Pts',(-1.63493945278259,-2.78278184543624, 18.874051007151)); #540159=CARTESIAN_POINT('Ctrl Pts',(-1.57621155526438,-2.81055724860856, 18.874051007151)); #540160=CARTESIAN_POINT('Ctrl Pts',(-1.55561362620986,-2.82029905460163, 18.874051007151)); #540161=CARTESIAN_POINT('Ctrl Pts',(-1.53489317218982,-2.8298118724778, 18.874051007151)); #540162=CARTESIAN_POINT('Ctrl Pts',(-1.51405411156156,-2.83909514997191, 18.874051007151)); #540163=CARTESIAN_POINT('Ctrl Pts',(-1.50011585130095,-2.84530429442868, 18.874051007151)); #540164=CARTESIAN_POINT('Ctrl Pts',(-1.48613383619097,-2.85140659947134, 18.874051007151)); #540165=CARTESIAN_POINT('Ctrl Pts',(-1.4721101406003,-2.85740200142242, 18.874051007151)); #540166=CARTESIAN_POINT('Ctrl Pts',(-1.37726051152792,-2.89795205811209, 18.874051007151)); #540167=CARTESIAN_POINT('Ctrl Pts',(-1.28049440212464,-2.93362090110905, 18.874051007151)); #540168=CARTESIAN_POINT('Ctrl Pts',(-1.18220583252104,-2.96446528592977, 18.874051007151)); #540169=CARTESIAN_POINT('Ctrl Pts',(-1.08159253909976,-2.99603920294659, 18.874051007151)); #540170=CARTESIAN_POINT('Ctrl Pts',(-0.979383026548512,-3.02255788335208, 18.874051007151)); #540171=CARTESIAN_POINT('Ctrl Pts',(-0.875985879952506,-3.04402983919184, 18.874051007151)); #540172=CARTESIAN_POINT('Ctrl Pts',(-0.773033546505806,-3.06540942296319, 18.874051007151)); #540173=CARTESIAN_POINT('Ctrl Pts',(-0.668902835426629,-3.08178552471209, 18.874051007151)); #540174=CARTESIAN_POINT('Ctrl Pts',(-0.563997200585521,-3.09311521527353, 18.874051007151)); #540175=CARTESIAN_POINT('Ctrl Pts',(-0.517905160761508,-3.09809310372864, 18.874051007151)); #540176=CARTESIAN_POINT('Ctrl Pts',(-0.471663613002857,-3.10209615686267, 18.874051007151)); #540177=CARTESIAN_POINT('Ctrl Pts',(-0.425307493830965,-3.10511763962292, 18.874051007151)); #540178=CARTESIAN_POINT('Ctrl Pts',(-0.401226259589197,-3.10668724975006, 18.874051007151)); #540179=CARTESIAN_POINT('Ctrl Pts',(-0.377114116782676,-3.1079919394468, 18.874051007151)); #540180=CARTESIAN_POINT('Ctrl Pts',(-0.352976039803525,-3.10903056442329, 18.874051007151)); #540181=CARTESIAN_POINT('Ctrl Pts',(-0.330585256592553,-3.10999400598933, 18.874051007151)); #540182=CARTESIAN_POINT('Ctrl Pts',(-0.308167569838923,-3.11072850503192, 18.874051007151)); #540183=CARTESIAN_POINT('Ctrl Pts',(-0.285756100805737,-3.1112327034353, 18.874051007151)); #540184=CARTESIAN_POINT('Ctrl Pts',(-0.181241767841306,-3.11358399775398, 18.874051007151)); #540185=CARTESIAN_POINT('Ctrl Pts',(-0.0767509300782069,-3.11093777658052, 18.874051007151)); #540186=CARTESIAN_POINT('Ctrl Pts',(0.0273196291452066,-3.10328119368522, 18.874051007151)); #540187=CARTESIAN_POINT('Ctrl Pts',(0.134337788716679,-3.09540775266429, 18.874051007151)); #540188=CARTESIAN_POINT('Ctrl Pts',(0.240912607728546,-3.0822363007479, 18.874051007151)); #540189=CARTESIAN_POINT('Ctrl Pts',(0.346598055075699,-3.06369648383362, 18.874051007151)); #540190=CARTESIAN_POINT('Ctrl Pts',(0.395455462715738,-3.0551256974549, 18.874051007151)); #540191=CARTESIAN_POINT('Ctrl Pts',(0.444122497300778,-3.0454068290684, 18.874051007151)); #540192=CARTESIAN_POINT('Ctrl Pts',(0.49255307649408,-3.03452885805637, 18.874051007151)); #540193=CARTESIAN_POINT('Ctrl Pts',(0.511687414768208,-3.03023110278382, 18.874051007151)); #540194=CARTESIAN_POINT('Ctrl Pts',(0.530777558104705,-3.02575397477854, 18.874051007151)); #540195=CARTESIAN_POINT('Ctrl Pts',(0.549813636303145,-3.02109896346394, 18.874051007151)); #540196=CARTESIAN_POINT('Ctrl Pts',(0.648295731098954,-2.99701652060039, 18.874051007151)); #540197=CARTESIAN_POINT('Ctrl Pts',(0.745392799814015,-2.96816343540644, 18.874051007151)); #540198=CARTESIAN_POINT('Ctrl Pts',(0.840729054072094,-2.93449379082295, 18.874051007151)); #540199=CARTESIAN_POINT('Ctrl Pts',(0.933414516214888,-2.90176031925235, 18.874051007151)); #540200=CARTESIAN_POINT('Ctrl Pts',(1.02443635149388,-2.86447414717359, 18.874051007151)); #540201=CARTESIAN_POINT('Ctrl Pts',(1.11342557803086,-2.82255042567286, 18.874051007151)); #540202=CARTESIAN_POINT('Ctrl Pts',(1.1610401049372,-2.80011874216361,18.874051007151)); #540203=CARTESIAN_POINT('Ctrl Pts',(1.20807225570432,-2.77635867046522, 18.874051007151)); #540204=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #540205=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #540206=CARTESIAN_POINT('Ctrl Pts',(3.45855395251816,0.167611601519721, 18.514609543908)); #540207=CARTESIAN_POINT('Ctrl Pts',(3.4477538877323,0.3324763231389,18.5203279252958)); #540208=CARTESIAN_POINT('Ctrl Pts',(3.42415151901919,0.495490735743511, 18.5260463066836)); #540209=CARTESIAN_POINT('Ctrl Pts',(3.26004120413429,1.62895097901352,18.5658069502693)); #540210=CARTESIAN_POINT('Ctrl Pts',(2.47699066267538,2.67295617675295,18.6055675938551)); #540211=CARTESIAN_POINT('Ctrl Pts',(1.4351121110231,3.14801718369852,18.6453282374409)); #540212=CARTESIAN_POINT('Ctrl Pts',(0.39323355937085,3.62307819064409,18.6850888810266)); #540213=CARTESIAN_POINT('Ctrl Pts',(-0.907473002474765,3.52919500679582, 18.7248495246123)); #540214=CARTESIAN_POINT('Ctrl Pts',(-1.87104102341278,2.90982832514779, 18.7646101681981)); #540215=CARTESIAN_POINT('Ctrl Pts',(-2.75511199652822,2.34156112131633, 18.8010904478491)); #540216=CARTESIAN_POINT('Ctrl Pts',(-3.35537936336973,1.33094131279148, 18.8375707275)); #540217=CARTESIAN_POINT('Ctrl Pts',(-3.4478814574667,0.283350141200158, 18.874051007151)); #540218=CARTESIAN_POINT('',(1.75542330363439,2.4620220183613,13.874051007151)); #540219=CARTESIAN_POINT('Ctrl Pts',(1.75930580668168,2.46517188708212,13.874051007151)); #540220=CARTESIAN_POINT('Ctrl Pts',(1.8305636036385,2.42195635832184,13.874051007151)); #540221=CARTESIAN_POINT('Ctrl Pts',(1.89977393151758,2.37571454899774,13.874051007151)); #540222=CARTESIAN_POINT('Ctrl Pts',(1.96671249322682,2.32648522650389,13.874051007151)); #540223=CARTESIAN_POINT('Ctrl Pts',(2.04624863923931,2.26799113159079,13.874051007151)); #540224=CARTESIAN_POINT('Ctrl Pts',(2.12257884572645,2.20528056801358,13.874051007151)); #540225=CARTESIAN_POINT('Ctrl Pts',(2.1956158585347,2.13874303294729,13.874051007151)); #540226=CARTESIAN_POINT('Ctrl Pts',(2.23936760560832,2.09888470231204,13.874051007151)); #540227=CARTESIAN_POINT('Ctrl Pts',(2.28193714548228,2.05765215050193,13.874051007151)); #540228=CARTESIAN_POINT('Ctrl Pts',(2.32330092538559,2.01512178066122,13.874051007151)); #540229=CARTESIAN_POINT('Ctrl Pts',(2.33974868080312,1.99821014631367,13.874051007151)); #540230=CARTESIAN_POINT('Ctrl Pts',(2.35600574437962,1.98109325262119,13.874051007151)); #540231=CARTESIAN_POINT('Ctrl Pts',(2.37207045786837,1.9637756965071,13.874051007151)); #540232=CARTESIAN_POINT('Ctrl Pts',(2.38299164973497,1.95200279105722,13.874051007151)); #540233=CARTESIAN_POINT('Ctrl Pts',(2.39382283669606,1.94013826298176,13.874051007151)); #540234=CARTESIAN_POINT('Ctrl Pts',(2.40455727611533,1.9281907594835,13.874051007151)); #540235=CARTESIAN_POINT('Ctrl Pts',(2.47208835738465,1.85302821040256,13.874051007151)); #540236=CARTESIAN_POINT('Ctrl Pts',(2.53586359844607,1.77450937615152,13.874051007151)); #540237=CARTESIAN_POINT('Ctrl Pts',(2.59579379682725,1.69303907104409,13.874051007151)); #540238=CARTESIAN_POINT('Ctrl Pts',(2.65143545033711,1.61739869923524,13.874051007151)); #540239=CARTESIAN_POINT('Ctrl Pts',(2.70376265186731,1.53921321948308,13.874051007151)); #540240=CARTESIAN_POINT('Ctrl Pts',(2.75268001175575,1.45877665489729,13.874051007151)); #540241=CARTESIAN_POINT('Ctrl Pts',(2.77408135670695,1.42358565789101,13.874051007151)); #540242=CARTESIAN_POINT('Ctrl Pts',(2.79482971391095,1.38796346313951,13.874051007151)); #540243=CARTESIAN_POINT('Ctrl Pts',(2.81491549954434,1.35193333326369,13.874051007151)); #540244=CARTESIAN_POINT('Ctrl Pts',(2.82699464596725,1.33026561150512,13.874051007151)); #540245=CARTESIAN_POINT('Ctrl Pts',(2.83883415040026,1.30845034333912,13.874051007151)); #540246=CARTESIAN_POINT('Ctrl Pts',(2.85043174477421,1.28649247019822,13.874051007151)); #540247=CARTESIAN_POINT('Ctrl Pts',(2.85892556012431,1.27041102141444,13.874051007151)); #540248=CARTESIAN_POINT('Ctrl Pts',(2.86728587559924,1.25426008210391,13.874051007151)); #540249=CARTESIAN_POINT('Ctrl Pts',(2.8755089762056,1.23804816730777,13.874051007151)); #540250=CARTESIAN_POINT('Ctrl Pts',(2.92317719941747,1.14406984815696,13.874051007151)); #540251=CARTESIAN_POINT('Ctrl Pts',(2.96627215787633,1.04798001322382,13.874051007151)); #540252=CARTESIAN_POINT('Ctrl Pts',(3.00469245358345,0.950199386712016, 13.874051007151)); #540253=CARTESIAN_POINT('Ctrl Pts',(3.04356827565509,0.851259434055117, 13.874051007151)); #540254=CARTESIAN_POINT('Ctrl Pts',(3.07765844272201,0.750587574139373, 13.874051007151)); #540255=CARTESIAN_POINT('Ctrl Pts',(3.10681756191701,0.648585201667409, 13.874051007151)); #540256=CARTESIAN_POINT('Ctrl Pts',(3.13566403253936,0.547676514362981, 13.874051007151)); #540257=CARTESIAN_POINT('Ctrl Pts',(3.15968499405699,0.445464817389076, 13.874051007151)); #540258=CARTESIAN_POINT('Ctrl Pts',(3.17869411265862,0.342317792242397, 13.874051007151)); #540259=CARTESIAN_POINT('Ctrl Pts',(3.19034537522163,0.279095867135685, 13.874051007151)); #540260=CARTESIAN_POINT('Ctrl Pts',(3.2001128598271,0.215522198542376,13.874051007151)); #540261=CARTESIAN_POINT('Ctrl Pts',(3.20794413594448,0.151679636083866, 13.874051007151)); #540262=CARTESIAN_POINT('Ctrl Pts',(3.20993950986582,0.135412838400889, 13.874051007151)); #540263=CARTESIAN_POINT('Ctrl Pts',(3.21180930842358,0.119127041675602, 13.874051007151)); #540264=CARTESIAN_POINT('Ctrl Pts',(3.21355207283853,0.102828411970996, 13.874051007151)); #540265=CARTESIAN_POINT('Ctrl Pts',(3.22501833978566,-0.00440606990278798, 13.874051007151)); #540266=CARTESIAN_POINT('Ctrl Pts',(3.23094856365021,-0.111803217948179, 13.874051007151)); #540267=CARTESIAN_POINT('Ctrl Pts',(3.23120702762746,-0.218953198486653, 13.874051007151)); #540268=CARTESIAN_POINT('Ctrl Pts',(3.23137181622133,-0.287268693801874, 13.874051007151)); #540269=CARTESIAN_POINT('Ctrl Pts',(3.22923017970664,-0.355484276890591, 13.874051007151)); #540270=CARTESIAN_POINT('Ctrl Pts',(3.22473653391617,-0.423497532731337, 13.874051007151)); #540271=CARTESIAN_POINT('Ctrl Pts',(3.21789228163791,-0.52708822566645, 13.874051007151)); #540272=CARTESIAN_POINT('Ctrl Pts',(3.20559457831157,-0.630209765040864, 13.874051007151)); #540273=CARTESIAN_POINT('Ctrl Pts',(3.18810962273481,-0.732583880371323, 13.874051007151)); #540274=CARTESIAN_POINT('Ctrl Pts',(3.17029535326345,-0.836886122912532, 13.874051007151)); #540275=CARTESIAN_POINT('Ctrl Pts',(3.14709639585432,-0.94041324254473, 13.874051007151)); #540276=CARTESIAN_POINT('Ctrl Pts',(3.11874049564278,-1.04286650826626, 13.874051007151)); #540277=CARTESIAN_POINT('Ctrl Pts',(3.1108510715632,-1.07137194295832,13.874051007151)); #540278=CARTESIAN_POINT('Ctrl Pts',(3.10256213786566,-1.09979418672881, 13.874051007151)); #540279=CARTESIAN_POINT('Ctrl Pts',(3.09387791275431,-1.12812662591317, 13.874051007151)); #540280=CARTESIAN_POINT('Ctrl Pts',(3.08981820222252,-1.14137150386982, 13.874051007151)); #540281=CARTESIAN_POINT('Ctrl Pts',(3.08567272494361,-1.1545945904553,13.874051007151)); #540282=CARTESIAN_POINT('Ctrl Pts',(3.08144481563747,-1.16778672883248, 13.874051007151)); #540283=CARTESIAN_POINT('Ctrl Pts',(3.04855412839753,-1.27041392964776, 13.874051007151)); #540284=CARTESIAN_POINT('Ctrl Pts',(3.01063446726139,-1.37131555046669, 13.874051007151)); #540285=CARTESIAN_POINT('Ctrl Pts',(2.96800104949962,-1.47019615390983, 13.874051007151)); #540286=CARTESIAN_POINT('Ctrl Pts',(2.92485430613949,-1.57026732446192, 13.874051007151)); #540287=CARTESIAN_POINT('Ctrl Pts',(2.87687929442161,-1.66826927143432, 13.874051007151)); #540288=CARTESIAN_POINT('Ctrl Pts',(2.82434746222507,-1.76389117462741, 13.874051007151)); #540289=CARTESIAN_POINT('Ctrl Pts',(2.77150476201856,-1.86007894026068, 13.874051007151)); #540290=CARTESIAN_POINT('Ctrl Pts',(2.7140507664457,-1.95385921296044,13.874051007151)); #540291=CARTESIAN_POINT('Ctrl Pts',(2.65221153862091,-2.04489923490228, 13.874051007151)); #540292=CARTESIAN_POINT('Ctrl Pts',(2.61447482899971,-2.10045540843119, 13.874051007151)); #540293=CARTESIAN_POINT('Ctrl Pts',(2.5751041420448,-2.1549907668962,13.874051007151)); #540294=CARTESIAN_POINT('Ctrl Pts',(2.53414268220151,-2.20842474172558, 13.874051007151)); #540295=CARTESIAN_POINT('Ctrl Pts',(2.51831575453143,-2.22907087245394, 13.874051007151)); #540296=CARTESIAN_POINT('Ctrl Pts',(2.50225127250142,-2.24955252586016, 13.874051007151)); #540297=CARTESIAN_POINT('Ctrl Pts',(2.48595150288338,-2.26986486217585, 13.874051007151)); #540298=CARTESIAN_POINT('Ctrl Pts',(2.47572063532411,-2.28261429529882, 13.874051007151)); #540299=CARTESIAN_POINT('Ctrl Pts',(2.46540227247239,-2.29529049982672, 13.874051007151)); #540300=CARTESIAN_POINT('Ctrl Pts',(2.45500040339424,-2.30788873016448, 13.874051007151)); #540301=CARTESIAN_POINT('Ctrl Pts',(2.3835049666118,-2.39448047381326,13.874051007151)); #540302=CARTESIAN_POINT('Ctrl Pts',(2.30802274036302,-2.47744708991297, 13.874051007151)); #540303=CARTESIAN_POINT('Ctrl Pts',(2.22883368777627,-2.55646899209942, 13.874051007151)); #540304=CARTESIAN_POINT('Ctrl Pts',(2.14978619678189,-2.63534963149839, 13.874051007151)); #540305=CARTESIAN_POINT('Ctrl Pts',(2.06704463781916,-2.71030044012597, 13.874051007151)); #540306=CARTESIAN_POINT('Ctrl Pts',(1.98084138799814,-2.78097998659583, 13.874051007151)); #540307=CARTESIAN_POINT('Ctrl Pts',(1.89665534974137,-2.85000558615776, 13.874051007151)); #540308=CARTESIAN_POINT('Ctrl Pts',(1.80916716904201,-2.91495810520366, 13.874051007151)); #540309=CARTESIAN_POINT('Ctrl Pts',(1.71855726137466,-2.97548681217331, 13.874051007151)); #540310=CARTESIAN_POINT('Ctrl Pts',(1.63964791203189,-3.02819937977761, 13.874051007151)); #540311=CARTESIAN_POINT('Ctrl Pts',(1.55837029931223,-3.07755668159628, 13.874051007151)); #540312=CARTESIAN_POINT('Ctrl Pts',(1.47482525023078,-3.12330048465354, 13.874051007151)); #540313=CARTESIAN_POINT('Ctrl Pts',(1.75930580668167,2.46517188708212,13.874051007151)); #540314=CARTESIAN_POINT('Ctrl Pts',(1.00667437435793,2.99542741220337,13.910531286802)); #540315=CARTESIAN_POINT('Ctrl Pts',(-1.11439261210325,3.35050814232816, 13.9867722100387)); #540316=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #540317=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #540318=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #540319=CARTESIAN_POINT('Ctrl Pts',(2.74067023256937,-1.85494310797244, 14.4374811389033)); #540320=CARTESIAN_POINT('Ctrl Pts',(3.02096140304231,-0.423856584489502, 14.4938639958452)); #540321=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #540322=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #540323=CARTESIAN_POINT('',(-1.75542368510434,2.46202201836133,13.874051007151)); #540324=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #540325=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #540326=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #540327=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #540328=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #540329=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #540330=CARTESIAN_POINT('Ctrl Pts',(2.98037021507756,-1.19714149791972, 14.3152304449011)); #540331=CARTESIAN_POINT('Ctrl Pts',(3.02821655359372,-0.0980763500399707, 14.3588034467937)); #540332=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #540333=CARTESIAN_POINT('Ctrl Pts',(-2.00520324970207,2.81234363004033, 13.6256460538322)); #540334=CARTESIAN_POINT('Ctrl Pts',(-2.94198925654914,2.14441452401097, 13.6654066974179)); #540335=CARTESIAN_POINT('Ctrl Pts',(-4.07899238228975,-0.190043352495229, 13.7449279845894)); #540336=CARTESIAN_POINT('Ctrl Pts',(-2.0430741476468,-3.54023251368125, 13.8642099153467)); #540337=CARTESIAN_POINT('Ctrl Pts',(1.87097709193051,-3.6299945866322,13.9834918461039)); #540338=CARTESIAN_POINT('Ctrl Pts',(4.0659529229159,-0.391485718264679, 14.1027737768611)); #540339=CARTESIAN_POINT('Ctrl Pts',(2.52812353914951,3.20894755766597,14.2220557076184)); #540340=CARTESIAN_POINT('Ctrl Pts',(-1.331348232987,3.86163732266973,14.3413376383756)); #540341=CARTESIAN_POINT('Ctrl Pts',(-3.96821168948316,0.969193419193027, 14.4606195691329)); #540342=CARTESIAN_POINT('Ctrl Pts',(-2.96151341130579,-2.81350592238569, 14.5799014998901)); #540343=CARTESIAN_POINT('Ctrl Pts',(0.764509329376408,-4.01265082309645, 14.6991834306474)); #540344=CARTESIAN_POINT('Ctrl Pts',(3.78853264317867,-1.52743859407212, 14.8184653614046)); #540345=CARTESIAN_POINT('Ctrl Pts',(3.33390776603703,2.3603247967854,14.9377472921619)); #540346=CARTESIAN_POINT('Ctrl Pts',(-0.181941804558767,4.08079687705125, 15.0570292229191)); #540347=CARTESIAN_POINT('Ctrl Pts',(-3.53072835340351,2.05426299107083, 15.1763111536764)); #540348=CARTESIAN_POINT('Ctrl Pts',(-3.63757550183742,-1.85851669567326, 15.2955930844336)); #540349=CARTESIAN_POINT('Ctrl Pts',(-0.404373159053543,-4.06478931008705, 15.4148750151908)); #540350=CARTESIAN_POINT('Ctrl Pts',(3.2001270610027,-2.53874377262817,15.5341569459481)); #540351=CARTESIAN_POINT('Ctrl Pts',(3.86624681855214,1.31839604541486,15.6534388767053)); #540352=CARTESIAN_POINT('Ctrl Pts',(0.98234968841033,3.96497436300908,15.7727208074626)); #540353=CARTESIAN_POINT('Ctrl Pts',(-2.80354836095696,2.97088393077867, 15.8920027382198)); #540354=CARTESIAN_POINT('Ctrl Pts',(-4.01520763618805,-0.751094357948596, 16.0112846689771)); #540355=CARTESIAN_POINT('Ctrl Pts',(-1.54007353590888,-3.78341215571497, 16.1305665997343)); #540356=CARTESIAN_POINT('Ctrl Pts',(2.34916737521979,-3.34177277987759, 16.2498485304916)); #540357=CARTESIAN_POINT('Ctrl Pts',(4.08138512770073,0.168307256400352, 16.3691304612488)); #540358=CARTESIAN_POINT('Ctrl Pts',(2.06604452842759,3.52384630548575,16.4884123920061)); #540359=CARTESIAN_POINT('Ctrl Pts',(-1.84635377157688,3.64376345660555, 16.6076943227633)); #540360=CARTESIAN_POINT('Ctrl Pts',(-4.06341635148759,0.417949862422698, 16.7269762535206)); #540361=CARTESIAN_POINT('Ctrl Pts',(-2.54942019433977,-3.19162837949723, 16.8462581842778)); #540362=CARTESIAN_POINT('Ctrl Pts',(1.30547283476525,-3.87062973552544, 16.965540115035)); #540363=CARTESIAN_POINT('Ctrl Pts',(3.96166994582509,-0.995589957369134, 17.0848220457923)); #540364=CARTESIAN_POINT('Ctrl Pts',(2.98023373329116,2.79360774125025,17.2041039765496)); #540365=CARTESIAN_POINT('Ctrl Pts',(-0.73767872925911,4.01769438480906, 17.3233859073068)); #540366=CARTESIAN_POINT('Ctrl Pts',(-3.77824290227315,1.55270342122848, 17.442667838064)); #540367=CARTESIAN_POINT('Ctrl Pts',(-3.34960885532988,-2.33798999201213, 17.5619497688213)); #540368=CARTESIAN_POINT('Ctrl Pts',(0.154684818118933,-4.08192629028528, 17.6812316995785)); #540369=CARTESIAN_POINT('Ctrl Pts',(3.51689891140475,-2.07780223808144, 17.8005136303358)); #540370=CARTESIAN_POINT('Ctrl Pts',(3.64996069793572,1.83416510814561,17.919795561093)); #540371=CARTESIAN_POINT('Ctrl Pts',(0.431425171932382,4.06200819057304, 18.0390774918503)); #540372=CARTESIAN_POINT('Ctrl Pts',(-3.18290632948138,2.56004666614822, 18.1583594226075)); #540373=CARTESIAN_POINT('Ctrl Pts',(-3.87533412851482,-1.29249405815113, 18.2776413533647)); #540374=CARTESIAN_POINT('Ctrl Pts',(-1.00811043264874,-3.9584023960105, 18.396923284122)); #540375=CARTESIAN_POINT('Ctrl Pts',(2.78225606468971,-2.98939238318333, 18.5162052148792)); #540376=CARTESIAN_POINT('Ctrl Pts',(4.02284942527646,0.723932602519534, 18.6354871456365)); #540377=CARTESIAN_POINT('Ctrl Pts',(1.5597156287977,3.77380184144178,18.7547690763938)); #540378=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679939,3.49423846089743, 18.8342903635652)); #540379=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #540380=CARTESIAN_POINT('Ctrl Pts',(-1.92194339483616,2.69556975948067, 13.7084477049384)); #540381=CARTESIAN_POINT('Ctrl Pts',(-2.81983226025822,2.05537434365292, 13.7482083485242)); #540382=CARTESIAN_POINT('Ctrl Pts',(-3.90962484798977,-0.182152390084822, 13.8277296356957)); #540383=CARTESIAN_POINT('Ctrl Pts',(-1.95824182087964,-3.39323530859566, 13.9470115664529)); #540384=CARTESIAN_POINT('Ctrl Pts',(1.79329054726088,-3.47927028910429, 14.0662934972102)); #540385=CARTESIAN_POINT('Ctrl Pts',(3.89712679599558,-0.375230484683418, 14.1855754279674)); #540386=CARTESIAN_POINT('Ctrl Pts',(2.42315102083424,3.07570593572038,14.3048573587247)); #540387=CARTESIAN_POINT('Ctrl Pts',(-1.27606812343489,3.70129477702284, 14.4241392894819)); #540388=CARTESIAN_POINT('Ctrl Pts',(-3.80344398080372,0.928950660215782, 14.5434212202391)); #540389=CARTESIAN_POINT('Ctrl Pts',(-2.83854573487744,-2.69668379121487, 14.6627031509964)); #540390=CARTESIAN_POINT('Ctrl Pts',(0.732765436206372,-3.84603790891744, 14.7819850817537)); #540391=CARTESIAN_POINT('Ctrl Pts',(3.63122553530458,-1.46401643086181, 14.9012670125109)); #540392=CARTESIAN_POINT('Ctrl Pts',(3.19547755001684,2.26231961016783,15.0205489432682)); #540393=CARTESIAN_POINT('Ctrl Pts',(-0.174387241796012,3.91135440877623, 15.1398308740254)); #540394=CARTESIAN_POINT('Ctrl Pts',(-3.38412578710472,1.96896607427652, 15.2591128047827)); #540395=CARTESIAN_POINT('Ctrl Pts',(-3.48653643818157,-1.78134753832922, 15.3783947355399)); #540396=CARTESIAN_POINT('Ctrl Pts',(-0.387582822282739,-3.89601150650179, 15.4976766662971)); #540397=CARTESIAN_POINT('Ctrl Pts',(3.06725167497104,-2.43333028987784, 15.6169585970544)); #540398=CARTESIAN_POINT('Ctrl Pts',(3.70571286991811,1.26365372747873,15.7362405278116)); #540399=CARTESIAN_POINT('Ctrl Pts',(0.941560648118658,3.80034106638691, 15.8555224585689)); #540400=CARTESIAN_POINT('Ctrl Pts',(-2.68713969465335,2.8475271646011,15.9748043893261)); #540401=CARTESIAN_POINT('Ctrl Pts',(-3.84848856618166,-0.719907487885579, 16.0940863200834)); #540402=CARTESIAN_POINT('Ctrl Pts',(-1.47612675374703,-3.62631766815639, 16.2133682508406)); #540403=CARTESIAN_POINT('Ctrl Pts',(2.25162545809452,-3.20301600139583, 16.3326501815979)); #540404=CARTESIAN_POINT('Ctrl Pts',(3.91191822620903,0.161318818166362, 16.4519321123551)); #540405=CARTESIAN_POINT('Ctrl Pts',(1.98025841180728,3.37752948702892,16.5712140431123)); #540406=CARTESIAN_POINT('Ctrl Pts',(-1.76968964996356,3.49246744935608, 16.6904959738696)); #540407=CARTESIAN_POINT('Ctrl Pts',(-3.8946955636895,0.400595787126251, 16.8097779046269)); #540408=CARTESIAN_POINT('Ctrl Pts',(-2.44356341412833,-3.0591058828398, 16.9290598353841)); #540409=CARTESIAN_POINT('Ctrl Pts',(1.2512671052515,-3.70991380773202,17.0483417661413)); #540410=CARTESIAN_POINT('Ctrl Pts',(3.79717384697786,-0.954251163772945, 17.1676236968986)); #540411=CARTESIAN_POINT('Ctrl Pts',(2.85648873755556,2.67761182052189,17.2869056276558)); #540412=CARTESIAN_POINT('Ctrl Pts',(-0.7070489096104,3.85087205234636,17.4061875584131)); #540413=CARTESIAN_POINT('Ctrl Pts',(-3.62136305990302,1.48823221423196, 17.5254694891703)); #540414=CARTESIAN_POINT('Ctrl Pts',(-3.21052671598014,-2.24091218906397, 17.6447514199276)); #540415=CARTESIAN_POINT('Ctrl Pts',(0.148262001571995,-3.91243692660251, 17.7640333506848)); #540416=CARTESIAN_POINT('Ctrl Pts',(3.37087055407068,-1.99152792684614, 17.8833152814421)); #540417=CARTESIAN_POINT('Ctrl Pts',(3.49840736148035,1.75800707516993,18.0025972121993)); #540418=CARTESIAN_POINT('Ctrl Pts',(0.41351156816017,3.89334586439002,18.1218791429566)); #540419=CARTESIAN_POINT('Ctrl Pts',(-3.05074599690123,2.45374864665153, 18.2411610737138)); #540420=CARTESIAN_POINT('Ctrl Pts',(-3.71442287328129,-1.23882724010393, 18.360443004471)); #540421=CARTESIAN_POINT('Ctrl Pts',(-0.966251772970749,-3.7940419799741, 18.4797249352283)); #540422=CARTESIAN_POINT('Ctrl Pts',(2.66673147929148,-2.86526711075038, 18.5990068659855)); #540423=CARTESIAN_POINT('Ctrl Pts',(3.85581303777511,0.693873540355051, 18.7182887967428)); #540424=CARTESIAN_POINT('Ctrl Pts',(1.49495325364291,3.61710639247491,18.8375707275)); #540425=CARTESIAN_POINT('Ctrl Pts',(-0.979389827865864,3.34915101660911, 18.9170920146715)); #540426=CARTESIAN_POINT('Ctrl Pts',(-1.88575297204916,2.72101055782467, 18.9568526582573)); #540427=CARTESIAN_POINT('Ctrl Pts',(-1.83868353997025,2.578795888921,13.7912493560447)); #540428=CARTESIAN_POINT('Ctrl Pts',(-2.6976752639673,1.96633416329486,13.8310099996305)); #540429=CARTESIAN_POINT('Ctrl Pts',(-3.74025731368979,-0.174261427674412, 13.910531286802)); #540430=CARTESIAN_POINT('Ctrl Pts',(-1.87340949411247,-3.24623810351006, 14.0298132175592)); #540431=CARTESIAN_POINT('Ctrl Pts',(1.71560400259123,-3.32854599157639, 14.1490951483165)); #540432=CARTESIAN_POINT('Ctrl Pts',(3.72830066907527,-0.358975251102156, 14.2683770790737)); #540433=CARTESIAN_POINT('Ctrl Pts',(2.31817850251897,2.9424643137748,14.387659009831)); #540434=CARTESIAN_POINT('Ctrl Pts',(-1.22078801388279,3.54095223137596, 14.5069409405882)); #540435=CARTESIAN_POINT('Ctrl Pts',(-3.63867627212428,0.888707901238535, 14.6262228713454)); #540436=CARTESIAN_POINT('Ctrl Pts',(-2.71557805844908,-2.57986166004405, 14.7455048021027)); #540437=CARTESIAN_POINT('Ctrl Pts',(0.701021543036338,-3.67942499473844, 14.8647867328599)); #540438=CARTESIAN_POINT('Ctrl Pts',(3.47391842743048,-1.40059426765151, 14.9840686636172)); #540439=CARTESIAN_POINT('Ctrl Pts',(3.05704733399665,2.16431442355026,15.1033505943744)); #540440=CARTESIAN_POINT('Ctrl Pts',(-0.166832679033258,3.74191194050122, 15.2226325251317)); #540441=CARTESIAN_POINT('Ctrl Pts',(-3.23752322080592,1.88366915748221, 15.3419144558889)); #540442=CARTESIAN_POINT('Ctrl Pts',(-3.33549737452573,-1.70417838098517, 15.4611963866462)); #540443=CARTESIAN_POINT('Ctrl Pts',(-0.370792485511934,-3.72723370291652, 15.5804783174034)); #540444=CARTESIAN_POINT('Ctrl Pts',(2.93437628893937,-2.32791680712751, 15.6997602481607)); #540445=CARTESIAN_POINT('Ctrl Pts',(3.54517892128408,1.20891140954261,15.8190421789179)); #540446=CARTESIAN_POINT('Ctrl Pts',(0.900771607826986,3.63570776976473, 15.9383241096751)); #540447=CARTESIAN_POINT('Ctrl Pts',(-2.57073102834975,2.72417039842354, 16.0576060404324)); #540448=CARTESIAN_POINT('Ctrl Pts',(-3.68176949617528,-0.688720617822564, 16.1768879711896)); #540449=CARTESIAN_POINT('Ctrl Pts',(-1.41217997158518,-3.46922318059782, 16.2961699019469)); #540450=CARTESIAN_POINT('Ctrl Pts',(2.15408354096926,-3.06425922291407, 16.4154518327041)); #540451=CARTESIAN_POINT('Ctrl Pts',(3.74245132471733,0.154330379932374, 16.5347337634614)); #540452=CARTESIAN_POINT('Ctrl Pts',(1.89447229518696,3.2312126685721,16.6540156942186)); #540453=CARTESIAN_POINT('Ctrl Pts',(-1.69302552835025,3.34117144210662, 16.7732976249759)); #540454=CARTESIAN_POINT('Ctrl Pts',(-3.72597477589141,0.383241711829803, 16.8925795557331)); #540455=CARTESIAN_POINT('Ctrl Pts',(-2.33770663391689,-2.92658338618237, 17.0118614864904)); #540456=CARTESIAN_POINT('Ctrl Pts',(1.19706137573776,-3.5491978799386,17.1311434172476)); #540457=CARTESIAN_POINT('Ctrl Pts',(3.63267774813063,-0.91291237017675, 17.2504253480049)); #540458=CARTESIAN_POINT('Ctrl Pts',(2.73274374181996,2.56161589979352,17.3697072787621)); #540459=CARTESIAN_POINT('Ctrl Pts',(-0.676419089961685,3.68404971988367, 17.4889892095194)); #540460=CARTESIAN_POINT('Ctrl Pts',(-3.46448321753289,1.42376100723544, 17.6082711402766)); #540461=CARTESIAN_POINT('Ctrl Pts',(-3.0714445766304,-2.14383438611581, 17.7275530710338)); #540462=CARTESIAN_POINT('Ctrl Pts',(0.141839185025058,-3.74294756291974, 17.8468350017911)); #540463=CARTESIAN_POINT('Ctrl Pts',(3.22484219673662,-1.90525361561084, 17.9661169325484)); #540464=CARTESIAN_POINT('Ctrl Pts',(3.34685402502497,1.68184904219425,18.0853988633056)); #540465=CARTESIAN_POINT('Ctrl Pts',(0.395597964387955,3.72468353820701, 18.2046807940629)); #540466=CARTESIAN_POINT('Ctrl Pts',(-2.91858566432107,2.34745062715484, 18.3239627248201)); #540467=CARTESIAN_POINT('Ctrl Pts',(-3.55351161804777,-1.18516042205672, 18.4432446555773)); #540468=CARTESIAN_POINT('Ctrl Pts',(-0.924393113292747,-3.62968156393771, 18.5625265863346)); #540469=CARTESIAN_POINT('Ctrl Pts',(2.55120689389325,-2.74114183831743, 18.6818085170918)); #540470=CARTESIAN_POINT('Ctrl Pts',(3.68877665027377,0.66381447819057,18.8010904478491)); #540471=CARTESIAN_POINT('Ctrl Pts',(1.43019087848811,3.46041094350804,18.9203723786063)); #540472=CARTESIAN_POINT('Ctrl Pts',(-0.936962018932338,3.2040635723208, 18.9998936657778)); #540473=CARTESIAN_POINT('Ctrl Pts',(-1.80406091031176,2.60313457500004, 19.0396543093636)); #540474=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #540475=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #540476=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #540477=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #540478=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #540479=CARTESIAN_POINT('Ctrl Pts',(3.55947454215496,-0.342720017520895, 14.35117873018)); #540480=CARTESIAN_POINT('Ctrl Pts',(2.2132059842037,2.80922269182922,14.4704606609372)); #540481=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #540482=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #540483=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #540484=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #540485=CARTESIAN_POINT('Ctrl Pts',(3.31661131955639,-1.3371721044412,15.0668703147235)); #540486=CARTESIAN_POINT('Ctrl Pts',(2.91861711797646,2.06630923693269,15.1861522454807)); #540487=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #540488=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #540489=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #540490=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #540491=CARTESIAN_POINT('Ctrl Pts',(2.80150090290771,-2.22250332437718, 15.7825618992669)); #540492=CARTESIAN_POINT('Ctrl Pts',(3.38464497265005,1.15416909160649,15.9018438300242)); #540493=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #540494=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #540495=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #540496=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #540497=CARTESIAN_POINT('Ctrl Pts',(2.05654162384399,-2.92550244443231, 16.4982534838104)); #540498=CARTESIAN_POINT('Ctrl Pts',(3.57298442322563,0.147341941698386, 16.6175354145676)); #540499=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #540500=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #540501=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #540502=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #540503=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #540504=CARTESIAN_POINT('Ctrl Pts',(3.46818164928341,-0.871573576580561, 17.3332269991111)); #540505=CARTESIAN_POINT('Ctrl Pts',(2.60899874608436,2.44561997906516,17.4525089298684)); #540506=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #540507=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #540508=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #540509=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #540510=CARTESIAN_POINT('Ctrl Pts',(3.07881383940255,-1.81897930437554, 18.0489185836546)); #540511=CARTESIAN_POINT('Ctrl Pts',(3.1953006885696,1.60569100921857,18.1682005144119)); #540512=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #540513=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #540514=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #540515=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #540516=CARTESIAN_POINT('Ctrl Pts',(2.43568230849502,-2.61701656588447, 18.7646101681981)); #540517=CARTESIAN_POINT('Ctrl Pts',(3.52174026277243,0.633755416026087, 18.8838920989553)); #540518=CARTESIAN_POINT('Ctrl Pts',(1.36542850333331,3.30371549454117,19.0031740297126)); #540519=CARTESIAN_POINT('Ctrl Pts',(-0.894534209998816,3.05897612803249, 19.0826953168841)); #540520=CARTESIAN_POINT('Ctrl Pts',(-1.72236884857437,2.48525859217542, 19.1224559604698)); #540521=CARTESIAN_POINT('',(-1.96744503378654,2.83888654064931,18.874051007151)); #540522=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361067, 18.874051007151)); #540523=CARTESIAN_POINT('Ctrl Pts',(3.01275646742934,0.374385938534258, 18.874051007151)); #540524=CARTESIAN_POINT('Ctrl Pts',(3.00731059096571,0.457444909350724, 18.874051007151)); #540525=CARTESIAN_POINT('Ctrl Pts',(2.99815154183285,0.539980308279141, 18.874051007151)); #540526=CARTESIAN_POINT('Ctrl Pts',(2.98735633186131,0.637259725453702, 18.874051007151)); #540527=CARTESIAN_POINT('Ctrl Pts',(2.97140482824537,0.733812264071687, 18.874051007151)); #540528=CARTESIAN_POINT('Ctrl Pts',(2.95060508720382,0.829376578283416, 18.874051007151)); #540529=CARTESIAN_POINT('Ctrl Pts',(2.92928076421061,0.927351081850764, 18.874051007151)); #540530=CARTESIAN_POINT('Ctrl Pts',(2.90286038539718,1.02428756003597,18.874051007151)); #540531=CARTESIAN_POINT('Ctrl Pts',(2.87162119421877,1.11990395631697,18.874051007151)); #540532=CARTESIAN_POINT('Ctrl Pts',(2.83868948122113,1.22070079520474,18.874051007151)); #540533=CARTESIAN_POINT('Ctrl Pts',(2.80040265214475,1.32003161051855,18.874051007151)); #540534=CARTESIAN_POINT('Ctrl Pts',(2.75702649485525,1.41755258461383,18.874051007151)); #540535=CARTESIAN_POINT('Ctrl Pts',(2.74947742326982,1.43452487677916,18.874051007151)); #540536=CARTESIAN_POINT('Ctrl Pts',(2.74177282509581,1.4514447360221,18.874051007151)); #540537=CARTESIAN_POINT('Ctrl Pts',(2.73392439989102,1.46828847281467,18.874051007151)); #540538=CARTESIAN_POINT('Ctrl Pts',(2.69021577981198,1.56209283139202,18.874051007151)); #540539=CARTESIAN_POINT('Ctrl Pts',(2.64198948938178,1.65367844106921,18.874051007151)); #540540=CARTESIAN_POINT('Ctrl Pts',(2.58957097971379,1.74275811494202,18.874051007151)); #540541=CARTESIAN_POINT('Ctrl Pts',(2.53626156254492,1.8333517912184,18.874051007151)); #540542=CARTESIAN_POINT('Ctrl Pts',(2.47861586640614,1.92135442648075,18.874051007151)); #540543=CARTESIAN_POINT('Ctrl Pts',(2.41692253305354,2.00645385512586,18.874051007151)); #540544=CARTESIAN_POINT('Ctrl Pts',(2.35500797569304,2.09185843889312,18.874051007151)); #540545=CARTESIAN_POINT('Ctrl Pts',(2.28901622161745,2.17433978844282,18.874051007151)); #540546=CARTESIAN_POINT('Ctrl Pts',(2.21919183505495,2.25355998232678,18.874051007151)); #540547=CARTESIAN_POINT('Ctrl Pts',(2.18494279506838,2.29241768980763,18.874051007151)); #540548=CARTESIAN_POINT('Ctrl Pts',(2.14977097930821,2.33049036877804,18.874051007151)); #540549=CARTESIAN_POINT('Ctrl Pts',(2.11370165890817,2.36773523964651,18.874051007151)); #540550=CARTESIAN_POINT('Ctrl Pts',(2.09850359767242,2.38342862732054,18.874051007151)); #540551=CARTESIAN_POINT('Ctrl Pts',(2.0831461652302,2.39897501780535,18.874051007151)); #540552=CARTESIAN_POINT('Ctrl Pts',(2.06763113956521,2.41437108731053,18.874051007151)); #540553=CARTESIAN_POINT('Ctrl Pts',(2.05276115846103,2.42912705791375,18.874051007151)); #540554=CARTESIAN_POINT('Ctrl Pts',(2.03774275801244,2.4437483019043,18.874051007151)); #540555=CARTESIAN_POINT('Ctrl Pts',(2.02259969880451,2.45821098776065,18.874051007151)); #540556=CARTESIAN_POINT('Ctrl Pts',(1.94475670674435,2.53255651628466,18.874051007151)); #540557=CARTESIAN_POINT('Ctrl Pts',(1.86352818951196,2.60281200254842,18.874051007151)); #540558=CARTESIAN_POINT('Ctrl Pts',(1.77921297744974,2.66865969377782,18.874051007151)); #540559=CARTESIAN_POINT('Ctrl Pts',(1.69578628324256,2.73381347879576,18.874051007151)); #540560=CARTESIAN_POINT('Ctrl Pts',(1.60933700561535,2.79465262816132,18.874051007151)); #540561=CARTESIAN_POINT('Ctrl Pts',(1.5201130826545,2.850841265204,18.874051007151)); #540562=CARTESIAN_POINT('Ctrl Pts',(1.43129107022397,2.90677679966879,18.874051007151)); #540563=CARTESIAN_POINT('Ctrl Pts',(1.33971882159118,2.95810438865128,18.874051007151)); #540564=CARTESIAN_POINT('Ctrl Pts',(1.24560790225141,3.00445342184365,18.874051007151)); #540565=CARTESIAN_POINT('Ctrl Pts',(1.18340092495921,3.0350899651432,18.874051007151)); #540566=CARTESIAN_POINT('Ctrl Pts',(1.1200840655013,3.06355038814261,18.874051007151)); #540567=CARTESIAN_POINT('Ctrl Pts',(1.05576187258497,3.08984912297992,18.874051007151)); #540568=CARTESIAN_POINT('Ctrl Pts',(1.03980498745922,3.09637324586387,18.874051007151)); #540569=CARTESIAN_POINT('Ctrl Pts',(1.02378621331147,3.1027642881897,18.874051007151)); #540570=CARTESIAN_POINT('Ctrl Pts',(1.00770711295334,3.10902238092611,18.874051007151)); #540571=CARTESIAN_POINT('Ctrl Pts',(0.99251951159668,3.11493349632539,18.874051007151)); #540572=CARTESIAN_POINT('Ctrl Pts',(0.977286032831671,3.12072287429125, 18.874051007151)); #540573=CARTESIAN_POINT('Ctrl Pts',(0.962013087463568,3.12638922484964, 18.874051007151)); #540574=CARTESIAN_POINT('Ctrl Pts',(0.864891783784355,3.16242178700909, 18.874051007151)); #540575=CARTESIAN_POINT('Ctrl Pts',(0.766114809122898,3.19350721327191, 18.874051007151)); #540576=CARTESIAN_POINT('Ctrl Pts',(0.666068821134678,3.21977365675568, 18.874051007151)); #540577=CARTESIAN_POINT('Ctrl Pts',(0.563508942007653,3.24670010651106, 18.874051007151)); #540578=CARTESIAN_POINT('Ctrl Pts',(0.459614829202415,3.26856255049949, 18.874051007151)); #540579=CARTESIAN_POINT('Ctrl Pts',(0.354774569724377,3.28545271427892, 18.874051007151)); #540580=CARTESIAN_POINT('Ctrl Pts',(0.247967936764385,3.30265966829071, 18.874051007151)); #540581=CARTESIAN_POINT('Ctrl Pts',(0.140178541937283,3.31470624982639, 18.874051007151)); #540582=CARTESIAN_POINT('Ctrl Pts',(0.0317986121336319,3.32163916121788, 18.874051007151)); #540583=CARTESIAN_POINT('Ctrl Pts',(-0.0569113228350399,3.32731381075674, 18.874051007151)); #540584=CARTESIAN_POINT('Ctrl Pts',(-0.146017445439113,3.32956159835045, 18.874051007151)); #540585=CARTESIAN_POINT('Ctrl Pts',(-0.235307622117931,3.32838262091772, 18.874051007151)); #540586=CARTESIAN_POINT('Ctrl Pts',(-0.252076269591296,3.32816120958577, 18.874051007151)); #540587=CARTESIAN_POINT('Ctrl Pts',(-0.268850362334011,3.32781882661425, 18.874051007151)); #540588=CARTESIAN_POINT('Ctrl Pts',(-0.285615455819773,3.32735597423965, 18.874051007151)); #540589=CARTESIAN_POINT('Ctrl Pts',(-0.39635077744836,3.32429878242555, 18.874051007151)); #540590=CARTESIAN_POINT('Ctrl Pts',(-0.506843127113335,3.31598852782484, 18.874051007151)); #540591=CARTESIAN_POINT('Ctrl Pts',(-0.616679158536743,3.30250198738081, 18.874051007151)); #540592=CARTESIAN_POINT('Ctrl Pts',(-0.726985026199691,3.28895775671105, 18.874051007151)); #540593=CARTESIAN_POINT('Ctrl Pts',(-0.836629862796787,3.27019290600069, 18.874051007151)); #540594=CARTESIAN_POINT('Ctrl Pts',(-0.945200851317636,3.24623329972968, 18.874051007151)); #540595=CARTESIAN_POINT('Ctrl Pts',(-0.993509834811874,3.23557240182645, 18.874051007151)); #540596=CARTESIAN_POINT('Ctrl Pts',(-1.0416062085643,3.22388234089903,18.874051007151)); #540597=CARTESIAN_POINT('Ctrl Pts',(-1.08945324122172,3.21116207382175, 18.874051007151)); #540598=CARTESIAN_POINT('Ctrl Pts',(-1.10788487771372,3.20626197185454, 18.874051007151)); #540599=CARTESIAN_POINT('Ctrl Pts',(-1.12628221242462,3.20120819861313, 18.874051007151)); #540600=CARTESIAN_POINT('Ctrl Pts',(-1.14463401963924,3.19600321346265, 18.874051007151)); #540601=CARTESIAN_POINT('Ctrl Pts',(-1.2529118667041,3.16529318150189,18.874051007151)); #540602=CARTESIAN_POINT('Ctrl Pts',(-1.35946870873621,3.12936393259998, 18.874051007151)); #540603=CARTESIAN_POINT('Ctrl Pts',(-1.46388653929404,3.08826461101665, 18.874051007151)); #540604=CARTESIAN_POINT('Ctrl Pts',(-1.56656193032892,3.04785112136366, 18.874051007151)); #540605=CARTESIAN_POINT('Ctrl Pts',(-1.66716997179659,3.00243852827206, 18.874051007151)); #540606=CARTESIAN_POINT('Ctrl Pts',(-1.76530583349304,2.9520245104835,18.874051007151)); #540607=CARTESIAN_POINT('Ctrl Pts',(-1.83394307678374,2.91676442161896, 18.874051007151)); #540608=CARTESIAN_POINT('Ctrl Pts',(-1.90137085416642,2.87905675067507, 18.874051007151)); #540609=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #540610=CARTESIAN_POINT('Ctrl Pts',(-1.4785521750381,-3.12762804142262, 13.874051007151)); #540611=CARTESIAN_POINT('Ctrl Pts',(-1.5617487823287,-3.08264601185184, 13.874051007151)); #540612=CARTESIAN_POINT('Ctrl Pts',(-1.64282085954294,-3.0341209291239, 13.874051007151)); #540613=CARTESIAN_POINT('Ctrl Pts',(-1.7215142842669,-2.98203884280646, 13.874051007151)); #540614=CARTESIAN_POINT('Ctrl Pts',(-1.81368237568455,-2.92103874642273, 13.874051007151)); #540615=CARTESIAN_POINT('Ctrl Pts',(-1.9025886505158,-2.85515962658132, 13.874051007151)); #540616=CARTESIAN_POINT('Ctrl Pts',(-1.98805349990207,-2.7847970624212, 13.874051007151)); #540617=CARTESIAN_POINT('Ctrl Pts',(-2.03629599802861,-2.74507937855411, 13.874051007151)); #540618=CARTESIAN_POINT('Ctrl Pts',(-2.08344130176366,-2.70393242882251, 13.874051007151)); #540619=CARTESIAN_POINT('Ctrl Pts',(-2.12945151615309,-2.66142281956772, 13.874051007151)); #540620=CARTESIAN_POINT('Ctrl Pts',(-2.14786648437636,-2.64440892137144, 13.874051007151)); #540621=CARTESIAN_POINT('Ctrl Pts',(-2.16609957545235,-2.62717670889338, 13.874051007151)); #540622=CARTESIAN_POINT('Ctrl Pts',(-2.18414816250103,-2.60973033957608, 13.874051007151)); #540623=CARTESIAN_POINT('Ctrl Pts',(-2.20076486903208,-2.59366807397069, 13.874051007151)); #540624=CARTESIAN_POINT('Ctrl Pts',(-2.21722671532325,-2.57742258387413, 13.874051007151)); #540625=CARTESIAN_POINT('Ctrl Pts',(-2.23351563052012,-2.56101359333371, 13.874051007151)); #540626=CARTESIAN_POINT('Ctrl Pts',(-2.31215276311336,-2.48179677936832, 13.874051007151)); #540627=CARTESIAN_POINT('Ctrl Pts',(-2.38684659696513,-2.39869314919133, 13.874051007151)); #540628=CARTESIAN_POINT('Ctrl Pts',(-2.45741666454604,-2.31211077557655, 13.874051007151)); #540629=CARTESIAN_POINT('Ctrl Pts',(-2.51916547367934,-2.23635119866362, 13.874051007151)); #540630=CARTESIAN_POINT('Ctrl Pts',(-2.57775660547917,-2.1579272129632, 13.874051007151)); #540631=CARTESIAN_POINT('Ctrl Pts',(-2.63304075069109,-2.07709449098758, 13.874051007151)); #540632=CARTESIAN_POINT('Ctrl Pts',(-2.65722756422131,-2.04173017512325, 13.874051007151)); #540633=CARTESIAN_POINT('Ctrl Pts',(-2.68078103731751,-2.00590464463899, 13.874051007151)); #540634=CARTESIAN_POINT('Ctrl Pts',(-2.70368690439995,-1.96963868045925, 13.874051007151)); #540635=CARTESIAN_POINT('Ctrl Pts',(-2.7185673766978,-1.94607901020582, 13.874051007151)); #540636=CARTESIAN_POINT('Ctrl Pts',(-2.73317451962128,-1.92233345850848, 13.874051007151)); #540637=CARTESIAN_POINT('Ctrl Pts',(-2.74750416457387,-1.89840766800572, 13.874051007151)); #540638=CARTESIAN_POINT('Ctrl Pts',(-2.75623977794478,-1.88382206898236, 13.874051007151)); #540639=CARTESIAN_POINT('Ctrl Pts',(-2.76487210427375,-1.86916961204318, 13.874051007151)); #540640=CARTESIAN_POINT('Ctrl Pts',(-2.77339321307767,-1.85446398729742, 13.874051007151)); #540641=CARTESIAN_POINT('Ctrl Pts',(-2.82853540217298,-1.75930027572479, 13.874051007151)); #540642=CARTESIAN_POINT('Ctrl Pts',(-2.8791022190038,-1.66178265812152, 13.874051007151)); #540643=CARTESIAN_POINT('Ctrl Pts',(-2.92491995925639,-1.56228969761062, 13.874051007151)); #540644=CARTESIAN_POINT('Ctrl Pts',(-2.97054732934766,-1.46321012481456, 13.874051007151)); #540645=CARTESIAN_POINT('Ctrl Pts',(-3.0114653367256,-1.36217071666382, 13.874051007151)); #540646=CARTESIAN_POINT('Ctrl Pts',(-3.0474544543253,-1.25952706966735, 13.874051007151)); #540647=CARTESIAN_POINT('Ctrl Pts',(-3.08242095832824,-1.15979999290209, 13.874051007151)); #540648=CARTESIAN_POINT('Ctrl Pts',(-3.11273479723879,-1.05855776972391, 13.874051007151)); #540649=CARTESIAN_POINT('Ctrl Pts',(-3.13814641042304,-0.95612141906086, 13.874051007151)); #540650=CARTESIAN_POINT('Ctrl Pts',(-3.15444020510521,-0.890439761875513, 13.874051007151)); #540651=CARTESIAN_POINT('Ctrl Pts',(-3.16871757133079,-0.824267093683014, 13.874051007151)); #540652=CARTESIAN_POINT('Ctrl Pts',(-3.18090148993883,-0.757689051163247, 13.874051007151)); #540653=CARTESIAN_POINT('Ctrl Pts',(-3.1843353484104,-0.738925007945097, 13.874051007151)); #540654=CARTESIAN_POINT('Ctrl Pts',(-3.18760286609303,-0.720128775330104, 13.874051007151)); #540655=CARTESIAN_POINT('Ctrl Pts',(-3.19070218547753,-0.701302297674526, 13.874051007151)); #540656=CARTESIAN_POINT('Ctrl Pts',(-3.19338647630634,-0.684996865726389, 13.874051007151)); #540657=CARTESIAN_POINT('Ctrl Pts',(-3.19594470038082,-0.668667566906637, 13.874051007151)); #540658=CARTESIAN_POINT('Ctrl Pts',(-3.1983732532236,-0.652333040376642, 13.874051007151)); #540659=CARTESIAN_POINT('Ctrl Pts',(-3.2138154986814,-0.548467988042042, 13.874051007151)); #540660=CARTESIAN_POINT('Ctrl Pts',(-3.22404656614332,-0.444231464738277, 13.874051007151)); #540661=CARTESIAN_POINT('Ctrl Pts',(-3.22886843972871,-0.339946266860055, 13.874051007151)); #540662=CARTESIAN_POINT('Ctrl Pts',(-3.23173266989217,-0.278000053614086, 13.874051007151)); #540663=CARTESIAN_POINT('Ctrl Pts',(-3.23268734790242,-0.21603652571853, 13.874051007151)); #540664=CARTESIAN_POINT('Ctrl Pts',(-3.23168220375346,-0.154123294481558, 13.874051007151)); #540665=CARTESIAN_POINT('Ctrl Pts',(-3.22997201242647,-0.04878171616421, 13.874051007151)); #540666=CARTESIAN_POINT('Ctrl Pts',(-3.2225909842004,0.05641518036162,13.874051007151)); #540667=CARTESIAN_POINT('Ctrl Pts',(-3.20975168660462,0.161121052215151, 13.874051007151)); #540668=CARTESIAN_POINT('Ctrl Pts',(-3.1968043656933,0.266707865985549, 13.874051007151)); #540669=CARTESIAN_POINT('Ctrl Pts',(-3.17830610249602,0.371796196954084, 13.874051007151)); #540670=CARTESIAN_POINT('Ctrl Pts',(-3.15442657089568,0.47601026349215, 13.874051007151)); #540671=CARTESIAN_POINT('Ctrl Pts',(-3.14988847091943,0.495815252166011, 13.874051007151)); #540672=CARTESIAN_POINT('Ctrl Pts',(-3.14515589461343,0.515588585354135, 13.874051007151)); #540673=CARTESIAN_POINT('Ctrl Pts',(-3.14022983194125,0.535327561350977, 13.874051007151)); #540674=CARTESIAN_POINT('Ctrl Pts',(-3.13625009618872,0.551274558724094, 13.874051007151)); #540675=CARTESIAN_POINT('Ctrl Pts',(-3.13214366765861,0.567200370108993, 13.874051007151)); #540676=CARTESIAN_POINT('Ctrl Pts',(-3.12791585141649,0.583086327370463, 13.874051007151)); #540677=CARTESIAN_POINT('Ctrl Pts',(-3.10099173811805,0.684253286544572, 13.874051007151)); #540678=CARTESIAN_POINT('Ctrl Pts',(-3.06911019254053,0.783965321272058, 13.874051007151)); #540679=CARTESIAN_POINT('Ctrl Pts',(-3.03251056161652,0.881896019871523, 13.874051007151)); #540680=CARTESIAN_POINT('Ctrl Pts',(-2.99572275632469,0.980330222108458, 13.874051007151)); #540681=CARTESIAN_POINT('Ctrl Pts',(-2.95416772922436,1.07696553172073, 13.874051007151)); #540682=CARTESIAN_POINT('Ctrl Pts',(-2.90803983353484,1.17144979951645, 13.874051007151)); #540683=CARTESIAN_POINT('Ctrl Pts',(-2.86234352295976,1.26505004685296, 13.874051007151)); #540684=CARTESIAN_POINT('Ctrl Pts',(-2.81215895076019,1.35654002818082, 13.874051007151)); #540685=CARTESIAN_POINT('Ctrl Pts',(-2.75763546023652,1.44554589350447, 13.874051007151)); #540686=CARTESIAN_POINT('Ctrl Pts',(-2.726224098692,1.49682279064389,13.874051007151)); #540687=CARTESIAN_POINT('Ctrl Pts',(-2.69337196529419,1.54727457230956, 13.874051007151)); #540688=CARTESIAN_POINT('Ctrl Pts',(-2.65910263924961,1.59682354345575, 13.874051007151)); #540689=CARTESIAN_POINT('Ctrl Pts',(-2.6489722792243,1.61147072139972,13.874051007151)); #540690=CARTESIAN_POINT('Ctrl Pts',(-2.63871805547855,1.62603897307154, 13.874051007151)); #540691=CARTESIAN_POINT('Ctrl Pts',(-2.62834052390901,1.64052620331639, 13.874051007151)); #540692=CARTESIAN_POINT('Ctrl Pts',(-2.61938181746909,1.65303272685922, 13.874051007151)); #540693=CARTESIAN_POINT('Ctrl Pts',(-2.61033089709845,1.66547918685731, 13.874051007151)); #540694=CARTESIAN_POINT('Ctrl Pts',(-2.60119739114973,1.67785197107522, 13.874051007151)); #540695=CARTESIAN_POINT('Ctrl Pts',(-2.54005095849339,1.76068452422606, 13.874051007151)); #540696=CARTESIAN_POINT('Ctrl Pts',(-2.4751096588798,1.84035410420507,13.874051007151)); #540697=CARTESIAN_POINT('Ctrl Pts',(-2.40655685723276,1.91653401908126, 13.874051007151)); #540698=CARTESIAN_POINT('Ctrl Pts',(-2.33888068473807,1.9917397719597,13.874051007151)); #540699=CARTESIAN_POINT('Ctrl Pts',(-2.26768422465851,2.06354515119865, 13.874051007151)); #540700=CARTESIAN_POINT('Ctrl Pts',(-2.1931095796491,2.13159953260435,13.874051007151)); #540701=CARTESIAN_POINT('Ctrl Pts',(-2.12089118732712,2.19750367488978, 13.874051007151)); #540702=CARTESIAN_POINT('Ctrl Pts',(-2.04550416963014,2.25989048636808, 13.874051007151)); #540703=CARTESIAN_POINT('Ctrl Pts',(-1.96705333093282,2.31840028396843, 13.874051007151)); #540704=CARTESIAN_POINT('Ctrl Pts',(-1.89880979400732,2.36929732470561, 13.874051007151)); #540705=CARTESIAN_POINT('Ctrl Pts',(-1.82824732573226,2.41726020818069, 13.874051007151)); #540706=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510437,2.46202201836131, 13.874051007151)); #540707=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378654,2.83888654064931, 18.874051007151)); #540708=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679937,3.49423846089743, 18.8342903635652)); #540709=CARTESIAN_POINT('Ctrl Pts',(0.268948995999159,3.6340201511696,18.7945297199795)); #540710=CARTESIAN_POINT('Ctrl Pts',(1.32485461181155,3.19559945648532,18.7547690763938)); #540711=CARTESIAN_POINT('Ctrl Pts',(2.38076022762395,2.75717876180103,18.715008432808)); #540712=CARTESIAN_POINT('Ctrl Pts',(3.20180482645021,1.74055568216028,18.6752477892222)); #540713=CARTESIAN_POINT('Ctrl Pts',(3.40556156576554,0.613356644722763, 18.6354871456365)); #540714=CARTESIAN_POINT('Ctrl Pts',(3.44190561413092,0.412298380482314, 18.6283950476849)); #540715=CARTESIAN_POINT('Ctrl Pts',(3.45861016484752,0.207722055373343, 18.6213029497333)); #540716=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #540717=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #540718=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #540719=CARTESIAN_POINT('Origin',(0.999999809264999,-6.900000007656,13.874051007151)); #540720=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #540721=CARTESIAN_POINT('',(0.999999809264999,-6.50000000765598,13.074051007151)); #540722=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #540723=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #540724=CARTESIAN_POINT('',(0.999999809264999,-3.4500000037285,13.874051007151)); #540725=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #540726=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #540727=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #540728=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #540729=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #540730=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.874051007151)); #540731=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #540732=CARTESIAN_POINT('Origin',(0.999999809264999,-6.100000007656,13.874051007151)); #540733=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #540734=CARTESIAN_POINT('',(0.499999809265067,-6.100000007656,13.874051007151)); #540735=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #540736=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #540737=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #540738=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 13.074051007151)); #540739=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.074051007151)); #540740=CARTESIAN_POINT('',(-2.67500019396724,-6.50000000765599,13.074051007151)); #540741=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #540742=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #540743=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.074051007151)); #540744=CARTESIAN_POINT('Origin',(-1.000000190735,-6.900000007656,13.874051007151)); #540745=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #540746=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #540747=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.874051007151)); #540748=CARTESIAN_POINT('Origin',(-1.000000190735,-6.100000007656,13.874051007151)); #540749=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #540750=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #540751=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #540752=CARTESIAN_POINT('',(-1.000000190735,-3.0500000037285,13.874051007151)); #540753=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 14.674051007151)); #540754=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #540755=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #540756=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #540757=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #540758=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #540759=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.524051006728)); #540760=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.524051006728)); #540761=CARTESIAN_POINT('',(-4.45000019719996,-6.50000000765603,12.874051005943)); #540762=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #540763=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,12.874051005943)); #540764=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,12.874051005943)); #540765=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,13.524051006728)); #540766=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #540767=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #540768=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,13.524051006728)); #540769=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #540770=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #540771=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #540772=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-0.850000000798, 18.874051007151)); #540773=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,12.374051005339)); #540774=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,12.274051005218)); #540775=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,10.774051003405)); #540776=CARTESIAN_POINT('',(-4.75000019719999,2.45000000315998,13.124051006245)); #540777=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #540778=CARTESIAN_POINT('',(-5.250000197079,2.45000000316,10.774051003405)); #540779=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.274051005218)); #540780=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.374051005339)); #540781=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #540782=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,10.774051003405)); #540783=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,10.774051003405)); #540784=CARTESIAN_POINT('',(-4.7500001972,3.65000000460999,10.774051003405)); #540785=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #540786=CARTESIAN_POINT('',(-5.250000197079,-2.450000002762,10.774051003405)); #540787=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #540788=CARTESIAN_POINT('Origin',(4.849999815126,2.350000003039,12.374051005339)); #540789=CARTESIAN_POINT('',(4.749999815731,2.350000003039,12.374051005339)); #540790=CARTESIAN_POINT('',(4.74999981573,2.350000003039,11.024051003707)); #540791=CARTESIAN_POINT('',(4.74999981573001,2.350000003039,13.124051006245)); #540792=CARTESIAN_POINT('',(4.64999981561,2.350000003039,11.024051003707)); #540793=CARTESIAN_POINT('',(5.24999981561,2.350000003039,11.024051003707)); #540794=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.374051005339)); #540795=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.274051005218)); #540796=CARTESIAN_POINT('',(4.849999815126,2.350000003039,12.374051005339)); #540797=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,10.774051003405)); #540798=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,10.774051003405)); #540799=CARTESIAN_POINT('',(4.74999981573,2.45000000316,10.774051003405)); #540800=CARTESIAN_POINT('',(4.74999981573001,1.20000000164901,10.774051003405)); #540801=CARTESIAN_POINT('',(4.64999981561,2.45000000316,10.774051003405)); #540802=CARTESIAN_POINT('',(5.24999981561,2.45000000316,10.774051003405)); #540803=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #540804=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #540805=CARTESIAN_POINT('',(5.24999981561,-2.450000002762,10.774051003405)); #540806=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,12.374051005339)); #540807=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,12.274051005218)); #540808=CARTESIAN_POINT('',(4.74999981573003,-2.45000000276198,13.124051006245)); #540809=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.274051005218)); #540810=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.374051005339)); #540811=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #540812=CARTESIAN_POINT('Origin',(2.349999812105,4.85000000606,13.524051006728)); #540813=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.524051006728)); #540814=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.874051007151)); #540815=CARTESIAN_POINT('',(2.349999812105,4.80000000606004,13.6990510069395)); #540816=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.874051007151)); #540817=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.874051007151)); #540818=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #540819=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #540820=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.524051006728)); #540821=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540822=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.874051007151)); #540823=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #540824=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.524051006728)); #540825=CARTESIAN_POINT('',(3.77458587736467,2.45000000316,13.524051006728)); #540826=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540827=CARTESIAN_POINT('Origin',(5.349999815731,2.45000000316,13.524051006728)); #540828=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.874051007151)); #540829=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.524051006728)); #540830=CARTESIAN_POINT('',(4.74999981573001,2.45000000316,13.6990510069395)); #540831=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.524051006728)); #540832=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.874051007151)); #540833=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540834=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.524051006728)); #540835=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.524051006728)); #540836=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #540837=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #540838=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.524051006728)); #540839=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.524051006728)); #540840=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.524051006728)); #540841=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540842=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.524051006728)); #540843=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.524051006728)); #540844=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #540845=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,13.524051006728)); #540846=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.874051007151)); #540847=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.6990510069395)); #540848=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.874051007151)); #540849=CARTESIAN_POINT('',(-3.7745862588344,-2.45000000276199,13.524051006728)); #540850=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.874051007151)); #540851=CARTESIAN_POINT('Origin',(-2.350000193575,-6.500000007656,13.524051006728)); #540852=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.874051007151)); #540853=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #540854=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #540855=CARTESIAN_POINT('Origin',(-1.91999095760466,-4.59486854342693,13.524051006728)); #540856=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.874051007151)); #540857=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.874051007151)); #540858=CARTESIAN_POINT('',(-2.38041433786563,-3.81885172357082,13.524051006728)); #540859=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540860=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #540861=CARTESIAN_POINT('Origin',(-5.3500001972,2.45000000316,13.524051006728)); #540862=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.524051006728)); #540863=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.874051007151)); #540864=CARTESIAN_POINT('',(-4.75000019719999,2.45000000316,13.6990510069395)); #540865=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.874051007151)); #540866=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.874051007151)); #540867=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.524051006728)); #540868=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316001,13.524051006728)); #540869=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.524051006728)); #540870=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540871=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.874051007151)); #540872=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #540873=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.524051006728)); #540874=CARTESIAN_POINT('',(-2.350000193575,3.83764250395718,13.524051006728)); #540875=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540876=CARTESIAN_POINT('Origin',(-2.350000193575,4.85000000606,13.524051006728)); #540877=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.874051007151)); #540878=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.524051006728)); #540879=CARTESIAN_POINT('',(-2.350000193575,4.80000000606004,13.6990510069395)); #540880=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.524051006728)); #540881=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.874051007151)); #540882=CARTESIAN_POINT('Origin',(1.91999057613466,-4.59486854342693,13.524051006728)); #540883=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.874051007151)); #540884=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.874051007151)); #540885=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.874051007151)); #540886=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #540887=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #540888=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.524051006728)); #540889=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.524051006728)); #540890=CARTESIAN_POINT('',(2.38041395639547,-3.81885172357108,13.524051006728)); #540891=CARTESIAN_POINT('Origin',(2.349999812105,-6.500000007656,13.524051006728)); #540892=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #540893=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #540894=CARTESIAN_POINT('Origin',(5.349999815731,-2.450000002762,13.524051006728)); #540895=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.524051006728)); #540896=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.874051007151)); #540897=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.6990510069395)); #540898=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.874051007151)); #540899=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.874051007151)); #540900=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.524051006728)); #540901=CARTESIAN_POINT('',(3.77458587736466,-2.45000000276201,13.524051006728)); #540902=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.524051006728)); #540903=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540904=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #540905=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #540906=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #540907=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.874051007151)); #540908=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.874051007151)); #540909=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.874051007151)); #540910=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #540911=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #540912=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #540913=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #540914=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.374051005339)); #540915=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #540916=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #540917=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #540918=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #540919=CARTESIAN_POINT('Origin',(4.64999981561,-6.500000007656,12.274051005218)); #540920=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.274051005218)); #540921=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.374051005339)); #540922=CARTESIAN_POINT('',(4.64999981560996,4.700000005939,12.274051005218)); #540923=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #540924=CARTESIAN_POINT('',(4.64999981561,2.200000002858,10.874051003526)); #540925=CARTESIAN_POINT('Origin',(4.64999981561,2.200000002858,11.024051003707)); #540926=CARTESIAN_POINT('',(4.64999981561,-2.20000000246,10.874051003526)); #540927=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,10.874051003526)); #540928=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,11.024051003707)); #540929=CARTESIAN_POINT('Origin',(4.64999981561,-2.20000000246,11.024051003707)); #540930=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.374051005339)); #540931=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.274051005218)); #540932=CARTESIAN_POINT('',(4.64999981560999,-2.450000002762,12.374051005339)); #540933=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #540934=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.374051005339)); #540935=CARTESIAN_POINT('',(4.64999981560999,-3.25000000372849,12.374051005339)); #540936=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.274051005218)); #540937=CARTESIAN_POINT('',(4.64999981561,-6.40000000753497,12.274051005218)); #540938=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #540939=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.274051005218)); #540940=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.374051005339)); #540941=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #540942=CARTESIAN_POINT('Origin',(-5.3500001972,-6.400000007535,12.274051005218)); #540943=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.374051005339)); #540944=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #540945=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #540946=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.274051005218)); #540947=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.374051005339)); #540948=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #540949=CARTESIAN_POINT('',(-4.65000019707901,-2.450000002762,12.374051005339)); #540950=CARTESIAN_POINT('',(-4.65000019707901,-3.25000000372851,12.374051005339)); #540951=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #540952=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #540953=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #540954=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,12.274051005218)); #540955=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.274051005218)); #540956=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.274051005218)); #540957=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765597,12.274051005218)); #540958=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,12.274051005218)); #540959=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.274051005218)); #540960=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.274051005218)); #540961=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #540962=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,12.274051005218)); #540963=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #540964=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.274051005218)); #540965=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #540966=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #540967=CARTESIAN_POINT('Origin',(-0.09539060330618,-2.450000002762,10.774051003405)); #540968=CARTESIAN_POINT('',(-4.75000019719997,-2.45000000276202,12.324051005278)); #540969=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #540970=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #540971=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.274051005218)); #540972=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.274051005218)); #540973=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.274051005218)); #540974=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #540975=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.274051005218)); #540976=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #540977=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.274051005218)); #540978=CARTESIAN_POINT('',(4.74999981573,2.45000000316,12.274051005218)); #540979=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #540980=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.274051005218)); #540981=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #540982=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.274051005218)); #540983=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.274051005218)); #540984=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.274051005218)); #540985=CARTESIAN_POINT('Origin',(0.09539022183648,2.45000000316,12.374051005339)); #540986=CARTESIAN_POINT('',(4.74999981573001,2.45000000316002,13.124051006245)); #540987=CARTESIAN_POINT('Origin',(-0.3164416377,2.350000003039,12.374051005339)); #540988=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,11.024051003707)); #540989=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,12.374051005339)); #540990=CARTESIAN_POINT('',(-4.75000019719999,2.35000000303898,13.124051006245)); #540991=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #540992=CARTESIAN_POINT('',(-0.3164416377,2.350000003039,12.374051005339)); #540993=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,11.024051003707)); #540994=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #540995=CARTESIAN_POINT('',(-5.250000197079,2.350000003039,11.024051003707)); #540996=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,11.024051003707)); #540997=CARTESIAN_POINT('',(-4.7500001972,2.200000002858,10.874051003526)); #540998=CARTESIAN_POINT('Origin',(-4.75000019719999,2.20000000285798,11.024051003707)); #540999=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #541000=CARTESIAN_POINT('Origin',(-4.650000197079,2.200000002858,11.024051003707)); #541001=CARTESIAN_POINT('',(-5.250000197079,2.200000002858,10.874051003526)); #541002=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,10.874051003526)); #541003=CARTESIAN_POINT('',(-4.7500001972,-2.20000000246,10.874051003526)); #541004=CARTESIAN_POINT('',(-4.7500001972,3.52500000445899,10.874051003526)); #541005=CARTESIAN_POINT('',(-4.650000197079,-2.20000000246,10.874051003526)); #541006=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #541007=CARTESIAN_POINT('',(-5.250000197079,-2.20000000246,10.874051003526)); #541008=CARTESIAN_POINT('Origin',(-0.3164416377,-2.20000000246,11.024051003707)); #541009=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,11.024051003707)); #541010=CARTESIAN_POINT('Origin',(-4.75000019719997,-2.20000000246002,11.024051003707)); #541011=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #541012=CARTESIAN_POINT('Origin',(-4.650000197079,-2.20000000246,11.024051003707)); #541013=CARTESIAN_POINT('',(-5.250000197079,-2.350000002641,11.024051003707)); #541014=CARTESIAN_POINT('Origin',(-0.3164416377,-2.350000002641,11.024051003707)); #541015=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,12.374051005339)); #541016=CARTESIAN_POINT('',(-4.75000019719997,-2.35000000264102,12.449051005429)); #541017=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,12.374051005339)); #541018=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #541019=CARTESIAN_POINT('',(-0.3164416377,-2.350000002641,12.374051005339)); #541020=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #541021=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.374051005339)); #541022=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #541023=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #541024=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #541025=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,12.874051005943)); #541026=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.874051005943)); #541027=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.374051006547)); #541028=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.874051005943)); #541029=CARTESIAN_POINT('Origin',(4.358611978972,-2.450000002762,12.874051005943)); #541030=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.374051005339)); #541031=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.874051005943)); #541032=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.374051006547)); #541033=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #541034=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #541035=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #541036=CARTESIAN_POINT('',(4.749999815731,-2.350000002641,12.374051005339)); #541037=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #541038=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,12.374051005339)); #541039=CARTESIAN_POINT('Origin',(4.849999815126,-2.350000002641,12.374051005339)); #541040=CARTESIAN_POINT('',(4.74999981573,-2.350000002641,11.024051003707)); #541041=CARTESIAN_POINT('',(4.74999981573003,-2.350000002641,13.124051006245)); #541042=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,11.024051003707)); #541043=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,11.024051003707)); #541044=CARTESIAN_POINT('',(4.74999981573,-2.20000000246,10.874051003526)); #541045=CARTESIAN_POINT('Origin',(4.74999981573003,-2.20000000246,11.024051003707)); #541046=CARTESIAN_POINT('',(5.24999981561,-2.20000000246,10.874051003526)); #541047=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,10.874051003526)); #541048=CARTESIAN_POINT('',(4.74999981573,2.200000002858,10.874051003526)); #541049=CARTESIAN_POINT('',(4.74999981573001,1.3250000018,10.874051003526)); #541050=CARTESIAN_POINT('',(5.24999981561,2.200000002858,10.874051003526)); #541051=CARTESIAN_POINT('Origin',(4.849999815126,2.200000002858,11.024051003707)); #541052=CARTESIAN_POINT('Origin',(4.74999981573001,2.200000002858,11.024051003707)); #541053=CARTESIAN_POINT('Origin',(-4.650000197079,-6.500000007656,12.274051005218)); #541054=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.374051005339)); #541055=CARTESIAN_POINT('',(-4.65000019707904,4.700000005939,12.274051005218)); #541056=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #541057=CARTESIAN_POINT('Origin',(-5.3500001972,4.700000005939,12.274051005218)); #541058=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.374051005339)); #541059=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #541060=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.524051006728)); #541061=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.524051006728)); #541062=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.524051006728)); #541063=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #541064=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #541065=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #541066=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #541067=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #541068=CARTESIAN_POINT('Origin',(4.74999981573,4.85000000606,13.874051007151)); #541069=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.524051006728)); #541070=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.374051005339)); #541071=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #541072=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #541073=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.524051006728)); #541074=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #541075=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.874051005943)); #541076=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #541077=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.874051005943)); #541078=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #541079=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #541080=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.524051006728)); #541081=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #541082=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.874051005943)); #541083=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #541084=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.524051006728)); #541085=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.524051006728)); #541086=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #541087=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #541088=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.374051005339)); #541089=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.374051005339)); #541090=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #541091=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #541092=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #541093=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.32405099814797)); #541094=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #541095=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #541096=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #541097=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #541098=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #541099=CARTESIAN_POINT('Origin',(-4.7500001972,4.85000000606,13.874051007151)); #541100=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.374051005339)); #541101=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #541102=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #541103=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.874051005943)); #541104=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.874051005943)); #541105=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #541106=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #541107=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.874051005943)); #541108=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #541109=CARTESIAN_POINT('Origin',(-5.3500001972,4.80000000606004,13.874051007151)); #541110=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.374051005339)); #541111=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #541112=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.374051005339)); #541113=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #541114=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.374051005339)); #541115=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #541116=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #541117=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.324050998148)); #541118=CARTESIAN_POINT('',(4.74999981573002,-1.500000001916,1.324050998148)); #541119=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #541120=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #541121=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.324050998148)); #541122=CARTESIAN_POINT('',(-1.907348561314E-7,-2.550000002883,1.324050998148)); #541123=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.324050998148)); #541124=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.324050998148)); #541125=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.324050998148)); #541126=CARTESIAN_POINT('',(3.349999813314,-2.150000002399,1.324050998148)); #541127=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.324050998148)); #541128=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #541129=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.32405099814803)); #541130=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #541131=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #541132=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #541133=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #541134=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.324050998148)); #541135=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #541136=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.324050998148)); #541137=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.324050998148)); #541138=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #541139=CARTESIAN_POINT('Origin',(-4.45000048946543,4.50000000606,1.3990509982385)); #541140=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.12405099790603)); #541141=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.124050997906)); #541142=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.124050997906)); #541143=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.12405099790597)); #541144=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.124050997906)); #541145=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.124050997906)); #541146=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.124050997906)); #541147=CARTESIAN_POINT('',(1.599999811199,-4.60000000536,1.124050997906)); #541148=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.124050997906)); #541149=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #541150=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #541151=CARTESIAN_POINT('',(1.49999981107799,-2.2500000025805,1.124050997906)); #541152=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #541153=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #541154=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #541155=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.124050997906)); #541156=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #541157=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #541158=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.124050997906)); #541159=CARTESIAN_POINT('',(-1.60000019266801,-2.3000000025805,1.124050997906)); #541160=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.124050997906)); #541161=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.124050997906)); #541162=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.12405099790603)); #541163=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.124050997906)); #541164=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288303,1.12405099790607)); #541165=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.124050997906)); #541166=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.124050997906)); #541167=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.124050997906)); #541168=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.124050997906)); #541169=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.124050997906)); #541170=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.124050997906)); #541171=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.124050997906)); #541172=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.124050997906)); #541173=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.124050997906)); #541174=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.124050997906)); #541175=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.124050997906)); #541176=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.124050997906)); #541177=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.124050997906)); #541178=CARTESIAN_POINT('',(-3.35000019478299,-1.80000000191599,1.124050997906)); #541179=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #541180=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.124050997906)); #541181=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.124050997906)); #541182=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.124050997906)); #541183=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.124050997906)); #541184=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.124050997906)); #541185=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.124050997906)); #541186=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.124050997906)); #541187=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.124050997906)); #541188=CARTESIAN_POINT('',(-3.35000019478301,1.800000002314,1.124050997906)); #541189=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.124050997906)); #541190=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.124050997906)); #541191=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #541192=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.124050997906)); #541193=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.124050997906)); #541194=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.124050997906)); #541195=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.124050997906)); #541196=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.124050997906)); #541197=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.124050997906)); #541198=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.124050997906)); #541199=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.124050997906)); #541200=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.124050997906)); #541201=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.124050997906)); #541202=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.124050997906)); #541203=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.124050997906)); #541204=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.124050997906)); #541205=CARTESIAN_POINT('',(-4.75000061538184,2.55000000328097,1.12405099790607)); #541206=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.124050997906)); #541207=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.124050997906)); #541208=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.124050997906)); #541209=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #541210=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #541211=CARTESIAN_POINT('',(-1.50000019254799,2.2500000029785,1.124050997906)); #541212=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #541213=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #541214=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #541215=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #541216=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #541217=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #541218=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.124050997906)); #541219=CARTESIAN_POINT('Origin',(4.45000006701829,4.50000000606,1.12405099790597)); #541220=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #541221=CARTESIAN_POINT('',(4.74999972652544,2.550000003281,1.124050997906)); #541222=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #541223=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #541224=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.124050997906)); #541225=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.124050997906)); #541226=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.124050997906)); #541227=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.124050997906)); #541228=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.124050997906)); #541229=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.124050997906)); #541230=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.124050997906)); #541231=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.124050997906)); #541232=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.124050997906)); #541233=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.124050997906)); #541234=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.124050997906)); #541235=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.124050997906)); #541236=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #541237=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #541238=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #541239=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #541240=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.124050997906)); #541241=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.3990509982385)); #541242=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.3990509982385)); #541243=CARTESIAN_POINT('Origin',(-5.200000197019,-4.800000005662,1.474050998329)); #541244=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.2990509981175)); #541245=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.39905099823851)); #541246=CARTESIAN_POINT('Origin',(5.199999815549,4.80000000606,1.474050998329)); #541247=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.39905099823851)); #541248=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.39905099823851)); #541249=CARTESIAN_POINT('Origin',(4.74999972652546,-2.550000002883,1.324050998148)); #541250=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #541251=CARTESIAN_POINT('Origin',(1.599999811199,4.85000000606,1.124050997906)); #541252=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #541253=CARTESIAN_POINT('Origin',(5.199999815549,-4.800000005662,1.474050998329)); #541254=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.2990509981175)); #541255=CARTESIAN_POINT('Origin',(1.599999811199,-4.60000000536,1.124050997906)); #541256=CARTESIAN_POINT('',(1.599999811199,-4.55000000535998,1.124050997906)); #541257=CARTESIAN_POINT('Origin',(-1.600000192668,-4.850000005662,1.124050997906)); #541258=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #541259=CARTESIAN_POINT('Origin',(-1.600000192668,4.600000005758,1.124050997906)); #541260=CARTESIAN_POINT('',(-1.600000192668,4.55000000575798,1.124050997906)); #541261=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #541262=CARTESIAN_POINT('',(-1.500000192548,4.55000000575798,1.124050997906)); #541263=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #541264=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #541265=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #541266=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.374326052827459)); #541267=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.374326052827459)); #541268=CARTESIAN_POINT('',(-1.500000192548,4.9500000062415,0.374326052827459)); #541269=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #541270=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,1.124050997906)); #541271=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.974050997724999)); #541272=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #541273=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,1.124050997906)); #541274=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #541275=CARTESIAN_POINT('',(1.499999811078,5.00000000630199,0.374326052827459)); #541276=CARTESIAN_POINT('',(1.499999811078,4.80000000605999,0.374326052827459)); #541277=CARTESIAN_POINT('',(1.499999811078,4.95000000624151,0.374326052827459)); #541278=CARTESIAN_POINT('',(1.499999811078,5.000000006302,0.974050997724999)); #541279=CARTESIAN_POINT('',(1.499999811078,5.000000006302,-1.22594900493345)); #541280=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #541281=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #541282=CARTESIAN_POINT('',(1.499999811078,4.80000000606,-1.22594900493345)); #541283=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #541284=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #541285=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #541286=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #541287=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #541288=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #541289=CARTESIAN_POINT('',(-1.907348826657E-7,5.000000006302,0.974050997724999)); #541290=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #541291=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #541292=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #541293=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #541294=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #541295=CARTESIAN_POINT('',(1.499999811078,4.550000005758,-0.0509490035137265)); #541296=CARTESIAN_POINT('Origin',(-1.907348818886E-7,4.80000000606,1.324050998148)); #541297=CARTESIAN_POINT('',(-1.200000192548,4.80000000606,0.074326052827459)); #541298=CARTESIAN_POINT('Origin',(-1.200000192548,4.80000000606,0.374326052827459)); #541299=CARTESIAN_POINT('',(1.199999811078,4.80000000606001,0.074326052827459)); #541300=CARTESIAN_POINT('',(-1.90734940500329E-7,4.80000000606,0.074326052827459)); #541301=CARTESIAN_POINT('Origin',(1.199999811078,4.80000000606,0.374326052827459)); #541302=CARTESIAN_POINT('Origin',(1.199999811078,4.95000000624151,0.374326052827459)); #541303=CARTESIAN_POINT('',(1.199999811078,5.00000000630201,0.074326052827459)); #541304=CARTESIAN_POINT('Origin',(1.199999811078,5.000000006302,0.374326052827459)); #541305=CARTESIAN_POINT('',(1.199999811078,4.95000000624151,0.074326052827459)); #541306=CARTESIAN_POINT('Origin',(-1.907348826657E-7,5.000000006302,1.324050998148)); #541307=CARTESIAN_POINT('',(-1.200000192548,5.000000006302,0.074326052827459)); #541308=CARTESIAN_POINT('Origin',(-1.200000192548,5.000000006302,0.374326052827459)); #541309=CARTESIAN_POINT('',(-1.90734941238027E-7,5.000000006302,0.074326052827459)); #541310=CARTESIAN_POINT('Origin',(-1.90734999461206E-7,4.90000000618101, 0.074326052827459)); #541311=CARTESIAN_POINT('',(-1.200000192548,4.9500000062415,0.074326052827459)); #541312=CARTESIAN_POINT('Origin',(-1.200000192548,4.9500000062415,0.374326052827459)); #541313=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #541314=CARTESIAN_POINT('',(1.499999811078,-4.55000000535998,-0.0509490035137267)); #541315=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #541316=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #541317=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #541318=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #541319=CARTESIAN_POINT('',(1.499999811078,-4.80000000566199,0.374326052827461)); #541320=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.374326052827461)); #541321=CARTESIAN_POINT('',(1.499999811078,-4.9500000058435,0.374326052827461)); #541322=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #541323=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,-1.22594900493345)); #541324=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.974050997724999)); #541325=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #541326=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,-1.22594900493345)); #541327=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #541328=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.374326052827461)); #541329=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.374326052827461)); #541330=CARTESIAN_POINT('',(-1.500000192548,-4.9500000058435,0.374326052827461)); #541331=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.974050997724999)); #541332=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,1.124050997906)); #541333=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #541334=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #541335=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,1.124050997906)); #541336=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #541337=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #541338=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #541339=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #541340=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #541341=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #541342=CARTESIAN_POINT('',(-1.907348473607E-7,-5.000000005904,0.974050997724999)); #541343=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #541344=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #541345=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #541346=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #541347=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #541348=CARTESIAN_POINT('Origin',(-1.907348481378E-7,-4.800000005662,1.324050998148)); #541349=CARTESIAN_POINT('',(1.199999811078,-4.800000005662,0.0743260528274607)); #541350=CARTESIAN_POINT('Origin',(1.199999811078,-4.800000005662,0.374326052827461)); #541351=CARTESIAN_POINT('',(-1.200000192548,-4.80000000566201,0.0743260528274607)); #541352=CARTESIAN_POINT('',(-1.90734924529189E-7,-4.800000005662,0.0743260528274607)); #541353=CARTESIAN_POINT('Origin',(-1.200000192548,-4.800000005662,0.374326052827461)); #541354=CARTESIAN_POINT('Origin',(-1.200000192548,-4.9500000058435,0.374326052827461)); #541355=CARTESIAN_POINT('',(-1.200000192548,-5.00000000590401,0.0743260528274607)); #541356=CARTESIAN_POINT('Origin',(-1.200000192548,-5.000000005904,0.374326052827461)); #541357=CARTESIAN_POINT('',(-1.200000192548,-4.9500000058435,0.074326052827461)); #541358=CARTESIAN_POINT('Origin',(-1.907348473607E-7,-5.000000005904,1.324050998148)); #541359=CARTESIAN_POINT('',(1.199999811078,-5.000000005904,0.0743260528274607)); #541360=CARTESIAN_POINT('Origin',(1.199999811078,-5.000000005904,0.374326052827461)); #541361=CARTESIAN_POINT('',(-1.90734923791491E-7,-5.000000005904,0.0743260528274607)); #541362=CARTESIAN_POINT('Origin',(-1.90735000571429E-7,-4.900000005783, 0.074326052827461)); #541363=CARTESIAN_POINT('',(1.199999811078,-4.9500000058435,0.074326052827461)); #541364=CARTESIAN_POINT('Origin',(1.199999811078,-4.9500000058435,0.374326052827461)); #541365=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #541366=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #541367=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,1.124050997906)); #541368=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #541369=CARTESIAN_POINT('Origin',(-4.75000061538182,-2.650000003003,1.324050998148)); #541370=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,1.124050997906)); #541371=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #541372=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #541373=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #541374=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #541375=CARTESIAN_POINT('Origin',(-5.150000196959,-1.500000001916,1.324050998148)); #541376=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.974050997724999)); #541377=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #541378=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.374326052827459)); #541379=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,1.324050998148)); #541380=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.374326052827459)); #541381=CARTESIAN_POINT('',(-7.57500019988949,-1.50000000191601,0.374326052827459)); #541382=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.974050997724999)); #541383=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,1.324050998148)); #541384=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #541385=CARTESIAN_POINT('Origin',(-7.57500019988949,-1.20000000191601,0.374326052827459)); #541386=CARTESIAN_POINT('',(-5.3500001972,-1.200000001916,0.0743260528274593)); #541387=CARTESIAN_POINT('Origin',(-5.35000019719999,-1.200000001916,0.374326052827459)); #541388=CARTESIAN_POINT('',(-5.150000196959,-1.200000001916,0.0743260528274593)); #541389=CARTESIAN_POINT('',(-7.57500019988949,-1.20000000191601,0.0743260528274593)); #541390=CARTESIAN_POINT('Origin',(-5.150000196959,-1.200000001916,0.374326052827459)); #541391=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #541392=CARTESIAN_POINT('',(-5.3500001972,-0.500000000405,0.0743260528274595)); #541393=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #541394=CARTESIAN_POINT('',(-5.150000196959,-0.500000000405,0.0743260528274595)); #541395=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274592)); #541396=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #541397=CARTESIAN_POINT('Origin',(-5.3500001972,1.99000260714399E-10,1.324050998148)); #541398=CARTESIAN_POINT('',(-5.3500001972,1.500000002314,0.974050997724999)); #541399=CARTESIAN_POINT('',(-5.3500001972,-2.550000002883,0.974050997724999)); #541400=CARTESIAN_POINT('',(-5.35000019720001,1.500000002314,0.374326052827458)); #541401=CARTESIAN_POINT('',(-5.35000019720002,1.500000002314,-3.6759490078945)); #541402=CARTESIAN_POINT('',(-5.35000019720001,1.200000002314,0.0743260528274588)); #541403=CARTESIAN_POINT('Origin',(-5.35000019720001,1.200000002314,0.374326052827458)); #541404=CARTESIAN_POINT('',(-5.3500001972,0.500000000803,0.0743260528274595)); #541405=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #541406=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #541407=CARTESIAN_POINT('Origin',(-3.650000194783,1.80000000231401,-3.6759490078945)); #541408=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,-3.6759490078945)); #541409=CARTESIAN_POINT('',(-3.350000194783,1.80000000231401,-3.6759490078945)); #541410=CARTESIAN_POINT('Origin',(-5.3500001972,1.500000002314,-8.675949013937)); #541411=CARTESIAN_POINT('',(-5.150000196959,1.500000002314,0.974050997724999)); #541412=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #541413=CARTESIAN_POINT('',(-5.15000019695901,1.500000002314,0.374326052827458)); #541414=CARTESIAN_POINT('',(-5.15000019695902,1.500000002314,-3.6759490078945)); #541415=CARTESIAN_POINT('',(-7.67500020001,1.50000000231399,0.374326052827459)); #541416=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #541417=CARTESIAN_POINT('Origin',(-7.67500020001,1.20000000231399,0.374326052827458)); #541418=CARTESIAN_POINT('',(-5.15000019695901,1.200000002314,0.0743260528274588)); #541419=CARTESIAN_POINT('Origin',(-5.15000019695901,1.200000002314,0.374326052827458)); #541420=CARTESIAN_POINT('',(-7.67500020001,1.20000000231399,0.0743260528274588)); #541421=CARTESIAN_POINT('Origin',(-5.150000196959,1.99000260714399E-10, 1.324050998148)); #541422=CARTESIAN_POINT('',(-5.150000196959,0.500000000803,0.0743260528274595)); #541423=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #541424=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #541425=CARTESIAN_POINT('',(-5.150000196959,-2.550000002883,0.974050997724999)); #541426=CARTESIAN_POINT('Origin',(-2.4250001936655,-1.20000000191603,0.374326052827459)); #541427=CARTESIAN_POINT('',(5.14999981548901,-1.500000001916,0.374326052827459)); #541428=CARTESIAN_POINT('',(5.14999981548901,-1.200000001916,0.0743260528274596)); #541429=CARTESIAN_POINT('Origin',(5.14999981548901,-1.200000001916,0.374326052827459)); #541430=CARTESIAN_POINT('',(5.34999981573001,-1.200000001916,0.0743260528274596)); #541431=CARTESIAN_POINT('',(-2.4250001936655,-1.20000000191603,0.0743260528274596)); #541432=CARTESIAN_POINT('',(5.34999981573001,-1.500000001916,0.374326052827459)); #541433=CARTESIAN_POINT('Origin',(5.34999981573001,-1.200000001916,0.374326052827459)); #541434=CARTESIAN_POINT('',(-2.4250001936655,-1.50000000191603,0.374326052827459)); #541435=CARTESIAN_POINT('Origin',(5.149999815489,1.99000260714399E-10,1.324050998148)); #541436=CARTESIAN_POINT('',(5.149999815489,-1.500000001916,0.974050997724999)); #541437=CARTESIAN_POINT('',(5.14999981548902,-1.500000001916,-3.6759490078945)); #541438=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.974050997724999)); #541439=CARTESIAN_POINT('',(5.149999815489,2.550000003281,0.974050997724999)); #541440=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.374326052827459)); #541441=CARTESIAN_POINT('',(5.14999981548899,1.500000002314,1.324050998148)); #541442=CARTESIAN_POINT('',(5.149999815489,1.200000002314,0.0743260528274596)); #541443=CARTESIAN_POINT('Origin',(5.14999981548899,1.200000002314,0.37432605282746)); #541444=CARTESIAN_POINT('',(5.149999815489,0.500000000803,0.0743260528274595)); #541445=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #541446=CARTESIAN_POINT('',(5.149999815489,-0.500000000405,0.0743260528274595)); #541447=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #541448=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #541449=CARTESIAN_POINT('Origin',(5.149999815489,-1.500000001916,-8.675949013937)); #541450=CARTESIAN_POINT('',(5.34999981573,-1.500000001916,0.974050997724999)); #541451=CARTESIAN_POINT('',(5.34999981573002,-1.500000001916,-3.6759490078945)); #541452=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.324050998148)); #541453=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #541454=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #541455=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,-3.7759490080155)); #541456=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #541457=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,-3.7759490080155)); #541458=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,-3.7759490080155)); #541459=CARTESIAN_POINT('Origin',(-2.32500019354501,1.20000000231396,0.37432605282746)); #541460=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.374326052827459)); #541461=CARTESIAN_POINT('',(5.34999981573,1.200000002314,0.0743260528274596)); #541462=CARTESIAN_POINT('Origin',(5.34999981573,1.200000002314,0.37432605282746)); #541463=CARTESIAN_POINT('',(-2.32500019354501,1.20000000231396,0.0743260528274596)); #541464=CARTESIAN_POINT('',(-2.32500019354501,1.50000000231396,0.37432605282746)); #541465=CARTESIAN_POINT('Origin',(5.34999981573,1.99000260714399E-10,1.324050998148)); #541466=CARTESIAN_POINT('',(5.34999981573,-0.500000000405,0.0743260528274595)); #541467=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #541468=CARTESIAN_POINT('',(5.34999981573,0.500000000803,0.0743260528274595)); #541469=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #541470=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #541471=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.974050997724999)); #541472=CARTESIAN_POINT('',(5.34999981573,1.500000002314,1.324050998148)); #541473=CARTESIAN_POINT('',(5.34999981573,2.550000003281,0.974050997724999)); #541474=CARTESIAN_POINT('Origin',(5.34999981573,1.500000002314,1.324050998148)); #541475=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #541476=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.224050998027)); #541477=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.324050998148)); #541478=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #541479=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #541480=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.224050998027)); #541481=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.224050998027)); #541482=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #541483=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #541484=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.324050998148)); #541485=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #541486=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #541487=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274596)); #541488=CARTESIAN_POINT('Origin',(3.349999813314,-2.25000000252,1.124050997906)); #541489=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #541490=CARTESIAN_POINT('Origin',(3.349999813314,2.250000002918,1.124050997906)); #541491=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #541492=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #541493=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #541494=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.074326052827459)); #541495=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #541496=CARTESIAN_POINT('Origin',(-3.350000194783,-2.25000000252,1.324050998148)); #541497=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #541498=CARTESIAN_POINT('Origin',(-3.350000194783,2.250000002918,1.324050998148)); #541499=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #541500=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #541501=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.0743260528274596)); #541502=CARTESIAN_POINT('Origin',(4.999999815308,2.550000003281,1.124050997906)); #541503=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #541504=CARTESIAN_POINT('Origin',(-5.000000196777,-2.550000002883,1.124050997906)); #541505=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #541506=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #541507=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.0840509978576)); #541508=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #541509=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.0840509978576)); #541510=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.324050998148)); #541511=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.0840509978576)); #541512=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.324050998148)); #541513=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.0840509978576)); #541514=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #541515=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.0840509978576)); #541516=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #541517=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.0840509978576)); #541518=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #541519=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #541520=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #541521=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.0840509978576)); #541522=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #541523=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.0840509978576)); #541524=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.324050998148)); #541525=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.0840509978576)); #541526=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.324050998148)); #541527=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.0840509978576)); #541528=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #541529=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.0840509978576)); #541530=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #541531=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.0840509978576)); #541532=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #541533=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #541534=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #541535=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #541536=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #541537=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-3.199949008801)); #541538=CARTESIAN_POINT('',(-4.960000196729,0.200000000441,-3.499949009163)); #541539=CARTESIAN_POINT('Origin',(-4.960000196729,0.200000000441,-3.199949008801)); #541540=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-3.199949008801)); #541541=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,-3.199949008801)); #541542=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #541543=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #541544=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #541545=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #541546=CARTESIAN_POINT('',(-4.960000196729,-0.200000000043,-3.499949009163)); #541547=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-3.199949008801)); #541548=CARTESIAN_POINT('Origin',(-4.960000196729,-0.200000000043,-3.199949008801)); #541549=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #541550=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #541551=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-3.199949008801)); #541552=CARTESIAN_POINT('Origin',(-4.760000196487,-0.200000000043,-3.199949008801)); #541553=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,-3.199949008801)); #541554=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541555=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #541556=CARTESIAN_POINT('',(-5.027674067207,0.500000000803,-2.063458920301)); #541557=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #541558=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-2.188847948619)); #541559=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #541560=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #541561=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #541562=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #541563=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541564=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #541565=CARTESIAN_POINT('',(-4.917905894269,-0.500000000405,-1.896273549211)); #541566=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #541567=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-2.188847948619)); #541568=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #541569=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #541570=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #541571=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #541572=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #541573=CARTESIAN_POINT('',(-5.180166154977,0.500000000803,-0.0678224104616007)); #541574=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #541575=CARTESIAN_POINT('',(-5.180166154977,2.550000003267,-0.0678224104616007)); #541576=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #541577=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #541578=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #541579=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #541580=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #541581=CARTESIAN_POINT('',(-5.362928464923,-0.500000000405,0.0134052828464296)); #541582=CARTESIAN_POINT('',(-5.362928464923,2.550000003281,0.0134052828464296)); #541583=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #541584=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-1.99994900735)); #541585=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-1.99994900735)); #541586=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-3.499949009163)); #541587=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-1.99994900735)); #541588=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-2.188847948619)); #541589=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #541590=CARTESIAN_POINT('',(-4.917905894269,2.550000003281,-1.896273549211)); #541591=CARTESIAN_POINT('Origin',(-5.750000197684,2.550000003281,-1.349949006565)); #541592=CARTESIAN_POINT('',(-5.643521472939,0.500000000803,-1.419859280387)); #541593=CARTESIAN_POINT('',(-5.750000197684,0.500000000803,-1.349949006565)); #541594=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #541595=CARTESIAN_POINT('',(-5.643521472939,2.550000003281,-1.419859280387)); #541596=CARTESIAN_POINT('',(-5.750000197684,-0.500000000405,-1.349949006565)); #541597=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #541598=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #541599=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #541600=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #541601=CARTESIAN_POINT('',(-5.698267075694,2.550000003281,-1.233549482088)); #541602=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #541603=CARTESIAN_POINT('Origin',(-5.698267075694,2.550000003281,-1.233549482088)); #541604=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #541605=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #541606=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-3.499949009163)); #541607=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-3.499949009163)); #541608=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541609=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-1.99994900735)); #541610=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541611=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-1.99994900735)); #541612=CARTESIAN_POINT('Origin',(-4.960000196729,2.550000003281,-1.99994900735)); #541613=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-2.188847948619)); #541614=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #541615=CARTESIAN_POINT('',(-5.027674067207,2.550000003281,-2.063458920301)); #541616=CARTESIAN_POINT('Origin',(-5.859768370622,2.550000003281,-1.517134377655)); #541617=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #541618=CARTESIAN_POINT('',(-5.753289645877,-0.500000000405,-1.587044651477)); #541619=CARTESIAN_POINT('',(-5.753289645877,2.550000003281,-1.587044651477)); #541620=CARTESIAN_POINT('',(-5.859768370622,0.500000000803,-1.517134377655)); #541621=CARTESIAN_POINT('',(-5.859768370622,-0.500000000405,-1.517134377655)); #541622=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #541623=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #541624=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #541625=CARTESIAN_POINT('',(-5.881029385639,2.550000003281,-1.15232178878)); #541626=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #541627=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #541628=CARTESIAN_POINT('Origin',(-5.881029385639,2.550000003281,-1.15232178878)); #541629=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #541630=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #541631=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541632=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #541633=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541634=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #541635=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541636=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #541637=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541638=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541639=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #541640=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541641=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #541642=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541643=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #541644=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541645=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #541646=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #541647=CARTESIAN_POINT('',(4.759999815017,0.200000000441,-3.499949009163)); #541648=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #541649=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-3.199949008801)); #541650=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #541651=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #541652=CARTESIAN_POINT('Origin',(4.959999815259,0.200000000441,-3.199949008801)); #541653=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #541654=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #541655=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #541656=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-3.199949008801)); #541657=CARTESIAN_POINT('Origin',(4.759999815017,-0.200000000043,-3.199949008801)); #541658=CARTESIAN_POINT('',(4.959999815259,-0.200000000043,-3.499949009163)); #541659=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #541660=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #541661=CARTESIAN_POINT('Origin',(4.959999815259,-0.200000000043,-3.199949008801)); #541662=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #541663=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541664=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #541665=CARTESIAN_POINT('',(4.917905512799,0.500000000803,-1.896273549211)); #541666=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #541667=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-2.188847948619)); #541668=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #541669=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #541670=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #541671=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #541672=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541673=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #541674=CARTESIAN_POINT('',(5.027673685737,-0.500000000405,-2.063458920301)); #541675=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #541676=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-2.188847948619)); #541677=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #541678=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #541679=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #541680=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #541681=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #541682=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #541683=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #541684=CARTESIAN_POINT('',(5.180165773508,-0.500000000405,-0.0678224104616007)); #541685=CARTESIAN_POINT('',(5.180165773508,2.550000003267,-0.0678224104616007)); #541686=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #541687=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #541688=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #541689=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #541690=CARTESIAN_POINT('',(5.362928083453,0.500000000803,0.0134052828464296)); #541691=CARTESIAN_POINT('',(5.362928083453,2.550000003281,0.0134052828464395)); #541692=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #541693=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-1.99994900735)); #541694=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-3.499949009163)); #541695=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-1.99994900735)); #541696=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-2.188847948619)); #541697=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-1.99994900735)); #541698=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #541699=CARTESIAN_POINT('',(4.917905512799,2.550000003281,-1.896273549211)); #541700=CARTESIAN_POINT('Origin',(5.749999816214,2.550000003281,-1.349949006565)); #541701=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #541702=CARTESIAN_POINT('',(5.643521091469,-0.500000000405,-1.419859280387)); #541703=CARTESIAN_POINT('',(5.643521091469,2.550000003281,-1.419859280387)); #541704=CARTESIAN_POINT('',(5.749999816214,0.500000000803,-1.349949006565)); #541705=CARTESIAN_POINT('',(5.749999816214,-0.500000000405,-1.349949006565)); #541706=CARTESIAN_POINT('Origin',(5.698266694224,2.550000003281,-1.233549482088)); #541707=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #541708=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #541709=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #541710=CARTESIAN_POINT('',(5.698266694224,2.550000003281,-1.233549482088)); #541711=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #541712=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-3.499949009163)); #541713=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-3.499949009163)); #541714=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541715=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-1.99994900735)); #541716=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541717=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-1.99994900735)); #541718=CARTESIAN_POINT('Origin',(4.959999815259,2.550000003281,-1.99994900735)); #541719=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-2.188847948619)); #541720=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #541721=CARTESIAN_POINT('',(5.027673685737,2.550000003281,-2.063458920301)); #541722=CARTESIAN_POINT('Origin',(5.859767989152,2.550000003281,-1.517134377655)); #541723=CARTESIAN_POINT('',(5.753289264407,0.500000000803,-1.587044651477)); #541724=CARTESIAN_POINT('',(5.859767989152,0.500000000803,-1.517134377655)); #541725=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #541726=CARTESIAN_POINT('',(5.753289264407,2.550000003281,-1.587044651477)); #541727=CARTESIAN_POINT('',(5.859767989152,-0.500000000405,-1.517134377655)); #541728=CARTESIAN_POINT('Origin',(5.881029004169,2.550000003281,-1.15232178878)); #541729=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #541730=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #541731=CARTESIAN_POINT('',(5.881029004169,2.550000003281,-1.15232178878)); #541732=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #541733=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #541734=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541735=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #541736=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541737=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #541738=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #541739=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #541740=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541741=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #541742=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #541743=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541744=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #541745=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541746=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #541747=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541748=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #541749=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #541750=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #541751=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #541752=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #541753=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #541754=CARTESIAN_POINT('',(0.,0.,0.)); #541755=CARTESIAN_POINT('',(0.,0.,0.)); #541756=CARTESIAN_POINT('',(0.,0.,0.)); #541757=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #541758=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #541759=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #541760=CARTESIAN_POINT('',(-3.4,3.25,0.7)); #541761=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #541762=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #541763=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #541764=CARTESIAN_POINT('Origin',(3.5125,1.775,0.7625)); #541765=CARTESIAN_POINT('',(3.475,3.475,0.8)); #541766=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #541767=CARTESIAN_POINT('',(3.475,1.775,0.8)); #541768=CARTESIAN_POINT('',(3.55,-3.55,0.725)); #541769=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #541770=CARTESIAN_POINT('',(3.55,3.55,0.725)); #541771=CARTESIAN_POINT('',(3.55,1.775,0.725)); #541772=CARTESIAN_POINT('',(3.55,3.55,0.725)); #541773=CARTESIAN_POINT('Origin',(1.775,-3.5125,0.7625)); #541774=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #541775=CARTESIAN_POINT('',(1.775,-3.55,0.725)); #541776=CARTESIAN_POINT('',(-3.475,-3.475,0.8)); #541777=CARTESIAN_POINT('',(1.775,-3.475,0.8)); #541778=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #541779=CARTESIAN_POINT('Origin',(-1.775,3.5125,0.7625)); #541780=CARTESIAN_POINT('',(-3.475,3.475,0.8)); #541781=CARTESIAN_POINT('',(-1.775,3.475,0.8)); #541782=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #541783=CARTESIAN_POINT('',(-1.775,3.55,0.725)); #541784=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #541785=CARTESIAN_POINT('Origin',(-3.5125,-1.775,0.7625)); #541786=CARTESIAN_POINT('',(-3.475,-1.775,0.8)); #541787=CARTESIAN_POINT('',(-3.55,-1.775,0.725)); #541788=CARTESIAN_POINT('Origin',(-3.55,3.55,0.8)); #541789=CARTESIAN_POINT('',(3.55,3.55,0.05)); #541790=CARTESIAN_POINT('',(3.55,3.55,0.8)); #541791=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #541792=CARTESIAN_POINT('',(3.55,3.55,0.05)); #541793=CARTESIAN_POINT('',(-3.55,3.55,0.8)); #541794=CARTESIAN_POINT('Origin',(3.55,3.55,0.8)); #541795=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #541796=CARTESIAN_POINT('',(3.55,-3.55,0.8)); #541797=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #541798=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #541799=CARTESIAN_POINT('Origin',(-3.55,-3.55,0.8)); #541800=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #541801=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #541802=CARTESIAN_POINT('',(-3.55,-3.55,0.8)); #541803=CARTESIAN_POINT('Origin',(3.55,-3.55,0.8)); #541804=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #541805=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #541806=CARTESIAN_POINT('Origin',(3.15,2.6,0.0499999999999997)); #541807=CARTESIAN_POINT('',(3.3,2.6,0.0499999999999997)); #541808=CARTESIAN_POINT('',(3.3,2.9,0.0499999999999997)); #541809=CARTESIAN_POINT('Origin',(3.3,2.75,0.0500000000000014)); #541810=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #541811=CARTESIAN_POINT('',(3.15,2.6,0.0499999999999997)); #541812=CARTESIAN_POINT('',(3.55,2.9,0.0499999999999997)); #541813=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #541814=CARTESIAN_POINT('',(3.15,2.9,0.0499999999999997)); #541815=CARTESIAN_POINT('Origin',(3.3,2.75,0.0375000000000003)); #541816=CARTESIAN_POINT('',(3.3,2.9,-6.07153216591882E-17)); #541817=CARTESIAN_POINT('',(3.3,2.9,0.0375000000000003)); #541818=CARTESIAN_POINT('',(3.3,2.6,-6.07153216591882E-17)); #541819=CARTESIAN_POINT('Origin',(3.3,2.75,-2.84928330929191E-15)); #541820=CARTESIAN_POINT('',(3.3,2.6,0.0375000000000003)); #541821=CARTESIAN_POINT('Origin',(3.55,2.6,0.15)); #541822=CARTESIAN_POINT('',(3.55,2.6,0.15)); #541823=CARTESIAN_POINT('',(3.551,2.6,0.15)); #541824=CARTESIAN_POINT('',(3.55,2.6,0.15)); #541825=CARTESIAN_POINT('',(3.551,2.9,0.15)); #541826=CARTESIAN_POINT('',(3.551,2.6,0.15)); #541827=CARTESIAN_POINT('',(3.55,2.9,0.15)); #541828=CARTESIAN_POINT('',(3.55,2.9,0.15)); #541829=CARTESIAN_POINT('',(3.55,2.6,0.15)); #541830=CARTESIAN_POINT('Origin',(3.55,2.6,0.0499999999999997)); #541831=CARTESIAN_POINT('',(3.55,2.6,-6.07153216591882E-17)); #541832=CARTESIAN_POINT('',(3.55,2.9,-6.07153216591882E-17)); #541833=CARTESIAN_POINT('Origin',(3.551,2.6,-6.07153216591882E-17)); #541834=CARTESIAN_POINT('',(3.551,2.9,-6.93889390390723E-17)); #541835=CARTESIAN_POINT('',(3.551,2.9,-6.07153216591882E-17)); #541836=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #541837=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #541838=CARTESIAN_POINT('',(3.551,2.6,-6.07153216591882E-17)); #541839=CARTESIAN_POINT('Origin',(3.551,2.6,0.15)); #541840=CARTESIAN_POINT('',(3.551,2.6,0.15)); #541841=CARTESIAN_POINT('',(3.551,2.9,0.15)); #541842=CARTESIAN_POINT('Origin',(3.3505,2.9,0.0749999999999998)); #541843=CARTESIAN_POINT('Origin',(3.3505,2.6,0.0749999999999998)); #541844=CARTESIAN_POINT('Origin',(-3.15,2.6,0.0499999999999997)); #541845=CARTESIAN_POINT('',(-3.3,2.6,0.0499999999999997)); #541846=CARTESIAN_POINT('',(-3.3,2.9,0.0499999999999997)); #541847=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0500000000000014)); #541848=CARTESIAN_POINT('',(-3.55,2.9,0.0499999999999997)); #541849=CARTESIAN_POINT('',(-3.15,2.9,0.0499999999999997)); #541850=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #541851=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #541852=CARTESIAN_POINT('',(-3.15,2.6,0.0499999999999997)); #541853=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0375000000000003)); #541854=CARTESIAN_POINT('',(-3.3,2.6,-6.07153216591882E-17)); #541855=CARTESIAN_POINT('',(-3.3,2.6,0.0375000000000003)); #541856=CARTESIAN_POINT('',(-3.3,2.9,-6.07153216591882E-17)); #541857=CARTESIAN_POINT('Origin',(-3.3,2.75,-2.84928330929191E-15)); #541858=CARTESIAN_POINT('',(-3.3,2.9,0.0375000000000003)); #541859=CARTESIAN_POINT('Origin',(-3.55,2.6,0.15)); #541860=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #541861=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #541862=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #541863=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #541864=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #541865=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #541866=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #541867=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #541868=CARTESIAN_POINT('Origin',(-3.55,2.6,0.0499999999999997)); #541869=CARTESIAN_POINT('',(-3.55,2.6,-6.07153216591882E-17)); #541870=CARTESIAN_POINT('',(-3.55,2.9,-6.07153216591882E-17)); #541871=CARTESIAN_POINT('Origin',(-3.551,2.6,-6.07153216591882E-17)); #541872=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #541873=CARTESIAN_POINT('',(-3.551,2.6,-6.07153216591882E-17)); #541874=CARTESIAN_POINT('',(-3.551,2.9,-6.93889390390723E-17)); #541875=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #541876=CARTESIAN_POINT('',(-3.551,2.9,-6.07153216591882E-17)); #541877=CARTESIAN_POINT('Origin',(-3.551,2.6,0.15)); #541878=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #541879=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #541880=CARTESIAN_POINT('Origin',(-3.3505,2.9,0.0749999999999998)); #541881=CARTESIAN_POINT('Origin',(-3.3505,2.6,0.0749999999999998)); #541882=CARTESIAN_POINT('Origin',(3.15,2.1,0.0499999999999997)); #541883=CARTESIAN_POINT('',(3.3,2.1,0.0499999999999997)); #541884=CARTESIAN_POINT('',(3.3,2.4,0.0499999999999997)); #541885=CARTESIAN_POINT('Origin',(3.3,2.25,0.0500000000000014)); #541886=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #541887=CARTESIAN_POINT('',(3.15,2.1,0.0499999999999997)); #541888=CARTESIAN_POINT('',(3.55,2.4,0.0499999999999997)); #541889=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #541890=CARTESIAN_POINT('',(3.15,2.4,0.0499999999999997)); #541891=CARTESIAN_POINT('Origin',(3.3,2.25,0.0375000000000003)); #541892=CARTESIAN_POINT('',(3.3,2.4,-6.07153216591882E-17)); #541893=CARTESIAN_POINT('',(3.3,2.4,0.0375000000000003)); #541894=CARTESIAN_POINT('',(3.3,2.1,-6.07153216591882E-17)); #541895=CARTESIAN_POINT('Origin',(3.3,2.25,-2.84928330929191E-15)); #541896=CARTESIAN_POINT('',(3.3,2.1,0.0375000000000003)); #541897=CARTESIAN_POINT('Origin',(3.55,2.1,0.15)); #541898=CARTESIAN_POINT('',(3.55,2.1,0.15)); #541899=CARTESIAN_POINT('',(3.551,2.1,0.15)); #541900=CARTESIAN_POINT('',(3.55,2.1,0.15)); #541901=CARTESIAN_POINT('',(3.551,2.4,0.15)); #541902=CARTESIAN_POINT('',(3.551,2.1,0.15)); #541903=CARTESIAN_POINT('',(3.55,2.4,0.15)); #541904=CARTESIAN_POINT('',(3.55,2.4,0.15)); #541905=CARTESIAN_POINT('',(3.55,2.1,0.15)); #541906=CARTESIAN_POINT('Origin',(3.55,2.1,0.0499999999999997)); #541907=CARTESIAN_POINT('',(3.55,2.1,-6.07153216591882E-17)); #541908=CARTESIAN_POINT('',(3.55,2.4,-6.07153216591882E-17)); #541909=CARTESIAN_POINT('Origin',(3.551,2.1,-6.07153216591882E-17)); #541910=CARTESIAN_POINT('',(3.551,2.4,-6.93889390390723E-17)); #541911=CARTESIAN_POINT('',(3.551,2.4,-6.07153216591882E-17)); #541912=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #541913=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #541914=CARTESIAN_POINT('',(3.551,2.1,-6.07153216591882E-17)); #541915=CARTESIAN_POINT('Origin',(3.551,2.1,0.15)); #541916=CARTESIAN_POINT('',(3.551,2.1,0.15)); #541917=CARTESIAN_POINT('',(3.551,2.4,0.15)); #541918=CARTESIAN_POINT('Origin',(3.3505,2.4,0.0749999999999998)); #541919=CARTESIAN_POINT('Origin',(3.3505,2.1,0.0749999999999998)); #541920=CARTESIAN_POINT('Origin',(3.15,1.6,0.0499999999999997)); #541921=CARTESIAN_POINT('',(3.3,1.6,0.0499999999999997)); #541922=CARTESIAN_POINT('',(3.3,1.9,0.0499999999999997)); #541923=CARTESIAN_POINT('Origin',(3.3,1.75,0.0500000000000014)); #541924=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #541925=CARTESIAN_POINT('',(3.15,1.6,0.0499999999999997)); #541926=CARTESIAN_POINT('',(3.55,1.9,0.0499999999999997)); #541927=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #541928=CARTESIAN_POINT('',(3.15,1.9,0.0499999999999997)); #541929=CARTESIAN_POINT('Origin',(3.3,1.75,0.0375000000000003)); #541930=CARTESIAN_POINT('',(3.3,1.9,-6.07153216591882E-17)); #541931=CARTESIAN_POINT('',(3.3,1.9,0.0375000000000003)); #541932=CARTESIAN_POINT('',(3.3,1.6,-6.07153216591882E-17)); #541933=CARTESIAN_POINT('Origin',(3.3,1.75,-2.84928330929191E-15)); #541934=CARTESIAN_POINT('',(3.3,1.6,0.0375000000000003)); #541935=CARTESIAN_POINT('Origin',(3.55,1.6,0.15)); #541936=CARTESIAN_POINT('',(3.55,1.6,0.15)); #541937=CARTESIAN_POINT('',(3.551,1.6,0.15)); #541938=CARTESIAN_POINT('',(3.55,1.6,0.15)); #541939=CARTESIAN_POINT('',(3.551,1.9,0.15)); #541940=CARTESIAN_POINT('',(3.551,1.6,0.15)); #541941=CARTESIAN_POINT('',(3.55,1.9,0.15)); #541942=CARTESIAN_POINT('',(3.55,1.9,0.15)); #541943=CARTESIAN_POINT('',(3.55,1.6,0.15)); #541944=CARTESIAN_POINT('Origin',(3.55,1.6,0.0499999999999997)); #541945=CARTESIAN_POINT('',(3.55,1.6,-6.07153216591882E-17)); #541946=CARTESIAN_POINT('',(3.55,1.9,-6.07153216591882E-17)); #541947=CARTESIAN_POINT('Origin',(3.551,1.6,-6.07153216591882E-17)); #541948=CARTESIAN_POINT('',(3.551,1.9,-6.93889390390723E-17)); #541949=CARTESIAN_POINT('',(3.551,1.9,-6.07153216591882E-17)); #541950=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #541951=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #541952=CARTESIAN_POINT('',(3.551,1.6,-6.07153216591882E-17)); #541953=CARTESIAN_POINT('Origin',(3.551,1.6,0.15)); #541954=CARTESIAN_POINT('',(3.551,1.6,0.15)); #541955=CARTESIAN_POINT('',(3.551,1.9,0.15)); #541956=CARTESIAN_POINT('Origin',(3.3505,1.9,0.0749999999999998)); #541957=CARTESIAN_POINT('Origin',(3.3505,1.6,0.0749999999999998)); #541958=CARTESIAN_POINT('Origin',(3.15,1.1,0.0499999999999997)); #541959=CARTESIAN_POINT('',(3.3,1.1,0.0499999999999997)); #541960=CARTESIAN_POINT('',(3.3,1.4,0.0499999999999997)); #541961=CARTESIAN_POINT('Origin',(3.3,1.25,0.0500000000000014)); #541962=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #541963=CARTESIAN_POINT('',(3.15,1.1,0.0499999999999997)); #541964=CARTESIAN_POINT('',(3.55,1.4,0.0499999999999997)); #541965=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #541966=CARTESIAN_POINT('',(3.15,1.4,0.0499999999999997)); #541967=CARTESIAN_POINT('Origin',(3.3,1.25,0.0375000000000003)); #541968=CARTESIAN_POINT('',(3.3,1.4,-6.07153216591882E-17)); #541969=CARTESIAN_POINT('',(3.3,1.4,0.0375000000000003)); #541970=CARTESIAN_POINT('',(3.3,1.1,-6.07153216591882E-17)); #541971=CARTESIAN_POINT('Origin',(3.3,1.25,-2.84928330929191E-15)); #541972=CARTESIAN_POINT('',(3.3,1.1,0.0375000000000003)); #541973=CARTESIAN_POINT('Origin',(3.55,1.1,0.15)); #541974=CARTESIAN_POINT('',(3.55,1.1,0.15)); #541975=CARTESIAN_POINT('',(3.551,1.1,0.15)); #541976=CARTESIAN_POINT('',(3.55,1.1,0.15)); #541977=CARTESIAN_POINT('',(3.551,1.4,0.15)); #541978=CARTESIAN_POINT('',(3.551,1.1,0.15)); #541979=CARTESIAN_POINT('',(3.55,1.4,0.15)); #541980=CARTESIAN_POINT('',(3.55,1.4,0.15)); #541981=CARTESIAN_POINT('',(3.55,1.1,0.15)); #541982=CARTESIAN_POINT('Origin',(3.55,1.1,0.0499999999999997)); #541983=CARTESIAN_POINT('',(3.55,1.1,-6.07153216591882E-17)); #541984=CARTESIAN_POINT('',(3.55,1.4,-6.07153216591882E-17)); #541985=CARTESIAN_POINT('Origin',(3.551,1.1,-6.07153216591882E-17)); #541986=CARTESIAN_POINT('',(3.551,1.4,-6.93889390390723E-17)); #541987=CARTESIAN_POINT('',(3.551,1.4,-6.07153216591882E-17)); #541988=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #541989=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #541990=CARTESIAN_POINT('',(3.551,1.1,-6.07153216591882E-17)); #541991=CARTESIAN_POINT('Origin',(3.551,1.1,0.15)); #541992=CARTESIAN_POINT('',(3.551,1.1,0.15)); #541993=CARTESIAN_POINT('',(3.551,1.4,0.15)); #541994=CARTESIAN_POINT('Origin',(3.3505,1.4,0.0749999999999998)); #541995=CARTESIAN_POINT('Origin',(3.3505,1.1,0.0749999999999998)); #541996=CARTESIAN_POINT('Origin',(3.15,0.6,0.0499999999999997)); #541997=CARTESIAN_POINT('',(3.3,0.6,0.0499999999999997)); #541998=CARTESIAN_POINT('',(3.3,0.9,0.0499999999999997)); #541999=CARTESIAN_POINT('Origin',(3.3,0.75,0.0500000000000014)); #542000=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #542001=CARTESIAN_POINT('',(3.15,0.6,0.0499999999999997)); #542002=CARTESIAN_POINT('',(3.55,0.9,0.0499999999999997)); #542003=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #542004=CARTESIAN_POINT('',(3.15,0.9,0.0499999999999997)); #542005=CARTESIAN_POINT('Origin',(3.3,0.75,0.0375000000000003)); #542006=CARTESIAN_POINT('',(3.3,0.9,-6.07153216591882E-17)); #542007=CARTESIAN_POINT('',(3.3,0.9,0.0375000000000003)); #542008=CARTESIAN_POINT('',(3.3,0.6,-6.07153216591882E-17)); #542009=CARTESIAN_POINT('Origin',(3.3,0.75,-2.84928330929191E-15)); #542010=CARTESIAN_POINT('',(3.3,0.6,0.0375000000000003)); #542011=CARTESIAN_POINT('Origin',(3.55,0.6,0.15)); #542012=CARTESIAN_POINT('',(3.55,0.6,0.15)); #542013=CARTESIAN_POINT('',(3.551,0.6,0.15)); #542014=CARTESIAN_POINT('',(3.55,0.6,0.15)); #542015=CARTESIAN_POINT('',(3.551,0.9,0.15)); #542016=CARTESIAN_POINT('',(3.551,0.6,0.15)); #542017=CARTESIAN_POINT('',(3.55,0.9,0.15)); #542018=CARTESIAN_POINT('',(3.55,0.9,0.15)); #542019=CARTESIAN_POINT('',(3.55,0.6,0.15)); #542020=CARTESIAN_POINT('Origin',(3.55,0.6,0.0499999999999997)); #542021=CARTESIAN_POINT('',(3.55,0.6,-6.07153216591882E-17)); #542022=CARTESIAN_POINT('',(3.55,0.9,-6.07153216591882E-17)); #542023=CARTESIAN_POINT('Origin',(3.551,0.6,-6.07153216591882E-17)); #542024=CARTESIAN_POINT('',(3.551,0.9,-6.93889390390723E-17)); #542025=CARTESIAN_POINT('',(3.551,0.9,-6.07153216591882E-17)); #542026=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #542027=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #542028=CARTESIAN_POINT('',(3.551,0.6,-6.07153216591882E-17)); #542029=CARTESIAN_POINT('Origin',(3.551,0.6,0.15)); #542030=CARTESIAN_POINT('',(3.551,0.6,0.15)); #542031=CARTESIAN_POINT('',(3.551,0.9,0.15)); #542032=CARTESIAN_POINT('Origin',(3.3505,0.9,0.0749999999999998)); #542033=CARTESIAN_POINT('Origin',(3.3505,0.6,0.0749999999999998)); #542034=CARTESIAN_POINT('Origin',(3.15,0.1,0.0499999999999997)); #542035=CARTESIAN_POINT('',(3.3,0.1,0.0499999999999997)); #542036=CARTESIAN_POINT('',(3.3,0.4,0.0499999999999997)); #542037=CARTESIAN_POINT('Origin',(3.3,0.25,0.0500000000000014)); #542038=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #542039=CARTESIAN_POINT('',(3.15,0.1,0.0499999999999997)); #542040=CARTESIAN_POINT('',(3.55,0.4,0.0499999999999997)); #542041=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #542042=CARTESIAN_POINT('',(3.15,0.4,0.0499999999999997)); #542043=CARTESIAN_POINT('Origin',(3.3,0.25,0.0375000000000003)); #542044=CARTESIAN_POINT('',(3.3,0.4,-6.07153216591882E-17)); #542045=CARTESIAN_POINT('',(3.3,0.4,0.0375000000000003)); #542046=CARTESIAN_POINT('',(3.3,0.1,-6.07153216591882E-17)); #542047=CARTESIAN_POINT('Origin',(3.3,0.25,-2.84928330929191E-15)); #542048=CARTESIAN_POINT('',(3.3,0.1,0.0375000000000003)); #542049=CARTESIAN_POINT('Origin',(3.55,0.1,0.15)); #542050=CARTESIAN_POINT('',(3.55,0.1,0.15)); #542051=CARTESIAN_POINT('',(3.551,0.1,0.15)); #542052=CARTESIAN_POINT('',(3.55,0.1,0.15)); #542053=CARTESIAN_POINT('',(3.551,0.4,0.15)); #542054=CARTESIAN_POINT('',(3.551,0.1,0.15)); #542055=CARTESIAN_POINT('',(3.55,0.4,0.15)); #542056=CARTESIAN_POINT('',(3.55,0.4,0.15)); #542057=CARTESIAN_POINT('',(3.55,0.1,0.15)); #542058=CARTESIAN_POINT('Origin',(3.55,0.1,0.0499999999999997)); #542059=CARTESIAN_POINT('',(3.55,0.1,-6.07153216591882E-17)); #542060=CARTESIAN_POINT('',(3.55,0.4,-6.07153216591882E-17)); #542061=CARTESIAN_POINT('Origin',(3.551,0.1,-6.07153216591882E-17)); #542062=CARTESIAN_POINT('',(3.551,0.4,-6.93889390390723E-17)); #542063=CARTESIAN_POINT('',(3.551,0.4,-6.07153216591882E-17)); #542064=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #542065=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #542066=CARTESIAN_POINT('',(3.551,0.1,-6.07153216591882E-17)); #542067=CARTESIAN_POINT('Origin',(3.551,0.1,0.15)); #542068=CARTESIAN_POINT('',(3.551,0.1,0.15)); #542069=CARTESIAN_POINT('',(3.551,0.4,0.15)); #542070=CARTESIAN_POINT('Origin',(3.3505,0.4,0.0749999999999998)); #542071=CARTESIAN_POINT('Origin',(3.3505,0.1,0.0749999999999998)); #542072=CARTESIAN_POINT('Origin',(3.15,-0.4,0.0499999999999997)); #542073=CARTESIAN_POINT('',(3.3,-0.4,0.0499999999999997)); #542074=CARTESIAN_POINT('',(3.3,-0.1,0.0499999999999997)); #542075=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0500000000000014)); #542076=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #542077=CARTESIAN_POINT('',(3.15,-0.4,0.0499999999999997)); #542078=CARTESIAN_POINT('',(3.55,-0.1,0.0499999999999997)); #542079=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #542080=CARTESIAN_POINT('',(3.15,-0.1,0.0499999999999997)); #542081=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0375000000000003)); #542082=CARTESIAN_POINT('',(3.3,-0.1,-6.07153216591882E-17)); #542083=CARTESIAN_POINT('',(3.3,-0.1,0.0375000000000003)); #542084=CARTESIAN_POINT('',(3.3,-0.4,-6.07153216591882E-17)); #542085=CARTESIAN_POINT('Origin',(3.3,-0.25,-2.84928330929191E-15)); #542086=CARTESIAN_POINT('',(3.3,-0.4,0.0375000000000003)); #542087=CARTESIAN_POINT('Origin',(3.55,-0.4,0.15)); #542088=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #542089=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #542090=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #542091=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #542092=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #542093=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #542094=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #542095=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #542096=CARTESIAN_POINT('Origin',(3.55,-0.4,0.0499999999999997)); #542097=CARTESIAN_POINT('',(3.55,-0.4,-6.07153216591882E-17)); #542098=CARTESIAN_POINT('',(3.55,-0.1,-6.07153216591882E-17)); #542099=CARTESIAN_POINT('Origin',(3.551,-0.4,-6.07153216591882E-17)); #542100=CARTESIAN_POINT('',(3.551,-0.1,-6.93889390390723E-17)); #542101=CARTESIAN_POINT('',(3.551,-0.1,-6.07153216591882E-17)); #542102=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #542103=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #542104=CARTESIAN_POINT('',(3.551,-0.4,-6.07153216591882E-17)); #542105=CARTESIAN_POINT('Origin',(3.551,-0.4,0.15)); #542106=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #542107=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #542108=CARTESIAN_POINT('Origin',(3.3505,-0.1,0.0749999999999998)); #542109=CARTESIAN_POINT('Origin',(3.3505,-0.4,0.0749999999999998)); #542110=CARTESIAN_POINT('Origin',(3.15,-0.9,0.0499999999999997)); #542111=CARTESIAN_POINT('',(3.3,-0.9,0.0499999999999997)); #542112=CARTESIAN_POINT('',(3.3,-0.6,0.0499999999999997)); #542113=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0500000000000014)); #542114=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #542115=CARTESIAN_POINT('',(3.15,-0.9,0.0499999999999997)); #542116=CARTESIAN_POINT('',(3.55,-0.6,0.0499999999999997)); #542117=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #542118=CARTESIAN_POINT('',(3.15,-0.6,0.0499999999999997)); #542119=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0375000000000003)); #542120=CARTESIAN_POINT('',(3.3,-0.6,-6.07153216591882E-17)); #542121=CARTESIAN_POINT('',(3.3,-0.6,0.0375000000000003)); #542122=CARTESIAN_POINT('',(3.3,-0.9,-6.07153216591882E-17)); #542123=CARTESIAN_POINT('Origin',(3.3,-0.75,-2.84928330929191E-15)); #542124=CARTESIAN_POINT('',(3.3,-0.9,0.0375000000000003)); #542125=CARTESIAN_POINT('Origin',(3.55,-0.9,0.15)); #542126=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #542127=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #542128=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #542129=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #542130=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #542131=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #542132=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #542133=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #542134=CARTESIAN_POINT('Origin',(3.55,-0.9,0.0499999999999997)); #542135=CARTESIAN_POINT('',(3.55,-0.9,-6.07153216591882E-17)); #542136=CARTESIAN_POINT('',(3.55,-0.6,-6.07153216591882E-17)); #542137=CARTESIAN_POINT('Origin',(3.551,-0.9,-6.07153216591882E-17)); #542138=CARTESIAN_POINT('',(3.551,-0.6,-6.93889390390723E-17)); #542139=CARTESIAN_POINT('',(3.551,-0.6,-6.07153216591882E-17)); #542140=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #542141=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #542142=CARTESIAN_POINT('',(3.551,-0.9,-6.07153216591882E-17)); #542143=CARTESIAN_POINT('Origin',(3.551,-0.9,0.15)); #542144=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #542145=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #542146=CARTESIAN_POINT('Origin',(3.3505,-0.6,0.0749999999999998)); #542147=CARTESIAN_POINT('Origin',(3.3505,-0.9,0.0749999999999998)); #542148=CARTESIAN_POINT('Origin',(3.15,-1.4,0.0499999999999997)); #542149=CARTESIAN_POINT('',(3.3,-1.4,0.0499999999999997)); #542150=CARTESIAN_POINT('',(3.3,-1.1,0.0499999999999997)); #542151=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0500000000000014)); #542152=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #542153=CARTESIAN_POINT('',(3.15,-1.4,0.0499999999999997)); #542154=CARTESIAN_POINT('',(3.55,-1.1,0.0499999999999997)); #542155=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #542156=CARTESIAN_POINT('',(3.15,-1.1,0.0499999999999997)); #542157=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0375000000000003)); #542158=CARTESIAN_POINT('',(3.3,-1.1,-6.07153216591882E-17)); #542159=CARTESIAN_POINT('',(3.3,-1.1,0.0375000000000003)); #542160=CARTESIAN_POINT('',(3.3,-1.4,-6.07153216591882E-17)); #542161=CARTESIAN_POINT('Origin',(3.3,-1.25,-2.84928330929191E-15)); #542162=CARTESIAN_POINT('',(3.3,-1.4,0.0375000000000003)); #542163=CARTESIAN_POINT('Origin',(3.55,-1.4,0.15)); #542164=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #542165=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #542166=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #542167=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #542168=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #542169=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #542170=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #542171=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #542172=CARTESIAN_POINT('Origin',(3.55,-1.4,0.0499999999999997)); #542173=CARTESIAN_POINT('',(3.55,-1.4,-6.07153216591882E-17)); #542174=CARTESIAN_POINT('',(3.55,-1.1,-6.07153216591882E-17)); #542175=CARTESIAN_POINT('Origin',(3.551,-1.4,-6.07153216591882E-17)); #542176=CARTESIAN_POINT('',(3.551,-1.1,-6.93889390390723E-17)); #542177=CARTESIAN_POINT('',(3.551,-1.1,-6.07153216591882E-17)); #542178=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #542179=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #542180=CARTESIAN_POINT('',(3.551,-1.4,-6.07153216591882E-17)); #542181=CARTESIAN_POINT('Origin',(3.551,-1.4,0.15)); #542182=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #542183=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #542184=CARTESIAN_POINT('Origin',(3.3505,-1.1,0.0749999999999998)); #542185=CARTESIAN_POINT('Origin',(3.3505,-1.4,0.0749999999999998)); #542186=CARTESIAN_POINT('Origin',(3.15,-1.9,0.0499999999999997)); #542187=CARTESIAN_POINT('',(3.3,-1.9,0.0499999999999997)); #542188=CARTESIAN_POINT('',(3.3,-1.6,0.0499999999999997)); #542189=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0500000000000014)); #542190=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #542191=CARTESIAN_POINT('',(3.15,-1.9,0.0499999999999997)); #542192=CARTESIAN_POINT('',(3.55,-1.6,0.0499999999999997)); #542193=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #542194=CARTESIAN_POINT('',(3.15,-1.6,0.0499999999999997)); #542195=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0375000000000003)); #542196=CARTESIAN_POINT('',(3.3,-1.6,-6.07153216591882E-17)); #542197=CARTESIAN_POINT('',(3.3,-1.6,0.0375000000000003)); #542198=CARTESIAN_POINT('',(3.3,-1.9,-6.07153216591882E-17)); #542199=CARTESIAN_POINT('Origin',(3.3,-1.75,-2.84928330929191E-15)); #542200=CARTESIAN_POINT('',(3.3,-1.9,0.0375000000000003)); #542201=CARTESIAN_POINT('Origin',(3.55,-1.9,0.15)); #542202=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #542203=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #542204=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #542205=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #542206=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #542207=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #542208=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #542209=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #542210=CARTESIAN_POINT('Origin',(3.55,-1.9,0.0499999999999997)); #542211=CARTESIAN_POINT('',(3.55,-1.9,-6.07153216591882E-17)); #542212=CARTESIAN_POINT('',(3.55,-1.6,-6.07153216591882E-17)); #542213=CARTESIAN_POINT('Origin',(3.551,-1.9,-6.07153216591882E-17)); #542214=CARTESIAN_POINT('',(3.551,-1.6,-6.93889390390723E-17)); #542215=CARTESIAN_POINT('',(3.551,-1.6,-6.07153216591882E-17)); #542216=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #542217=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #542218=CARTESIAN_POINT('',(3.551,-1.9,-6.07153216591882E-17)); #542219=CARTESIAN_POINT('Origin',(3.551,-1.9,0.15)); #542220=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #542221=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #542222=CARTESIAN_POINT('Origin',(3.3505,-1.6,0.0749999999999998)); #542223=CARTESIAN_POINT('Origin',(3.3505,-1.9,0.0749999999999998)); #542224=CARTESIAN_POINT('Origin',(3.15,-2.4,0.0499999999999997)); #542225=CARTESIAN_POINT('',(3.3,-2.4,0.0499999999999997)); #542226=CARTESIAN_POINT('',(3.3,-2.1,0.0499999999999997)); #542227=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0500000000000014)); #542228=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #542229=CARTESIAN_POINT('',(3.15,-2.4,0.0499999999999997)); #542230=CARTESIAN_POINT('',(3.55,-2.1,0.0499999999999997)); #542231=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #542232=CARTESIAN_POINT('',(3.15,-2.1,0.0499999999999997)); #542233=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0375000000000003)); #542234=CARTESIAN_POINT('',(3.3,-2.1,-6.07153216591882E-17)); #542235=CARTESIAN_POINT('',(3.3,-2.1,0.0375000000000003)); #542236=CARTESIAN_POINT('',(3.3,-2.4,-6.07153216591882E-17)); #542237=CARTESIAN_POINT('Origin',(3.3,-2.25,-2.84928330929191E-15)); #542238=CARTESIAN_POINT('',(3.3,-2.4,0.0375000000000003)); #542239=CARTESIAN_POINT('Origin',(3.55,-2.4,0.15)); #542240=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #542241=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #542242=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #542243=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #542244=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #542245=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #542246=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #542247=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #542248=CARTESIAN_POINT('Origin',(3.55,-2.4,0.0499999999999997)); #542249=CARTESIAN_POINT('',(3.55,-2.4,-6.07153216591882E-17)); #542250=CARTESIAN_POINT('',(3.55,-2.1,-6.07153216591882E-17)); #542251=CARTESIAN_POINT('Origin',(3.551,-2.4,-6.07153216591882E-17)); #542252=CARTESIAN_POINT('',(3.551,-2.1,-6.93889390390723E-17)); #542253=CARTESIAN_POINT('',(3.551,-2.1,-6.07153216591882E-17)); #542254=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #542255=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #542256=CARTESIAN_POINT('',(3.551,-2.4,-6.07153216591882E-17)); #542257=CARTESIAN_POINT('Origin',(3.551,-2.4,0.15)); #542258=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #542259=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #542260=CARTESIAN_POINT('Origin',(3.3505,-2.1,0.0749999999999998)); #542261=CARTESIAN_POINT('Origin',(3.3505,-2.4,0.0749999999999998)); #542262=CARTESIAN_POINT('Origin',(3.15,-2.9,0.0499999999999997)); #542263=CARTESIAN_POINT('',(3.3,-2.9,0.0499999999999997)); #542264=CARTESIAN_POINT('',(3.3,-2.6,0.0499999999999997)); #542265=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0500000000000014)); #542266=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #542267=CARTESIAN_POINT('',(3.15,-2.9,0.0499999999999997)); #542268=CARTESIAN_POINT('',(3.55,-2.6,0.0499999999999997)); #542269=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #542270=CARTESIAN_POINT('',(3.15,-2.6,0.0499999999999997)); #542271=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0375000000000003)); #542272=CARTESIAN_POINT('',(3.3,-2.6,-6.07153216591882E-17)); #542273=CARTESIAN_POINT('',(3.3,-2.6,0.0375000000000003)); #542274=CARTESIAN_POINT('',(3.3,-2.9,-6.07153216591882E-17)); #542275=CARTESIAN_POINT('Origin',(3.3,-2.75,-2.84928330929191E-15)); #542276=CARTESIAN_POINT('',(3.3,-2.9,0.0375000000000003)); #542277=CARTESIAN_POINT('Origin',(3.55,-2.9,0.15)); #542278=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #542279=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #542280=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #542281=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #542282=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #542283=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #542284=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #542285=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #542286=CARTESIAN_POINT('Origin',(3.55,-2.9,0.0499999999999997)); #542287=CARTESIAN_POINT('',(3.55,-2.9,-6.07153216591882E-17)); #542288=CARTESIAN_POINT('',(3.55,-2.6,-6.07153216591882E-17)); #542289=CARTESIAN_POINT('Origin',(3.551,-2.9,-6.07153216591882E-17)); #542290=CARTESIAN_POINT('',(3.551,-2.6,-6.93889390390723E-17)); #542291=CARTESIAN_POINT('',(3.551,-2.6,-6.07153216591882E-17)); #542292=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #542293=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #542294=CARTESIAN_POINT('',(3.551,-2.9,-6.07153216591882E-17)); #542295=CARTESIAN_POINT('Origin',(3.551,-2.9,0.15)); #542296=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #542297=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #542298=CARTESIAN_POINT('Origin',(3.3505,-2.6,0.0749999999999998)); #542299=CARTESIAN_POINT('Origin',(3.3505,-2.9,0.0749999999999998)); #542300=CARTESIAN_POINT('Origin',(-3.15,2.1,0.0499999999999997)); #542301=CARTESIAN_POINT('',(-3.3,2.1,0.0499999999999997)); #542302=CARTESIAN_POINT('',(-3.3,2.4,0.0499999999999997)); #542303=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0500000000000014)); #542304=CARTESIAN_POINT('',(-3.55,2.4,0.0499999999999997)); #542305=CARTESIAN_POINT('',(-3.15,2.4,0.0499999999999997)); #542306=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #542307=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #542308=CARTESIAN_POINT('',(-3.15,2.1,0.0499999999999997)); #542309=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0375000000000003)); #542310=CARTESIAN_POINT('',(-3.3,2.1,-6.07153216591882E-17)); #542311=CARTESIAN_POINT('',(-3.3,2.1,0.0375000000000003)); #542312=CARTESIAN_POINT('',(-3.3,2.4,-6.07153216591882E-17)); #542313=CARTESIAN_POINT('Origin',(-3.3,2.25,-2.84928330929191E-15)); #542314=CARTESIAN_POINT('',(-3.3,2.4,0.0375000000000003)); #542315=CARTESIAN_POINT('Origin',(-3.55,2.1,0.15)); #542316=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #542317=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #542318=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #542319=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #542320=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #542321=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #542322=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #542323=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #542324=CARTESIAN_POINT('Origin',(-3.55,2.1,0.0499999999999997)); #542325=CARTESIAN_POINT('',(-3.55,2.1,-6.07153216591882E-17)); #542326=CARTESIAN_POINT('',(-3.55,2.4,-6.07153216591882E-17)); #542327=CARTESIAN_POINT('Origin',(-3.551,2.1,-6.07153216591882E-17)); #542328=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #542329=CARTESIAN_POINT('',(-3.551,2.1,-6.07153216591882E-17)); #542330=CARTESIAN_POINT('',(-3.551,2.4,-6.93889390390723E-17)); #542331=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #542332=CARTESIAN_POINT('',(-3.551,2.4,-6.07153216591882E-17)); #542333=CARTESIAN_POINT('Origin',(-3.551,2.1,0.15)); #542334=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #542335=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #542336=CARTESIAN_POINT('Origin',(-3.3505,2.4,0.0749999999999998)); #542337=CARTESIAN_POINT('Origin',(-3.3505,2.1,0.0749999999999998)); #542338=CARTESIAN_POINT('Origin',(-3.15,1.6,0.0499999999999997)); #542339=CARTESIAN_POINT('',(-3.3,1.6,0.0499999999999997)); #542340=CARTESIAN_POINT('',(-3.3,1.9,0.0499999999999997)); #542341=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0500000000000014)); #542342=CARTESIAN_POINT('',(-3.55,1.9,0.0499999999999997)); #542343=CARTESIAN_POINT('',(-3.15,1.9,0.0499999999999997)); #542344=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #542345=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #542346=CARTESIAN_POINT('',(-3.15,1.6,0.0499999999999997)); #542347=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0375000000000003)); #542348=CARTESIAN_POINT('',(-3.3,1.6,-6.07153216591882E-17)); #542349=CARTESIAN_POINT('',(-3.3,1.6,0.0375000000000003)); #542350=CARTESIAN_POINT('',(-3.3,1.9,-6.07153216591882E-17)); #542351=CARTESIAN_POINT('Origin',(-3.3,1.75,-2.84928330929191E-15)); #542352=CARTESIAN_POINT('',(-3.3,1.9,0.0375000000000003)); #542353=CARTESIAN_POINT('Origin',(-3.55,1.6,0.15)); #542354=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #542355=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #542356=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #542357=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #542358=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #542359=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #542360=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #542361=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #542362=CARTESIAN_POINT('Origin',(-3.55,1.6,0.0499999999999997)); #542363=CARTESIAN_POINT('',(-3.55,1.6,-6.07153216591882E-17)); #542364=CARTESIAN_POINT('',(-3.55,1.9,-6.07153216591882E-17)); #542365=CARTESIAN_POINT('Origin',(-3.551,1.6,-6.07153216591882E-17)); #542366=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #542367=CARTESIAN_POINT('',(-3.551,1.6,-6.07153216591882E-17)); #542368=CARTESIAN_POINT('',(-3.551,1.9,-6.93889390390723E-17)); #542369=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #542370=CARTESIAN_POINT('',(-3.551,1.9,-6.07153216591882E-17)); #542371=CARTESIAN_POINT('Origin',(-3.551,1.6,0.15)); #542372=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #542373=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #542374=CARTESIAN_POINT('Origin',(-3.3505,1.9,0.0749999999999998)); #542375=CARTESIAN_POINT('Origin',(-3.3505,1.6,0.0749999999999998)); #542376=CARTESIAN_POINT('Origin',(-3.15,1.1,0.0499999999999997)); #542377=CARTESIAN_POINT('',(-3.3,1.1,0.0499999999999997)); #542378=CARTESIAN_POINT('',(-3.3,1.4,0.0499999999999997)); #542379=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0500000000000014)); #542380=CARTESIAN_POINT('',(-3.55,1.4,0.0499999999999997)); #542381=CARTESIAN_POINT('',(-3.15,1.4,0.0499999999999997)); #542382=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #542383=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #542384=CARTESIAN_POINT('',(-3.15,1.1,0.0499999999999997)); #542385=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0375000000000003)); #542386=CARTESIAN_POINT('',(-3.3,1.1,-6.07153216591882E-17)); #542387=CARTESIAN_POINT('',(-3.3,1.1,0.0375000000000003)); #542388=CARTESIAN_POINT('',(-3.3,1.4,-6.07153216591882E-17)); #542389=CARTESIAN_POINT('Origin',(-3.3,1.25,-2.84928330929191E-15)); #542390=CARTESIAN_POINT('',(-3.3,1.4,0.0375000000000003)); #542391=CARTESIAN_POINT('Origin',(-3.55,1.1,0.15)); #542392=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #542393=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #542394=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #542395=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #542396=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #542397=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #542398=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #542399=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #542400=CARTESIAN_POINT('Origin',(-3.55,1.1,0.0499999999999997)); #542401=CARTESIAN_POINT('',(-3.55,1.1,-6.07153216591882E-17)); #542402=CARTESIAN_POINT('',(-3.55,1.4,-6.07153216591882E-17)); #542403=CARTESIAN_POINT('Origin',(-3.551,1.1,-6.07153216591882E-17)); #542404=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #542405=CARTESIAN_POINT('',(-3.551,1.1,-6.07153216591882E-17)); #542406=CARTESIAN_POINT('',(-3.551,1.4,-6.93889390390723E-17)); #542407=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #542408=CARTESIAN_POINT('',(-3.551,1.4,-6.07153216591882E-17)); #542409=CARTESIAN_POINT('Origin',(-3.551,1.1,0.15)); #542410=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #542411=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #542412=CARTESIAN_POINT('Origin',(-3.3505,1.4,0.0749999999999998)); #542413=CARTESIAN_POINT('Origin',(-3.3505,1.1,0.0749999999999998)); #542414=CARTESIAN_POINT('Origin',(-3.15,0.6,0.0499999999999997)); #542415=CARTESIAN_POINT('',(-3.3,0.6,0.0499999999999997)); #542416=CARTESIAN_POINT('',(-3.3,0.9,0.0499999999999997)); #542417=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0500000000000014)); #542418=CARTESIAN_POINT('',(-3.55,0.9,0.0499999999999997)); #542419=CARTESIAN_POINT('',(-3.15,0.9,0.0499999999999997)); #542420=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #542421=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #542422=CARTESIAN_POINT('',(-3.15,0.6,0.0499999999999997)); #542423=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0375000000000003)); #542424=CARTESIAN_POINT('',(-3.3,0.6,-6.07153216591882E-17)); #542425=CARTESIAN_POINT('',(-3.3,0.6,0.0375000000000003)); #542426=CARTESIAN_POINT('',(-3.3,0.9,-6.07153216591882E-17)); #542427=CARTESIAN_POINT('Origin',(-3.3,0.75,-2.84928330929191E-15)); #542428=CARTESIAN_POINT('',(-3.3,0.9,0.0375000000000003)); #542429=CARTESIAN_POINT('Origin',(-3.55,0.6,0.15)); #542430=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #542431=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #542432=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #542433=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #542434=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #542435=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #542436=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #542437=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #542438=CARTESIAN_POINT('Origin',(-3.55,0.6,0.0499999999999997)); #542439=CARTESIAN_POINT('',(-3.55,0.6,-6.07153216591882E-17)); #542440=CARTESIAN_POINT('',(-3.55,0.9,-6.07153216591882E-17)); #542441=CARTESIAN_POINT('Origin',(-3.551,0.6,-6.07153216591882E-17)); #542442=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #542443=CARTESIAN_POINT('',(-3.551,0.6,-6.07153216591882E-17)); #542444=CARTESIAN_POINT('',(-3.551,0.9,-6.93889390390723E-17)); #542445=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #542446=CARTESIAN_POINT('',(-3.551,0.9,-6.07153216591882E-17)); #542447=CARTESIAN_POINT('Origin',(-3.551,0.6,0.15)); #542448=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #542449=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #542450=CARTESIAN_POINT('Origin',(-3.3505,0.9,0.0749999999999998)); #542451=CARTESIAN_POINT('Origin',(-3.3505,0.6,0.0749999999999998)); #542452=CARTESIAN_POINT('Origin',(-3.15,0.1,0.0499999999999997)); #542453=CARTESIAN_POINT('',(-3.3,0.1,0.0499999999999997)); #542454=CARTESIAN_POINT('',(-3.3,0.4,0.0499999999999997)); #542455=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0500000000000014)); #542456=CARTESIAN_POINT('',(-3.55,0.4,0.0499999999999997)); #542457=CARTESIAN_POINT('',(-3.15,0.4,0.0499999999999997)); #542458=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #542459=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #542460=CARTESIAN_POINT('',(-3.15,0.1,0.0499999999999997)); #542461=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0375000000000003)); #542462=CARTESIAN_POINT('',(-3.3,0.1,-6.07153216591882E-17)); #542463=CARTESIAN_POINT('',(-3.3,0.1,0.0375000000000003)); #542464=CARTESIAN_POINT('',(-3.3,0.4,-6.07153216591882E-17)); #542465=CARTESIAN_POINT('Origin',(-3.3,0.25,-2.84928330929191E-15)); #542466=CARTESIAN_POINT('',(-3.3,0.4,0.0375000000000003)); #542467=CARTESIAN_POINT('Origin',(-3.55,0.1,0.15)); #542468=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #542469=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #542470=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #542471=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #542472=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #542473=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #542474=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #542475=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #542476=CARTESIAN_POINT('Origin',(-3.55,0.1,0.0499999999999997)); #542477=CARTESIAN_POINT('',(-3.55,0.1,-6.07153216591882E-17)); #542478=CARTESIAN_POINT('',(-3.55,0.4,-6.07153216591882E-17)); #542479=CARTESIAN_POINT('Origin',(-3.551,0.1,-6.07153216591882E-17)); #542480=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #542481=CARTESIAN_POINT('',(-3.551,0.1,-6.07153216591882E-17)); #542482=CARTESIAN_POINT('',(-3.551,0.4,-6.93889390390723E-17)); #542483=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #542484=CARTESIAN_POINT('',(-3.551,0.4,-6.07153216591882E-17)); #542485=CARTESIAN_POINT('Origin',(-3.551,0.1,0.15)); #542486=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #542487=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #542488=CARTESIAN_POINT('Origin',(-3.3505,0.4,0.0749999999999998)); #542489=CARTESIAN_POINT('Origin',(-3.3505,0.1,0.0749999999999998)); #542490=CARTESIAN_POINT('Origin',(-3.15,-0.4,0.0499999999999997)); #542491=CARTESIAN_POINT('',(-3.3,-0.4,0.0499999999999997)); #542492=CARTESIAN_POINT('',(-3.3,-0.1,0.0499999999999997)); #542493=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0500000000000014)); #542494=CARTESIAN_POINT('',(-3.55,-0.1,0.0499999999999997)); #542495=CARTESIAN_POINT('',(-3.15,-0.1,0.0499999999999997)); #542496=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #542497=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #542498=CARTESIAN_POINT('',(-3.15,-0.4,0.0499999999999997)); #542499=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0375000000000003)); #542500=CARTESIAN_POINT('',(-3.3,-0.4,-6.07153216591882E-17)); #542501=CARTESIAN_POINT('',(-3.3,-0.4,0.0375000000000003)); #542502=CARTESIAN_POINT('',(-3.3,-0.1,-6.07153216591882E-17)); #542503=CARTESIAN_POINT('Origin',(-3.3,-0.25,-2.84928330929191E-15)); #542504=CARTESIAN_POINT('',(-3.3,-0.1,0.0375000000000003)); #542505=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.15)); #542506=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #542507=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #542508=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #542509=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #542510=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #542511=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #542512=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #542513=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #542514=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.0499999999999997)); #542515=CARTESIAN_POINT('',(-3.55,-0.4,-6.07153216591882E-17)); #542516=CARTESIAN_POINT('',(-3.55,-0.1,-6.07153216591882E-17)); #542517=CARTESIAN_POINT('Origin',(-3.551,-0.4,-6.07153216591882E-17)); #542518=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #542519=CARTESIAN_POINT('',(-3.551,-0.4,-6.07153216591882E-17)); #542520=CARTESIAN_POINT('',(-3.551,-0.1,-6.93889390390723E-17)); #542521=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #542522=CARTESIAN_POINT('',(-3.551,-0.1,-6.07153216591882E-17)); #542523=CARTESIAN_POINT('Origin',(-3.551,-0.4,0.15)); #542524=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #542525=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #542526=CARTESIAN_POINT('Origin',(-3.3505,-0.1,0.0749999999999998)); #542527=CARTESIAN_POINT('Origin',(-3.3505,-0.4,0.0749999999999998)); #542528=CARTESIAN_POINT('Origin',(-3.15,-0.9,0.0499999999999997)); #542529=CARTESIAN_POINT('',(-3.3,-0.9,0.0499999999999997)); #542530=CARTESIAN_POINT('',(-3.3,-0.6,0.0499999999999997)); #542531=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0500000000000014)); #542532=CARTESIAN_POINT('',(-3.55,-0.6,0.0499999999999997)); #542533=CARTESIAN_POINT('',(-3.15,-0.6,0.0499999999999997)); #542534=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #542535=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #542536=CARTESIAN_POINT('',(-3.15,-0.9,0.0499999999999997)); #542537=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0375000000000003)); #542538=CARTESIAN_POINT('',(-3.3,-0.9,-6.07153216591882E-17)); #542539=CARTESIAN_POINT('',(-3.3,-0.9,0.0375000000000003)); #542540=CARTESIAN_POINT('',(-3.3,-0.6,-6.07153216591882E-17)); #542541=CARTESIAN_POINT('Origin',(-3.3,-0.75,-2.84928330929191E-15)); #542542=CARTESIAN_POINT('',(-3.3,-0.6,0.0375000000000003)); #542543=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.15)); #542544=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #542545=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #542546=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #542547=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #542548=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #542549=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #542550=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #542551=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #542552=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.0499999999999997)); #542553=CARTESIAN_POINT('',(-3.55,-0.9,-6.07153216591882E-17)); #542554=CARTESIAN_POINT('',(-3.55,-0.6,-6.07153216591882E-17)); #542555=CARTESIAN_POINT('Origin',(-3.551,-0.9,-6.07153216591882E-17)); #542556=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #542557=CARTESIAN_POINT('',(-3.551,-0.9,-6.07153216591882E-17)); #542558=CARTESIAN_POINT('',(-3.551,-0.6,-6.93889390390723E-17)); #542559=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #542560=CARTESIAN_POINT('',(-3.551,-0.6,-6.07153216591882E-17)); #542561=CARTESIAN_POINT('Origin',(-3.551,-0.9,0.15)); #542562=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #542563=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #542564=CARTESIAN_POINT('Origin',(-3.3505,-0.6,0.0749999999999998)); #542565=CARTESIAN_POINT('Origin',(-3.3505,-0.9,0.0749999999999998)); #542566=CARTESIAN_POINT('Origin',(-3.15,-1.4,0.0499999999999997)); #542567=CARTESIAN_POINT('',(-3.3,-1.4,0.0499999999999997)); #542568=CARTESIAN_POINT('',(-3.3,-1.1,0.0499999999999997)); #542569=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0500000000000014)); #542570=CARTESIAN_POINT('',(-3.55,-1.1,0.0499999999999997)); #542571=CARTESIAN_POINT('',(-3.15,-1.1,0.0499999999999997)); #542572=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #542573=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #542574=CARTESIAN_POINT('',(-3.15,-1.4,0.0499999999999997)); #542575=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0375000000000003)); #542576=CARTESIAN_POINT('',(-3.3,-1.4,-6.07153216591882E-17)); #542577=CARTESIAN_POINT('',(-3.3,-1.4,0.0375000000000003)); #542578=CARTESIAN_POINT('',(-3.3,-1.1,-6.07153216591882E-17)); #542579=CARTESIAN_POINT('Origin',(-3.3,-1.25,-2.84928330929191E-15)); #542580=CARTESIAN_POINT('',(-3.3,-1.1,0.0375000000000003)); #542581=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.15)); #542582=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #542583=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #542584=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #542585=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #542586=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #542587=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #542588=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #542589=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #542590=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.0499999999999997)); #542591=CARTESIAN_POINT('',(-3.55,-1.4,-6.07153216591882E-17)); #542592=CARTESIAN_POINT('',(-3.55,-1.1,-6.07153216591882E-17)); #542593=CARTESIAN_POINT('Origin',(-3.551,-1.4,-6.07153216591882E-17)); #542594=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #542595=CARTESIAN_POINT('',(-3.551,-1.4,-6.07153216591882E-17)); #542596=CARTESIAN_POINT('',(-3.551,-1.1,-6.93889390390723E-17)); #542597=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #542598=CARTESIAN_POINT('',(-3.551,-1.1,-6.07153216591882E-17)); #542599=CARTESIAN_POINT('Origin',(-3.551,-1.4,0.15)); #542600=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #542601=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #542602=CARTESIAN_POINT('Origin',(-3.3505,-1.1,0.0749999999999998)); #542603=CARTESIAN_POINT('Origin',(-3.3505,-1.4,0.0749999999999998)); #542604=CARTESIAN_POINT('Origin',(-3.15,-1.9,0.0499999999999997)); #542605=CARTESIAN_POINT('',(-3.3,-1.9,0.0499999999999997)); #542606=CARTESIAN_POINT('',(-3.3,-1.6,0.0499999999999997)); #542607=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0500000000000014)); #542608=CARTESIAN_POINT('',(-3.55,-1.6,0.0499999999999997)); #542609=CARTESIAN_POINT('',(-3.15,-1.6,0.0499999999999997)); #542610=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #542611=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #542612=CARTESIAN_POINT('',(-3.15,-1.9,0.0499999999999997)); #542613=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0375000000000003)); #542614=CARTESIAN_POINT('',(-3.3,-1.9,-6.07153216591882E-17)); #542615=CARTESIAN_POINT('',(-3.3,-1.9,0.0375000000000003)); #542616=CARTESIAN_POINT('',(-3.3,-1.6,-6.07153216591882E-17)); #542617=CARTESIAN_POINT('Origin',(-3.3,-1.75,-2.84928330929191E-15)); #542618=CARTESIAN_POINT('',(-3.3,-1.6,0.0375000000000003)); #542619=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.15)); #542620=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #542621=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #542622=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #542623=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #542624=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #542625=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #542626=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #542627=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #542628=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.0499999999999997)); #542629=CARTESIAN_POINT('',(-3.55,-1.9,-6.07153216591882E-17)); #542630=CARTESIAN_POINT('',(-3.55,-1.6,-6.07153216591882E-17)); #542631=CARTESIAN_POINT('Origin',(-3.551,-1.9,-6.07153216591882E-17)); #542632=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #542633=CARTESIAN_POINT('',(-3.551,-1.9,-6.07153216591882E-17)); #542634=CARTESIAN_POINT('',(-3.551,-1.6,-6.93889390390723E-17)); #542635=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #542636=CARTESIAN_POINT('',(-3.551,-1.6,-6.07153216591882E-17)); #542637=CARTESIAN_POINT('Origin',(-3.551,-1.9,0.15)); #542638=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #542639=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #542640=CARTESIAN_POINT('Origin',(-3.3505,-1.6,0.0749999999999998)); #542641=CARTESIAN_POINT('Origin',(-3.3505,-1.9,0.0749999999999998)); #542642=CARTESIAN_POINT('Origin',(-3.15,-2.4,0.0499999999999997)); #542643=CARTESIAN_POINT('',(-3.3,-2.4,0.0499999999999997)); #542644=CARTESIAN_POINT('',(-3.3,-2.1,0.0499999999999997)); #542645=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0500000000000014)); #542646=CARTESIAN_POINT('',(-3.55,-2.1,0.0499999999999997)); #542647=CARTESIAN_POINT('',(-3.15,-2.1,0.0499999999999997)); #542648=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #542649=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #542650=CARTESIAN_POINT('',(-3.15,-2.4,0.0499999999999997)); #542651=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0375000000000003)); #542652=CARTESIAN_POINT('',(-3.3,-2.4,-6.07153216591882E-17)); #542653=CARTESIAN_POINT('',(-3.3,-2.4,0.0375000000000003)); #542654=CARTESIAN_POINT('',(-3.3,-2.1,-6.07153216591882E-17)); #542655=CARTESIAN_POINT('Origin',(-3.3,-2.25,-2.84928330929191E-15)); #542656=CARTESIAN_POINT('',(-3.3,-2.1,0.0375000000000003)); #542657=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.15)); #542658=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #542659=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #542660=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #542661=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #542662=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #542663=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #542664=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #542665=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #542666=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.0499999999999997)); #542667=CARTESIAN_POINT('',(-3.55,-2.4,-6.07153216591882E-17)); #542668=CARTESIAN_POINT('',(-3.55,-2.1,-6.07153216591882E-17)); #542669=CARTESIAN_POINT('Origin',(-3.551,-2.4,-6.07153216591882E-17)); #542670=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #542671=CARTESIAN_POINT('',(-3.551,-2.4,-6.07153216591882E-17)); #542672=CARTESIAN_POINT('',(-3.551,-2.1,-6.93889390390723E-17)); #542673=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #542674=CARTESIAN_POINT('',(-3.551,-2.1,-6.07153216591882E-17)); #542675=CARTESIAN_POINT('Origin',(-3.551,-2.4,0.15)); #542676=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #542677=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #542678=CARTESIAN_POINT('Origin',(-3.3505,-2.1,0.0749999999999998)); #542679=CARTESIAN_POINT('Origin',(-3.3505,-2.4,0.0749999999999998)); #542680=CARTESIAN_POINT('Origin',(-3.15,-2.9,0.0499999999999997)); #542681=CARTESIAN_POINT('',(-3.3,-2.9,0.0499999999999997)); #542682=CARTESIAN_POINT('',(-3.3,-2.6,0.0499999999999997)); #542683=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0500000000000014)); #542684=CARTESIAN_POINT('',(-3.55,-2.6,0.0499999999999997)); #542685=CARTESIAN_POINT('',(-3.15,-2.6,0.0499999999999997)); #542686=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #542687=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #542688=CARTESIAN_POINT('',(-3.15,-2.9,0.0499999999999997)); #542689=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0375000000000003)); #542690=CARTESIAN_POINT('',(-3.3,-2.9,-6.07153216591882E-17)); #542691=CARTESIAN_POINT('',(-3.3,-2.9,0.0375000000000003)); #542692=CARTESIAN_POINT('',(-3.3,-2.6,-6.07153216591882E-17)); #542693=CARTESIAN_POINT('Origin',(-3.3,-2.75,-2.84928330929191E-15)); #542694=CARTESIAN_POINT('',(-3.3,-2.6,0.0375000000000003)); #542695=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.15)); #542696=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #542697=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #542698=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #542699=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #542700=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #542701=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #542702=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #542703=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #542704=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.0499999999999997)); #542705=CARTESIAN_POINT('',(-3.55,-2.9,-6.07153216591882E-17)); #542706=CARTESIAN_POINT('',(-3.55,-2.6,-6.07153216591882E-17)); #542707=CARTESIAN_POINT('Origin',(-3.551,-2.9,-6.07153216591882E-17)); #542708=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #542709=CARTESIAN_POINT('',(-3.551,-2.9,-6.07153216591882E-17)); #542710=CARTESIAN_POINT('',(-3.551,-2.6,-6.93889390390723E-17)); #542711=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #542712=CARTESIAN_POINT('',(-3.551,-2.6,-6.07153216591882E-17)); #542713=CARTESIAN_POINT('Origin',(-3.551,-2.9,0.15)); #542714=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #542715=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #542716=CARTESIAN_POINT('Origin',(-3.3505,-2.6,0.0749999999999998)); #542717=CARTESIAN_POINT('Origin',(-3.3505,-2.9,0.0749999999999998)); #542718=CARTESIAN_POINT('Origin',(2.6,3.15,0.)); #542719=CARTESIAN_POINT('',(2.6,3.3,0.)); #542720=CARTESIAN_POINT('',(2.9,3.3,0.)); #542721=CARTESIAN_POINT('Origin',(2.75,3.3,0.)); #542722=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #542723=CARTESIAN_POINT('',(2.6,3.55,0.)); #542724=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #542725=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #542726=CARTESIAN_POINT('',(2.9,3.55,0.)); #542727=CARTESIAN_POINT('Origin',(2.75,3.3,0.0625)); #542728=CARTESIAN_POINT('',(2.9,3.3,0.05)); #542729=CARTESIAN_POINT('',(2.6,3.3,0.05)); #542730=CARTESIAN_POINT('Origin',(2.75,3.3,0.05)); #542731=CARTESIAN_POINT('',(2.6,3.3,0.0625)); #542732=CARTESIAN_POINT('',(2.9,3.3,0.0625)); #542733=CARTESIAN_POINT('Origin',(2.6,3.55,0.05)); #542734=CARTESIAN_POINT('',(2.9,3.55,0.05)); #542735=CARTESIAN_POINT('',(2.9,3.15,0.05)); #542736=CARTESIAN_POINT('',(2.6,3.55,0.05)); #542737=CARTESIAN_POINT('',(2.6,3.55,0.05)); #542738=CARTESIAN_POINT('',(2.6,3.15,0.05)); #542739=CARTESIAN_POINT('Origin',(2.6,3.55,0.15)); #542740=CARTESIAN_POINT('',(2.6,3.55,0.15)); #542741=CARTESIAN_POINT('',(2.6,3.55,0.15)); #542742=CARTESIAN_POINT('',(2.9,3.55,0.15)); #542743=CARTESIAN_POINT('',(2.9,3.55,0.15)); #542744=CARTESIAN_POINT('',(2.6,3.55,0.15)); #542745=CARTESIAN_POINT('Origin',(2.6,3.551,0.15)); #542746=CARTESIAN_POINT('',(2.6,3.551,0.15)); #542747=CARTESIAN_POINT('',(2.6,3.551,0.15)); #542748=CARTESIAN_POINT('',(2.9,3.551,0.15)); #542749=CARTESIAN_POINT('',(2.9,3.551,0.15)); #542750=CARTESIAN_POINT('',(2.6,3.551,0.15)); #542751=CARTESIAN_POINT('Origin',(2.6,3.551,-1.76027756664041E-18)); #542752=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #542753=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #542754=CARTESIAN_POINT('Origin',(2.9,3.3505,0.075)); #542755=CARTESIAN_POINT('Origin',(2.6,3.3505,0.075)); #542756=CARTESIAN_POINT('Origin',(2.6,-3.15,0.)); #542757=CARTESIAN_POINT('',(2.6,-3.3,0.)); #542758=CARTESIAN_POINT('',(2.9,-3.3,0.)); #542759=CARTESIAN_POINT('Origin',(2.75,-3.3,0.)); #542760=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #542761=CARTESIAN_POINT('',(2.9,-3.55,0.)); #542762=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #542763=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #542764=CARTESIAN_POINT('',(2.6,-3.55,0.)); #542765=CARTESIAN_POINT('Origin',(2.75,-3.3,0.0625)); #542766=CARTESIAN_POINT('',(2.9,-3.3,0.05)); #542767=CARTESIAN_POINT('',(2.6,-3.3,0.05)); #542768=CARTESIAN_POINT('Origin',(2.75,-3.3,0.05)); #542769=CARTESIAN_POINT('',(2.9,-3.3,0.0625)); #542770=CARTESIAN_POINT('',(2.6,-3.3,0.0625)); #542771=CARTESIAN_POINT('Origin',(2.6,-3.55,0.05)); #542772=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #542773=CARTESIAN_POINT('',(2.6,-3.15,0.05)); #542774=CARTESIAN_POINT('',(2.9,-3.55,0.05)); #542775=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #542776=CARTESIAN_POINT('',(2.9,-3.15,0.05)); #542777=CARTESIAN_POINT('Origin',(2.6,-3.55,0.15)); #542778=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #542779=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #542780=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #542781=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #542782=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #542783=CARTESIAN_POINT('Origin',(2.6,-3.551,0.15)); #542784=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #542785=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #542786=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #542787=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #542788=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #542789=CARTESIAN_POINT('Origin',(2.6,-3.551,-1.76027756664041E-18)); #542790=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #542791=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #542792=CARTESIAN_POINT('Origin',(2.9,-3.3505,0.075)); #542793=CARTESIAN_POINT('Origin',(2.6,-3.3505,0.075)); #542794=CARTESIAN_POINT('Origin',(2.1,3.15,0.)); #542795=CARTESIAN_POINT('',(2.1,3.3,0.)); #542796=CARTESIAN_POINT('',(2.4,3.3,0.)); #542797=CARTESIAN_POINT('Origin',(2.25,3.3,0.)); #542798=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #542799=CARTESIAN_POINT('',(2.1,3.55,0.)); #542800=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #542801=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #542802=CARTESIAN_POINT('',(2.4,3.55,0.)); #542803=CARTESIAN_POINT('Origin',(2.25,3.3,0.0625)); #542804=CARTESIAN_POINT('',(2.4,3.3,0.05)); #542805=CARTESIAN_POINT('',(2.1,3.3,0.05)); #542806=CARTESIAN_POINT('Origin',(2.25,3.3,0.05)); #542807=CARTESIAN_POINT('',(2.1,3.3,0.0625)); #542808=CARTESIAN_POINT('',(2.4,3.3,0.0625)); #542809=CARTESIAN_POINT('Origin',(2.1,3.55,0.05)); #542810=CARTESIAN_POINT('',(2.4,3.55,0.05)); #542811=CARTESIAN_POINT('',(2.4,3.15,0.05)); #542812=CARTESIAN_POINT('',(2.1,3.55,0.05)); #542813=CARTESIAN_POINT('',(2.1,3.55,0.05)); #542814=CARTESIAN_POINT('',(2.1,3.15,0.05)); #542815=CARTESIAN_POINT('Origin',(2.1,3.55,0.15)); #542816=CARTESIAN_POINT('',(2.1,3.55,0.15)); #542817=CARTESIAN_POINT('',(2.1,3.55,0.15)); #542818=CARTESIAN_POINT('',(2.4,3.55,0.15)); #542819=CARTESIAN_POINT('',(2.4,3.55,0.15)); #542820=CARTESIAN_POINT('',(2.1,3.55,0.15)); #542821=CARTESIAN_POINT('Origin',(2.1,3.551,0.15)); #542822=CARTESIAN_POINT('',(2.1,3.551,0.15)); #542823=CARTESIAN_POINT('',(2.1,3.551,0.15)); #542824=CARTESIAN_POINT('',(2.4,3.551,0.15)); #542825=CARTESIAN_POINT('',(2.4,3.551,0.15)); #542826=CARTESIAN_POINT('',(2.1,3.551,0.15)); #542827=CARTESIAN_POINT('Origin',(2.1,3.551,-1.76027756664041E-18)); #542828=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #542829=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #542830=CARTESIAN_POINT('Origin',(2.4,3.3505,0.075)); #542831=CARTESIAN_POINT('Origin',(2.1,3.3505,0.075)); #542832=CARTESIAN_POINT('Origin',(1.6,3.15,0.)); #542833=CARTESIAN_POINT('',(1.6,3.3,0.)); #542834=CARTESIAN_POINT('',(1.9,3.3,0.)); #542835=CARTESIAN_POINT('Origin',(1.75,3.3,0.)); #542836=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #542837=CARTESIAN_POINT('',(1.6,3.55,0.)); #542838=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #542839=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #542840=CARTESIAN_POINT('',(1.9,3.55,0.)); #542841=CARTESIAN_POINT('Origin',(1.75,3.3,0.0625)); #542842=CARTESIAN_POINT('',(1.9,3.3,0.05)); #542843=CARTESIAN_POINT('',(1.6,3.3,0.05)); #542844=CARTESIAN_POINT('Origin',(1.75,3.3,0.05)); #542845=CARTESIAN_POINT('',(1.6,3.3,0.0625)); #542846=CARTESIAN_POINT('',(1.9,3.3,0.0625)); #542847=CARTESIAN_POINT('Origin',(1.6,3.55,0.05)); #542848=CARTESIAN_POINT('',(1.9,3.55,0.05)); #542849=CARTESIAN_POINT('',(1.9,3.15,0.05)); #542850=CARTESIAN_POINT('',(1.6,3.55,0.05)); #542851=CARTESIAN_POINT('',(1.6,3.55,0.05)); #542852=CARTESIAN_POINT('',(1.6,3.15,0.05)); #542853=CARTESIAN_POINT('Origin',(1.6,3.55,0.15)); #542854=CARTESIAN_POINT('',(1.6,3.55,0.15)); #542855=CARTESIAN_POINT('',(1.6,3.55,0.15)); #542856=CARTESIAN_POINT('',(1.9,3.55,0.15)); #542857=CARTESIAN_POINT('',(1.9,3.55,0.15)); #542858=CARTESIAN_POINT('',(1.6,3.55,0.15)); #542859=CARTESIAN_POINT('Origin',(1.6,3.551,0.15)); #542860=CARTESIAN_POINT('',(1.6,3.551,0.15)); #542861=CARTESIAN_POINT('',(1.6,3.551,0.15)); #542862=CARTESIAN_POINT('',(1.9,3.551,0.15)); #542863=CARTESIAN_POINT('',(1.9,3.551,0.15)); #542864=CARTESIAN_POINT('',(1.6,3.551,0.15)); #542865=CARTESIAN_POINT('Origin',(1.6,3.551,-1.76027756664041E-18)); #542866=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #542867=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #542868=CARTESIAN_POINT('Origin',(1.9,3.3505,0.075)); #542869=CARTESIAN_POINT('Origin',(1.6,3.3505,0.075)); #542870=CARTESIAN_POINT('Origin',(1.1,3.15,0.)); #542871=CARTESIAN_POINT('',(1.1,3.3,0.)); #542872=CARTESIAN_POINT('',(1.4,3.3,0.)); #542873=CARTESIAN_POINT('Origin',(1.25,3.3,0.)); #542874=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #542875=CARTESIAN_POINT('',(1.1,3.55,0.)); #542876=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #542877=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #542878=CARTESIAN_POINT('',(1.4,3.55,0.)); #542879=CARTESIAN_POINT('Origin',(1.25,3.3,0.0625)); #542880=CARTESIAN_POINT('',(1.4,3.3,0.05)); #542881=CARTESIAN_POINT('',(1.1,3.3,0.05)); #542882=CARTESIAN_POINT('Origin',(1.25,3.3,0.05)); #542883=CARTESIAN_POINT('',(1.1,3.3,0.0625)); #542884=CARTESIAN_POINT('',(1.4,3.3,0.0625)); #542885=CARTESIAN_POINT('Origin',(1.1,3.55,0.05)); #542886=CARTESIAN_POINT('',(1.4,3.55,0.05)); #542887=CARTESIAN_POINT('',(1.4,3.15,0.05)); #542888=CARTESIAN_POINT('',(1.1,3.55,0.05)); #542889=CARTESIAN_POINT('',(1.1,3.55,0.05)); #542890=CARTESIAN_POINT('',(1.1,3.15,0.05)); #542891=CARTESIAN_POINT('Origin',(1.1,3.55,0.15)); #542892=CARTESIAN_POINT('',(1.1,3.55,0.15)); #542893=CARTESIAN_POINT('',(1.1,3.55,0.15)); #542894=CARTESIAN_POINT('',(1.4,3.55,0.15)); #542895=CARTESIAN_POINT('',(1.4,3.55,0.15)); #542896=CARTESIAN_POINT('',(1.1,3.55,0.15)); #542897=CARTESIAN_POINT('Origin',(1.1,3.551,0.15)); #542898=CARTESIAN_POINT('',(1.1,3.551,0.15)); #542899=CARTESIAN_POINT('',(1.1,3.551,0.15)); #542900=CARTESIAN_POINT('',(1.4,3.551,0.15)); #542901=CARTESIAN_POINT('',(1.4,3.551,0.15)); #542902=CARTESIAN_POINT('',(1.1,3.551,0.15)); #542903=CARTESIAN_POINT('Origin',(1.1,3.551,-1.76027756664041E-18)); #542904=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #542905=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #542906=CARTESIAN_POINT('Origin',(1.4,3.3505,0.075)); #542907=CARTESIAN_POINT('Origin',(1.1,3.3505,0.075)); #542908=CARTESIAN_POINT('Origin',(0.6,3.15,0.)); #542909=CARTESIAN_POINT('',(0.6,3.3,0.)); #542910=CARTESIAN_POINT('',(0.9,3.3,0.)); #542911=CARTESIAN_POINT('Origin',(0.75,3.3,0.)); #542912=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #542913=CARTESIAN_POINT('',(0.6,3.55,0.)); #542914=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #542915=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #542916=CARTESIAN_POINT('',(0.9,3.55,0.)); #542917=CARTESIAN_POINT('Origin',(0.75,3.3,0.0625)); #542918=CARTESIAN_POINT('',(0.9,3.3,0.05)); #542919=CARTESIAN_POINT('',(0.6,3.3,0.05)); #542920=CARTESIAN_POINT('Origin',(0.75,3.3,0.05)); #542921=CARTESIAN_POINT('',(0.6,3.3,0.0625)); #542922=CARTESIAN_POINT('',(0.9,3.3,0.0625)); #542923=CARTESIAN_POINT('Origin',(0.6,3.55,0.05)); #542924=CARTESIAN_POINT('',(0.9,3.55,0.05)); #542925=CARTESIAN_POINT('',(0.9,3.15,0.05)); #542926=CARTESIAN_POINT('',(0.6,3.55,0.05)); #542927=CARTESIAN_POINT('',(0.6,3.55,0.05)); #542928=CARTESIAN_POINT('',(0.6,3.15,0.05)); #542929=CARTESIAN_POINT('Origin',(0.6,3.55,0.15)); #542930=CARTESIAN_POINT('',(0.6,3.55,0.15)); #542931=CARTESIAN_POINT('',(0.6,3.55,0.15)); #542932=CARTESIAN_POINT('',(0.9,3.55,0.15)); #542933=CARTESIAN_POINT('',(0.9,3.55,0.15)); #542934=CARTESIAN_POINT('',(0.6,3.55,0.15)); #542935=CARTESIAN_POINT('Origin',(0.6,3.551,0.15)); #542936=CARTESIAN_POINT('',(0.6,3.551,0.15)); #542937=CARTESIAN_POINT('',(0.6,3.551,0.15)); #542938=CARTESIAN_POINT('',(0.9,3.551,0.15)); #542939=CARTESIAN_POINT('',(0.9,3.551,0.15)); #542940=CARTESIAN_POINT('',(0.6,3.551,0.15)); #542941=CARTESIAN_POINT('Origin',(0.6,3.551,-1.76027756664041E-18)); #542942=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #542943=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #542944=CARTESIAN_POINT('Origin',(0.9,3.3505,0.075)); #542945=CARTESIAN_POINT('Origin',(0.6,3.3505,0.075)); #542946=CARTESIAN_POINT('Origin',(0.1,3.15,0.)); #542947=CARTESIAN_POINT('',(0.1,3.3,0.)); #542948=CARTESIAN_POINT('',(0.4,3.3,0.)); #542949=CARTESIAN_POINT('Origin',(0.25,3.3,0.)); #542950=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #542951=CARTESIAN_POINT('',(0.1,3.55,0.)); #542952=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #542953=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #542954=CARTESIAN_POINT('',(0.4,3.55,0.)); #542955=CARTESIAN_POINT('Origin',(0.25,3.3,0.0625)); #542956=CARTESIAN_POINT('',(0.4,3.3,0.05)); #542957=CARTESIAN_POINT('',(0.1,3.3,0.05)); #542958=CARTESIAN_POINT('Origin',(0.25,3.3,0.05)); #542959=CARTESIAN_POINT('',(0.1,3.3,0.0625)); #542960=CARTESIAN_POINT('',(0.4,3.3,0.0625)); #542961=CARTESIAN_POINT('Origin',(0.1,3.55,0.05)); #542962=CARTESIAN_POINT('',(0.4,3.55,0.05)); #542963=CARTESIAN_POINT('',(0.4,3.15,0.05)); #542964=CARTESIAN_POINT('',(0.1,3.55,0.05)); #542965=CARTESIAN_POINT('',(0.1,3.55,0.05)); #542966=CARTESIAN_POINT('',(0.1,3.15,0.05)); #542967=CARTESIAN_POINT('Origin',(0.1,3.55,0.15)); #542968=CARTESIAN_POINT('',(0.1,3.55,0.15)); #542969=CARTESIAN_POINT('',(0.1,3.55,0.15)); #542970=CARTESIAN_POINT('',(0.4,3.55,0.15)); #542971=CARTESIAN_POINT('',(0.4,3.55,0.15)); #542972=CARTESIAN_POINT('',(0.1,3.55,0.15)); #542973=CARTESIAN_POINT('Origin',(0.1,3.551,0.15)); #542974=CARTESIAN_POINT('',(0.1,3.551,0.15)); #542975=CARTESIAN_POINT('',(0.1,3.551,0.15)); #542976=CARTESIAN_POINT('',(0.4,3.551,0.15)); #542977=CARTESIAN_POINT('',(0.4,3.551,0.15)); #542978=CARTESIAN_POINT('',(0.1,3.551,0.15)); #542979=CARTESIAN_POINT('Origin',(0.1,3.551,-1.76027756664041E-18)); #542980=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #542981=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #542982=CARTESIAN_POINT('Origin',(0.4,3.3505,0.075)); #542983=CARTESIAN_POINT('Origin',(0.1,3.3505,0.075)); #542984=CARTESIAN_POINT('Origin',(-0.4,3.15,0.)); #542985=CARTESIAN_POINT('',(-0.4,3.3,0.)); #542986=CARTESIAN_POINT('',(-0.1,3.3,0.)); #542987=CARTESIAN_POINT('Origin',(-0.25,3.3,0.)); #542988=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #542989=CARTESIAN_POINT('',(-0.4,3.55,0.)); #542990=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #542991=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #542992=CARTESIAN_POINT('',(-0.1,3.55,0.)); #542993=CARTESIAN_POINT('Origin',(-0.25,3.3,0.0625)); #542994=CARTESIAN_POINT('',(-0.1,3.3,0.05)); #542995=CARTESIAN_POINT('',(-0.4,3.3,0.05)); #542996=CARTESIAN_POINT('Origin',(-0.25,3.3,0.05)); #542997=CARTESIAN_POINT('',(-0.4,3.3,0.0625)); #542998=CARTESIAN_POINT('',(-0.1,3.3,0.0625)); #542999=CARTESIAN_POINT('Origin',(-0.4,3.55,0.05)); #543000=CARTESIAN_POINT('',(-0.1,3.55,0.05)); #543001=CARTESIAN_POINT('',(-0.1,3.15,0.05)); #543002=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #543003=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #543004=CARTESIAN_POINT('',(-0.4,3.15,0.05)); #543005=CARTESIAN_POINT('Origin',(-0.4,3.55,0.15)); #543006=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #543007=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #543008=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #543009=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #543010=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #543011=CARTESIAN_POINT('Origin',(-0.4,3.551,0.15)); #543012=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #543013=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #543014=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #543015=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #543016=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #543017=CARTESIAN_POINT('Origin',(-0.4,3.551,-1.76027756664041E-18)); #543018=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #543019=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #543020=CARTESIAN_POINT('Origin',(-0.1,3.3505,0.075)); #543021=CARTESIAN_POINT('Origin',(-0.4,3.3505,0.075)); #543022=CARTESIAN_POINT('Origin',(-0.9,3.15,0.)); #543023=CARTESIAN_POINT('',(-0.9,3.3,0.)); #543024=CARTESIAN_POINT('',(-0.6,3.3,0.)); #543025=CARTESIAN_POINT('Origin',(-0.75,3.3,0.)); #543026=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #543027=CARTESIAN_POINT('',(-0.9,3.55,0.)); #543028=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #543029=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #543030=CARTESIAN_POINT('',(-0.6,3.55,0.)); #543031=CARTESIAN_POINT('Origin',(-0.75,3.3,0.0625)); #543032=CARTESIAN_POINT('',(-0.6,3.3,0.05)); #543033=CARTESIAN_POINT('',(-0.9,3.3,0.05)); #543034=CARTESIAN_POINT('Origin',(-0.75,3.3,0.05)); #543035=CARTESIAN_POINT('',(-0.9,3.3,0.0625)); #543036=CARTESIAN_POINT('',(-0.6,3.3,0.0625)); #543037=CARTESIAN_POINT('Origin',(-0.9,3.55,0.05)); #543038=CARTESIAN_POINT('',(-0.6,3.55,0.05)); #543039=CARTESIAN_POINT('',(-0.6,3.15,0.05)); #543040=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #543041=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #543042=CARTESIAN_POINT('',(-0.9,3.15,0.05)); #543043=CARTESIAN_POINT('Origin',(-0.9,3.55,0.15)); #543044=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #543045=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #543046=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #543047=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #543048=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #543049=CARTESIAN_POINT('Origin',(-0.9,3.551,0.15)); #543050=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #543051=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #543052=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #543053=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #543054=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #543055=CARTESIAN_POINT('Origin',(-0.9,3.551,-1.76027756664041E-18)); #543056=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #543057=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #543058=CARTESIAN_POINT('Origin',(-0.6,3.3505,0.075)); #543059=CARTESIAN_POINT('Origin',(-0.9,3.3505,0.075)); #543060=CARTESIAN_POINT('Origin',(-1.4,3.15,0.)); #543061=CARTESIAN_POINT('',(-1.4,3.3,0.)); #543062=CARTESIAN_POINT('',(-1.1,3.3,0.)); #543063=CARTESIAN_POINT('Origin',(-1.25,3.3,0.)); #543064=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #543065=CARTESIAN_POINT('',(-1.4,3.55,0.)); #543066=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #543067=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #543068=CARTESIAN_POINT('',(-1.1,3.55,0.)); #543069=CARTESIAN_POINT('Origin',(-1.25,3.3,0.0625)); #543070=CARTESIAN_POINT('',(-1.1,3.3,0.05)); #543071=CARTESIAN_POINT('',(-1.4,3.3,0.05)); #543072=CARTESIAN_POINT('Origin',(-1.25,3.3,0.05)); #543073=CARTESIAN_POINT('',(-1.4,3.3,0.0625)); #543074=CARTESIAN_POINT('',(-1.1,3.3,0.0625)); #543075=CARTESIAN_POINT('Origin',(-1.4,3.55,0.05)); #543076=CARTESIAN_POINT('',(-1.1,3.55,0.05)); #543077=CARTESIAN_POINT('',(-1.1,3.15,0.05)); #543078=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #543079=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #543080=CARTESIAN_POINT('',(-1.4,3.15,0.05)); #543081=CARTESIAN_POINT('Origin',(-1.4,3.55,0.15)); #543082=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #543083=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #543084=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #543085=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #543086=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #543087=CARTESIAN_POINT('Origin',(-1.4,3.551,0.15)); #543088=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #543089=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #543090=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #543091=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #543092=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #543093=CARTESIAN_POINT('Origin',(-1.4,3.551,-1.76027756664041E-18)); #543094=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #543095=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #543096=CARTESIAN_POINT('Origin',(-1.1,3.3505,0.075)); #543097=CARTESIAN_POINT('Origin',(-1.4,3.3505,0.075)); #543098=CARTESIAN_POINT('Origin',(-1.9,3.15,0.)); #543099=CARTESIAN_POINT('',(-1.9,3.3,0.)); #543100=CARTESIAN_POINT('',(-1.6,3.3,0.)); #543101=CARTESIAN_POINT('Origin',(-1.75,3.3,0.)); #543102=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #543103=CARTESIAN_POINT('',(-1.9,3.55,0.)); #543104=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #543105=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #543106=CARTESIAN_POINT('',(-1.6,3.55,0.)); #543107=CARTESIAN_POINT('Origin',(-1.75,3.3,0.0625)); #543108=CARTESIAN_POINT('',(-1.6,3.3,0.05)); #543109=CARTESIAN_POINT('',(-1.9,3.3,0.05)); #543110=CARTESIAN_POINT('Origin',(-1.75,3.3,0.05)); #543111=CARTESIAN_POINT('',(-1.9,3.3,0.0625)); #543112=CARTESIAN_POINT('',(-1.6,3.3,0.0625)); #543113=CARTESIAN_POINT('Origin',(-1.9,3.55,0.05)); #543114=CARTESIAN_POINT('',(-1.6,3.55,0.05)); #543115=CARTESIAN_POINT('',(-1.6,3.15,0.05)); #543116=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #543117=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #543118=CARTESIAN_POINT('',(-1.9,3.15,0.05)); #543119=CARTESIAN_POINT('Origin',(-1.9,3.55,0.15)); #543120=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #543121=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #543122=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #543123=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #543124=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #543125=CARTESIAN_POINT('Origin',(-1.9,3.551,0.15)); #543126=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #543127=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #543128=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #543129=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #543130=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #543131=CARTESIAN_POINT('Origin',(-1.9,3.551,-1.76027756664041E-18)); #543132=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #543133=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #543134=CARTESIAN_POINT('Origin',(-1.6,3.3505,0.075)); #543135=CARTESIAN_POINT('Origin',(-1.9,3.3505,0.075)); #543136=CARTESIAN_POINT('Origin',(-2.4,3.15,0.)); #543137=CARTESIAN_POINT('',(-2.4,3.3,0.)); #543138=CARTESIAN_POINT('',(-2.1,3.3,0.)); #543139=CARTESIAN_POINT('Origin',(-2.25,3.3,0.)); #543140=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #543141=CARTESIAN_POINT('',(-2.4,3.55,0.)); #543142=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #543143=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #543144=CARTESIAN_POINT('',(-2.1,3.55,0.)); #543145=CARTESIAN_POINT('Origin',(-2.25,3.3,0.0625)); #543146=CARTESIAN_POINT('',(-2.1,3.3,0.05)); #543147=CARTESIAN_POINT('',(-2.4,3.3,0.05)); #543148=CARTESIAN_POINT('Origin',(-2.25,3.3,0.05)); #543149=CARTESIAN_POINT('',(-2.4,3.3,0.0625)); #543150=CARTESIAN_POINT('',(-2.1,3.3,0.0625)); #543151=CARTESIAN_POINT('Origin',(-2.4,3.55,0.05)); #543152=CARTESIAN_POINT('',(-2.1,3.55,0.05)); #543153=CARTESIAN_POINT('',(-2.1,3.15,0.05)); #543154=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #543155=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #543156=CARTESIAN_POINT('',(-2.4,3.15,0.05)); #543157=CARTESIAN_POINT('Origin',(-2.4,3.55,0.15)); #543158=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #543159=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #543160=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #543161=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #543162=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #543163=CARTESIAN_POINT('Origin',(-2.4,3.551,0.15)); #543164=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #543165=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #543166=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #543167=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #543168=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #543169=CARTESIAN_POINT('Origin',(-2.4,3.551,-1.76027756664041E-18)); #543170=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #543171=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #543172=CARTESIAN_POINT('Origin',(-2.1,3.3505,0.075)); #543173=CARTESIAN_POINT('Origin',(-2.4,3.3505,0.075)); #543174=CARTESIAN_POINT('Origin',(-2.9,3.15,0.)); #543175=CARTESIAN_POINT('',(-2.9,3.3,0.)); #543176=CARTESIAN_POINT('',(-2.6,3.3,0.)); #543177=CARTESIAN_POINT('Origin',(-2.75,3.3,0.)); #543178=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #543179=CARTESIAN_POINT('',(-2.9,3.55,0.)); #543180=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #543181=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #543182=CARTESIAN_POINT('',(-2.6,3.55,0.)); #543183=CARTESIAN_POINT('Origin',(-2.75,3.3,0.0625)); #543184=CARTESIAN_POINT('',(-2.6,3.3,0.05)); #543185=CARTESIAN_POINT('',(-2.9,3.3,0.05)); #543186=CARTESIAN_POINT('Origin',(-2.75,3.3,0.05)); #543187=CARTESIAN_POINT('',(-2.9,3.3,0.0625)); #543188=CARTESIAN_POINT('',(-2.6,3.3,0.0625)); #543189=CARTESIAN_POINT('Origin',(-2.9,3.55,0.05)); #543190=CARTESIAN_POINT('',(-2.6,3.55,0.05)); #543191=CARTESIAN_POINT('',(-2.6,3.15,0.05)); #543192=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #543193=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #543194=CARTESIAN_POINT('',(-2.9,3.15,0.05)); #543195=CARTESIAN_POINT('Origin',(-2.9,3.55,0.15)); #543196=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #543197=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #543198=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #543199=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #543200=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #543201=CARTESIAN_POINT('Origin',(-2.9,3.551,0.15)); #543202=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #543203=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #543204=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #543205=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #543206=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #543207=CARTESIAN_POINT('Origin',(-2.9,3.551,-1.76027756664041E-18)); #543208=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #543209=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #543210=CARTESIAN_POINT('Origin',(-2.6,3.3505,0.075)); #543211=CARTESIAN_POINT('Origin',(-2.9,3.3505,0.075)); #543212=CARTESIAN_POINT('Origin',(2.1,-3.15,0.)); #543213=CARTESIAN_POINT('',(2.1,-3.3,0.)); #543214=CARTESIAN_POINT('',(2.4,-3.3,0.)); #543215=CARTESIAN_POINT('Origin',(2.25,-3.3,0.)); #543216=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #543217=CARTESIAN_POINT('',(2.4,-3.55,0.)); #543218=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #543219=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #543220=CARTESIAN_POINT('',(2.1,-3.55,0.)); #543221=CARTESIAN_POINT('Origin',(2.25,-3.3,0.0625)); #543222=CARTESIAN_POINT('',(2.4,-3.3,0.05)); #543223=CARTESIAN_POINT('',(2.1,-3.3,0.05)); #543224=CARTESIAN_POINT('Origin',(2.25,-3.3,0.05)); #543225=CARTESIAN_POINT('',(2.4,-3.3,0.0625)); #543226=CARTESIAN_POINT('',(2.1,-3.3,0.0625)); #543227=CARTESIAN_POINT('Origin',(2.1,-3.55,0.05)); #543228=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #543229=CARTESIAN_POINT('',(2.1,-3.15,0.05)); #543230=CARTESIAN_POINT('',(2.4,-3.55,0.05)); #543231=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #543232=CARTESIAN_POINT('',(2.4,-3.15,0.05)); #543233=CARTESIAN_POINT('Origin',(2.1,-3.55,0.15)); #543234=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #543235=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #543236=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #543237=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #543238=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #543239=CARTESIAN_POINT('Origin',(2.1,-3.551,0.15)); #543240=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #543241=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #543242=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #543243=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #543244=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #543245=CARTESIAN_POINT('Origin',(2.1,-3.551,-1.76027756664041E-18)); #543246=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #543247=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #543248=CARTESIAN_POINT('Origin',(2.4,-3.3505,0.075)); #543249=CARTESIAN_POINT('Origin',(2.1,-3.3505,0.075)); #543250=CARTESIAN_POINT('Origin',(1.6,-3.15,0.)); #543251=CARTESIAN_POINT('',(1.6,-3.3,0.)); #543252=CARTESIAN_POINT('',(1.9,-3.3,0.)); #543253=CARTESIAN_POINT('Origin',(1.75,-3.3,0.)); #543254=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #543255=CARTESIAN_POINT('',(1.9,-3.55,0.)); #543256=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #543257=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #543258=CARTESIAN_POINT('',(1.6,-3.55,0.)); #543259=CARTESIAN_POINT('Origin',(1.75,-3.3,0.0625)); #543260=CARTESIAN_POINT('',(1.9,-3.3,0.05)); #543261=CARTESIAN_POINT('',(1.6,-3.3,0.05)); #543262=CARTESIAN_POINT('Origin',(1.75,-3.3,0.05)); #543263=CARTESIAN_POINT('',(1.9,-3.3,0.0625)); #543264=CARTESIAN_POINT('',(1.6,-3.3,0.0625)); #543265=CARTESIAN_POINT('Origin',(1.6,-3.55,0.05)); #543266=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #543267=CARTESIAN_POINT('',(1.6,-3.15,0.05)); #543268=CARTESIAN_POINT('',(1.9,-3.55,0.05)); #543269=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #543270=CARTESIAN_POINT('',(1.9,-3.15,0.05)); #543271=CARTESIAN_POINT('Origin',(1.6,-3.55,0.15)); #543272=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #543273=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #543274=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #543275=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #543276=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #543277=CARTESIAN_POINT('Origin',(1.6,-3.551,0.15)); #543278=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #543279=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #543280=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #543281=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #543282=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #543283=CARTESIAN_POINT('Origin',(1.6,-3.551,-1.76027756664041E-18)); #543284=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #543285=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #543286=CARTESIAN_POINT('Origin',(1.9,-3.3505,0.075)); #543287=CARTESIAN_POINT('Origin',(1.6,-3.3505,0.075)); #543288=CARTESIAN_POINT('Origin',(1.1,-3.15,0.)); #543289=CARTESIAN_POINT('',(1.1,-3.3,0.)); #543290=CARTESIAN_POINT('',(1.4,-3.3,0.)); #543291=CARTESIAN_POINT('Origin',(1.25,-3.3,0.)); #543292=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #543293=CARTESIAN_POINT('',(1.4,-3.55,0.)); #543294=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #543295=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #543296=CARTESIAN_POINT('',(1.1,-3.55,0.)); #543297=CARTESIAN_POINT('Origin',(1.25,-3.3,0.0625)); #543298=CARTESIAN_POINT('',(1.4,-3.3,0.05)); #543299=CARTESIAN_POINT('',(1.1,-3.3,0.05)); #543300=CARTESIAN_POINT('Origin',(1.25,-3.3,0.05)); #543301=CARTESIAN_POINT('',(1.4,-3.3,0.0625)); #543302=CARTESIAN_POINT('',(1.1,-3.3,0.0625)); #543303=CARTESIAN_POINT('Origin',(1.1,-3.55,0.05)); #543304=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #543305=CARTESIAN_POINT('',(1.1,-3.15,0.05)); #543306=CARTESIAN_POINT('',(1.4,-3.55,0.05)); #543307=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #543308=CARTESIAN_POINT('',(1.4,-3.15,0.05)); #543309=CARTESIAN_POINT('Origin',(1.1,-3.55,0.15)); #543310=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #543311=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #543312=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #543313=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #543314=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #543315=CARTESIAN_POINT('Origin',(1.1,-3.551,0.15)); #543316=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #543317=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #543318=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #543319=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #543320=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #543321=CARTESIAN_POINT('Origin',(1.1,-3.551,-1.76027756664041E-18)); #543322=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #543323=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #543324=CARTESIAN_POINT('Origin',(1.4,-3.3505,0.075)); #543325=CARTESIAN_POINT('Origin',(1.1,-3.3505,0.075)); #543326=CARTESIAN_POINT('Origin',(0.6,-3.15,0.)); #543327=CARTESIAN_POINT('',(0.6,-3.3,0.)); #543328=CARTESIAN_POINT('',(0.9,-3.3,0.)); #543329=CARTESIAN_POINT('Origin',(0.75,-3.3,0.)); #543330=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #543331=CARTESIAN_POINT('',(0.9,-3.55,0.)); #543332=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #543333=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #543334=CARTESIAN_POINT('',(0.6,-3.55,0.)); #543335=CARTESIAN_POINT('Origin',(0.75,-3.3,0.0625)); #543336=CARTESIAN_POINT('',(0.9,-3.3,0.05)); #543337=CARTESIAN_POINT('',(0.6,-3.3,0.05)); #543338=CARTESIAN_POINT('Origin',(0.75,-3.3,0.05)); #543339=CARTESIAN_POINT('',(0.9,-3.3,0.0625)); #543340=CARTESIAN_POINT('',(0.6,-3.3,0.0625)); #543341=CARTESIAN_POINT('Origin',(0.6,-3.55,0.05)); #543342=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #543343=CARTESIAN_POINT('',(0.6,-3.15,0.05)); #543344=CARTESIAN_POINT('',(0.9,-3.55,0.05)); #543345=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #543346=CARTESIAN_POINT('',(0.9,-3.15,0.05)); #543347=CARTESIAN_POINT('Origin',(0.6,-3.55,0.15)); #543348=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #543349=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #543350=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #543351=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #543352=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #543353=CARTESIAN_POINT('Origin',(0.6,-3.551,0.15)); #543354=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #543355=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #543356=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #543357=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #543358=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #543359=CARTESIAN_POINT('Origin',(0.6,-3.551,-1.76027756664041E-18)); #543360=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #543361=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #543362=CARTESIAN_POINT('Origin',(0.9,-3.3505,0.075)); #543363=CARTESIAN_POINT('Origin',(0.6,-3.3505,0.075)); #543364=CARTESIAN_POINT('Origin',(0.1,-3.15,0.)); #543365=CARTESIAN_POINT('',(0.1,-3.3,0.)); #543366=CARTESIAN_POINT('',(0.4,-3.3,0.)); #543367=CARTESIAN_POINT('Origin',(0.25,-3.3,0.)); #543368=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #543369=CARTESIAN_POINT('',(0.4,-3.55,0.)); #543370=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #543371=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #543372=CARTESIAN_POINT('',(0.1,-3.55,0.)); #543373=CARTESIAN_POINT('Origin',(0.25,-3.3,0.0625)); #543374=CARTESIAN_POINT('',(0.4,-3.3,0.05)); #543375=CARTESIAN_POINT('',(0.1,-3.3,0.05)); #543376=CARTESIAN_POINT('Origin',(0.25,-3.3,0.05)); #543377=CARTESIAN_POINT('',(0.4,-3.3,0.0625)); #543378=CARTESIAN_POINT('',(0.1,-3.3,0.0625)); #543379=CARTESIAN_POINT('Origin',(0.1,-3.55,0.05)); #543380=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #543381=CARTESIAN_POINT('',(0.1,-3.15,0.05)); #543382=CARTESIAN_POINT('',(0.4,-3.55,0.05)); #543383=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #543384=CARTESIAN_POINT('',(0.4,-3.15,0.05)); #543385=CARTESIAN_POINT('Origin',(0.1,-3.55,0.15)); #543386=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #543387=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #543388=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #543389=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #543390=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #543391=CARTESIAN_POINT('Origin',(0.1,-3.551,0.15)); #543392=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #543393=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #543394=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #543395=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #543396=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #543397=CARTESIAN_POINT('Origin',(0.1,-3.551,-1.76027756664041E-18)); #543398=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #543399=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #543400=CARTESIAN_POINT('Origin',(0.4,-3.3505,0.075)); #543401=CARTESIAN_POINT('Origin',(0.1,-3.3505,0.075)); #543402=CARTESIAN_POINT('Origin',(-0.4,-3.15,0.)); #543403=CARTESIAN_POINT('',(-0.4,-3.3,0.)); #543404=CARTESIAN_POINT('',(-0.1,-3.3,0.)); #543405=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.)); #543406=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #543407=CARTESIAN_POINT('',(-0.1,-3.55,0.)); #543408=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #543409=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #543410=CARTESIAN_POINT('',(-0.4,-3.55,0.)); #543411=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.0625)); #543412=CARTESIAN_POINT('',(-0.1,-3.3,0.05)); #543413=CARTESIAN_POINT('',(-0.4,-3.3,0.05)); #543414=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.05)); #543415=CARTESIAN_POINT('',(-0.1,-3.3,0.0625)); #543416=CARTESIAN_POINT('',(-0.4,-3.3,0.0625)); #543417=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.05)); #543418=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #543419=CARTESIAN_POINT('',(-0.4,-3.15,0.05)); #543420=CARTESIAN_POINT('',(-0.1,-3.55,0.05)); #543421=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #543422=CARTESIAN_POINT('',(-0.1,-3.15,0.05)); #543423=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.15)); #543424=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #543425=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #543426=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #543427=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #543428=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #543429=CARTESIAN_POINT('Origin',(-0.4,-3.551,0.15)); #543430=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #543431=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #543432=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #543433=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #543434=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #543435=CARTESIAN_POINT('Origin',(-0.4,-3.551,-1.76027756664041E-18)); #543436=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #543437=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #543438=CARTESIAN_POINT('Origin',(-0.1,-3.3505,0.075)); #543439=CARTESIAN_POINT('Origin',(-0.4,-3.3505,0.075)); #543440=CARTESIAN_POINT('Origin',(-0.9,-3.15,0.)); #543441=CARTESIAN_POINT('',(-0.9,-3.3,0.)); #543442=CARTESIAN_POINT('',(-0.6,-3.3,0.)); #543443=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.)); #543444=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #543445=CARTESIAN_POINT('',(-0.6,-3.55,0.)); #543446=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #543447=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #543448=CARTESIAN_POINT('',(-0.9,-3.55,0.)); #543449=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.0625)); #543450=CARTESIAN_POINT('',(-0.6,-3.3,0.05)); #543451=CARTESIAN_POINT('',(-0.9,-3.3,0.05)); #543452=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.05)); #543453=CARTESIAN_POINT('',(-0.6,-3.3,0.0625)); #543454=CARTESIAN_POINT('',(-0.9,-3.3,0.0625)); #543455=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.05)); #543456=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #543457=CARTESIAN_POINT('',(-0.9,-3.15,0.05)); #543458=CARTESIAN_POINT('',(-0.6,-3.55,0.05)); #543459=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #543460=CARTESIAN_POINT('',(-0.6,-3.15,0.05)); #543461=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.15)); #543462=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #543463=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #543464=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #543465=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #543466=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #543467=CARTESIAN_POINT('Origin',(-0.9,-3.551,0.15)); #543468=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #543469=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #543470=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #543471=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #543472=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #543473=CARTESIAN_POINT('Origin',(-0.9,-3.551,-1.76027756664041E-18)); #543474=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #543475=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #543476=CARTESIAN_POINT('Origin',(-0.6,-3.3505,0.075)); #543477=CARTESIAN_POINT('Origin',(-0.9,-3.3505,0.075)); #543478=CARTESIAN_POINT('Origin',(-1.4,-3.15,0.)); #543479=CARTESIAN_POINT('',(-1.4,-3.3,0.)); #543480=CARTESIAN_POINT('',(-1.1,-3.3,0.)); #543481=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.)); #543482=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #543483=CARTESIAN_POINT('',(-1.1,-3.55,0.)); #543484=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #543485=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #543486=CARTESIAN_POINT('',(-1.4,-3.55,0.)); #543487=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.0625)); #543488=CARTESIAN_POINT('',(-1.1,-3.3,0.05)); #543489=CARTESIAN_POINT('',(-1.4,-3.3,0.05)); #543490=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.05)); #543491=CARTESIAN_POINT('',(-1.1,-3.3,0.0625)); #543492=CARTESIAN_POINT('',(-1.4,-3.3,0.0625)); #543493=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.05)); #543494=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #543495=CARTESIAN_POINT('',(-1.4,-3.15,0.05)); #543496=CARTESIAN_POINT('',(-1.1,-3.55,0.05)); #543497=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #543498=CARTESIAN_POINT('',(-1.1,-3.15,0.05)); #543499=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.15)); #543500=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #543501=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #543502=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #543503=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #543504=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #543505=CARTESIAN_POINT('Origin',(-1.4,-3.551,0.15)); #543506=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #543507=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #543508=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #543509=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #543510=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #543511=CARTESIAN_POINT('Origin',(-1.4,-3.551,-1.76027756664041E-18)); #543512=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #543513=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #543514=CARTESIAN_POINT('Origin',(-1.1,-3.3505,0.075)); #543515=CARTESIAN_POINT('Origin',(-1.4,-3.3505,0.075)); #543516=CARTESIAN_POINT('Origin',(-1.9,-3.15,0.)); #543517=CARTESIAN_POINT('',(-1.9,-3.3,0.)); #543518=CARTESIAN_POINT('',(-1.6,-3.3,0.)); #543519=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.)); #543520=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #543521=CARTESIAN_POINT('',(-1.6,-3.55,0.)); #543522=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #543523=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #543524=CARTESIAN_POINT('',(-1.9,-3.55,0.)); #543525=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.0625)); #543526=CARTESIAN_POINT('',(-1.6,-3.3,0.05)); #543527=CARTESIAN_POINT('',(-1.9,-3.3,0.05)); #543528=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.05)); #543529=CARTESIAN_POINT('',(-1.6,-3.3,0.0625)); #543530=CARTESIAN_POINT('',(-1.9,-3.3,0.0625)); #543531=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.05)); #543532=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #543533=CARTESIAN_POINT('',(-1.9,-3.15,0.05)); #543534=CARTESIAN_POINT('',(-1.6,-3.55,0.05)); #543535=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #543536=CARTESIAN_POINT('',(-1.6,-3.15,0.05)); #543537=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.15)); #543538=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #543539=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #543540=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #543541=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #543542=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #543543=CARTESIAN_POINT('Origin',(-1.9,-3.551,0.15)); #543544=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #543545=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #543546=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #543547=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #543548=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #543549=CARTESIAN_POINT('Origin',(-1.9,-3.551,-1.76027756664041E-18)); #543550=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #543551=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #543552=CARTESIAN_POINT('Origin',(-1.6,-3.3505,0.075)); #543553=CARTESIAN_POINT('Origin',(-1.9,-3.3505,0.075)); #543554=CARTESIAN_POINT('Origin',(-2.4,-3.15,0.)); #543555=CARTESIAN_POINT('',(-2.4,-3.3,0.)); #543556=CARTESIAN_POINT('',(-2.1,-3.3,0.)); #543557=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.)); #543558=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #543559=CARTESIAN_POINT('',(-2.1,-3.55,0.)); #543560=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #543561=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #543562=CARTESIAN_POINT('',(-2.4,-3.55,0.)); #543563=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.0625)); #543564=CARTESIAN_POINT('',(-2.1,-3.3,0.05)); #543565=CARTESIAN_POINT('',(-2.4,-3.3,0.05)); #543566=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.05)); #543567=CARTESIAN_POINT('',(-2.1,-3.3,0.0625)); #543568=CARTESIAN_POINT('',(-2.4,-3.3,0.0625)); #543569=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.05)); #543570=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #543571=CARTESIAN_POINT('',(-2.4,-3.15,0.05)); #543572=CARTESIAN_POINT('',(-2.1,-3.55,0.05)); #543573=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #543574=CARTESIAN_POINT('',(-2.1,-3.15,0.05)); #543575=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.15)); #543576=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #543577=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #543578=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #543579=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #543580=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #543581=CARTESIAN_POINT('Origin',(-2.4,-3.551,0.15)); #543582=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #543583=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #543584=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #543585=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #543586=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #543587=CARTESIAN_POINT('Origin',(-2.4,-3.551,-1.76027756664041E-18)); #543588=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #543589=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #543590=CARTESIAN_POINT('Origin',(-2.1,-3.3505,0.075)); #543591=CARTESIAN_POINT('Origin',(-2.4,-3.3505,0.075)); #543592=CARTESIAN_POINT('Origin',(-2.9,-3.15,0.)); #543593=CARTESIAN_POINT('',(-2.9,-3.3,0.)); #543594=CARTESIAN_POINT('',(-2.6,-3.3,0.)); #543595=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.)); #543596=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #543597=CARTESIAN_POINT('',(-2.6,-3.55,0.)); #543598=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #543599=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #543600=CARTESIAN_POINT('',(-2.9,-3.55,0.)); #543601=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.0625)); #543602=CARTESIAN_POINT('',(-2.6,-3.3,0.05)); #543603=CARTESIAN_POINT('',(-2.9,-3.3,0.05)); #543604=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.05)); #543605=CARTESIAN_POINT('',(-2.6,-3.3,0.0625)); #543606=CARTESIAN_POINT('',(-2.9,-3.3,0.0625)); #543607=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.05)); #543608=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #543609=CARTESIAN_POINT('',(-2.9,-3.15,0.05)); #543610=CARTESIAN_POINT('',(-2.6,-3.55,0.05)); #543611=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #543612=CARTESIAN_POINT('',(-2.6,-3.15,0.05)); #543613=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.15)); #543614=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #543615=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #543616=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #543617=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #543618=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #543619=CARTESIAN_POINT('Origin',(-2.9,-3.551,0.15)); #543620=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #543621=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #543622=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #543623=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #543624=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #543625=CARTESIAN_POINT('Origin',(-2.9,-3.551,-1.76027756664041E-18)); #543626=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #543627=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #543628=CARTESIAN_POINT('Origin',(-2.6,-3.3505,0.075)); #543629=CARTESIAN_POINT('Origin',(-2.9,-3.3505,0.075)); #543630=CARTESIAN_POINT('Origin',(-2.7,-2.7,0.)); #543631=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #543632=CARTESIAN_POINT('',(2.7,-2.7,0.)); #543633=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #543634=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #543635=CARTESIAN_POINT('',(2.7,-2.7,0.)); #543636=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #543637=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #543638=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #543639=CARTESIAN_POINT('Origin',(-2.7,2.7,0.)); #543640=CARTESIAN_POINT('',(-2.7,2.7,0.)); #543641=CARTESIAN_POINT('',(-2.7,2.7,0.)); #543642=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #543643=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #543644=CARTESIAN_POINT('',(-2.7,2.7,0.)); #543645=CARTESIAN_POINT('Origin',(2.7,2.7,0.)); #543646=CARTESIAN_POINT('',(2.7,2.7,0.)); #543647=CARTESIAN_POINT('',(2.7,2.7,0.)); #543648=CARTESIAN_POINT('',(2.7,2.7,0.05)); #543649=CARTESIAN_POINT('',(2.7,2.7,0.05)); #543650=CARTESIAN_POINT('',(2.7,2.7,0.)); #543651=CARTESIAN_POINT('Origin',(2.7,-2.7,0.)); #543652=CARTESIAN_POINT('',(2.7,-2.7,0.)); #543653=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #543654=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #543655=CARTESIAN_POINT('Origin',(0.,0.,0.)); #543656=CARTESIAN_POINT('',(0.,0.,0.)); #543657=CARTESIAN_POINT('',(0.,0.,0.)); #543658=CARTESIAN_POINT('',(0.,0.,0.)); #543659=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #543660=CARTESIAN_POINT('',(-1.6,-0.81,0.51)); #543661=CARTESIAN_POINT('',(-1.56,-0.81,0.55)); #543662=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #543663=CARTESIAN_POINT('',(-1.56,-0.85,0.51)); #543664=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #543665=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #543666=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #543667=CARTESIAN_POINT('',(-0.99,-0.85,0.51)); #543668=CARTESIAN_POINT('',(-0.99,-0.81,0.55)); #543669=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #543670=CARTESIAN_POINT('',(-0.95,-0.81,0.51)); #543671=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #543672=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #543673=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #543674=CARTESIAN_POINT('',(-1.6,-0.81,0.04)); #543675=CARTESIAN_POINT('',(-1.56,-0.85,0.04)); #543676=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #543677=CARTESIAN_POINT('',(-1.56,-0.81,0.)); #543678=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #543679=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #543680=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #543681=CARTESIAN_POINT('',(-1.56,0.85,0.51)); #543682=CARTESIAN_POINT('',(-1.56,0.81,0.55)); #543683=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #543684=CARTESIAN_POINT('',(-1.6,0.81,0.51)); #543685=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #543686=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #543687=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #543688=CARTESIAN_POINT('',(-0.99,-0.85,0.04)); #543689=CARTESIAN_POINT('',(-0.95,-0.81,0.04)); #543690=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #543691=CARTESIAN_POINT('',(-0.99,-0.81,0.)); #543692=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #543693=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #543694=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.04)); #543695=CARTESIAN_POINT('',(-1.4375,-0.85,0.04)); #543696=CARTESIAN_POINT('',(-1.4375,-0.81,0.)); #543697=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #543698=CARTESIAN_POINT('',(-0.95,0.81,0.51)); #543699=CARTESIAN_POINT('',(-0.99,0.81,0.55)); #543700=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #543701=CARTESIAN_POINT('',(-0.99,0.85,0.51)); #543702=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #543703=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #543704=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #543705=CARTESIAN_POINT('',(-1.56,0.81,0.)); #543706=CARTESIAN_POINT('',(-1.56,0.85,0.04)); #543707=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #543708=CARTESIAN_POINT('',(-1.6,0.81,0.04)); #543709=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #543710=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #543711=CARTESIAN_POINT('Origin',(-1.56,0.425,0.04)); #543712=CARTESIAN_POINT('',(-1.6,0.425,0.04)); #543713=CARTESIAN_POINT('',(-1.56,0.425,0.)); #543714=CARTESIAN_POINT('Origin',(-1.56,0.81,0.)); #543715=CARTESIAN_POINT('',(-1.56,0.85,0.)); #543716=CARTESIAN_POINT('',(-1.6,0.81,0.)); #543717=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #543718=CARTESIAN_POINT('',(-0.95,0.81,0.04)); #543719=CARTESIAN_POINT('',(-0.99,0.85,0.04)); #543720=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #543721=CARTESIAN_POINT('',(-0.99,0.81,0.)); #543722=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #543723=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #543724=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.04)); #543725=CARTESIAN_POINT('',(-0.99,-0.425,0.)); #543726=CARTESIAN_POINT('',(-0.95,-0.425,0.04)); #543727=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.04)); #543728=CARTESIAN_POINT('',(-1.1125,0.85,0.04)); #543729=CARTESIAN_POINT('',(-1.1125,0.81,0.)); #543730=CARTESIAN_POINT('Origin',(-0.99,0.81,0.)); #543731=CARTESIAN_POINT('',(-0.95,0.81,0.)); #543732=CARTESIAN_POINT('',(-0.99,0.85,0.)); #543733=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.51)); #543734=CARTESIAN_POINT('',(-1.1125,0.81,0.55)); #543735=CARTESIAN_POINT('',(-1.1125,0.85,0.51)); #543736=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.51)); #543737=CARTESIAN_POINT('',(-0.99,-0.425,0.55)); #543738=CARTESIAN_POINT('',(-0.95,-0.425,0.51)); #543739=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.)); #543740=CARTESIAN_POINT('',(-0.99,-0.85,0.)); #543741=CARTESIAN_POINT('',(-0.95,-0.81,0.)); #543742=CARTESIAN_POINT('Origin',(-1.56,0.425,0.51)); #543743=CARTESIAN_POINT('',(-1.56,0.425,0.55)); #543744=CARTESIAN_POINT('',(-1.6,0.425,0.51)); #543745=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.)); #543746=CARTESIAN_POINT('',(-1.6,-0.81,0.)); #543747=CARTESIAN_POINT('',(-1.56,-0.85,0.)); #543748=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.51)); #543749=CARTESIAN_POINT('',(-1.4375,-0.81,0.55)); #543750=CARTESIAN_POINT('',(-1.4375,-0.85,0.51)); #543751=CARTESIAN_POINT('Origin',(-1.6,-0.85,0.)); #543752=CARTESIAN_POINT('Origin',(-1.275,0.,0.)); #543753=CARTESIAN_POINT('Origin',(-1.6,0.85,0.)); #543754=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #543755=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #543756=CARTESIAN_POINT('Origin',(-1.275,0.,0.55)); #543757=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #543758=CARTESIAN_POINT('',(0.95,-0.81,0.51)); #543759=CARTESIAN_POINT('',(0.99,-0.81,0.55)); #543760=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #543761=CARTESIAN_POINT('',(0.99,-0.85,0.51)); #543762=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #543763=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #543764=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #543765=CARTESIAN_POINT('',(1.56,-0.85,0.51)); #543766=CARTESIAN_POINT('',(1.56,-0.81,0.55)); #543767=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #543768=CARTESIAN_POINT('',(1.6,-0.81,0.51)); #543769=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #543770=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #543771=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #543772=CARTESIAN_POINT('',(0.95,-0.81,0.04)); #543773=CARTESIAN_POINT('',(0.99,-0.85,0.04)); #543774=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #543775=CARTESIAN_POINT('',(0.99,-0.81,0.)); #543776=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #543777=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #543778=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #543779=CARTESIAN_POINT('',(0.99,0.85,0.51)); #543780=CARTESIAN_POINT('',(0.99,0.81,0.55)); #543781=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #543782=CARTESIAN_POINT('',(0.95,0.81,0.51)); #543783=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #543784=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #543785=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #543786=CARTESIAN_POINT('',(1.56,-0.85,0.04)); #543787=CARTESIAN_POINT('',(1.6,-0.81,0.04)); #543788=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #543789=CARTESIAN_POINT('',(1.56,-0.81,0.)); #543790=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #543791=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #543792=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.04)); #543793=CARTESIAN_POINT('',(1.1125,-0.85,0.04)); #543794=CARTESIAN_POINT('',(1.1125,-0.81,0.)); #543795=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #543796=CARTESIAN_POINT('',(1.6,0.81,0.51)); #543797=CARTESIAN_POINT('',(1.56,0.81,0.55)); #543798=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #543799=CARTESIAN_POINT('',(1.56,0.85,0.51)); #543800=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #543801=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #543802=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #543803=CARTESIAN_POINT('',(0.99,0.81,0.)); #543804=CARTESIAN_POINT('',(0.99,0.85,0.04)); #543805=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #543806=CARTESIAN_POINT('',(0.95,0.81,0.04)); #543807=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #543808=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #543809=CARTESIAN_POINT('Origin',(0.99,0.425,0.04)); #543810=CARTESIAN_POINT('',(0.95,0.425,0.04)); #543811=CARTESIAN_POINT('',(0.99,0.425,0.)); #543812=CARTESIAN_POINT('Origin',(0.99,0.81,0.)); #543813=CARTESIAN_POINT('',(0.99,0.85,0.)); #543814=CARTESIAN_POINT('',(0.95,0.81,0.)); #543815=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #543816=CARTESIAN_POINT('',(1.6,0.81,0.04)); #543817=CARTESIAN_POINT('',(1.56,0.85,0.04)); #543818=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #543819=CARTESIAN_POINT('',(1.56,0.81,0.)); #543820=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #543821=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #543822=CARTESIAN_POINT('Origin',(1.56,-0.425,0.04)); #543823=CARTESIAN_POINT('',(1.6,-0.425,0.04)); #543824=CARTESIAN_POINT('',(1.56,-0.425,0.)); #543825=CARTESIAN_POINT('Origin',(1.4375,0.81,0.04)); #543826=CARTESIAN_POINT('',(1.4375,0.85,0.04)); #543827=CARTESIAN_POINT('',(1.4375,0.81,0.)); #543828=CARTESIAN_POINT('Origin',(1.56,0.81,0.)); #543829=CARTESIAN_POINT('',(1.6,0.81,0.)); #543830=CARTESIAN_POINT('',(1.56,0.85,0.)); #543831=CARTESIAN_POINT('Origin',(1.4375,0.81,0.51)); #543832=CARTESIAN_POINT('',(1.4375,0.81,0.55)); #543833=CARTESIAN_POINT('',(1.4375,0.85,0.51)); #543834=CARTESIAN_POINT('Origin',(1.56,-0.425,0.51)); #543835=CARTESIAN_POINT('',(1.56,-0.425,0.55)); #543836=CARTESIAN_POINT('',(1.6,-0.425,0.51)); #543837=CARTESIAN_POINT('Origin',(1.56,-0.81,0.)); #543838=CARTESIAN_POINT('',(1.56,-0.85,0.)); #543839=CARTESIAN_POINT('',(1.6,-0.81,0.)); #543840=CARTESIAN_POINT('Origin',(0.99,0.425,0.51)); #543841=CARTESIAN_POINT('',(0.99,0.425,0.55)); #543842=CARTESIAN_POINT('',(0.95,0.425,0.51)); #543843=CARTESIAN_POINT('Origin',(0.99,-0.81,0.)); #543844=CARTESIAN_POINT('',(0.95,-0.81,0.)); #543845=CARTESIAN_POINT('',(0.99,-0.85,0.)); #543846=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.51)); #543847=CARTESIAN_POINT('',(1.1125,-0.81,0.55)); #543848=CARTESIAN_POINT('',(1.1125,-0.85,0.51)); #543849=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #543850=CARTESIAN_POINT('Origin',(1.275,0.,0.)); #543851=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #543852=CARTESIAN_POINT('Origin',(1.6,0.85,0.)); #543853=CARTESIAN_POINT('Origin',(1.6,-0.85,0.)); #543854=CARTESIAN_POINT('Origin',(1.275,0.,0.55)); #543855=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #543856=CARTESIAN_POINT('',(0.95,0.85,0.)); #543857=CARTESIAN_POINT('',(0.95,-0.85,0.)); #543858=CARTESIAN_POINT('',(0.95,0.85,0.)); #543859=CARTESIAN_POINT('',(0.95,0.85,0.55)); #543860=CARTESIAN_POINT('',(0.95,0.85,0.)); #543861=CARTESIAN_POINT('',(0.95,-0.85,0.55)); #543862=CARTESIAN_POINT('',(0.95,0.85,0.55)); #543863=CARTESIAN_POINT('',(0.95,-0.85,0.)); #543864=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #543865=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #543866=CARTESIAN_POINT('',(-1.6,-0.85,0.)); #543867=CARTESIAN_POINT('',(-0.95,-0.85,0.55)); #543868=CARTESIAN_POINT('',(-1.6,-0.85,0.55)); #543869=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #543870=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #543871=CARTESIAN_POINT('',(-0.95,0.85,0.)); #543872=CARTESIAN_POINT('',(-0.95,0.85,0.)); #543873=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #543874=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #543875=CARTESIAN_POINT('',(-0.95,0.85,0.)); #543876=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #543877=CARTESIAN_POINT('',(1.6,0.85,0.)); #543878=CARTESIAN_POINT('',(1.6,0.85,0.55)); #543879=CARTESIAN_POINT('Origin',(0.,0.,0.55)); #543880=CARTESIAN_POINT('Origin',(0.,0.,0.)); #543881=CARTESIAN_POINT('',(0.,0.,0.)); #543882=CARTESIAN_POINT('',(0.,0.,0.)); #543883=CARTESIAN_POINT('',(0.,0.,0.)); #543884=CARTESIAN_POINT('Origin',(-1.4225,0.475,0.9)); #543885=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #543886=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #543887=CARTESIAN_POINT('',(-1.395,0.475,1.1)); #543888=CARTESIAN_POINT('',(-1.45,0.95,0.7)); #543889=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #543890=CARTESIAN_POINT('',(-1.45,-0.95,0.7)); #543891=CARTESIAN_POINT('',(-1.45,0.475,0.7)); #543892=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #543893=CARTESIAN_POINT('Origin',(0.725,0.9225,0.9)); #543894=CARTESIAN_POINT('',(1.395,0.895,1.1)); #543895=CARTESIAN_POINT('',(0.725,0.895,1.1)); #543896=CARTESIAN_POINT('',(1.45,0.95,0.7)); #543897=CARTESIAN_POINT('',(1.395,0.895,1.1)); #543898=CARTESIAN_POINT('',(0.725,0.95,0.7)); #543899=CARTESIAN_POINT('Origin',(1.4225,-0.475,0.9)); #543900=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #543901=CARTESIAN_POINT('',(1.395,-0.475,1.1)); #543902=CARTESIAN_POINT('',(1.45,-0.95,0.7)); #543903=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #543904=CARTESIAN_POINT('',(1.45,-0.475,0.7)); #543905=CARTESIAN_POINT('Origin',(-0.725,-0.9225,0.9)); #543906=CARTESIAN_POINT('',(-0.725,-0.95,0.7)); #543907=CARTESIAN_POINT('',(-0.725,-0.895,1.1)); #543908=CARTESIAN_POINT('Origin',(-1.45,-0.95,0.15)); #543909=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #543910=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #543911=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #543912=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #543913=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #543914=CARTESIAN_POINT('Origin',(-1.45,0.95,0.15)); #543915=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #543916=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #543917=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #543918=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #543919=CARTESIAN_POINT('Origin',(1.45,0.95,0.15)); #543920=CARTESIAN_POINT('',(1.45,0.95,0.15)); #543921=CARTESIAN_POINT('',(1.45,0.95,0.15)); #543922=CARTESIAN_POINT('',(1.45,0.95,0.15)); #543923=CARTESIAN_POINT('Origin',(1.45,-0.95,0.15)); #543924=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #543925=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #543926=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #543927=CARTESIAN_POINT('',(1.45,0.55,0.)); #543928=CARTESIAN_POINT('',(1.45,-0.55,0.)); #543929=CARTESIAN_POINT('',(1.45,0.,0.)); #543930=CARTESIAN_POINT('',(1.45,0.55,0.15)); #543931=CARTESIAN_POINT('',(1.45,0.55,0.)); #543932=CARTESIAN_POINT('',(1.45,-0.55,0.15)); #543933=CARTESIAN_POINT('',(1.45,0.,0.15)); #543934=CARTESIAN_POINT('',(1.45,-0.55,0.)); #543935=CARTESIAN_POINT('Origin',(0.7,-0.55,0.)); #543936=CARTESIAN_POINT('',(0.7,-0.55,0.)); #543937=CARTESIAN_POINT('',(0.7,-0.55,0.)); #543938=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #543939=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #543940=CARTESIAN_POINT('',(0.7,-0.55,0.)); #543941=CARTESIAN_POINT('Origin',(0.7,0.55,0.)); #543942=CARTESIAN_POINT('',(0.7,0.55,0.)); #543943=CARTESIAN_POINT('',(0.7,0.55,0.)); #543944=CARTESIAN_POINT('',(0.7,0.55,0.15)); #543945=CARTESIAN_POINT('',(0.7,0.55,0.15)); #543946=CARTESIAN_POINT('',(0.7,0.55,0.)); #543947=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #543948=CARTESIAN_POINT('',(1.6,0.55,0.)); #543949=CARTESIAN_POINT('',(1.6,0.55,0.15)); #543950=CARTESIAN_POINT('Origin',(1.075,0.,0.15)); #543951=CARTESIAN_POINT('Origin',(1.075,0.,0.)); #543952=CARTESIAN_POINT('Origin',(1.6,0.55,0.)); #543953=CARTESIAN_POINT('',(1.6,0.55,0.)); #543954=CARTESIAN_POINT('',(1.45,0.55,0.)); #543955=CARTESIAN_POINT('',(1.6,0.55,0.)); #543956=CARTESIAN_POINT('',(1.45,0.55,0.7)); #543957=CARTESIAN_POINT('',(1.45,0.55,0.)); #543958=CARTESIAN_POINT('',(1.6,0.55,0.7)); #543959=CARTESIAN_POINT('',(1.6,0.55,0.7)); #543960=CARTESIAN_POINT('',(1.6,0.55,0.)); #543961=CARTESIAN_POINT('Origin',(1.6,-0.55,0.)); #543962=CARTESIAN_POINT('',(1.6,-0.55,0.)); #543963=CARTESIAN_POINT('',(1.6,-0.55,0.)); #543964=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #543965=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #543966=CARTESIAN_POINT('',(1.6,-0.55,0.)); #543967=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #543968=CARTESIAN_POINT('',(1.45,-0.55,0.)); #543969=CARTESIAN_POINT('',(0.7,-0.55,0.)); #543970=CARTESIAN_POINT('',(1.45,-0.55,0.7)); #543971=CARTESIAN_POINT('',(0.7,-0.55,0.7)); #543972=CARTESIAN_POINT('',(1.45,-0.55,0.)); #543973=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #543974=CARTESIAN_POINT('',(1.45,0.,0.)); #543975=CARTESIAN_POINT('',(1.45,0.,0.7)); #543976=CARTESIAN_POINT('Origin',(1.525,0.,0.7)); #543977=CARTESIAN_POINT('Origin',(1.525,0.,0.)); #543978=CARTESIAN_POINT('Origin',(-0.7,0.55,0.)); #543979=CARTESIAN_POINT('',(-1.45,0.55,0.)); #543980=CARTESIAN_POINT('',(-0.7,0.55,0.)); #543981=CARTESIAN_POINT('',(-1.6,0.55,0.)); #543982=CARTESIAN_POINT('',(-1.45,0.55,0.15)); #543983=CARTESIAN_POINT('',(-1.45,0.55,0.)); #543984=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #543985=CARTESIAN_POINT('',(-1.6,0.55,0.15)); #543986=CARTESIAN_POINT('',(-0.7,0.55,0.)); #543987=CARTESIAN_POINT('Origin',(-0.7,-0.55,0.)); #543988=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #543989=CARTESIAN_POINT('',(-0.7,0.55,0.)); #543990=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #543991=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #543992=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #543993=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #543994=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #543995=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #543996=CARTESIAN_POINT('',(-1.45,-0.55,0.15)); #543997=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #543998=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #543999=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #544000=CARTESIAN_POINT('',(-1.45,0.,0.)); #544001=CARTESIAN_POINT('',(-1.45,0.,0.15)); #544002=CARTESIAN_POINT('Origin',(-1.075,0.,0.15)); #544003=CARTESIAN_POINT('Origin',(-1.075,0.,0.)); #544004=CARTESIAN_POINT('Origin',(-1.6,-0.55,0.)); #544005=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #544006=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #544007=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #544008=CARTESIAN_POINT('',(-1.45,-0.55,0.7)); #544009=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #544010=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #544011=CARTESIAN_POINT('',(-0.7,-0.55,0.7)); #544012=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #544013=CARTESIAN_POINT('Origin',(-1.6,0.55,0.)); #544014=CARTESIAN_POINT('',(-1.6,0.55,0.)); #544015=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #544016=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #544017=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #544018=CARTESIAN_POINT('',(-1.6,0.55,0.)); #544019=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #544020=CARTESIAN_POINT('',(-1.45,0.55,0.)); #544021=CARTESIAN_POINT('',(-1.6,0.55,0.)); #544022=CARTESIAN_POINT('',(-1.45,0.55,0.7)); #544023=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #544024=CARTESIAN_POINT('',(-1.45,0.55,0.)); #544025=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #544026=CARTESIAN_POINT('',(-1.45,0.,0.)); #544027=CARTESIAN_POINT('',(-1.45,0.,0.7)); #544028=CARTESIAN_POINT('Origin',(-1.525,0.,0.7)); #544029=CARTESIAN_POINT('Origin',(-1.525,0.,0.)); #544030=CARTESIAN_POINT('Origin',(-0.105,-0.895,1.1)); #544031=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #544032=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #544033=CARTESIAN_POINT('',(-0.105,0.,1.1)); #544034=CARTESIAN_POINT('',(-0.105,0.895,1.102)); #544035=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #544036=CARTESIAN_POINT('',(-0.105,-0.895,1.102)); #544037=CARTESIAN_POINT('',(-0.105,0.,1.102)); #544038=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #544039=CARTESIAN_POINT('Origin',(-1.39499999999999,-0.895000000000007, 1.1)); #544040=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #544041=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #544042=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #544043=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #544044=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #544045=CARTESIAN_POINT('Origin',(-1.39499999999999,0.894999999999993,1.1)); #544046=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #544047=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #544048=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #544049=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #544050=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #544051=CARTESIAN_POINT('Origin',(-0.105,0.894999999999993,1.1)); #544052=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.1)); #544053=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.102)); #544054=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.102)); #544055=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.1)); #544056=CARTESIAN_POINT('',(0.,0.,0.)); #544057=CARTESIAN_POINT('',(0.,0.,0.)); #544058=CARTESIAN_POINT('',(0.,0.,0.)); #544059=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #544060=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #544061=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #544062=CARTESIAN_POINT('',(-1.6205,1.951,1.575)); #544063=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #544064=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #544065=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #544066=CARTESIAN_POINT('Origin',(-1.85,1.2625,1.3875)); #544067=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #544068=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #544069=CARTESIAN_POINT('',(-1.705,1.2625,1.75)); #544070=CARTESIAN_POINT('',(-1.995,2.525,1.025)); #544071=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #544072=CARTESIAN_POINT('',(-1.995,-2.525,1.025)); #544073=CARTESIAN_POINT('',(-1.995,1.2625,1.025)); #544074=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #544075=CARTESIAN_POINT('Origin',(0.9975,2.38,1.3875)); #544076=CARTESIAN_POINT('',(1.705,2.235,1.75)); #544077=CARTESIAN_POINT('',(0.9975,2.235,1.75)); #544078=CARTESIAN_POINT('',(1.995,2.525,1.025)); #544079=CARTESIAN_POINT('',(1.705,2.235,1.75)); #544080=CARTESIAN_POINT('',(0.9975,2.525,1.025)); #544081=CARTESIAN_POINT('Origin',(1.85,-1.2625,1.3875)); #544082=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #544083=CARTESIAN_POINT('',(1.705,-1.2625,1.75)); #544084=CARTESIAN_POINT('',(1.995,-2.525,1.025)); #544085=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #544086=CARTESIAN_POINT('',(1.995,-1.2625,1.025)); #544087=CARTESIAN_POINT('Origin',(-0.9975,-2.38,1.3875)); #544088=CARTESIAN_POINT('',(-0.9975,-2.525,1.025)); #544089=CARTESIAN_POINT('',(-0.9975,-2.235,1.75)); #544090=CARTESIAN_POINT('Origin',(-1.995,-2.525,0.1)); #544091=CARTESIAN_POINT('',(-1.995,-2.525,0.825)); #544092=CARTESIAN_POINT('',(-1.995,-2.525,0.1)); #544093=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #544094=CARTESIAN_POINT('',(-0.9975,-2.525,0.825)); #544095=CARTESIAN_POINT('',(1.995,-2.525,0.1)); #544096=CARTESIAN_POINT('Origin',(-1.995,2.525,0.1)); #544097=CARTESIAN_POINT('',(-1.995,2.525,0.825)); #544098=CARTESIAN_POINT('',(-1.995,2.525,0.1)); #544099=CARTESIAN_POINT('',(-1.995,1.2625,0.825)); #544100=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #544101=CARTESIAN_POINT('Origin',(1.85,-1.2625,0.4625)); #544102=CARTESIAN_POINT('',(1.995,2.525,0.825)); #544103=CARTESIAN_POINT('',(1.995,-1.2625,0.825)); #544104=CARTESIAN_POINT('',(1.705,-2.235,0.1)); #544105=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #544106=CARTESIAN_POINT('',(1.705,2.235,0.1)); #544107=CARTESIAN_POINT('',(1.705,-1.2625,0.1)); #544108=CARTESIAN_POINT('',(1.705,2.235,0.1)); #544109=CARTESIAN_POINT('Origin',(-0.9975,-2.38,0.4625)); #544110=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #544111=CARTESIAN_POINT('',(-0.9975,-2.235,0.1)); #544112=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #544113=CARTESIAN_POINT('Origin',(0.9975,2.38,0.4625)); #544114=CARTESIAN_POINT('',(0.9975,2.525,0.825)); #544115=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #544116=CARTESIAN_POINT('',(0.9975,2.235,0.1)); #544117=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #544118=CARTESIAN_POINT('Origin',(-1.85,1.2625,0.4625)); #544119=CARTESIAN_POINT('',(-1.705,1.2625,0.1)); #544120=CARTESIAN_POINT('Origin',(1.995,-2.525,0.1)); #544121=CARTESIAN_POINT('',(1.995,2.525,0.1)); #544122=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #544123=CARTESIAN_POINT('Origin',(1.995,2.525,0.1)); #544124=CARTESIAN_POINT('Origin',(-2.5475,1.65,0.5125)); #544125=CARTESIAN_POINT('',(-2.465,1.65,-1.04083408558608E-16)); #544126=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #544127=CARTESIAN_POINT('Origin',(-2.465,1.65,0.200000000000001)); #544128=CARTESIAN_POINT('',(-2.14428436552253,1.65,0.784790282736416)); #544129=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #544130=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #544131=CARTESIAN_POINT('Origin',(-2.09525226346787,1.65,0.774999829834903)); #544132=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #544133=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #544134=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #544135=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #544136=CARTESIAN_POINT('',(-2.14815615444089,1.65,1.02499973984031)); #544137=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #544138=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #544139=CARTESIAN_POINT('Origin',(-2.148155814966,1.65,0.824999739840563)); #544140=CARTESIAN_POINT('',(-2.46887159177804,1.65,0.240209535164483)); #544141=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #544142=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #544143=CARTESIAN_POINT('Origin',(-2.51790369145059,1.65,0.25000000000029)); #544144=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #544145=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #544146=CARTESIAN_POINT('',(-3.1,1.65,0.)); #544147=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #544148=CARTESIAN_POINT('',(-3.1,1.65,0.)); #544149=CARTESIAN_POINT('Origin',(-2.14428436552253,1.905,0.784790282736416)); #544150=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #544151=CARTESIAN_POINT('',(-2.26887159177804,1.905,0.160838188393282)); #544152=CARTESIAN_POINT('',(-2.14428436552253,2.16,0.784790282736416)); #544153=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #544154=CARTESIAN_POINT('',(-2.14428436552253,1.905,0.784790282736416)); #544155=CARTESIAN_POINT('Origin',(-2.09525226346787,1.905,0.774999829834903)); #544156=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #544157=CARTESIAN_POINT('Origin',(-2.09525226346787,2.16,0.774999829834903)); #544158=CARTESIAN_POINT('',(-2.09525234833659,1.905,0.824999829833981)); #544159=CARTESIAN_POINT('Origin',(-1.995,1.905,0.825)); #544160=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #544161=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #544162=CARTESIAN_POINT('',(-1.995,1.905,0.825)); #544163=CARTESIAN_POINT('Origin',(-1.99500033947489,1.905,1.025)); #544164=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #544165=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #544166=CARTESIAN_POINT('',(-1.99500033947489,1.905,1.025)); #544167=CARTESIAN_POINT('Origin',(-2.14815615444089,1.905,1.02499974003724)); #544168=CARTESIAN_POINT('',(-2.14815615444089,2.16,1.02499973984031)); #544169=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #544170=CARTESIAN_POINT('',(-2.14815615444089,1.905,1.02499973984031)); #544171=CARTESIAN_POINT('Origin',(-2.148155814966,1.905,0.824999739840563)); #544172=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #544173=CARTESIAN_POINT('Origin',(-2.148155814966,2.16,0.824999739840563)); #544174=CARTESIAN_POINT('',(-2.34428421365623,1.905,0.864161599183795)); #544175=CARTESIAN_POINT('Origin',(-2.46887159177804,1.905,0.240209535164483)); #544176=CARTESIAN_POINT('',(-2.46887159177804,2.16,0.240209535164483)); #544177=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #544178=CARTESIAN_POINT('',(-2.46887159177804,1.905,0.240209535164483)); #544179=CARTESIAN_POINT('Origin',(-2.51790369145059,1.905,0.25000000000029)); #544180=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #544181=CARTESIAN_POINT('Origin',(-2.51790369145059,2.16,0.25000000000029)); #544182=CARTESIAN_POINT('',(-2.51790369145059,1.905,0.200000000000288)); #544183=CARTESIAN_POINT('Origin',(-3.1,1.905,0.2)); #544184=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #544185=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #544186=CARTESIAN_POINT('',(-3.1,1.905,0.2)); #544187=CARTESIAN_POINT('Origin',(-3.1,1.905,0.)); #544188=CARTESIAN_POINT('',(-3.1,2.16,0.)); #544189=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #544190=CARTESIAN_POINT('',(-3.1,1.905,0.)); #544191=CARTESIAN_POINT('Origin',(-2.465,1.905,0.)); #544192=CARTESIAN_POINT('',(-2.465,2.16,-1.04083408558608E-16)); #544193=CARTESIAN_POINT('',(-3.1,2.16,0.)); #544194=CARTESIAN_POINT('',(-2.465,1.905,-1.04083408558608E-16)); #544195=CARTESIAN_POINT('Origin',(-2.465,1.905,0.200000000000001)); #544196=CARTESIAN_POINT('Origin',(-2.465,2.16,0.200000000000001)); #544197=CARTESIAN_POINT('Origin',(-2.5475,2.16,0.5125)); #544198=CARTESIAN_POINT('Origin',(2.5475,1.65,0.5125)); #544199=CARTESIAN_POINT('',(2.465,1.65,-1.04083408558608E-16)); #544200=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #544201=CARTESIAN_POINT('Origin',(2.465,1.65,0.200000000000001)); #544202=CARTESIAN_POINT('',(3.1,1.65,0.)); #544203=CARTESIAN_POINT('',(3.1,1.65,0.)); #544204=CARTESIAN_POINT('',(3.1,1.65,0.2)); #544205=CARTESIAN_POINT('',(3.1,1.65,0.2)); #544206=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #544207=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #544208=CARTESIAN_POINT('',(2.46887159177804,1.65,0.240209535164483)); #544209=CARTESIAN_POINT('Origin',(2.51790369145059,1.65,0.25000000000029)); #544210=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #544211=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #544212=CARTESIAN_POINT('',(2.14815615444089,1.65,1.02499973984031)); #544213=CARTESIAN_POINT('Origin',(2.148155814966,1.65,0.824999739840563)); #544214=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #544215=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #544216=CARTESIAN_POINT('',(1.995,1.65,0.825)); #544217=CARTESIAN_POINT('',(1.995,1.65,0.825)); #544218=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #544219=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #544220=CARTESIAN_POINT('',(2.14428436552253,1.65,0.784790282736416)); #544221=CARTESIAN_POINT('Origin',(2.09525226346787,1.65,0.774999829834903)); #544222=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #544223=CARTESIAN_POINT('Origin',(2.14428436552253,1.905,0.784790282736416)); #544224=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #544225=CARTESIAN_POINT('',(2.26887159177804,1.905,0.160838188393282)); #544226=CARTESIAN_POINT('',(2.14428436552253,2.16,0.784790282736416)); #544227=CARTESIAN_POINT('',(2.14428436552253,1.905,0.784790282736416)); #544228=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #544229=CARTESIAN_POINT('Origin',(2.09525226346787,1.905,0.774999829834903)); #544230=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #544231=CARTESIAN_POINT('',(2.09525234833659,1.905,0.824999829833981)); #544232=CARTESIAN_POINT('Origin',(2.09525226346787,2.16,0.774999829834903)); #544233=CARTESIAN_POINT('Origin',(1.995,1.905,0.825)); #544234=CARTESIAN_POINT('',(1.995,2.16,0.825)); #544235=CARTESIAN_POINT('',(1.995,1.905,0.825)); #544236=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #544237=CARTESIAN_POINT('Origin',(1.99500033947489,1.905,1.025)); #544238=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #544239=CARTESIAN_POINT('',(1.99500033947489,1.905,1.025)); #544240=CARTESIAN_POINT('',(1.995,2.16,0.825)); #544241=CARTESIAN_POINT('Origin',(2.14815615444089,1.905,1.02499974003724)); #544242=CARTESIAN_POINT('',(2.14815615444089,2.16,1.02499973984031)); #544243=CARTESIAN_POINT('',(2.14815615444089,1.905,1.02499973984031)); #544244=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #544245=CARTESIAN_POINT('Origin',(2.148155814966,1.905,0.824999739840563)); #544246=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #544247=CARTESIAN_POINT('',(2.34428421365623,1.905,0.864161599183795)); #544248=CARTESIAN_POINT('Origin',(2.148155814966,2.16,0.824999739840563)); #544249=CARTESIAN_POINT('Origin',(2.46887159177804,1.905,0.240209535164483)); #544250=CARTESIAN_POINT('',(2.46887159177804,2.16,0.240209535164483)); #544251=CARTESIAN_POINT('',(2.46887159177804,1.905,0.240209535164483)); #544252=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #544253=CARTESIAN_POINT('Origin',(2.51790369145059,1.905,0.25000000000029)); #544254=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #544255=CARTESIAN_POINT('',(2.51790369145059,1.905,0.200000000000288)); #544256=CARTESIAN_POINT('Origin',(2.51790369145059,2.16,0.25000000000029)); #544257=CARTESIAN_POINT('Origin',(3.1,1.905,0.2)); #544258=CARTESIAN_POINT('',(3.1,2.16,0.2)); #544259=CARTESIAN_POINT('',(3.1,1.905,0.2)); #544260=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #544261=CARTESIAN_POINT('Origin',(3.1,1.905,0.)); #544262=CARTESIAN_POINT('',(3.1,2.16,0.)); #544263=CARTESIAN_POINT('',(3.1,1.905,0.)); #544264=CARTESIAN_POINT('',(3.1,2.16,0.2)); #544265=CARTESIAN_POINT('Origin',(2.465,1.905,0.)); #544266=CARTESIAN_POINT('',(2.465,2.16,-1.04083408558608E-16)); #544267=CARTESIAN_POINT('',(2.465,1.905,-1.04083408558608E-16)); #544268=CARTESIAN_POINT('',(3.1,2.16,0.)); #544269=CARTESIAN_POINT('Origin',(2.465,1.905,0.200000000000001)); #544270=CARTESIAN_POINT('Origin',(2.465,2.16,0.200000000000001)); #544271=CARTESIAN_POINT('Origin',(2.5475,2.16,0.5125)); #544272=CARTESIAN_POINT('Origin',(-2.5475,0.38,0.5125)); #544273=CARTESIAN_POINT('',(-2.465,0.38,-1.04083408558608E-16)); #544274=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #544275=CARTESIAN_POINT('Origin',(-2.465,0.38,0.200000000000001)); #544276=CARTESIAN_POINT('',(-2.14428436552253,0.38,0.784790282736416)); #544277=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #544278=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #544279=CARTESIAN_POINT('Origin',(-2.09525226346787,0.38,0.774999829834903)); #544280=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #544281=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #544282=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #544283=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #544284=CARTESIAN_POINT('',(-2.14815615444089,0.38,1.02499973984031)); #544285=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #544286=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #544287=CARTESIAN_POINT('Origin',(-2.148155814966,0.38,0.824999739840563)); #544288=CARTESIAN_POINT('',(-2.46887159177804,0.38,0.240209535164483)); #544289=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #544290=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #544291=CARTESIAN_POINT('Origin',(-2.51790369145059,0.38,0.25000000000029)); #544292=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #544293=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #544294=CARTESIAN_POINT('',(-3.1,0.38,0.)); #544295=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #544296=CARTESIAN_POINT('',(-3.1,0.38,0.)); #544297=CARTESIAN_POINT('Origin',(-2.14428436552253,0.635,0.784790282736416)); #544298=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #544299=CARTESIAN_POINT('',(-2.26887159177804,0.635,0.160838188393282)); #544300=CARTESIAN_POINT('',(-2.14428436552253,0.89,0.784790282736416)); #544301=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #544302=CARTESIAN_POINT('',(-2.14428436552253,0.635,0.784790282736416)); #544303=CARTESIAN_POINT('Origin',(-2.09525226346787,0.635,0.774999829834903)); #544304=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #544305=CARTESIAN_POINT('Origin',(-2.09525226346787,0.89,0.774999829834903)); #544306=CARTESIAN_POINT('',(-2.09525234833659,0.635,0.824999829833981)); #544307=CARTESIAN_POINT('Origin',(-1.995,0.635,0.825)); #544308=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #544309=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #544310=CARTESIAN_POINT('',(-1.995,0.635,0.825)); #544311=CARTESIAN_POINT('Origin',(-1.99500033947489,0.635,1.025)); #544312=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #544313=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #544314=CARTESIAN_POINT('',(-1.99500033947489,0.635,1.025)); #544315=CARTESIAN_POINT('Origin',(-2.14815615444089,0.635,1.02499974003724)); #544316=CARTESIAN_POINT('',(-2.14815615444089,0.89,1.02499973984031)); #544317=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #544318=CARTESIAN_POINT('',(-2.14815615444089,0.635,1.02499973984031)); #544319=CARTESIAN_POINT('Origin',(-2.148155814966,0.635,0.824999739840563)); #544320=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #544321=CARTESIAN_POINT('Origin',(-2.148155814966,0.89,0.824999739840563)); #544322=CARTESIAN_POINT('',(-2.34428421365623,0.635,0.864161599183795)); #544323=CARTESIAN_POINT('Origin',(-2.46887159177804,0.635,0.240209535164483)); #544324=CARTESIAN_POINT('',(-2.46887159177804,0.89,0.240209535164483)); #544325=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #544326=CARTESIAN_POINT('',(-2.46887159177804,0.635,0.240209535164483)); #544327=CARTESIAN_POINT('Origin',(-2.51790369145059,0.635,0.25000000000029)); #544328=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #544329=CARTESIAN_POINT('Origin',(-2.51790369145059,0.89,0.25000000000029)); #544330=CARTESIAN_POINT('',(-2.51790369145059,0.635,0.200000000000288)); #544331=CARTESIAN_POINT('Origin',(-3.1,0.635,0.2)); #544332=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #544333=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #544334=CARTESIAN_POINT('',(-3.1,0.635,0.2)); #544335=CARTESIAN_POINT('Origin',(-3.1,0.635,0.)); #544336=CARTESIAN_POINT('',(-3.1,0.89,0.)); #544337=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #544338=CARTESIAN_POINT('',(-3.1,0.635,0.)); #544339=CARTESIAN_POINT('Origin',(-2.465,0.635,0.)); #544340=CARTESIAN_POINT('',(-2.465,0.89,-1.04083408558608E-16)); #544341=CARTESIAN_POINT('',(-3.1,0.89,0.)); #544342=CARTESIAN_POINT('',(-2.465,0.635,-1.04083408558608E-16)); #544343=CARTESIAN_POINT('Origin',(-2.465,0.635,0.200000000000001)); #544344=CARTESIAN_POINT('Origin',(-2.465,0.89,0.200000000000001)); #544345=CARTESIAN_POINT('Origin',(-2.5475,0.89,0.5125)); #544346=CARTESIAN_POINT('Origin',(-2.5475,-0.89,0.5125)); #544347=CARTESIAN_POINT('',(-2.465,-0.89,-1.04083408558608E-16)); #544348=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #544349=CARTESIAN_POINT('Origin',(-2.465,-0.89,0.200000000000001)); #544350=CARTESIAN_POINT('',(-2.14428436552253,-0.89,0.784790282736416)); #544351=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #544352=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #544353=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.89,0.774999829834903)); #544354=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #544355=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #544356=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #544357=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #544358=CARTESIAN_POINT('',(-2.14815615444089,-0.89,1.02499973984031)); #544359=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #544360=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #544361=CARTESIAN_POINT('Origin',(-2.148155814966,-0.89,0.824999739840563)); #544362=CARTESIAN_POINT('',(-2.46887159177804,-0.89,0.240209535164483)); #544363=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #544364=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #544365=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.89,0.25000000000029)); #544366=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #544367=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #544368=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #544369=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #544370=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #544371=CARTESIAN_POINT('Origin',(-2.14428436552253,-0.635,0.784790282736416)); #544372=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #544373=CARTESIAN_POINT('',(-2.26887159177804,-0.635,0.160838188393282)); #544374=CARTESIAN_POINT('',(-2.14428436552253,-0.38,0.784790282736416)); #544375=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #544376=CARTESIAN_POINT('',(-2.14428436552253,-0.635,0.784790282736416)); #544377=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.635,0.774999829834903)); #544378=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #544379=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.38,0.774999829834903)); #544380=CARTESIAN_POINT('',(-2.09525234833659,-0.635,0.824999829833981)); #544381=CARTESIAN_POINT('Origin',(-1.995,-0.635,0.825)); #544382=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #544383=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #544384=CARTESIAN_POINT('',(-1.995,-0.635,0.825)); #544385=CARTESIAN_POINT('Origin',(-1.99500033947489,-0.635,1.025)); #544386=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #544387=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #544388=CARTESIAN_POINT('',(-1.99500033947489,-0.635,1.025)); #544389=CARTESIAN_POINT('Origin',(-2.14815615444089,-0.635,1.02499974003724)); #544390=CARTESIAN_POINT('',(-2.14815615444089,-0.38,1.02499973984031)); #544391=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #544392=CARTESIAN_POINT('',(-2.14815615444089,-0.635,1.02499973984031)); #544393=CARTESIAN_POINT('Origin',(-2.148155814966,-0.635,0.824999739840563)); #544394=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #544395=CARTESIAN_POINT('Origin',(-2.148155814966,-0.38,0.824999739840563)); #544396=CARTESIAN_POINT('',(-2.34428421365623,-0.635,0.864161599183795)); #544397=CARTESIAN_POINT('Origin',(-2.46887159177804,-0.635,0.240209535164483)); #544398=CARTESIAN_POINT('',(-2.46887159177804,-0.38,0.240209535164483)); #544399=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #544400=CARTESIAN_POINT('',(-2.46887159177804,-0.635,0.240209535164483)); #544401=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.635,0.25000000000029)); #544402=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #544403=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.38,0.25000000000029)); #544404=CARTESIAN_POINT('',(-2.51790369145059,-0.635,0.200000000000288)); #544405=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.2)); #544406=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #544407=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #544408=CARTESIAN_POINT('',(-3.1,-0.635,0.2)); #544409=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.)); #544410=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #544411=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #544412=CARTESIAN_POINT('',(-3.1,-0.635,0.)); #544413=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.)); #544414=CARTESIAN_POINT('',(-2.465,-0.38,-1.04083408558608E-16)); #544415=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #544416=CARTESIAN_POINT('',(-2.465,-0.635,-1.04083408558608E-16)); #544417=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.200000000000001)); #544418=CARTESIAN_POINT('Origin',(-2.465,-0.38,0.200000000000001)); #544419=CARTESIAN_POINT('Origin',(-2.5475,-0.38,0.5125)); #544420=CARTESIAN_POINT('Origin',(-2.5475,-2.16,0.5125)); #544421=CARTESIAN_POINT('',(-2.465,-2.16,-1.04083408558608E-16)); #544422=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #544423=CARTESIAN_POINT('Origin',(-2.465,-2.16,0.200000000000001)); #544424=CARTESIAN_POINT('',(-2.14428436552253,-2.16,0.784790282736416)); #544425=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #544426=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #544427=CARTESIAN_POINT('Origin',(-2.09525226346787,-2.16,0.774999829834903)); #544428=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #544429=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #544430=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #544431=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #544432=CARTESIAN_POINT('',(-2.14815615444089,-2.16,1.02499973984031)); #544433=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #544434=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #544435=CARTESIAN_POINT('Origin',(-2.148155814966,-2.16,0.824999739840563)); #544436=CARTESIAN_POINT('',(-2.46887159177804,-2.16,0.240209535164483)); #544437=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #544438=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #544439=CARTESIAN_POINT('Origin',(-2.51790369145059,-2.16,0.25000000000029)); #544440=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #544441=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #544442=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #544443=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #544444=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #544445=CARTESIAN_POINT('Origin',(-2.14428436552253,-1.905,0.784790282736416)); #544446=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #544447=CARTESIAN_POINT('',(-2.26887159177804,-1.905,0.160838188393282)); #544448=CARTESIAN_POINT('',(-2.14428436552253,-1.65,0.784790282736416)); #544449=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #544450=CARTESIAN_POINT('',(-2.14428436552253,-1.905,0.784790282736416)); #544451=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.905,0.774999829834903)); #544452=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #544453=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.65,0.774999829834903)); #544454=CARTESIAN_POINT('',(-2.09525234833659,-1.905,0.824999829833981)); #544455=CARTESIAN_POINT('Origin',(-1.995,-1.905,0.825)); #544456=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #544457=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #544458=CARTESIAN_POINT('',(-1.995,-1.905,0.825)); #544459=CARTESIAN_POINT('Origin',(-1.99500033947489,-1.905,1.025)); #544460=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #544461=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #544462=CARTESIAN_POINT('',(-1.99500033947489,-1.905,1.025)); #544463=CARTESIAN_POINT('Origin',(-2.14815615444089,-1.905,1.02499974003724)); #544464=CARTESIAN_POINT('',(-2.14815615444089,-1.65,1.02499973984031)); #544465=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #544466=CARTESIAN_POINT('',(-2.14815615444089,-1.905,1.02499973984031)); #544467=CARTESIAN_POINT('Origin',(-2.148155814966,-1.905,0.824999739840563)); #544468=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #544469=CARTESIAN_POINT('Origin',(-2.148155814966,-1.65,0.824999739840563)); #544470=CARTESIAN_POINT('',(-2.34428421365623,-1.905,0.864161599183795)); #544471=CARTESIAN_POINT('Origin',(-2.46887159177804,-1.905,0.240209535164483)); #544472=CARTESIAN_POINT('',(-2.46887159177804,-1.65,0.240209535164483)); #544473=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #544474=CARTESIAN_POINT('',(-2.46887159177804,-1.905,0.240209535164483)); #544475=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.905,0.25000000000029)); #544476=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #544477=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.65,0.25000000000029)); #544478=CARTESIAN_POINT('',(-2.51790369145059,-1.905,0.200000000000288)); #544479=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.2)); #544480=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #544481=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #544482=CARTESIAN_POINT('',(-3.1,-1.905,0.2)); #544483=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.)); #544484=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #544485=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #544486=CARTESIAN_POINT('',(-3.1,-1.905,0.)); #544487=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.)); #544488=CARTESIAN_POINT('',(-2.465,-1.65,-1.04083408558608E-16)); #544489=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #544490=CARTESIAN_POINT('',(-2.465,-1.905,-1.04083408558608E-16)); #544491=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.200000000000001)); #544492=CARTESIAN_POINT('Origin',(-2.465,-1.65,0.200000000000001)); #544493=CARTESIAN_POINT('Origin',(-2.5475,-1.65,0.5125)); #544494=CARTESIAN_POINT('Origin',(2.5475,0.38,0.5125)); #544495=CARTESIAN_POINT('',(2.465,0.38,-1.04083408558608E-16)); #544496=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #544497=CARTESIAN_POINT('Origin',(2.465,0.38,0.200000000000001)); #544498=CARTESIAN_POINT('',(3.1,0.38,0.)); #544499=CARTESIAN_POINT('',(3.1,0.38,0.)); #544500=CARTESIAN_POINT('',(3.1,0.38,0.2)); #544501=CARTESIAN_POINT('',(3.1,0.38,0.2)); #544502=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #544503=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #544504=CARTESIAN_POINT('',(2.46887159177804,0.38,0.240209535164483)); #544505=CARTESIAN_POINT('Origin',(2.51790369145059,0.38,0.25000000000029)); #544506=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #544507=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #544508=CARTESIAN_POINT('',(2.14815615444089,0.38,1.02499973984031)); #544509=CARTESIAN_POINT('Origin',(2.148155814966,0.38,0.824999739840563)); #544510=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #544511=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #544512=CARTESIAN_POINT('',(1.995,0.38,0.825)); #544513=CARTESIAN_POINT('',(1.995,0.38,0.825)); #544514=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #544515=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #544516=CARTESIAN_POINT('',(2.14428436552253,0.38,0.784790282736416)); #544517=CARTESIAN_POINT('Origin',(2.09525226346787,0.38,0.774999829834903)); #544518=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #544519=CARTESIAN_POINT('Origin',(2.14428436552253,0.635,0.784790282736416)); #544520=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #544521=CARTESIAN_POINT('',(2.26887159177804,0.635,0.160838188393282)); #544522=CARTESIAN_POINT('',(2.14428436552253,0.89,0.784790282736416)); #544523=CARTESIAN_POINT('',(2.14428436552253,0.635,0.784790282736416)); #544524=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #544525=CARTESIAN_POINT('Origin',(2.09525226346787,0.635,0.774999829834903)); #544526=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #544527=CARTESIAN_POINT('',(2.09525234833659,0.635,0.824999829833981)); #544528=CARTESIAN_POINT('Origin',(2.09525226346787,0.89,0.774999829834903)); #544529=CARTESIAN_POINT('Origin',(1.995,0.635,0.825)); #544530=CARTESIAN_POINT('',(1.995,0.89,0.825)); #544531=CARTESIAN_POINT('',(1.995,0.635,0.825)); #544532=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #544533=CARTESIAN_POINT('Origin',(1.99500033947489,0.635,1.025)); #544534=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #544535=CARTESIAN_POINT('',(1.99500033947489,0.635,1.025)); #544536=CARTESIAN_POINT('',(1.995,0.89,0.825)); #544537=CARTESIAN_POINT('Origin',(2.14815615444089,0.635,1.02499974003724)); #544538=CARTESIAN_POINT('',(2.14815615444089,0.89,1.02499973984031)); #544539=CARTESIAN_POINT('',(2.14815615444089,0.635,1.02499973984031)); #544540=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #544541=CARTESIAN_POINT('Origin',(2.148155814966,0.635,0.824999739840563)); #544542=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #544543=CARTESIAN_POINT('',(2.34428421365623,0.635,0.864161599183795)); #544544=CARTESIAN_POINT('Origin',(2.148155814966,0.89,0.824999739840563)); #544545=CARTESIAN_POINT('Origin',(2.46887159177804,0.635,0.240209535164483)); #544546=CARTESIAN_POINT('',(2.46887159177804,0.89,0.240209535164483)); #544547=CARTESIAN_POINT('',(2.46887159177804,0.635,0.240209535164483)); #544548=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #544549=CARTESIAN_POINT('Origin',(2.51790369145059,0.635,0.25000000000029)); #544550=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #544551=CARTESIAN_POINT('',(2.51790369145059,0.635,0.200000000000288)); #544552=CARTESIAN_POINT('Origin',(2.51790369145059,0.89,0.25000000000029)); #544553=CARTESIAN_POINT('Origin',(3.1,0.635,0.2)); #544554=CARTESIAN_POINT('',(3.1,0.89,0.2)); #544555=CARTESIAN_POINT('',(3.1,0.635,0.2)); #544556=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #544557=CARTESIAN_POINT('Origin',(3.1,0.635,0.)); #544558=CARTESIAN_POINT('',(3.1,0.89,0.)); #544559=CARTESIAN_POINT('',(3.1,0.635,0.)); #544560=CARTESIAN_POINT('',(3.1,0.89,0.2)); #544561=CARTESIAN_POINT('Origin',(2.465,0.635,0.)); #544562=CARTESIAN_POINT('',(2.465,0.89,-1.04083408558608E-16)); #544563=CARTESIAN_POINT('',(2.465,0.635,-1.04083408558608E-16)); #544564=CARTESIAN_POINT('',(3.1,0.89,0.)); #544565=CARTESIAN_POINT('Origin',(2.465,0.635,0.200000000000001)); #544566=CARTESIAN_POINT('Origin',(2.465,0.89,0.200000000000001)); #544567=CARTESIAN_POINT('Origin',(2.5475,0.89,0.5125)); #544568=CARTESIAN_POINT('Origin',(2.5475,-0.89,0.5125)); #544569=CARTESIAN_POINT('',(2.465,-0.89,-1.04083408558608E-16)); #544570=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #544571=CARTESIAN_POINT('Origin',(2.465,-0.89,0.200000000000001)); #544572=CARTESIAN_POINT('',(3.1,-0.89,0.)); #544573=CARTESIAN_POINT('',(3.1,-0.89,0.)); #544574=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #544575=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #544576=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #544577=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #544578=CARTESIAN_POINT('',(2.46887159177804,-0.89,0.240209535164483)); #544579=CARTESIAN_POINT('Origin',(2.51790369145059,-0.89,0.25000000000029)); #544580=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #544581=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #544582=CARTESIAN_POINT('',(2.14815615444089,-0.89,1.02499973984031)); #544583=CARTESIAN_POINT('Origin',(2.148155814966,-0.89,0.824999739840563)); #544584=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #544585=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #544586=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #544587=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #544588=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #544589=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #544590=CARTESIAN_POINT('',(2.14428436552253,-0.89,0.784790282736416)); #544591=CARTESIAN_POINT('Origin',(2.09525226346787,-0.89,0.774999829834903)); #544592=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #544593=CARTESIAN_POINT('Origin',(2.14428436552253,-0.635,0.784790282736416)); #544594=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #544595=CARTESIAN_POINT('',(2.26887159177804,-0.635,0.160838188393282)); #544596=CARTESIAN_POINT('',(2.14428436552253,-0.38,0.784790282736416)); #544597=CARTESIAN_POINT('',(2.14428436552253,-0.635,0.784790282736416)); #544598=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #544599=CARTESIAN_POINT('Origin',(2.09525226346787,-0.635,0.774999829834903)); #544600=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #544601=CARTESIAN_POINT('',(2.09525234833659,-0.635,0.824999829833981)); #544602=CARTESIAN_POINT('Origin',(2.09525226346787,-0.38,0.774999829834903)); #544603=CARTESIAN_POINT('Origin',(1.995,-0.635,0.825)); #544604=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #544605=CARTESIAN_POINT('',(1.995,-0.635,0.825)); #544606=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #544607=CARTESIAN_POINT('Origin',(1.99500033947489,-0.635,1.025)); #544608=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #544609=CARTESIAN_POINT('',(1.99500033947489,-0.635,1.025)); #544610=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #544611=CARTESIAN_POINT('Origin',(2.14815615444089,-0.635,1.02499974003724)); #544612=CARTESIAN_POINT('',(2.14815615444089,-0.38,1.02499973984031)); #544613=CARTESIAN_POINT('',(2.14815615444089,-0.635,1.02499973984031)); #544614=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #544615=CARTESIAN_POINT('Origin',(2.148155814966,-0.635,0.824999739840563)); #544616=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #544617=CARTESIAN_POINT('',(2.34428421365623,-0.635,0.864161599183795)); #544618=CARTESIAN_POINT('Origin',(2.148155814966,-0.38,0.824999739840563)); #544619=CARTESIAN_POINT('Origin',(2.46887159177804,-0.635,0.240209535164483)); #544620=CARTESIAN_POINT('',(2.46887159177804,-0.38,0.240209535164483)); #544621=CARTESIAN_POINT('',(2.46887159177804,-0.635,0.240209535164483)); #544622=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #544623=CARTESIAN_POINT('Origin',(2.51790369145059,-0.635,0.25000000000029)); #544624=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #544625=CARTESIAN_POINT('',(2.51790369145059,-0.635,0.200000000000288)); #544626=CARTESIAN_POINT('Origin',(2.51790369145059,-0.38,0.25000000000029)); #544627=CARTESIAN_POINT('Origin',(3.1,-0.635,0.2)); #544628=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #544629=CARTESIAN_POINT('',(3.1,-0.635,0.2)); #544630=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #544631=CARTESIAN_POINT('Origin',(3.1,-0.635,0.)); #544632=CARTESIAN_POINT('',(3.1,-0.38,0.)); #544633=CARTESIAN_POINT('',(3.1,-0.635,0.)); #544634=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #544635=CARTESIAN_POINT('Origin',(2.465,-0.635,0.)); #544636=CARTESIAN_POINT('',(2.465,-0.38,-1.04083408558608E-16)); #544637=CARTESIAN_POINT('',(2.465,-0.635,-1.04083408558608E-16)); #544638=CARTESIAN_POINT('',(3.1,-0.38,0.)); #544639=CARTESIAN_POINT('Origin',(2.465,-0.635,0.200000000000001)); #544640=CARTESIAN_POINT('Origin',(2.465,-0.38,0.200000000000001)); #544641=CARTESIAN_POINT('Origin',(2.5475,-0.38,0.5125)); #544642=CARTESIAN_POINT('Origin',(2.5475,-2.16,0.5125)); #544643=CARTESIAN_POINT('',(2.465,-2.16,-1.04083408558608E-16)); #544644=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #544645=CARTESIAN_POINT('Origin',(2.465,-2.16,0.200000000000001)); #544646=CARTESIAN_POINT('',(3.1,-2.16,0.)); #544647=CARTESIAN_POINT('',(3.1,-2.16,0.)); #544648=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #544649=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #544650=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #544651=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #544652=CARTESIAN_POINT('',(2.46887159177804,-2.16,0.240209535164483)); #544653=CARTESIAN_POINT('Origin',(2.51790369145059,-2.16,0.25000000000029)); #544654=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #544655=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #544656=CARTESIAN_POINT('',(2.14815615444089,-2.16,1.02499973984031)); #544657=CARTESIAN_POINT('Origin',(2.148155814966,-2.16,0.824999739840563)); #544658=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #544659=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #544660=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #544661=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #544662=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #544663=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #544664=CARTESIAN_POINT('',(2.14428436552253,-2.16,0.784790282736416)); #544665=CARTESIAN_POINT('Origin',(2.09525226346787,-2.16,0.774999829834903)); #544666=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #544667=CARTESIAN_POINT('Origin',(2.14428436552253,-1.905,0.784790282736416)); #544668=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #544669=CARTESIAN_POINT('',(2.26887159177804,-1.905,0.160838188393282)); #544670=CARTESIAN_POINT('',(2.14428436552253,-1.65,0.784790282736416)); #544671=CARTESIAN_POINT('',(2.14428436552253,-1.905,0.784790282736416)); #544672=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #544673=CARTESIAN_POINT('Origin',(2.09525226346787,-1.905,0.774999829834903)); #544674=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #544675=CARTESIAN_POINT('',(2.09525234833659,-1.905,0.824999829833981)); #544676=CARTESIAN_POINT('Origin',(2.09525226346787,-1.65,0.774999829834903)); #544677=CARTESIAN_POINT('Origin',(1.995,-1.905,0.825)); #544678=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #544679=CARTESIAN_POINT('',(1.995,-1.905,0.825)); #544680=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #544681=CARTESIAN_POINT('Origin',(1.99500033947489,-1.905,1.025)); #544682=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #544683=CARTESIAN_POINT('',(1.99500033947489,-1.905,1.025)); #544684=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #544685=CARTESIAN_POINT('Origin',(2.14815615444089,-1.905,1.02499974003724)); #544686=CARTESIAN_POINT('',(2.14815615444089,-1.65,1.02499973984031)); #544687=CARTESIAN_POINT('',(2.14815615444089,-1.905,1.02499973984031)); #544688=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #544689=CARTESIAN_POINT('Origin',(2.148155814966,-1.905,0.824999739840563)); #544690=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #544691=CARTESIAN_POINT('',(2.34428421365623,-1.905,0.864161599183795)); #544692=CARTESIAN_POINT('Origin',(2.148155814966,-1.65,0.824999739840563)); #544693=CARTESIAN_POINT('Origin',(2.46887159177804,-1.905,0.240209535164483)); #544694=CARTESIAN_POINT('',(2.46887159177804,-1.65,0.240209535164483)); #544695=CARTESIAN_POINT('',(2.46887159177804,-1.905,0.240209535164483)); #544696=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #544697=CARTESIAN_POINT('Origin',(2.51790369145059,-1.905,0.25000000000029)); #544698=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #544699=CARTESIAN_POINT('',(2.51790369145059,-1.905,0.200000000000288)); #544700=CARTESIAN_POINT('Origin',(2.51790369145059,-1.65,0.25000000000029)); #544701=CARTESIAN_POINT('Origin',(3.1,-1.905,0.2)); #544702=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #544703=CARTESIAN_POINT('',(3.1,-1.905,0.2)); #544704=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #544705=CARTESIAN_POINT('Origin',(3.1,-1.905,0.)); #544706=CARTESIAN_POINT('',(3.1,-1.65,0.)); #544707=CARTESIAN_POINT('',(3.1,-1.905,0.)); #544708=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #544709=CARTESIAN_POINT('Origin',(2.465,-1.905,0.)); #544710=CARTESIAN_POINT('',(2.465,-1.65,-1.04083408558608E-16)); #544711=CARTESIAN_POINT('',(2.465,-1.905,-1.04083408558608E-16)); #544712=CARTESIAN_POINT('',(3.1,-1.65,0.)); #544713=CARTESIAN_POINT('Origin',(2.465,-1.905,0.200000000000001)); #544714=CARTESIAN_POINT('Origin',(2.465,-1.65,0.200000000000001)); #544715=CARTESIAN_POINT('Origin',(2.5475,-1.65,0.5125)); #544716=CARTESIAN_POINT('',(0.,0.,0.)); #544717=CARTESIAN_POINT('',(0.,0.,0.)); #544718=CARTESIAN_POINT('',(0.,0.,0.)); #544719=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #544720=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #544721=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #544722=CARTESIAN_POINT('',(-0.655,1.22,0.99)); #544723=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #544724=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #544725=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #544726=CARTESIAN_POINT('Origin',(-0.795,0.75,0.8825)); #544727=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #544728=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #544729=CARTESIAN_POINT('',(-0.74,0.75,1.1)); #544730=CARTESIAN_POINT('',(-0.85,1.5,0.665)); #544731=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #544732=CARTESIAN_POINT('',(-0.85,-1.5,0.665)); #544733=CARTESIAN_POINT('',(-0.85,0.75,0.665)); #544734=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #544735=CARTESIAN_POINT('Origin',(0.425,1.445,0.8825)); #544736=CARTESIAN_POINT('',(0.74,1.39,1.1)); #544737=CARTESIAN_POINT('',(0.425,1.39,1.1)); #544738=CARTESIAN_POINT('',(0.85,1.5,0.665)); #544739=CARTESIAN_POINT('',(0.74,1.39,1.1)); #544740=CARTESIAN_POINT('',(0.425,1.5,0.665)); #544741=CARTESIAN_POINT('Origin',(0.795,-0.75,0.8825)); #544742=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #544743=CARTESIAN_POINT('',(0.74,-0.75,1.1)); #544744=CARTESIAN_POINT('',(0.85,-1.5,0.665)); #544745=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #544746=CARTESIAN_POINT('',(0.85,-0.75,0.665)); #544747=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.8825)); #544748=CARTESIAN_POINT('',(-0.425,-1.5,0.665)); #544749=CARTESIAN_POINT('',(-0.425,-1.39,1.1)); #544750=CARTESIAN_POINT('Origin',(-0.85,-1.5,0.1)); #544751=CARTESIAN_POINT('',(-0.85,-1.5,0.535)); #544752=CARTESIAN_POINT('',(-0.85,-1.5,0.1)); #544753=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #544754=CARTESIAN_POINT('',(-0.425,-1.5,0.535)); #544755=CARTESIAN_POINT('',(0.85,-1.5,0.1)); #544756=CARTESIAN_POINT('Origin',(-0.85,1.5,0.1)); #544757=CARTESIAN_POINT('',(-0.85,1.5,0.535)); #544758=CARTESIAN_POINT('',(-0.85,1.5,0.1)); #544759=CARTESIAN_POINT('',(-0.85,0.75,0.535)); #544760=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #544761=CARTESIAN_POINT('Origin',(0.795,-0.75,0.3175)); #544762=CARTESIAN_POINT('',(0.85,1.5,0.535)); #544763=CARTESIAN_POINT('',(0.85,-0.75,0.535)); #544764=CARTESIAN_POINT('',(0.74,-1.39,0.1)); #544765=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #544766=CARTESIAN_POINT('',(0.74,1.39,0.1)); #544767=CARTESIAN_POINT('',(0.74,-0.75,0.1)); #544768=CARTESIAN_POINT('',(0.74,1.39,0.1)); #544769=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.3175)); #544770=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #544771=CARTESIAN_POINT('',(-0.425,-1.39,0.1)); #544772=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #544773=CARTESIAN_POINT('Origin',(0.425,1.445,0.3175)); #544774=CARTESIAN_POINT('',(0.425,1.5,0.535)); #544775=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #544776=CARTESIAN_POINT('',(0.425,1.39,0.1)); #544777=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #544778=CARTESIAN_POINT('Origin',(-0.795,0.75,0.3175)); #544779=CARTESIAN_POINT('',(-0.74,0.75,0.1)); #544780=CARTESIAN_POINT('Origin',(0.85,-1.5,0.1)); #544781=CARTESIAN_POINT('',(0.85,1.5,0.1)); #544782=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #544783=CARTESIAN_POINT('Origin',(0.85,1.5,0.1)); #544784=CARTESIAN_POINT('Origin',(1.11875,0.695,0.3325)); #544785=CARTESIAN_POINT('',(0.87,0.695,0.13)); #544786=CARTESIAN_POINT('',(1.,0.695,1.73472347597681E-17)); #544787=CARTESIAN_POINT('Origin',(1.,0.695,0.13)); #544788=CARTESIAN_POINT('',(1.4,0.695,0.)); #544789=CARTESIAN_POINT('',(1.4,0.695,0.)); #544790=CARTESIAN_POINT('',(1.4,0.695,0.13)); #544791=CARTESIAN_POINT('',(1.4,0.695,0.13)); #544792=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #544793=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #544794=CARTESIAN_POINT('',(1.,0.695,0.1625)); #544795=CARTESIAN_POINT('Origin',(1.0325,0.695,0.1625)); #544796=CARTESIAN_POINT('',(1.,0.695,0.535)); #544797=CARTESIAN_POINT('',(1.,0.695,0.535)); #544798=CARTESIAN_POINT('',(0.87,0.695,0.665)); #544799=CARTESIAN_POINT('Origin',(0.87,0.695,0.535)); #544800=CARTESIAN_POINT('',(0.85,0.695,0.665)); #544801=CARTESIAN_POINT('',(0.85,0.695,0.665)); #544802=CARTESIAN_POINT('',(0.85,0.695,0.535)); #544803=CARTESIAN_POINT('',(0.85,0.695,0.535)); #544804=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #544805=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #544806=CARTESIAN_POINT('',(0.87,0.695,0.5025)); #544807=CARTESIAN_POINT('Origin',(0.8375,0.695,0.5025)); #544808=CARTESIAN_POINT('',(0.87,0.695,0.13)); #544809=CARTESIAN_POINT('Origin',(1.4,0.95,0.)); #544810=CARTESIAN_POINT('',(1.,1.205,1.73472347597681E-17)); #544811=CARTESIAN_POINT('',(1.,0.95,1.73472347597681E-17)); #544812=CARTESIAN_POINT('',(1.4,1.205,0.)); #544813=CARTESIAN_POINT('',(1.4,1.205,0.)); #544814=CARTESIAN_POINT('',(1.4,0.95,0.)); #544815=CARTESIAN_POINT('Origin',(1.4,0.95,0.13)); #544816=CARTESIAN_POINT('',(1.4,1.205,0.13)); #544817=CARTESIAN_POINT('',(1.4,1.205,0.13)); #544818=CARTESIAN_POINT('',(1.4,0.95,0.13)); #544819=CARTESIAN_POINT('Origin',(1.0325,0.95,0.13)); #544820=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #544821=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #544822=CARTESIAN_POINT('',(1.0325,0.95,0.13)); #544823=CARTESIAN_POINT('Origin',(1.0325,0.95,0.1625)); #544824=CARTESIAN_POINT('',(1.,1.205,0.1625)); #544825=CARTESIAN_POINT('Origin',(1.0325,1.205,0.1625)); #544826=CARTESIAN_POINT('',(1.,0.95,0.1625)); #544827=CARTESIAN_POINT('Origin',(1.,0.95,0.535)); #544828=CARTESIAN_POINT('',(1.,1.205,0.535)); #544829=CARTESIAN_POINT('',(1.,1.205,0.535)); #544830=CARTESIAN_POINT('',(1.,0.95,0.535)); #544831=CARTESIAN_POINT('Origin',(0.87,0.95,0.535)); #544832=CARTESIAN_POINT('',(0.87,1.205,0.665)); #544833=CARTESIAN_POINT('Origin',(0.87,1.205,0.535)); #544834=CARTESIAN_POINT('',(0.87,0.95,0.665)); #544835=CARTESIAN_POINT('Origin',(0.85,0.95,0.665)); #544836=CARTESIAN_POINT('',(0.85,1.205,0.665)); #544837=CARTESIAN_POINT('',(0.85,1.205,0.665)); #544838=CARTESIAN_POINT('',(0.85,0.95,0.665)); #544839=CARTESIAN_POINT('Origin',(0.85,0.95,0.535)); #544840=CARTESIAN_POINT('',(0.85,1.205,0.535)); #544841=CARTESIAN_POINT('',(0.85,1.205,0.535)); #544842=CARTESIAN_POINT('',(0.85,0.95,0.535)); #544843=CARTESIAN_POINT('Origin',(0.8375,0.95,0.535)); #544844=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #544845=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #544846=CARTESIAN_POINT('',(0.8375,0.95,0.535)); #544847=CARTESIAN_POINT('Origin',(0.8375,0.95,0.5025)); #544848=CARTESIAN_POINT('',(0.87,1.205,0.5025)); #544849=CARTESIAN_POINT('Origin',(0.8375,1.205,0.5025)); #544850=CARTESIAN_POINT('',(0.87,0.95,0.5025)); #544851=CARTESIAN_POINT('Origin',(0.87,0.95,0.13)); #544852=CARTESIAN_POINT('',(0.87,1.205,0.13)); #544853=CARTESIAN_POINT('',(0.87,1.205,0.13)); #544854=CARTESIAN_POINT('',(0.87,0.95,0.13)); #544855=CARTESIAN_POINT('Origin',(1.,0.95,0.13)); #544856=CARTESIAN_POINT('Origin',(1.,1.205,0.13)); #544857=CARTESIAN_POINT('Origin',(1.11875,1.205,0.3325)); #544858=CARTESIAN_POINT('Origin',(1.11875,-1.205,0.3325)); #544859=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #544860=CARTESIAN_POINT('',(1.,-1.205,1.73472347597681E-17)); #544861=CARTESIAN_POINT('Origin',(1.,-1.205,0.13)); #544862=CARTESIAN_POINT('',(1.4,-1.205,0.)); #544863=CARTESIAN_POINT('',(1.4,-1.205,0.)); #544864=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #544865=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #544866=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #544867=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #544868=CARTESIAN_POINT('',(1.,-1.205,0.1625)); #544869=CARTESIAN_POINT('Origin',(1.0325,-1.205,0.1625)); #544870=CARTESIAN_POINT('',(1.,-1.205,0.535)); #544871=CARTESIAN_POINT('',(1.,-1.205,0.535)); #544872=CARTESIAN_POINT('',(0.87,-1.205,0.665)); #544873=CARTESIAN_POINT('Origin',(0.87,-1.205,0.535)); #544874=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #544875=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #544876=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #544877=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #544878=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #544879=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #544880=CARTESIAN_POINT('',(0.87,-1.205,0.5025)); #544881=CARTESIAN_POINT('Origin',(0.8375,-1.205,0.5025)); #544882=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #544883=CARTESIAN_POINT('Origin',(1.4,-0.95,0.)); #544884=CARTESIAN_POINT('',(1.,-0.695,1.73472347597681E-17)); #544885=CARTESIAN_POINT('',(1.,-0.95,1.73472347597681E-17)); #544886=CARTESIAN_POINT('',(1.4,-0.695,0.)); #544887=CARTESIAN_POINT('',(1.4,-0.695,0.)); #544888=CARTESIAN_POINT('',(1.4,-0.95,0.)); #544889=CARTESIAN_POINT('Origin',(1.4,-0.95,0.13)); #544890=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #544891=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #544892=CARTESIAN_POINT('',(1.4,-0.95,0.13)); #544893=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.13)); #544894=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #544895=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #544896=CARTESIAN_POINT('',(1.0325,-0.95,0.13)); #544897=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.1625)); #544898=CARTESIAN_POINT('',(1.,-0.695,0.1625)); #544899=CARTESIAN_POINT('Origin',(1.0325,-0.695,0.1625)); #544900=CARTESIAN_POINT('',(1.,-0.95,0.1625)); #544901=CARTESIAN_POINT('Origin',(1.,-0.95,0.535)); #544902=CARTESIAN_POINT('',(1.,-0.695,0.535)); #544903=CARTESIAN_POINT('',(1.,-0.695,0.535)); #544904=CARTESIAN_POINT('',(1.,-0.95,0.535)); #544905=CARTESIAN_POINT('Origin',(0.87,-0.95,0.535)); #544906=CARTESIAN_POINT('',(0.87,-0.695,0.665)); #544907=CARTESIAN_POINT('Origin',(0.87,-0.695,0.535)); #544908=CARTESIAN_POINT('',(0.87,-0.95,0.665)); #544909=CARTESIAN_POINT('Origin',(0.85,-0.95,0.665)); #544910=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #544911=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #544912=CARTESIAN_POINT('',(0.85,-0.95,0.665)); #544913=CARTESIAN_POINT('Origin',(0.85,-0.95,0.535)); #544914=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #544915=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #544916=CARTESIAN_POINT('',(0.85,-0.95,0.535)); #544917=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.535)); #544918=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #544919=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #544920=CARTESIAN_POINT('',(0.8375,-0.95,0.535)); #544921=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.5025)); #544922=CARTESIAN_POINT('',(0.87,-0.695,0.5025)); #544923=CARTESIAN_POINT('Origin',(0.8375,-0.695,0.5025)); #544924=CARTESIAN_POINT('',(0.87,-0.95,0.5025)); #544925=CARTESIAN_POINT('Origin',(0.87,-0.95,0.13)); #544926=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #544927=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #544928=CARTESIAN_POINT('',(0.87,-0.95,0.13)); #544929=CARTESIAN_POINT('Origin',(1.,-0.95,0.13)); #544930=CARTESIAN_POINT('Origin',(1.,-0.695,0.13)); #544931=CARTESIAN_POINT('Origin',(1.11875,-0.695,0.3325)); #544932=CARTESIAN_POINT('Origin',(-1.11875,1.205,0.3325)); #544933=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #544934=CARTESIAN_POINT('',(-1.,1.205,1.73472347597681E-17)); #544935=CARTESIAN_POINT('Origin',(-1.,1.205,0.13)); #544936=CARTESIAN_POINT('',(-1.4,1.205,0.)); #544937=CARTESIAN_POINT('',(-1.4,1.205,0.)); #544938=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #544939=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #544940=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #544941=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #544942=CARTESIAN_POINT('',(-1.,1.205,0.1625)); #544943=CARTESIAN_POINT('Origin',(-1.0325,1.205,0.1625)); #544944=CARTESIAN_POINT('',(-1.,1.205,0.535)); #544945=CARTESIAN_POINT('',(-1.,1.205,0.535)); #544946=CARTESIAN_POINT('',(-0.87,1.205,0.665)); #544947=CARTESIAN_POINT('Origin',(-0.87,1.205,0.535)); #544948=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #544949=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #544950=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #544951=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #544952=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #544953=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #544954=CARTESIAN_POINT('',(-0.87,1.205,0.5025)); #544955=CARTESIAN_POINT('Origin',(-0.8375,1.205,0.5025)); #544956=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #544957=CARTESIAN_POINT('Origin',(-1.4,0.95,0.)); #544958=CARTESIAN_POINT('',(-1.,0.695,1.73472347597681E-17)); #544959=CARTESIAN_POINT('',(-1.,0.95,1.73472347597681E-17)); #544960=CARTESIAN_POINT('',(-1.4,0.695,0.)); #544961=CARTESIAN_POINT('',(-1.4,0.695,0.)); #544962=CARTESIAN_POINT('',(-1.4,0.95,0.)); #544963=CARTESIAN_POINT('Origin',(-1.4,0.95,0.13)); #544964=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #544965=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #544966=CARTESIAN_POINT('',(-1.4,0.95,0.13)); #544967=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.13)); #544968=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #544969=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #544970=CARTESIAN_POINT('',(-1.0325,0.95,0.13)); #544971=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.1625)); #544972=CARTESIAN_POINT('',(-1.,0.695,0.1625)); #544973=CARTESIAN_POINT('Origin',(-1.0325,0.695,0.1625)); #544974=CARTESIAN_POINT('',(-1.,0.95,0.1625)); #544975=CARTESIAN_POINT('Origin',(-1.,0.95,0.535)); #544976=CARTESIAN_POINT('',(-1.,0.695,0.535)); #544977=CARTESIAN_POINT('',(-1.,0.695,0.535)); #544978=CARTESIAN_POINT('',(-1.,0.95,0.535)); #544979=CARTESIAN_POINT('Origin',(-0.87,0.95,0.535)); #544980=CARTESIAN_POINT('',(-0.87,0.695,0.665)); #544981=CARTESIAN_POINT('Origin',(-0.87,0.695,0.535)); #544982=CARTESIAN_POINT('',(-0.87,0.95,0.665)); #544983=CARTESIAN_POINT('Origin',(-0.85,0.95,0.665)); #544984=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #544985=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #544986=CARTESIAN_POINT('',(-0.85,0.95,0.665)); #544987=CARTESIAN_POINT('Origin',(-0.85,0.95,0.535)); #544988=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #544989=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #544990=CARTESIAN_POINT('',(-0.85,0.95,0.535)); #544991=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.535)); #544992=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #544993=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #544994=CARTESIAN_POINT('',(-0.8375,0.95,0.535)); #544995=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.5025)); #544996=CARTESIAN_POINT('',(-0.87,0.695,0.5025)); #544997=CARTESIAN_POINT('Origin',(-0.8375,0.695,0.5025)); #544998=CARTESIAN_POINT('',(-0.87,0.95,0.5025)); #544999=CARTESIAN_POINT('Origin',(-0.87,0.95,0.13)); #545000=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #545001=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #545002=CARTESIAN_POINT('',(-0.87,0.95,0.13)); #545003=CARTESIAN_POINT('Origin',(-1.,0.95,0.13)); #545004=CARTESIAN_POINT('Origin',(-1.,0.695,0.13)); #545005=CARTESIAN_POINT('Origin',(-1.11875,0.695,0.3325)); #545006=CARTESIAN_POINT('Origin',(-1.11875,0.255,0.3325)); #545007=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #545008=CARTESIAN_POINT('',(-1.,0.255,1.73472347597681E-17)); #545009=CARTESIAN_POINT('Origin',(-1.,0.255,0.13)); #545010=CARTESIAN_POINT('',(-1.4,0.255,0.)); #545011=CARTESIAN_POINT('',(-1.4,0.255,0.)); #545012=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #545013=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #545014=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #545015=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #545016=CARTESIAN_POINT('',(-1.,0.255,0.1625)); #545017=CARTESIAN_POINT('Origin',(-1.0325,0.255,0.1625)); #545018=CARTESIAN_POINT('',(-1.,0.255,0.535)); #545019=CARTESIAN_POINT('',(-1.,0.255,0.535)); #545020=CARTESIAN_POINT('',(-0.87,0.255,0.665)); #545021=CARTESIAN_POINT('Origin',(-0.87,0.255,0.535)); #545022=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #545023=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #545024=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #545025=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #545026=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #545027=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #545028=CARTESIAN_POINT('',(-0.87,0.255,0.5025)); #545029=CARTESIAN_POINT('Origin',(-0.8375,0.255,0.5025)); #545030=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #545031=CARTESIAN_POINT('Origin',(-1.4,0.,0.)); #545032=CARTESIAN_POINT('',(-1.,-0.255,1.73472347597681E-17)); #545033=CARTESIAN_POINT('',(-1.,0.,1.73472347597681E-17)); #545034=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #545035=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #545036=CARTESIAN_POINT('',(-1.4,0.,0.)); #545037=CARTESIAN_POINT('Origin',(-1.4,0.,0.13)); #545038=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #545039=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #545040=CARTESIAN_POINT('',(-1.4,0.,0.13)); #545041=CARTESIAN_POINT('Origin',(-1.0325,0.,0.13)); #545042=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #545043=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #545044=CARTESIAN_POINT('',(-1.0325,0.,0.13)); #545045=CARTESIAN_POINT('Origin',(-1.0325,0.,0.1625)); #545046=CARTESIAN_POINT('',(-1.,-0.255,0.1625)); #545047=CARTESIAN_POINT('Origin',(-1.0325,-0.255,0.1625)); #545048=CARTESIAN_POINT('',(-1.,0.,0.1625)); #545049=CARTESIAN_POINT('Origin',(-1.,0.,0.535)); #545050=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #545051=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #545052=CARTESIAN_POINT('',(-1.,0.,0.535)); #545053=CARTESIAN_POINT('Origin',(-0.87,0.,0.535)); #545054=CARTESIAN_POINT('',(-0.87,-0.255,0.665)); #545055=CARTESIAN_POINT('Origin',(-0.87,-0.255,0.535)); #545056=CARTESIAN_POINT('',(-0.87,0.,0.665)); #545057=CARTESIAN_POINT('Origin',(-0.85,0.,0.665)); #545058=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #545059=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #545060=CARTESIAN_POINT('',(-0.85,0.,0.665)); #545061=CARTESIAN_POINT('Origin',(-0.85,0.,0.535)); #545062=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #545063=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #545064=CARTESIAN_POINT('',(-0.85,0.,0.535)); #545065=CARTESIAN_POINT('Origin',(-0.8375,0.,0.535)); #545066=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #545067=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #545068=CARTESIAN_POINT('',(-0.8375,0.,0.535)); #545069=CARTESIAN_POINT('Origin',(-0.8375,0.,0.5025)); #545070=CARTESIAN_POINT('',(-0.87,-0.255,0.5025)); #545071=CARTESIAN_POINT('Origin',(-0.8375,-0.255,0.5025)); #545072=CARTESIAN_POINT('',(-0.87,0.,0.5025)); #545073=CARTESIAN_POINT('Origin',(-0.87,0.,0.13)); #545074=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #545075=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #545076=CARTESIAN_POINT('',(-0.87,0.,0.13)); #545077=CARTESIAN_POINT('Origin',(-1.,0.,0.13)); #545078=CARTESIAN_POINT('Origin',(-1.,-0.255,0.13)); #545079=CARTESIAN_POINT('Origin',(-1.11875,-0.255,0.3325)); #545080=CARTESIAN_POINT('Origin',(-1.11875,-0.695,0.3325)); #545081=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #545082=CARTESIAN_POINT('',(-1.,-0.695,1.73472347597681E-17)); #545083=CARTESIAN_POINT('Origin',(-1.,-0.695,0.13)); #545084=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #545085=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #545086=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #545087=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #545088=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #545089=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #545090=CARTESIAN_POINT('',(-1.,-0.695,0.1625)); #545091=CARTESIAN_POINT('Origin',(-1.0325,-0.695,0.1625)); #545092=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #545093=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #545094=CARTESIAN_POINT('',(-0.87,-0.695,0.665)); #545095=CARTESIAN_POINT('Origin',(-0.87,-0.695,0.535)); #545096=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #545097=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #545098=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #545099=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #545100=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #545101=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #545102=CARTESIAN_POINT('',(-0.87,-0.695,0.5025)); #545103=CARTESIAN_POINT('Origin',(-0.8375,-0.695,0.5025)); #545104=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #545105=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.)); #545106=CARTESIAN_POINT('',(-1.,-1.205,1.73472347597681E-17)); #545107=CARTESIAN_POINT('',(-1.,-0.95,1.73472347597681E-17)); #545108=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #545109=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #545110=CARTESIAN_POINT('',(-1.4,-0.95,0.)); #545111=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.13)); #545112=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #545113=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #545114=CARTESIAN_POINT('',(-1.4,-0.95,0.13)); #545115=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.13)); #545116=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #545117=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #545118=CARTESIAN_POINT('',(-1.0325,-0.95,0.13)); #545119=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.1625)); #545120=CARTESIAN_POINT('',(-1.,-1.205,0.1625)); #545121=CARTESIAN_POINT('Origin',(-1.0325,-1.205,0.1625)); #545122=CARTESIAN_POINT('',(-1.,-0.95,0.1625)); #545123=CARTESIAN_POINT('Origin',(-1.,-0.95,0.535)); #545124=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #545125=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #545126=CARTESIAN_POINT('',(-1.,-0.95,0.535)); #545127=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.535)); #545128=CARTESIAN_POINT('',(-0.87,-1.205,0.665)); #545129=CARTESIAN_POINT('Origin',(-0.87,-1.205,0.535)); #545130=CARTESIAN_POINT('',(-0.87,-0.95,0.665)); #545131=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.665)); #545132=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #545133=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #545134=CARTESIAN_POINT('',(-0.85,-0.95,0.665)); #545135=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.535)); #545136=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #545137=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #545138=CARTESIAN_POINT('',(-0.85,-0.95,0.535)); #545139=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.535)); #545140=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #545141=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #545142=CARTESIAN_POINT('',(-0.8375,-0.95,0.535)); #545143=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.5025)); #545144=CARTESIAN_POINT('',(-0.87,-1.205,0.5025)); #545145=CARTESIAN_POINT('Origin',(-0.8375,-1.205,0.5025)); #545146=CARTESIAN_POINT('',(-0.87,-0.95,0.5025)); #545147=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.13)); #545148=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #545149=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #545150=CARTESIAN_POINT('',(-0.87,-0.95,0.13)); #545151=CARTESIAN_POINT('Origin',(-1.,-0.95,0.13)); #545152=CARTESIAN_POINT('Origin',(-1.,-1.205,0.13)); #545153=CARTESIAN_POINT('Origin',(-1.11875,-1.205,0.3325)); #545154=CARTESIAN_POINT('',(0.,0.,0.)); #545155=CARTESIAN_POINT('',(0.,0.,0.)); #545156=CARTESIAN_POINT('',(0.,0.,0.)); #545157=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #545158=CARTESIAN_POINT('',(-1.75,-0.91,1.56)); #545159=CARTESIAN_POINT('',(-1.71,-0.91,1.6)); #545160=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #545161=CARTESIAN_POINT('',(-1.71,-0.95,1.56)); #545162=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #545163=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #545164=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #545165=CARTESIAN_POINT('',(-0.89,-0.95,1.56)); #545166=CARTESIAN_POINT('',(-0.89,-0.91,1.6)); #545167=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #545168=CARTESIAN_POINT('',(-0.85,-0.91,1.56)); #545169=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #545170=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #545171=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #545172=CARTESIAN_POINT('',(-1.75,-0.91,0.04)); #545173=CARTESIAN_POINT('',(-1.71,-0.95,0.04)); #545174=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #545175=CARTESIAN_POINT('',(-1.71,-0.91,0.)); #545176=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #545177=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #545178=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #545179=CARTESIAN_POINT('',(-1.71,0.95,1.56)); #545180=CARTESIAN_POINT('',(-1.71,0.91,1.6)); #545181=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #545182=CARTESIAN_POINT('',(-1.75,0.91,1.56)); #545183=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #545184=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #545185=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #545186=CARTESIAN_POINT('',(-0.89,-0.95,0.04)); #545187=CARTESIAN_POINT('',(-0.85,-0.91,0.04)); #545188=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #545189=CARTESIAN_POINT('',(-0.89,-0.91,0.)); #545190=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #545191=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #545192=CARTESIAN_POINT('Origin',(-1.525,-0.91,0.04)); #545193=CARTESIAN_POINT('',(-1.525,-0.95,0.04)); #545194=CARTESIAN_POINT('',(-1.525,-0.91,0.)); #545195=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #545196=CARTESIAN_POINT('',(-0.85,0.91,1.56)); #545197=CARTESIAN_POINT('',(-0.89,0.91,1.6)); #545198=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #545199=CARTESIAN_POINT('',(-0.89,0.95,1.56)); #545200=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #545201=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #545202=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #545203=CARTESIAN_POINT('',(-1.71,0.91,0.)); #545204=CARTESIAN_POINT('',(-1.71,0.95,0.04)); #545205=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #545206=CARTESIAN_POINT('',(-1.75,0.91,0.04)); #545207=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #545208=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #545209=CARTESIAN_POINT('Origin',(-1.71,0.475,0.04)); #545210=CARTESIAN_POINT('',(-1.75,0.475,0.04)); #545211=CARTESIAN_POINT('',(-1.71,0.475,0.)); #545212=CARTESIAN_POINT('Origin',(-1.71,0.91,0.)); #545213=CARTESIAN_POINT('',(-1.71,0.95,0.)); #545214=CARTESIAN_POINT('',(-1.75,0.91,0.)); #545215=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #545216=CARTESIAN_POINT('',(-0.85,0.91,0.04)); #545217=CARTESIAN_POINT('',(-0.89,0.95,0.04)); #545218=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #545219=CARTESIAN_POINT('',(-0.89,0.91,0.)); #545220=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #545221=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #545222=CARTESIAN_POINT('Origin',(-0.89,-0.475,0.04)); #545223=CARTESIAN_POINT('',(-0.89,-0.475,0.)); #545224=CARTESIAN_POINT('',(-0.85,-0.475,0.04)); #545225=CARTESIAN_POINT('Origin',(-1.075,0.91,0.04)); #545226=CARTESIAN_POINT('',(-1.075,0.95,0.04)); #545227=CARTESIAN_POINT('',(-1.075,0.91,0.)); #545228=CARTESIAN_POINT('Origin',(-0.89,0.91,0.)); #545229=CARTESIAN_POINT('',(-0.85,0.91,0.)); #545230=CARTESIAN_POINT('',(-0.89,0.95,0.)); #545231=CARTESIAN_POINT('Origin',(-1.075,0.91,1.56)); #545232=CARTESIAN_POINT('',(-1.075,0.91,1.6)); #545233=CARTESIAN_POINT('',(-1.075,0.95,1.56)); #545234=CARTESIAN_POINT('Origin',(-0.89,-0.475,1.56)); #545235=CARTESIAN_POINT('',(-0.89,-0.475,1.6)); #545236=CARTESIAN_POINT('',(-0.85,-0.475,1.56)); #545237=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.)); #545238=CARTESIAN_POINT('',(-0.89,-0.95,0.)); #545239=CARTESIAN_POINT('',(-0.85,-0.91,0.)); #545240=CARTESIAN_POINT('Origin',(-1.71,0.475,1.56)); #545241=CARTESIAN_POINT('',(-1.71,0.475,1.6)); #545242=CARTESIAN_POINT('',(-1.75,0.475,1.56)); #545243=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.)); #545244=CARTESIAN_POINT('',(-1.75,-0.91,0.)); #545245=CARTESIAN_POINT('',(-1.71,-0.95,0.)); #545246=CARTESIAN_POINT('Origin',(-1.525,-0.91,1.56)); #545247=CARTESIAN_POINT('',(-1.525,-0.91,1.6)); #545248=CARTESIAN_POINT('',(-1.525,-0.95,1.56)); #545249=CARTESIAN_POINT('Origin',(-1.75,-0.95,0.)); #545250=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #545251=CARTESIAN_POINT('Origin',(-1.75,0.95,0.)); #545252=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #545253=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #545254=CARTESIAN_POINT('Origin',(-1.3,0.,1.6)); #545255=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #545256=CARTESIAN_POINT('',(0.85,-0.91,1.56)); #545257=CARTESIAN_POINT('',(0.89,-0.91,1.6)); #545258=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #545259=CARTESIAN_POINT('',(0.89,-0.95,1.56)); #545260=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #545261=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #545262=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #545263=CARTESIAN_POINT('',(1.71,-0.95,1.56)); #545264=CARTESIAN_POINT('',(1.71,-0.91,1.6)); #545265=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #545266=CARTESIAN_POINT('',(1.75,-0.91,1.56)); #545267=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #545268=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #545269=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #545270=CARTESIAN_POINT('',(0.85,-0.91,0.04)); #545271=CARTESIAN_POINT('',(0.89,-0.95,0.04)); #545272=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #545273=CARTESIAN_POINT('',(0.89,-0.91,0.)); #545274=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #545275=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #545276=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #545277=CARTESIAN_POINT('',(0.89,0.95,1.56)); #545278=CARTESIAN_POINT('',(0.89,0.91,1.6)); #545279=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #545280=CARTESIAN_POINT('',(0.85,0.91,1.56)); #545281=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #545282=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #545283=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #545284=CARTESIAN_POINT('',(1.71,-0.95,0.04)); #545285=CARTESIAN_POINT('',(1.75,-0.91,0.04)); #545286=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #545287=CARTESIAN_POINT('',(1.71,-0.91,0.)); #545288=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #545289=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #545290=CARTESIAN_POINT('Origin',(1.075,-0.91,0.04)); #545291=CARTESIAN_POINT('',(1.075,-0.95,0.04)); #545292=CARTESIAN_POINT('',(1.075,-0.91,0.)); #545293=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #545294=CARTESIAN_POINT('',(1.75,0.91,1.56)); #545295=CARTESIAN_POINT('',(1.71,0.91,1.6)); #545296=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #545297=CARTESIAN_POINT('',(1.71,0.95,1.56)); #545298=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #545299=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #545300=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #545301=CARTESIAN_POINT('',(0.89,0.91,0.)); #545302=CARTESIAN_POINT('',(0.89,0.95,0.04)); #545303=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #545304=CARTESIAN_POINT('',(0.85,0.91,0.04)); #545305=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #545306=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #545307=CARTESIAN_POINT('Origin',(0.89,0.475,0.04)); #545308=CARTESIAN_POINT('',(0.85,0.475,0.04)); #545309=CARTESIAN_POINT('',(0.89,0.475,0.)); #545310=CARTESIAN_POINT('Origin',(0.89,0.91,0.)); #545311=CARTESIAN_POINT('',(0.89,0.95,0.)); #545312=CARTESIAN_POINT('',(0.85,0.91,0.)); #545313=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #545314=CARTESIAN_POINT('',(1.75,0.91,0.04)); #545315=CARTESIAN_POINT('',(1.71,0.95,0.04)); #545316=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #545317=CARTESIAN_POINT('',(1.71,0.91,0.)); #545318=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #545319=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #545320=CARTESIAN_POINT('Origin',(1.71,-0.475,0.04)); #545321=CARTESIAN_POINT('',(1.75,-0.475,0.04)); #545322=CARTESIAN_POINT('',(1.71,-0.475,0.)); #545323=CARTESIAN_POINT('Origin',(1.525,0.91,0.04)); #545324=CARTESIAN_POINT('',(1.525,0.95,0.04)); #545325=CARTESIAN_POINT('',(1.525,0.91,0.)); #545326=CARTESIAN_POINT('Origin',(1.71,0.91,0.)); #545327=CARTESIAN_POINT('',(1.75,0.91,0.)); #545328=CARTESIAN_POINT('',(1.71,0.95,0.)); #545329=CARTESIAN_POINT('Origin',(1.525,0.91,1.56)); #545330=CARTESIAN_POINT('',(1.525,0.91,1.6)); #545331=CARTESIAN_POINT('',(1.525,0.95,1.56)); #545332=CARTESIAN_POINT('Origin',(1.71,-0.475,1.56)); #545333=CARTESIAN_POINT('',(1.71,-0.475,1.6)); #545334=CARTESIAN_POINT('',(1.75,-0.475,1.56)); #545335=CARTESIAN_POINT('Origin',(1.71,-0.91,0.)); #545336=CARTESIAN_POINT('',(1.71,-0.95,0.)); #545337=CARTESIAN_POINT('',(1.75,-0.91,0.)); #545338=CARTESIAN_POINT('Origin',(0.89,0.475,1.56)); #545339=CARTESIAN_POINT('',(0.89,0.475,1.6)); #545340=CARTESIAN_POINT('',(0.85,0.475,1.56)); #545341=CARTESIAN_POINT('Origin',(0.89,-0.91,0.)); #545342=CARTESIAN_POINT('',(0.85,-0.91,0.)); #545343=CARTESIAN_POINT('',(0.89,-0.95,0.)); #545344=CARTESIAN_POINT('Origin',(1.075,-0.91,1.56)); #545345=CARTESIAN_POINT('',(1.075,-0.91,1.6)); #545346=CARTESIAN_POINT('',(1.075,-0.95,1.56)); #545347=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #545348=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #545349=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #545350=CARTESIAN_POINT('Origin',(1.75,0.95,0.)); #545351=CARTESIAN_POINT('Origin',(1.75,-0.95,0.)); #545352=CARTESIAN_POINT('Origin',(1.3,0.,1.6)); #545353=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #545354=CARTESIAN_POINT('',(0.85,0.95,0.)); #545355=CARTESIAN_POINT('',(0.85,-0.95,0.)); #545356=CARTESIAN_POINT('',(0.85,0.95,0.)); #545357=CARTESIAN_POINT('',(0.85,0.95,1.6)); #545358=CARTESIAN_POINT('',(0.85,0.95,0.)); #545359=CARTESIAN_POINT('',(0.85,-0.95,1.6)); #545360=CARTESIAN_POINT('',(0.85,0.95,1.6)); #545361=CARTESIAN_POINT('',(0.85,-0.95,0.)); #545362=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #545363=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #545364=CARTESIAN_POINT('',(-1.75,-0.95,0.)); #545365=CARTESIAN_POINT('',(-0.85,-0.95,1.6)); #545366=CARTESIAN_POINT('',(-1.75,-0.95,1.6)); #545367=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #545368=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #545369=CARTESIAN_POINT('',(-0.85,0.95,0.)); #545370=CARTESIAN_POINT('',(-0.85,0.95,0.)); #545371=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #545372=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #545373=CARTESIAN_POINT('',(-0.85,0.95,0.)); #545374=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #545375=CARTESIAN_POINT('',(1.75,0.95,0.)); #545376=CARTESIAN_POINT('',(1.75,0.95,1.6)); #545377=CARTESIAN_POINT('Origin',(0.,0.,1.6)); #545378=CARTESIAN_POINT('Origin',(0.,0.,0.)); #545379=CARTESIAN_POINT('',(0.,0.,0.)); #545380=CARTESIAN_POINT('',(0.,0.,0.)); #545381=CARTESIAN_POINT('',(0.,0.,0.)); #545382=CARTESIAN_POINT('Origin',(-2.32,2.38,9.24)); #545383=CARTESIAN_POINT('',(-2.42,2.66,9.34)); #545384=CARTESIAN_POINT('',(-2.42,2.42,9.34)); #545385=CARTESIAN_POINT('',(-2.42,2.38,9.34)); #545386=CARTESIAN_POINT('',(-2.22,2.22,9.14)); #545387=CARTESIAN_POINT('',(-2.42,2.42,9.34)); #545388=CARTESIAN_POINT('',(-2.22,2.86,9.14)); #545389=CARTESIAN_POINT('',(-2.22,2.38,9.14)); #545390=CARTESIAN_POINT('',(-2.42,2.66,9.34)); #545391=CARTESIAN_POINT('Origin',(-2.7,2.32,9.24)); #545392=CARTESIAN_POINT('',(-2.66,2.42,9.34)); #545393=CARTESIAN_POINT('',(-2.7,2.42,9.34)); #545394=CARTESIAN_POINT('',(-2.86,2.22,9.14)); #545395=CARTESIAN_POINT('',(-2.66,2.42,9.34)); #545396=CARTESIAN_POINT('',(-2.7,2.22,9.14)); #545397=CARTESIAN_POINT('Origin',(-2.76,2.7,9.24)); #545398=CARTESIAN_POINT('',(-2.66,2.66,9.34)); #545399=CARTESIAN_POINT('',(-2.66,2.7,9.34)); #545400=CARTESIAN_POINT('',(-2.86,2.86,9.14)); #545401=CARTESIAN_POINT('',(-2.66,2.66,9.34)); #545402=CARTESIAN_POINT('',(-2.86,2.7,9.14)); #545403=CARTESIAN_POINT('Origin',(-2.38,2.76,9.24)); #545404=CARTESIAN_POINT('',(-2.38,2.86,9.14)); #545405=CARTESIAN_POINT('',(-2.38,2.66,9.34)); #545406=CARTESIAN_POINT('Origin',(-2.22,2.86,2.54)); #545407=CARTESIAN_POINT('',(-2.22,2.86,2.54)); #545408=CARTESIAN_POINT('',(-2.22,2.86,2.54)); #545409=CARTESIAN_POINT('',(-2.86,2.86,2.54)); #545410=CARTESIAN_POINT('',(-3.015,2.86,2.54)); #545411=CARTESIAN_POINT('',(-2.86,2.86,2.54)); #545412=CARTESIAN_POINT('Origin',(-2.22,2.22,2.54)); #545413=CARTESIAN_POINT('',(-2.22,2.22,2.54)); #545414=CARTESIAN_POINT('',(-2.22,2.22,2.54)); #545415=CARTESIAN_POINT('',(-2.22,1.755,2.54)); #545416=CARTESIAN_POINT('Origin',(-2.54,2.54,9.34)); #545417=CARTESIAN_POINT('Origin',(-2.76,2.38,-3.2)); #545418=CARTESIAN_POINT('',(-2.66,2.66,-3.3)); #545419=CARTESIAN_POINT('',(-2.66,2.42,-3.3)); #545420=CARTESIAN_POINT('',(-2.66,2.38,-3.3)); #545421=CARTESIAN_POINT('',(-2.86,2.22,-3.1)); #545422=CARTESIAN_POINT('',(-2.66,2.42,-3.3)); #545423=CARTESIAN_POINT('',(-2.86,2.86,-3.1)); #545424=CARTESIAN_POINT('',(-2.86,2.38,-3.1)); #545425=CARTESIAN_POINT('',(-2.66,2.66,-3.3)); #545426=CARTESIAN_POINT('Origin',(-2.38,2.32,-3.2)); #545427=CARTESIAN_POINT('',(-2.42,2.42,-3.3)); #545428=CARTESIAN_POINT('',(-2.38,2.42,-3.3)); #545429=CARTESIAN_POINT('',(-2.22,2.22,-3.1)); #545430=CARTESIAN_POINT('',(-2.42,2.42,-3.3)); #545431=CARTESIAN_POINT('',(-2.38,2.22,-3.1)); #545432=CARTESIAN_POINT('Origin',(-2.32,2.7,-3.2)); #545433=CARTESIAN_POINT('',(-2.42,2.66,-3.3)); #545434=CARTESIAN_POINT('',(-2.42,2.7,-3.3)); #545435=CARTESIAN_POINT('',(-2.22,2.86,-3.1)); #545436=CARTESIAN_POINT('',(-2.42,2.66,-3.3)); #545437=CARTESIAN_POINT('',(-2.22,2.7,-3.1)); #545438=CARTESIAN_POINT('Origin',(-2.7,2.76,-3.2)); #545439=CARTESIAN_POINT('',(-2.7,2.86,-3.1)); #545440=CARTESIAN_POINT('',(-2.7,2.66,-3.3)); #545441=CARTESIAN_POINT('Origin',(-2.86,2.86,8.326672684689E-16)); #545442=CARTESIAN_POINT('',(-2.86,2.86,8.326672684689E-16)); #545443=CARTESIAN_POINT('',(-2.86,2.86,8.326672684689E-16)); #545444=CARTESIAN_POINT('',(-2.22,2.86,8.326672684689E-16)); #545445=CARTESIAN_POINT('',(-2.065,2.86,8.157298109081E-16)); #545446=CARTESIAN_POINT('',(-2.22,2.86,8.326672684689E-16)); #545447=CARTESIAN_POINT('Origin',(-2.86,2.22,8.326672684689E-16)); #545448=CARTESIAN_POINT('',(-2.86,2.22,8.326672684689E-16)); #545449=CARTESIAN_POINT('',(-2.86,2.22,8.326672684689E-16)); #545450=CARTESIAN_POINT('',(-2.86,1.755,1.076348109504E-15)); #545451=CARTESIAN_POINT('Origin',(-2.54,2.54,-3.3)); #545452=CARTESIAN_POINT('Origin',(-2.86,2.86,2.54)); #545453=CARTESIAN_POINT('',(-2.86,2.22,2.54)); #545454=CARTESIAN_POINT('',(-2.86,2.075,2.54)); #545455=CARTESIAN_POINT('',(-2.86,2.22,2.54)); #545456=CARTESIAN_POINT('Origin',(-2.86,2.22,2.54)); #545457=CARTESIAN_POINT('',(-3.335,2.22,2.54)); #545458=CARTESIAN_POINT('Origin',(-2.22,2.22,8.326672684689E-16)); #545459=CARTESIAN_POINT('',(-2.22,2.22,8.326672684689E-16)); #545460=CARTESIAN_POINT('',(-2.22,2.22,8.326672684689E-16)); #545461=CARTESIAN_POINT('',(-1.745,2.22,7.108268479514E-16)); #545462=CARTESIAN_POINT('Origin',(-2.22,2.86,8.326672684689E-16)); #545463=CARTESIAN_POINT('',(-2.22,2.075,8.665421835903E-16)); #545464=CARTESIAN_POINT('Origin',(-3.4925,3.5125,0.635)); #545465=CARTESIAN_POINT('',(-3.81,3.195,2.54)); #545466=CARTESIAN_POINT('',(-3.175,3.83,2.54)); #545467=CARTESIAN_POINT('',(-4.1275,2.8775,2.54)); #545468=CARTESIAN_POINT('',(-3.175,3.83,1.179611963664E-15)); #545469=CARTESIAN_POINT('',(-3.175,3.83,0.635)); #545470=CARTESIAN_POINT('',(-3.81,3.195,1.387778780781E-15)); #545471=CARTESIAN_POINT('',(-3.4925,3.5125,1.318389841742E-15)); #545472=CARTESIAN_POINT('',(-3.81,3.195,0.635)); #545473=CARTESIAN_POINT('Origin',(-3.81,1.29,1.387778780781E-15)); #545474=CARTESIAN_POINT('',(-3.81,1.925,1.387778780781E-15)); #545475=CARTESIAN_POINT('',(-3.81,1.29,1.387778780781E-15)); #545476=CARTESIAN_POINT('',(-3.81,1.925,2.54)); #545477=CARTESIAN_POINT('',(-3.81,1.925,0.635)); #545478=CARTESIAN_POINT('',(-3.81,1.29,2.54)); #545479=CARTESIAN_POINT('Origin',(-1.5875,3.5125,1.905)); #545480=CARTESIAN_POINT('',(-1.27,3.195,5.551115123126E-16)); #545481=CARTESIAN_POINT('',(-1.905,3.83,7.632783294298E-16)); #545482=CARTESIAN_POINT('',(-0.9525,2.8775,4.163336342344E-16)); #545483=CARTESIAN_POINT('',(-1.905,3.83,2.54)); #545484=CARTESIAN_POINT('',(-1.905,3.83,1.905)); #545485=CARTESIAN_POINT('',(-1.27,3.195,2.54)); #545486=CARTESIAN_POINT('',(-1.5875,3.5125,2.54)); #545487=CARTESIAN_POINT('',(-1.27,3.195,0.635)); #545488=CARTESIAN_POINT('Origin',(-1.5875,1.6075,1.905)); #545489=CARTESIAN_POINT('',(-1.905,1.29,7.632783294298E-16)); #545490=CARTESIAN_POINT('',(-1.27,1.925,5.551115123126E-16)); #545491=CARTESIAN_POINT('',(-1.5875,1.6075,6.938893903907E-16)); #545492=CARTESIAN_POINT('',(-1.27,1.925,2.54)); #545493=CARTESIAN_POINT('',(-1.27,1.925,0.635)); #545494=CARTESIAN_POINT('',(-1.905,1.29,2.54)); #545495=CARTESIAN_POINT('',(-2.2225,0.9725,2.54)); #545496=CARTESIAN_POINT('',(-1.905,1.29,1.905)); #545497=CARTESIAN_POINT('Origin',(-3.4925,1.6075,0.635)); #545498=CARTESIAN_POINT('',(-3.175,1.29,2.54)); #545499=CARTESIAN_POINT('',(-3.4925,1.6075,2.54)); #545500=CARTESIAN_POINT('',(-3.175,1.29,1.179611963664E-15)); #545501=CARTESIAN_POINT('',(-2.8575,0.9725,1.179611963664E-15)); #545502=CARTESIAN_POINT('',(-3.175,1.29,0.635)); #545503=CARTESIAN_POINT('Origin',(-2.54,3.83,1.27)); #545504=CARTESIAN_POINT('',(-3.81,3.83,2.54)); #545505=CARTESIAN_POINT('',(-1.27,3.83,5.551115123126E-16)); #545506=CARTESIAN_POINT('Origin',(0.22,2.38,9.24)); #545507=CARTESIAN_POINT('',(0.12,2.66,9.34)); #545508=CARTESIAN_POINT('',(0.12,2.42,9.34)); #545509=CARTESIAN_POINT('',(0.12,2.38,9.34)); #545510=CARTESIAN_POINT('',(0.32,2.22,9.14)); #545511=CARTESIAN_POINT('',(0.12,2.42,9.34)); #545512=CARTESIAN_POINT('',(0.32,2.86,9.14)); #545513=CARTESIAN_POINT('',(0.32,2.38,9.14)); #545514=CARTESIAN_POINT('',(0.12,2.66,9.34)); #545515=CARTESIAN_POINT('Origin',(-0.16,2.32,9.24)); #545516=CARTESIAN_POINT('',(-0.12,2.42,9.34)); #545517=CARTESIAN_POINT('',(-0.16,2.42,9.34)); #545518=CARTESIAN_POINT('',(-0.32,2.22,9.14)); #545519=CARTESIAN_POINT('',(-0.12,2.42,9.34)); #545520=CARTESIAN_POINT('',(-0.16,2.22,9.14)); #545521=CARTESIAN_POINT('Origin',(-0.22,2.7,9.24)); #545522=CARTESIAN_POINT('',(-0.12,2.66,9.34)); #545523=CARTESIAN_POINT('',(-0.12,2.7,9.34)); #545524=CARTESIAN_POINT('',(-0.32,2.86,9.14)); #545525=CARTESIAN_POINT('',(-0.12,2.66,9.34)); #545526=CARTESIAN_POINT('',(-0.32,2.7,9.14)); #545527=CARTESIAN_POINT('Origin',(0.16,2.76,9.24)); #545528=CARTESIAN_POINT('',(0.16,2.86,9.14)); #545529=CARTESIAN_POINT('',(0.16,2.66,9.34)); #545530=CARTESIAN_POINT('Origin',(0.32,2.86,2.54)); #545531=CARTESIAN_POINT('',(0.32,2.86,2.54)); #545532=CARTESIAN_POINT('',(0.32,2.86,2.54)); #545533=CARTESIAN_POINT('',(-0.32,2.86,2.54)); #545534=CARTESIAN_POINT('',(-0.475,2.86,2.54)); #545535=CARTESIAN_POINT('',(-0.32,2.86,2.54)); #545536=CARTESIAN_POINT('Origin',(0.32,2.22,2.54)); #545537=CARTESIAN_POINT('',(0.32,2.22,2.54)); #545538=CARTESIAN_POINT('',(0.32,2.22,2.54)); #545539=CARTESIAN_POINT('',(0.32,1.755,2.54)); #545540=CARTESIAN_POINT('Origin',(2.012279232133E-15,2.54,9.34)); #545541=CARTESIAN_POINT('Origin',(-0.22,2.38,-3.2)); #545542=CARTESIAN_POINT('',(-0.12,2.66,-3.3)); #545543=CARTESIAN_POINT('',(-0.12,2.42,-3.3)); #545544=CARTESIAN_POINT('',(-0.12,2.38,-3.3)); #545545=CARTESIAN_POINT('',(-0.32,2.22,-3.1)); #545546=CARTESIAN_POINT('',(-0.12,2.42,-3.3)); #545547=CARTESIAN_POINT('',(-0.32,2.86,-3.1)); #545548=CARTESIAN_POINT('',(-0.32,2.38,-3.1)); #545549=CARTESIAN_POINT('',(-0.12,2.66,-3.3)); #545550=CARTESIAN_POINT('Origin',(0.16,2.32,-3.2)); #545551=CARTESIAN_POINT('',(0.12,2.42,-3.3)); #545552=CARTESIAN_POINT('',(0.16,2.42,-3.3)); #545553=CARTESIAN_POINT('',(0.32,2.22,-3.1)); #545554=CARTESIAN_POINT('',(0.12,2.42,-3.3)); #545555=CARTESIAN_POINT('',(0.16,2.22,-3.1)); #545556=CARTESIAN_POINT('Origin',(0.22,2.7,-3.2)); #545557=CARTESIAN_POINT('',(0.12,2.66,-3.3)); #545558=CARTESIAN_POINT('',(0.12,2.7,-3.3)); #545559=CARTESIAN_POINT('',(0.32,2.86,-3.1)); #545560=CARTESIAN_POINT('',(0.12,2.66,-3.3)); #545561=CARTESIAN_POINT('',(0.32,2.7,-3.1)); #545562=CARTESIAN_POINT('Origin',(-0.16,2.76,-3.2)); #545563=CARTESIAN_POINT('',(-0.16,2.86,-3.1)); #545564=CARTESIAN_POINT('',(-0.16,2.66,-3.3)); #545565=CARTESIAN_POINT('Origin',(-0.32,2.86,0.)); #545566=CARTESIAN_POINT('',(-0.32,2.86,0.)); #545567=CARTESIAN_POINT('',(-0.32,2.86,0.)); #545568=CARTESIAN_POINT('',(0.32,2.86,0.)); #545569=CARTESIAN_POINT('',(0.475,2.86,-1.693745756072E-17)); #545570=CARTESIAN_POINT('',(0.32,2.86,0.)); #545571=CARTESIAN_POINT('Origin',(-0.32,2.22,0.)); #545572=CARTESIAN_POINT('',(-0.32,2.22,0.)); #545573=CARTESIAN_POINT('',(-0.32,2.22,0.)); #545574=CARTESIAN_POINT('',(-0.32,1.755,2.436808410349E-16)); #545575=CARTESIAN_POINT('Origin',(0.,2.54,-3.3)); #545576=CARTESIAN_POINT('Origin',(-0.32,2.86,2.54)); #545577=CARTESIAN_POINT('',(-0.32,2.22,2.54)); #545578=CARTESIAN_POINT('',(-0.32,2.075,2.54)); #545579=CARTESIAN_POINT('',(-0.32,2.22,2.54)); #545580=CARTESIAN_POINT('Origin',(-0.32,2.22,2.54)); #545581=CARTESIAN_POINT('',(-0.795,2.22,2.54)); #545582=CARTESIAN_POINT('Origin',(0.32,2.22,0.)); #545583=CARTESIAN_POINT('',(0.32,2.22,0.)); #545584=CARTESIAN_POINT('',(0.32,2.22,0.)); #545585=CARTESIAN_POINT('',(0.795,2.22,-1.218404205174E-16)); #545586=CARTESIAN_POINT('Origin',(0.32,2.86,0.)); #545587=CARTESIAN_POINT('',(0.32,2.075,3.387491512144E-17)); #545588=CARTESIAN_POINT('Origin',(-0.9525,3.5125,0.635)); #545589=CARTESIAN_POINT('',(-0.635,3.83,2.54)); #545590=CARTESIAN_POINT('',(-1.5875,2.8775,2.54)); #545591=CARTESIAN_POINT('',(-0.635,3.83,3.469446951954E-16)); #545592=CARTESIAN_POINT('',(-0.635,3.83,0.635)); #545593=CARTESIAN_POINT('',(-0.9525,3.5125,4.857225732735E-16)); #545594=CARTESIAN_POINT('Origin',(0.9525,3.5125,1.905)); #545595=CARTESIAN_POINT('',(1.27,3.195,-2.775557561563E-16)); #545596=CARTESIAN_POINT('',(0.635,3.83,-6.938893903907E-17)); #545597=CARTESIAN_POINT('',(1.5875,2.8775,-4.163336342344E-16)); #545598=CARTESIAN_POINT('',(0.635,3.83,2.54)); #545599=CARTESIAN_POINT('',(0.635,3.83,1.905)); #545600=CARTESIAN_POINT('',(1.27,3.195,2.54)); #545601=CARTESIAN_POINT('',(0.9525,3.5125,2.54)); #545602=CARTESIAN_POINT('',(1.27,3.195,1.905)); #545603=CARTESIAN_POINT('Origin',(1.27,1.29,2.54)); #545604=CARTESIAN_POINT('',(1.27,1.925,2.54)); #545605=CARTESIAN_POINT('',(1.27,1.29,2.54)); #545606=CARTESIAN_POINT('',(1.27,1.925,-2.775557561563E-16)); #545607=CARTESIAN_POINT('',(1.27,1.925,1.905)); #545608=CARTESIAN_POINT('',(1.27,1.29,-2.775557561563E-16)); #545609=CARTESIAN_POINT('Origin',(0.9525,1.6075,1.905)); #545610=CARTESIAN_POINT('',(0.635,1.29,-6.938893903907E-17)); #545611=CARTESIAN_POINT('',(0.9525,1.6075,-1.387778780781E-16)); #545612=CARTESIAN_POINT('',(0.635,1.29,2.54)); #545613=CARTESIAN_POINT('',(0.3175,0.9725,2.54)); #545614=CARTESIAN_POINT('',(0.635,1.29,1.905)); #545615=CARTESIAN_POINT('Origin',(-0.9525,1.6075,0.635)); #545616=CARTESIAN_POINT('',(-0.635,1.29,2.54)); #545617=CARTESIAN_POINT('',(-0.9525,1.6075,2.54)); #545618=CARTESIAN_POINT('',(-0.635,1.29,3.469446951954E-16)); #545619=CARTESIAN_POINT('',(-0.3175,0.9725,3.469446951954E-16)); #545620=CARTESIAN_POINT('',(-0.635,1.29,0.635)); #545621=CARTESIAN_POINT('Origin',(2.775557561563E-16,3.83,1.27)); #545622=CARTESIAN_POINT('',(-1.27,3.83,2.54)); #545623=CARTESIAN_POINT('',(1.27,3.83,-2.775557561563E-16)); #545624=CARTESIAN_POINT('Origin',(-2.32,-0.16,9.24)); #545625=CARTESIAN_POINT('',(-2.42,0.12,9.34)); #545626=CARTESIAN_POINT('',(-2.42,-0.12,9.34)); #545627=CARTESIAN_POINT('',(-2.42,-0.16,9.34)); #545628=CARTESIAN_POINT('',(-2.22,-0.32,9.14)); #545629=CARTESIAN_POINT('',(-2.42,-0.12,9.34)); #545630=CARTESIAN_POINT('',(-2.22,0.32,9.14)); #545631=CARTESIAN_POINT('',(-2.22,-0.16,9.14)); #545632=CARTESIAN_POINT('',(-2.42,0.12,9.34)); #545633=CARTESIAN_POINT('Origin',(-2.7,-0.22,9.24)); #545634=CARTESIAN_POINT('',(-2.66,-0.12,9.34)); #545635=CARTESIAN_POINT('',(-2.7,-0.12,9.34)); #545636=CARTESIAN_POINT('',(-2.86,-0.32,9.14)); #545637=CARTESIAN_POINT('',(-2.66,-0.12,9.34)); #545638=CARTESIAN_POINT('',(-2.7,-0.32,9.14)); #545639=CARTESIAN_POINT('Origin',(-2.76,0.16,9.24)); #545640=CARTESIAN_POINT('',(-2.66,0.12,9.34)); #545641=CARTESIAN_POINT('',(-2.66,0.16,9.34)); #545642=CARTESIAN_POINT('',(-2.86,0.32,9.14)); #545643=CARTESIAN_POINT('',(-2.66,0.12,9.34)); #545644=CARTESIAN_POINT('',(-2.86,0.16,9.14)); #545645=CARTESIAN_POINT('Origin',(-2.38,0.22,9.24)); #545646=CARTESIAN_POINT('',(-2.38,0.32,9.14)); #545647=CARTESIAN_POINT('',(-2.38,0.12,9.34)); #545648=CARTESIAN_POINT('Origin',(-2.22,0.32,2.54)); #545649=CARTESIAN_POINT('',(-2.22,0.32,2.54)); #545650=CARTESIAN_POINT('',(-2.22,0.32,2.54)); #545651=CARTESIAN_POINT('',(-2.86,0.32,2.54)); #545652=CARTESIAN_POINT('',(-3.015,0.32,2.54)); #545653=CARTESIAN_POINT('',(-2.86,0.32,2.54)); #545654=CARTESIAN_POINT('Origin',(-2.22,-0.32,2.54)); #545655=CARTESIAN_POINT('',(-2.22,-0.32,2.54)); #545656=CARTESIAN_POINT('',(-2.22,-0.32,2.54)); #545657=CARTESIAN_POINT('',(-2.22,-0.785,2.54)); #545658=CARTESIAN_POINT('Origin',(-2.54,0.,9.34)); #545659=CARTESIAN_POINT('Origin',(-2.76,-0.16,-3.2)); #545660=CARTESIAN_POINT('',(-2.66,0.12,-3.3)); #545661=CARTESIAN_POINT('',(-2.66,-0.12,-3.3)); #545662=CARTESIAN_POINT('',(-2.66,-0.16,-3.3)); #545663=CARTESIAN_POINT('',(-2.86,-0.32,-3.1)); #545664=CARTESIAN_POINT('',(-2.66,-0.12,-3.3)); #545665=CARTESIAN_POINT('',(-2.86,0.32,-3.1)); #545666=CARTESIAN_POINT('',(-2.86,-0.16,-3.1)); #545667=CARTESIAN_POINT('',(-2.66,0.12,-3.3)); #545668=CARTESIAN_POINT('Origin',(-2.38,-0.22,-3.2)); #545669=CARTESIAN_POINT('',(-2.42,-0.12,-3.3)); #545670=CARTESIAN_POINT('',(-2.38,-0.12,-3.3)); #545671=CARTESIAN_POINT('',(-2.22,-0.32,-3.1)); #545672=CARTESIAN_POINT('',(-2.42,-0.12,-3.3)); #545673=CARTESIAN_POINT('',(-2.38,-0.32,-3.1)); #545674=CARTESIAN_POINT('Origin',(-2.32,0.16,-3.2)); #545675=CARTESIAN_POINT('',(-2.42,0.12,-3.3)); #545676=CARTESIAN_POINT('',(-2.42,0.16,-3.3)); #545677=CARTESIAN_POINT('',(-2.22,0.32,-3.1)); #545678=CARTESIAN_POINT('',(-2.42,0.12,-3.3)); #545679=CARTESIAN_POINT('',(-2.22,0.16,-3.1)); #545680=CARTESIAN_POINT('Origin',(-2.7,0.22,-3.2)); #545681=CARTESIAN_POINT('',(-2.7,0.32,-3.1)); #545682=CARTESIAN_POINT('',(-2.7,0.12,-3.3)); #545683=CARTESIAN_POINT('Origin',(-2.86,0.32,8.326672684689E-16)); #545684=CARTESIAN_POINT('',(-2.86,0.32,8.326672684689E-16)); #545685=CARTESIAN_POINT('',(-2.86,0.32,8.326672684689E-16)); #545686=CARTESIAN_POINT('',(-2.22,0.32,8.326672684689E-16)); #545687=CARTESIAN_POINT('',(-2.065,0.32,8.157298109081E-16)); #545688=CARTESIAN_POINT('',(-2.22,0.32,8.326672684689E-16)); #545689=CARTESIAN_POINT('Origin',(-2.86,-0.32,8.326672684689E-16)); #545690=CARTESIAN_POINT('',(-2.86,-0.32,8.326672684689E-16)); #545691=CARTESIAN_POINT('',(-2.86,-0.32,8.326672684689E-16)); #545692=CARTESIAN_POINT('',(-2.86,-0.785,1.076348109504E-15)); #545693=CARTESIAN_POINT('Origin',(-2.54,0.,-3.3)); #545694=CARTESIAN_POINT('Origin',(-2.86,0.32,2.54)); #545695=CARTESIAN_POINT('',(-2.86,-0.32,2.54)); #545696=CARTESIAN_POINT('',(-2.86,-0.465,2.54)); #545697=CARTESIAN_POINT('',(-2.86,-0.32,2.54)); #545698=CARTESIAN_POINT('Origin',(-2.86,-0.32,2.54)); #545699=CARTESIAN_POINT('',(-3.335,-0.32,2.54)); #545700=CARTESIAN_POINT('Origin',(-2.22,-0.32,8.326672684689E-16)); #545701=CARTESIAN_POINT('',(-2.22,-0.32,8.326672684689E-16)); #545702=CARTESIAN_POINT('',(-2.22,-0.32,8.326672684689E-16)); #545703=CARTESIAN_POINT('',(-1.745,-0.32,7.108268479514E-16)); #545704=CARTESIAN_POINT('Origin',(-2.22,0.32,8.326672684689E-16)); #545705=CARTESIAN_POINT('',(-2.22,-0.465,8.665421835903E-16)); #545706=CARTESIAN_POINT('Origin',(-3.4925,0.9725,0.635)); #545707=CARTESIAN_POINT('',(-3.81,0.655,2.54)); #545708=CARTESIAN_POINT('',(-4.1275,0.3375,2.54)); #545709=CARTESIAN_POINT('',(-3.81,0.655,1.387778780781E-15)); #545710=CARTESIAN_POINT('',(-3.4925,0.9725,1.318389841742E-15)); #545711=CARTESIAN_POINT('',(-3.81,0.655,0.635)); #545712=CARTESIAN_POINT('Origin',(-3.81,-1.25,1.387778780781E-15)); #545713=CARTESIAN_POINT('',(-3.81,-0.615,1.387778780781E-15)); #545714=CARTESIAN_POINT('',(-3.81,-1.25,1.387778780781E-15)); #545715=CARTESIAN_POINT('',(-3.81,-0.615,2.54)); #545716=CARTESIAN_POINT('',(-3.81,-0.615,0.635)); #545717=CARTESIAN_POINT('',(-3.81,-1.25,2.54)); #545718=CARTESIAN_POINT('Origin',(-1.5875,0.9725,1.905)); #545719=CARTESIAN_POINT('',(-1.27,0.655,5.551115123126E-16)); #545720=CARTESIAN_POINT('',(-0.9525,0.3375,4.163336342344E-16)); #545721=CARTESIAN_POINT('',(-1.27,0.655,2.54)); #545722=CARTESIAN_POINT('',(-1.5875,0.9725,2.54)); #545723=CARTESIAN_POINT('',(-1.27,0.655,0.635)); #545724=CARTESIAN_POINT('Origin',(-1.5875,-0.9325,1.905)); #545725=CARTESIAN_POINT('',(-1.905,-1.25,7.632783294298E-16)); #545726=CARTESIAN_POINT('',(-1.27,-0.615,5.551115123126E-16)); #545727=CARTESIAN_POINT('',(-1.5875,-0.9325,6.938893903907E-16)); #545728=CARTESIAN_POINT('',(-1.27,-0.615,2.54)); #545729=CARTESIAN_POINT('',(-1.27,-0.615,0.635)); #545730=CARTESIAN_POINT('',(-1.905,-1.25,2.54)); #545731=CARTESIAN_POINT('',(-2.2225,-1.5675,2.54)); #545732=CARTESIAN_POINT('',(-1.905,-1.25,1.905)); #545733=CARTESIAN_POINT('Origin',(-3.4925,-0.9325,0.635)); #545734=CARTESIAN_POINT('',(-3.175,-1.25,2.54)); #545735=CARTESIAN_POINT('',(-3.4925,-0.9325,2.54)); #545736=CARTESIAN_POINT('',(-3.175,-1.25,1.179611963664E-15)); #545737=CARTESIAN_POINT('',(-2.8575,-1.5675,1.179611963664E-15)); #545738=CARTESIAN_POINT('',(-3.175,-1.25,0.635)); #545739=CARTESIAN_POINT('Origin',(-2.54,-1.25,1.27)); #545740=CARTESIAN_POINT('',(-3.81,-1.25,2.54)); #545741=CARTESIAN_POINT('',(-1.27,-1.25,5.551115123126E-16)); #545742=CARTESIAN_POINT('Origin',(0.22,-0.16,9.24)); #545743=CARTESIAN_POINT('',(0.12,0.12,9.34)); #545744=CARTESIAN_POINT('',(0.12,-0.12,9.34)); #545745=CARTESIAN_POINT('',(0.12,-0.16,9.34)); #545746=CARTESIAN_POINT('',(0.32,-0.32,9.14)); #545747=CARTESIAN_POINT('',(0.12,-0.12,9.34)); #545748=CARTESIAN_POINT('',(0.32,0.32,9.14)); #545749=CARTESIAN_POINT('',(0.32,-0.16,9.14)); #545750=CARTESIAN_POINT('',(0.12,0.12,9.34)); #545751=CARTESIAN_POINT('Origin',(-0.16,-0.22,9.24)); #545752=CARTESIAN_POINT('',(-0.12,-0.12,9.34)); #545753=CARTESIAN_POINT('',(-0.16,-0.12,9.34)); #545754=CARTESIAN_POINT('',(-0.32,-0.32,9.14)); #545755=CARTESIAN_POINT('',(-0.12,-0.12,9.34)); #545756=CARTESIAN_POINT('',(-0.16,-0.32,9.14)); #545757=CARTESIAN_POINT('Origin',(-0.22,0.16,9.24)); #545758=CARTESIAN_POINT('',(-0.12,0.12,9.34)); #545759=CARTESIAN_POINT('',(-0.12,0.16,9.34)); #545760=CARTESIAN_POINT('',(-0.32,0.32,9.14)); #545761=CARTESIAN_POINT('',(-0.12,0.12,9.34)); #545762=CARTESIAN_POINT('',(-0.32,0.16,9.14)); #545763=CARTESIAN_POINT('Origin',(0.16,0.22,9.24)); #545764=CARTESIAN_POINT('',(0.16,0.32,9.14)); #545765=CARTESIAN_POINT('',(0.16,0.12,9.34)); #545766=CARTESIAN_POINT('Origin',(0.32,0.32,2.54)); #545767=CARTESIAN_POINT('',(0.32,0.32,2.54)); #545768=CARTESIAN_POINT('',(0.32,0.32,2.54)); #545769=CARTESIAN_POINT('',(-0.32,0.32,2.54)); #545770=CARTESIAN_POINT('',(-0.475,0.32,2.54)); #545771=CARTESIAN_POINT('',(-0.32,0.32,2.54)); #545772=CARTESIAN_POINT('Origin',(0.32,-0.32,2.54)); #545773=CARTESIAN_POINT('',(0.32,-0.32,2.54)); #545774=CARTESIAN_POINT('',(0.32,-0.32,2.54)); #545775=CARTESIAN_POINT('',(0.32,-0.785,2.54)); #545776=CARTESIAN_POINT('Origin',(2.012279232133E-15,0.,9.34)); #545777=CARTESIAN_POINT('Origin',(-0.22,-0.16,-3.2)); #545778=CARTESIAN_POINT('',(-0.12,0.12,-3.3)); #545779=CARTESIAN_POINT('',(-0.12,-0.12,-3.3)); #545780=CARTESIAN_POINT('',(-0.12,-0.16,-3.3)); #545781=CARTESIAN_POINT('',(-0.32,-0.32,-3.1)); #545782=CARTESIAN_POINT('',(-0.12,-0.12,-3.3)); #545783=CARTESIAN_POINT('',(-0.32,0.32,-3.1)); #545784=CARTESIAN_POINT('',(-0.32,-0.16,-3.1)); #545785=CARTESIAN_POINT('',(-0.12,0.12,-3.3)); #545786=CARTESIAN_POINT('Origin',(0.16,-0.22,-3.2)); #545787=CARTESIAN_POINT('',(0.12,-0.12,-3.3)); #545788=CARTESIAN_POINT('',(0.16,-0.12,-3.3)); #545789=CARTESIAN_POINT('',(0.32,-0.32,-3.1)); #545790=CARTESIAN_POINT('',(0.12,-0.12,-3.3)); #545791=CARTESIAN_POINT('',(0.16,-0.32,-3.1)); #545792=CARTESIAN_POINT('Origin',(0.22,0.16,-3.2)); #545793=CARTESIAN_POINT('',(0.12,0.12,-3.3)); #545794=CARTESIAN_POINT('',(0.12,0.16,-3.3)); #545795=CARTESIAN_POINT('',(0.32,0.32,-3.1)); #545796=CARTESIAN_POINT('',(0.12,0.12,-3.3)); #545797=CARTESIAN_POINT('',(0.32,0.16,-3.1)); #545798=CARTESIAN_POINT('Origin',(-0.16,0.22,-3.2)); #545799=CARTESIAN_POINT('',(-0.16,0.32,-3.1)); #545800=CARTESIAN_POINT('',(-0.16,0.12,-3.3)); #545801=CARTESIAN_POINT('Origin',(-0.32,0.32,0.)); #545802=CARTESIAN_POINT('',(-0.32,0.32,0.)); #545803=CARTESIAN_POINT('',(-0.32,0.32,0.)); #545804=CARTESIAN_POINT('',(0.32,0.32,0.)); #545805=CARTESIAN_POINT('',(0.475,0.32,-1.693745756072E-17)); #545806=CARTESIAN_POINT('',(0.32,0.32,0.)); #545807=CARTESIAN_POINT('Origin',(-0.32,-0.32,0.)); #545808=CARTESIAN_POINT('',(-0.32,-0.32,0.)); #545809=CARTESIAN_POINT('',(-0.32,-0.32,0.)); #545810=CARTESIAN_POINT('',(-0.32,-0.785,2.436808410349E-16)); #545811=CARTESIAN_POINT('Origin',(0.,0.,-3.3)); #545812=CARTESIAN_POINT('Origin',(-0.32,0.32,2.54)); #545813=CARTESIAN_POINT('',(-0.32,-0.32,2.54)); #545814=CARTESIAN_POINT('',(-0.32,-0.465,2.54)); #545815=CARTESIAN_POINT('',(-0.32,-0.32,2.54)); #545816=CARTESIAN_POINT('Origin',(-0.32,-0.32,2.54)); #545817=CARTESIAN_POINT('',(-0.795,-0.32,2.54)); #545818=CARTESIAN_POINT('Origin',(0.32,-0.32,0.)); #545819=CARTESIAN_POINT('',(0.32,-0.32,0.)); #545820=CARTESIAN_POINT('',(0.32,-0.32,0.)); #545821=CARTESIAN_POINT('',(0.795,-0.32,-1.218404205174E-16)); #545822=CARTESIAN_POINT('Origin',(0.32,0.32,0.)); #545823=CARTESIAN_POINT('',(0.32,-0.465,3.387491512144E-17)); #545824=CARTESIAN_POINT('Origin',(-0.9525,0.9725,0.635)); #545825=CARTESIAN_POINT('',(-1.5875,0.3375,2.54)); #545826=CARTESIAN_POINT('',(-0.9525,0.9725,4.857225732735E-16)); #545827=CARTESIAN_POINT('Origin',(1.27,-1.25,-2.775557561563E-16)); #545828=CARTESIAN_POINT('',(1.27,0.655,-2.775557561563E-16)); #545829=CARTESIAN_POINT('',(1.5875,0.3375,-4.163336342344E-16)); #545830=CARTESIAN_POINT('',(1.27,-0.615,-2.775557561563E-16)); #545831=CARTESIAN_POINT('',(1.27,-1.25,-2.775557561563E-16)); #545832=CARTESIAN_POINT('',(0.635,-1.25,-6.938893903907E-17)); #545833=CARTESIAN_POINT('',(0.9525,-0.9325,-1.387778780781E-16)); #545834=CARTESIAN_POINT('',(-0.635,-1.25,3.469446951954E-16)); #545835=CARTESIAN_POINT('',(1.27,-1.25,-2.775557561563E-16)); #545836=CARTESIAN_POINT('',(-0.3175,-1.5675,3.469446951954E-16)); #545837=CARTESIAN_POINT('Origin',(0.9525,0.9725,1.905)); #545838=CARTESIAN_POINT('',(1.27,0.655,2.54)); #545839=CARTESIAN_POINT('',(0.9525,0.9725,2.54)); #545840=CARTESIAN_POINT('',(1.27,0.655,1.905)); #545841=CARTESIAN_POINT('Origin',(1.27,-1.25,2.54)); #545842=CARTESIAN_POINT('',(1.27,-0.615,2.54)); #545843=CARTESIAN_POINT('',(1.27,-1.25,2.54)); #545844=CARTESIAN_POINT('',(1.27,-0.615,1.905)); #545845=CARTESIAN_POINT('Origin',(0.9525,-0.9325,1.905)); #545846=CARTESIAN_POINT('',(0.635,-1.25,2.54)); #545847=CARTESIAN_POINT('',(0.3175,-1.5675,2.54)); #545848=CARTESIAN_POINT('',(0.635,-1.25,1.905)); #545849=CARTESIAN_POINT('Origin',(-0.9525,-0.9325,0.635)); #545850=CARTESIAN_POINT('',(-0.635,-1.25,2.54)); #545851=CARTESIAN_POINT('',(-0.9525,-0.9325,2.54)); #545852=CARTESIAN_POINT('',(-0.635,-1.25,0.635)); #545853=CARTESIAN_POINT('Origin',(-1.27,-1.25,2.54)); #545854=CARTESIAN_POINT('',(-1.27,-1.25,2.54)); #545855=CARTESIAN_POINT('Origin',(4.13055416642E-16,-1.25,1.27)); #545856=CARTESIAN_POINT('',(1.27,-1.27,0.)); #545857=CARTESIAN_POINT('',(0.,0.,0.)); #545858=CARTESIAN_POINT('',(0.,0.,0.)); #545859=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545860=CARTESIAN_POINT('',(-1.4,-7.07233526507597E-17,1.3275)); #545861=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545862=CARTESIAN_POINT('',(1.4,-7.07233526507597E-17,1.3275)); #545863=CARTESIAN_POINT('',(1.4,7.07233526507597E-17,1.3275)); #545864=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545865=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545866=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545867=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545868=CARTESIAN_POINT('',(-1.4,-7.07233526507597E-17,1.3275)); #545869=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545870=CARTESIAN_POINT('',(1.4,-7.07233526507597E-17,1.3275)); #545871=CARTESIAN_POINT('',(1.4,7.07233526507597E-17,1.3275)); #545872=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545873=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545874=CARTESIAN_POINT('',(-1.4,-7.34788079488412E-17,1.35)); #545875=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545876=CARTESIAN_POINT('',(1.4,-7.34788079488412E-17,1.35)); #545877=CARTESIAN_POINT('',(1.4,7.34788079488412E-17,1.35)); #545878=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545879=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545880=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545881=CARTESIAN_POINT('Origin',(1.8,0.,0.75)); #545882=CARTESIAN_POINT('',(1.4,-9.18485099360515E-17,1.5)); #545883=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545884=CARTESIAN_POINT('',(1.8,-9.18485099360515E-17,1.5)); #545885=CARTESIAN_POINT('',(1.8,9.18485099360515E-17,1.5)); #545886=CARTESIAN_POINT('Origin',(1.8,0.,0.75)); #545887=CARTESIAN_POINT('Origin',(1.8,0.,0.75)); #545888=CARTESIAN_POINT('Origin',(1.4,0.,0.75)); #545889=CARTESIAN_POINT('Origin',(-1.8,0.,0.75)); #545890=CARTESIAN_POINT('',(-1.4,-9.18485099360515E-17,1.5)); #545891=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545892=CARTESIAN_POINT('',(-1.8,-9.18485099360515E-17,1.5)); #545893=CARTESIAN_POINT('',(-1.8,9.18485099360515E-17,1.5)); #545894=CARTESIAN_POINT('Origin',(-1.8,0.,0.75)); #545895=CARTESIAN_POINT('Origin',(-1.8,0.,0.75)); #545896=CARTESIAN_POINT('Origin',(-1.4,0.,0.75)); #545897=CARTESIAN_POINT('Origin',(-1.12,0.,0.75)); #545898=CARTESIAN_POINT('',(-0.56,-7.09070496706318E-17,1.329)); #545899=CARTESIAN_POINT('Origin',(-0.56,0.,0.75)); #545900=CARTESIAN_POINT('',(-1.12,-7.09070496706318E-17,1.329)); #545901=CARTESIAN_POINT('',(-1.12,-7.09070496706318E-17,1.329)); #545902=CARTESIAN_POINT('Origin',(-1.12,0.,0.75)); #545903=CARTESIAN_POINT('Origin',(-0.56,0.,0.75)); #545904=CARTESIAN_POINT('Origin',(-1.12,0.,0.75)); #545905=CARTESIAN_POINT('',(0.,0.,0.)); #545906=CARTESIAN_POINT('',(34.,45.,1.5525)); #545907=CARTESIAN_POINT('',(30.,66.,-0.0525)); #545908=CARTESIAN_POINT('',(34.,66.,-0.0525)); #545909=CARTESIAN_POINT('',(38.,66.,-0.0525)); #545910=CARTESIAN_POINT('',(17.,50.5,1.5525)); #545911=CARTESIAN_POINT('',(51.,50.5,1.5525)); #545912=CARTESIAN_POINT('',(39.25,52.5,1.5525)); #545913=CARTESIAN_POINT('',(28.75,52.5,1.5525)); #545914=CARTESIAN_POINT('',(48.,41.,1.5525)); #545915=CARTESIAN_POINT('',(44.,41.,1.5525)); #545916=CARTESIAN_POINT('',(20.,41.,1.5525)); #545917=CARTESIAN_POINT('',(24.,41.,1.5525)); #545918=CARTESIAN_POINT('',(23.,26.,1.5525)); #545919=CARTESIAN_POINT('',(21.,15.,1.5525)); #545920=CARTESIAN_POINT('',(25.,15.,1.5525)); #545921=CARTESIAN_POINT('',(21.,18.,1.5525)); #545922=CARTESIAN_POINT('',(25.,18.,1.5525)); #545923=CARTESIAN_POINT('',(21.,21.,1.5525)); #545924=CARTESIAN_POINT('',(25.,21.,1.5525)); #545925=CARTESIAN_POINT('',(45.,26.,1.5525)); #545926=CARTESIAN_POINT('',(30.,60.25,1.5525)); #545927=CARTESIAN_POINT('',(34.,60.25,1.5525)); #545928=CARTESIAN_POINT('',(38.,60.25,1.5525)); #545929=CARTESIAN_POINT('',(27.,19.,-0.0525)); #545930=CARTESIAN_POINT('',(27.,23.,-0.0525)); #545931=CARTESIAN_POINT('',(46.75,27.,-0.0525)); #545932=CARTESIAN_POINT('',(34.,2.5,-0.0525)); #545933=CARTESIAN_POINT('',(23.,34.,1.5525)); #545934=CARTESIAN_POINT('',(41.,23.,-0.0525)); #545935=CARTESIAN_POINT('',(49.25,27.,-0.0525)); #545936=CARTESIAN_POINT('',(55.,50.5,1.5525)); #545937=CARTESIAN_POINT('',(39.25,56.5,1.5525)); #545938=CARTESIAN_POINT('',(28.75,56.5,1.5525)); #545939=CARTESIAN_POINT('',(38.,38.,1.5525)); #545940=CARTESIAN_POINT('',(30.,38.,1.5525)); #545941=CARTESIAN_POINT('',(13.,50.5,1.5525)); #545942=CARTESIAN_POINT('',(54.,45.,-0.0525)); #545943=CARTESIAN_POINT('',(54.,42.,-0.0525)); #545944=CARTESIAN_POINT('',(14.,45.,-0.0525)); #545945=CARTESIAN_POINT('',(14.,42.,-0.0525)); #545946=CARTESIAN_POINT('',(21.,29.,1.5525)); #545947=CARTESIAN_POINT('',(25.,29.,1.5525)); #545948=CARTESIAN_POINT('',(20.,26.,-0.0525)); #545949=CARTESIAN_POINT('',(20.,20.,-0.0525)); #545950=CARTESIAN_POINT('',(54.,26.,-0.0525)); #545951=CARTESIAN_POINT('',(54.,26.,1.5525)); #545952=CARTESIAN_POINT('',(54.,21.,1.5525)); #545953=CARTESIAN_POINT('',(14.,26.,-0.0525)); #545954=CARTESIAN_POINT('',(14.,26.,1.5525)); #545955=CARTESIAN_POINT('',(14.,21.,1.5525)); #545956=CARTESIAN_POINT('',(54.,34.,1.5525)); #545957=CARTESIAN_POINT('',(14.,34.,1.5525)); #545958=CARTESIAN_POINT('',(45.,17.,1.5525)); #545959=CARTESIAN_POINT('',(46.,47.,-0.0525)); #545960=CARTESIAN_POINT('',(46.,56.,-0.0525)); #545961=CARTESIAN_POINT('',(22.,47.,-0.0525)); #545962=CARTESIAN_POINT('',(22.,56.,-0.0525)); #545963=CARTESIAN_POINT('',(34.,55.,-0.0525)); #545964=CARTESIAN_POINT('',(34.,34.,-0.0525)); #545965=CARTESIAN_POINT('',(34.,17.,1.5525)); #545966=CARTESIAN_POINT('',(53.,47.,1.5525)); #545967=CARTESIAN_POINT('',(15.,47.,1.5525)); #545968=CARTESIAN_POINT('',(38.,31.,1.5525)); #545969=CARTESIAN_POINT('',(30.,31.,1.5525)); #545970=CARTESIAN_POINT('',(48.,21.,-0.0525)); #545971=CARTESIAN_POINT('',(20.,23.,-0.0525)); #545972=CARTESIAN_POINT('',(45.,12.25,1.5525)); #545973=CARTESIAN_POINT('',(45.,34.,1.5525)); #545974=CARTESIAN_POINT('',(34.,54.5,1.5525)); #545975=CARTESIAN_POINT('',(0.,0.,0.)); #545976=CARTESIAN_POINT('',(0.,0.,1.5)); #545977=CARTESIAN_POINT('',(0.,0.,-0.035)); #545978=CARTESIAN_POINT('',(0.,0.,1.5)); #545979=CARTESIAN_POINT('',(0.,0.,0.)); #545980=CARTESIAN_POINT('',(0.,0.,0.)); #545981=CARTESIAN_POINT('Origin',(0.,0.,0.)); #545982=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545983=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545984=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545985=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545986=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545987=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545988=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545989=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545990=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545991=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545992=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545993=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545994=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545995=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545996=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545997=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545998=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #545999=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546000=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546001=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546002=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546003=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546004=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546005=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546006=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546007=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546008=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546009=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546010=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546011=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546012=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546013=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546014=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546015=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546016=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546017=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546018=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546019=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546020=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546021=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546022=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546023=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546024=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546025=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546026=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546027=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546028=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546029=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546030=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546031=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546032=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546033=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546034=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546035=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546036=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546037=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546038=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546039=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546040=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546041=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546042=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546043=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546044=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546045=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546046=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546047=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546048=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#546116, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #546049=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545982)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546050=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545983)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546051=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545984)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546052=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545985)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546053=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545986)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546054=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545987)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546055=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545988)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546056=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545989)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546057=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545990)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546058=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545991)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546059=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545992)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546060=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545993)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546061=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545994)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546062=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545995)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546063=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545996)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546064=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545997)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546065=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545998)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546066=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#545999)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546067=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546000)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546068=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546001)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546069=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546002)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546070=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546003)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546071=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546004)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546072=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546005)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546073=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546006)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546074=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546007)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546075=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546008)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546076=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546009)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546077=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546010)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546078=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546011)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546079=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546012)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546080=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546013)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546081=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546014)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546082=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546015)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546083=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546016)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546084=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546017)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546085=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546018)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546086=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546019)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546087=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546020)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546088=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546021)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546089=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546022)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546090=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546023)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546091=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546024)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546092=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546025)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546093=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546026)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546094=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546027)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546095=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546028)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546096=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546029)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546097=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546030)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546098=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546031)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546099=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546032)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546100=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546033)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546101=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546034)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546102=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546035)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546103=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546036)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546104=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546037)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546105=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546038)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546106=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546039)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546107=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546040)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546108=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546041)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546109=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546042)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546110=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546043)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546111=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546044)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546112=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546045)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546113=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546046)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546114=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546047)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546115=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#546048)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#546116,#546118,#546119)) REPRESENTATION_CONTEXT('','3D') ); #546116=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #546117=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #546118=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #546119=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #546120=SHAPE_DEFINITION_REPRESENTATION(#546186,#546367); #546121=SHAPE_DEFINITION_REPRESENTATION(#546187,#546368); #546122=SHAPE_DEFINITION_REPRESENTATION(#546188,#546369); #546123=SHAPE_DEFINITION_REPRESENTATION(#546189,#546370); #546124=SHAPE_DEFINITION_REPRESENTATION(#546190,#546371); #546125=SHAPE_DEFINITION_REPRESENTATION(#546191,#546372); #546126=SHAPE_DEFINITION_REPRESENTATION(#546192,#546373); #546127=SHAPE_DEFINITION_REPRESENTATION(#546193,#546374); #546128=SHAPE_DEFINITION_REPRESENTATION(#546194,#546375); #546129=SHAPE_DEFINITION_REPRESENTATION(#546196,#546376); #546130=SHAPE_DEFINITION_REPRESENTATION(#546197,#546377); #546131=SHAPE_DEFINITION_REPRESENTATION(#546199,#546378); #546132=SHAPE_DEFINITION_REPRESENTATION(#546200,#546379); #546133=SHAPE_DEFINITION_REPRESENTATION(#546201,#546380); #546134=SHAPE_DEFINITION_REPRESENTATION(#546202,#546381); #546135=SHAPE_DEFINITION_REPRESENTATION(#546203,#546382); #546136=SHAPE_DEFINITION_REPRESENTATION(#546204,#546383); #546137=SHAPE_DEFINITION_REPRESENTATION(#546205,#546384); #546138=SHAPE_DEFINITION_REPRESENTATION(#546206,#546385); #546139=SHAPE_DEFINITION_REPRESENTATION(#546207,#546386); #546140=SHAPE_DEFINITION_REPRESENTATION(#546208,#546387); #546141=SHAPE_DEFINITION_REPRESENTATION(#546209,#546388); #546142=SHAPE_DEFINITION_REPRESENTATION(#546210,#546389); #546143=SHAPE_DEFINITION_REPRESENTATION(#546211,#546390); #546144=SHAPE_DEFINITION_REPRESENTATION(#546212,#546391); #546145=SHAPE_DEFINITION_REPRESENTATION(#546213,#546392); #546146=SHAPE_DEFINITION_REPRESENTATION(#546214,#546393); #546147=SHAPE_DEFINITION_REPRESENTATION(#546215,#546394); #546148=SHAPE_DEFINITION_REPRESENTATION(#546216,#546395); #546149=SHAPE_DEFINITION_REPRESENTATION(#546217,#546396); #546150=SHAPE_DEFINITION_REPRESENTATION(#546218,#546397); #546151=SHAPE_DEFINITION_REPRESENTATION(#546219,#546398); #546152=SHAPE_DEFINITION_REPRESENTATION(#546241,#546399); #546153=SHAPE_DEFINITION_REPRESENTATION(#546242,#546400); #546154=SHAPE_DEFINITION_REPRESENTATION(#546244,#546401); #546155=SHAPE_DEFINITION_REPRESENTATION(#546245,#546402); #546156=SHAPE_DEFINITION_REPRESENTATION(#546247,#546403); #546157=SHAPE_DEFINITION_REPRESENTATION(#546248,#546404); #546158=SHAPE_DEFINITION_REPRESENTATION(#546250,#546405); #546159=SHAPE_DEFINITION_REPRESENTATION(#546251,#546406); #546160=SHAPE_DEFINITION_REPRESENTATION(#546253,#546407); #546161=SHAPE_DEFINITION_REPRESENTATION(#546254,#546408); #546162=SHAPE_DEFINITION_REPRESENTATION(#546256,#546409); #546163=SHAPE_DEFINITION_REPRESENTATION(#546257,#546410); #546164=SHAPE_DEFINITION_REPRESENTATION(#546259,#546411); #546165=SHAPE_DEFINITION_REPRESENTATION(#546260,#546412); #546166=SHAPE_DEFINITION_REPRESENTATION(#546262,#546413); #546167=SHAPE_DEFINITION_REPRESENTATION(#546263,#546414); #546168=SHAPE_DEFINITION_REPRESENTATION(#546265,#546415); #546169=SHAPE_DEFINITION_REPRESENTATION(#546266,#546416); #546170=SHAPE_DEFINITION_REPRESENTATION(#546268,#546417); #546171=SHAPE_DEFINITION_REPRESENTATION(#546269,#546418); #546172=SHAPE_DEFINITION_REPRESENTATION(#546271,#546419); #546173=SHAPE_DEFINITION_REPRESENTATION(#546272,#546420); #546174=SHAPE_DEFINITION_REPRESENTATION(#546274,#546421); #546175=SHAPE_DEFINITION_REPRESENTATION(#546275,#546422); #546176=SHAPE_DEFINITION_REPRESENTATION(#546277,#546423); #546177=SHAPE_DEFINITION_REPRESENTATION(#546278,#546424); #546178=SHAPE_DEFINITION_REPRESENTATION(#546280,#546425); #546179=SHAPE_DEFINITION_REPRESENTATION(#546281,#546426); #546180=SHAPE_DEFINITION_REPRESENTATION(#546283,#546427); #546181=SHAPE_DEFINITION_REPRESENTATION(#546284,#546428); #546182=SHAPE_DEFINITION_REPRESENTATION(#546286,#546429); #546183=SHAPE_DEFINITION_REPRESENTATION(#546287,#546430); #546184=SHAPE_DEFINITION_REPRESENTATION(#546289,#546431); #546185=SHAPE_DEFINITION_REPRESENTATION(#546290,#546432); #546186=PRODUCT_DEFINITION_SHAPE('',$,#546434); #546187=PRODUCT_DEFINITION_SHAPE('',$,#546435); #546188=PRODUCT_DEFINITION_SHAPE('',$,#546436); #546189=PRODUCT_DEFINITION_SHAPE('',$,#546437); #546190=PRODUCT_DEFINITION_SHAPE('',$,#546438); #546191=PRODUCT_DEFINITION_SHAPE('',$,#546439); #546192=PRODUCT_DEFINITION_SHAPE('',$,#546440); #546193=PRODUCT_DEFINITION_SHAPE('',$,#546441); #546194=PRODUCT_DEFINITION_SHAPE('',$,#546442); #546195=PRODUCT_DEFINITION_SHAPE($,$,#701); #546196=PRODUCT_DEFINITION_SHAPE('',$,#546443); #546197=PRODUCT_DEFINITION_SHAPE('',$,#546444); #546198=PRODUCT_DEFINITION_SHAPE($,$,#702); #546199=PRODUCT_DEFINITION_SHAPE('',$,#546445); #546200=PRODUCT_DEFINITION_SHAPE('',$,#546446); #546201=PRODUCT_DEFINITION_SHAPE('',$,#546447); #546202=PRODUCT_DEFINITION_SHAPE('',$,#546448); #546203=PRODUCT_DEFINITION_SHAPE('',$,#546449); #546204=PRODUCT_DEFINITION_SHAPE('',$,#546450); #546205=PRODUCT_DEFINITION_SHAPE('',$,#546451); #546206=PRODUCT_DEFINITION_SHAPE('',$,#546452); #546207=PRODUCT_DEFINITION_SHAPE('',$,#546453); #546208=PRODUCT_DEFINITION_SHAPE('',$,#546454); #546209=PRODUCT_DEFINITION_SHAPE('',$,#546455); #546210=PRODUCT_DEFINITION_SHAPE('',$,#546456); #546211=PRODUCT_DEFINITION_SHAPE('',$,#546457); #546212=PRODUCT_DEFINITION_SHAPE('',$,#546458); #546213=PRODUCT_DEFINITION_SHAPE('',$,#546459); #546214=PRODUCT_DEFINITION_SHAPE('',$,#546460); #546215=PRODUCT_DEFINITION_SHAPE('',$,#546461); #546216=PRODUCT_DEFINITION_SHAPE('',$,#546462); #546217=PRODUCT_DEFINITION_SHAPE('',$,#546463); #546218=PRODUCT_DEFINITION_SHAPE('',$,#546464); #546219=PRODUCT_DEFINITION_SHAPE('',$,#546465); #546220=PRODUCT_DEFINITION_SHAPE($,$,#703); #546221=PRODUCT_DEFINITION_SHAPE($,$,#704); #546222=PRODUCT_DEFINITION_SHAPE($,$,#705); #546223=PRODUCT_DEFINITION_SHAPE($,$,#706); #546224=PRODUCT_DEFINITION_SHAPE($,$,#707); #546225=PRODUCT_DEFINITION_SHAPE($,$,#708); #546226=PRODUCT_DEFINITION_SHAPE($,$,#709); #546227=PRODUCT_DEFINITION_SHAPE($,$,#710); #546228=PRODUCT_DEFINITION_SHAPE($,$,#711); #546229=PRODUCT_DEFINITION_SHAPE($,$,#712); #546230=PRODUCT_DEFINITION_SHAPE($,$,#713); #546231=PRODUCT_DEFINITION_SHAPE($,$,#714); #546232=PRODUCT_DEFINITION_SHAPE($,$,#715); #546233=PRODUCT_DEFINITION_SHAPE($,$,#716); #546234=PRODUCT_DEFINITION_SHAPE($,$,#717); #546235=PRODUCT_DEFINITION_SHAPE($,$,#718); #546236=PRODUCT_DEFINITION_SHAPE($,$,#719); #546237=PRODUCT_DEFINITION_SHAPE($,$,#720); #546238=PRODUCT_DEFINITION_SHAPE($,$,#721); #546239=PRODUCT_DEFINITION_SHAPE($,$,#722); #546240=PRODUCT_DEFINITION_SHAPE($,$,#723); #546241=PRODUCT_DEFINITION_SHAPE('',$,#546466); #546242=PRODUCT_DEFINITION_SHAPE('',$,#546467); #546243=PRODUCT_DEFINITION_SHAPE($,$,#724); #546244=PRODUCT_DEFINITION_SHAPE('',$,#546468); #546245=PRODUCT_DEFINITION_SHAPE('',$,#546469); #546246=PRODUCT_DEFINITION_SHAPE($,$,#725); #546247=PRODUCT_DEFINITION_SHAPE('',$,#546470); #546248=PRODUCT_DEFINITION_SHAPE('',$,#546471); #546249=PRODUCT_DEFINITION_SHAPE($,$,#726); #546250=PRODUCT_DEFINITION_SHAPE('',$,#546472); #546251=PRODUCT_DEFINITION_SHAPE('',$,#546473); #546252=PRODUCT_DEFINITION_SHAPE($,$,#727); #546253=PRODUCT_DEFINITION_SHAPE('',$,#546474); #546254=PRODUCT_DEFINITION_SHAPE('',$,#546475); #546255=PRODUCT_DEFINITION_SHAPE($,$,#728); #546256=PRODUCT_DEFINITION_SHAPE('',$,#546476); #546257=PRODUCT_DEFINITION_SHAPE('',$,#546477); #546258=PRODUCT_DEFINITION_SHAPE($,$,#729); #546259=PRODUCT_DEFINITION_SHAPE('',$,#546478); #546260=PRODUCT_DEFINITION_SHAPE('',$,#546479); #546261=PRODUCT_DEFINITION_SHAPE($,$,#730); #546262=PRODUCT_DEFINITION_SHAPE('',$,#546480); #546263=PRODUCT_DEFINITION_SHAPE('',$,#546481); #546264=PRODUCT_DEFINITION_SHAPE($,$,#731); #546265=PRODUCT_DEFINITION_SHAPE('',$,#546482); #546266=PRODUCT_DEFINITION_SHAPE('',$,#546483); #546267=PRODUCT_DEFINITION_SHAPE($,$,#732); #546268=PRODUCT_DEFINITION_SHAPE('',$,#546484); #546269=PRODUCT_DEFINITION_SHAPE('',$,#546485); #546270=PRODUCT_DEFINITION_SHAPE($,$,#733); #546271=PRODUCT_DEFINITION_SHAPE('',$,#546486); #546272=PRODUCT_DEFINITION_SHAPE('',$,#546487); #546273=PRODUCT_DEFINITION_SHAPE($,$,#734); #546274=PRODUCT_DEFINITION_SHAPE('',$,#546488); #546275=PRODUCT_DEFINITION_SHAPE('',$,#546489); #546276=PRODUCT_DEFINITION_SHAPE($,$,#735); #546277=PRODUCT_DEFINITION_SHAPE('',$,#546490); #546278=PRODUCT_DEFINITION_SHAPE('',$,#546491); #546279=PRODUCT_DEFINITION_SHAPE($,$,#736); #546280=PRODUCT_DEFINITION_SHAPE('',$,#546492); #546281=PRODUCT_DEFINITION_SHAPE('',$,#546493); #546282=PRODUCT_DEFINITION_SHAPE($,$,#737); #546283=PRODUCT_DEFINITION_SHAPE('',$,#546494); #546284=PRODUCT_DEFINITION_SHAPE('',$,#546495); #546285=PRODUCT_DEFINITION_SHAPE($,$,#738); #546286=PRODUCT_DEFINITION_SHAPE('',$,#546496); #546287=PRODUCT_DEFINITION_SHAPE('',$,#546497); #546288=PRODUCT_DEFINITION_SHAPE($,$,#739); #546289=PRODUCT_DEFINITION_SHAPE('',$,#546498); #546290=PRODUCT_DEFINITION_SHAPE('',$,#546499); #546291=PRODUCT_DEFINITION_SHAPE($,$,#740); #546292=PRODUCT_DEFINITION_SHAPE($,$,#741); #546293=PRODUCT_DEFINITION_SHAPE($,$,#742); #546294=PRODUCT_DEFINITION_SHAPE($,$,#743); #546295=PRODUCT_DEFINITION_SHAPE($,$,#744); #546296=PRODUCT_DEFINITION_SHAPE($,$,#745); #546297=PRODUCT_DEFINITION_SHAPE($,$,#746); #546298=PRODUCT_DEFINITION_SHAPE($,$,#747); #546299=PRODUCT_DEFINITION_SHAPE($,$,#748); #546300=PRODUCT_DEFINITION_SHAPE($,$,#749); #546301=PRODUCT_DEFINITION_SHAPE($,$,#750); #546302=PRODUCT_DEFINITION_SHAPE($,$,#751); #546303=PRODUCT_DEFINITION_SHAPE($,$,#752); #546304=PRODUCT_DEFINITION_SHAPE($,$,#753); #546305=PRODUCT_DEFINITION_SHAPE($,$,#754); #546306=PRODUCT_DEFINITION_SHAPE($,$,#755); #546307=PRODUCT_DEFINITION_SHAPE($,$,#756); #546308=PRODUCT_DEFINITION_SHAPE($,$,#757); #546309=PRODUCT_DEFINITION_SHAPE($,$,#758); #546310=PRODUCT_DEFINITION_SHAPE($,$,#759); #546311=PRODUCT_DEFINITION_SHAPE($,$,#760); #546312=PRODUCT_DEFINITION_SHAPE($,$,#761); #546313=PRODUCT_DEFINITION_SHAPE($,$,#762); #546314=PRODUCT_DEFINITION_SHAPE($,$,#763); #546315=PRODUCT_DEFINITION_SHAPE($,$,#764); #546316=PRODUCT_DEFINITION_SHAPE($,$,#765); #546317=PRODUCT_DEFINITION_SHAPE($,$,#766); #546318=PRODUCT_DEFINITION_SHAPE($,$,#767); #546319=PRODUCT_DEFINITION_SHAPE($,$,#768); #546320=PRODUCT_DEFINITION_SHAPE($,$,#769); #546321=PRODUCT_DEFINITION_SHAPE($,$,#770); #546322=PRODUCT_DEFINITION_SHAPE($,$,#771); #546323=PRODUCT_DEFINITION_SHAPE($,$,#772); #546324=PRODUCT_DEFINITION_SHAPE($,$,#773); #546325=PRODUCT_DEFINITION_SHAPE($,$,#774); #546326=PRODUCT_DEFINITION_SHAPE($,$,#775); #546327=PRODUCT_DEFINITION_SHAPE($,$,#776); #546328=PRODUCT_DEFINITION_SHAPE($,$,#777); #546329=PRODUCT_DEFINITION_SHAPE($,$,#778); #546330=PRODUCT_DEFINITION_SHAPE($,$,#779); #546331=PRODUCT_DEFINITION_SHAPE($,$,#780); #546332=PRODUCT_DEFINITION_SHAPE($,$,#781); #546333=PRODUCT_DEFINITION_SHAPE($,$,#782); #546334=PRODUCT_DEFINITION_SHAPE($,$,#783); #546335=PRODUCT_DEFINITION_SHAPE($,$,#784); #546336=PRODUCT_DEFINITION_SHAPE($,$,#785); #546337=PRODUCT_DEFINITION_SHAPE($,$,#786); #546338=PRODUCT_DEFINITION_SHAPE($,$,#787); #546339=PRODUCT_DEFINITION_SHAPE($,$,#788); #546340=PRODUCT_DEFINITION_SHAPE($,$,#789); #546341=PRODUCT_DEFINITION_SHAPE($,$,#790); #546342=PRODUCT_DEFINITION_SHAPE($,$,#791); #546343=PRODUCT_DEFINITION_SHAPE($,$,#792); #546344=PRODUCT_DEFINITION_SHAPE($,$,#793); #546345=PRODUCT_DEFINITION_SHAPE($,$,#794); #546346=PRODUCT_DEFINITION_SHAPE($,$,#795); #546347=PRODUCT_DEFINITION_SHAPE($,$,#796); #546348=PRODUCT_DEFINITION_SHAPE($,$,#797); #546349=PRODUCT_DEFINITION_SHAPE($,$,#798); #546350=PRODUCT_DEFINITION_SHAPE($,$,#799); #546351=PRODUCT_DEFINITION_SHAPE($,$,#800); #546352=PRODUCT_DEFINITION_SHAPE($,$,#801); #546353=PRODUCT_DEFINITION_SHAPE($,$,#802); #546354=PRODUCT_DEFINITION_SHAPE($,$,#803); #546355=PRODUCT_DEFINITION_SHAPE($,$,#804); #546356=PRODUCT_DEFINITION_SHAPE($,$,#805); #546357=PRODUCT_DEFINITION_SHAPE($,$,#806); #546358=PRODUCT_DEFINITION_SHAPE($,$,#807); #546359=PRODUCT_DEFINITION_SHAPE($,$,#808); #546360=PRODUCT_DEFINITION_SHAPE($,$,#809); #546361=PRODUCT_DEFINITION_SHAPE($,$,#810); #546362=PRODUCT_DEFINITION_SHAPE($,$,#811); #546363=PRODUCT_DEFINITION_SHAPE($,$,#812); #546364=PRODUCT_DEFINITION_SHAPE($,$,#813); #546365=PRODUCT_DEFINITION_SHAPE($,$,#814); #546366=PRODUCT_DEFINITION_SHAPE($,$,#815); #546367=SHAPE_REPRESENTATION('',(#335171,#360603,#360604,#360605,#360606, #360607,#360608),#546049); #546368=SHAPE_REPRESENTATION('',(#335172),#546050); #546369=SHAPE_REPRESENTATION('',(#338904),#546051); #546370=SHAPE_REPRESENTATION('',(#347256),#546052); #546371=SHAPE_REPRESENTATION('',(#353787),#546053); #546372=SHAPE_REPRESENTATION('',(#354819),#546054); #546373=SHAPE_REPRESENTATION('',(#355851,#360534,#360535,#360536,#360537, #360538,#360539,#360540,#360541,#360542,#360543,#360544,#360545,#360546, #360547,#360548,#360549,#360550,#360551,#360552,#360553,#360554,#360555, #360556,#360557,#360558,#360559,#360560,#360561,#360562,#360563,#360564, #360565,#360566,#360567,#360568,#360569,#360570,#360571,#360572,#360573, #360574,#360575,#360576,#360577,#360578,#360579,#360580,#360581,#360582, #360583,#360584,#360585,#360586,#360587,#360588,#360589,#360590,#360591, #360592,#360593,#360594,#360595,#360596,#360597,#360598,#360599,#360600, #360601,#360602),#546055); #546374=SHAPE_REPRESENTATION('',(#355852,#355958),#546056); #546375=SHAPE_REPRESENTATION('',(#355853),#546057); #546376=SHAPE_REPRESENTATION('',(#355959,#356079),#546058); #546377=SHAPE_REPRESENTATION('',(#355960),#546059); #546378=SHAPE_REPRESENTATION('',(#356080,#357699),#546060); #546379=SHAPE_REPRESENTATION('',(#356081,#357698),#546061); #546380=SHAPE_REPRESENTATION('',(#356082,#357679,#357680,#357681,#357682, #357683,#357684,#357685,#357686,#357687,#357688,#357689,#357690,#357691, #357692,#357693,#357694,#357695,#357696,#357697),#546062); #546381=SHAPE_REPRESENTATION('',(#356083),#546063); #546382=SHAPE_REPRESENTATION('',(#356389),#546064); #546383=SHAPE_REPRESENTATION('',(#356418),#546065); #546384=SHAPE_REPRESENTATION('',(#356447),#546066); #546385=SHAPE_REPRESENTATION('',(#356476),#546067); #546386=SHAPE_REPRESENTATION('',(#356505),#546068); #546387=SHAPE_REPRESENTATION('',(#356534),#546069); #546388=SHAPE_REPRESENTATION('',(#356563),#546070); #546389=SHAPE_REPRESENTATION('',(#356592),#546071); #546390=SHAPE_REPRESENTATION('',(#356621),#546072); #546391=SHAPE_REPRESENTATION('',(#356650),#546073); #546392=SHAPE_REPRESENTATION('',(#356679),#546074); #546393=SHAPE_REPRESENTATION('',(#356708),#546075); #546394=SHAPE_REPRESENTATION('',(#356737),#546076); #546395=SHAPE_REPRESENTATION('',(#356766),#546077); #546396=SHAPE_REPRESENTATION('',(#356795),#546078); #546397=SHAPE_REPRESENTATION('',(#356858),#546079); #546398=SHAPE_REPRESENTATION('',(#357650),#546080); #546399=SHAPE_REPRESENTATION('',(#357700,#357791),#546081); #546400=SHAPE_REPRESENTATION('',(#357701),#546082); #546401=SHAPE_REPRESENTATION('',(#357792,#357900),#546083); #546402=SHAPE_REPRESENTATION('',(#357793),#546084); #546403=SHAPE_REPRESENTATION('',(#357901,#358009),#546085); #546404=SHAPE_REPRESENTATION('',(#357902),#546086); #546405=SHAPE_REPRESENTATION('',(#358010,#358118),#546087); #546406=SHAPE_REPRESENTATION('',(#358011),#546088); #546407=SHAPE_REPRESENTATION('',(#358119,#358301),#546089); #546408=SHAPE_REPRESENTATION('',(#358120),#546090); #546409=SHAPE_REPRESENTATION('',(#358302,#358468),#546091); #546410=SHAPE_REPRESENTATION('',(#358303),#546092); #546411=SHAPE_REPRESENTATION('',(#358469,#358635),#546093); #546412=SHAPE_REPRESENTATION('',(#358470),#546094); #546413=SHAPE_REPRESENTATION('',(#358636,#358672),#546095); #546414=SHAPE_REPRESENTATION('',(#358637),#546096); #546415=SHAPE_REPRESENTATION('',(#358673,#359309),#546097); #546416=SHAPE_REPRESENTATION('',(#358674),#546098); #546417=SHAPE_REPRESENTATION('',(#359310,#359812),#546099); #546418=SHAPE_REPRESENTATION('',(#359311),#546100); #546419=SHAPE_REPRESENTATION('',(#359813,#359921),#546101); #546420=SHAPE_REPRESENTATION('',(#359814),#546102); #546421=SHAPE_REPRESENTATION('',(#359922,#359964),#546103); #546422=SHAPE_REPRESENTATION('',(#359923),#546104); #546423=SHAPE_REPRESENTATION('',(#359965,#360161),#546105); #546424=SHAPE_REPRESENTATION('',(#359966),#546106); #546425=SHAPE_REPRESENTATION('',(#360162,#360292),#546107); #546426=SHAPE_REPRESENTATION('',(#360163),#546108); #546427=SHAPE_REPRESENTATION('',(#360293,#360401),#546109); #546428=SHAPE_REPRESENTATION('',(#360294),#546110); #546429=SHAPE_REPRESENTATION('',(#360402,#360502),#546111); #546430=SHAPE_REPRESENTATION('',(#360403),#546112); #546431=SHAPE_REPRESENTATION('',(#360503,#360533),#546113); #546432=SHAPE_REPRESENTATION('',(#360504),#546114); #546433=PRODUCT_DEFINITION_CONTEXT('part definition',#546633,'design'); #546434=PRODUCT_DEFINITION('PCB','PCB v21',#546500,#546433); #546435=PRODUCT_DEFINITION('Board','Board',#546501,#546433); #546436=PRODUCT_DEFINITION('1-copper','1-copper',#546502,#546433); #546437=PRODUCT_DEFINITION('16-copper','16-copper',#546503,#546433); #546438=PRODUCT_DEFINITION('1-soldermask','1-soldermask',#546504,#546433); #546439=PRODUCT_DEFINITION('16-soldermask','16-soldermask',#546505,#546433); #546440=PRODUCT_DEFINITION('Packages','Packages',#546506,#546433); #546441=PRODUCT_DEFINITION('2510-2.54-4P','2510-2.54-4P',#546507,#546433); #546442=PRODUCT_DEFINITION('Model','Model',#546508,#546433); #546443=PRODUCT_DEFINITION('LED0603','LED0603',#546509,#546433); #546444=PRODUCT_DEFINITION('Model (7)','Model (7)',#546510,#546433); #546445=PRODUCT_DEFINITION('TYPE-C-16P-FH','TYPE-C-16P-FH',#546511,#546433); #546446=PRODUCT_DEFINITION('Model (19)','Model (19)',#546512,#546433); #546447=PRODUCT_DEFINITION('34707316.f3d ','34707316.f3d ',#546513,#546433); #546448=PRODUCT_DEFINITION('629722000214_Housing', '629722000214_Housing',#546514,#546433); #546449=PRODUCT_DEFINITION('629722000214_Pin1_Top', '629722000214_Pin1_Top',#546515,#546433); #546450=PRODUCT_DEFINITION('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',#546516,#546433); #546451=PRODUCT_DEFINITION('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',#546517,#546433); #546452=PRODUCT_DEFINITION('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',#546518,#546433); #546453=PRODUCT_DEFINITION('629722000214_Pin3_Top', '629722000214_Pin3_Top',#546519,#546433); #546454=PRODUCT_DEFINITION('629722000214_Pin2_Top', '629722000214_Pin2_Top',#546520,#546433); #546455=PRODUCT_DEFINITION('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',#546521,#546433); #546456=PRODUCT_DEFINITION('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',#546522,#546433); #546457=PRODUCT_DEFINITION('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',#546523,#546433); #546458=PRODUCT_DEFINITION('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',#546524,#546433); #546459=PRODUCT_DEFINITION('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',#546525,#546433); #546460=PRODUCT_DEFINITION('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',#546526,#546433); #546461=PRODUCT_DEFINITION('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',#546527,#546433); #546462=PRODUCT_DEFINITION('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',#546528,#546433); #546463=PRODUCT_DEFINITION('629722000214_Shielding2', '629722000214_Shielding2',#546529,#546433); #546464=PRODUCT_DEFINITION('629722000214_Shielding', '629722000214_Shielding',#546530,#546433); #546465=PRODUCT_DEFINITION('629722000214_Pin4_Top', '629722000214_Pin4_Top',#546531,#546433); #546466=PRODUCT_DEFINITION('XH-2.50-2P','XH-2.50-2P',#546532,#546433); #546467=PRODUCT_DEFINITION('Model (20)','Model (20)',#546533,#546433); #546468=PRODUCT_DEFINITION('R0603','R0603',#546534,#546433); #546469=PRODUCT_DEFINITION('Model (10)','Model (10)',#546535,#546433); #546470=PRODUCT_DEFINITION('C0603','C0603',#546536,#546433); #546471=PRODUCT_DEFINITION('Model (1)','Model (1)',#546537,#546433); #546472=PRODUCT_DEFINITION('C1210','C1210',#546538,#546433); #546473=PRODUCT_DEFINITION('Model (2)','Model (2)',#546539,#546433); #546474=PRODUCT_DEFINITION('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)', #546540,#546433); #546475=PRODUCT_DEFINITION('Model (3)','Model (3)',#546541,#546433); #546476=PRODUCT_DEFINITION('DFN-8(3X3)','DFN-8(3X3)',#546542,#546433); #546477=PRODUCT_DEFINITION('Model (4)','Model (4)',#546543,#546433); #546478=PRODUCT_DEFINITION('DFN-8(5X6)','DFN-8(5X6)',#546544,#546433); #546479=PRODUCT_DEFINITION('Model (5)','Model (5)',#546545,#546433); #546480=PRODUCT_DEFINITION('L12XX','L12XX',#546546,#546433); #546481=PRODUCT_DEFINITION('Model (6)','Model (6)',#546547,#546433); #546482=PRODUCT_DEFINITION('POT-R1S1-RV097NS','POT-R1S1-RV097NS',#546548, #546433); #546483=PRODUCT_DEFINITION('Model (8)','Model (8)',#546549,#546433); #546484=PRODUCT_DEFINITION('QFN-48(7X7)','QFN-48(7X7)',#546550,#546433); #546485=PRODUCT_DEFINITION('Model (9)','Model (9)',#546551,#546433); #546486=PRODUCT_DEFINITION('R1206','R1206',#546552,#546433); #546487=PRODUCT_DEFINITION('Model (11)','Model (11)',#546553,#546433); #546488=PRODUCT_DEFINITION('SOD-123FL','SOD-123FL',#546554,#546433); #546489=PRODUCT_DEFINITION('Model (12)','Model (12)',#546555,#546433); #546490=PRODUCT_DEFINITION('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',#546556,#546433); #546491=PRODUCT_DEFINITION('Model (13)','Model (13)',#546557,#546433); #546492=PRODUCT_DEFINITION('SOT23-5','SOT23-5',#546558,#546433); #546493=PRODUCT_DEFINITION('Model (14)','Model (14)',#546559,#546433); #546494=PRODUCT_DEFINITION('C1206','C1206',#546560,#546433); #546495=PRODUCT_DEFINITION('Model (15)','Model (15)',#546561,#546433); #546496=PRODUCT_DEFINITION('PZ-2.54-2X2P','PZ-2.54-2X2P',#546562,#546433); #546497=PRODUCT_DEFINITION('42903.f3d ','42903.f3d ',#546563,#546433); #546498=PRODUCT_DEFINITION('LL-34(SOD-80)','LL-34(SOD-80)',#546564,#546433); #546499=PRODUCT_DEFINITION('Model (16)','Model (16)',#546565,#546433); #546500=PRODUCT_DEFINITION_FORMATION('',$,#546635); #546501=PRODUCT_DEFINITION_FORMATION('',$,#546636); #546502=PRODUCT_DEFINITION_FORMATION('',$,#546637); #546503=PRODUCT_DEFINITION_FORMATION('',$,#546638); #546504=PRODUCT_DEFINITION_FORMATION('',$,#546639); #546505=PRODUCT_DEFINITION_FORMATION('',$,#546640); #546506=PRODUCT_DEFINITION_FORMATION('',$,#546641); #546507=PRODUCT_DEFINITION_FORMATION('',$,#546642); #546508=PRODUCT_DEFINITION_FORMATION('',$,#546643); #546509=PRODUCT_DEFINITION_FORMATION('',$,#546644); #546510=PRODUCT_DEFINITION_FORMATION('',$,#546645); #546511=PRODUCT_DEFINITION_FORMATION('',$,#546646); #546512=PRODUCT_DEFINITION_FORMATION('',$,#546647); #546513=PRODUCT_DEFINITION_FORMATION('',$,#546648); #546514=PRODUCT_DEFINITION_FORMATION('',$,#546649); #546515=PRODUCT_DEFINITION_FORMATION('',$,#546650); #546516=PRODUCT_DEFINITION_FORMATION('',$,#546651); #546517=PRODUCT_DEFINITION_FORMATION('',$,#546652); #546518=PRODUCT_DEFINITION_FORMATION('',$,#546653); #546519=PRODUCT_DEFINITION_FORMATION('',$,#546654); #546520=PRODUCT_DEFINITION_FORMATION('',$,#546655); #546521=PRODUCT_DEFINITION_FORMATION('',$,#546656); #546522=PRODUCT_DEFINITION_FORMATION('',$,#546657); #546523=PRODUCT_DEFINITION_FORMATION('',$,#546658); #546524=PRODUCT_DEFINITION_FORMATION('',$,#546659); #546525=PRODUCT_DEFINITION_FORMATION('',$,#546660); #546526=PRODUCT_DEFINITION_FORMATION('',$,#546661); #546527=PRODUCT_DEFINITION_FORMATION('',$,#546662); #546528=PRODUCT_DEFINITION_FORMATION('',$,#546663); #546529=PRODUCT_DEFINITION_FORMATION('',$,#546664); #546530=PRODUCT_DEFINITION_FORMATION('',$,#546665); #546531=PRODUCT_DEFINITION_FORMATION('',$,#546666); #546532=PRODUCT_DEFINITION_FORMATION('',$,#546667); #546533=PRODUCT_DEFINITION_FORMATION('',$,#546668); #546534=PRODUCT_DEFINITION_FORMATION('',$,#546669); #546535=PRODUCT_DEFINITION_FORMATION('',$,#546670); #546536=PRODUCT_DEFINITION_FORMATION('',$,#546671); #546537=PRODUCT_DEFINITION_FORMATION('',$,#546672); #546538=PRODUCT_DEFINITION_FORMATION('',$,#546673); #546539=PRODUCT_DEFINITION_FORMATION('',$,#546674); #546540=PRODUCT_DEFINITION_FORMATION('',$,#546675); #546541=PRODUCT_DEFINITION_FORMATION('',$,#546676); #546542=PRODUCT_DEFINITION_FORMATION('',$,#546677); #546543=PRODUCT_DEFINITION_FORMATION('',$,#546678); #546544=PRODUCT_DEFINITION_FORMATION('',$,#546679); #546545=PRODUCT_DEFINITION_FORMATION('',$,#546680); #546546=PRODUCT_DEFINITION_FORMATION('',$,#546681); #546547=PRODUCT_DEFINITION_FORMATION('',$,#546682); #546548=PRODUCT_DEFINITION_FORMATION('',$,#546683); #546549=PRODUCT_DEFINITION_FORMATION('',$,#546684); #546550=PRODUCT_DEFINITION_FORMATION('',$,#546685); #546551=PRODUCT_DEFINITION_FORMATION('',$,#546686); #546552=PRODUCT_DEFINITION_FORMATION('',$,#546687); #546553=PRODUCT_DEFINITION_FORMATION('',$,#546688); #546554=PRODUCT_DEFINITION_FORMATION('',$,#546689); #546555=PRODUCT_DEFINITION_FORMATION('',$,#546690); #546556=PRODUCT_DEFINITION_FORMATION('',$,#546691); #546557=PRODUCT_DEFINITION_FORMATION('',$,#546692); #546558=PRODUCT_DEFINITION_FORMATION('',$,#546693); #546559=PRODUCT_DEFINITION_FORMATION('',$,#546694); #546560=PRODUCT_DEFINITION_FORMATION('',$,#546695); #546561=PRODUCT_DEFINITION_FORMATION('',$,#546696); #546562=PRODUCT_DEFINITION_FORMATION('',$,#546697); #546563=PRODUCT_DEFINITION_FORMATION('',$,#546698); #546564=PRODUCT_DEFINITION_FORMATION('',$,#546699); #546565=PRODUCT_DEFINITION_FORMATION('',$,#546700); #546566=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB v21','PCB v21',(#546635)); #546567=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#546636)); #546568=PRODUCT_RELATED_PRODUCT_CATEGORY('1-copper','1-copper',(#546637)); #546569=PRODUCT_RELATED_PRODUCT_CATEGORY('16-copper','16-copper',(#546638)); #546570=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#546639)); #546571=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#546640)); #546572=PRODUCT_RELATED_PRODUCT_CATEGORY('Packages','Packages',(#546641)); #546573=PRODUCT_RELATED_PRODUCT_CATEGORY('2510-2.54-4P','2510-2.54-4P', (#546642)); #546574=PRODUCT_RELATED_PRODUCT_CATEGORY('Model','Model',(#546643)); #546575=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#546644)); #546576=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (7)','Model (7)',(#546645)); #546577=PRODUCT_RELATED_PRODUCT_CATEGORY('TYPE-C-16P-FH', 'TYPE-C-16P-FH',(#546646)); #546578=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (19)','Model (19)',(#546647)); #546579=PRODUCT_RELATED_PRODUCT_CATEGORY('34707316.f3d ', '34707316.f3d ',(#546648)); #546580=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Housing', '629722000214_Housing',(#546649)); #546581=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top', '629722000214_Pin1_Top',(#546650)); #546582=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',(#546651)); #546583=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',(#546652)); #546584=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',(#546653)); #546585=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top', '629722000214_Pin3_Top',(#546654)); #546586=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top', '629722000214_Pin2_Top',(#546655)); #546587=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',(#546656)); #546588=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',(#546657)); #546589=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',(#546658)); #546590=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',(#546659)); #546591=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',(#546660)); #546592=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',(#546661)); #546593=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',(#546662)); #546594=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',(#546663)); #546595=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding2', '629722000214_Shielding2',(#546664)); #546596=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding', '629722000214_Shielding',(#546665)); #546597=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Top', '629722000214_Pin4_Top',(#546666)); #546598=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#546667)); #546599=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (20)','Model (20)',(#546668)); #546600=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#546669)); #546601=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (10)','Model (10)',(#546670)); #546602=PRODUCT_RELATED_PRODUCT_CATEGORY('C0603','C0603',(#546671)); #546603=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (1)','Model (1)',(#546672)); #546604=PRODUCT_RELATED_PRODUCT_CATEGORY('C1210','C1210',(#546673)); #546605=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (2)','Model (2)',(#546674)); #546606=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP-PTH(D8.0-P3.50)', 'CAP-PTH(D8.0-P3.50)',(#546675)); #546607=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (3)','Model (3)',(#546676)); #546608=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(3X3)','DFN-8(3X3)',(#546677)); #546609=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (4)','Model (4)',(#546678)); #546610=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(5X6)','DFN-8(5X6)',(#546679)); #546611=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (5)','Model (5)',(#546680)); #546612=PRODUCT_RELATED_PRODUCT_CATEGORY('L12XX','L12XX',(#546681)); #546613=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (6)','Model (6)',(#546682)); #546614=PRODUCT_RELATED_PRODUCT_CATEGORY('POT-R1S1-RV097NS', 'POT-R1S1-RV097NS',(#546683)); #546615=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (8)','Model (8)',(#546684)); #546616=PRODUCT_RELATED_PRODUCT_CATEGORY('QFN-48(7X7)','QFN-48(7X7)',(#546685)); #546617=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (9)','Model (9)',(#546686)); #546618=PRODUCT_RELATED_PRODUCT_CATEGORY('R1206','R1206',(#546687)); #546619=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (11)','Model (11)',(#546688)); #546620=PRODUCT_RELATED_PRODUCT_CATEGORY('SOD-123FL','SOD-123FL',(#546689)); #546621=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (12)','Model (12)',(#546690)); #546622=PRODUCT_RELATED_PRODUCT_CATEGORY('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',(#546691)); #546623=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (13)','Model (13)',(#546692)); #546624=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT23-5','SOT23-5',(#546693)); #546625=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (14)','Model (14)',(#546694)); #546626=PRODUCT_RELATED_PRODUCT_CATEGORY('C1206','C1206',(#546695)); #546627=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (15)','Model (15)',(#546696)); #546628=PRODUCT_RELATED_PRODUCT_CATEGORY('PZ-2.54-2X2P','PZ-2.54-2X2P', (#546697)); #546629=PRODUCT_RELATED_PRODUCT_CATEGORY('42903.f3d ','42903.f3d ',(#546698)); #546630=PRODUCT_RELATED_PRODUCT_CATEGORY('LL-34(SOD-80)', 'LL-34(SOD-80)',(#546699)); #546631=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (16)','Model (16)',(#546700)); #546632=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#546633); #546633=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #546634=PRODUCT_CONTEXT('part definition',#546633,'mechanical'); #546635=PRODUCT('PCB','PCB v21',$,(#546634)); #546636=PRODUCT('Board','Board',$,(#546634)); #546637=PRODUCT('1-copper','1-copper',$,(#546634)); #546638=PRODUCT('16-copper','16-copper',$,(#546634)); #546639=PRODUCT('1-soldermask','1-soldermask',$,(#546634)); #546640=PRODUCT('16-soldermask','16-soldermask',$,(#546634)); #546641=PRODUCT('Packages','Packages',$,(#546634)); #546642=PRODUCT('2510-2.54-4P','2510-2.54-4P',$,(#546634)); #546643=PRODUCT('Model','Model',$,(#546634)); #546644=PRODUCT('LED0603','LED0603',$,(#546634)); #546645=PRODUCT('Model (7)','Model (7)',$,(#546634)); #546646=PRODUCT('TYPE-C-16P-FH','TYPE-C-16P-FH',$,(#546634)); #546647=PRODUCT('Model (19)','Model (19)',$,(#546634)); #546648=PRODUCT('34707316.f3d ','34707316.f3d ',$,(#546634)); #546649=PRODUCT('629722000214_Housing','629722000214_Housing',$,(#546634)); #546650=PRODUCT('629722000214_Pin1_Top','629722000214_Pin1_Top',$,(#546634)); #546651=PRODUCT('629722000214_Pin4_Bottom','629722000214_Pin4_Bottom',$, (#546634)); #546652=PRODUCT('629722000214_Pin1_Bottom','629722000214_Pin1_Bottom',$, (#546634)); #546653=PRODUCT('629722000214_Pin3_Bottom','629722000214_Pin3_Bottom',$, (#546634)); #546654=PRODUCT('629722000214_Pin3_Top','629722000214_Pin3_Top',$,(#546634)); #546655=PRODUCT('629722000214_Pin2_Top','629722000214_Pin2_Top',$,(#546634)); #546656=PRODUCT('629722000214_Pin2_Bottom','629722000214_Pin2_Bottom',$, (#546634)); #546657=PRODUCT('629722000214_Pin1_Top_mir','629722000214_Pin1_Top_mir', $,(#546634)); #546658=PRODUCT('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',$,(#546634)); #546659=PRODUCT('629722000214_Pin2_Top_mir','629722000214_Pin2_Top_mir', $,(#546634)); #546660=PRODUCT('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',$,(#546634)); #546661=PRODUCT('629722000214_Pin3_Top_mir','629722000214_Pin3_Top_mir', $,(#546634)); #546662=PRODUCT('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',$,(#546634)); #546663=PRODUCT('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',$,(#546634)); #546664=PRODUCT('629722000214_Shielding2','629722000214_Shielding2',$,(#546634)); #546665=PRODUCT('629722000214_Shielding','629722000214_Shielding',$,(#546634)); #546666=PRODUCT('629722000214_Pin4_Top','629722000214_Pin4_Top',$,(#546634)); #546667=PRODUCT('XH-2.50-2P','XH-2.50-2P',$,(#546634)); #546668=PRODUCT('Model (20)','Model (20)',$,(#546634)); #546669=PRODUCT('R0603','R0603',$,(#546634)); #546670=PRODUCT('Model (10)','Model (10)',$,(#546634)); #546671=PRODUCT('C0603','C0603',$,(#546634)); #546672=PRODUCT('Model (1)','Model (1)',$,(#546634)); #546673=PRODUCT('C1210','C1210',$,(#546634)); #546674=PRODUCT('Model (2)','Model (2)',$,(#546634)); #546675=PRODUCT('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)',$,(#546634)); #546676=PRODUCT('Model (3)','Model (3)',$,(#546634)); #546677=PRODUCT('DFN-8(3X3)','DFN-8(3X3)',$,(#546634)); #546678=PRODUCT('Model (4)','Model (4)',$,(#546634)); #546679=PRODUCT('DFN-8(5X6)','DFN-8(5X6)',$,(#546634)); #546680=PRODUCT('Model (5)','Model (5)',$,(#546634)); #546681=PRODUCT('L12XX','L12XX',$,(#546634)); #546682=PRODUCT('Model (6)','Model (6)',$,(#546634)); #546683=PRODUCT('POT-R1S1-RV097NS','POT-R1S1-RV097NS',$,(#546634)); #546684=PRODUCT('Model (8)','Model (8)',$,(#546634)); #546685=PRODUCT('QFN-48(7X7)','QFN-48(7X7)',$,(#546634)); #546686=PRODUCT('Model (9)','Model (9)',$,(#546634)); #546687=PRODUCT('R1206','R1206',$,(#546634)); #546688=PRODUCT('Model (11)','Model (11)',$,(#546634)); #546689=PRODUCT('SOD-123FL','SOD-123FL',$,(#546634)); #546690=PRODUCT('Model (12)','Model (12)',$,(#546634)); #546691=PRODUCT('SOP-8(L5.0-W3.9-P1.27)','SOP-8(L5.0-W3.9-P1.27)',$,(#546634)); #546692=PRODUCT('Model (13)','Model (13)',$,(#546634)); #546693=PRODUCT('SOT23-5','SOT23-5',$,(#546634)); #546694=PRODUCT('Model (14)','Model (14)',$,(#546634)); #546695=PRODUCT('C1206','C1206',$,(#546634)); #546696=PRODUCT('Model (15)','Model (15)',$,(#546634)); #546697=PRODUCT('PZ-2.54-2X2P','PZ-2.54-2X2P',$,(#546634)); #546698=PRODUCT('42903.f3d ','42903.f3d ',$,(#546634)); #546699=PRODUCT('LL-34(SOD-80)','LL-34(SOD-80)',$,(#546634)); #546700=PRODUCT('Model (16)','Model (16)',$,(#546634)); #546701=PRESENTATION_STYLE_ASSIGNMENT((#546735)); #546702=PRESENTATION_STYLE_ASSIGNMENT((#546736)); #546703=PRESENTATION_STYLE_ASSIGNMENT((#546737)); #546704=PRESENTATION_STYLE_ASSIGNMENT((#546738)); #546705=PRESENTATION_STYLE_ASSIGNMENT((#546739)); #546706=PRESENTATION_STYLE_ASSIGNMENT((#546740)); #546707=PRESENTATION_STYLE_ASSIGNMENT((#546741)); #546708=PRESENTATION_STYLE_ASSIGNMENT((#546742)); #546709=PRESENTATION_STYLE_ASSIGNMENT((#546743)); #546710=PRESENTATION_STYLE_ASSIGNMENT((#546744)); #546711=PRESENTATION_STYLE_ASSIGNMENT((#546745)); #546712=PRESENTATION_STYLE_ASSIGNMENT((#546746)); #546713=PRESENTATION_STYLE_ASSIGNMENT((#546747)); #546714=PRESENTATION_STYLE_ASSIGNMENT((#546748)); #546715=PRESENTATION_STYLE_ASSIGNMENT((#546749)); #546716=PRESENTATION_STYLE_ASSIGNMENT((#546750)); #546717=PRESENTATION_STYLE_ASSIGNMENT((#546751)); #546718=PRESENTATION_STYLE_ASSIGNMENT((#546752)); #546719=PRESENTATION_STYLE_ASSIGNMENT((#546753)); #546720=PRESENTATION_STYLE_ASSIGNMENT((#546754)); #546721=PRESENTATION_STYLE_ASSIGNMENT((#546755)); #546722=PRESENTATION_STYLE_ASSIGNMENT((#546756)); #546723=PRESENTATION_STYLE_ASSIGNMENT((#546757)); #546724=PRESENTATION_STYLE_ASSIGNMENT((#546758)); #546725=PRESENTATION_STYLE_ASSIGNMENT((#546759)); #546726=PRESENTATION_STYLE_ASSIGNMENT((#546760)); #546727=PRESENTATION_STYLE_ASSIGNMENT((#546761)); #546728=PRESENTATION_STYLE_ASSIGNMENT((#546762)); #546729=PRESENTATION_STYLE_ASSIGNMENT((#546763)); #546730=PRESENTATION_STYLE_ASSIGNMENT((#546764)); #546731=PRESENTATION_STYLE_ASSIGNMENT((#546765)); #546732=PRESENTATION_STYLE_ASSIGNMENT((#546766)); #546733=PRESENTATION_STYLE_ASSIGNMENT((#546767)); #546734=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #546735=SURFACE_STYLE_USAGE(.BOTH.,#546768); #546736=SURFACE_STYLE_USAGE(.BOTH.,#546769); #546737=SURFACE_STYLE_USAGE(.BOTH.,#546770); #546738=SURFACE_STYLE_USAGE(.BOTH.,#546771); #546739=SURFACE_STYLE_USAGE(.BOTH.,#546772); #546740=SURFACE_STYLE_USAGE(.BOTH.,#546773); #546741=SURFACE_STYLE_USAGE(.BOTH.,#546774); #546742=SURFACE_STYLE_USAGE(.BOTH.,#546775); #546743=SURFACE_STYLE_USAGE(.BOTH.,#546776); #546744=SURFACE_STYLE_USAGE(.BOTH.,#546777); #546745=SURFACE_STYLE_USAGE(.BOTH.,#546778); #546746=SURFACE_STYLE_USAGE(.BOTH.,#546779); #546747=SURFACE_STYLE_USAGE(.BOTH.,#546780); #546748=SURFACE_STYLE_USAGE(.BOTH.,#546781); #546749=SURFACE_STYLE_USAGE(.BOTH.,#546782); #546750=SURFACE_STYLE_USAGE(.BOTH.,#546783); #546751=SURFACE_STYLE_USAGE(.BOTH.,#546784); #546752=SURFACE_STYLE_USAGE(.BOTH.,#546785); #546753=SURFACE_STYLE_USAGE(.BOTH.,#546786); #546754=SURFACE_STYLE_USAGE(.BOTH.,#546787); #546755=SURFACE_STYLE_USAGE(.BOTH.,#546788); #546756=SURFACE_STYLE_USAGE(.BOTH.,#546789); #546757=SURFACE_STYLE_USAGE(.BOTH.,#546790); #546758=SURFACE_STYLE_USAGE(.BOTH.,#546791); #546759=SURFACE_STYLE_USAGE(.BOTH.,#546792); #546760=SURFACE_STYLE_USAGE(.BOTH.,#546793); #546761=SURFACE_STYLE_USAGE(.BOTH.,#546794); #546762=SURFACE_STYLE_USAGE(.BOTH.,#546795); #546763=SURFACE_STYLE_USAGE(.BOTH.,#546796); #546764=SURFACE_STYLE_USAGE(.BOTH.,#546797); #546765=SURFACE_STYLE_USAGE(.BOTH.,#546798); #546766=SURFACE_STYLE_USAGE(.BOTH.,#546799); #546767=SURFACE_STYLE_USAGE(.BOTH.,#546800); #546768=SURFACE_SIDE_STYLE('',(#546801)); #546769=SURFACE_SIDE_STYLE('',(#546802)); #546770=SURFACE_SIDE_STYLE('',(#546803)); #546771=SURFACE_SIDE_STYLE('',(#546804)); #546772=SURFACE_SIDE_STYLE('',(#546805)); #546773=SURFACE_SIDE_STYLE('',(#546806)); #546774=SURFACE_SIDE_STYLE('',(#546807)); #546775=SURFACE_SIDE_STYLE('',(#546808)); #546776=SURFACE_SIDE_STYLE('',(#546809)); #546777=SURFACE_SIDE_STYLE('',(#546810)); #546778=SURFACE_SIDE_STYLE('',(#546811)); #546779=SURFACE_SIDE_STYLE('',(#546812)); #546780=SURFACE_SIDE_STYLE('',(#546813)); #546781=SURFACE_SIDE_STYLE('',(#546814)); #546782=SURFACE_SIDE_STYLE('',(#546815)); #546783=SURFACE_SIDE_STYLE('',(#546816)); #546784=SURFACE_SIDE_STYLE('',(#546817)); #546785=SURFACE_SIDE_STYLE('',(#546818)); #546786=SURFACE_SIDE_STYLE('',(#546819)); #546787=SURFACE_SIDE_STYLE('',(#546820)); #546788=SURFACE_SIDE_STYLE('',(#546821)); #546789=SURFACE_SIDE_STYLE('',(#546822)); #546790=SURFACE_SIDE_STYLE('',(#546823)); #546791=SURFACE_SIDE_STYLE('',(#546824)); #546792=SURFACE_SIDE_STYLE('',(#546825)); #546793=SURFACE_SIDE_STYLE('',(#546826)); #546794=SURFACE_SIDE_STYLE('',(#546827)); #546795=SURFACE_SIDE_STYLE('',(#546828)); #546796=SURFACE_SIDE_STYLE('',(#546829)); #546797=SURFACE_SIDE_STYLE('',(#546830)); #546798=SURFACE_SIDE_STYLE('',(#546831)); #546799=SURFACE_SIDE_STYLE('',(#546832)); #546800=SURFACE_SIDE_STYLE('',(#546833)); #546801=SURFACE_STYLE_FILL_AREA(#546834); #546802=SURFACE_STYLE_FILL_AREA(#546835); #546803=SURFACE_STYLE_FILL_AREA(#546836); #546804=SURFACE_STYLE_FILL_AREA(#546837); #546805=SURFACE_STYLE_FILL_AREA(#546838); #546806=SURFACE_STYLE_FILL_AREA(#546839); #546807=SURFACE_STYLE_FILL_AREA(#546840); #546808=SURFACE_STYLE_FILL_AREA(#546841); #546809=SURFACE_STYLE_FILL_AREA(#546842); #546810=SURFACE_STYLE_FILL_AREA(#546843); #546811=SURFACE_STYLE_FILL_AREA(#546844); #546812=SURFACE_STYLE_FILL_AREA(#546845); #546813=SURFACE_STYLE_FILL_AREA(#546846); #546814=SURFACE_STYLE_FILL_AREA(#546847); #546815=SURFACE_STYLE_FILL_AREA(#546848); #546816=SURFACE_STYLE_FILL_AREA(#546849); #546817=SURFACE_STYLE_FILL_AREA(#546850); #546818=SURFACE_STYLE_FILL_AREA(#546851); #546819=SURFACE_STYLE_FILL_AREA(#546852); #546820=SURFACE_STYLE_FILL_AREA(#546853); #546821=SURFACE_STYLE_FILL_AREA(#546854); #546822=SURFACE_STYLE_FILL_AREA(#546855); #546823=SURFACE_STYLE_FILL_AREA(#546856); #546824=SURFACE_STYLE_FILL_AREA(#546857); #546825=SURFACE_STYLE_FILL_AREA(#546858); #546826=SURFACE_STYLE_FILL_AREA(#546859); #546827=SURFACE_STYLE_FILL_AREA(#546860); #546828=SURFACE_STYLE_FILL_AREA(#546861); #546829=SURFACE_STYLE_FILL_AREA(#546862); #546830=SURFACE_STYLE_FILL_AREA(#546863); #546831=SURFACE_STYLE_FILL_AREA(#546864); #546832=SURFACE_STYLE_FILL_AREA(#546865); #546833=SURFACE_STYLE_FILL_AREA(#546866); #546834=FILL_AREA_STYLE('Opaque(255,191,0)',(#546867)); #546835=FILL_AREA_STYLE('Steel - Satin',(#546868)); #546836=FILL_AREA_STYLE('Opaque(120,110,70)',(#546869)); #546837=FILL_AREA_STYLE('Transparent(54,155,54)',(#546870)); #546838=FILL_AREA_STYLE('Opaque(255,255,255)',(#546871)); #546839=FILL_AREA_STYLE('Opaque(215,208,192)',(#546872)); #546840=FILL_AREA_STYLE('Opaque(170,170,170)',(#546873)); #546841=FILL_AREA_STYLE('Silver - Polished',(#546874)); #546842=FILL_AREA_STYLE('Paint - Enamel Glossy (White)',(#546875)); #546843=FILL_AREA_STYLE('Chip LED Case',(#546876)); #546844=FILL_AREA_STYLE('Chip LED',(#546877)); #546845=FILL_AREA_STYLE('Opaque(51,51,51)',(#546878)); #546846=FILL_AREA_STYLE('Opaque(222,161,44)',(#546879)); #546847=FILL_AREA_STYLE('Opaque(170,175,178)',(#546880)); #546848=FILL_AREA_STYLE('Opaque(165,173,178)',(#546881)); #546849=FILL_AREA_STYLE('Opaque(128,64,64)',(#546882)); #546850=FILL_AREA_STYLE('Opaque(192,192,192)',(#546883)); #546851=FILL_AREA_STYLE('Chip Body',(#546884)); #546852=FILL_AREA_STYLE('Chip Body',(#546885)); #546853=FILL_AREA_STYLE('ECap Body',(#546886)); #546854=FILL_AREA_STYLE('Aluminum - Polished',(#546887)); #546855=FILL_AREA_STYLE('ECap Band',(#546888)); #546856=FILL_AREA_STYLE('Nickel - Polished',(#546889)); #546857=FILL_AREA_STYLE('Plastic - Matte (Black)',(#546890)); #546858=FILL_AREA_STYLE('Plastic - Matte (Black)',(#546891)); #546859=FILL_AREA_STYLE('Aluminum - Satin',(#546892)); #546860=FILL_AREA_STYLE('Opaque(165,158,150)',(#546893)); #546861=FILL_AREA_STYLE('Opaque(0,227,223)',(#546894)); #546862=FILL_AREA_STYLE('Opaque(254,254,255)',(#546895)); #546863=FILL_AREA_STYLE('Opaque(128,128,128)',(#546896)); #546864=FILL_AREA_STYLE('Melf Body',(#546897)); #546865=FILL_AREA_STYLE('Glass (Clear)',(#546898)); #546866=FILL_AREA_STYLE('Melf Band',(#546899)); #546867=FILL_AREA_STYLE_COLOUR('Opaque(255,191,0)',#546900); #546868=FILL_AREA_STYLE_COLOUR('Steel - Satin',#546901); #546869=FILL_AREA_STYLE_COLOUR('Opaque(120,110,70)',#546902); #546870=FILL_AREA_STYLE_COLOUR('Transparent(54,155,54)',#546903); #546871=FILL_AREA_STYLE_COLOUR('Opaque(255,255,255)',#546904); #546872=FILL_AREA_STYLE_COLOUR('Opaque(215,208,192)',#546905); #546873=FILL_AREA_STYLE_COLOUR('Opaque(170,170,170)',#546906); #546874=FILL_AREA_STYLE_COLOUR('Silver - Polished',#546907); #546875=FILL_AREA_STYLE_COLOUR('Paint - Enamel Glossy (White)',#546908); #546876=FILL_AREA_STYLE_COLOUR('Chip LED Case',#546909); #546877=FILL_AREA_STYLE_COLOUR('Chip LED',#546910); #546878=FILL_AREA_STYLE_COLOUR('Opaque(51,51,51)',#546911); #546879=FILL_AREA_STYLE_COLOUR('Opaque(222,161,44)',#546912); #546880=FILL_AREA_STYLE_COLOUR('Opaque(170,175,178)',#546913); #546881=FILL_AREA_STYLE_COLOUR('Opaque(165,173,178)',#546914); #546882=FILL_AREA_STYLE_COLOUR('Opaque(128,64,64)',#546915); #546883=FILL_AREA_STYLE_COLOUR('Opaque(192,192,192)',#546916); #546884=FILL_AREA_STYLE_COLOUR('Chip Body',#546917); #546885=FILL_AREA_STYLE_COLOUR('Chip Body',#546918); #546886=FILL_AREA_STYLE_COLOUR('ECap Body',#546919); #546887=FILL_AREA_STYLE_COLOUR('Aluminum - Polished',#546920); #546888=FILL_AREA_STYLE_COLOUR('ECap Band',#546921); #546889=FILL_AREA_STYLE_COLOUR('Nickel - Polished',#546922); #546890=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#546923); #546891=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#546924); #546892=FILL_AREA_STYLE_COLOUR('Aluminum - Satin',#546925); #546893=FILL_AREA_STYLE_COLOUR('Opaque(165,158,150)',#546926); #546894=FILL_AREA_STYLE_COLOUR('Opaque(0,227,223)',#546927); #546895=FILL_AREA_STYLE_COLOUR('Opaque(254,254,255)',#546928); #546896=FILL_AREA_STYLE_COLOUR('Opaque(128,128,128)',#546929); #546897=FILL_AREA_STYLE_COLOUR('Melf Body',#546930); #546898=FILL_AREA_STYLE_COLOUR('Glass (Clear)',#546931); #546899=FILL_AREA_STYLE_COLOUR('Melf Band',#546932); #546900=COLOUR_RGB('Opaque(255,191,0)',1.,0.749019607843137,0.); #546901=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157, 0.627450980392157); #546902=COLOUR_RGB('Opaque(120,110,70)',0.470588235294118,0.431372549019608, 0.274509803921569); #546903=COLOUR_RGB('Transparent(54,155,54)',0.215686274509804,0.607843137254902, 0.215686274509804); #546904=COLOUR_RGB('Opaque(255,255,255)',1.,1.,1.); #546905=COLOUR_RGB('Opaque(215,208,192)',0.843137254901961,0.815686274509804, 0.752941176470588); #546906=COLOUR_RGB('Opaque(170,170,170)',0.666666666666667,0.666666666666667, 0.666666666666667); #546907=COLOUR_RGB('Silver - Polished',0.984313725490196,0.980392156862745, 0.96078431372549); #546908=COLOUR_RGB('Paint - Enamel Glossy (White)',0.964705882352941,0.964705882352941, 0.952941176470588); #546909=COLOUR_RGB('Chip LED Case',1.,1.,1.); #546910=COLOUR_RGB('Chip LED',1.,1.,1.); #546911=COLOUR_RGB('Opaque(51,51,51)',0.2,0.2,0.2); #546912=COLOUR_RGB('Opaque(222,161,44)',0.870588235294118,0.631372549019608, 0.172549019607843); #546913=COLOUR_RGB('Opaque(170,175,178)',0.666666666666667,0.686274509803922, 0.698039215686274); #546914=COLOUR_RGB('Opaque(165,173,178)',0.647058823529412,0.67843137254902, 0.698039215686274); #546915=COLOUR_RGB('Opaque(128,64,64)',0.501960784313725,0.250980392156863, 0.250980392156863); #546916=COLOUR_RGB('Opaque(192,192,192)',0.752941176470588,0.752941176470588, 0.752941176470588); #546917=COLOUR_RGB('Chip Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #546918=COLOUR_RGB('Chip Body',0.627450980392157,0.529411764705882,0.509803921568627); #546919=COLOUR_RGB('ECap Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #546920=COLOUR_RGB('Aluminum - Polished',0.96078431372549,0.96078431372549, 0.964705882352941); #546921=COLOUR_RGB('ECap Band',0.745098039215686,0.745098039215686,0.745098039215686); #546922=COLOUR_RGB('Nickel - Polished',0.819607843137255,0.8,0.752941176470588); #546923=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #546924=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #546925=COLOUR_RGB('Aluminum - Satin',0.96078431372549,0.96078431372549, 0.964705882352941); #546926=COLOUR_RGB('Opaque(165,158,150)',0.647058823529412,0.619607843137255, 0.588235294117647); #546927=COLOUR_RGB('Opaque(0,227,223)',0.,0.898039215686275,0.537254901960784); #546928=COLOUR_RGB('Opaque(254,254,255)',0.996078431372549,0.996078431372549, 1.); #546929=COLOUR_RGB('Opaque(128,128,128)',0.501960784313725,0.501960784313725, 0.501960784313725); #546930=COLOUR_RGB('Melf Body',0.654901960784314,0.149019607843137,0.0470588235294118); #546931=COLOUR_RGB('Glass (Clear)',0.964705882352941,0.964705882352941, 0.952941176470588); #546932=COLOUR_RGB('Melf Band',0.0392156862745098,0.0392156862745098,0.0392156862745098); ENDSEC; END-ISO-10303-21;